>CDP22090 pep supercontig:AUK_PRJEB4211_v1:scaffold_10008:174:1463:1 gene:GSCOC_T00007686001 transcript:CDP22090 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPVLETIEINYCRELATFPSHFPSLKKLHICQTKNGSAVMEYIRSSGVSTLTVLRIQLVQGFTELPNMLFQNNPNLAHLRLSSCDDLTQFLNFPSDVPQTLEGPNSQTVLELSQPHTCIDNGATQRLVGLESLEKLEIFRCISLESISFPKGDKYLTALRELEISECNSLKSISIPKGDKYLTALRELGIFDCNRLTHLSIPQLSESGWDSTSPPSLSAASPPPLLPLEKLKVYCCPDLISFPIDLTRTPSLSTLDISCCPKLTDLPKGKLCSLTSLRTLSIGPFSETTELHSFLYLFDALPPPHPYSPSLSKLGLRGWSHWESLPEQLQRLSALTTLYLDGFGVKSLPDWFGKLSSLEQLWLWNCKKLENLPSHQSMRSLTRLRKLDSLRCPLLRERCKPESSSCNTTESNSEWSKICHIPQIWIP >CDP20216 pep supercontig:AUK_PRJEB4211_v1:scaffold_1003:17513:18845:1 gene:GSCOC_T00011225001 transcript:CDP20216 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKSGPDTRIKVLFFVSLYLVALAQGYKPCIQAFGADQFDGKHPEQSKAKSSFFNWWLCGICIGSTAAHLILHYIQDNINWALGFGIPCLAMILGLTLFLLGSRIYFFPVKRASSSQEEYQEDLLLNARQRSQDYRFLENVSPAADDYSTVSDKTEVPKNVLRLFPIWITCLTYTVAYAQAATLFTKQATALDKSIGLSFNIPAATLRTFVPLTIMLCIPIYDRIFIPVARTITKNPQV >CDP20215 pep supercontig:AUK_PRJEB4211_v1:scaffold_1003:10514:15257:-1 gene:GSCOC_T00011224001 transcript:CDP20215 gene_biotype:protein_coding transcript_biotype:protein_coding MHAALDLLKNVEVQAILGPMTSMQANFVINLGDVAQVPIISFSATSPSLSYTRSEFFFRATLNDLSQVQAISAFIHAFGWKAAVPIYVHNEFGEGINPFLNDALEKINTNLPYRSVIHPLASDDEILKELYKLMTMQTRVFIVHMPFSLGSRLFMKAKEIGMMSKGYVWITTDGMTNFLRLENSAIARSMQGVIGIKPRVRVTKRLQAFSYRWRYNSQEEASIQDLNIYGLWAYDATIALAMAVEKIGPRHFSFQKINVSNGSMDLDSLPVSTVGKDLQHALVDTSFTGISGDFHFKNRQLDTSIFEIINVVGKEKKVIGIWTTENGIPRNLTSMSGDPILPSSAASLDILWPGDSKSIPKGWVIPTNEKKLRIGVPVKDGISSKFVRVINDLKSNTSKVSGFSIDIFDAVMATLPYYVPYDYVPFENADGKSAGSYDDMIYQVYLGNFDAVVGDITIIANRSNYVDFTLPYTESGVTMIVPVKDKKQKTAWVFLKPLTWDLWLTSVCFFIFFAFVIWILERKSNEEFGQTPPRQLGTSLWFSFSTMVFSQREKVVTGLARFVVIIWCFVVLILTQSYTASLTSMLTVSQLEPTLTSIDQLIQNGVKVGYPKGSFVLALLRHLNLNEANLIMYHNMDDLHNAFLDGRIAAAFDELPYMKPFVAEYCSKYTMVAPTLKAGGFGFVFPKGSPLLPDVSRGILIITEEPEMSKFETGWFGKQNICPDLTTTTSSNRVDVNSFWGLFLIVGAASMLALVVFSSISLYEHRNLFVGSGKSQRIDPIPTISLSNGSEEIEGSCKEKEDSVINHSQQNSMSRSASVEDNEEM >CDP22091 pep supercontig:AUK_PRJEB4211_v1:scaffold_10036:1745:2476:1 gene:GSCOC_T00004166001 transcript:CDP22091 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWELSNIYLAGCKLRGTLPNFTTPDSLSSLDLSDNYFTEGISNFFTRMTTLEQAKLSNNQRKSDVSAIKLPDGLSSIDFHSNQLYGTIPEFSTSLNLKVLNIASNKLTSYIPNSISNLAKVERLDISRNQIGGTIPTSLGLLLKLQWLDLSINTLSGKIPNSLLQIEALRHASFRANRLCSEIPQGRPLNIFPLVTYAHNLCLCGRPLPPCKGKK >CDP22092 pep supercontig:AUK_PRJEB4211_v1:scaffold_10050:59:2437:1 gene:GSCOC_T00010673001 transcript:CDP22092 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLINDLARYVAGDFCSRLTDDLEENIKCTILDKVRYASFTSSAYGASQKFKTLQKAKHLRKLLLELRYSRVLSFSGYVIFDLPNSIGELIHLRYLNLSGTWLKLLPESLSNLCNLQTLRLRNCRKLINLPVGIRKLINLRHLENSNTCQLHEMPSGIDQLTSLQTLSKVVVSKHGGFRLNDLGNLSLLAGSLAILDEYDGSLSKVLQQDLLEALRPQTNLTSLEIEFYKGDKFSSWVGDSSFTKLVKVSLRGCTHCKCLPSLGQLPALKHLSIRSMLEVKAVGTELCCKDCFPSLESLTFDDMPEWEEWTCLSSAGENECHFPLLRKLCISGCPKLKSIPALHLPSLSELKLEECSVGIAKCFYNLTSLNKLEFWQIIGLASLEDAFMQFPSGLEDITLRNCHQLKNFALQSLPTLSGLNRLRISFCSALSCLPKNKLLLPQLRHLEIFYCHKLNLTPEIVIEDTSTSIERLQIIGCPCLTALKGLRIFGCPKLGSLPKESLRNQLKLLRIDKCPLLEKRCLMDRDYWRMIEDIPCVGIGSDLQQVYTCLCIYFSY >CDP22093 pep supercontig:AUK_PRJEB4211_v1:scaffold_10073:852:2407:-1 gene:GSCOC_T00012633001 transcript:CDP22093 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVDSSPEAKNAIQWALTHTVQSQDLVILLYVTKPSNPGEEAIKEVAPRVSQFLSCMKILCQQKRPEVQIEVAVAEGKEKGPAIVEEAKKQGVALLVLGQKKRSTTWRLLMMWAGNKVAAGGVVEYCIQNATCMALAVRKKSKKLGGYLITTKRQKDFWLLA >CDP20217 pep supercontig:AUK_PRJEB4211_v1:scaffold_1009:31846:36859:1 gene:GSCOC_T00008382001 transcript:CDP20217 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPQCCENAPSLSSSSGAGHVEEIGGLQSYVTGPADSKIAVLLVSDVFGYEAPKLRKLADKVAGAGIFVVVPDFFFGDPLVLEKTTVDDWLKNHGPDQGFEHAKPVIEALKGKGITKVGAAGFCWGAKVVVELAKYAYIHAAVLLHPSFVTLDDIQGVKVPISILGAEKDQKWPPELLKKFGEALDAKPEVDGFVKIFPGATHGWTVRYKDEDEKEVKAAAEAHQDTLDWFVKYLK >CDP20218 pep supercontig:AUK_PRJEB4211_v1:scaffold_1010:11534:13157:-1 gene:GSCOC_T00011388001 transcript:CDP20218 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVVKRKLSFIADNLLFHQLDVADSSSVASLAEFIKTQFGRLDILVNNAGIIGADIDSDAFKAAVGAGAIEEAQAKVDWSSTIKETYELKVQCFQTNYYGAKRMNEAIVPLLQLSQSPRVVNVSSGAGKLKNIPSEWARGIFTDVDNLTEERVDEVLNQYLKDLKEGSKEAKGWPSFLSAYTVSKAAMNAYTIVVAKMHPCIKINSVCPGFVKTDINIESGILTVEEGAESVVRLALLPDDGPSGLFFICGEVSPLE >CDP20219 pep supercontig:AUK_PRJEB4211_v1:scaffold_1011:23894:24544:-1 gene:GSCOC_T00001510001 transcript:CDP20219 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLFGGGIGIGVGEVNEVLIKVVTGNGGIMEFCAPITVAFITNEFPGHGIFRSHDLFWKPLAHHEVLQAGEYYLLPLDDKDNDKKKGSVYNSIIGQVPQIGHIRSNSVPQSMPAVPYRMSFDSQGFLKRSHTEVFPRTNNDQLGGFWKVKVISPQHLLEILAQEARTQELIESVRTVAKCRNGFSTSSIGFSDQWSLSSSRNASSKKDALFLEF >CDP20221 pep supercontig:AUK_PRJEB4211_v1:scaffold_1012:3643:4392:1 gene:GSCOC_T00005624001 transcript:CDP20221 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKSQQKGDDDHEAIETQLFFDMLVMVLLKGRQRNEKDWAKLFTEAGFSDYKITAVLGLRSIIEVYYY >CDP20223 pep supercontig:AUK_PRJEB4211_v1:scaffold_1012:40619:41202:1 gene:GSCOC_T00005630001 transcript:CDP20223 gene_biotype:protein_coding transcript_biotype:protein_coding MIICVAQRYWKVKFGDKSKESRKAAGQAWEDPTLADWPENDSGLFCGDLGNEVNDDVLSKAFSRFPSFNMARVSYLNSSTFYMSQPSLVRIIETLICLLVVVAFGEVLT >CDP20224 pep supercontig:AUK_PRJEB4211_v1:scaffold_1012:41492:42781:1 gene:GSCOC_T00005631001 transcript:CDP20224 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVQAPVSDWEYNATLPKTASMIDLASKIMMTCRDLDTCPTHLYNATLPKTASMIDLASKIMMTCLALT >CDP20222 pep supercontig:AUK_PRJEB4211_v1:scaffold_1012:23402:24440:-1 gene:GSCOC_T00005629001 transcript:CDP20222 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKHSFFVVLVLLASSVVLGQDEAGEATETTNAVKTASRKMLPIGGQIIKMLGVGVHDGQEGECSPFGKPCRYNPWGCCDSCVCVATPADEGRCLGNC >CDP20220 pep supercontig:AUK_PRJEB4211_v1:scaffold_1012:2402:3567:1 gene:GSCOC_T00005623001 transcript:CDP20220 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARNIGDHTGELFQAQAHIWSHIFNFINSMSLKCAIQLGIPDVIHKHGQPMTLDQLIDALPIKNAKATFIYRLMQNLIHSGFFIEAKIPGNENDNQKGYLLTPASELLLKSNPFSVTPFLLAMLDPTLTDPWHHLSQWFQNSDETPFYTCHGRSLYDFASHEPRINQFFNKAMASDARLVSSVVTKDCKHVFEGLNSLVDVGGGTGTLAKAIADAFPSLKCTVLDLPHVVDGLESSKNLAYVGGNMFEAIPPANAVLMKWILIDWSDD >CDP20225 pep supercontig:AUK_PRJEB4211_v1:scaffold_1013:6314:6760:-1 gene:GSCOC_T00013800001 transcript:CDP20225 gene_biotype:protein_coding transcript_biotype:protein_coding SLSLSLSYTHTHRHTQTLEYIVHHSLFGVSVAYFVFTGKGQLYIFMVLISEMTTPEINMRWHLDVSGLKRSNAYLINGLFIFFGWLMARILLFVYMFHHVYIHYSQVIQMHSVGYFLVFVVPCALSIMNLMWFGKIIKGLIKMLAKKQ >CDP20228 pep supercontig:AUK_PRJEB4211_v1:scaffold_1014:38799:41848:1 gene:GSCOC_T00006774001 transcript:CDP20228 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIDTLQRLGLAYHFEDDMNAILSQLSSSGQSDGDLLTTALRFRLLRHNGHKISSDIFQKFMDKNGKFKDSLKEDTMGLLSLYEASHLAANGEDILLEAMELTEAHLKQSLPSLPTQLARKVSSALELPRHRRMARLEARRYIQEYSEEIGHDPNLLELAKLDYNKVQSLHQMELTDISRWWKQLGLVDKLTFARDRPLECFLWTVGILPEPKYSNCRIELAKTIAILLVIDDIFDTHGTIDELVLFTNAIRRWDLEAMEGLPEYMRICYMALYNTTNEICYKILKENGWSVLPYLKATWIDMIEGFMLEASWYSNGQEPNMEEYVANGVTTAGTYMAMVHLFFLIGQGVTEENVKLLMKPYPKLFSCSGRILRLWDDLGTAKEEQERGDLASSIQLFMRENNITCDEEGRKRILQLIDNLWKDLNWGLVSRNAMPLAIIKAAFNMARSSQVVYQHEEESYFSSVDNYVESLFFTPIIN >CDP20226 pep supercontig:AUK_PRJEB4211_v1:scaffold_1014:3:1503:1 gene:GSCOC_T00006772001 transcript:CDP20226 gene_biotype:protein_coding transcript_biotype:protein_coding IGSGVFSWASKKQQSVAQSSAEAEYVSASLATSQTIWLRRILEDIGEKQKEATVMFCDNKSAIDIAKNPVYHSRTRHIAIKHHFIRDAIEDGEVELKFCKSEDQVADIFTKALPKDKFNYFREMLGWADLCKSFLQEAKWNHEKVTPTFDEYIQNAWISSSGAVLLVHSYFLVTEKISKEAIHCLDNHHGILQWPCTILRLYNDFSTLSAELERGEVTNALTCYMHETGQSEKLAGQHISQMIEECWMKMNKELISPPPFEENFTEIAVDLARIALCQYQYGDAHSSPGVIARNRIFSVILVPIQLLETAQNTTTEWKSLLASS >CDP20227 pep supercontig:AUK_PRJEB4211_v1:scaffold_1014:10822:14488:1 gene:GSCOC_T00006773001 transcript:CDP20227 gene_biotype:protein_coding transcript_biotype:protein_coding MACTSNFSSLSKSWPVLDVPRGAPKATGLWLKRQIIFKTSRICMCMPTPTATQPIATPLIRDNESLLKYLRQPSVLPHEVDDGRKELLGRTRRELRSTSEPLKAMNLIDTLQRLGLAYHFEDDMNAILSQLSSSGQSDGDLLTTALRFRLLRHNGHKISSDIFQKFMDKNGKFKDSLKEDTMGLLSLYEASHVGANGDDLLLEAMEFTEAHLKQSLPSLPTQLARKVSSALELPRHRRMARLEARRYIQEYSEEIGHDPNLLELAKLDYNKVQSLHQMELTEISRWWKQLGLVDKLTFARDRPLECFLWTVGILPEPKYSNCRIELAKTIAILLVIDDIFDTHGTIDELVLFTNAIRRWDLEAMEGLPEYMRICYMALYNTTNEICYKILKENGWSVLPYLKATWIDMIEGFMLEASWYNNGQVPNMEEYVENGVTTAGAYMAMVHLFFLIGQGVTEENVKLLMKPYPKLFSCSGRILRLWDDLGTAKEEQERGDLASSIQLFMRENNITCDEEGRKRILQLIDNLWKDLNWELVSRDAMPLAIIKAAFNMARSSQVVYQHEEESYFSSVDNYVESLFFTPIIN >CDP20229 pep supercontig:AUK_PRJEB4211_v1:scaffold_1015:16390:16560:1 gene:GSCOC_T00001609001 transcript:CDP20229 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMEILMGKTITLEVESSDTIDNVKAKIWDKKRHPLETNSASSSSASSWRTAIP >CDP22094 pep supercontig:AUK_PRJEB4211_v1:scaffold_10168:327:913:-1 gene:GSCOC_T00005086001 transcript:CDP22094 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSVFGGRRSNVFDPFSLDIWDPFEGFPFSNTSLANVPDTARDTSAFATARIDWKETPEAHVLQISGERSREQEEKNDKWHRVERSSGRFLRRFRLPENAKVDKVKASMENGVLTVTVPKEEVKKADVKAIEISG >CDP22095 pep supercontig:AUK_PRJEB4211_v1:scaffold_10180:883:1792:1 gene:GSCOC_T00003988001 transcript:CDP22095 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRHSNISTLVFYIEIDSVQIEYDPKGITFKQLLEVFWTSHDSRQVFGQGPDVGNQYSRSIVFTNGIEESRLASVSKEREQTRSKSGIVTTQIQQLGIFYPAEPDHEKFELKRNPFLLQLMGNLPKEELEKSSLAAKLNGYAAELFWPILREV >CDP20230 pep supercontig:AUK_PRJEB4211_v1:scaffold_1019:19448:21536:1 gene:GSCOC_T00002356001 transcript:CDP20230 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIYLYPPQIHGIISPALYYEKPSSTNFLTHWSLTNAITANTNYLPVPYLWPLSNLCALLGCITILGTVPSLVKTWKSIGCMNGLNWTKIRTFATIGEASSIDDDLWLSSKVYYSAIIECCGGTELASPYIQGNPLQPQAFAAFSSASMSTSFVILSENGLPYPDDQACIGEVGLFPLYMGATNRLLNADHEEVYFKGMPLYKGMVRCFLT >CDP20231 pep supercontig:AUK_PRJEB4211_v1:scaffold_1019:25640:27242:-1 gene:GSCOC_T00002357001 transcript:CDP20231 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIPILSTKIQDFILRGGRRVPLYSRVVEAAPYEAIVIPASGKELTVQLRCQDLSWNDFLSSVSNYFTPAYQPIDSVTNILFSSGTTGEPKAIPWTQHSPIRCAAVTWAHIDVQERDVFCWPTN >CDP22096 pep supercontig:AUK_PRJEB4211_v1:scaffold_10191:1511:2225:1 gene:GSCOC_T00005088001 transcript:CDP22096 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRPDMITPGVDTQGQPFEPRKIQEHFEDFYEDIFEELGKFDEIESLNICDNLADHMISNVYVQFKEEDQAAATLQALQGHFYSDRPIIADFSPVTNFHEATCRQFEENNCNRGGYCNFMHVKMIGRDLRRKLFGSHILKYRRSRSRRAVTVKGIIVQVVGGAEETSTPGMSDSSGGRRRHVASPKRSKSPVREGSEERRARIEQWNQQRKEN >CDP22097 pep supercontig:AUK_PRJEB4211_v1:scaffold_10205:792:2471:1 gene:GSCOC_T00011232001 transcript:CDP22097 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSRAQPGTQTGFNPAPLRFNRGIARHRFGNRFDRSSTNWAGGVRMKPHINAISVKRMVAFGQQPSLFILLKLR >CDP20232 pep supercontig:AUK_PRJEB4211_v1:scaffold_1021:24761:25186:-1 gene:GSCOC_T00012448001 transcript:CDP20232 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNKISEYGIGLAASTQGDVYSYGILLLEMLAGRRPTDDIFVGDLDLHGYVNGALHEQVSEIVNPLLFLEGDENRKITPGGKNSKGGKEMECIISLLKIGLKCSARLPNDRMHMNEVVRKLHLIKDVLLGLRVHQENLEV >CDP20234 pep supercontig:AUK_PRJEB4211_v1:scaffold_1021:33110:41976:-1 gene:GSCOC_T00012450001 transcript:CDP20234 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSTLASIFLLLSVAMNFSVSHVSAAKHFQNETDRLALLEFKKQIYDDPFGVLNSWNHSQHHCQWEGVTCSTRHQRVMALTLRDKQLSGTISPHVGNLSFMRFIKLGANQFHGENPQEFSRLFRLRFLNLSRNALSGKIPANLSYCSELIAISLHDNKLEGKIPIDQLSSLKKLETFYLYTNNLTGEIPSPIGNLSSLTLLDFDSNNLEGNLPMEMGLLKRLSFFAAAENKLSGIIPASIFNSSAITVISVAGNSFHGNLPTNICLTLPNLKLLAVGGNNFSGNLPTSITNASGLEKLDLSYNKFAGQVPANLGDLTNLQLLNLDTNLFGGNSTGDLDFIASLTNCSGLRILSLGYNKFGGNIPRVMANLSNQLEELYLGGNQLSGTIPEGFGNFVNLYLLGLESNSFSGVIPRDFGKLQNLQILSLYQNELSGQIFSTLCNATGLFHLDLSFNLFEGRNIFDNVLMNCQNLQYLDVSQNNFSGIISPRFLQTHSSLIYMKIGENSFSGSLPLEVGKLIHLADFNVSHNQLAGGIPMSLADCSNLENLFMQANFFQGTIPPNLASWKSIQKLDLSSNNLSGPIPKQLEKLRFLRYINLSYNDIEGEVPNTGIFSNASQISLIGNNKLCGGIPELGFPTCPPIKGKNRGKLKVIVLLSIVLPATVLVLSAVLLYFLVYRNRERRLVAGFSSMPARIDELLRLSYPDLLRATSGFSPEKLIGSGNFGAVYKGRLEKHGNKLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIVSYCSSIDSKGDEFKALIYEFMENGNLDLWLHPSETTAQATSSRSLNLLQKLNIAIDVASALQYLHDHCEAEIVHCDLKPSNLLLDNDLVAHVGDFGLARLLPKPVNTSSEQRTSSTIALKGSIGYAAPEYGMGLAASTQGDVYSYGILLLEMITGRRPTDDIFVGDLDLHNYVNGVLHERVPDIVDSLLLSEGRDENIRITINGGKEMECIISLLKIGLKCSARLPNDRMHMNEIVRKLHLIKDKQIYDDPFGVLNSWNHSQHHCQWEGVTCSTRHQRVMALTLRDKQLSGTISPHVGNLSFMRFIQLAENQLHGGIPQEFGRLFRLRVLNLSSNALGGKIPANLSYCSDLINISLAGNNLEGKIPMDLLSNLKKLEIFYLYTNNFTGEIPSSIGNLSSLIRIGFDFNNLEGNLPMEMGLLKRLSFFSAAENKLSGIIPASIFNSSAITVISVAGNSFHSNLPTNIGLTLPNLEALGVGGNKFYGNFPTSITNASGLEILDLSQNKVAGQIPTNLGDLTQLKRVNLVDNLFGSNSKGDLDFFASLTNCSNLRILSLSANKLGGDVPRVMANLSNQLTELYLGGNRLSGTIPEGFGYFVNLYKLGLEENSFSGIIPRDFGKLPNLQPRP >CDP20233 pep supercontig:AUK_PRJEB4211_v1:scaffold_1021:25400:32014:-1 gene:GSCOC_T00012449001 transcript:CDP20233 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNLDSWLHPAETTHLATSSRSLNLSQKLNIAIDVASALQYLHNHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPVYRSSEQEGSSTVAIKGTIGYAAPEYGMGLAASTLGDVYSYGILLLEMITRRRPTDDMFMDELDLHNYVNRALPEQVCEIVEPLILCKAGDGNRRMTPKRENINGGREMECVISLLKLGLKCSQRLPNNRMHMNEVVSKLHLIKDPIPTKTMWGLRSSTLANIFLLLSVAMNFSVSHVSAAKQFQNETDRLALLEFKNQIHDDPFGVLNSWNHSQHHCRWEGVACSTRHQRMGLLKRLVQLFLAANKLSGIIPASIFNSSAITVISVADNYFHSNLPISMGLTLPNLKRLAVGINEFYGNFPTSITNASGLEELDLSQNKVAGQVPANLGDLTNLQRLNLERNLFGGNSTGDLDFIASLTNCSDLSVFSLSTNNFRGNIPKVVANLSNQLTTLYLGGNQLSGTIPQGFGNFLQLLSYLNLSYNNIRGEIPNTGVFRNESQISLTGNNKLCGGIPELEFPPCPVIKGKTRGKLKVIILLSIVLPAALLFLALIYELMENGNLDLWLHPSETTDQATSSRSLNVLQKLNIAIDVASALQYLHNHCKAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPINTSSEQRTSSTIAIKGSIGYAAPGNYNLQPFYGQDVT >CDP20235 pep supercontig:AUK_PRJEB4211_v1:scaffold_1022:5075:12939:1 gene:GSCOC_T00000764001 transcript:CDP20235 gene_biotype:protein_coding transcript_biotype:protein_coding MADALISSTIQVTLERALSLASDRIGLLVRFKKDVAGMTRSLRLIKGVLADAEAKQNQDGAVQEWLKSLEEVAYEADNLLDELHYESLRHQVESRNRHKLKLSISWPDPNKLNEINEEANGLGLVSRLVMTVALPVAVGDTGSRQTDSVVAPMIGRTDDESKIVKILLSPSKKVVSILPIIGMGGLGKTTLAKSIYNNQQIDGHFNKKIWVCVSKKVPIMELFKLILLQLTEEKVDVENRNVIVGKIGNQLGGKRYFLVLDDVWDDDEALWDDFFTTLKGLNPTNGSWCLVTTRSRMMENEAYPLRKLPDDHCWSIVKEKVVGEEEEPDELKAIKERVIERCDGLPLAASVIGGLLSLKRKEEWRSILENRLLSLSAGGDRVMQILKLSFDYLPSPYIKKCFAYCSIFPKDSKIERNMLIELWMAEGFLRADMNSQMMMEEIGMNHLKILLQSSLFGEITHHWRTCYKMHDLVHDLTELMSKSTKVIIDRDTHTVDNGNQIRYLATDSFGGGEDREKLLESLSTSLHTLFIVNELPVSIGKLIHLRYVILECSLINILPDSLCKLYNLQTLTLSESRVKDLPKGMRDLISLRHLHYYIDDDEEFQMPLEMGRLTCLQTLEFFNVGREKGRQIEVLGSLKNLKGKLKIRNLELVKDKEGAEEAKLSEKANLFRLHLMWALDREGDNYNDEDVLDCLRPHPNLEELAIWHFMGDQFPRWLMDLPTITTLPKLARLEFHSCNRCRELLPWQNFTSLKELVICDCDGLTNLPGDMLHSCTSLQKLWVTSCDNLISFSLDLQQTPSLSELWLWGCPKLKTSMTPKGFGFLTSLRKLEIGPFSDDGENSSIYNEFDWSGLISSSSSSSSSSALRESHLRGLPHMESLPPQIQYLTTLTSLTLRDFGGVKALPDWFGNFAALEELWLWGFKELRHLPSEDAMRSLTKLKRLEVYGSPLLKERCTPESSGPDSQWSKVSHIQRLRITD >CDP20237 pep supercontig:AUK_PRJEB4211_v1:scaffold_1023:34709:37991:-1 gene:GSCOC_T00004586001 transcript:CDP20237 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLSSYIYSLRFGLQKFDSLTHKISHYHFRTCTGGRYNKLSGIAQYGNLVGFTIGYIITSANSMVAIKRSYCFHKHGHSFGCHTPINLFIIIFGVIQVFLSQIPNIQELSMLSLLTTVMCCCHASIGLGLSIAKVAGRPHVKTSLTGAFIDGDKSNTNNLWTAFTALGNLAFAYGFSEVLIEIQDTLGSSKPENRVMKQASLAGISISTLFYMSCGLLGYAAFGDKAPGNILAGFGFFEPFWLIDLANIFVVIHLTGAYQICGQPVFGFMESSTRHRWPNTGLVNHEYAINVPGYGVYRFTLFRMIWRTTYVMITTVIAMMFPFFNDIVGLIGALSFWPLTVYFPIKMRIEKEKIPIFSFKWVWMQTLSMCCLLTSIAATVGSVGGIVKFLQTFKLFKSID >CDP20236 pep supercontig:AUK_PRJEB4211_v1:scaffold_1023:11456:11844:-1 gene:GSCOC_T00004585001 transcript:CDP20236 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRRAPIDSAKGKDDHGRPKRTGTFYTATAHIITTVVGLGVLSSPRVISQIGWFARPMALISFSLITLFTSTILADFYRFLDSVSAEETIPTWT >CDP22098 pep supercontig:AUK_PRJEB4211_v1:scaffold_10258:3:1704:1 gene:GSCOC_T00000047001 transcript:CDP22098 gene_biotype:protein_coding transcript_biotype:protein_coding YLAKKNVFGEHYNAKRATEGTPLLPIPAAEFRAVKTNQIPQSNDLPDKSCRINSSCPATILITGNNREFGESMAGNMFPNSSCGLNNTADCVFVIFSLFCSFGYLFLPTDKTSEKKYYYLQSQCKANFTISVHTQDGTEEAELAAYDFRDSDMKHFGVHLQYNSTPTSSEKPPNEVPVGSTLNMVWNAYLQPVLGPSVRMIFEFVGEMPRASTYVT >CDP22099 pep supercontig:AUK_PRJEB4211_v1:scaffold_10279:104:1037:-1 gene:GSCOC_T00005361001 transcript:CDP22099 gene_biotype:protein_coding transcript_biotype:protein_coding MARQNFRSKISVILACASENDKGIFYEILAGRLDFQSSPWPSISSGAKDLVRKMLTMDPRKRITAAKPLEHQWLKEGGEASDTPIDSVVQIRMKQFRAMNKLKKLALKVMNRFVNFFGHRGFHNELVNTFDSHS >CDP20241 pep supercontig:AUK_PRJEB4211_v1:scaffold_1029:31451:35191:1 gene:GSCOC_T00013786001 transcript:CDP20241 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSMLRNAAAGNVAGSLGLRRLVHTVAQPAPLHGAMDNFTTTSPPLVLPESGNTSTEKSIGFQFPGFSFIDCSMELMAVPKKKVSPHKRGIRNGPKALKPVPVIVRCKVCGRVKLPHFFCCSGIKPSPGEQN >CDP20238 pep supercontig:AUK_PRJEB4211_v1:scaffold_1029:12439:14200:1 gene:GSCOC_T00013783001 transcript:CDP20238 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKLGLRKGTWTPEEDQKLLSYIEQQHGHSSWRALPAKAGLERCGKSCRLRWTNYLRPDIKRGKFSSQEDQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLTKMGIDPSTHRPKTNALGSAHQKDTANLSHMAQWESARLEAESRLVRESKLLSLSTAFNHSHLSPPASAQLPLAKALASPLVTPLEPMVQKHYFHCLDILKAWQRTWTTTKNANGFFSTAIGSLQSPTSTLHFSDNTFAMSSAARLTGENLPFNSTINCEARDMKATGTSTWQYLDTPKNSDPLAVTGEKMESTTGNMMQLNHDVNVQCDFDPVGGAYTMEFSLRSPGFMEGFADADIDDSNNNPTGSYQSLGYRWGGDLEDNQKYWNNIVNEEISALGSPFF >CDP20240 pep supercontig:AUK_PRJEB4211_v1:scaffold_1029:20783:23320:-1 gene:GSCOC_T00013785001 transcript:CDP20240 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMKGDLLTKTKKLVKGLAKVEPLWLKAMEQAPPVTFPRAEGTIKPMSLPEDVYIKKFYKKYPDSKYQDPIKISGFNPPPARLFGWRVLELTEQGVSEEEAMAVADMEYRSEKKARKEAYSRLKQIAKLQGKKPPPNPYPSAIKEIQAKEKKFVHDRFHNPETVKLAEKMREERAADWQNRRGAGDW >CDP20239 pep supercontig:AUK_PRJEB4211_v1:scaffold_1029:18774:20201:-1 gene:GSCOC_T00013784001 transcript:CDP20239 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTATTAISSAKSINALSTSANNTKITNLTTSTPTWSQTKSKLPDFYASSSFLNHLKSKSPQLKNKNSKLFLLHCSAKPNTDRKNATDDNLSLRSGSNSTIPEQAAASPTNEGLSSFSRGLVFDLGLKDSWDSAEIGSPVVKRFIGDEEERWYMWYCGRSNGKDSIGLAVSSNGIHWERGNGPIKSSSDVGMVMNCSDDWWAFDTQGIRPSEIVIMSSAKVRVNNSLYWLYYTGFNDEKIEPLDNSVAFKLSDRKRMYYRSLPGLAMSQDGRHWARIEGEHYSGALFDVGSDGEWDSLFIASPKVVYHGAGDVRMYYHSFDAEKGHFAVGIARSRDGIKWVKLGKIMGGGGNGMFDELGVMNAHVVKNRKDGKYVMAYEGVAADGKKSVGLAVSSDGLKEWRKFQDGPALKQSEEDGWDWEGVGSPCLVQMDGDADEWRLYYKGTGKGGKTGIGLAVSEGIEFASFQRWTGFHL >CDP20242 pep supercontig:AUK_PRJEB4211_v1:scaffold_1029:37303:42725:1 gene:GSCOC_T00013787001 transcript:CDP20242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 homolog 10 [Source:Projected from Arabidopsis thaliana (AT1G69480) UniProtKB/Swiss-Prot;Acc:Q6R8G0] MKFGKAFKKQKVPEWTEAYVDYNGLKHVLQEIRRLKQSKQPPTPLRSAQKRNSSGLNLQARNSYGMGDIEDQAIAVNTVKQQNSRKLYNTEFLLSPEEGGENEKTFFRKLDEELNKVNTFYKDKVEEVINEASLLNKQMDALIAFRTKVMNPHRGTSSSPKGIPTDVKNSAVSKTSSPSRAAGFTLTCQYTATEQTDLESPVELQHLNNGKVTGSSLREDANVRENETHSLDILDRVKITNPLESPISTIRGFLMDEKEKGLSFNKDELKKVEERLKNIFSEYYKKLQLLKNYSFMNLSAFSKILKKYEKITSRNAARPYMRMVDSSYLGSCDEVSGLLDRVEATFIKHFAKSNRREGMKLLRPKQKTEKHCITFFSGFFSGFTIALLIAVILLLEAKRLIDEKDGNLYINSILPLYSFHAYLVLHTLLYAANIYLWRRYRINYPFIFGFKQGTELGYQEVFLLGNGLAMLALATFLVHLHIQMDSGAQDHQKYAKFMPLGLITVIFIIMFCPFNIVYHSSRVFLRKSIFRCICAPLYKVTLPDFFLADQLTSQIQAMRSIEYYICYYAYGTSKGQNKCTTRDVYAVFYFIIGVIPYWLRFLQCVRRLLEERDYCHGCNAVRYFSTIIAVVIRTAFELRKGLTWKILAIASSAIATIMNTYWDIVVDWGLLHKKSNNFLLRDKLVLSHKSVYFSAMVLDVLLRFAWVQLVFPFDLHSLSGNAILITFSSLEILRRGMWNFFRLENEHLNNVGKYRAFKSVPLPFNYNDENDDETDDKDD >CDP20243 pep supercontig:AUK_PRJEB4211_v1:scaffold_1030:40427:42394:-1 gene:GSCOC_T00009359001 transcript:CDP20243 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDVYKDYWENMILQTEQLGRNFDESFSFYDSSSPDGAQSSAASKNIESERKRRQKLTETLHALRAVVPNISKMDKASIIKDAIGYIQELHNQERMIQAEISELESRRSIFLDFDQEISYNSKPKRSRFEQTCDSAGSRSSPIEVLELRVSHMGEKTIVVSLTCSKRTDTMVKICEVFESLNLKIITANITAFSGRLLKTVFLEANEEEKDVVKIKIQTAIAALNDPDSPMSM >CDP22100 pep supercontig:AUK_PRJEB4211_v1:scaffold_10305:2:2467:-1 gene:GSCOC_T00009908001 transcript:CDP22100 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGPYFLGKLSGDECWSIIKGKVMSAGEEVPEELEALKKQILRRCDGLPLAASLIGGLLLTNRREKWHSIVQESLLNECQTEIEQILKVSFDHLSPASVKKCFAYCSIFPQDTELEQDQLIEHWVAEGFVLPDQKNNRVMEETGGEYLRILLQNSLLEKVQESYYKMHDLVHDFAKSILNPESSNQDRYLALDSSKASIRTLFLHLEGGITTDMLLRFKCLNVLKLSGYDVKFLPSSIGKLPHLRLLDISSSRIRSLPESLCKLYNLQTLTMRDGVLQGGFPKRMSDLISLRHLNYDDYRAELKMPAQMGLLTCLQTLEFFNVSQERGRGIEELGTLKYLKGWLRIRNLGLVKGKEAAKQAKLFEKPDLSCLVFKWESGDRESDNRDEDVLEGLQPHPNLQRLEIGSFMGNKFPQWLINLSKLEALRIEDCKRCSELPSLGQLPSLKSVYLTRLDNIRFIGDEFYGSSSRRRKFFPALEVLYVEYMENLVEWKDADQVRSKIGEAEADVFPMLRNFNIHSCPQLTTLACSLNGQKCPLPCLEKLSIHNCEGLTALSDKMFQSCRSLRSLKVGCCPNLVSFSLNLQETPSLEEFALVDCPKLIPHSFKGFAFATSLRRLTINKGLPHTESLPHQLQYLTTLTSLSLHNFRGIEVLPDWIGDLVSLETLGLSDCDKLRSLPSEAAMRRLTKLTRVDVFDCPLLRQRY >CDP20245 pep supercontig:AUK_PRJEB4211_v1:scaffold_1032:9292:10457:1 gene:GSCOC_T00000900001 transcript:CDP20245 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTLSDELEVKAPASEAWKVYGTLLLADVVRQQLPDVLDKIDVLEGDGGPGTKLKLTFPPDNQLMSYSKEQFVVVDDQKMMKVAEVFEGGYLNLGFTLYRVTFQVLPNLNDESSCTTKCILDYELKEDAAENASLINIQPFTAIMKAAANYLETGNATPTTTTNN >CDP20246 pep supercontig:AUK_PRJEB4211_v1:scaffold_1032:12320:12882:-1 gene:GSCOC_T00000901001 transcript:CDP20246 gene_biotype:protein_coding transcript_biotype:protein_coding MIILSYYFQVCDPNGRWYLADSGFVPGDLLLLTGKALSHATASLRPTASHRSALDIPPGTSSGGRQILCTLPCRTSLVFRLMPQGNAILDCSPIATAGHVIPQSYVPISVTQFMCQSL >CDP20244 pep supercontig:AUK_PRJEB4211_v1:scaffold_1032:1:1166:1 gene:GSCOC_T00000899001 transcript:CDP20244 gene_biotype:protein_coding transcript_biotype:protein_coding EVKWGTTGVKETWRKFPTKNGLKLKKLDVVRNPFVILSTRSNALTCFLLLPENFDLGKVQLLFMIWETSNIDVILDPFQSGETVEILAETSSNLQGLTESPMRSLADIIAFNMNNPDFGILESFGNFDGRKIFIAADFTNGIGEEERKSIEKKEKLSRLETAS >CDP20247 pep supercontig:AUK_PRJEB4211_v1:scaffold_1033:17637:41225:1 gene:GSCOC_T00012625001 transcript:CDP20247 gene_biotype:protein_coding transcript_biotype:protein_coding MECASNIRLPVINLTEEILRSGKDSWTEARNIVTRAFEEYGCFIAVHDKYPSEVSDSLFSELQDLFNLPLEIKVQNTSQTPLSGYARPRPNVNLYESMCIEDATNLEAVEKFANQMWPSKNNHFCELFHCYANQVAELDKMVSKLVFESYGVEKYHESHVGSVTYNVRFIRYRVPEQNEMNVGVAPHTDKNFITILQQNETDGLEVQLKNGSWIPIDFPPSSVVIMAGDVFSAWSNGRVHSPFHRVTMKGRGTRYSIAQFSYCKKLVKTPTELVDDEHPLLYKPFDCFGYLRFLSTNEDWNIPNSLKVYCGV >CDP20248 pep supercontig:AUK_PRJEB4211_v1:scaffold_1034:28263:33635:-1 gene:GSCOC_T00010544001 transcript:CDP20248 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSLSVIHCFQSKHISLKQEFVVKMGSKAKAMGSGLTPLLATVLVVFVALGLPSQTAADDHYKYSSPPPPYHYPSPPPPVHIPPPHPVYKYKSPPPPPPHPVYKYKSPPPPPHPVYNLLRPPPPPVHSPPPPPIYKAPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPIYKYKSPPLPPPVYKSSPPPAYKSPPPPVYSSPPPPIYKSPPPPYHYYYNSPPPPRHY >CDP20249 pep supercontig:AUK_PRJEB4211_v1:scaffold_1035:9020:20544:-1 gene:GSCOC_T00011926001 transcript:CDP20249 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLRQVVAAILTMSMFLMLGNMIKKDHIDPLLVDMPVSLDIQQGLLKVSKHSVVKLASGPWKEDDEAMRPCWKKLYKTKKGEQTNGYISLSLTKGPEYHALQIANAIMIAKHLGATLVLPDIKGAKVSEKRMFGEIYDPQKFTTSLDGVIQVVKDPINQQVGEKVVIVKVPERVTEKFISAHIEPILKKKKQVRLASQYQSSNLTKAKEIGDYLNPYACLAMFGSLDLHPQLKELVDSMVRTLRILSWKSSAGKFITVDVKVTEFKNKLSCQRNDTTSKEYCYGAEEIGQFLEKIGFRKGTTVYLTQTEWHESLRPLRKYFPNTFTKDAVMPIDLKARYLDSGNFEYEKFIDFYLCMQSDVFVATTMNMFYNNVAEMRIASGKTQILIPANKLSTSAADYLSPHILKKNHMAYSCLCKI >CDP20250 pep supercontig:AUK_PRJEB4211_v1:scaffold_1036:7548:8571:-1 gene:GSCOC_T00009737001 transcript:CDP20250 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTNFCPPPLSATVLSYPLLCSLLPPSRRPYFFHLIGLGSSKLEQHDIKEDKFGFSRNYFLAKDLANSGKKSSHNLADVHVVDEQELREAPANIEQKHEKEIDELSKSYKSLLCCIINRCRFGLLMYGFGLKRTLVEDFASTALAEYSVVVINGYL >CDP22101 pep supercontig:AUK_PRJEB4211_v1:scaffold_10360:2083:2346:-1 gene:GSCOC_T00010553001 transcript:CDP22101 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKPFVIDVLHPGRPNVSKAELKEKLARMYDVKDPNAIFVLKSRTHFGGGKSTRFGFMYGSVENAKKS >CDP22102 pep supercontig:AUK_PRJEB4211_v1:scaffold_10365:110:2248:-1 gene:GSCOC_T00010674001 transcript:CDP22102 gene_biotype:protein_coding transcript_biotype:protein_coding MLECALIIDFTVLITLNIHVPRYPADVFKRAQIDSALDWHHSTIRRGSVGVVLNTTLAPLFGRPLDPQAAAEAEKLLSASLAKIESFWLKGDGPFLLGNSEPSIADLSLVCEIMQLELVDEKLQKRILDPYEKVRKWVEDTKKAIQPHFDEIHAAVFQNKEMIKKALAGAGSGETKTS >CDP22103 pep supercontig:AUK_PRJEB4211_v1:scaffold_10368:211:2365:-1 gene:GSCOC_T00001869001 transcript:CDP22103 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTRRDHWLALVKEVMSLHHFLQKFNVESYVEAWEMHARSILGIIRLHAARELLRMSPPIPKNFLIFTLCEELPKGDYVLQELAASLKKLNTEHPCSGSTILRNLNVSQPCVPCPDMNEISETVQTEKLSSLESTINQAREEARDMDMARATVEELKEEGVSSTAQVLLGLLTPLKKMLPWFQGIVTWERPAANIVIIVLVVLVIYKEWVGKALAAFLLWMVASMFLIRHRRRIPSNYEKIVICTASDQSTMENIVSAQQGLRTVHEVMQQMNIAILKLYTILTARSPKHTDMVMIVLAGTAIVLAVLPFKYILITLTIYSFLISSKLTKHIQSDQGNRRLKEWWESIPVPPVEVTDKATECST >CDP20251 pep supercontig:AUK_PRJEB4211_v1:scaffold_1037:31784:32763:1 gene:GSCOC_T00005167001 transcript:CDP20251 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSCRRRTRSTRKSRRATICKKKNHELTDQETPSKVSNSPLNLDGIDFGMIDASNSPCATPKAEKFRIPEITTCPPAPKKRRVLKRCSSLRRNPIAFFAPPDLELFFFVSLRDFSA >CDP20252 pep supercontig:AUK_PRJEB4211_v1:scaffold_1039:22976:32336:1 gene:GSCOC_T00012239001 transcript:CDP20252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase PASTICCINO1 [Source:Projected from Arabidopsis thaliana (AT3G54010) UniProtKB/Swiss-Prot;Acc:Q7DMA9] MATDEDRLPDYKSQKKKPTSDEDKRKKKIVPGSLMKAVIRPGGGEVTPADGDQVIYHCTIRTLDGVVVQSTRREFGGNGAPIRHVLGKSKMILGLLEGIPTMLTDEVAMFKMKPEMHYGEEDCPVSVDDRFPKDSELHFEIELIDFSKVISEDLGVLKKVIEEGQGWETPREPYEVKAWISARSGEGKMVLSRAKQPVHFTLGKSEIPKGLEMGIGTMSRGEKAVIYVTGQHITETPLISAVEGVEEIYFEVELVHFVQVRGVLGDGRLIKRRIRDGRGEFPMDCPLHDSLLRVHYKGMLLNGAKTVFYDTKVDNHGQPLEFSSGEGLVPEGFEMCVRLMLPGELAVVTCPPEYAYDKFQRPANVPEGAHIQWEIELLEFEMPKDWTGFNFRQIMEDVEKIKGTGNRLFKEGKYQLAKAKYEKACGNSFSLDNFMFSQLIQHSLSKFKLALCVLFIWKSDTTFVGFMQLVLREFKHVNPQDDDEGKEFSDTRNLLHLNVAACFLKMGDCRKSIEECNKVLDANPIHVKALYRRGMAYMAAGDFEEARNDLNKMMSIDKSSEPTAKAALLKLKREEQEVHRRARNQYKGLFDKNPGEISEAGVGEREESATENNNDEHHHDLVNNEQDMQQVAARTRLSFMSGLLPKVKRLFAAVGLNRCTIL >CDP22104 pep supercontig:AUK_PRJEB4211_v1:scaffold_10406:51:1672:1 gene:GSCOC_T00012941001 transcript:CDP22104 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTLGTETAGSILCPSSANSVVGIKPTVGLTSRAGVVPYSPRQDTVGPICRTVSDAVYVLDAIVGFDPDDAVATKKVSKYIPHRGYLQFLKSDGLKGKRLGIPRYSLVGFSNSSVLLKAFETHFHVLRQRGAVLVDIVDTASFDTIIISMSNDEFKAVIVEFKLALNAYLKQLITSPVRSLADAIVFNKNHSKLEKLKEYGQGRFEAAEKTSGIGILERQLLLNLTRASKNGFEKLMKENKLDALVTPGANIIPAISAGGYPGINVPAGYNSDGSPYGISFGGLKGSEPKLIEIAYDFEQATKIRKPPPL >CDP20253 pep supercontig:AUK_PRJEB4211_v1:scaffold_1042:35553:37097:-1 gene:GSCOC_T00004879001 transcript:CDP20253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g13270, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13270) UniProtKB/Swiss-Prot;Acc:Q9LYU9] MVEKNVVTWTGLMVGFTQAERQRDALRLFDMMLRDGIELDEFVFSIILKACAGLADVGMGQQVHGLVVKLGLESEVSVGTPLVDFYVKCANLGCAVQTFEKISEPNDVTWSAMIAGYSQGGEFGKCFRVFRSLRSQAGALNEYIYTSIFQACAALADLNLGTQAHGDAIKRGLISYLHGESTIISMYAKCGQLDCAFRVFDSISDPDTVAWTSMIAACAYHACSHCGLVEDAKRYMESMSSEYGVDPTIDHYDCMIDILARAGQLSEALALIEAMPFEPDAMSWKSLLGGCSIYRNFELGKVAAEKLLQLDPHDTAAYILMFNLHASRGKWDDAAFVRRMMAERDLKKEVSCSWITIRGKVHRFIVGDRHHPQTEEIYQKLRELKFSCGSYEDSVFTTEEAASNNLVERKEQLLDHSERLAIAFGLISTPNNASILVFKNIRACRDCHDFAKHVSLVTGREIIVRDASRFHHFRCGECSCRDYW >CDP22105 pep supercontig:AUK_PRJEB4211_v1:scaffold_10429:3:2169:1 gene:GSCOC_T00000106001 transcript:CDP22105 gene_biotype:protein_coding transcript_biotype:protein_coding EGLIVEESLLNEYQSQINQILKVSFDHLSPASVKKCFAYCSIFPQDTELGEDELIQHWVAEGFVLPDQKNNRVMEETGGKYLRILLQNSLLEKVEDEGSTYYKMHDLGGVSTDMLLRFKHLHVLRLSGDDVKFLPSSIGKLLSLRLLDISSSGIRSLPESLCKLYNLQTLTMSNGGFPKRMSDLISLRHLNYYHDDAEFKMPAQMGLLTCLQTLEFFNVSQERGHGIEELGTLKYLKGSLHIRNLGLVKGKEAAKQAKLFEKPDLSRLVFEWESGDRKSDNRDEDVLEGLQPPPNLQRLEIHSFMGNKFPQWLINLSKLEELEIEDCKRCSELPSLGQLPSLKRLSLMCLDNIRFIGDEFYGQIWGIWLSGRMQTVGEAEADVFPLLSYFRIQSCPQLTALPDLDLFGSSLQRLTIDRCPRLISLGETPSLEEFTLVDCPKLLPHSFKGFAFATSLRELSINRIRVLPDWSGNLVSLETLELSNCDKLQSLPPEAAMRRLTKLNHVEVYWCPLLRQRYTPQRGIYLEE >CDP20254 pep supercontig:AUK_PRJEB4211_v1:scaffold_1044:3624:8578:1 gene:GSCOC_T00010421001 transcript:CDP20254 gene_biotype:protein_coding transcript_biotype:protein_coding MALALVGGSFLSAFLQVLFDRMASPEFLNLFRKRKADDELLKKLKNNLLAVGAVLDDAENKEISNQAVKEWLVELHEIVYQADDLLDEINTEVLRVQVESDNQFFKRIMPDIEKVVISLEGFIQQINPLGLQVVEPKIRSYRLPSTSLVDEDSVYGRDIDKEKIFQMLLSEDNRGDDIAVVPIVGQGGIGKTALVQLVYNDKRVKNYFPTKAWVCVSEEYDATRITKELLRELGISFSDSSESLNSLQVKLQQGLTDKKFLLVLDDVWNDDYDDWDKLKMLVKGGSEGSKIIVTTRDERIALMMGRKMSIHYLDLLSEEDSWVLFEKHAFGGKDNEIRPELEVIGKKIVNKCEGLPLAVKTIAGLLRSRSTVEEWEEILRNDLWNQTRNPNGILPALRLSYMHLPSHLKRCFAYCAVFHKDFWFSKQEIIQLWHANGLLERPRNNESIEDIGEVYLRELRLRSLLWQSIDNTFSMHDLINDLARFVSGKYFLRLEDHYPGYGTTASVRNFTYYTSKYDTFDKLKLLGEAKSLRTFYPVCRSNFAFGGEIISNKFLHDVLPRFKSLRVLSLYNRSILKLPDSFRHFKQLRILNLSHTPIEKLPDWICTLYNLQTLLLSDCEHLEELPKDLGKLINLCFLDISGVPLKKMPMKMGRLKKLQVLTAFVAGKDYGLTIEELGKLPMLGGKLLISGLEKVSGGREASMANIKGKKQLESLTLKWNDDGDGSQVARDVLDGLQPHSSIKHLKINGYCGTRFPNWLETPSFCHIESISLMNCEYCLSLPALGQLQSLKSLEIVGMSNISALTEDMYYGDNREIKPFPSLRKFKIENMQQLEKWDIPECEVFCTLEDLSIMDCPKLVGELPKQLSSLEILEISGCDRFVLSNGRLSILDEHIQQLSSLRRLTVSRMENLKELSPELNNLACLEWLKIRDCDSIKVVSLGLFPMLKDVHIEYCKSMEMLSVPPRGIGNQSSSLTSLQSLWIRDCDNLMSFPDEGLPAPNLKSMCIAYCKKLKSLPARMESLLPSLQGLTLIGCPEIERFPEGGLPTSLQGLGIIFCEKLLTSPREWGLMRLPCLRLLCVHIMDEAIESFPNEGWLLPCTLEDLTLEVGENIKTLNYSGFQHLTSLQNLRIIGCSLLQSLPEEGLPASLTKLEIWDCPLLKPRLEWEKGRDWSKTRCQCGGSRSCNLSYCRKRKEKIG >CDP22106 pep supercontig:AUK_PRJEB4211_v1:scaffold_10447:3:1801:-1 gene:GSCOC_T00013330001 transcript:CDP22106 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVSFVSDHLATLLREEGSLLGGLREEVQLIKDELGHMRAFLKVAERKEDDDPRYWLQEWIKQVREAAYDIEDVLDEFVLRFAGCRHHGFCGSLQRILKAIKSLRARHQVASEIQSIKSRIKNISEGRQRYQAELGINDRVTGASTMNNSWRYSRDDALLVEEAKLVGIDQPKQHLVSKLLEADDHQLKVISVVGMAGLGKTTLVKKVHEDPDVRKHFPVRAWVTVSQTCDFPKLLRDLIWQLHKELNKSVPQFIESISTIELKEFVKDFLQQAGRYAIVFDDVWDVEFWNEIKFALPEESQD >CDP20255 pep supercontig:AUK_PRJEB4211_v1:scaffold_1046:11933:12927:1 gene:GSCOC_T00006595001 transcript:CDP20255 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNKFRWLARMSLDPSLETPGSIQDPVAMAENKVAQAIGMHLYFPCGIIRGALSNLGIPCAVSADISNLPACSFVIRIKA >CDP22107 pep supercontig:AUK_PRJEB4211_v1:scaffold_10464:1058:1603:-1 gene:GSCOC_T00008238001 transcript:CDP22107 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNDLRVLEITVISGEDLRINRKQPVKKNAFVTIKTDSFKEQTTKMDKDGGGYPAWDEKFVMDMPMHARYFTAEVRCKTAAGSRIVGTAVIPASDFLGDYVPENYLHFLSYRLWDSHGERNGILNLSVRVKSSSSVRNAYGGGCSSHSAGCSRPWSGIAVGGQQVSNGEGVVTGIPVWS >CDP22108 pep supercontig:AUK_PRJEB4211_v1:scaffold_10474:3:704:1 gene:GSCOC_T00013459001 transcript:CDP22108 gene_biotype:protein_coding transcript_biotype:protein_coding GSIEHDEVFVPKPKSEIEWYYKQYDRFASHYDPYSPIYNARERLQIYISDVGVIVTICALYHSALAKGLAWLICIYVLPLLILNGIIVFITYLHHTHTSLPHYDSSEWDWLRGALATVDRDYGVLNKVFHNITDTHVAHHLFSTMPHYHAVEATEAMKPILGEYYQFDGTPVYKAMWREAKECLYVERGEEIKGVFWYKNKL >CDP22109 pep supercontig:AUK_PRJEB4211_v1:scaffold_10475:1431:2233:1 gene:GSCOC_T00012888001 transcript:CDP22109 gene_biotype:protein_coding transcript_biotype:protein_coding KSTTNPTLSNVFEKVIYSKLKKPNSNHISRDDYLDFVELCFKEFGDRVKHWITMNEPYIFSNGGYDGGTLAPGRCSAWLNNDCPAGNSATEPYIVGHHMLLCHAAAVKLYREKYQASQKGEIGITLVSHWIVPYSSSKLDILATQRALDFMYGW >CDP20256 pep supercontig:AUK_PRJEB4211_v1:scaffold_1048:2103:2720:1 gene:GSCOC_T00003034001 transcript:CDP20256 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFNLLLRNKSTLQNSEVQGVTESNSNTEGTSVDKETRIDNLLALNSTKRLSCCMQSRRVF >CDP22110 pep supercontig:AUK_PRJEB4211_v1:scaffold_10486:2:2428:1 gene:GSCOC_T00009368001 transcript:CDP22110 gene_biotype:protein_coding transcript_biotype:protein_coding HLLESTHSLLILPSLKSGILYCFYSLFDTDILSIFILAFSEVARAVARIIALALDLDRNFFDQSEMLGDPIATLRLLHYEGKISEPESGIYGAGAHSDFGLLTLLATDDVTGLQICKDKHVEPQIWEYVSPVKGAFIVNLGDLLERWSNCSFRSTLHRVLVGGQERYSIAFFVLPNFNCVVKCLPTCHSEDDPPKYVLHLDT >CDP22111 pep supercontig:AUK_PRJEB4211_v1:scaffold_10503:928:2228:1 gene:GSCOC_T00004630001 transcript:CDP22111 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSVSLKLLIDTKSCKVLFAEANKNTVDFLFHVLSLPVGTVIRLLGKQGMVGCVANLYESIESLNETYIQPNQSKDTLLKPKAAASIPLLSLNDGQTKAVFYRCGRGYSCNFASDDPKAICPQCRNAMTTSMTYVAPPAVKEAAAGDEGGFVKGVVTYMVMDDLVVKPMSTISSIALLNRFNVKEVGALEEKEVNLGMNEALMLLKASFESKTVLTNVFLKNTGKMKDSLAATFSELNLGL >CDP20258 pep supercontig:AUK_PRJEB4211_v1:scaffold_1052:20159:23421:-1 gene:GSCOC_T00009177001 transcript:CDP20258 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFVSQGGAGNFEGKVTGFVIMTCLVAASGGLLFGYDIGISGGVTSMDEFLQKFFPEVYKKEKNLSGNTSNYCKFDSAVLTLFTSSLYLAALVASFFASATTKALGRKASMVIGGLVFLIGAIINGAAMNVAMLIIGRLLLGVGVGYANQAVPVYLSEMAPAKIRGALNIGFQMAVTIGIFGANMVNYATSKMQHNGWRFSLGGAVVPAIIMTVGSLFLPDTPNSLIERGKKDEARQMLKKIRGTENVDEEYNDLVAASEASNQVQQPWKDILDRKHRPHLVIVSLIPFFQQLTGINVIMFYAPVLFKTLGFGDEASLVSAVVSGLVNVFATVVSIISVDRFGRRALFLEGGIQMILSQLGVGILIAKVFGVSGEGTFSKTQGDIALFLICVYVAAFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMFFTFIIGQLFLTMLCHLKFGLFFFFGGFVILMTLFVYFFLPETKNVPIEEMNRVWKAHWFWGKYIPDDAVGLGHQPKSIEF >CDP20257 pep supercontig:AUK_PRJEB4211_v1:scaffold_1052:7423:18885:1 gene:GSCOC_T00009176001 transcript:CDP20257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin X, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50320) UniProtKB/Swiss-Prot;Acc:Q8LD49] MEAASFCSSYRLPYTFPLPPIRTVGNLWKLRRFASYSSSSSISANGHLSASVRGSRSCSKTTSSSSLSSSMRIICGKVQEIKESEFSDVVLKSSTPVLVEFVATWCGPCRLIAPAVESLAQEYDEKLKVVKIDHDSNPRLIEEYKVYGLPTLILFTNGKEVPESRREGAITKVKLKEYVDGLLETVSVS >CDP22112 pep supercontig:AUK_PRJEB4211_v1:scaffold_10521:319:2249:1 gene:GSCOC_T00010743001 transcript:CDP22112 gene_biotype:protein_coding transcript_biotype:protein_coding FIDENGRRQHVWQTSWAISMQIVGGTIMIHGDGTGLMLPPKLAPVQVFKNVFYWFDLQMPKLAFNFLQIFKTLQVAGIKVKFDDSDQRTPGWKYNFWEMKVCPASVDFGPRDVSTGTVVISRRDIPRKEGKDFGISMASSIFVAYVQGLLDGIRSCLLERATTFMSFFFFFGILIKHVLQDIGSFRL >CDP22113 pep supercontig:AUK_PRJEB4211_v1:scaffold_10545:455:2473:1 gene:GSCOC_T00011316001 transcript:CDP22113 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISLVVERTGDLLIQKIVFLKGVRGQVERLQNDLVRMQCFLKDADQRQDEDARIRNWVSEIRAAAYDAEDIIEIFANKIESIKDKGFVTRLAYYPWRIVSLNKIGKEIESLQTRLDNIAVSREKFGIKNLGEGTRTHGEELQRLRRERFNCRAWVCVSSSYDHKKILRSIIKQLNPKDTKDDKLSRMLEKMEEEELEERLYQDLQDKCYLVVLDDVWKEAAWDCLVRNAFPDSSTSSKVLLTSRKRDVAVHADALSIPHELKTLGKEDSWQLFLRKALGHGDNAGCPPDLEEVGREIVRRCDGLPLAITVVGGLLLGKKELKSEWEKVLNSFNRNLSRSQSGVSAILELSYADLPPNLKFCFLYLGLFPEVSVISVPKLIHMWVAEGIMQKRDAENLEETAAYDDVERLCGRNMVQVVKTTVDARIKSCRVHDLLRELAIRKAEDENFFQIHDTRDGKISAKSRYLAVHSLPLDKNYFGTSIPPLRSLLFFNVHRENISLIFKSFRKLRMLDLENVEMGYNLPKEIGEVRLLRYLGLRRTSIGRLPHSFGCLRNLQTLDIRTTYVMKVSNIIWKLESLRHLYAYDMVCDVPLKIEGLSNLQTLLGIRFDDI >CDP20259 pep supercontig:AUK_PRJEB4211_v1:scaffold_1056:1698:3467:-1 gene:GSCOC_T00002350001 transcript:CDP20259 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPFNFITFFIFLALILTLWKRWKKPRKVNATQKLPPGPRKLPFIGNLHNLLGSLPHQALTNLAKKHGDLMHLQLGEVSAIVVSSPRSAKEILKTHDLAFADRPEVLVGKIICYDYSSIAFCPYGEYWRQMRKICTLELLSAKMVRSFGSIRQDEVLHLLSSIRASTGGGKPINLTEEVSSFTSSMVCRSAFGKMFGEKNTILIQLVKEVLSRTSGFDISDLFPSQKILHHLSWMKPTLLKVHHKIDVILDNIINEHIRNLARGKGGNGESGQEDLIDILLRIKESGGDLRFPITNKIVKAIMFDMFTAATETSATVVEWAMSEMIRNPDVMSKAQNEIRKAFMGKAKIEEMDIEGLIYLKSVIKETLRLHPPLPLLVPMECREQRVLDGYVIPIKTRVLVNAWAIHRDPKYWDYPESFKPERFDNNPVDFTGTHFHYLPFGGGRRICPGISFGLANVELPLAQLLYNFDWKLPPGKDGLDMTEAFGITVARKSNLHLVATMYDPSN >CDP20260 pep supercontig:AUK_PRJEB4211_v1:scaffold_1056:23799:24947:1 gene:GSCOC_T00002351001 transcript:CDP20260 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDEATLLVHIISLSTGTIVSLNGMLYNDEYKHMSNQTNKVCRRLRQYQKNLKVCQSNYDTKMKAGSINSPEIEAGMQELVQLVIKRPSERVPETVKQTFLIVAKTSYYAAYCSVETRNIHISKVFFEPIV >CDP22114 pep supercontig:AUK_PRJEB4211_v1:scaffold_10563:1:2364:1 gene:GSCOC_T00003311001 transcript:CDP22114 gene_biotype:protein_coding transcript_biotype:protein_coding KNEWSLTICFNFPFSCEQIFLHCKSKSGSQDLSLTQGVQGEKVLPGIVLLFAQLSLFIGRSAIPRITEEIASSFSSGRARGYEYGPAFIPAVICRTFWVAGEKCLDHVWIFGSSFPLLVLAQKLSLSMCKIVTFV >CDP20261 pep supercontig:AUK_PRJEB4211_v1:scaffold_1058:26240:27259:-1 gene:GSCOC_T00007765001 transcript:CDP20261 gene_biotype:protein_coding transcript_biotype:protein_coding MILELNILLSFVLNAFLCSVGAQYHGGIFFLDVTFCCDHPFKRNKCYFRMRKVCSIQNSHLPLQCLDILKDNWSPALTISNFLSTLMSIFTNPDSYKSFVPSIAHLYLTDRAKHDKCPENKQTKVRTK >CDP20262 pep supercontig:AUK_PRJEB4211_v1:scaffold_1058:36917:41524:1 gene:GSCOC_T00007766001 transcript:CDP20262 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIQVALQAVVSLAADHVNLVREFPTELERLNKSAEMIRGFLAGADEQMHSHDPRLLGVQKWLKQLEEEVFKADNVLDELNYENLRQKVKYQNQLTKKKVFFCFSFFNKIGFSWRLGSMIREINTNLQRIHQDAEGLGLAYKHQVEEAFPTIAAGAATSRQTDSTIVRRDVLGRDKDESEIVKKLLTETESVISVIPITGMGGLGKTTLAKAVYKNEQIEERLKGIVGSTLAGLNAKKGSWCLVTTRLQEVAIILSRHPQINFTRHELGRLRNDDCWSIMKKWANVGEEVPKELENMRRQVLRRCDGLPLAAKLIGGLLSKKRKEEWLSILDESLLNGDRGGIEQIIKVSFDHLSPAPVKKCFAYCSIFDQDTELEQDLLVELWMAEGFLQPDSQNERVMEKIGCEYLRTLLQTSLLEEVRDWRGTWYKMHDLVHDFAKSILNRNSSNQDRYLAVYSPERMLETINAKTSASLRTLFLKGGIADDMLSKFKYLHVLKLFGADAKELPTSIGKLIHLHLLDISDSMIRTLPESLCKLYSLQTLRIDMLEKGFPKKMSNLISMRHLHYHDYFTEPKIQMPSKIGRWTCLQTLEFFNIGRQEEGHGIQELGTLHDLKGSLKIRNLELVNGKDDAELAKLSKKPNLHQLSSLSIDGCNSLPTDMLERLCLFPSLDVLEISGNCPLLLVEKVLSNIANLSSLKLRGGGSPRIKSLKLVRRPESSLSIVGCNSLPAGMLERLCLFPTLQHVQLRDADNITTLRGMSCAACLKRLEVLDCDNLRELPEDLYQFQALEHLMIYYCPRIDSFGYPNPKNSFGQKGLLKSLERFSVWGCDALTRLPVEMFESCTSLRELTLFNCRSLVPFPLDLRRTPSLESFKLYKCPNLVAEMPSGFGSLTSLREVTIGPFSDYSAIEFDWAGLASSSSLRHVFLCGMPDTKSLPHELQDLTTITSLSLRGFGAIEALPDWLGNLASLEELILFNCQKLEYLPSVDAMERLKLRRLEIYNCPLLGRRCTPQSGSECHKISNIPE >CDP20263 pep supercontig:AUK_PRJEB4211_v1:scaffold_1059:13670:17295:1 gene:GSCOC_T00001864001 transcript:CDP20263 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLIICVDGTHLRGEYKGKLLVAVTQDANNKILSIAYAIEPLDYHRFCLRHVRSNLITHFNGLHLKNWSSECLATLYRSLCDAANPAKSAIAGPLVLLQIWIWEHIPTIRPDRIAPLEHYPGSYFIKYRWNNDLDVHRVVRHVMPAFRDQLTGLRSQEFIWQPYSEDVLASLSAYCTAGRDIWRSVTCLICWDVVEPYLPHRVMRQFVFHQSLPDMWLTDNHDSLHSLDRRGRANQDWNITHRQYIDIWTDRRVHVQDGIVIEDTKYPSDEYVQWYQERTVIYISNSS >CDP22115 pep supercontig:AUK_PRJEB4211_v1:scaffold_10597:3:2388:1 gene:GSCOC_T00005457001 transcript:CDP22115 gene_biotype:protein_coding transcript_biotype:protein_coding VLSSLFENHTLPEPKAQFLFLQNSTQTCYCLYICCLACSFTCSLFLEICLVFVFLVFVYLSTLLIIYFSFCKSKSGSQDLSLTQGVRGEKVLPGIVLLLAQLSLFIGRSAIPRITKEIASSFSSGGARGYEYGPAFIPVVICRTFRVAGEKCLDHYVRLRTQKISVLLRTRFTTPNWVKVS >CDP15750 pep supercontig:AUK_PRJEB4211_v1:scaffold_106:322356:329629:-1 gene:GSCOC_T00015796001 transcript:CDP15750 gene_biotype:protein_coding transcript_biotype:protein_coding MLANTNLNSCNFSYFPVHIHNHAPPKIPSPQPIKPEQQKFPIVIKLSTRFYEKHCRTRTSCNSNWRDETGINDSIKLVPLQKLQNSFCCYSSLSTEENPENPILENEEICLEDENDGNNGGGGGGERDWTTSLLLLGLYVGLVYYIVFLAPNQTPSTDVYFLKKLVNIIGDDGFQMNEVLVALWYILGLYPFVYSMLLLPTGRCENRSVPVWPFLILSGFAGAYGLILYFIFWRPPPPPIEATELRRWPLNFLESKITAAIALAAGLGLMAYAGLSDGAVWREFYRYFRASKFIHLTCIDFALLSTFAPFWVYNDMTARRWDNKGLWLLPLSLIPLVGPALYLILRPSLLAVPALLSSSTSEEK >CDP15747 pep supercontig:AUK_PRJEB4211_v1:scaffold_106:270578:273590:-1 gene:GSCOC_T00015792001 transcript:CDP15747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLTVGVRMWILLVVFVSMNHGLYASFTPTDNYLLACGSSQNVTFVGQTYVPDSVRSSVAVKGQGNSIVANSNSSGAAFPVYLSARIFPSRSSYKFDIKQEGRHWIRLYFYPIPGNNLTSATFTVVTENFVLLNNFSFKSYNGSYLYKEYTVNVTSDILMLTFIPSNNSVAFVNAIEVVSLPDILISDQAQGVSPTGPFNGLSGRALETIYRLNMGGPLITPQNDTLGRTWENDVKYLHVNSSAVNVSVSTTSIMYKTAVTPEVAPNFVYSTAESMGDANVPNDNFNITWVFKVDPTFMYLIRLHFCDIVSTSMNTLVFNIFINTDLASGSLDLSNLAGDLDVPYYRDFVANSSNGSGTLTVSVGPDRSADSYNAIMNGLEIMKISNEARSLNGESSVETLLVSPSRKSKTGIIVGAVVGGFAAMAIIGLCYCCLLARRSKTTHQAHPWLPLPLYGNSLTVTKMSTTSQKSGTASCISLASSNGRFFSFQEIMDATNKFDESLLLGVGGFGRVYKGTLEDGTRVAVKRGNSRSEQGIAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPPLSWKQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMTWQKKGMLDQIMDSNLAGKVNPASLKKFGETAEKCLAEHGVDRPSMGDVLWNLEYALQLEETSSALLESEDNSTNHITGIPLTPLEHFDNSTSMIEGVNSGTDDDADDAATSAVFSQLVNPRGR >CDP15748 pep supercontig:AUK_PRJEB4211_v1:scaffold_106:310696:311671:1 gene:GSCOC_T00015794001 transcript:CDP15748 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAGAFFVYPASHLSLRPYHHHHQQQLLRWLQSPPQPPHPCSADLHLLIRPWVPSILPGKRSGFLSISSSAVSCLISGVDGGGVSDAFVSTRKSRFSREFPVIANMLKRIEPLDVSVISKGVSDSTKDSMKQTISAMLGLLPSNQFSVTVYDSIGWEMLIQIGWSKLFRYTLWNAEYRISLMRNFDITMDISKDYQHLKENGVLELKGKQSEEAEGIARVDGCAEVLDRKQGDSLRNSFVFVVFTVDLNKAVSLYFLFPLYLMGEITITSWLFQCSWLI >CDP15749 pep supercontig:AUK_PRJEB4211_v1:scaffold_106:313940:322292:1 gene:GSCOC_T00015795001 transcript:CDP15749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MDGTDYRLIIKEYGTSGIVGAVILAIAVPLFLSVVLVGKKKAKQRGVHEQVSGEAGLAMRNARQARLVEVPWEGAMTMAALFEQSCRKYWGQRFLGRRKLIGREFVTASDGRKFEKLHLGDYQWETYGQVFDRACNFASGLVKLGHDVDTRAAIFSETRAEWFIAFQGCFRQNVTVVTIYASLGDDALIHSLNETQVSTLICDSKQLKKLAAVSSSLKTIRNIVYFEDGEASSDSNTSGNISNWTVFSFSEVEKLGKSNPVQPTLPIKKDIAVVMYTSGSTGLPKGVMITHGNIVATAAAVMTVIPRLGSDDVYLAYLPLAHVFELAAESVMLTAGAKIGYGSALTLTDTSNKIKKGTKGDASALQPTLMAAVPAILDRVREGVLKKVDEKGGLSKQLFNIAFKRRLAAIEGSWFGAWGLEARLWDIIIFTQIRSVLGGKIRFMLCGGAPLSGDTQRFINICMGAPIGQGYGLTETFAGAAFSEWDDTSVGRVGPPLPCCYIKLVSWEEGGYTTYDKPMPRGEVVVGGCSVTAGYFKNEAKTNEVYKVDEKGMRWFYTGDIGRFQPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALITSSYVDNIMVYVDPFHNYCVALVVPSHQALEKWAEDSGISHNNFSDLCNKTEAINEVQKSLSKVAKEAKLDKFEIPAKIKLLPDPWTPESGLVTAALKLKREQLKAEFKDELEKLYA >CDP15751 pep supercontig:AUK_PRJEB4211_v1:scaffold_106:1038235:1045489:1 gene:GSCOC_T00015798001 transcript:CDP15751 gene_biotype:protein_coding transcript_biotype:protein_coding MADVMHEGHNTTGDYDIEYRSKDDDAWYSARVILDNDKKSLVVKFEGFSEASDLKFRADEFENQAAVDEFVKRFRSVSEQLQDRDCYQLVKGKKVCAALSFGLDDLRYYDAVVDDGKEDLWTSFHLWNIYWEGIAAVQMVISNSPLPGLQGGY >CDP15746 pep supercontig:AUK_PRJEB4211_v1:scaffold_106:31565:32683:1 gene:GSCOC_T00015785001 transcript:CDP15746 gene_biotype:protein_coding transcript_biotype:protein_coding MEILRSNAMFLILLLFVASISGIQGDTITCYSRNSPCFLEWVNCPSECPSKSATSTKSKVCYLDCNKKKCQAECKSKKPNCGTPGAACLDPRFIGGDGIVFYFHGKKNEHFSLVSDTNLQINARFIGLRPAGRSRDYTWIQALGLLFDSHTFSVEATKATTWDADVDLLKLSYDGMELEIPEGYSSVWQSTDNAIGVERTSNKNSALITIPEVAEISVNVVPVTKEDDRIHNYQIPSDDCFAHLEVQFRFFGLSSKVEGVLGKTYQPDFVNPAKPGVAMPVMGGEDKYRTTSLLSTDCKGCMFSSAGLLNAEDSLVMNYGSLDCTGGSSSGNGIVCRK >CDP15752 pep supercontig:AUK_PRJEB4211_v1:scaffold_106:1046608:1051625:1 gene:GSCOC_T00015800001 transcript:CDP15752 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVCLHRSLKRSLTSLLGLQDDDAVESFCGNRAKDEERVSLGADVDEDKGGYDTAESGRLHYILIDNLENDLSASSIRRFIHENTSVSPQAYLFPSRLSVPSASGAIASTSKKSLDQIYEFLINPSHLIVSARGRPWVIGKMVLSGAFQATLGSLPDESQDEFKKERLIKELQVVPSGTEAYKTAKQLRDLYIDFVNHEKLLYKRLAFEESRILKSSSSVETRNGHKRANACKYLNLCNLFAVD >CDP22116 pep supercontig:AUK_PRJEB4211_v1:scaffold_10601:898:1389:-1 gene:GSCOC_T00007993001 transcript:CDP22116 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRRSNSNESSVAINRKEEINKGRRERATCSLCLFARPSTNRADEMGVGEGGSGGSRRLFALPTVVSNWESERNGGSGGRSGQQTGSRKERALQLKAGSGGGRSGLSLEDSGSGGYGLLLIWGKKNADSRIAEWEEGDVFVTLGPPSSTVLVLGEILLSSF >CDP22117 pep supercontig:AUK_PRJEB4211_v1:scaffold_10609:927:1599:1 gene:GSCOC_T00001943001 transcript:CDP22117 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGDFDKAIMVYGSMRKHNVKPDSVTYNVLISGCCKMSKFSNALEFLNEMLDLKVPLSQEILPYLNLSLSLSQGQLTGAESMFSMMKMAGFHPDVVTYTAMLHAYSVADDWEKAFAIFQEMELHGVQQFKEWFCK >CDP22118 pep supercontig:AUK_PRJEB4211_v1:scaffold_10611:924:2069:-1 gene:GSCOC_T00007476001 transcript:CDP22118 gene_biotype:protein_coding transcript_biotype:protein_coding MISISFPFTCPTMPYEVPRQPLRQRARLSDRFHCFQSSSTNKLWPRDQATLSVACKCSAQGLGSEDDDRRALETVLKLYKAIKNKNFNELSDIIGEECLCNCNFVSTFHPFHGKEQVLGFFSSLMKNLGNNIEIVVKPTFHDGMNVGVSWKLEWGKNRVPLGKGLSFYTCHIYQGKVVINNVDMFLEPILHIEPLRLVSMVRVQFYFPVQYEWANLDVPQKTITSLTSAMDDIYSRASFQGKAKAAMKIFFSLFLVAALLYYLRHRF >CDP22119 pep supercontig:AUK_PRJEB4211_v1:scaffold_10617:3:2343:1 gene:GSCOC_T00010436001 transcript:CDP22119 gene_biotype:protein_coding transcript_biotype:protein_coding SQQNPRTDTNELQFQYINLRIKLQNLSGIGAHLYQFLGFVDSCDGEKHETLRKFLHAESLNRSNNYITDEDSAHQYSPVYIGPQEGFKAANKIIALPGEPKDVKFDQYSEYVTVDPTAGRALFYYISESENPSSKPLVLWLNQGRGCSSLGAGAMSGKF >CDP20266 pep supercontig:AUK_PRJEB4211_v1:scaffold_1063:25432:34724:-1 gene:GSCOC_T00013632001 transcript:CDP20266 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSCSSSIANNRSQSSSTSLSVQLQPPKESGFGILWKRKEDEKAWLGSLAAVQQLIGSLTTAEGLRFGIVVARFNEIVTKPLLEGALDTFKKYSVKEEDIDVVWVPHSFEIGLVAEKLGKSRKYQAILCIGAVIRGDTSHYDAVANSAASGVLSAGLNSGVPCIFGVLTCDDMEQALNRAGGKSGNKGAEAALTAIEMASLFERHLKF >CDP20267 pep supercontig:AUK_PRJEB4211_v1:scaffold_1063:40170:41143:1 gene:GSCOC_T00013633001 transcript:CDP20267 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKSPFFPPPSHKKRIFSPKNTNKKSSIFGQATSAAASSSSSGEIHVIVGPMFAGKTSTLLRRIKTESSNGSFQADAREIALQLDKSLKDLCFSGRVVEAVQMLCHAGVQ >CDP20264 pep supercontig:AUK_PRJEB4211_v1:scaffold_1063:8945:9840:1 gene:GSCOC_T00013629001 transcript:CDP20264 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSFGLSFLIVVVILNCRIWILTWIKKETSKRQKRKKWECKGDLLENDMGDLPLSSCQKVDQCMKKHWRLTNFMIRSL >CDP20265 pep supercontig:AUK_PRJEB4211_v1:scaffold_1063:19770:22646:1 gene:GSCOC_T00013631001 transcript:CDP20265 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFVPKIIDQLSDVLVKQFGEKVNLVMGVEEEVANISSKLATIEKVLHDAERRRLKDRCVGIWLEKLEDITYEMDDVLDDWNFKIHRAKNERTRQNARLQPTLWIKVRSLIPSLCSCLKQVPVRSDIALKIKGINEKLELTLKEADQFKFITSGGIPDSQDFQRIITTSMIDESKVCGRESDKVALLDQVLSKSSSQGRKGVQVISVVGAGGSGKTTLAQLLFNNDKVRNHFELRNWICVSDPFDQKRIAKAILENAGKSSQESELDPLIQRIKETFSGKRFLLVLDDVWTEDDSKWEPFQNSLKDGAPGSVILVTTRSHRVAAVVGTTHTHQMALMSDSDCWLIIQRIAFANKSGDLCKKVERIGQKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLEEAAVELFPHLYLSYNELSPELKRCFSYCAVFPKDHVIYVEELIRLWIAQGYVRPRRRGESLELVGLEYFNNLAMRSFFQELKKAETFYGLTEYMKCKMHDIVHDFAQFLTKNECHALDGTGRNSSSERPRHLTILEEGTEEEMFSSRVVDFGRLRSFLTFPIIGRVVVPQNLFCHLKCVRTLTLSDCELAEIPAEIGRLIHLRHLDLSHNPFITLPEAICDLYYLETLNINNCGKLSCLPERIEGLVRLRHLFNDNTDDLRQIPQGLGKLTSLCTLFWFIARSNSDDLAILKDLNQLERLAIKIEGEVDFGSAKLGKKINMREMFLLFSDGTHFIETPSCIETMEPPPNLELLVLDSYPGAQLPSWLVTKSHANNLTKLSIASPHNISSLLALWKQSSLEELEFRAVEKLECLGKEFFGVTKALHENMAFPNLRKLHFGFLRNWTNWEDSSEDDEEVVVSIMPRLEELKLIQCINLETLPHRILSKISSLKILDIRSCNKLRDHDWIKISHIPRVDISDKYF >CDP20269 pep supercontig:AUK_PRJEB4211_v1:scaffold_1064:40294:40656:-1 gene:GSCOC_T00011321001 transcript:CDP20269 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVEISPALLCFAHAFYHHLKHLIMQMHFQNPFCTFQVRSLTIQPKSLLASPFWPNRWIRTRGITQNVQFRLYFSQEFEFGEQIELAGEMEHVLEAIKWGADYFSKADTRPNVNYMLHA >CDP20268 pep supercontig:AUK_PRJEB4211_v1:scaffold_1064:2:2282:-1 gene:GSCOC_T00011320001 transcript:CDP20268 gene_biotype:protein_coding transcript_biotype:protein_coding MKVITGSFKIISIFVLVVLLFPSFHPKCGASASAEEAAALLKWKASFLNQNNSFLTSWNLQSGNAKNSSIHPCTWAGISCIDGSVNRLNLSDWSIKGSLSDFPFSSLQNLEYLDLSFNQIFGNKIPFEICNLRNLSHLDFGSNQLSGPIPMAMGNLISLRFLYLCQNNLTGAIPKSLGNLTNLIELYLYENQLSDNQFSGSIPVTLGNFNRVVNLSLYQNHLSGLIPHAIGNLITLQFFYLYQNNLTGEIPKSLGNLTKLIGLDLFVNQLSGLIPVSIGNLSNLKLLGLQNNQFSGTIPQELGNLNKLIVLFLFSNQFSGPLPELLCQILDFIDLSNNKFYGKLSSNWEIGNLIQLHSLNLSSNYLSGEIPREVGKLASLLKLDLHDNQLAGNLSTNSLSGTLPENLGGMKHLFHMNLSNNILTQTIPFQVGELTQLSELDLSRNFFTGEIPSEFQCLQSLGTLDISQNNLSGSIPKALAELPGLLHINLSFNNLEGPIPSCRAFVNLTLEEVKGNKGLCGNITGLRACESSRDRRKQNSRAEDMEVNKGGLFAICAYEGKALYKEIVRCT >CDP22120 pep supercontig:AUK_PRJEB4211_v1:scaffold_10671:1599:2337:1 gene:GSCOC_T00000746001 transcript:CDP22120 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQFSCATFFALLICFLLLVPNEMPIAEAKSCQKPSKFWSGKCADKGCHNVCKSRDHADSGSCMWTGQGHQRHYACYCHYKC >CDP20272 pep supercontig:AUK_PRJEB4211_v1:scaffold_1068:8242:9021:1 gene:GSCOC_T00013749001 transcript:CDP20272 gene_biotype:protein_coding transcript_biotype:protein_coding MFTILSPTKDKIPAHSFYFLFLFFPFFSFPFSFSFFFSFFLSSSGQTSNSSLATMAAPTATSLLVIGIHQNNTPESIFWVESVSGLVYF >CDP20273 pep supercontig:AUK_PRJEB4211_v1:scaffold_1068:16280:19845:-1 gene:GSCOC_T00013750001 transcript:CDP20273 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVPIGKAWFFIIVSLFLCFLGSASASVEEADALFKWKASFQNPNNPLLSSWILQPNASNSSHIGKEIASPCTWYGVSCIGGSVNRLNLTNTSINGTLYRFPFSSLPNLEYVDLTLNYISGSIPPQIGNLSKLTYLDLQRNLFSNTIPREIGQLRNLQTLHLNGNGLNGSIPEEMGELRSLSDLALADNYLEGSIPASLGNLKNLTDLYFYNNLLSGAIPPEIGYLDNLVSIYMYRNHLSGPIPHSFGNLNMLKALHLYSNNLSGLIPPELGNLTSLDSLSLFNNKLNGSIPPSLGNLTNLTILHLYGNQLSGSIPKELGNLKFLEDLELSDNMLTGSIPATLGNLSNLQYFYVLKNQLSGNLTQLGVLNLSSNRLIGEIPKEFGKMTSMLNLFLQHNQLSGDIPQELGSLTQLLILDLSANSLNGAIPGTLGNCQKLFQLDLSSNFLSQTIPIQFGQLIQLSILNLSHNFLDGEMPSAFRNLQSVEILDLSYNNLSGLIPQDLDELPGSTHINISFNNLEGPIPLGKAFVNVTIEQVKGNKGLCGNITGLEPCESPPVEGSHKRHKGRRLVLIILLPLLGSLLLLCAFVGAFLLYERRKRAAKAEGMDVNGDDFYSISIFDGREMYKQILKATEDFNATFCIGEGGYGSVYKVKLPSADVVAVKRLHHSSEMTDRNGFLNEIKALTNIRHRNIVKLYGFCSNSKHSILVYEYLERGSLANILSKEAAAKKLDWQKRVNIIKGVAYALSYMHHDCSPPIVHRDISSNNILLDSEYEAHVSDFGTAKLLKKDSSNWSALAGTYGYIPPEFAYTMQVTEKCDVYSFGILALEIIKGKYPGDYISQLLCPTPGTGNLQLEDMLDQRLSHPTKEVEEALISIIKIARGCVAANPNSRPTMYIVSELLAVGAPSPQHLVENSATRTEDMIKIVDEDLEGQEQYILSGSS >CDP20274 pep supercontig:AUK_PRJEB4211_v1:scaffold_1068:25100:25837:1 gene:GSCOC_T00013751001 transcript:CDP20274 gene_biotype:protein_coding transcript_biotype:protein_coding MEENVAKGSRDRCKNRLNQELQKLRRQLERERERERERERVVLREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREYY >CDP22121 pep supercontig:AUK_PRJEB4211_v1:scaffold_10692:3:2357:-1 gene:GSCOC_T00000732001 transcript:CDP22121 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSSTLANIFLLLFVAMIFSVSHVSASKQFKNETDRLALLEFKKQIYNDPLGVLNSWNHSQHHCQWEGVTCGTRHQRVIALTLRHKQLLRVLNLSSNAIGGKIPANLSYCSELVTISLFENKLEGKIPIDQLSNLKKLEYFNLRTNNLTGEIPSSIGNLSSLTGLAFSDNHVEGKLPVEMGLLKRLVQLFLGENKLSGKIPTSIFNSSAITVISVAGNSFHVMANLSNQLTKLFLGGNQLSGTIPEGFGNFVNLYLLSLEENYLSGVIPRDFGKLQNLQAFENSFTGSLPSEVGKLIHLVDFNVSHNQFSGDISMSLADCSDLENLFMQSNFFRGTVPPNMASCKSIQRLDLSSNNLTGPIPKEFEKLQFLRYLNLSYNDIEGEIPNTGVFSNASQISLIGNNKLCGGIPELELPPCPVIKGKNRGKLKVIILLSIVLPATLLVLGALLLYFLVYRKRERRLVAGFCSMPTRVDMLLRISYHELLRASSGFSSENLIAVKVLDLQKNGASKSFKAECKALRNIRHRNLISIVSYCSSIDSK >CDP22122 pep supercontig:AUK_PRJEB4211_v1:scaffold_10698:2:1032:-1 gene:GSCOC_T00010134001 transcript:CDP22122 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKNASGLPQQCTWFGITCINGSTNRLNLTNASVKGTLYNFPFSSLPNLEYLDLSCNELFGTMPPQLGNLSKLIYLNLENQLNGSIPASLGNLKNLSYLSLYNNSLSGVIPPEIGNISKLFYLRMSINNLSGPIPPEIGKLASLQSLGLSKTNLTGSIPKALGNLTNLTILYLFGNKLSGSIPRELGNLKSIMTIELQKNQLTGSIPTSFGNLSKLKTLFLNHNRLTGSIPQVLGKLTNLVRARFSGNQLTGNLSEMFGIYPHLHFI >CDP20275 pep supercontig:AUK_PRJEB4211_v1:scaffold_1070:34945:36885:1 gene:GSCOC_T00002203001 transcript:CDP20275 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNLTHLKELSLWSVKISSKFRVNFSSSLTYVDLLDTKIRGNLPSNVFYLLNMQILSLGGNENLSVSLLKLNCSISDSLRQLNLFNTNFSAALPDLIGYIRSLNGLDLNSCQISSEVLEILRNSKILVFLDLSNSMIHGKIPSWFKSKTRHILTKLNLSHKFLTGAMDQLPGQIPPSICDPGYLRILDLSNNNFSGPNPQCLGNFSYLEIVDLGNNMLFGSIPTTFLEGNSLQFLMLNDNQLQGPIIGSLHSLQLLTLSHNNFSDGISRALGHLNMLESLDLSWNQLERTIPRELLNLDFLEFLNLSKKSCSWTHSTRKAFCYIFRWFIQRKFGLV >CDP20279 pep supercontig:AUK_PRJEB4211_v1:scaffold_1071:30346:32025:-1 gene:GSCOC_T00012132001 transcript:CDP20279 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRFSSLTRRYTHQSLPVFTTSSPLSLTLFSTLDSPFKCPNDPENHSQQKHPHIDEAHILNQLSDILPIRHSPVIRKPNSSSDKGIETRAVDGFLPPDDKFRGIFLQKILGKNAIETALTNVGIEITPDILDKVVNRGNLGGEAMVMFFNWAVEQPRMTRDVDSFHVLIKALGRRKCFEFMVDMLFDMRKRGTNPNCDTLFIVMDSFIRARRVSKAVKMLDDLEDFGLKCDTETFNVLLKCLIRRSHVGTASSLVNKMRGKIRFNSVTYNSVISGWSRFGIVSEVERTLEAMVEDGLNPDSLTYSYILEGLGRAGQIDGAVKIFRELEEGGCVLNAEVYNAMISNFVVTDNLDEGFKYYEMMLRGDYEPNLDTYVRLISACLKARRVADAIELFDEMLGRGIIPSMGTVTAFIEPLCGYGPPHAALMIYKKARKVGCRISLSCYKLLLMRLSKFGKCNTLMSIWNDMQESGYSSDMQAYECIINAFCNIGQLENAVAVIEESLHRGFCPSRLICSKLNNKLLGLNKTEVAYKLFLKIKVARGNEKARICWRAKGWHF >CDP20277 pep supercontig:AUK_PRJEB4211_v1:scaffold_1071:18858:21856:1 gene:GSCOC_T00012129001 transcript:CDP20277 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCYQHYGQWKEFEIFDRIVYKNKNQHQRCSYCQCLLKVRRDLRLLQSANLGEILNSCFVAIHRKRPKQKVQLWERLKRRRSDVGKYSFLERLLGVARLLSLIMTLTLQILNLLQKVLMARSRHWFFG >CDP20278 pep supercontig:AUK_PRJEB4211_v1:scaffold_1071:22643:27458:-1 gene:GSCOC_T00012130001 transcript:CDP20278 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEDIRASASRLGIDFSEVDLDSIRLPPGEDFGIISDDEDLKEEEELEFEAGFGNIIVVDNLPVVPKEKFEKLEGVIRKIYSQIGVIKDDGLWMPVDHTGKTLGYCFIEYNTPQEAELAKEKTNGYKLDRSHIFAVNMFDDIEKFMKVPDEWAPPETIPYTPGENLQHWLTDEKARDQFVIRAGSDTEVLWNDARQLKPDPVYKRPFWTESFVQWSPLGTYLATLHRQGAVVWGGASAFNRLTRYAHPQVRLIDFSPGERFLVTYSSHEPSNPRDTHRVVLNIFDVRSGKVMRDFKGSVDEFAIGGTGGVTGVSWPVFRWGGGKDDKYFARIGKNVISVYETETFTLIDKKSIKVENVMDFSWSPTDPILALFVPELGGGNQPARVSLVQIPSKEELRQKNLFSVSDCKMYWQSNGDYLAVKVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAVIHGDNPRPDISFYSMRTAHNTGRVSKLTTIKGKQANALYWSPAGRFIVLAGLKNFNGQLEFYNVDELETMATAEHFMATDIEWDPTGRYVATSVTSVHEMENGFNIWSFNGKLLYRILKDHFFQFSWRPRPPSLLSPEKEEEISKNLKKYSKKYEAEDQDVSLQLSEQEREKRKIVKEEWERWISEWKQLQEEEKLERQKLRDGEASDEEEEYEAKDVEVEELLDVQEEVISFD >CDP20276 pep supercontig:AUK_PRJEB4211_v1:scaffold_1071:2272:17277:1 gene:GSCOC_T00012128001 transcript:CDP20276 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKKATTASKKSATNQNNHLHQQKQSQPSKFGIQHFFERHSQNQSQNPKKPINPSIANSNSSTIAAVPKFTDGDPPLNNPSQITPTDVIEIEENPDEVREVSPEVYKGKPPKRFKFSPGMLIQQSQDDGGDEVTWKISPINERLHSMSKNFPEVVKVLKDSTRFNCLNFQPSSMTKTSPSAAGKLEKWLSSPPVKAAENSLTCSDRVSLRKHTRRHIVCKVENLAIRNSKNDSEEVCSQSPFKTPPSLSYCHDKPHDGVIIHGEPDQHNSRPHKKALIELLDEVEDVISVEESVCDKTEAWFDIGRAINEPDSIVEQQLINTKELVEKEPSNNYFLVLEVSEKHGNIDSSGSRNSFKIMRVLNEQNGEEKAVHLWDEWFYSVVSPGDTVHIIGEFDYEGKCDLNHEKNFLIVHPDILVSGTRVAASFSCSRRTVLDERLKSNEYATAALVGTLLHQIFQAGLISESLSREFLEQYTTIVLQKNLDTLYACGVNEKDTRMTLVEAIPKILNWISMFRDSQGSRNQAVDFGHHGGLQNIKVSEVVDIEEMAWAPRYGLKGMIDASMRTAMEHNAQVMLYTLLMSERYQKDINQGLLYYLHIDQTRGISVQRSDLVGLIIRRNDLAHDLLKALTTQELPAMQQSINMCRGCRHLDVCTIYHKAYGGTTESSGLGDMFDSLVQHLTTRDSLFLQKWNRLIDLEAKELEVGKKEIWISHILKNDLLSGRLSSLVLDTSLKMPQKGFSKGNQFVYRFVHQRFPLVGTEQQSSPSGLNSFDSTLRSGDYVILSTDPGHLPVTNGVVMDVGSSHVSVSFSKRLRLPGSSSSVATDLSQQGWRIDKDEAMASFAIMRFNLVQLFLPNEQSDKLRKVIVNLEAPAFDSGCLLSQDPAISYIWSEKNLNDDQRRAILKILTTKDYALILGMPGTGKTSTMVHAVKALLLRGASILLTSYTNSAVDNLLIKLKAQGVDFIRIGRCEAVHEEVRGNCISAMDINSIEQIKLRLDQTRVVAVTCLGIASPLLTKKRFDFCIMDEAGQTTLPVSLGPLMFASKFVLVGDHYQLPPLVQSAEARENGMAISLFCRLSEAHPQAISALQSQYRMCAAIMELSNALIYGNRLQCGSAEIANAQLIYPCPSSTPEWLNEALNSERPVIFINTDFLEAHESNDSKAVNNPVEACIVSEVTNGLLERGIEGESIGVITPYNSQANLIRQAVSTSVEIHTIDKYQGRDKDCIVVSFVRSSENPRTCCSSLLGDWHRINVAITRAKKKLIMVGSCRTLSRVPLLRLLIEKVEEQGGIMSLSKRDIKLKPELKRCSSQLR >CDP20280 pep supercontig:AUK_PRJEB4211_v1:scaffold_1071:41119:42113:-1 gene:GSCOC_T00012134001 transcript:CDP20280 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYLVSYHEGFKKWVEVGNSGMFRPEMLQPMGLPEDVQVIAWGLSLERPTMILYGIDNIRDLFGHKVDLGLIKRNPVCRLGLQYMDSA >CDP20283 pep supercontig:AUK_PRJEB4211_v1:scaffold_1073:35126:37898:1 gene:GSCOC_T00005619001 transcript:CDP20283 gene_biotype:protein_coding transcript_biotype:protein_coding MPMYQPSSRGRDGFEVSGGGQVLDLETAVKDGILGGGGGVVHSCATGEKFDLKKMIEELDSIEIPSVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHFTCPTTMQELWDDSITPNSTLYQLIYSWFTQKYLAMKKRSEDVQGRALELLETLKKVKGQARVQALKELRQVVSAHDSAKKTVVDNGGVALLSSLLGPFTTHAVGSEAIGILVNLDFSSDAKTNLRQPAKVSLMVDILNEGSIDTKINCTKLIEILIDGEDFESEIVSSLSLLVGVLRLVKDKRHPNGVLAGLRLLKMICSHESVRNSVVSIGAVPQLVELLPTLNPECLELALYVLEALCTLPEGGLALKNCPSAIPAIVKLLMKVSESCTHFALSILWAVCKLAPEECAALAVDAGLAAKLLLVIQSGCNPVLKQRSAELLKLCSLNYTATLFISKCKLTRTIQ >CDP20281 pep supercontig:AUK_PRJEB4211_v1:scaffold_1073:1160:4681:1 gene:GSCOC_T00005616001 transcript:CDP20281 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNLEMKKLVAISCLSWLFLVSCSAVAGQDVPFKAANLGGWLVAEGWMTPDLFDGIPNKDLLDGTQVQLKSTMLNKYLTAENGGGSNLVANRDSASGWETFWLWRINENTFNLRVFNKQFVGLDNQGQGKNINAISTTPGNAETFQIVRKQDDPSRIRLRASNGLFVQAPSGSSVVADYGDTSDWGDQNPSVFQLNIVNTLRGEYQLTNGYGRDKAPQVLKDHWNNYIVEDDFKFMSDNGLNAVRIPVGWWIQYDQTPPAPFVGGSLQALDNAFSWAQKYNMKVIVDLHAVLGSQNGNEHSGTRDGFAEWGDSYVQRTVDVIDFLAKRYANRPSLGAIELMNEPNAAIVQFSALSDYYKKGYDAVRKYTSTAYVILSARLGDASDKEFLSLAGGLDRSVIDVHYYNLFSDQFNNMDEQQNIDYIRNDRAAQLQEVTQSNGRPLSFVGEWTAAMAKDNQDMEAYRRYAQAQLDVYGKATFGWAYWSYKCEPCGMWSLQRMILRGLIKL >CDP20282 pep supercontig:AUK_PRJEB4211_v1:scaffold_1073:17649:19013:1 gene:GSCOC_T00005618001 transcript:CDP20282 gene_biotype:protein_coding transcript_biotype:protein_coding MNASTSEYSGGCESGWTMYFDQLSTSADQCNRSMPLGFDCRSKGVYVNEDDEDENSSMLSDASSGPPHFHQDEGSSEETRYNSTISASEVNKGKHKKKAKEHRKTQQNFHLDDTASSPAQSFSKASQLSAWRARLIFSLNIL >CDP22123 pep supercontig:AUK_PRJEB4211_v1:scaffold_10737:50:2433:-1 gene:GSCOC_T00013805001 transcript:CDP22123 gene_biotype:protein_coding transcript_biotype:protein_coding CISHFYLNDQAGLNTKIFNCLLLVICLILIKMISRSVLISCFMLICMISFDTRLIYADAWCANSAYNPNSTVGRMYTDNLNFLLSILSSNASLASRNGFYNFTAGHDPSNMVYGLFNCRGDVNPDTCGRCVANARGDILKTCWNRTTAFVWYDECLLRYSNESMFSRADQGIILYAWNTQNATDPDKFNQVLGDMLKEIASQAANDRSGKKFAVKEEDYAPFQRRLYALGQCTPDLSRNDCENCLSNAISQIPTLCNNRQGCRVTFFSCNIRYELYKFYNSTSPPPEPAPNLGPPPSPPSNSTGSEEGGGISTQTIVAIVVPISLAIVLLVVGFCIARRPRKPYFAIMETSGASEISTAESLQYNLSDIQAATNNFAVGNRIGEGGFGPVYKGTLHNGQEIAVKRLSRSSAQGTEEFKNEIALVARLQHRNLVRLLGFCLEGEERILIYEFVTNKSLDYFLFDPEKQPLLDWSRRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNVLLDRNMNPKIADFGMARLFGVDQSEGNTSKIAGT >CDP22124 pep supercontig:AUK_PRJEB4211_v1:scaffold_10738:1111:2359:-1 gene:GSCOC_T00005431001 transcript:CDP22124 gene_biotype:protein_coding transcript_biotype:protein_coding SFTKFLTGHIPLPDVGQTVASTRFLIFSDNHFTSSIPEDLCKLENLKYLDLSNNFLSRRVRLCLGNLPGLSVINLANNSLCELISGNLAIPPGFKTSREENLLRVKSISLSTNNLVGKIPDGIMDLVDLHTLNLSHNHLTGRIPEKIGNLKQLESLEVLMNELFGAIPESLSALNWLSILNLSHNKLSGAIPSGNQIQTLTDPSIHEGNIGLYGEPLPNDCLEHKLHTENGHIHDDIGHGESNWSWFYAGIGPGYAVGLLGVLGILLFKESWRYAYFRFLENAYDKICVILALKANQLRTNFD >CDP20286 pep supercontig:AUK_PRJEB4211_v1:scaffold_1074:7628:12258:-1 gene:GSCOC_T00008777001 transcript:CDP20286 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFHLGFFALTTFIVLVCGASKNLPILSFDEGYSQLFGDDNLMVVKDGKSVHLTLDERTGSGFVSQDIYSQGFFSASIKLPADYTAGVVVAFYMSNGDMFQKNHDEVDFEFLGNIRGKDWRMQTNIYGNGSTNTGREERYGLWFDPSEDFHQYSILWTENQIIFYVDNVTIREFKRTATMGGDFPSKPMSLYATIWDGSDWATNGGKFRINYKYAPYIAKFSDLVLHGCAVDPTEQATKCDIAPKHDSIPTGITPEQRIRMQNFRKKHMQYSYCYDCARYTVPPAECVLDAKEAEGLRAFDPVTFQGVHRHRGKRHHRSRSSSSSQGEASST >CDP20285 pep supercontig:AUK_PRJEB4211_v1:scaffold_1074:7156:7555:-1 gene:GSCOC_T00008776001 transcript:CDP20285 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPYCLTEGDKIFILAIDHQGVCIYFIP >CDP20284 pep supercontig:AUK_PRJEB4211_v1:scaffold_1074:221:6142:1 gene:GSCOC_T00008775001 transcript:CDP20284 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAANPSSIRQSVFLSPSSFLSFQTSPFKGSQSFIQFKTPVDNRTSLSIRTVWCSQKSSVGHVVNNDAPKKPTGNPIIVIDNYDSFTYNLCQYLGELGCKMEVYRNDELTVEELKKKNPRGILISPGPGEPQDSGISLQTVLELGPNVPLFGVCMGLQCIGEAFGGKIVRSPFGVMHGKSSLVYHNEGEEEGLLAGLSNPFTAGRYHSLVIEKESFPSDKLEITAWTEDGLIMAARHKIYKHLQGVQFHPESIITDEGKTIVRNFIKMIERKEAESEE >CDP20288 pep supercontig:AUK_PRJEB4211_v1:scaffold_1076:21407:25792:-1 gene:GSCOC_T00002153001 transcript:CDP20288 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIPDLHIGRFGKFSRGVPLSTLTEQVVRQVGEISNTLRMVTKEAITQIDPLIITQASLQSAVPVESSSTDVVAVISKSSEFPDDALFLEISRILMPGGSVLIFGKKSSWKIGSYFSLKKQTKSLPAVQIDDDTDLIDEDTLLSDEDLKKPQLPVGDCEVGKTRNACKNCTCGRAEAEEKVKLELTMDQLNNPQSACGNVLKGLHLKPGGLLNLTSCGLGDAFRCSTCPYKGLPPFKMGEKVTLSQNFLAADI >CDP20287 pep supercontig:AUK_PRJEB4211_v1:scaffold_1076:16729:18373:1 gene:GSCOC_T00002152001 transcript:CDP20287 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLEKDDEGQLSEDIEYPISGEQDQNVVKLSSRNAAQELIARFLLKEDEISSSNDSGKTNILEEISTNSHKKHPLVLSEKVQKLDEIKSTTSDDQEEAKALLLVCDVCIEPICSSDDLQYYACAECGYFVHLTCSKLPPELHIPKHPQHPFSLTCKPSAVGRFICRACRWWTNANYYQCKPCELSICIKCVSASMMTSSVKHNGHKKHHLTQFQSSDPIICTACGLQRSSFGFACEDCHFYVCYVCALLPPTTTQRWDKHPLLLIYPPYFEHPEEFYCVLCETEINPNCWMYHCHECDYSLHPLCVPQIGRFRHTKYGRSLNVNNHSHPLTHVPEAKYKSFCGSCNNKRLDWKPAYECES >CDP22125 pep supercontig:AUK_PRJEB4211_v1:scaffold_10763:1828:2426:-1 gene:GSCOC_T00012442001 transcript:CDP22125 gene_biotype:protein_coding transcript_biotype:protein_coding RTNYADCHDCDALIYKFSRRMLNSLLGG >CDP22126 pep supercontig:AUK_PRJEB4211_v1:scaffold_10786:1639:2368:-1 gene:GSCOC_T00010676001 transcript:CDP22126 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRLEARIKGKGSALGVGRGRAVAMRARAQAAGRGAPPGRGVVPPVRR >CDP22127 pep supercontig:AUK_PRJEB4211_v1:scaffold_10799:87:1853:1 gene:GSCOC_T00004243001 transcript:CDP22127 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLISLRHLNYYHGDAEFKMPMQMGRLTCLQTLKFFNVSQERGRGIEELGTLKYLKGSLRIRNLGLVKDKEAAKQAKLFEKPDLSRLVFQWESGDRESDNREEDVLEGLQPHPNLEKMGIRSFMGNKFPQWLINLSKLVELWIQDCERCSELPSLGQLPSLKILYLIRLDNIRFIGDEFYGIIANEKGRSRASGSSTRRRKFFPALEELWVIVMGNLVEWKGADQVRSTVGEAEADVFPLLRYFRIESCPQLTALPCSCKILRVRSCRNLTSIKTGYGTASVEELSIRFCDNLRELPEDVFGSSLQRLAISFCPRLISLGVNGQKCPLPCLEELSIDHCEGLTSISDKMFQSCRSLRSLEVMCCPNLVSFSLNLQETPSLEKFVLDDCPKLIPHSFKGFAFATSLRELSINSPFSSDDSSVDDFDWSGLRSASTLRELQLRGLPHTDSLPHQLQYLTTLPSLSLANFGGIEVLPDWIGNLVSLETLWLWDCEKLQSLPSEAAMRRLTKLTRVRVYGCPLLRQRYTPPWGIYLEEEISSDPVRFSYLKFTHIYMCINFLYLHLIAIYLLFSLLYSFSPSLFCKVILCN >CDP20289 pep supercontig:AUK_PRJEB4211_v1:scaffold_1080:5935:6198:-1 gene:GSCOC_T00003961001 transcript:CDP20289 gene_biotype:protein_coding transcript_biotype:protein_coding MICWPFFADQQTNCWFCCTKWGIGMEIDNNVKRDEVEGLVSELMAGERGKEMKKKAMDWKKLAETAVTDSNLNLENLIHQVLLNPSI >CDP20293 pep supercontig:AUK_PRJEB4211_v1:scaffold_1080:39959:40084:1 gene:GSCOC_T00003965001 transcript:CDP20293 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYKWDKTTGASYNAVGTNGRKYLLPALVDPNTLECSTIV >CDP20290 pep supercontig:AUK_PRJEB4211_v1:scaffold_1080:9722:9856:-1 gene:GSCOC_T00003962001 transcript:CDP20290 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEKGKKMKRKALKWKRLVEAATQKVYFNLENVINQVLLNPRH >CDP20292 pep supercontig:AUK_PRJEB4211_v1:scaffold_1080:38158:39809:-1 gene:GSCOC_T00003964001 transcript:CDP20292 gene_biotype:protein_coding transcript_biotype:protein_coding MILMMLGIVHLMPCRCQLFDKWISRYCSRLDSRIRGRICLGTRLANSKRNFLWILRPDLVSGSSAILPSEFFEETKERSMFAGWCPQEKKVLSHPSVGGFLTHSGWNSTIESISYGVPLFCWPFFADQQTNCWFCCTKWGIGMELDNNVKRDEIESLVSELMAGEKGKEMKKKAMDWKKLAEMAVTDSNSNLENLIHQVLLNPSI >CDP20291 pep supercontig:AUK_PRJEB4211_v1:scaffold_1080:9941:13372:-1 gene:GSCOC_T00003963001 transcript:CDP20291 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIALLEKKPHAACITAPAQGHIKPMLKLAKLLHQNGFHITFVNTEFNRRRLLKSRVLLWTASASSYLASKFFEVIYFLLPCISGIKKKERNSLNNASYLTNGYLDIVLDWIPRLEGTSLKDLLSFLRATNPDEFMLKYIMQETGRAREACAIIINTFQQLEQHLLHALSSYLPPIYPSRPLNILDNQVKDKNLKEIGSNLWKEEPECLIRKIQTRCRICMGLANSKQNFLWISRPDLVSGDLAILPSYFLLIIKNRCSLCGRSWCSREKVLKHPFVGGFLTHIGWNSTIENISYGLPMICWPFFADQQTYC >CDP22128 pep supercontig:AUK_PRJEB4211_v1:scaffold_10818:1258:1827:-1 gene:GSCOC_T00013691001 transcript:CDP22128 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQVPHDNALNILQCNKLSLYVLSNFEFAGERPKEIGNLQSLESLFMANNMLLGSMSTEIYNMSKLREIGFGNNSLSGALPKCICDQLLELESLYLHRNNLDGQLPEN >CDP20294 pep supercontig:AUK_PRJEB4211_v1:scaffold_1082:19314:19662:-1 gene:GSCOC_T00002454001 transcript:CDP20294 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLWSNLCQLAKLSTNDMKAVKNMKLIEGSENRKTSGGFSLKFDSMEHMKHEARKDRTGEEETWQLFASYLLIFREEEAW >CDP20295 pep supercontig:AUK_PRJEB4211_v1:scaffold_1082:22755:31208:1 gene:GSCOC_T00002455001 transcript:CDP20295 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLFQSQENISGKISIEPVSGKKVEHNGIKVELLGQIEMYFDRNNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYETYNGVNVRLRYILKVTISRGYAGSIVEYQDFVVRNYGPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMFRLGETS >CDP22129 pep supercontig:AUK_PRJEB4211_v1:scaffold_10822:1441:2364:1 gene:GSCOC_T00004720001 transcript:CDP22129 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHERLLRTSDDFVWPIDTTFQGRFVIHVEFLDLKICPLNGGEANPIWPSDGVMQSLATQSTLRCLSRMLFEGIHADVIINTADGTVRSHKAILSASSPVFHRMFLHNLRERESSTIDIEDMSTESCTALLSYLYGTMKQEDFWKHRLALLGAADKYDIADLKDACEESLLEDINAGNVLERLQEAWLYQLNKLKKGCLMYLFDFGKIYDIKDEI >CDP22130 pep supercontig:AUK_PRJEB4211_v1:scaffold_10825:1769:2254:1 gene:GSCOC_T00012632001 transcript:CDP22130 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSLTFRVTRQKPELVRPAKSTPRECKVLSDIDDQEGLRFQIPVIQFYRSDDGRRDPVKVIREAIAKALVFYYPFAGRLRECARRKLVVDCTGEGVMFIEADAEVTLEQYGDELQPPFPCLEELLYDVPESAGVLHCPLLLIQVQPKPTLFFFSLLFFF >CDP20296 pep supercontig:AUK_PRJEB4211_v1:scaffold_1083:11429:11902:-1 gene:GSCOC_T00001317001 transcript:CDP20296 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLWVVRRNLTAETDNAYPKGFKERIQGRGRLSSWAPQQPVLSHPSVACFLGHCGWNSTVEGVSNGVPFLCWPYFADQFTNRSYICDDWKVGLGLEKDGNGIIAQGEVKNKIEQLVTVKGYKERALDLKAKVMNSLREDGCSGKNFNNFVKWIKDD >CDP20297 pep supercontig:AUK_PRJEB4211_v1:scaffold_1085:36554:38609:-1 gene:GSCOC_T00003250001 transcript:CDP20297 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNNLSITIEAGAVVALDPCLFAGTIHENIAYGAEGATEAEVAEAATLVNAHEFVRLFNADGYQTSCGERGVQLSISSGQKQRIAIARAMLKRPRFSCYMRQQVHWMLNQKIFFSWICSYSFVMFIFWL >CDP20300 pep supercontig:AUK_PRJEB4211_v1:scaffold_1086:37746:38214:1 gene:GSCOC_T00009145001 transcript:CDP20300 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFTYLRMNPDLFHPDNWRRFVAFVKKMKEGKDVHRCWEQVEREAEHFVHVTQPFVQEAAIALMH >CDP20298 pep supercontig:AUK_PRJEB4211_v1:scaffold_1086:3382:13173:-1 gene:GSCOC_T00009142001 transcript:CDP20298 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSALWPENSNSYPNTAPSTSSSMNLQQPLLQAAACATPTANPKSSCYNVFRLLARREVAPRMKHSSKKFWEEASARHVDAFGTKSDAEIDARRGLISWVEAESLQHLTADYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCQTGKCLKVLSGHRRTPWVVRFHPLYPDLLASGSLDHEVRLWDAKTAECIGSRDFYRPIASIAFHARGEFLAVASGHKLYIWHYNRMAETSPPTIVLKTRRSLRAVHFHPYAAPFLLTAEVNDLDSTDSSMTLATSPGYLRYPPPTVYLADAHSNSRSNLPNELPLLSLPFLIWPLVGRSDSRLPLQQTDTDVGLDSAQQRVDPSNSVRLLTYSTPTGQYEVLLSPMEPNSSTEEQQPNSLMGETDNAVSQPVVDAMETDIQPEERNNHFFPFSDPAYWELPFLQGWLIGQSQAGLRAMRSQNGGGNEHISSFNNIENPAPLSSSMSNDAAHSRVTGRSGSRHRSSRPRVPGAGSGDGATYNSIVHDDGNTNPIISQVQPEVATSMAAAATAELPCTVKLRIWSHDVKDPCAPLDAERCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPNMEADPGFPGQVHHDATGVATSPTRHPISAHKVMYELRVYSLEEATFGMVLASRAIRAAHCLTSIQFSPTSEHLLLAYGRRHSSLLKSVVIDGELTVPIYTILEIYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRILQFNNPNGLNPASASFSHENMLEVPTYALEG >CDP20301 pep supercontig:AUK_PRJEB4211_v1:scaffold_1086:39842:40482:1 gene:GSCOC_T00009146001 transcript:CDP20301 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQFNAGETHGKTQAKTEQLVDSCKDAANTARDRSAQAADQSAGFLQQTGEQVKSMAQGAIDGVKNTLGVGDNNTKK >CDP20299 pep supercontig:AUK_PRJEB4211_v1:scaffold_1086:22603:26341:1 gene:GSCOC_T00009143001 transcript:CDP20299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:Projected from Arabidopsis thaliana (AT3G23920) UniProtKB/TrEMBL;Acc:A0A178VNG4] MAMSMPHQIGALAGTPIAAESGGLTGEGAQSAATVSTSAVWRSPVTNLRVSVQKPGDVERVSPLSSPPLSPVRAGMRPDLSVACQAFATAVETEPAVEKVHRFPESSTQKKEKGVPVFVMMPLDSVKMDNTVNRRKAMNASLQALKSAGVEGIMMDVWWGLVERDEPGKYNFGGYVELLEMAKKHGLKVQAVMSFHQCGGNVGDSCTIPLPRWVTEEIDKDPGLAYTDQWGRRNYEYISLGCDTLPVLKGRTPVQCYSDFMLAFRDKFEHLLGDTIVEIQVGMGPAGELRYPSYPEQNGTWKFPGIGAFQCYDKYMLSSLKAAAEAYGKPEWGSTGPTDAGHYNNWPDDTNFFRKEGGGWDSEYGEFFLTWYSKMLLDHGERILQSAKAIFENGGVKISVKIAGIHWHYGTRSHAPELTAGYYNTRLRDGYLPIAQMLARHGAIFNFTCIEMRDHEQPQDALCAPEKLVRQVALATQQAQVPLAGENALPRYDEYAHEQILKTAALNIDGNSTNREMCAFTYLRMNPDLFHPDNWRRFVAFVKKMKEGKDVHRCWEQVEREAEHFVHVTQPFVQEAAVALRH >CDP20302 pep supercontig:AUK_PRJEB4211_v1:scaffold_1088:14154:25435:1 gene:GSCOC_T00002697001 transcript:CDP20302 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFSQNAILLSLTARIVSFSNRTIRKMSQTRFSSKTQIPTSNHKKNPGDESCNGGSAANIRVFVRKKRAKKSLEISPKEEVKAEEPRQQQLCSPPDIEDFAYGKKCGYSYSTQAPENWEKVLEGIRRMRSSEDAPVDSMGCEKAGNSLPPKERRFAVLVSSLLSSQTKDHVTHGKFKCAVQRLLQNGLLNPDALDNTEEATIKNLIYPVGFYTRKASNLKKIAKICQLKYDGDIPSTLEDLLQLPGIGPKMAHLVMNVGWEIVLGICVDTHVHRICNRLGWVSRPGTKQKTSSPEETRESLQLWLPKEEWVAINPLLVGFGQTICTPLRPHCGTCTVSNFCPSAFKEISSPSSTPKKPRSN >CDP22131 pep supercontig:AUK_PRJEB4211_v1:scaffold_10882:1802:2113:-1 gene:GSCOC_T00007447001 transcript:CDP22131 gene_biotype:protein_coding transcript_biotype:protein_coding SSPWQNHHAAVLNFSVEVVYESLKQMQYGFEEGCSPVLVMKKRHSMNRRKCTEQKKRSQDLMGLDDNNNNNNVLVLEDLGADYLEELLGASESTSSSCPWRHQ >CDP22132 pep supercontig:AUK_PRJEB4211_v1:scaffold_10883:262:450:-1 gene:GSCOC_T00000193001 transcript:CDP22132 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSISFLESARLLGGVACSFYSSKKHVKAHRLAPKIPDDLYHLIKKAIAIRKHLERNRRDA >CDP22133 pep supercontig:AUK_PRJEB4211_v1:scaffold_10887:773:2404:1 gene:GSCOC_T00012284001 transcript:CDP22133 gene_biotype:protein_coding transcript_biotype:protein_coding MERACYYFPVGLLLATSLLAVGTSDIITDKSTLVAFKNHIVLDPHSIVAKNWSISSSVCDWIGVTCDSSRQRVVTLNISNMGFAGTIPPQLGNLSFVVSLDMSNNNFYGHLPKGMSHLRRLNFMALGNNILTGEIPSWLGVLDRLQYLSLRENNFVGHLPANICDNLPNLKELDLYWNQLSGQILSGLSNCSGLKSLDLSLNQFNGYIPKAAGNLKMLEELHLYYNNLEGYFLVARNGENMLLLSYRIFIRHFSSTIPPQLGNLSFLVSLELSSNHFRGHLPEGMSHLRRLSFMALSNNNLTGEIPSWLGVLDRLQYLSLTENNFLGDLPANICDNL >CDP22134 pep supercontig:AUK_PRJEB4211_v1:scaffold_10895:1253:2403:-1 gene:GSCOC_T00012096001 transcript:CDP22134 gene_biotype:protein_coding transcript_biotype:protein_coding NPIFQYSGTCEFNLDEVRKGLKKVIAKLELNLDAQVHSINEIKIFVDKKGGFGSANAARAEYQEHDNDGFGDTLFQYITKKNKKGLAESSSRKQKSKTKQTSKHTVPLSSNKSDSSNDDDDNSDNGDGGNNSFKHSGGYNQDSQQTRGMLWVQGQDNYYATQDTDHDYRPGIEAQRQFLNNLT >CDP15789 pep supercontig:AUK_PRJEB4211_v1:scaffold_109:727263:731589:1 gene:GSCOC_T00015890001 transcript:CDP15789 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSSEEGSDQQSERCGSYSLSADVSESESSGSFTCRRCYHDGAASSSSLASSPPPPNQDNNVVGNSVFLAPSLIFPGILTKDAMLQPQKREADLSEIEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQKKAMWFREMEWLLCVSDSIVEFVPSKQQFPDGGMYEVMETRLRSDLSMNLPALKKLDAMLISILEGFQDTEFWYVDRGVIVADPSECSAFASSVSSGRPSIRQEDKWWLPCPKVPPKGLSEDARKRLQQCRDCTNQILKAALAINSNVLSEMEIPSAYLDSLPKNGKACLGDMIYRYITADQFSPECLLDCLDLSSEHHTLEVANRIEAAVHVWMLKNRKKHPKHQKPKRKSWGGKVKGLVADADKNQFLAQRAEILLHSLRLRFPGLPQTALDMNKIQYNKDVGQSILESYSRVMESLAFNVMARIDDVLYVDDATKRCNALECVSFFNRGGLGGLPIQKRISPSPFSIQHTPYTSPFATPSFCLSPLVGSSPGRAVSPRNANGFREPQNRKIDKVIPADLDKLWSYAGSLSARRISENVPERD >CDP15785 pep supercontig:AUK_PRJEB4211_v1:scaffold_109:25544:35361:-1 gene:GSCOC_T00015880001 transcript:CDP15785 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELNYQQQQQLGAFSKSACSSTSETSKGSGLSLSRSESRIKARERARERAAEKEKEKEKEESSRVAHQPHLNVNPISENSSFTQLLTAGMSNSANSNFFHKSSSRHWSSSTPMDYFATGLLGLSSSSRAIHQPSASFLGQIQLGNPLHQSLTSVSSPLFTITADHHHHHSSHTNLPHFSFVPDHLVPVVTAAGGNGNSQSNHTGNGNGSNSDYNLNFTISSPSSSGLAVKRKKLSHRPTFYPGN >CDP15788 pep supercontig:AUK_PRJEB4211_v1:scaffold_109:720689:724846:-1 gene:GSCOC_T00015889001 transcript:CDP15788 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIKGHIESHQISTNTQEIRRGKITKNKLTSAASASNHLLPSLFSFLFFCSSSSSIYLQNRKLDPLLLLGHHFSNPPIPPSPNNNYHHHHFNSFLALILGGVRFRLDGLLEVLIFSLVIFGYRNHLLHRLAGRGVAGERRGINASAVSSVCEKQKRKKN >CDP15787 pep supercontig:AUK_PRJEB4211_v1:scaffold_109:717705:720127:-1 gene:GSCOC_T00015888001 transcript:CDP15787 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLTGFGRTGCLVARVILLSMIHLSPLITWQHVWQTSWAIIMRFVGDTIMIHGDGTGLMLPPRLAPVQMIFLILIWSDRFCISSITLKTLQVAGIKIKVDDSDQRTPGWKYNFWEMKVCPASVDFGPRDVSTGTVVISRRDIPRKEGKDFGISTDSSIFVAYVQGLLDGIRSCLLERATTFMSFFFLEF >CDP15790 pep supercontig:AUK_PRJEB4211_v1:scaffold_109:862806:865427:1 gene:GSCOC_T00015892001 transcript:CDP15790 gene_biotype:protein_coding transcript_biotype:protein_coding description:LCD [Source:Projected from Arabidopsis thaliana (AT3G62130) UniProtKB/TrEMBL;Acc:A0A178VKW4] MEDPQANGDHNHNHIPKKLKLSSPSSFISDSEIRQEFAHHQLGIARINNGSFGSCPASIIAAQKQWQLRFLQQPDDFFFNHLQNGILRSRTLITSLINADHVDEVSIVDNATTAAAIVLQHVGWAFAEGRFQKGDAVVMLHCAFQAVKKSIEAYVTRAGGSVIVVQLPFPVHSDQEIIAEFRKGLAMGKAHGRKVRLAIIDHITSMPCVVIPVRELVQICREEGVEQVFVDAAHAIGSVHVDVKEIGADFYVSNLHKWFFCPPSVAFLYCRKSPIALDLHHPVVSHEYGNGLAIESAWIGTRDYSSQLVVPDVLDFVNRFEGGIAGIRKRNHDAVVRMGELLARAWGTHLGSPPEMCPSMAMVGLPSSLRISSDDDALKLRTHLRDHFEVEVPIYYQALKDGEIGGDNWDGRVAGYARISHQVYNTVDDYLKLRDAINQLVKDGVTCNNLP >CDP15791 pep supercontig:AUK_PRJEB4211_v1:scaffold_109:1222705:1224824:-1 gene:GSCOC_T00015894001 transcript:CDP15791 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRVSSHQAPVHKLGDSQMTLSPKFRLAAIQSALLDPSLDLELSLNGESLIPGLPDDVALNCLLRVPVDEHRNCRAVCKRWHSLFGSKERFFSRRKELGFHEPWLFVFAFHKCTGRIQWQVLDLTHFSWHTIPAMPCKDKVCPHGFRCVSIPGDGVLFVCGGVVSDVDCPLNLVLKYEIRKNRWTVMKKMITARSFFASGVIDGMVYVAGGNSTDLYELNSAEVLDPSIGSWHPVASMGTNMASYDAAVMNGKLFVTEGWFWPFYVVPRGQIYDPRTNVWDSMASGLREGWTGSSVVIDGHLFVVSEHERTKLKVYDMENDSWETVEGPPLPEQICKPFSVNCYGFRIFVVGRNLHVAVGHIFRQYGRYSSEEKSSFSVQWGVVDAPETLSDLTPSSAQVLLA >CDP15786 pep supercontig:AUK_PRJEB4211_v1:scaffold_109:333997:335550:-1 gene:GSCOC_T00015884001 transcript:CDP15786 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLLVYLSFHFSLLFTITSSDTPPYTPTDYILINCGSSSNATSTDGRHWEGDVGSKFLPNDVANISLADTATEQHSSVTQIPFLTARIIRSQFSYTFPVSPGEKFLRLYFYPSSYSSGLNTSESFFTVTANNYTLLSNFNAFLIVSAKKFAPASLIKEFIINVQGMNQFLKVNFLPSMNSYAFVNGIEVVSTPDDLYMGNHDMYANPLKFVNCPNVQFEFDQNKTAFEALYRLNVGGNDVSPVYDSGMFREWASDDKFIWGGDRGNPLSNNEIAIKYTPQTPNYAAPPIVYATARAMGQFSTSFNLSWIFPVDSGFLYLLRLHFCEINPDLITKENQRVFTIFINNRTAEPEADIIYWAHDPGISIFRDYIVYVSNPPDGRRNKQDLFLALHPNLDVKPKYADAILNGLELFKLNSSEGNLAGTNPGIAVDPNSLVSNTKSPNKGSSGKFRVSIAIVGGVAGGAAMALTIGFRTRLLSRRVILQFPAMHTWMSGTCIEFLINGPTTLLNYMFPNHG >CDP22135 pep supercontig:AUK_PRJEB4211_v1:scaffold_10906:1349:2218:-1 gene:GSCOC_T00006097001 transcript:CDP22135 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVLIIALLSALPIIYLILLQKKGKKSSTKSHPPLPAPPGIPIIGNMHQFDSSAPHIYLWELSRKYGPLMSLKHGCLPVLVVSSARMAEEVMKNHDLIFCSRPPMLGQRKLSYNGLDIALAPYAEQWRELRKICVLHLLSSKRVQSFRPIREDEVYRMIQKISRESASSQVTDLTHTLLSLTCTMICRIGFGKRYDEEGQERKRFHFLIHEARQCWLPSISLIIFLQLVGWINTLGCYLDWRKFSTSLICSTKNSLMSTWIQIGQRQWMETLLISCFNYRMTDQLRLI >CDP20313 pep supercontig:AUK_PRJEB4211_v1:scaffold_1092:14157:14991:-1 gene:GSCOC_T00006077001 transcript:CDP20313 gene_biotype:protein_coding transcript_biotype:protein_coding MATGESGNEDLIDVLLRVKESGDLQFPITNNNIKAIVIDVFSAGTETSSTTVEWAMSEMVRNPNVMAKAQSEIRTAFKGKKKIEETDIQELKYLRLVIKETLRLHPPGPLLVPRECREECEIEGYTIPVKTRVLINAWAIGRDPDYWNDPESFKPERFKSNPVDFTGTHFEYLPFGAGRRMCPGISFGLANVHLPLALLLYHFDWKLPDGLDPCDLDMSETVGITASRKDSLRLLATSYDPYLQKPY >CDP20312 pep supercontig:AUK_PRJEB4211_v1:scaffold_1092:10459:12310:1 gene:GSCOC_T00006075001 transcript:CDP20312 gene_biotype:protein_coding transcript_biotype:protein_coding MELPFNFIAFFLFLAFVLCLIKEWKRSKAAQKLPPSPLKLPVIGNMHHLVGSPPHHALRKLARQHGALMHLQLGEISSIVVSSPHLAKEIMKTHDLAFANRAEFLTSKILMYNSSDIACCPYGDYWRQMRKICTLELLSAKNVRSFGSIRQDEASHLVASVQALATAGELINISEKLYSYTSSMILHPLLSAKSQLVKIHLKMDKLLGNIIDQHIDNLARTNMATGESGNEDLIDVLLRVKESGDLQFPITNNNIKAIVIDVFSAGTETSSTTVEWAMSEMVRNPNVMAKAQSEIRTAFKGKKKIEETDIQELKYLRLVIKETLRLHPPGPLLVPRECREECEIEGYTIPVKTRVLINAWAIGRDPDYWNDPESFKPERFKSNPVDFTGTHFEYLPFGAGRRMCPGISFGLANVHLPLALLLYHFDWKLPDGLDPCDLDMSETVGITASRKDSLRLLATSYDPYLQKPY >CDP20310 pep supercontig:AUK_PRJEB4211_v1:scaffold_1092:4893:5291:1 gene:GSCOC_T00006073001 transcript:CDP20310 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFSVMVGDYYLLLPIFLLPLLYFISKQLKSSTSGRPPLPPGPVPWPILGNIPHMGKMPHATLSNLAQTYGPLMCLKLGTQYLVVGSSPATAIELMKTHDRTFSARYVPKVVPADQEELNYSLIGWKRRMQ >CDP20315 pep supercontig:AUK_PRJEB4211_v1:scaffold_1092:26209:32984:1 gene:GSCOC_T00006079001 transcript:CDP20315 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDSISHIKQLLRTMDDGRITISAYDTAWIALIEDVNGSDNPQFPSSLQWIIDNQLLDGSWGEAHFCPYDRLLNTLACVIALKSWTTHEDKIAEGIAIIKTLLDMCKLENVESMICGFEVIFPALLERARNLGIEIPSDTPFVKEICAARDLKLERIPKDLMHALPTSLLYSLEGFSDLNWDKVLKLKCHNGSFLTSPASTAFAFIETKDEQCLNFITEVTQNFNGGAPPCYPVDLYARLFAVDRLKRLGISRYFMSEIDECLSHVYRCWTEDGIFSGRGTNFSDIDDTSMGFRLLRLHGYDMSPEVFKNFKKDDKFSCYPGQMIEAATPIFNLYRASQVLFPGEKILEEAREFAYNFLQNWLACGNYLDKWIIAKDIPSEVRYALEVPWYASLPRIETRFYVEQYGGADDVWIAKTLYRMPEISNNVYLELAKGDYNKCQLQHLNQWTDIQQWYKKCNLVDHGISVQFLKHAFFVAMASIFEPERSKERIAWTKSLIFCEMIKFYFNATSFNKKKISEVDQRLLSNLLEFLHQLSTDTAQELGKDIRQQLFEAWESWLMTNTEKFQWGEEAELLVRTINLCAGRITSDHIAAQLEHYRLSKLINKICHQLHESKSRKAFDAENSNGSFKRQEVEEDMQALVQLVLQNSAIGNPSDIKQTFLAVAKTFFYTTYCDKDTIDFHISKVLHEPIV >CDP20311 pep supercontig:AUK_PRJEB4211_v1:scaffold_1092:6774:10135:1 gene:GSCOC_T00006074001 transcript:CDP20311 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFNFIALFLLVAFISTLIKQRNGSKAAQKLPPSPWKLPLIGNLHHLIGSPPHHALRNLSKKHGPLMHFQLGEVSSIVVSSSRLAKEVLKTHDLEFADRAEFLATKILPKTVRSFGCIRQDEALKLMSSIKTLAGAGKIVNLKEKLASYTSSMVCRAAFGKVSKDDHKAFLQLVMEALPLSSAFEISDLFPSLKILHPFFSAKTKLMKVHHKMDVILDKIIDQHIDNLARTRMAMGESGHEDLTDVLLRVKESGDLQFPITKNNVKAVLFDMFLGGTETSSTTLEWAMSELMKNPKVMTKVQNEIRKAFTGKRTIEENEIQQLQYLKLVIKETLRLHPPAPLSVPKQCREQCEIDGYSIPVKTRLFVNTWAIARDPEYWDDPESFKPERFENSSIDFTGNHFELLPFGSGRRICPGISFGIANVELPLTLLLYHFDWRLPNGLSPDDLDMIESKHSEVIAKSGPTTSLRGTHKPTPSSQLVAGGVVEDLKPRVTTPRSFDVGWIPPPPPPSTPSPRIWSVFSIDRRNIRTIRLETLRSHRQIGFNDQSKGDPQSNTIHILFFMTILFFINMVYPFDKRKLAYADFTLHRGIFDILDDAVTSDYFRYFDTLIQTIRGLCIAFETIEGLCEKMLNHRGVK >CDP20314 pep supercontig:AUK_PRJEB4211_v1:scaffold_1092:22287:22803:1 gene:GSCOC_T00006078001 transcript:CDP20314 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLASLQWTSSSSLARNPTLHAFSRFDSVGTRGWLVFLKGVLPYSWDLCFMRSLKRPFEPQSFLFQNQTLSSQMIAFTREVMFALPSCTL >CDP20317 pep supercontig:AUK_PRJEB4211_v1:scaffold_1093:4708:12875:-1 gene:GSCOC_T00001366001 transcript:CDP20317 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFFRRFFLPLFSRSFLCPLFLIVTSLVSLKEASFSDLSLLICDVYLTIGYETEINRTKDARNYEDGVTGIGIMKMIGFMKPSKLERLETSEVNSDQHKKVKYIQDFFHFTVQLLCQCCIAVSDLHKASPSTQKRNPETYRLRKRTGCGTEDSLRAKLHASKSNEKKIYQKNFILTDHSGGVFLLFLFFISLSQFKVQAHNKQGGYMSPEYAAMHGHFSAKSDVFSFGALVLEIVTGKKNSSFHQSDGAYDLPSYEIRRDGTPLALLDPTTGDSSARDEVIQSIHIGLLCVQEDVDQRPTMASVVPMLSSRYATLPTPDQPAFFC >CDP20319 pep supercontig:AUK_PRJEB4211_v1:scaffold_1093:29301:36910:1 gene:GSCOC_T00001369001 transcript:CDP20319 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLRKLLLTNGCSSYNISTVMANNKNKYSTVFTPLRPISNTCMNNFLSTDQSTFKPQVDSLKSGESTATLVLQHDCCSLSQSLHMFHLSLDDDAVVDQDFQKWHNGGGTFHKSAYIHRTAVIEFGAVVHSQSLLGENVSIGSGAVVGPDVTIGQSTRVGYNVALTNCTIGDSCVIHNGVCIGQDGFGFLVDEQGNMLKKPQHLKVKIGNLVEIGANTCIDRGSWRDTIVGDHTKIDNLVQIGHNVQIGKNCMICGQVGIAGSVTMGDYVTLGGRVAIRDHVCIASRVRLAASSCVTKDINQPGDYGGFPAMPIGKWRRQVAAQRQTFK >CDP20320 pep supercontig:AUK_PRJEB4211_v1:scaffold_1093:38340:39017:-1 gene:GSCOC_T00001370001 transcript:CDP20320 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYPLPTHANPSPQNQPVKRHHSARYYAHRVRESLATRVSKLVCSIFLFFLFLIGVITFILWLSLRPHRPRFHIHEFSIPAIAQGNGFENAQIDFNVTARNPNRAIGIFYDAMHISVTYDDQGIGATSLLYPFYQGPKNTTVLAGSLSGATLTVTNQRWQQFLADRSQGRVIFKLDVTSTIRFKISSWDSKHHTLHANCPVGVGPDGTILPEYKDKRCPVYFS >CDP20316 pep supercontig:AUK_PRJEB4211_v1:scaffold_1093:205:3654:-1 gene:GSCOC_T00001365001 transcript:CDP20316 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKYNFLINKVVQSCLLLWCCVLLWLLSCGSLRTHAAAADGPSSLIRGCQGTNLSDVNPYRQNLNQFLVSAAAASRTQVFNTSATGSDPSDTVYGLFMCRGDVSSDVCANCVSTASTDFLNYCSQMVGYGWYDNCLLRYSNQSFFSVFSQEDAAVGYCAWNTMNVSSDEADKFNQVLGSSMNEVADGAANDTRPLQKFAVLLGGAEFSSSNSVYPLAQCIPELSGTDCRRCLSDAISLLPRCCANSRGCRVAFPSCMIWYELFQFYNIASPSSGKKGISKQAAIGIALSTIFCVLLFVVLAVFLLKRRSRKRRDAEIQEAYDGDESLQIDPESLQYSLSAIRIATNDFSVDNKIGQGGFGPVYKGKLPDGQDIAVKRLSRRSGQGAQEFKNEIAVVAKLQHRNLVRLLGFCLEAGEKLLIYEFVPNKSLDYFLFDPEKQQLLDWSKRYKIITGIARGLLYLHEDSRLRIVHRDLKAGNILLDERMNPKIADFGMAKICGVDEFEGNTNRIAGTIGYMAPEYTTSGQFSVKSDVFSFGVVILEIIAGKKNSSFYLSEDSRDLLSYSWEQWRHGTPLALLDPTIVDSCVEIEVLKCIQIGLLCVEEDADRRPMMSSVVYMLSGDSVTLPDPHLPLISRCERTESIPEELESDRSDTKLLFGSMTDSSSSAV >CDP20318 pep supercontig:AUK_PRJEB4211_v1:scaffold_1093:13221:18137:-1 gene:GSCOC_T00001367001 transcript:CDP20318 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCEIICRRFAVLLYCLLCLLIGFSKRANSVNFLASSCENVTYSPNTTNSPFRTNLNFLLSTLSSNASRTNGFYNSTALGRGNDPSNTIYGLFLCRGDFSVDVCQQCVASASRRIIQECPNQKRAIVWYDECLLRYSDQYIFSRGDALVELRLYNVQNVTESDAARFTSLLENLMDKIQNRAANDLSGKKFAVEEANFSAFQTLYTLAQCTPDLSTVDCDSCLSNAISVLPTCCRNRVGGRVLYPSCNIRYELYRFYNNSVAPAPAPPPSPPPPPLPPVPTTSSSKGSSRTIIAIVVPVAVSILLLLVGFIFLIRRSRKRYDAIVEATDASQFSTPESLQYSLSLIQAITNNFSAENKIGEGGFGHVYKGTLQNGQEIAVKRLSRSSMQGLEEFKNEILLVANLQHRNLVRLLGFCFEGEEKLLIYEFVPNKSLDCFLFDPEKQHMLDWSRRYKIIAGIARGLLYLHEDSRLRIIHRDLKASNILLDRDMNPKIADFGMARLFGADQSAATTKTIAGTYGYMSPEYAMHGHFSTKSDVFSFGVLVLEIVTGKKNSSFYQSDGAQDLLSHCWKNWRDGTPVALLDPILGNSSARNEVIQSIHIGLLCVQEDVDERPNMASVVLMLSSRSATLPTPDRPAFFVRSKTESFPSEFQFDASTSNSMPASVNETTVTELYPR >CDP22136 pep supercontig:AUK_PRJEB4211_v1:scaffold_10936:161:376:1 gene:GSCOC_T00011410001 transcript:CDP22136 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYFKTRPEAGDYTIFMGLDNYESEKLIKYDFPKDVWFHVDKMPSAHVFLRLHKGQTFDDIPKVVLEDCA >CDP22137 pep supercontig:AUK_PRJEB4211_v1:scaffold_10938:51:1358:1 gene:GSCOC_T00000808001 transcript:CDP22137 gene_biotype:protein_coding transcript_biotype:protein_coding TNWLFNVERLHRVHIEWLIFEVIMIAGASRYLEPFQFQRFIKVS >CDP22138 pep supercontig:AUK_PRJEB4211_v1:scaffold_10947:1690:1961:1 gene:GSCOC_T00006376001 transcript:CDP22138 gene_biotype:protein_coding transcript_biotype:protein_coding MRARIGSDTLLLIPIQSFVLLFCRITKKLFIYSTCPVAQWRSWLFFSWSRSIDGTWANFF >CDP20322 pep supercontig:AUK_PRJEB4211_v1:scaffold_1096:33248:41571:1 gene:GSCOC_T00004259001 transcript:CDP20322 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQAIIPPQNLTTDISALLEFKSHISLDHFGFLNNWSSTTFVCNWTGISCAFKNQRVAALNLSNWSLRGIIPPHLGNLTFLTSLDFSHNNFSGFIPHQLANLRSLKLMNIGYNNLSGEIPSWFGNLQELQFLLLNNNSFSGVIPLSVGNISKLKQLNLGCNLLEGNIPKRIGNLSNLRTLTLRGNQLTGSIPSGIFNMSLEEIDFAENSLSGSLPIDICNHPLEQIKALNLSVNQLQGSIPSELYKCRDLEHLSLSNNQFNGRIPRTLGYLDKLKDLSIGGNNFTGGIPMEIGNLTNLEILNMQSSFLTGGIPWPIFNVSSLRVIDLSSNSLSGSFPVNLFYNLPALKELNLSANQLIGSIPSFVWEFKTLETLDLSTNNLTGSISKRVGNLTSLKKLYLDFNLLTGELPSEIGNPKLETLYLGSNNLAGHVQPGVFNMSSLIRMSFDGNNFNGSLPLSMWTTLPNIQWLNLGSNKFTGTISSSISNASKLTLLSLTENSFTGPIPNTLVELSLNQFNGFLPTSIGNFSKTLTTFDAFGSKIMGTIPSEIGNISSLESINMDSNEFTGSIPSTIGKLTRLDRIYLEHNRLQGSIPAELCQLKMLGDLYLNENLLSGPIPYCLGEITSLRRVFLHLNNLTSTIPLSFWNLNDLLSLNLSSNSLSGDIPSQIQNLKVIIELDLSWNQLSGDILSSFSAAQSLVFLSLAHNTFRGRIPQSMGNLISLEDLDLSHNDFSGTIPQSLVKLGGLNYFNVSFNRLEGEVPTGGPFANFTAQSFSQNFALCGLARLDLPPCKTKSPSHSGSRNILKYILPPIVFAILMVAVVTFLLVRKRRSTEISSEISLFQQWYWRRVSYEEILEATDSFSSSNLLGTGSFGSVFKGTLLDGSIVAVKVFHLQFMDLTKSFDAECEVLASIRHRNLIKILSCCVNRDFRALVLEYMPNGSLEKWLHSENYFLDVVQRFKIIVDVAFALEYLHHDHAPDVVHCDLKPSNILLDEDMVAHVCDFSVSKIFGNGETMIQTNTLATIGYMAPEYGEKGIVSTSGDVYSFGIILLETFTGKKPTDDIFGEELNLKQWVSKSIEANSVMKVVDRNLIKKDPNFCLMEQCLLSILRVGLLCLSDLPHERIDMRNIVARLENIEVPLVK >CDP20321 pep supercontig:AUK_PRJEB4211_v1:scaffold_1096:16359:17877:1 gene:GSCOC_T00004257001 transcript:CDP20321 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNDFSGTIPESLVKLGGLNYFNVSFNRLEEEIPTGGPFANFTAQSFSQNFALCGLARLDLPPFKTKSPSHSGSRNILKYILPPIVFAILMVAVVTFLLVRKRRSTEISSEISLFQQWYWRRVSYEEILEATDSFSSSNLLGTGSFGSVFKGTLLDGSKVAVKVFHLQFMDLTKSFDAECEVLASIRHRNLIKILSCCVHRDFRALVLEYLPNGSLEKWLHSENYFLDVVQRFKIIVDVAFALEYLHHDHAPDVVHCDLKPSNILLDEDMVAHVCDFSVSKIFGNGETMIQTNTLATIGYMAPEYGEKGIVSTSGDVYSFGIILLETFTGKKPTDDIFGEELNLKQWVSKSIEANSVMKVVDRNLIKKDPNFCLMEQCLLSILRVGLLCLSDLPHERIDMRNIVARLENIEVPLVK >CDP22139 pep supercontig:AUK_PRJEB4211_v1:scaffold_10998:201:1125:1 gene:GSCOC_T00013454001 transcript:CDP22139 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKCPVSTIRLLFLLSSFNPQLKRLMAQIHTNQIELSVNLPQVLVLGPPSIFPIYEKHFSLKFMLLKPWESPIPLEEFLSIHSQNTTAVLTSSAVAYLSSALRLLPSVRLVVTSSVGLDHIDLKECRRRGISVAYAPSVLSADVADLAVGLWVDR >CDP20323 pep supercontig:AUK_PRJEB4211_v1:scaffold_1100:38496:41567:1 gene:GSCOC_T00007781001 transcript:CDP20323 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCLPNIIGAVIAPGVQAIKDASTCLKDKYDKVKKIKQNLDKLEEQEKSLGATKSTLEEAIRRNSIDMEPTETANTWLEQVKEREEDVQELKNKYHHVHKCLCGMWPFYKLMKLSEDLMEKTDELKELKANIDLQNALREKQFEPGEIMLGGDGDHIPKSMSETVEELLKGLDDEEVRRIGIWGMAGVGKTRILMHLNDKACQLGTFNPIIWVNVQKEGTVNNIQSDISAWLKLKVQQRDTTACVARKISMALEKHDYLLLLDQCPGDIDLNAVGIRHNHKGKVIVASRDKPDCAAMDIHRVFKVSKLSDGDAEELFKETVGDIADHPYIQEIKELILKQLGGLPFAIKAIARRLKGRSEAIWHNTLRELQSPKNFGDQFEELFKAFEFSYKNLRGDVYRKCLLYGALFPPDYEIDKDYLTECWISEQFISATELPGADTNVQELRLFRDKGHEILEALTEACLFEWCSRSNFLTMPTPFRKIALTFTYPQEEGSSSSSLLVRGQEKLTSPPGKEQWIGVKRISLMCNEFKSLPECPECPTTTTLLLQRNEELDTIDDSFFASMVQLRVLDLHHTKIESLPSSITKLVELKSLYLNECRDLVSLSEHLGKLTNLEILDIRDTGLQSLPTEVAQLVSLRCLRVSFDNQPRRIIPHNVIGLLYHLEELTIIVDPREQSWNDIVTSVVKEITNLESLTTLFIYFPKLEFLTTFIDESRSRRYWQDNGLRAFRIIVGSNGENHNKGLHSSRGPAERHLRFSAGENVRCEAIQTVLRRSSAFELIGNQDTESLSDIGIGCMRSLAVCVVEGCDEISSIISHDSDASRIVFPFLEKLHLVNLKSLRCILDGSVGTQSFSKLTSIIVLDCHKLKKLISLGMVKNLENLKHLKIQHCLGIEEVIETEEILPPNGGLPNHTGFLPKLEILELVNLPLLESICKNDSLDWRSLQKMKIIDCSKLNTWSRGMCNANHLRKIECQERWWGQLQFPDEVPDEVKGQLKKRCYFIWEEAPS >CDP20324 pep supercontig:AUK_PRJEB4211_v1:scaffold_1101:15738:24088:-1 gene:GSCOC_T00009096001 transcript:CDP20324 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLFWPSIPSNGVCSEYEIPTHFLSKIEEEVPDTAILRDSSGCSWSVKVRQERNGIVLADGWENFSVHHSLVPSASFLLFRYCGNWCFEVDIFACSGLEKEIVDASGNSEASPSGVKSKKGGRGKRTVHSVKDNLPKSCVPGPRSLSLKNKKDRRGKRSVGSAKDHLPKYCVSGPDIFGDSGLEKEILSAGGNNEAGSSGVKNKKGGRGARSVGSVKDHLPKSCVPGPRSLSLKNKKDRRGERSVGSAKDHLPKYCVSGPDIFGDSGLEKEIVSAGGDNKAGPSGVKNKKGGRGTRSVGSVKDHVPKSHVPGPASLNLKNKKDRQGKRSVGSMKEHLPKSRVPGPGVPYRTRIAWNPERLGLYLDSCLEEAAKGRRRSGNLTPESWQKVQSVFKEKTNIDLTPTQLSNFWSVLRKRYIVWSKIIAEAGNGGYDPVANKINWNQQQWEEYIKVNPVAKRFRKKKLEYPEKMKLLFDCYTAVHEDGGVSSDEMYYSSI >CDP20325 pep supercontig:AUK_PRJEB4211_v1:scaffold_1101:26262:34523:-1 gene:GSCOC_T00009097001 transcript:CDP20325 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASLDLRFNHSGSSQSEESALDMERNFCSLPNLHSSSPPPLQAFASGGQLSESNAAYFSWPPSSRLNDSAEDRANYFGNLQKGVLPETLGQLPKGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIKRGMLTDVPAILVFVARKVHRQWLNHIQCLPTALEGPGGVWCDVDVVEFSYYGAPAATPKEQLYTELVDGLRGSDPFIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPSQKMFHPLPPSLGPGVYLGAVERATSFITDVLWYGIFAGTNPETFVRADGAFIPFAEEFDMSYVTTSVRGIGEIGDVKTIDLQSPISSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLAGENGEKPQPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLVKSSEGLQAALQEQRNASGTGMDSTVGESSSLDRIPSKDNLGLNMEQVPADGESCQEIVPPFRPDEFHIESGSESAPSVELELIPRFAGTSPMHQHKSEGENTVLKNLSGLKNGPDDEICVSLQLGEPEPKRRKHLEPSLCIKNLK >CDP20326 pep supercontig:AUK_PRJEB4211_v1:scaffold_1102:22499:26361:-1 gene:GSCOC_T00008109001 transcript:CDP20326 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIGATIQVTLERARSLASDRIGLLVGFKKDVAGMTRSLRLINAVLADAEAKQNQDGAVQEWLKSLEEVSYDATNVLDELHYEALRHQVESRNRHKRKVCRFFSFSNINLAFRWRMASKVRDVKLKLNGIYQDAHGLGLDSRAVLTAALPAAADAGDRRNRQTDSVAIPMIGRADDESKILEMLLGPSEKVVSGLPITGMGGLGKTTLAKSIYNNQQIDGQFEKKVWVCVSKKVPTVELFKLILVHLTGEKVEVDVRDVIVGKIRNQLGGKRYLLVLDDVWDDNQALWDDFFTTLKGLNPINPPKGSWCLITTRLHLVADKGYSLGRLPGDHCWSILKGKVVDGEEVPNELDAIKDRAIQICNGLPLVASVLGGLLRLRKDKWRSILEDRLLNLNGVMQILQLSFDNLPSPAIKKCFAYCSIFPQDTEMEGDMLIELWMAEGFLHAGLENKTMEEIGEYYLEILLQSSLLEEIRYDEERYYKMHDMVHDVAKSIMSKSTKFINSETGSGDNSNQVRCLVIDSFGEGAKNLFESRSNLLHTLFLSQGSLSDDMLKKLKNLHVLNLSGEENQNLPISIGKLIHLRYINFEESTSETLPESICKLYNLQTLRLSYFLKVLPKGMSDLISLRHLHFYTSDEIFQMPLEMGRLTCLQTLEFFNVGREKGRRIEELGSLKNLKGKLEIHNLELVKDKEEAEVAKLSEKDNLFGLELKWAYNREGDDYNDEDVLDGLRLHPNLEELAIWYFMGNQFPRWLMDLPTTLPKLARLAFYHFNFTNLPGDLLHSCTSLQKLSVARCDNLISFPLDLQQTPSLLELHLYKCPKLKTSMTPKGFDFLTSLRELEIGPFSDDHENSSIYNEFDWSGLTSSSSSSSSSALRRLELYGLPHVESLPPQIQYLTALTSLLLHDFGGIKALPDWFGNFAALEGLYLYDFKELGRLPSEDAMRSLTKLKHLVVWSSPLLKGRCTPESSGPDSQWSKVSHIQDLRIW >CDP20327 pep supercontig:AUK_PRJEB4211_v1:scaffold_1103:18606:23783:-1 gene:GSCOC_T00009418001 transcript:CDP20327 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSQSSTDNAAAPENNAENGESEPKTGESATTPPRTTPPSASPNHSTKPSKQAPVGPVLGRPMEDVRSLYTIGKELGRGQFGVTHLCTNKQTGEQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLNKDENAPLKATDFGLSVFYKQGDTFKDIVGSAYYIAPEVLKRRYGPEVDIWSVGVMLYILLCGVPPFWAESENGIFNAILRGHVDFTSDPWPSISSGAKDLVKKMLTSDPKQRLTAVQVLNHPWIKEDGEAPDTPLDNAVLARLKQFRAMNKFKKVALRVIAGCLSEEEIMGLKQMFKGMDTDNSGTITLEELKQGLAEQGTKLSEYEVKQLMEAADADGNGTIDYEEFITATMHLNRMDREEHLYTAFQYFDKDNSGYITIEELEQALRDFGMSDGKDIKEIISEVDADNDGRINYDEFVAMMKKGQPDAPTNIKKRRDVFVS >CDP20328 pep supercontig:AUK_PRJEB4211_v1:scaffold_1103:26015:37013:-1 gene:GSCOC_T00009420001 transcript:CDP20328 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIHQFCPRGLTASFSAGSGGSESLSGSIAGREILVQHLLVREDDLKLLLELQKRITQGEDISDLAVEYSICPSKEEGGMLGWVRKGQMVPEFEEAAFSASLNNVVKCKTKFGWHLLQVLSEREESILEEIAPAELHEKMQDPSFLDEAQLIDVREPEEVAKASLPSFQVLPLRQFGNWGPEIATRFDPQKDTYVMCHHGVRSLQVAKWLQTQGFRRVFNVSGGIHQYALKADQSVPTY >CDP22141 pep supercontig:AUK_PRJEB4211_v1:scaffold_11059:366:1764:-1 gene:GSCOC_T00004686001 transcript:CDP22141 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVPDIVPSPAEDCKTLKKAFQGWGTDEKAILKVLGRRNASQRKNIRETFQQLYNKSLIDELVSELSGDFRKAVILWTYDPPERDARLVNEALKSRKKGIREFQVIVEIACASFPHHLVAVRKVYFSLFDCSLEEDISSNVSLPIQKVSSLN >CDP20329 pep supercontig:AUK_PRJEB4211_v1:scaffold_1107:17759:22197:-1 gene:GSCOC_T00007267001 transcript:CDP20329 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSYICRFVLAKEKITKRKIDFPPFFFDQATEQKKGGKKKFAEEVPGTPNGQNREEKKNSLGDLLSEVLRPRGRKHKEALAHLPYSLSDSLSGRRKNCSKVLKGAEAENIEGRKIPSGSSLSPSLGDSPSRLSPSIRRKERSSPNLLHKSSVSIGSFSWHRTEERKDRRRRKRLVIGQHICTTKKEAATGQLELEIASKPQPREGPNVKNITPRQFSLSRVSFLHQNLQSFTEKHSAKIFPIIKANLDCILLIDYHGRLGLYPNDRSSRQAPTDRLSRQVQVLSH >CDP20331 pep supercontig:AUK_PRJEB4211_v1:scaffold_1108:30347:30874:1 gene:GSCOC_T00013480001 transcript:CDP20331 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLPTTVSSHHNPVLQPNECSSTLFQTIAAPASVVWALVSDFENPQRYKPFVRSCKIIDGQANQVGCLRRVDVASGLPASYSIERLETLDHDQCIFGFSIVSGDHRLSNYRSIMSLHPNGGDETVVVETYVIDAAEANTKEETCAFVDTIVKLNLRTLSRVAEDLAGKAQQQV >CDP20330 pep supercontig:AUK_PRJEB4211_v1:scaffold_1108:3386:4198:1 gene:GSCOC_T00013477001 transcript:CDP20330 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSKILIIGGTGNIGKYLVEASAKAGHPTFALVRESTISDPKRAAIIESFKSLGVIFLHGDLHNHQQLVNAIKQVDIVISAVGGDLVAHQVKIIEAIKEAGNIKRFLPSEFGVDVDRANAYLTDLLVT >CDP20332 pep supercontig:AUK_PRJEB4211_v1:scaffold_1108:37735:39505:-1 gene:GSCOC_T00013482001 transcript:CDP20332 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLVTAKTEEKAKELEEEAMKVELKTKEEMKPWEQHSAVITIPRFDYNAPLLSSVIPTPDSSSPAPSRGRRVQQKKPCQFLKR >CDP20336 pep supercontig:AUK_PRJEB4211_v1:scaffold_1109:22793:24247:-1 gene:GSCOC_T00008151001 transcript:CDP20336 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTALFFFSFSLIISSIFSRTLPPSSATNVLDVTSSLQKTLDVFTVDSQATKSLENQETSFSSSSSLLSLKLHSRVGLRKASDIDYKALTLARLGRDSARVNSLQTRLDLAVHGISKADLKPMETQLDVEAMEGPVISGTSQGSGEYFSRVGIGRPPSQVYMVLDSGSDVNWVQCAPCSDCYQQADPIFQPASSSSFSRLTCEAQQCKSLDESECRNNTCLYQVSYGDGSYTVGDFVTETITFGGSAAVNNIAIGCGHNNEGLFVGAAGLIGLGGGPLSFPSQIKAKSFSYCLVDRDSDASSTLDFDSVVPADAVTAPLLQNPKLDTFYYLDLTGISVAGELLPVPPSNFKLADNGEGGVIIDSGTAVTRLPTETYNALRDAFVKGTKNLPSANGVALFDTCFALGSRKSVEVPTVSFHFSNGKDLSLPAKNYLIPVDSTGTFCFAFAPTSSTLSIIGNVQQQGTRVSYDIAHSLIAFSSNKC >CDP20333 pep supercontig:AUK_PRJEB4211_v1:scaffold_1109:277:2200:1 gene:GSCOC_T00008147001 transcript:CDP20333 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDYHRYLAEFKTGAERKEAAENTLFAYKSAQDIALSELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDVPEDAGGEIKEAPTQETGDITK >CDP20335 pep supercontig:AUK_PRJEB4211_v1:scaffold_1109:21594:21806:-1 gene:GSCOC_T00008149001 transcript:CDP20335 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSGVICKDGQVRLQSRLEQEEEAKAALLGRMQRLTKLILVSTKASQSSRFPQRPGLRRRHSFGEEEVL >CDP20334 pep supercontig:AUK_PRJEB4211_v1:scaffold_1109:2582:8127:-1 gene:GSCOC_T00008148001 transcript:CDP20334 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRMVCRVGLVQMADMHYLQMSHANGGMCFVSGNGLQNGVQGRISADGRHALPPDVSRQWRDVFWLALFVLHLILVGFVLGVLGYNRFKTKDRLNIDKYTMGFLENQAGLTEDYWPMYALAAGVGTILGWIWLSLLGSLANGMMKIAVHFLTTYLAVISVLCFWGKQFAWGVVFAIGAALQFLYVISVIDRLPFTMLVLRRAVKMVWSLPEVMGVSCGFMLVMLLWLALWSFGVAGVVALSLGDSGRWWLLLVFSISLFWTGAVLCNIVHVIVSGMVFLVLIHGGRDVESMPSKPLVNSLQFAMTKSFGSICYGSLFTAAIRTLRWEIRGIRSKIGNNECLLCLVDFLFHLVETLVRFFNKYAYVQIAVYGKNFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGTVLGGLVVGTCSGVWTRIHHPDRVIMVGSTAMLIGMILVGLAMVVIESAVTSIYICYAEDPLLIHRWDAEFFLQMSETLHQRLQHRSARAREVLRRFDGQTQQTLAA >CDP20339 pep supercontig:AUK_PRJEB4211_v1:scaffold_1110:23977:27645:-1 gene:GSCOC_T00000104001 transcript:CDP20339 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAISATVKVLLGTVTSIAADRIGMVLGVKAELERLSKTAAMIQGFLADADGKMHTQGVREWLKQLEDEVFKADNVLDELNYDNLRREVKYRNQPMKKKVCFFFSFFNAIGFSSSLASKIRDINTSLERINRQANNLGLVRKHQKEAAPPADAAASRQTDSILVPNVVGRVDDESKIVDMLLSPSEKVLSVIPITGPGGLGKTTLAKSVYNNPKIDGHFGQKIWVCVAKEHIKIMELFKLILVQLTQEEVKVDNREVIVKKIGEKLKGQRYFLVLDDVWDYDQGLWDGYFNTLMGLNETKGSWCLLTTRQVPVADVVSTHLKMNSGPYFLGKLSGDECWSIIKGKVMSAGEEVPEELEALKKQILGRCDGLPLAASLIGGLLLNNRREKWHSIVQESLLDECQSEIDQILKVSFDHLSPASVKKCFAYCSIFPQDTELEQDLLIELWMAEGFVQPDRQNQRLMEEIGGDYLTILLQNSLLEKVEESWRTCYKMHDLVHDFAKSVLNPKSSSQDRYLALHSYEELAENVRWNKVASIRSLFLHLGGGISADTNMLSRFKHLHVLRFSGNDVKFLPSSIGKLLSLRLLDISSSRIASLPESLCKLYNLQTLRMRDRALGMDFPKRMSDLISLRHLNYYHYSAEFKMPAQMGRLTCLQTLKFFNVSQERGRGIEELGTLKYLKGSLEIRNLGLVNGKEAAKQAKLFEKPDLSSLKFMWDSEGCDEDVLEGLQPHPNLQRLGIHSFMGNKFPQWFMNLSKLVVLRIEVCRRCSELPSLGQLPSLKRLYLGSLDNIRSIGDEFYGITTNEGEEEGRSRASGSSTRRRKFFPALEELYVADMEKLVEWKGADQVRSTVGEAEADVFSMLRNLSIQNCPQLITLTCSCKSLDVRSCDNLTSIKTGYGTASVEELRIDSCDNLRELPDLDLFGSSLQRLTIASCPRLISLGVNGQKCPLPCLEQLSIRNCAGLITISDKMFQSLRSLSVMWCPNLVSFSLNLQETPSLEKFVLVDCPKLIPHSFKGFAFATSLRELSIKSPFSSDDFSMDDFDWSGLENASTLRELQLRGLPHLDSLPHQLQYLTTLTSLSLFNFGGIRVLPDWIGNLVSLETLELWDCDKLQSLPSEAAMRRLTKLTSVEVHFCPLLRQRYTSQKGIYLEEEISSDPVRFSYLKFTLIYMCINFLYLHLIDIYLLFSLLYSFSPSLFCKVILRNSLLLGRPWFLFKD >CDP20337 pep supercontig:AUK_PRJEB4211_v1:scaffold_1110:19925:21199:-1 gene:GSCOC_T00000102001 transcript:CDP20337 gene_biotype:protein_coding transcript_biotype:protein_coding MNNARNDGAQTSASCCFPLLLKKKSSGESEEAGLMLFGQTTPPIAVAFLSRMPRDRSYFHRA >CDP20338 pep supercontig:AUK_PRJEB4211_v1:scaffold_1110:21985:23489:-1 gene:GSCOC_T00000103001 transcript:CDP20338 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSAILWDSVYLMLWDCENLRSLPSEAAMLRLTKLTRVGVYRCPLLRQRYTPRRGIYLEE >CDP22142 pep supercontig:AUK_PRJEB4211_v1:scaffold_11110:305:2309:1 gene:GSCOC_T00003733001 transcript:CDP22142 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKWSLGYHQCRWSYASDARVCEIARTFREKNIPCDVIWMDIDYMDGFRCFTFDKASPPSLLEQFPDPKCLVDSLHQNGFKAIWMLDPGIKFEKGYFVYDSGSEKDIWIQTADGKPFVGEVWPGPCVFPDFTQSKARSWWANLVRDFTANGVDGIWNDMNEPAIFKVRFTVTKTMPENNIHRGDDELGGCQIHSHYHNVYGMLMARSTYGGMKLADQNRRPFVLTRAGFVGSQRYAATWTGDNVSTWEHLDMSISMVLQLVIDRKIIRLWILCLINLFHTPVSLFVSTVWLLIHI >CDP22143 pep supercontig:AUK_PRJEB4211_v1:scaffold_11118:71:1705:1 gene:GSCOC_T00009933001 transcript:CDP22143 gene_biotype:protein_coding transcript_biotype:protein_coding MHDMVHDVAKSIMSKSTRFINSETGSGDYSNQVRCLVIDSFGEGTINLLDSRSNLLHTLFLSQGSLSDDMLMKLKNLHVLNLSGEENQNLPISIGKLRHLRYINFEDSTSETLPESVCKLYNLQTLRLNGFVLKVLPKEMCDLISLRHLHYYNDDKEFQMPLEMGRLTCLQTLRFFNVGREKDRQIGELGSLKNLKGRLEIRNLELVKDKEGAEEAKLSEKANLFGLVLQWAREREGNDYNDEDVLDGLRPHPNLEELVIQHFMGDQFPRRLMDLPTTTTTLPESAITLPKLARLKFYSCDRCKELLPLQNFTSLKKLVIDECDGLTNLPGDMLHSCTSLQKLRVAYCNNLISFPLNLQQTPSLLELELYRCPKLKTCMTPKGFGFLTSLRELAIGPFSDDGDDHENSSIYNAFDWSGLISSSSSSSSSALRLLDLYGLPHMESLPHQIQHLTTLTSLLLRDFGGVKALPDWFGNFAALEYLCLLNFKELRHLPSEDAMRSLTKLKCLEVYGSPLLKERCTPESSGPDSQWSKVSHIQHLRIRG >CDP20341 pep supercontig:AUK_PRJEB4211_v1:scaffold_1114:13980:16039:1 gene:GSCOC_T00012274001 transcript:CDP20341 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPRNVAIFLLHLVLLPIAFQHCNAKGLKLDFYKKTCPGAEATVKHMMTGFMSRAPTLAASFLRMHFHDCFVRGCDGSVLLNSTRKSKSEKDAIPNQTLRGLQVIDTIKSALEKKCPGVVSCADILALVARDAVSLLNGPFWIVPLGRRDGRVSLMNEALANLPPPFANITELKASFAAKGLNTKDLAVLSGGHTIGNAHCFVFSSRLYNFTGKGDTDPSLDPKYIPFLKKKCKPEDVTTLVEMTPGNFKSFDEDYYTLITKGRGLFQSDAALLDDRQTRAYVKLQATTHGSTFFRDFAASMVKLGKVGVLTGKAGEIRRHCALVN >CDP20342 pep supercontig:AUK_PRJEB4211_v1:scaffold_1114:36136:37668:1 gene:GSCOC_T00012275001 transcript:CDP20342 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFGYFWLVIWAILGAINSSQADLQLNFYAKTCPKAEEIVQDYVHEHIPNAPSLAATLIRMHFHDCFVRGCDASILLNSTSSSGNQTEKAATPNRTVRGFDFIDRVKSLLEAECPGVVSCADIIALVARDSIVVTGGPYWRVPTGRRDGLISNASEALANIPRPTSNFSSLQTNFSNKGLDLKDLVLLSGAHTIGIAHCSAFSSRLYNFSGILGNQDPSLDSEYAANLKAKKCKTINDTTTIVEMDPGSFRTFDLSYYRLLLKRRGLFQSDAALTTSSTTLSYINELLQGSRQDFYKEFALSMEKMGRIDVKTGSSGEIRKHCAFVNS >CDP20340 pep supercontig:AUK_PRJEB4211_v1:scaffold_1114:3416:6663:-1 gene:GSCOC_T00012272001 transcript:CDP20340 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHDIQTPTTFDPFADATADDSGAGAKEYVHVRVQQRNGRKSLTTVQGLRKEYSYNKILKDLKKDFCCNGTVVQDPELGKVIQLQGDQRKNVSAFLVQAGIVKKENIKIHGF >CDP22144 pep supercontig:AUK_PRJEB4211_v1:scaffold_11145:3:2137:1 gene:GSCOC_T00000597001 transcript:CDP22144 gene_biotype:protein_coding transcript_biotype:protein_coding FGPAYQILSTRKGSRKLSLRMLEKRFLLVLDDVWTEDDSKWKPFQYSLKDGASGSVILVTTRSQRVARVMGSTDTHHLSLISDSDCWLIMQRIAFGGRSGDLCKKVEIIGQKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLNSEVWQLEEAAVDLFPHLYLSYNELSPELKRCFSYCVVFPKDIVINVEKLIRLWIAQGYVRPRRRGERLELVGREYFNNLAMRSFFQELRKYGHEYGECVMHDIVHDFAQFLTKNECHAIHGIGRNSSSERPHLVHLRHLFNHAAPEIRQIPQGLGKLTSLCSLTRFIARCNSDDLAILKDLNQLEILHVVLEGEVGFGSAKLGKKVNMRDMYLLSKFEAHFIETPSCIETMEPPPNLEKLELGGYPGAQLPSWLVTKSHADNLTRLVIARARNISSLPALWKLSSLEVLVLVEAEKLECLGKEFFGSSFSAEAVAFPNLRTLHIRRFQNWTNWEDLSEDDEEVAISIMPCLEKLEISQCTKLETLPHRILGKISSLKNLDIRCCDKLRDRYSDKTGGDWIKISHIPRVDISDKYY >CDP22145 pep supercontig:AUK_PRJEB4211_v1:scaffold_11150:1348:2308:-1 gene:GSCOC_T00011935001 transcript:CDP22145 gene_biotype:protein_coding transcript_biotype:protein_coding LHCYAKQVAELDKMVSKLVFESYGVEKYHESHVGSVTYFLRFTKYRVPEQNLNATPHTDKNFITILQQNEVNGLEVQLKNGSWIPVDFPPSSVVIMAGDAFSAWSNGRVHSPFHRVTVKGKGRYSIAQFSYCKKLVEAPTELVDDEHPLLYKPFDNLGFLGFISTDEGRKTQNPLKAYCGI >CDP22146 pep supercontig:AUK_PRJEB4211_v1:scaffold_11158:1:2210:1 gene:GSCOC_T00010847001 transcript:CDP22146 gene_biotype:protein_coding transcript_biotype:protein_coding AENSLAVSRGLNGIGLAIVLPAIQSLVADSTDETNRGTAFGWLQLTGNFGSIIGGLLSVLIASTSFLGIPGWRIAFYLVGLLSIVVGILVGLFAKDPHYFDCNGNAKEQTPHKPFRSEIKDLIKEAKAVISIPSFQILIAQGVSGSFPWSSLSFAPMWLELIGFSHKTTAFLWTLFSVAGSLGALFGGKMGDVLAQRLPNTGRIMLSQISAGSGIPLAAILLLALPDDPSSALSHGLVLFIMGLMMSWNAPATNNPIFAEIVPERARTSIYALDRSFESILASFAPPIVGILAQHVYGYKPFPKGSTNSEEIETDRENAAALAKALYTAIGIPMAICSSIYSFLYCTYPRDRDRARMQALIEAEMQQIDTDTHPQEEEYIQPFVLQSDELQAKKSSVIDIDHESYEGLEFDENDEKRLLSHQAFSNKSKS >CDP20345 pep supercontig:AUK_PRJEB4211_v1:scaffold_1116:23257:26163:-1 gene:GSCOC_T00008473001 transcript:CDP20345 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVLADAEEKQMHDKAVQLWLQRLEAVAFDAENLLDELNYEALHRQLVGKVRSFILSPNINIVFRRRMASKIRDINKKLYKINKEANDFGLIRFQRASFPPSTTAKVTLNRDTDSIAGHYVVGRAKDETRLVETLLSLSEKAVSVIPILGMGGLGKTTLAQSIYKNSHVHSHFEKKIWVCVSDNFDVTRLLKMILESLTRRNVEMTSRDVIVQEIREQLVGKIFFLVLDDVWTENLTLWDDFFGSSLGLNATNGNWCVVTTRKQQTASIVATHDPYVLGKLSDDDCWSILTKKAIAGGEIPKQLHVMKKEIVKKCGGLPLAASVMGGLLRMKRKEEWQLVLKNKLSNFSGDEDGVMEILKLSFDCLPSPSIKKCFAYCSIFPKDTMMKGDMLIELWMAEGMLQANVNNQMMMEEIGMNCLRILLQSSLFEETQSYQETHYYKMHDLVHDLAESMSKSTKVINNIRYLAVDLSGGKEEREKLLERLSTSLRTLFVKGDLSGDMLMKLKNLYVLNLSHATTQKLPITIGKLTHLRYVNLSSSRIRILPDSLCKLYNLQTLALDSMYVKDLPKGMCNLISLRHLYFYTFDEKFQMPLEMGRLSCLQTLEFFNVGREKGRQIEELGCLKNLKGSLSVRNLQLVKDRKAAEEANLFGKANLFRLILVWASAWDREGDNYNYDKDVLDGLRPHPNLEELVIQCFMGDQFPRWSMDLPITLPKLARLEFYYCHRCRELLPLQNFTFLKELVIWFCRGLTSLPSDMLQSCISLQKLQVAYCDKLISFPLDLQQTPSLLELELYACPKLKTSMTPKGFGFLPSLNRLEIGPFSDDDDHENSSIYNEFDWSGLISFSSLSSILCDLELFGLPHMEFLPHQIQYLTTLKSLRLHDFGGIKALPDWFGNFAALEYLCLFGFKELRHLPSEDAMRSLTKLKVLLVYGSPLLKERCTPESSGLDSQWSKISHIQLLLISD >CDP20344 pep supercontig:AUK_PRJEB4211_v1:scaffold_1116:18617:21485:-1 gene:GSCOC_T00008472001 transcript:CDP20344 gene_biotype:protein_coding transcript_biotype:protein_coding MSELEFSILHQHLISLLSELAHCLSAFSVTSHEDNGQSRGFRIIALVFWFKISDIAEVAEEDVHAMKLHKTWYLVEYLPTWSSLSRMF >CDP22147 pep supercontig:AUK_PRJEB4211_v1:scaffold_11164:62:1105:-1 gene:GSCOC_T00013105001 transcript:CDP22147 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKIISTFLLVLLLFPSFHPKCGASASAEEAAALLKWKASFLNQNNSFLTSWNLQSGNAKNSSILPCTWAGISCIDGSVNRLNLSDWSIEGSLYDFPFSSLPNLEYLDLSFNQIFGSIPKQIGNLSKLIYLDFWANELSGKIPPEICNFRNLTHLDFGSNQLLGPIPVAMGNLISIKFLYLCQNNLTGAIPKSLGNLTNLIILYLYENQLSAPISKEVGDLKFLTHMELSNNQLNGFIPASIGNLSALEVLYLQNNQFSGSIPVTFGNLNRLVNLSLYQNHLSGPIPPVIGKLSSLQFLYLYQNNLTGAIPKSLGNLTNLIDLELSDNQLNGSIPASIGNLSTLKV >CDP22148 pep supercontig:AUK_PRJEB4211_v1:scaffold_11166:1584:2350:1 gene:GSCOC_T00011972001 transcript:CDP22148 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRSHQSTFPTVSILQIACRLFPDSNESPVFLLDLSLLPLPSIYELLRKAFVSHDNLKLDFRFKQDLVYLSSTFCSQGCDPGFDRVEPFLDITSVYSYLQHKQSSGRKVPRQIKSLATICQEM >CDP20346 pep supercontig:AUK_PRJEB4211_v1:scaffold_1117:21490:23462:1 gene:GSCOC_T00001129001 transcript:CDP20346 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSESSSFISTTGSTIPRGIIFTADCLTVKGTKDVLNKPFSFELSIGRDTMYFMAEFVEGERRLDQLHRMLNCAAFQYLPNVLPHDNAVYRALLCCNSALYDPFGDPKVIGDPYCMIFVGRLSHSTTEETLRHAMSIYGRVKNLRLVRHIG >CDP20347 pep supercontig:AUK_PRJEB4211_v1:scaffold_1117:31252:31386:-1 gene:GSCOC_T00001131001 transcript:CDP20347 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLELLTLVVIFRWFLLTRDLRVVERKKPGKAKTRRSFQWVKR >CDP20349 pep supercontig:AUK_PRJEB4211_v1:scaffold_1118:8337:9278:-1 gene:GSCOC_T00008144001 transcript:CDP20349 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVRIMVLIWADLLATYVTWIMQKYLTDVWKVGFTHAAGIMNVYTGLTKLFPFILFLFGDFGVDNYWILLLSSIAFSSVWR >CDP20348 pep supercontig:AUK_PRJEB4211_v1:scaffold_1118:6998:8263:-1 gene:GSCOC_T00008143001 transcript:CDP20348 gene_biotype:protein_coding transcript_biotype:protein_coding MLELFNRQNQRNGSSISGLRLSGVIFVLIVAVIALIALPYIQPWKLRFGIPAIFTLAATLLFIQGSCEYQGSRLGFSDRDIRTQSQERRVIICMLPVWITCIICSVVTSVGNTYFVEQASHLNYKVGKLKFPDSTLLLLYEAAKMGFRRVYNRMKVAVGRSVAAFLNDQSPPSMKKYLVYLNPGLSGLGIMGSVLSVHLVGKISKKGGNKSWFQHDLNESHLNYYYWVLAGLSATNFLWFLLTAICFPFPDTEPVSSDTKSETTGNELQNGDLVTFITENT >CDP22149 pep supercontig:AUK_PRJEB4211_v1:scaffold_11180:50:997:-1 gene:GSCOC_T00012667001 transcript:CDP22149 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVEYRCFVGGLAWATTDQSLEQAFAQYGEVIESKIINDRETGRSRGFGFVTFRDEQSMRDAIEAMNGQNLDGRNITVNEAQSRGSGGGGGGFRGPRREGGGGGYGGGRREGGYGGGGGYGGGRREGGYGGGDRGYGGGGGSRYSRGGGASDGNWRS >CDP22150 pep supercontig:AUK_PRJEB4211_v1:scaffold_11189:1605:2345:1 gene:GSCOC_T00010758001 transcript:CDP22150 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDVLRMNGGIGDTSYANNSLVQQKVILMTRPITEAAITDLYCSLFPKSISIADLGCSSGPNTFLAVSELIKTVDKKRKILGQKSPEYHVYLNDLPSNDFNTIFKSVPRFQENLKMQMESEFGPCVFA >CDP20350 pep supercontig:AUK_PRJEB4211_v1:scaffold_1119:34030:38418:-1 gene:GSCOC_T00012044001 transcript:CDP20350 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRQKTHENEVHHVELLEGIEDTCGGIVVDMIKPMEPGNFIIALRASISQWKEQGRKAIWIKLPIMLVNLVEPAVKEGFQYHHAEPGYLMLVNWLPVSAPRLPVNASHRVGIGALVVNHDQEVLVVQEKKGKLKNNGVWKLPTGVVNEGEDISAAAIREVKEETGIETRFLEVLAFRQSHKAFFEKSDLFFVCKMQPLSFDVQKQDSEIEAAKWMPLEEYAAQPFVQNNESFNLVSKICLATENDRYTGFSPLPTITAFSAKEIYLYFNHQYLSKIIVPTR >CDP22151 pep supercontig:AUK_PRJEB4211_v1:scaffold_11198:3:2293:-1 gene:GSCOC_T00013465001 transcript:CDP22151 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGFSGAHAAMTKDAAGSLIISQPVTADGAVIKDHIKWVLQLVMEAISWSRCLVQFWGLVKIGDKTCLTTRDQPFALRYYCFDGQVLEKLCEYRKHCLKYSMPVDEDDDDHEIGPPGRVFRSGLPEYAWNVGDYTSREYPQRDYAVGRVEEYWALPIYHHPTQHLPIGVLEIVSLDDFNELSRRRVLEKLQEMNLTITGVSLLAKVNSCQDGEIARIEEALSEVSEICGLAYGDTKIWTTFGEILSSHGYGGVDFIRKGQGVVGRA >CDP22152 pep supercontig:AUK_PRJEB4211_v1:scaffold_11217:242:2215:-1 gene:GSCOC_T00006254001 transcript:CDP22152 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTCFFTVSPKSRYKSYFTTISAKPTFQLRRQASLLSFHSFKLRRSCNRARAAVIRASIDVTTSSIRPGGAVESDKLPADLRKRAMDAIDSCGRRVTVGDVASKAGLQFNEAQKALQALAADTEGFLEVSDEGDVLYVFPKDYRSKLAAKSFSIRIEPLLEKAKLGAEYLVRVSFGTALIASIVVVYTTIIAVLSSRSDEDNRGRRSRSYDTGFTFYFSPTDLFW >CDP20353 pep supercontig:AUK_PRJEB4211_v1:scaffold_1123:11900:18664:-1 gene:GSCOC_T00002524001 transcript:CDP20353 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTTTMVLFLDDGKRKVLISGAIHCPRSTPQVRTALLPWSSMWPDLIQKSKDGGLDAIETYVFWNLYEPVRGQYDFEGRKDLVSFIKLVKLAGLYVVLRIGPYVCADFFFGLKFWSHFGKMKHRISTLVEMQRFTTMIVDLMKQESLFASQGGPIIFSEITGSDFRTSLAFRCGTKLLKYSYFFTTNTGVVWTMCQQNGAPDPIPDVFSYNFIDYFQYHGGTNFGRTSGGPVISTSYDYDAPLDEYAKMGHLKDLHKAIKLCEEKRQCIWTCKNISAEVKEMLASVYSTESGLCSAFLANWGSQSDATFDFSGTSYNLPAWSASILPACKNVEFNTAKINSMRTLSRFTRSSEDVATGASFSGCSWVNEPVGISSQNAFMKLGSAERIGTAGDKSDYLWYSASIEIKGNEPSLEDGPRQFYMFSRWGMLFMLSSILLFGSMKGSSGNAKVALEVPISLITGENPIDLLSLTMGLEVQPSFHMYETGVTGPVQLKGFTNGSIIDFSSQLWAYQVILIGLKGEEIGLSSTLGIISETVQFFPSKIWFAVWYPLTQFLPNFTAPPLALDLSGLGKGEAWVNGHSIGRYWPTSNAPNEGCTSSCNYTGTFDSNKCLEDCRKPSQILYHVPQDWLKPSGKVLVLFEQMRGDPTKISFAMRQIGSLCSQVSEQDQQCCWSALLLIRSFLQSSLLVLELQREPVVVLAMVNAAARMQNPSFRRLALGQEDATLVSQLLHLVTLVQALQRL >CDP22153 pep supercontig:AUK_PRJEB4211_v1:scaffold_11238:490:1562:-1 gene:GSCOC_T00011432001 transcript:CDP22153 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYRFMSAVSRRRMKSLGGYSFIWEMWAYEILQLSPYKLKQDERDVLPRMWRWRSCNRASRQSPSTVEHFRRAIDTINPDNVNWLPFPAMALPSRYLKSKELTATRLLLDGPMGRFYYLGERVIRQVYAGVCAKQPSHRPSDMYSADTISGNTLHDVLDGLPIASLYPDPPPYATYDEFVRSRLMKPMTSSTLPPSGKECVIHICDQLPLSEATTPSLKHPINYPPWFVLCTQTDGSLQQEGIHRVGGDVIGLPLPCAVGNVVWHSSPCTSLGI >CDP20354 pep supercontig:AUK_PRJEB4211_v1:scaffold_1124:34250:35049:1 gene:GSCOC_T00002148001 transcript:CDP20354 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQEQFFKEQIKVIYEARDAKEDDFEKIQQEQREKITQQSHANPSSAEDPRVMAEAIAKSIKLQDKEMEEFVSQREKLMKSHEERMSEMKSRHLQEELALKRRHWEEEVAVEEEFNIEESKLMEKYMPAELRAGQ >CDP22154 pep supercontig:AUK_PRJEB4211_v1:scaffold_11247:791:2333:-1 gene:GSCOC_T00000984001 transcript:CDP22154 gene_biotype:protein_coding transcript_biotype:protein_coding IDLSKLDGPDHDQVVEAIVKAAETLGFFQVINHGMPLDFLESLKNAAHRFFALPADAKSVYLKGVSPSPSVKYGTSFAPEQEKALEWKDFVSMVYTNDGDAQQHWPKECKEEAFDYLKSSIEMVRRLLTDLIGNLGVTLDDSRLESLIGMKMVNMNFYPICPNPELTVGLYVKLEESKLDGRKEEWIEIPPIPGALVINVGDSLQILSNGRYKSAEHRVRTTSKQSRVSVPFFAIPRPTTKIGPLRHLAELDGGAIYREVEFQEYMSNFFGHAHDGKKSLDFVKISAN >CDP22155 pep supercontig:AUK_PRJEB4211_v1:scaffold_11254:2:510:1 gene:GSCOC_T00006900001 transcript:CDP22155 gene_biotype:protein_coding transcript_biotype:protein_coding AIPPADDVTMKLILHDWNDEECVQMLRKCKEAIPSKENGRKVIIIDMVLNDQQKGADDHEAIESQLFFDMLLMVLVTGKQRNEREWAKLFSEAGFNDYKTTLVLGRIH >CDP20355 pep supercontig:AUK_PRJEB4211_v1:scaffold_1126:454:1036:-1 gene:GSCOC_T00007014001 transcript:CDP20355 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLSKQLNGEDWMWNNLNTLLGNRIYIRINDGGTRKQVSCDVNYLQMKFIQANDRELQFSMVDGDFKKFEGKWTIKSSKR >CDP22156 pep supercontig:AUK_PRJEB4211_v1:scaffold_11269:171:1802:1 gene:GSCOC_T00001164001 transcript:CDP22156 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLISLRHLHYYTRDEEFQMPLEMGRLTCLQTLRFFKVGREKGRRIGELGSLKNLKGKLEIRNLELVKDKEGAEEAKLSEKANLFRLELWWACDREGDNYNDEDVLDGLRPHPNLEELEMWHFMGDQFPRWLMDLPTTTLPQLVHLLFYGCNRCRELLPLQNFTSLIELEIWYCDGLTNLPGDMLHSCTSLQKLWVIGCHNLISFPLDLQQTPSLLNLELYWCPKLKTSMMPKGFGFLTSLREITIGPISDDGDDHENSSIYNEFDWSGLIASSSPSSALHELHLIGLPHMESLPPQIQYLTTLTSLWLYDFGGIKALPDWFGNFTVLEELYLYYFKELRHLPSEDAMRSLTKLKSLEVYGSPLLKERCTPESSGPDSQWSKASHIQHLDIR >CDP20358 pep supercontig:AUK_PRJEB4211_v1:scaffold_1129:30260:38667:-1 gene:GSCOC_T00007031001 transcript:CDP20358 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEEVKVPRIKLGSQGFEVSAQGQGCMGMSAFYGPPKPEPDMIKLIHHAICRGITHLDTSDIYGPHTNEILIGKALKEGIRAKVELATKFAISYQDEKFDVRGDPAYVRACCEASLKRLDVDCIDLYYQHRIDTRVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLIENLAEGDYRKALKEGIRAKVELATKFAISYQDGKVDVRGDPAYVRACCEASLKRLDVDCIDLYYQHRIDTRVPIEVTIGELKKLVEEGKIKYIGLSESSASTIRRAHAVHPITAVQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLVENFTEGDRRKGMPRFQAENLEHNKNLYEQVNAIASRKGCTPSQLALAWVHHQGKDVCPIPGTTKIENLDQNIGALSVKLSAEEMAELESIASAIKGERYESDAGLGTWKTSETPPLSTWKRT >CDP20357 pep supercontig:AUK_PRJEB4211_v1:scaffold_1129:16116:19460:-1 gene:GSCOC_T00007029001 transcript:CDP20357 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEEVKVPRIKLGSQGLEVSAQGLGCMGMSEAYGPPKPEPDMIKLIHHAICRGITHLDTADMYGPHTNEILIGKALKEGIRAKVELATKFAISFQDGKFDVRGDPAYVRACCEASLKRLDVDCIDLYYQHRIDTSVPIEVTYGIADFAQGILKSENNENVLY >CDP20356 pep supercontig:AUK_PRJEB4211_v1:scaffold_1129:13285:15959:-1 gene:GSCOC_T00007028001 transcript:CDP20356 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILFRAQLFIGELRKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLMENLAEGDFRKGLPRFQAENLEHNKKLYERATAIASRKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLNQNIGALSVKLSAEDMAELESIASAGVKGERYGPEISTWQNSETPPLSTWKRT >CDP22157 pep supercontig:AUK_PRJEB4211_v1:scaffold_11290:164:616:-1 gene:GSCOC_T00001521001 transcript:CDP22157 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLGLDVDPVAHEIAQARIKGDLYPDCCKFTSDLQVKTFVNNFKNIKSVLHDIDEKLLVDGILMDLGMSSMQVNNAERGFSVLNNGPLDMRMNPQVTAATSLWSSMF >CDP22158 pep supercontig:AUK_PRJEB4211_v1:scaffold_11291:103:1390:1 gene:GSCOC_T00008609001 transcript:CDP22158 gene_biotype:protein_coding transcript_biotype:protein_coding MPESKFLVLLLIWVAFLVCFAGRSDYKNGANQDGHPSGLPRPYGGRGRGRGRGRGSYDVVGALVALVATALVVGVLRVVAGHRVQMQVPMLETQPGVVAKRVQCKLKVVMVDGRGAVVVVVAGDVLACRSHVFLFDHIL >CDP22159 pep supercontig:AUK_PRJEB4211_v1:scaffold_11292:1887:2327:-1 gene:GSCOC_T00000034001 transcript:CDP22159 gene_biotype:protein_coding transcript_biotype:protein_coding GLPSSLQCLDISTCKKLISRRREWGVAKLPSLTQFRIGGIDDEVESFPEEDWLLPCTLQSLQLWAHKNLKKLSYSGLRHLCSLQTLYIRNCTRLQSLPEEGLPASLTTLEIEKCPLLKPRLRWKKGQDWPKVARIPCIIVDLELVP >CDP22160 pep supercontig:AUK_PRJEB4211_v1:scaffold_11295:1674:2219:-1 gene:GSCOC_T00005682001 transcript:CDP22160 gene_biotype:protein_coding transcript_biotype:protein_coding FGLARLYDHGTLPQTTHVVGTIGYLAPEHNRTGKATTSTDVYAFGAFLLEVACGRRPIDPQAPEEDVILVDWVFSCWKAGDILRAVDQNLGTEYVKEEAEFVLKLGLLCSHSEPSIRPSMRQVLLYLDGSVLLPELSSLGISAVGLGFANPGSSDGISSSLSSMDKGFSHTVTESILSGGR >CDP20360 pep supercontig:AUK_PRJEB4211_v1:scaffold_1131:13210:19177:-1 gene:GSCOC_T00007786001 transcript:CDP20360 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFFEERTHSDTMKETQGCSKVIKKELAQQAPIEEKSIDNMIQLHPIPESTLCTFCMSGNICRTVQSRTKLMNILLERGKPQEAQSIFNNLIEGGHKPSLVTYTTLLAALTVQKRFNHIHSIISHVEENGMKPDSIFYNAVVNAFAESGNMEEAMETLLKMKESGMKPTTSTFNTLIKGYGLIGKPEESLKVLELMSKEENIKPNLRTYNVLIRAWCNKKNLKEAWNVISKMVTSGLRPDAVTYNTIATAYAQNGQPEKAEGLILEMQKNEVHPNERTCGIIIAGYYKEGKVRDALRFAYRMVDLGVHPNLVVFNSLIKGFLKFSDRDGVDEVLSLMEECGIKPDVITFSTLMNAWSAAGYMIKCREIFDDMIKAGIEPDVHAYSILAKGYVRALEPEKADELLNIMVDSGIRPNVVIFTTVISGWCSAGSMECAMRVFQKMCNCSISPNLRTFETLISGYAEAKKPWKAEEMIKLMKEFNILPQKTTFLLIAEAWRAAGLSKEANRIMDSIEKQSVNYQLDTDNETAVQSLEKIYQKDAVDFSRPKLLQIPGEPVNDHKSLAAATKKGRAVLGEAELSSETLCTATRSMYLACRFGPRSPIVCPRQYQRQLYISGQLPHSCTVGFLN >CDP20359 pep supercontig:AUK_PRJEB4211_v1:scaffold_1131:7214:13154:1 gene:GSCOC_T00007785001 transcript:CDP20359 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQQQLLLTASPPPVRKFLIPSSPIPLTSSNLSIPLPKLSFSSRPFCNPSLPLRFTATPSRLICKFDNNNSDMVSQLELGKLEGRQKPEKRVNGIFWILLLNLGIYVADHVFQVRAIKALYLYHNWPAWYQFLTATFCHFNWKHLSSNLFFLYIFGKLVEEEEGNFGLWLSYIITGAGANLVSWLVLPRNAVSVGASGAVFGLFAISVLVKMSLDWRKILEVLILGQFVIEKVMEAAQASTGLAGGFRGGFALQNVNHIAHLSGALFGVALIWLLSRFPSQPSNTSTSNMQRRKD >CDP20361 pep supercontig:AUK_PRJEB4211_v1:scaffold_1131:21481:23318:1 gene:GSCOC_T00007787001 transcript:CDP20361 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSSSVFVKLFSFLKSSLLFRSDISSSPANKSFQSNQKPPATSHQQTNPSFFAGGISNSDKDPISESAFSFVLVCSYLIRKMCNYFDFAPPKARELRVRVLCSALCFSDIHFWRLKEPHGYYPRIFGHETVGVVESVGEGIEDVKVGDTVIPSFLAYCGECPDCTSIKSNQCSKLRFELSPYIRDGTSRFSDTKGETIYHFRYTSGFSEYTVVDITHVTKVDPALPASRACLLGCGVSTGNAHSLRFFLAQFDSF >CDP20362 pep supercontig:AUK_PRJEB4211_v1:scaffold_1131:27394:30892:1 gene:GSCOC_T00007788001 transcript:CDP20362 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLFIFLLLSCLTVNFFPPKSKAIFNSQLPPGTTSWPINEKILQGSVQNLTTFRTNCCFRGAAANKFLFSNENKLVSLAWPRNIRKIFPYSATPTTTIADSSLKLRVMLLGFLKPDKFAWTSFYRGIKAAHSIRKKLLGIIRQRKMDLVEKKSCHADDLSSYLLTVPDDGGKFMSELETADKIWGSIIGSQDSTTTAITFVMKYLAEFPDIYDEVLKEQRSIAEKKIPGEPLNWKDPQNMKYAWNVACEVLRLVPPIQGNFREALTDFTFSTFFIPKGWKLNIFVYIYWTPNTTHKNPEYFPQPEKFDPSRFEGTGPIPYAYIPFGGGPRICPGKDIARLVILVFIYNVVGKFRWEKLIPDENTVVVPVPLPAKGLPIRLYPHST >CDP22161 pep supercontig:AUK_PRJEB4211_v1:scaffold_11314:1448:1763:1 gene:GSCOC_T00012937001 transcript:CDP22161 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNYGKTTIVMKMNGEDTSVISPTLGFNIKTIIYEKYSSCFSKFLLLF >CDP20363 pep supercontig:AUK_PRJEB4211_v1:scaffold_1132:1181:5475:-1 gene:GSCOC_T00004232001 transcript:CDP20363 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFQASKIDQHPIPATKKEQFITLHIPDDFPLQWRQQGYTHMHFGAIRISLSYHGRKGLPVVARVALLDTRFTQYQHAYIATVETTLNAGTAFITLFPNFNMSLADPHLLDALKVQVQIIGADQVQDAIVATLHYQMVYRVQNHALDLKVLGSEDALLIQVDEKNSTSCIHLWDHYEENYQKGFLQDIIDHFNDNREPIYHFQDPIFGHIYLDTCTRCEECYWAEQLELDVSEISFKKKSKPIDPQPFEPRPCKSDPKPQNPDADNFQAARSSFDGYQIPSKWVYQLPKKEKILHPYYQKSDFPPLESFVKNGSKHTPKIQNAAPVILPTGESATTDISYEILNWQTENSLVQNSALTSIHQNVSTASQQVTNFVLNQEKETAFLQKQIATLKTTGEIPKYNIGPSEPPPQVSSGFGAIPLRDWPTSFYFGNVTTPNPSVFFPDQPQPVSQKPWDIAEVLCEYRRKKKEQAKKEKEDQKIEAEQRKQDEERQRKQKQIVQKAVEAAKVYENPLSSMLEDLHQQSIPCVTTSKVDDSMESTPSDEQEQNDTSEEDIFVEESSESTSDYESPDSNSFSDKDKEENPLMLEENPLILMNSEVVEEPESDEETSTNRPPPPRQDFQKIKGVQLFSIDDIPAGKWEAKFQEFHAWMTAQNLHEESHFEILSTFTAHFSGILKDWWTSLGDADKMTFLTRQDFSENINILHLMFIGDVKESRTRNPYAKPKENGKRPIYPQALVHLLMDKYSMPIPLIAFVDTGASLSIMKKDVLPDHFWTSYEKEFTGADGKSFYTDFITKYPITLKILPDCVINTQFLGANLDSGKDLLLGFDVYREDKFFITARGIRSKKFFKTFTEIPNLYLIEDETSISLEERLKKFREKIIKESCASNHKEFLKKCDHPLWKNFNFYIHLPFKKNEDINPTKASHSGINLEDTQLADQECQELLKYGLIEISDSQWACQAFYVNKRSERVRGKMRLVINYQPLNIFLLDDKFPIPNRFTLSLKLRKLKGFQNLI >CDP20364 pep supercontig:AUK_PRJEB4211_v1:scaffold_1135:20926:21310:1 gene:GSCOC_T00013620001 transcript:CDP20364 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLSKQLNGEDWMWDNINTLLGNRIYIRINDGGARKQVSCNGDT >CDP22162 pep supercontig:AUK_PRJEB4211_v1:scaffold_11354:158:694:-1 gene:GSCOC_T00012849001 transcript:CDP22162 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR12 [Source:Projected from Arabidopsis thaliana (AT5G04740) UniProtKB/TrEMBL;Acc:A0A178UNY1] MAIANAFFSPAATATLAPVRSKLSHSDCDFSASHLNLSFSTSWSKLTRGLFHSGITTKKNISCASIDGVESVSSASLNSEKDRDGIPMPIVLIDQDSDSDATIVQVSFGDRLGALIDTMKALKDLDLDVVKGTVNTEASVIETKFFITRLASGRKVEDPDLLERIRLTIINNLLKYHL >CDP22163 pep supercontig:AUK_PRJEB4211_v1:scaffold_11357:503:1595:1 gene:GSCOC_T00011156001 transcript:CDP22163 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLPLICRETFLEFTSKQQLMNEIIVKAMAKSLKLEKNSFLDQFGKNPISLTRLKFCPPCPWLDCVLAAKPHGDASGTTYLLQDKEVEGLQVPKDDQWYRVPLTPDAIVFNCGDQLEIMTNGIFKSPIHRVVTNKKKERRTVALFFSPELTIKFESEFEFQILHIYHRSNHENIYYQYI >CDP22164 pep supercontig:AUK_PRJEB4211_v1:scaffold_11364:1120:2225:-1 gene:GSCOC_T00004662001 transcript:CDP22164 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSLPKCRIAYSMIKDAEEKGLITPGKTVLLEVTGGNTGIGLAFIAATRGYKLIIVMPHTYSLERRIILRAFGAELYITDAAIGIDGVLKKAEEIMEATPNCFFLKQFENPANPKIHYETTGPEIWKNTEGKVDALVAGIGTGGTVTGAGKFLKEKNADIQVYGIEPTESAILSGEKPGDYNLDLAFLIFYGLYYVQDCLLLGLFYC >CDP22165 pep supercontig:AUK_PRJEB4211_v1:scaffold_11380:121:1463:1 gene:GSCOC_T00009926001 transcript:CDP22165 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLEVDPSYDQARRQIVRLKPLADEKRQKMKEEMIVDHWEIGNCNSGQFGMSADNFKAVKDPNTGSYSIPFQC >CDP20365 pep supercontig:AUK_PRJEB4211_v1:scaffold_1139:9563:9775:-1 gene:GSCOC_T00008210001 transcript:CDP20365 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEDNEGIPPDQQQFIFTDKQLEDCRTVANYNILKESTLHLP >CDP22166 pep supercontig:AUK_PRJEB4211_v1:scaffold_11417:1082:1591:1 gene:GSCOC_T00007688001 transcript:CDP22166 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALGCITPEVLEKYLKVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSMLAVLGFQVVLMGLVEGYRINGLEGVEEGNDLYGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSVFGFFVQAIVTGKGPLENLLDHLDNPVANNAWSMPPNLSPDRKLFLLCLD >CDP22167 pep supercontig:AUK_PRJEB4211_v1:scaffold_11421:1:1894:1 gene:GSCOC_T00012245001 transcript:CDP22167 gene_biotype:protein_coding transcript_biotype:protein_coding SITSTSNPFVKHCLKLRQSSSYRHSHGSVILVGSTPIRELYRFQNKIEDGLAAIECLLVLDNTNVPEYLNNQSIRHVNVSSLVMKKLSGLQSTDSIDMVALIRIPSTFQSIGSYLKEEDCHKWFPSPYRILVLDGVQDPGNLGTLLRSAMAFRWVRKIVPF >CDP22168 pep supercontig:AUK_PRJEB4211_v1:scaffold_11444:1651:2136:-1 gene:GSCOC_T00011347001 transcript:CDP22168 gene_biotype:protein_coding transcript_biotype:protein_coding GLWSGMLGGTVMQTIILLWVTVRTNWTKEVEAALKRLDKWEDRKKEALLKG >CDP20366 pep supercontig:AUK_PRJEB4211_v1:scaffold_1146:16020:17244:-1 gene:GSCOC_T00012388001 transcript:CDP20366 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIALIAVFSIVLFACITEARKNPTDFLQSAVINEDAEDNHHAESSLSNQKKTTNGNTLKDFESKPDSFFFYHGKDAESEEKKPLMEDFESKPDSFFFYHGKDAESKEGKPLIEDFESKPDSFFFYHGKDAESKEGKPLMKDFESKPDSFFFYHGKDAESKVGKPLMKDFESKPDSFFFYHGKDAESKEGKPLMEDFESKRDSFFFYHGNDAKFKEEKPLVKNN >CDP20367 pep supercontig:AUK_PRJEB4211_v1:scaffold_1146:38546:39796:1 gene:GSCOC_T00012391001 transcript:CDP20367 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPCVQFALAKLDAFLTGELRLPKEVDTGIRTLRSELGTITAFLSAAHQRAVQDQQILNWVRKVQDAAYDIIDILDLFDHHKAENGRALSVTRRRAYRSIADQINDIKSTLEEINKGRERYLPANSSHAALTPSPTTNRDYSDLHPRIVPLFLADADVVGFEEDKDMLMAWALDMVDEHNKVMFVVGMGGSGKTTLAKQVFEAVKQDFGCSAWISVSKSKKKLEILRNMLDQLCRCSSRAETAPTPQQQSSEHYINLIREYLLDKRYVIVLDDLWADDVWRSIMLALPRRNRSRIIITTRRGDIAYSLKDRSVAVHPIQQLSLEKAKELIHRIAFPGSRICPPALATLSNEILGKCEGLPLAITEIGHLLSTKGEREPEWKKLRDSLASELRSNGRLANIAKVLILSYDDLPYHD >CDP20368 pep supercontig:AUK_PRJEB4211_v1:scaffold_1147:12285:18247:-1 gene:GSCOC_T00013265001 transcript:CDP20368 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLLTTLLLLSIFSLKFASPRSIVDTLPGYSGTLPFKLETGYISAGRDDEVQFFHYFIESEREPDRDPLMLWLTGGPGCSAFSGLVYEIGPLNFDFETFDGSLPAFVLNPYSWTKIASIIFLDAPVGTGFTYATTSEGYHSSDVQTVGDIYTFLQKWLLKHPNFIKNRLYIAGDSFAGYLVPMVVNKISQGIEAGVNPRMNIQGYVIGNPGTEYHIDKNSVLPFAHRMALISDKYYQMAKVNCHGEYENPDPNNAWCLYALQFYKECIRNICQANILEPACKFRSSKPGASELDKNTYLEDDPIIRMLPQSNKKESRCRNDNYVLSFVWANDQAVQEALHVRKGTIKEWRRCNKSLSYDQTEYSVVRYHKLLIKKGYEALVYSGDHDMVIPYIGTLKWIALLNLTVDDDWRPWIVDGQVAGYTERYIYSKIQSHLTFATVKVR >CDP22169 pep supercontig:AUK_PRJEB4211_v1:scaffold_11478:662:2290:-1 gene:GSCOC_T00004612001 transcript:CDP22169 gene_biotype:protein_coding transcript_biotype:protein_coding VLVNVLKRHLRIAGPLVCDGEFFHVRCGAHILNLIVKAGLEIVEQPLSKIRESVKYVRSSEARKVKFAECIARVSINCKKKVRQDISIRWNSTYLMCESALEHRGTFQELQLVDPNYKWFPTDEEWKKVHRISLFLKPFYDITTLFSGSHYPTANLYFHGVWKIQQLICEEMHSQDDHFVQMAMKMHDKFNKYWECYSFVLSFAIYPRTFSARVREIREKLHLMFDDYMQLYPSVMVDSSQVASSSEGVFSNLADEIDEFTMYESEHYNLNRNTSQLDLYLNENRLPAKEDLDVLQFWKENKNRYPVISLMARDILSIPITTVASESAFSIGGRIINKYRSTILPENVEALICTKDWLYGEKFSQENAEEEAALITDFAPLVTKLLGSNREVYEEEI >CDP20369 pep supercontig:AUK_PRJEB4211_v1:scaffold_1148:22703:23516:1 gene:GSCOC_T00004701001 transcript:CDP20369 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMSYFILFLLIASCFRLEVEAEELIKNYAKPTRKFLQDEILIKVFGIKDDHGENACAHTNAGCFRDKCCSGCECLRFLLRCVGTG >CDP22170 pep supercontig:AUK_PRJEB4211_v1:scaffold_11483:379:2213:1 gene:GSCOC_T00006527001 transcript:CDP22170 gene_biotype:protein_coding transcript_biotype:protein_coding MKITLIRQCTKFRLEQFRHSLVLTLLETHKRDQHLLNPTVIDSFRGYLDEVNVKFKATPGSVLITTAQGGSFCRGFDFRYVRSHARGSEDKAYKEMNDGFKDVVKDLISLPMPTIAAINGYATEAGLILALSHDHLTMKQVVEPYLRAELLSRKRSYPGYFAALIRSKVGCPLARRKLLLRDVQIDAEEAAKIGLLDWNHEVASEKDALEVAKTQADELAKKEWNGELYAEMRQLLYPELCKELELTSSHSC >CDP22171 pep supercontig:AUK_PRJEB4211_v1:scaffold_11486:152:1604:1 gene:GSCOC_T00002068001 transcript:CDP22171 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLCIAFETIGGLCEKTLNHRGVKWNLPILLLDLSNDLSEAKPAEESPACIALSRNDSYVMSAPGGKVSLFNMMTFKVMTTFMPPPLAATYLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKTKLKGHHKQITGLAFS >CDP22172 pep supercontig:AUK_PRJEB4211_v1:scaffold_11487:449:1345:-1 gene:GSCOC_T00010101001 transcript:CDP22172 gene_biotype:protein_coding transcript_biotype:protein_coding MISRNLLFHLQCYMLCLLSFRATLTSPVTFRASFCDPNTTYNPNSTTGSIYGTHLNFTLNTLSSNASRTDNDGFYKISTGDDPSNTVYGLFLCRGDVNTDVCEECVANASIQVFKDCLNRKVAMVLYDECLLYFSNQPIFPDVDRFDFYLIMRNPHNISEPDRNNFIMVLGKLVYNAVDQAANSTRGKKVAVQDDDYSSDRLYTLVQCTPDLSGDECKKCLNRAIRNLDVVNLPIAGNVTRGGRIVFPICNIRYEFYPFYNTVSSPPSPPPPNSPGGPPPSSTKGKFWSLAMAIYFWC >CDP20370 pep supercontig:AUK_PRJEB4211_v1:scaffold_1150:9358:10985:1 gene:GSCOC_T00004610001 transcript:CDP20370 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMLKVFFLGCILHLASAQLQNGFYSTSCPRAESIVQQVVVKKFSADRSITAALLRMHFHDCFVRGCDASILIDSTKTRDPTVFLDQNTSFIVDNQFYNQIRSKRGILQIDQELALDSLSAPLASRLAANNNLFQQSFVNAMMKMGSVEVLVGNAGEIRKNCRVFNKSGGRA >CDP20371 pep supercontig:AUK_PRJEB4211_v1:scaffold_1150:30433:31927:1 gene:GSCOC_T00004611001 transcript:CDP20371 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKIIFFLLSFTIFELSSAQLRVGFYSSSCPRAESIVKQVVQQRFRTDRSITAALLRMHFHDCFVRGCDASILIDSTKSKSSEKDAGPNLTVRGFELIDEAKRNLEAACPSTVSCADIITLATRDAVASAGGPAYNVPTGRRDGRVSDSSEVNLPGPSLSISDAAQFFTAKGLTLNDMVVLLGAHTVGVAHCGFFQDRLSDFQGSGKPDPTMDPALAARLLRICGAQSRPLNRDPTVFLDQNTSFIVDKQFYNQIRSRKGIMQIDQELALDKLSAPLVSRLAANDALFQQSFANAMIKMGRVEVLVGRAGEIRKNCRVFNPTKPKGRTG >CDP20376 pep supercontig:AUK_PRJEB4211_v1:scaffold_1151:23074:25900:1 gene:GSCOC_T00008903001 transcript:CDP20376 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLWKCFPNPLQVVKFLVLVSAVVCVIGRVECRKSRILEGSSDVFEYSAISCRGHSASIEEFGGVGDGRTLNTKAFQEAVNQLSQYASEGGAQLYVPAGKWLTGSFNLTSHFTLYLHKDAVLLASQDIGSWPVVDPLPSYGHGRDAAGGRYISLLFGTNLTDVVITGDNGTIDGQGALWWQQFHKKKLKFTRPYLIEIMHSDTVQISNLTLVNSPSWNVHPVYSSNIIIQGITIIAPITSPNTDGINPDSCTNTRIEDSYIVSGDDCIAVKSGWDEYGISYGMPTKQLLIRRLTCISPYSAAIALGSEMSGGIQDVRAQDIVAINTESGVRIKTAVGRGGFVKDIYVKGMKLQTMKWVFWMTGNYGSHADNHYDPHALPAIQGINYRDVVADDVKMAARLEGISGDPFTGICIANVTIGMAQKAKKYPWTCADVEGIASGVVPPPCASLPDQGPEKVGMCDFPQENLEIENVELRSCSYQIRY >CDP20374 pep supercontig:AUK_PRJEB4211_v1:scaffold_1151:11244:16818:-1 gene:GSCOC_T00008900001 transcript:CDP20374 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRFSRLRSLCYSAKFVDSFDVRRTSAFGTGNYYSRYCRESGFKLNPICSGLGITGLDSAVGNGYSRGKYTSPSWSSFSNLAIRSGAPFGGVGPMLNYRSYSSSTNSQDGSPQVPAAASGDGGSSASDWAEKVKEIWNSTVDAVTYTGEKAREASSEVTPHVQQLLDTHPYLRDVVVPVGGTLMGTLLAWMVLPSVLRRFHKYSTQGPAALLSGSSLWGPVPYENSIWGALEKPVRYLVTFMAVSQIAVMVAPTTIAAQYIAPAWRGAIILSFIWFLNRWKTNVITRALATKSGVDRDNLLTLDKISSVGLFVLGLMGLSEACGVAVQSILTVGGIGGVATAFAGRDILGNVLSGLSVQISRPFSIGDTIKAGSVEGQVIDMGLTTTSLLTSEKFPVIVPNSLFSSQVIVNKSRAQWQAVTTKIPVQIGDFDVIPKISEDIKIMLRSNSNVFLEKEAPYCFLSRVERSFAELTIGCNLKAMGKERFFAAQQEVLLQSVKIIKQHGASLGNYAEDTSY >CDP20373 pep supercontig:AUK_PRJEB4211_v1:scaffold_1151:4508:7356:-1 gene:GSCOC_T00008898001 transcript:CDP20373 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVESARILLHSMKNQSSILGWLTKLMVSVILVSFITCVIYYIKLLCPLAIYIETPLHIHDLEKSSSSSSSSSLQTQSYSSQNKSNVRGVQESENPGKTQLHHIVFGIAASSHLWSQRKNYVKLWWRPGEMRGFVWLDKTVSTGTEDDNSLPTLKLSSDTTKFRYKNTEGDRSALRLTRIVSETLRLGLEDVRWIVMGDDDTFFVADNLVRVLSKYDHNQFYYIGSNSESHLQNIRFSYNMAYGGGGFAISYPLAKALEKIQDNCIQRYPELYGSDDRVHACLAELGVPLTKELGFHQFDLFGNVFGILAAHPIAPLVSLHHLDLILPIFPNVNQVEALQRLKVPMELDSAALAQQSICYDNARNWTISVSWGYAVQIIRGVVTPREMELPARSFVNWYKRADETGFTFNTRSLNKNSCQRPAVYTLSAAHYNPLTNQTVSQYVYYKKSGHQCEWKMADPSQIRKVEVYKKPNPFLWDKSRRRNCCRLLPSEKKDTMIIDVGECVEDEIIEMYSRI >CDP20375 pep supercontig:AUK_PRJEB4211_v1:scaffold_1151:17771:19201:-1 gene:GSCOC_T00008901001 transcript:CDP20375 gene_biotype:protein_coding transcript_biotype:protein_coding MASDENIHVVLLPWLAFGHIMPSFQLSIALARAGVHASLVSTTKNIQRLPKLPPDLEGSIDLVGLPLPAIDRNLLPEGAEATIDIPFHKIQYLKIAYDLLKNPFKQFIADQAKSPDWIVADLLTHWAGEVGQQLNIPIICFYPFSAATAVFFGPPEYLAGEGQKRVRSTPESLMRKPEWVDFPSTVAYRKREAIGVHAGFYHENASGIATGQRIAKVIQACKAVAIRSCPEFERDYFYLQEKITGKPAIPLGFLPPETSNNLRDESWNNIFQWLDEQKPIKSVVFVGFGSECKLRKDQIHEIAYGLEVSGLPFIWVLQKPSWGDSDNEDDILPLGFGSRVRGKGITQIGWAPQREILAHPAVGGCLFHAGWGSVTETLQYGHSLVVLPFIVDQGLNSRYLVEKGLAIEVERSEDGSFCKDDIAQSLRRAMVPNVEDEGEALRLLRARAAEAAALFGDRELNGCYIERFVEYLKTET >CDP20377 pep supercontig:AUK_PRJEB4211_v1:scaffold_1151:25962:33745:-1 gene:GSCOC_T00008904001 transcript:CDP20377 gene_biotype:protein_coding transcript_biotype:protein_coding MSVESSSGSGDHHHGHNIRGVPTHGGRYVQYNIYGNLFEVSRKYVPPIRPVGRGAYGIVCAAMNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHDNIIALKDIIRPPQKENFNDVYIVYELMDTDLHQIIRSNQHLTDDHCRYFLYQILRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRQPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYVRQLPQYPRQQFSARFPNTSAGALDLLEKMLVFDPSKRITVDEALCHPYLGPLHDINEEPVCPRPFVFDFEQPSFTEENIKELIWRESVKFNPDPTH >CDP20378 pep supercontig:AUK_PRJEB4211_v1:scaffold_1151:37238:39920:-1 gene:GSCOC_T00008906001 transcript:CDP20378 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQDPHRPSFPFGNPFRMIMPKGSYLSPKLTELLNSFEESLAQRLRMLMPADREEILSLLWMKRAVESLSAIHTDIKTLITGLELPVSDWDEKWIDVYLDNSVKLLDICIAFSSELSRLSQGHLFLQCALHNLDCASSKQFVRACSSLDGWRQHIGSKNPRMENCFSILDNLIKTLNLPKIKNSSKGKVLMRAMYGVKVVTVFVCNVFAAAFSGSAEKLLDLQVEEIRLWAEAFAGLQGFVNGEIRSIYSSGKATVLKELEAVDANVKKLYPIVQEGVGEEAEAYKNSVSDLGKRAEKLSQGLDLLAKEVDGFFQIVLSGRDALLCNLRVGTNVTDQLQEKKNVEVR >CDP20372 pep supercontig:AUK_PRJEB4211_v1:scaffold_1151:750:3003:1 gene:GSCOC_T00008897001 transcript:CDP20372 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYGSELLGIAASFVRPPKNVEAPQGTSELALDRSGITDRNSIVEAIKDDLQRSYFVTGNLTLDAYEEDCEFADPAGSFKGLRRFKRNCTNFGSLLAQSNMKLMKWEDVEEKGIGHWRFSCIMSFPWKPILSATGYTEYYFSKESGKVCRHVEHWNVPKMALLKQILRPSRGFWQKNEGS >CDP22173 pep supercontig:AUK_PRJEB4211_v1:scaffold_11522:3:1611:-1 gene:GSCOC_T00011956001 transcript:CDP22173 gene_biotype:protein_coding transcript_biotype:protein_coding MDRACNYFSVGTLLTTSLVVMAAANLITDKSALVAFKNHIVSDPHLIVAKNWSISFSVCDWIGVTCDSSRQRVVALNISNMGLTGTIPPQLGNLSFLVSLDMSSNSFHGHLPEGMSRLRRLSFMALSNNNLTGEIPSWLGVLDRLQYLSLRNNSFVGQILSGLSNCSGLKSLHLSYNQFNGYIPKAVGNLKMLEELHLGDNNLKGYFLVFLFFIQFHIYILSLSMDTYQKEVGYLKMLGINNSRGGGSSIPEEIGNLQSLRALSIENSNLNGSIPREIGNLTMLEEVDFSFNYITGNKVVRSFSFLLVLLTIVSRLVRQKKKIYIYIYIYI >CDP22174 pep supercontig:AUK_PRJEB4211_v1:scaffold_11533:1151:1807:1 gene:GSCOC_T00011307001 transcript:CDP22174 gene_biotype:protein_coding transcript_biotype:protein_coding LSPYLVAFEPLLPSIPETSTLAPRIKPSWIAFAGSERLIREAEKNQLDVNVERTIFDVKIKDSKVKVFSLEEISVMVLTKMKEAAEAFLAKKIKDAVVTVPSIVQFLELLATKNAGIIAGLNVARTINEPRAAAVAYLTTN >CDP22175 pep supercontig:AUK_PRJEB4211_v1:scaffold_11542:594:1545:1 gene:GSCOC_T00006219001 transcript:CDP22175 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLPDNHLSGVLPSNMCHGLHNLEEIDLGGNNFSGAIPASISNCSKLTGINLDDNKFSGPIPNSIGNLRRLEILYLVGDNPLNGILPRSIGNLSISVEWLDVRNSGLRGNIPDSIGNLSNLVLLILSDNSLTGSIPTAIWGLQKLQDLDLSNNSLTGLLSRGLCGFLWDLKGLEWVNLSSNLLRGPLAPEMGELKNLTRLDLSNNQFSGKIPSAIWSSENLDHLSLANNSLQRSIPDNL >CDP22176 pep supercontig:AUK_PRJEB4211_v1:scaffold_11577:191:1330:-1 gene:GSCOC_T00013760001 transcript:CDP22176 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVLPAGKTPGGLYFLSNLDQTFPYPVEIVFSYKGKGNGTNKTSAEVLKTELATKVLVEFYPLAGCVATSWDGKMLVRCNGEGVPFVEATCEYEMELLGNINPMNRRELRIVHPLQLSRRVTTFKCGGIVVGVVLNHVLIDGKSFIHFVNSWAEVARGMTLSVLPHLWIDLYYLQGSLQSSILLMMNT >CDP20386 pep supercontig:AUK_PRJEB4211_v1:scaffold_1158:256:1852:-1 gene:GSCOC_T00012819001 transcript:CDP20386 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSKKIVKKRVKKFKRPQSDRKISVNTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVEHVAQLEVVVTNKLARLRSQEDE >CDP20387 pep supercontig:AUK_PRJEB4211_v1:scaffold_1161:402:2266:1 gene:GSCOC_T00008096001 transcript:CDP20387 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGLINCVGYVFHLSIFHSQLQSFLATTCSISIQLLKRFKKANMCSAADHPSIALRLLHQKCGPNGPIQSGKAQRLVILGGTGKQ >CDP20390 pep supercontig:AUK_PRJEB4211_v1:scaffold_1161:23198:27667:-1 gene:GSCOC_T00008101001 transcript:CDP20390 gene_biotype:protein_coding transcript_biotype:protein_coding MENPKLQLQEHMGSENLSSINEVSELVDKGAQKISVSHQTNGVEDYTARKASDSFIVDIERFASHLSTDKDINANSRITRSLSRKASQQRGGEKKSNPIANGEKGYGSIASSPRASLLQGGSSSTPEKPMVLVVGATEHSHSPQVHNPITIVTGSLAGPTESRISTASRRFSLRRSSSPSWTIDPRRILFIFATLSSMGTILLIYFTLSMNKFTGHDNGLN >CDP20391 pep supercontig:AUK_PRJEB4211_v1:scaffold_1161:36452:38108:1 gene:GSCOC_T00008102001 transcript:CDP20391 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRVSKHFLFQLIFLALIAFNRANGQGLTPDFYKHTCPNLVYTIRTVVDEVMSVAPTLGGPLMRMHFHDCFVRGCDGSVLLDTPNKTEKYAIPNLSIRGFQIIDRVKTAVEKVCPGIVSCADVLAAVARDVTVALNGPSWEVEFGRRDGRVSTVNEALANLLSPFANISTLKQGFQAKGLSVKDLVVLSGGHTVGTSHCSAFNNRLYNFTGKGIQNDADPTLDSEYVPKLKSKCKFGDRNTLVEMDPGSFKTFDLSYFSLVAKRRGLFQSDAALLDDSETRAYVKLHALKDKAGFFKDFADSMIRMGRIGVLTGIRGEIRKVCTKVN >CDP20388 pep supercontig:AUK_PRJEB4211_v1:scaffold_1161:3289:8300:1 gene:GSCOC_T00008097001 transcript:CDP20388 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSHDPPFQEQYNSLFNKSPDTGPKYANDYDLFIVQECELPLIDLAQLNSGELARERCKKDIARASQEWGFFQVLNHGISREILDKMRSEQIKAFKKPFHEKMNESGFGLLAGSYRWGTPSATCLKQLAWSEAFHVPLNAISGLGGRSSLSSTMEKFAKTMSELAHKLAEILAENMGHKSTFFRQTCLPSTCYLRMNRYPPCPISPRVYGLMPHTDSDFITVLHQDQIGGLQLVKDGKWISVKPNPEALIINIGDLFQAWSNGVYKSVEHRVVTNMLKERYSIAFFLCPSYETTIKSCVDPSVYRKFSFREYRDQVQEDVKKFGYKVGLTRFLV >CDP20389 pep supercontig:AUK_PRJEB4211_v1:scaffold_1161:18726:23137:1 gene:GSCOC_T00008100001 transcript:CDP20389 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDGTGWTAEHSVNAALGQFEHCLVDRVCPVSTHLFSGIDDVERLMEVIKQAAKEGAMLVYTLADPSMAESAKQACQLWGIPSADILGPITESIASHLGVSPSGLPRWARGRSSSLSEDYFRRIEAVEFTIKQDDGALPENLHKADIVLAGVSRTGKTPLSIYLSQKGYKVANVPIVMNVKLPSALFEIDPEKVFGLTINAVVLHTIRSARSRTLGFAEDIRSNYSEMDHVKEELEFAAKIFAQNPVWPVIEVTGKAIEETAAIVLRLFHDRKNRCSMPRISKRY >CDP22177 pep supercontig:AUK_PRJEB4211_v1:scaffold_11619:2:649:-1 gene:GSCOC_T00013334001 transcript:CDP22177 gene_biotype:protein_coding transcript_biotype:protein_coding MIELANELGVPSYVFFTCSAAFLGFMLYLPIHYNQIGREFETSDSDSIIPTYSHPVPTNVVPSFAFNKYGGYASFLKHATRFKETKGIIVNTFAELEPHAVNQLKSDSETLPIYTAGPLLDLEGKRQDSDCERIMKWLDDQPPSSVVFLCFGSMGSFEPDQLAEMAIALEHSGYRFLWAVRSPPSKDDITKRMGEYSNLSEVLPEGFLERVENRGL >CDP20395 pep supercontig:AUK_PRJEB4211_v1:scaffold_1162:28616:29136:-1 gene:GSCOC_T00010351001 transcript:CDP20395 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLEHLVESIKSKVKALNLKKKKKPYVKMDKSASVKVEIRSRKARQLIDKTLKGADRPGKRSVS >CDP20393 pep supercontig:AUK_PRJEB4211_v1:scaffold_1162:7381:9671:-1 gene:GSCOC_T00010347001 transcript:CDP20393 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISGGGDHLRKKATGVRTWLQLDSTGESNIVEAGKHGVMRRTGLSGLDLRILDPFLSYPATLIGRERAIIVHLEHIKAIVTAHEVLLLNSREPSVAPFVEELRHRIMQHHQATEALSREEESLLTRGQNGDNTGPPLLLPFEFVVLEACLDAACGYLDEEATALEEEAYPALDEVTSKINTLNLERVHRIKNRLAQLFQRVQKLRDELERLLNNDEYMAKMYLTNKDAVERRLRNSSLSSIKHEIVQRVLDERHGMVSGETLAQDRGNSSRFSVDFHPAEQHHQKRAIRTASSLSRGSQFAIHTSSRRYMIGKSKHLGVKDVEIIVEAYFVRVDATLSGLSTALKSLPRNTQGFLGLSVLGEYVDDTEDYINIMLDDTQNRMMQKAVLFMTAGVVLMAFTTVTGVFSMNIHDFSLFQKNDPPNYYFILFCGGGTACSIVLYLIAISWYKHLGLM >CDP20397 pep supercontig:AUK_PRJEB4211_v1:scaffold_1162:33737:34195:-1 gene:GSCOC_T00010354001 transcript:CDP20397 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKQYWLLKTEPGEWSWEDQAANGGVSKWDGVKNKQAQKNMKSMRTGDLCFFYHSGSKARRVVGVVSVVREWYADDSGDSAVDVKAVGEMRRPVDLGEMKKESGLQGCGLFRQPRLSVVPVEKSMWERVCEMGGGFEGDGRADENPEGSC >CDP20394 pep supercontig:AUK_PRJEB4211_v1:scaffold_1162:11746:13976:-1 gene:GSCOC_T00010348001 transcript:CDP20394 gene_biotype:protein_coding transcript_biotype:protein_coding MADIDNSSPLGKKPLGVRPWLLFNSSGQSHILEAGKHAIMRRTGITGRDLRILDPDLSYPCTISGRERAIIFSVENIKAVIMAHEVLLLNSKDPAVAPFAEVLCEKILHHHDSIDPEEVGAEFGGENLDDRKLTLEEECYPALDELTSNISTLNMERVHQFKSRLIGITNRVQKVKDELERLLDDDDPMSKMYLTENQLLQQLQNYCAHEDQLDDKNNEVILSDYSCVVRTPADNLTENSEGSTRHGTDLLHTNHAQEQEFVDAASSVFSRKSQATYASSKRQSVKDLEMLLEAFFVRIDATLNGLSTLREYVDDTEDYISIMLDDKLNNLMEMVIKLMTATVALTCFMIVDAVLAINIHIDLFNDTEPPNKSFLWMICAGSFGTICIYIIAMWLYRYKGLLH >CDP20396 pep supercontig:AUK_PRJEB4211_v1:scaffold_1162:30199:33004:-1 gene:GSCOC_T00010352001 transcript:CDP20396 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVWRPCLVNLLAKLSPSSRQKAITFSLQPRAFSSSSSSDYTSQSRGRLPRFYSETLPASRGGIVRVQGDEFWHMTKVLRLNINDRIELFDGKGGLVEGHIQNIDRTGVDFVAAEKAKLVSPLAPQWHVFAAFGTLKGGRADWLVEKCTELGAGSVTPLLTERSPSISDNRFDRLERVILAASKQCQRLHEMTLNSPVKIGGVLPLVRQSKLSFVAVAEATPVFGALSTLRKESTGLMIIGPEGDFTETELRMIVEAGATAVGLGPHRLRVETATIAFLSTLMLWSTSPEN >CDP20392 pep supercontig:AUK_PRJEB4211_v1:scaffold_1162:1848:5892:-1 gene:GSCOC_T00010346001 transcript:CDP20392 gene_biotype:protein_coding transcript_biotype:protein_coding MADHVDDVDFSTPKKSKKAGLLGTRRTWVLLDSEGNPPEVLEAGKHAIMRRTGLQTRDLRILDPLLGYPATLLSRERAIVVSLEHIKAIITAHEVLLLNSKDPSVAPFVEELRLKIFRHHQAVLTPLVHKPNMFRKWYSIGSSHIASVSKSPAMMAISLTSDGQISSKRHIAESKDGPKLLPLEFVALEACLESACSCLENEVGALEKEVRPALNKLTSNISSLNLEHVQQINGRLIPLTKRVQKVEIQTISNITTWIILFPLALKLVRDELESLLNDDQDMAGMYLTDKHIEWQLENSANSSIDEEFATTDDEAKQPNILCHTNSRRSSRSKQLHVGDLEMLLEAYFIQIDGTLNKLSTLREHIDDTEDYVNIVQDDKRNQLLQMVVMIMTATLVLGVFITVEGIFGMNIHIDAWGDRESGTQNFLRMIGGGIAGTLLLYGVAIACYRHKRLL >CDP22178 pep supercontig:AUK_PRJEB4211_v1:scaffold_11624:1072:2177:-1 gene:GSCOC_T00007870001 transcript:CDP22178 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSLPKCRIAYSMIKDAEEKGLITPGKTVLLEVTSGNTGIGLAFIAATRGYKLIIVMPHTYSLERRIILRAFGAELYITDAAIGIDGILKKAEEIMEATPNCFFLKQFENPANPKIHYETTGPEIWKNTEGKVDALVAGIGTGGTVTGAGKFLKEKNADIKVYGIEPAESAILSGEKPGDYNLDLAFLIFYGLYYVQDCLLLGLFYC >CDP20398 pep supercontig:AUK_PRJEB4211_v1:scaffold_1163:20061:20213:-1 gene:GSCOC_T00004635001 transcript:CDP20398 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKMEKGRVRVTGGTGLLALCLIKRLLEDGYSVNATIRSSSGSCLLIY >CDP22179 pep supercontig:AUK_PRJEB4211_v1:scaffold_11630:752:1365:1 gene:GSCOC_T00004434001 transcript:CDP22179 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQLSGRWSLNGMTALLLVAPEALVEELAGFGAIVYTCSRNQKKLNEWLQEWGGKGFKVYGSTCDLASRTEREELMKNVSSTFDGKLNILVSTNSDP >CDP22180 pep supercontig:AUK_PRJEB4211_v1:scaffold_11639:299:805:-1 gene:GSCOC_T00007415001 transcript:CDP22180 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASKWLRGLLGLKKPDPSSSSSQAPTTATSKPPPKKKWSFVKSYREKDSNVPIPTENKSRSSSAVVGPAQPSDDNDDSGNHAIAVAAATAAVAEAAVAAAQAAAEVVRLTSSGRAASASNITRMTTSFARGDSSPAHVDESGAGYGNRGEWAAVKIQSHFRAYLVG >CDP20399 pep supercontig:AUK_PRJEB4211_v1:scaffold_1164:6452:7075:-1 gene:GSCOC_T00013460001 transcript:CDP20399 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILAEAAQSGDINALYDLLRQDPTLLDKYDQPSFVDTPAHIAAAAGSTHFAIEVLSLKPSFSTKLNPDGYSPLDLALRHGKTQTVKRLIKHDPQFIRVKGRERFTPLHYVAEVGDAELLAEFLEACPESTQDLTIRGETAVHIAVRNMNVRALQVLLSWFKRNNKGRILNWTDENGDTALHIAASTNNFEARNLFPNFFSFTLIN >CDP20400 pep supercontig:AUK_PRJEB4211_v1:scaffold_1166:23473:25398:-1 gene:GSCOC_T00005883001 transcript:CDP20400 gene_biotype:protein_coding transcript_biotype:protein_coding MERMTGFLYAKPQKVLALQTTRSPKFLGLQPHKGLWPRANFGQGIIIGVIDNEIKPDHLSFSDEVMPTPLTKWKGKCRLNGTTCNKKLIGATRIFLGMTGLPVDVGAHGTHTASTAAGAFVSGANVFGQSNGTTTGMAPRAHLAIYQACEDDGCEESTILAAMEAAIADGVNVLSLSIGGQEEPYFADGIAIGVFRAIQNGIIVSCAAGNGGPFHGTLSNTAPWILTVGTSTIDRSFRATAVLGNNAWFDGVSVHQPKVFPRTLLPLVRPTAGKCAPGTLNKTLVVGNVVLCEADGPFETFNLGQAVRDTGGAAMIVVNGETDLCAPSPQVDVIPTTTVSLADGEAIKEYINSTFAPTAMIAFEGTVVGLKEAPAIAFFSSRGPSLTSPGILKPDIVGPGVSILPAWPSSVDNTTNSTASFNLQCGTSMSTPHLSGITALIRSLHPDWSPAAVKSAIMTSADFLNHDGSLILDERKLPTDLFAIGAGHVNPARAADPGLVYDIHPDDYLQYLCGLNYTDDQIVFITQRRITCTNINSIPEAELNYPSFSIQLGSDTQTYRRTVTNVDKTYSVYNSLITSIPGIDIHVYPTVLRFTRMNQKITYQISFKRTDRLKNATYMQGSITWSSKQHSVRSPILIKLI >CDP20401 pep supercontig:AUK_PRJEB4211_v1:scaffold_1167:25572:29206:1 gene:GSCOC_T00008125001 transcript:CDP20401 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGLKCWAFGHPESGILPDRQRKSVYSIEKRLRNFSDWLLDTVARGDLDTFFPAAAREYAPIADEVWKDPAIQETYKRREELHCLPDVAKYFLDRAIEISSNEYEPSEEDILNAEGVIPNNGLALFEFSSDDHSTMSETGNDNSEVHPPSSKYQLIRISSKGLLDSSKWLEMFEGFRALVYCVSLSDYDQMVAHDTCPFSNKLLASRYLFESLARHPSFEDIPFVLLLNKYDAFEEKINLVPLSVCEWFSDFSPSKPHHNSQSLAHQAYYYIAVKFKLLYTSITGKKLFVRQTNGRDSESVHDAFKYIREIIKWDEEARNVYGIYENNSFYSPERSSSPNIRGG >CDP20402 pep supercontig:AUK_PRJEB4211_v1:scaffold_1167:29525:32242:-1 gene:GSCOC_T00008126001 transcript:CDP20402 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWIILTYVVAAEAATAILLTVPTPKLIKSKMVSLVSLVLQPSLFIVPFSVFQLMDIYWKQEHRLMCTGETCTASERDRYEKSIYKAQRNVILCAAAVLLYWCIYRICKYYKDIQSMEEVERRYKDE >CDP20403 pep supercontig:AUK_PRJEB4211_v1:scaffold_1167:34099:36314:-1 gene:GSCOC_T00008127001 transcript:CDP20403 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWIMICLKNNKPRFPLPSGPKSLPFFGCIFQMLRNRPTHQWIYKVMDDMNTEIACFRIFGVHIIPVTSPELAREFFKKHDSIFSNRPVCMSAELSSEGYLTTILSPLGDQYKKMKRIIVSSVLSPAKHQWLHSKRTEEADHLVNYVYNQCKDDATGGLVDIRLATRHYFGNVIRKMIFNKRFFGKGMEDGGPGAEEVEHINALFKLLAHLYAFSLSDYMPWMKIFDFDGHRKVLTMAIACVRKHQDPEIEKRIKMWESGLKNEEEDLLDVLIRLKDNNGRPLLTTEEIRAQITELMFATVDNPSNAVEWALAEMLNQPEMLQKATEEIDAVVGKDRLVQESDLARLKYVKACAKEAFRLHPYAPFNVPHVSAQDTVVGGYFIPKGSHVILSRPGLGRNPRIWEDSLKFKPERHMNHMDDARIDLNDPEFNMFSFSTGRRGCPGVLLGSTLTVMLLARLLQCFNWKIPSGLSHIDLAEGKDAGFLAKPLFAVAEPRFPQFN >CDP20404 pep supercontig:AUK_PRJEB4211_v1:scaffold_1168:13413:24577:1 gene:GSCOC_T00001402001 transcript:CDP20404 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCKILLLRNIKLGYFQNCRGYYMWPQCHFSISSSNSLTGLTFLPFSISLSNIAESYFCFLFSIFSSIFLLCFCLGSEFSHHKSGFHRRKEERSFFWILCSKTKMSLACLVCHGVESPSQSFRSYSVSSSDNDGRCAAITSYMTRKTILSHARTNHSVASSKVTPQPTVPSNVVTGPPRLVRSHAVRRDLVRDWNFDEVILEH >CDP22181 pep supercontig:AUK_PRJEB4211_v1:scaffold_11681:996:2052:-1 gene:GSCOC_T00002415001 transcript:CDP22181 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWEKEIQELRLLGASNEKANAGYLLQNVPDQSWRTCEICGAPAMNIAEEQAIEVNNGTGIATAASTAPMVFSDTRSYCHGRRVMNFLLGCMVFAFIISWFFHFKILP >CDP22182 pep supercontig:AUK_PRJEB4211_v1:scaffold_11706:179:1579:1 gene:GSCOC_T00004881001 transcript:CDP22182 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAEGIMQKRDAENLEETAAYDDVERLCRRNMVQVAEMTIDERIKSCRVHDLLRDVAIRKAEDENFFQIHDARDDKISAKSRYLAVHTLPRDKNYFGSSTPPLRSLLFFNIRRYGEDISLSFKSFRKLRIVDLENVEMGYDLPEGIGEFRLLRYLGLRRTSIGRLPHSFGFLRNLQTLDIRNINRVIVSNFICKLEGLRHLYAYDLMSNVPLKIEGLKNLQTLSRIHFDHIMHNDMTTLTSLQKLGIWVDGTSEIDKLCTHLSEVGSLKTLHLYCLEQPQSLAGLSKLHRVTELKLFGRGLRMLPPDFPPNLSRLSLKETRLGADPMPVLEKLGQLSSLKMKDAYEGPQHMGISRNGFHQLKFLELRYLRVDEIKVEEGALPQLRCLRIRECYRLEKLPEELKHISSLDKLELVDMPKDFIRRLDADMVSSVPNLRIF >CDP22183 pep supercontig:AUK_PRJEB4211_v1:scaffold_11710:1:1533:-1 gene:GSCOC_T00005762001 transcript:CDP22183 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLGSTVQVLVEKAINMASEQIGLFVGFKNNLEKLMYGLTLIKPVLHDAEEKQVTQEFVKRWLEKLEAVAFDAGNLLDDINYEMIRRRVEIQNQMNKKVCFFFFSLSSPIAFRCNLMANKIQQINMDLNRINEGAMNFSLQSQIGARDVPALSPPSGEGFVKNSEIDSVTIDTSFIGRGDDVSAIVTQLTATSSNETLSVLPLVGMGGIGKTTLARKVFNELKIDIHFDKKNMGLCCFAYCTIYSKDFQMERSQLIRLWMAEGFLHSNQRNNMRMEEVGNMYFMILLDSNLFQDAEKDDYGNVLNCKMHDLVHDMVQ >CDP22184 pep supercontig:AUK_PRJEB4211_v1:scaffold_11714:359:1647:1 gene:GSCOC_T00005379001 transcript:CDP22184 gene_biotype:protein_coding transcript_biotype:protein_coding MDIITQLQEQVNTIAGLAFNTFGMLQRDAFPVRLSPNYPEPPTTPSSAAEDAASPAEEPKLVSAALVNAAKQFDLLVAALPLAEGGEEAQLKRIAELQAENDAADQELQKQLEAAEKELKQVQELFRQATDNCLNLKKPD >CDP22185 pep supercontig:AUK_PRJEB4211_v1:scaffold_11722:107:1911:-1 gene:GSCOC_T00007783001 transcript:CDP22185 gene_biotype:protein_coding transcript_biotype:protein_coding MADPALSFVIERTGDLLIQKIVFLEGVRRQVERLQKDLVRMRCFLKDADQRQDKDARIRNWVSEIRAAAYDAEDVIEIFASKVEFLTKDKGLVTKLTYYPLKIVNLYKIVWYKKSWRGDDYTWSRAATAPAILSFQRSEDKDIVGFEEITKSLVAELLKEDRNRRVVSIVSMGGAGKTTLAKKVYNHAHVRERFNCCAWVCVSSSYDHKKMLRAIIKQLNEMSNEQLEKMEEEDLEGRLHQDLQDKCYLVVLDDVWKQEAWDCLARAFPDVGTSSRLLLTSRDRDVAQHADAYSHPYELKTLGLEDSWQLFLRKALGHGDNAGCPPDLEEVGREITGRCDGLPLAITVIGGLLLAKKKLKSEWEKILNNFSTYLSRSQSGVSAILELSYADLPANLKFCFLYLGLFPEDSVISVRKLIHMWVAEGIMQKRDTENLEETAAYDDVEELASRNMVQVAEMTVDERIKSCRVHDLLREVAIRKAKDENFFQIHDTRDDEISAKSRYLAVHSLPWDKKYVGSSTPPLRSLLFFNVHDYRKNISLNFKSFKKLRILDLENVKMSYNLPEGIGEVRLLRYLGLRRTL >CDP20405 pep supercontig:AUK_PRJEB4211_v1:scaffold_1174:15404:16126:-1 gene:GSCOC_T00007955001 transcript:CDP20405 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFSYLHLLMFFIIVLLSLVMPCYGADPSPLQDYCIADLSSDIYLNGYPCKNPDNVTADDFFYEGFINDTRGFDADGSKASGAHVDYFPAVNTLGVSMIQIQLLKGGVAAPHTHPRATEMLLLMKGKVIAGFITTDNILFYKTMTPKMLVVIPQALVHFVYNVGEGMALLYAGYNSQQPATQYMAHALFNSTPTVPDAVLSRSFRVNDSIVELIKSSLSVPLFPEIMSNTSSGSVRLK >CDP20407 pep supercontig:AUK_PRJEB4211_v1:scaffold_1174:19142:22269:1 gene:GSCOC_T00007957001 transcript:CDP20407 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYLVFFPINGQAEFKVSRRSKNFFCLCHCCLLHCYHFQDGDAVLRFSKPNKYWVESSNKLYVPCAGDTVLGIVVDSRSDNFLVDIKGPTLAFLPVLAFEGGTRRNILQFEKNNTIFLYIYMSSN >CDP20406 pep supercontig:AUK_PRJEB4211_v1:scaffold_1174:17385:17918:1 gene:GSCOC_T00007956001 transcript:CDP20406 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGTPTAVKKRNSQDSVSILENFEEKCPLGGENAVVLYTTTLRGIRKTFEDCNTARSVIESHQVQMFKHDVSMHSGFKDELRGLMGTKEVKVPLVFVKGRLIGGADETVKLEEDGKLSTVFSGIPKAVAICNGCAVVRFVMCIGGNGSCKVLDEKGKKTVKCKECNKNGLIQCPFC >CDP22186 pep supercontig:AUK_PRJEB4211_v1:scaffold_11756:665:1984:-1 gene:GSCOC_T00008596001 transcript:CDP22186 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAEGIMQKRDAESLEETAAYDDVERLCRRNMVQVAEMTIDERIKSCRVHDLLRDVAIRKAEDENFFQIHDTRDDKISAKSRYLAVHTLPRDKNYFGSSTPPLRSLLFFNIRRYGEDISLSFKSFRKLRIVDLENVEMGYDLPEGIGEFRLLRYLGLRRTSIERLPHSFGFLRNLQTLDIRNINRVIVSNFIWKLESLRHLYANDIMSNVPLKIEGLRNLQTLSRIHFDHIMHNDMTTLTSLQKLGIWVDGTSEIDKLCTHLSVVGSLKTLHLYCLEQPQSLAGLSKLHHVTALKLFGKGLRTLPPDFPPNLSRLSLKETRLGADPMPVLEKLGQLSFLKMKDAYERQQHMGISGNGFHQLKFLELRYLRVDEIKVEEGALPQLRCLRIRECYRLEKLPEELKHISSLDKLELVDMPKDFIRRLDADMVSSVPNLRIF >CDP20409 pep supercontig:AUK_PRJEB4211_v1:scaffold_1177:21351:22095:1 gene:GSCOC_T00003012001 transcript:CDP20409 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRPDMITPGVDPQGQPIKPHKIQEHFKDFYEDIFEELGKFDKIESLNICDNLADHMISNVYVQFKEEDQAAAALQALQGHFYSGCTIIADFSPVTDFREATYRRSSRGGYCNFMHIKMIGRDLRRKLFGSHILKYRRSRRGAEETGTPGMRATAAEGGDRHARHESDSSGGRRRHAASPRRSKSPVREGSEERRARIEQWNRQRKEN >CDP20408 pep supercontig:AUK_PRJEB4211_v1:scaffold_1177:15327:20444:1 gene:GSCOC_T00003011001 transcript:CDP20408 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNFNFNPSFIKLLMEDDFTREILIPYAFVMNLKEKLSSRCTIESEAKNSVRNSWPVRIRKKGRYYYICRLSWPKFVKDHHLKLGDYLLFHLIDKTTFRSKAIWCGLLPKKV >CDP22188 pep supercontig:AUK_PRJEB4211_v1:scaffold_11777:820:1539:-1 gene:GSCOC_T00005369001 transcript:CDP22188 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVHKKVPVLIHNGKPILESLIIVQYIDQVWHDKNPLEPSDPYERAQARFWADFIDKKVFDPGRGIWATKGEEQEAAKKEFIGILKILEGELGEKPYFGGETFGLVDVALIPLYSWFYAFETCGNFKIESQCPKLVAWAKFCMQRESVSQSLSDPHTVYDTVLFLKKMFGSE >CDP20410 pep supercontig:AUK_PRJEB4211_v1:scaffold_1180:25515:27050:-1 gene:GSCOC_T00010237001 transcript:CDP20410 gene_biotype:protein_coding transcript_biotype:protein_coding MENLYCCLITILSCSLLLISKNLLFNHVKNKKLPPSPLALPIIGHLYLIKNSLFQDLTSLSAKYGPIFFLQFGWRSFVVVSSPAAIEECFTKNDITLANRPRTMAGDRLTYNYTGPGVAPYGDLWRVLRGLFVVESLSFNSLQRTSVIREEECQMILRSIYRVSKNESQVRVDLSHWISVFTLNVMMRMLVGRCSIREEDAGEELGMQIIEEFREMFGSSISMNLCDFFPVLRWLGYKGLEKEMISLQKKRDNFGQGFIDEFRCSNTLLDKEKKALIANLLSRKEKESVFLSDDAIKSFAFIMFTAGRETSTLTIEWAMLLLLNNRTALQKLRTEIDINIGHGRLLRESDIPKLPYLHCVVNETMRLYPAAPLLQPHYASEDCKVGMYDIPKGTIVLANAWAVHRDPKLWEEPEKFMPERFEVKKLMDKEEFNSKFLPFGMGRRACPGANLAIRSVSLAIGTIIQCFDWDKVEQDGDLDINFSNRITLQKAKHLEALCVPRQESILLLSQL >CDP20411 pep supercontig:AUK_PRJEB4211_v1:scaffold_1181:36271:38445:1 gene:GSCOC_T00004718001 transcript:CDP20411 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYFCFCFISVFQYEGAHDADGRGASIWDTFLKENYPVLFDFTNTVAINGLNAEDKKIMKEMGFNTFRLSISWPRVLPYGRRTYGPNGEEQGVNKKGIDFYNNVINLLLENGNFLIQPIFLFALFEALKLTFIDLKSCICTKDFIDYTDPCFREFGDRVKLWLTFNETWTYSLLGYMVGSFAPSRGATLARCLAPRAGDPTTEPYIVTQLALVSCSGSEIV >CDP22189 pep supercontig:AUK_PRJEB4211_v1:scaffold_11812:2:1987:1 gene:GSCOC_T00004675001 transcript:CDP22189 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGRGGGWFVQKELCYLAPENDIGKNSFNYFQVRSAFAMAFSSLTNARAILGNCPNRSILGTIIRPDAALLERKGGSNGEVTFKKLLPGAGEPLAEQNGDHREIYCNWQLGDEDDEPLPRGNPISGDDSEHSSGKKRKKSLQRKAVKKVKQSGDDKTVRHGKISSGKKCMKDWRRHQDGGDSNGYRSYDRVSSLRRPA >CDP22190 pep supercontig:AUK_PRJEB4211_v1:scaffold_11819:747:1569:-1 gene:GSCOC_T00004229001 transcript:CDP22190 gene_biotype:protein_coding transcript_biotype:protein_coding IRESHSKKSEAFLAYVSEGLLKLQNWDMAMKFQRKNGSLFNSPSATAAAAINVRNPSCLNYLYSVIDKFGPAVPAVYPLDIYARLCLVDNLEKMGISQYFTNEIQCVLDDTYRCWLQGEEDIFAETSHCALAFRLLRKHGYDISSGNC >CDP20412 pep supercontig:AUK_PRJEB4211_v1:scaffold_1182:35237:37895:-1 gene:GSCOC_T00003327001 transcript:CDP20412 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTVLAKSVCNNTKIDENFGIKSWVFVAREIKIVELFKLILELLTRTKVEVDEYAEREGNCKRVPKELEAIKKQNLRRCDGLPLVAKLFGGLLLNNGKERWQYIVGEKNDCGIEELGTLKYLKELLEIRNLGLVKGKEAAKQAKLFEKPDLSSLAFKWKSGDRESDNCEKDVLEGLQPHQNLQTLEIRHFMSTKFPQCLINLSKLVELRIQFCKKCSELPSLGQLPSLKCLYLTKLDDIRYVGNEFYGSSTRRQKFFPPLKELWVEYMRNLVEWKDADQLRSKIVECPKLIPYRFNGFAFATSLRTLRIGPFFSMDDFDWSSLVSASTFHELYFQGLPHMNSLPHQLQYLTTLNSLKLDNFGGIEVLPDWIGNLVSLETLELLFCEKLQSLPSEAP >CDP20413 pep supercontig:AUK_PRJEB4211_v1:scaffold_1183:18299:21009:-1 gene:GSCOC_T00004638001 transcript:CDP20413 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLISGGTSSHLLVFSEDLFFIYLLPPIIFNAGFEVKKKQFFRNFITIMLFGALSTLISFVIISLGKDLFLLHFFFLVPLYIKFPLSFLYQVQKLKPLFKLHLSIISS >CDP20416 pep supercontig:AUK_PRJEB4211_v1:scaffold_1184:17527:21160:1 gene:GSCOC_T00011623001 transcript:CDP20416 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNINSRSVVITFILFFFGFILIGLASLSASEGTAMEKTAFIQNDKTNKAVSGA >CDP20414 pep supercontig:AUK_PRJEB4211_v1:scaffold_1184:12230:12874:1 gene:GSCOC_T00011621001 transcript:CDP20414 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENPHDATETQPSSDRIKLNVGGKLFETTTYTLRSGGPDSLLSLLSNRSLHHHDPSQPVFIDRDPEIFSALLSLLRSNRLPSTAKRFSNQELIDEASYYGIESQLKSALAPSPLTGIDASLFTTIRPSSDATVSDFNAIDSDGSVWVAHGGQISVYDWNLTHSGTVRTHLDYISTIRRIGPGVAAVGSETLAGLHVYNNATGDRACRGLASD >CDP20417 pep supercontig:AUK_PRJEB4211_v1:scaffold_1184:36503:38354:1 gene:GSCOC_T00011626001 transcript:CDP20417 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEDTKLAEQECQELLKFGLIEISHSQWACQAFYVNKRSEQKFSFFLISHHFLVEIDMGSFPKMLQFKQKIVPNPQLLRWSVWFPQYSFDVKNIKGKKNIVSDFFSSKEPLPQQALFTQVFPYLMFTPVSSEPPDIHQIPYPWEKEDIERIRNQYELEIFSSYGGSILSPFGTNPEYPFCQIFIARHDDFSKPLLWYFWCLCHQYHILMEFQSPFFNQSLNPNLQTFLQWFRPLTYWSGLFSTQSKYVIFHFYRPCHLINNQFQSCPSAVIYKEMNHTILDLDDEYEEAQRYIFQENRCIPPEIWPGHYGS >CDP20415 pep supercontig:AUK_PRJEB4211_v1:scaffold_1184:15309:16854:1 gene:GSCOC_T00011622001 transcript:CDP20415 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENPHDATETQPSSDRIKLNVGGKLFETTTYTLRSGGPDSLLSLLSNRSLHHHDPSQPVFIDRDPEIFSALLSLLRSNRLPSTAKRFSNQELIDEASYYGIESQLKSALAPSPLTGIDASLFTTIRPSSDATVSDFNAIDSDGSVWVAHGGQISVYDWNLTHSGTVRTHLDYISTIRRIGPGVAAVGSETLAGLHVYNTASGYRSCCVDWADPTDPRIYKARVNAIADSDNSVFASFDCRHKENCVLMIDKNKWTTLEVARQSGSSSKSMVAGKLKYVPKAGVLVGVSVTSGAFGYSGYIRLWDPRSKEVVWETNEPGSGRSSRFGDSFADVDVDVDELTLVKLGSKSGDLAVADLRKLSEDPWIYLEEKNPRMRMMTGGASSVGNCVINCYRKQLFVGREGELEVWSTVEGDGDQNVGGERILCEGSYRRNYVDKVEDSERGVIKKIEGGGDRLFVIREGVEGIEAWQSSRFSGVVSSS >CDP22191 pep supercontig:AUK_PRJEB4211_v1:scaffold_11855:59:1858:-1 gene:GSCOC_T00008391001 transcript:CDP22191 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVHLDLSSNHFDALTLTSFCNASSLTYLDLSFNNLQGSIPSEIGQLINLTYLGLSENNVQGSIPSEIGQLQKLTNLLLSHNSLNGTIPTNLGELTKLQAFDVSENSLTGVLSEDHFAKLRELKSLYLTTNSLALNVSSSWVPPFQLQEIEMGSIIVGPKFPAWLRTQNEVETLDMRNASISDAIPNWFRLVVHALGSLSLNDNRFTGTIPEDLCKLEYLNFLDLSNNLLSGRVPLCLGNLRYLQFLNLANSSLSGQIPSSLGNLQRLSTLHLNGNKFVGKLPSSMQHLINLQILDLGDNGLKDIIPAWIGERLSNLSLSANNLVGEIPDGIMELVRLQFLNLSQNHLTGKIPDKIGNLKQLETLDLSMNALFGAIPESLSDLYSLNSLNMSHNKLSGPIPSGNQLQTLTDPSIYEGNSGLCGKPLPNNCSEHKLPTKNGPIDDDEGHSESDWSWFYAGMGPGFAVGLLGVLGILLFKKSWRYAYFKFIE >CDP20418 pep supercontig:AUK_PRJEB4211_v1:scaffold_1186:3601:18648:1 gene:GSCOC_T00002580001 transcript:CDP20418 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKSSSIDPRDHTRLVLKYAISSRLLLITLISLWRSFLSPYDTSAFIYPGCLSSADANANADTDPQLLFPHVASALEGSIVWDSVYYVRIAQCGYEYEQSYAFFPLLPICISLLSRTVFAPLIPIIGHKAVLGLSGYVLNNIAFVFTALYIYRLSILILNDSEVALRASILFCFNPASVFYSSIYSESLYAVFSIVGLYNLLGHANNVATLCFALSGAARSNGMLNAGYFCFQAMHQAYDAIILKKHASLALPVVVSGAFRCFCIFIPFVSFQAYGYLNICRRHAEDEMIPWCRARIPLLYDHIQSHYWGVGFLKYFQVKQLPNFLLATPVLSLAICSIVYYVKLSPKVFFSLGLGAFPANKELFAYIIPPGTTGEPETPGSLEDETSSTLQDAQSLRQRKRFTKGWSTVRNVSLQSGNVSSEGRACLPVLVIPFILHTGFMAATAFLVMHVQVATRFLSASPLLYWFASHVMMTPSIAKRWGYFIWVYCASYILIGSLLFSNFYPFT >CDP20419 pep supercontig:AUK_PRJEB4211_v1:scaffold_1186:20300:24997:-1 gene:GSCOC_T00002581001 transcript:CDP20419 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRCIQTLISRCFSISHSPNPSPYPILKTLTIAPHFLLQTEYSTVQSLTPTDPGKICSAFPSFSRHHCSDNRTSMGSIDNDGDEEEEEEEDDDDDKSEDEERVHLSGKSDEQKAEEAAEIGYKVIGPLEESDRVFKPYEPVFAVVQVGSHQFKVSNGDSIFVEKLKYCDVNDKLILNLVLMLGSTAQTIIGRPVLPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITDIQGIAKPEPIPSMKTEKRATKVEKTAVSA >CDP20420 pep supercontig:AUK_PRJEB4211_v1:scaffold_1187:28184:31476:1 gene:GSCOC_T00002416001 transcript:CDP20420 gene_biotype:protein_coding transcript_biotype:protein_coding MMERELCSKQLSSVLCKAILAAPALSVHKLVDHWIEEGNEVTQSVIASTMFHLHKRRMFESALEFSEWLELEGHEFGERDYASRVDLIAKVHGLQNTESYIANIPKSFQGEVVYRTLLANCVPVANVKKAEEVFNKMEGSGIPNYKHCL >CDP22192 pep supercontig:AUK_PRJEB4211_v1:scaffold_11876:1570:2221:-1 gene:GSCOC_T00013364001 transcript:CDP22192 gene_biotype:protein_coding transcript_biotype:protein_coding LIETISSMLNAIAMAKELYFNHNGSALEKLLACVDFVAKVVGVTSGPKGRILVLHIKLHPKIVKDTESVLNRLIGGCFGVCGS >CDP20421 pep supercontig:AUK_PRJEB4211_v1:scaffold_1189:13959:21541:1 gene:GSCOC_T00002404001 transcript:CDP20421 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGVEDWRRRKQDIEANNRKVQVYGRNQTFEETRWKNLRVGDLVKVYKDEYFPADLFLVSSSYEDGICYVETSNLDGETNLKVKHALDVTASIHDESSFQNFKAVIKCEDPNDDLYAFVGTLCHGRQYPLSVQQILLRDSKLQNTDYIYGVVIFTGHDTKVMQNTTDPPSKRSKIERKMDKIIYILFSTLIMMSSVGSVFFGIETKNDIHDGKLTRWYLGPGNATVFYDPRRASLAAFFHFLTDLMLYQYLIPISLYVSIEVVKVLQTIFINQDQDMYFEETDKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSIAGIAYGRGLTEVERALAKKKGGGPPEVGDTSLDAEGSNAELVDTGRSIKGFNFQDERIMNGQWVKQTHSNVIQKFFRVLALCHTAIPDVNQDTGEISYEAESPDEAAFVIAARELGFEFYERTQTSISLHELDRESGKSVDRSYKLLHVLEFSSARKRMSVIVRNPENQLLLLCKGADSVMYERLSKEAQSFKDATLTHVKMYAEAGLRTLIIAYRELSEEEFMSWEEEFLKAQTSVTADRDALVDAVADKIERDLILLGATAVEDKLQKGVPECIEKLANAGIRIWVLTGDKMETAINIGYACSLLRQGMKQIVITLDSPAVNDLENKGNKEAIAKASISSITKQIKEGLSELSSAKESSVSFALIIDGKSLAFALDENLENSFLELAMKCASVICCRSTPKQKALVTRLVKKGKNRTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDFAIAQFRFLERLLLVHGHWCYRRISMMICYFFYKNITFGFTLFWFEAYASFSGKPAYNDWYMSLYNVFFTSLPVIALGVFDQDVSARFCLKYPLLYQEGVQNILFSWQHILGWMFNGFMCSMIIFFLATNCIKEQSFRKDGKVVDYEILGVLMYTSVVWTVNCQMALSINYFTWIQHFFIWGSITLWYLFLVIYGTISPILSTTAYRVLVETCAPSPFYWMASLLIVVSALLPYFSYKAIQIRFHPMFHEIIQRRRLEGLET >CDP22193 pep supercontig:AUK_PRJEB4211_v1:scaffold_11917:523:1156:-1 gene:GSCOC_T00001108001 transcript:CDP22193 gene_biotype:protein_coding transcript_biotype:protein_coding MRADKIENGTRLQIFAFGIKKICDSIDFIFYSVDNLKFCWFLAFTCSLVCCTHNPNWQLEHQHILNLRSCQGRNMMGRLRMYGPVV >CDP22194 pep supercontig:AUK_PRJEB4211_v1:scaffold_11919:58:2140:1 gene:GSCOC_T00009015001 transcript:CDP22194 gene_biotype:protein_coding transcript_biotype:protein_coding YAKKFEIPSNKYETFGNKVVGWFTGPFKKIFQSKNSTWINILKGVDGYIMPGSMTLLLGPPGCGKSTLLEIFAGRAKGDKNSHLQGVVMYNDKYASEVHLSRLVAYVSGQLNNFISRRRIQFCRHIPFLSVRETLEFARDCSQTLRPENFTPQMRKFFAHALVEGQDPFLEYILEILNLKNIEHKLTGEAISDTDRQKLTTAELALGTYAVMLYDQPLSGSDLAATYDLVDTLRTVCRIQQSSAIMSLTHLSQEVFDLFDRIILLGDGHVVFQGPRQDAVPYFNKLGYEKPLHVESAEFLEDIVAGYGSRYIAPEATPLSIDQLVGNYRNSDHYKDIIRIVTWDKVKHTYWIETEPGLGLSLKTPPTYSSLVDAKPRKLTELVVSKISSKVGQSGGIESTGRVQIGDIVTALSVNGEESTYLALGPQRHQHEHASHAYSTLQKAAGHIRIQVERYETKVQILFKKKKLTRKRRLQGLSFNIVTSNYIQ >CDP22196 pep supercontig:AUK_PRJEB4211_v1:scaffold_11935:563:2154:-1 gene:GSCOC_T00001306001 transcript:CDP22196 gene_biotype:protein_coding transcript_biotype:protein_coding MNECADNLFLINWSKAYSFSFYVLYLRFLLFYPAIGGMATAAASTVSFVPELGAIPCVAAAPKLLCISNVSESTRGWMTLVHVVEADREKVASHGSISKSFRLFRFGDSQGVKVSAIIYDDNVPCVDGLLLPFRKYYISNAEIRRLPELLPDCLYPFYWVINSNTSIREATDVGLPVLPFYFGLRSYDSLHFVADTNNLINIMGVVVNSLPARDVYVEGILRRERDIIIVDQG >CDP22195 pep supercontig:AUK_PRJEB4211_v1:scaffold_11935:1:421:-1 gene:GSCOC_T00001305001 transcript:CDP22195 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPKNPFKKWESVQLKTKIRFYLLTFDHKKIHVATAAQKGLGNMVCYPKNAFLEAYPMILRALGEPYLYSVESIQQWLQTITHISSLAFVKTEPAKSYRISNGQQWCVC >CDP22197 pep supercontig:AUK_PRJEB4211_v1:scaffold_11937:3:1955:1 gene:GSCOC_T00012550001 transcript:CDP22197 gene_biotype:protein_coding transcript_biotype:protein_coding VEKKFSMVEEIIRLLSERERCLAYTNVNDSNAREVVSEMDLTLISMLGSLLISRPVNWTLSHQNALQLCVKHARLWLAKSDQPIGSNPYLTYSGLREKLNKIMASDYFTTTPEMKAPVEVAAAAGSYGSFQVPAHGSVMPVQVEGSVVQYQQKEEETANAEEDESYDNQLSPAEEFHQGETENYSELPVQNEPTTHQAQNLQEYEPKEQNYAPRRSYPNYRGGRTVGASGRRGYANGRGGRGRGGAYQNGRNQHYDQPGTYYPRNNYYRGRGGRGFNGNYNYHASQAGYVVADS >CDP20425 pep supercontig:AUK_PRJEB4211_v1:scaffold_1194:35271:36601:-1 gene:GSCOC_T00012291001 transcript:CDP20425 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSSFVAPKYPSVKMKCRKTKAKRHLEDVLAHKQATPFTRFCGGVGRTAQAENKHSNGQGRWPVKSASFILDLLKNAESNAEVKGLDVDSLFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEVSVKKEPESQVATSKSRKA >CDP20424 pep supercontig:AUK_PRJEB4211_v1:scaffold_1194:31618:34633:1 gene:GSCOC_T00012290001 transcript:CDP20424 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFVFLAGKIDLGLHARAHSSVSCLESEWKALLEFKKSLIDKSNRLASWTGEDCCSWEGVGCDRNTGHVVKLDLRNNVVFDRDRLISPSLVNLQHLHYLDLSSNYFVGIRIPAFIGSLKNLRYLNFSSAGFNGTIPPQLGNLSALDCLDLGKKSGGNEFDSTIPLWLFNLTSLVHLDLSSNNFFGPIVPNSLQHWSSLSYLDLSGNQFSTSLLDPLFTLNNLVHLDLSGNQIQGPLPFGLGNLTSLSVLHMGDNSFEGPIPSAIGQLRELTELDLSSNGFNGTIPSSLWRLSELQSLDLSDNPLSGELRNSGHKYRALFFLESNKFEGPLQLLPTDISVLYLKNNSLQGIIPQPDINKTLDILRMLDLSDNHFNGSIPDSLCSLQMLVVLDLSNNQLSGRIPSCIGKLKTLKVLHLANNSLYGHIPISLGHLNDLQSLHLDRNNFTGMVPFSLRYLKNLQYLDLGNNGLEGLIPSWIGDELSSLRILVLESNNFHGDISVSLCKLSSLQVLSLEDNNLTGHIPRCFNNFTAMIVTELDSTRINVTDSSGYIDVYYSEELPVFIKGRMLNYTSSIVRYVRFMGLSGNKLSGEIPVELMYLVGLHGLDLSRNHLSGRIPENIGHLSQLESLDLSKNDLSGPIPQSLSNLNSLAWLKLSFNKLTGRIPSGRQLQTLYDHDPTIYMGNSGLWGDPLDKSCPDGKSNAGESDGDHEDGKESYFDWFYAGLGPGFAVGLVGFLSVLCFKKSWRYAYFGFLESLLNKARVEIALLKRKFV >CDP20422 pep supercontig:AUK_PRJEB4211_v1:scaffold_1194:1325:4584:-1 gene:GSCOC_T00012286001 transcript:CDP20422 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELYAGAQSGNWVVMKRFSDYFYSQHTPVKDTVLHVLAQSCDSADVVQLILDRHGRLLMKLNKRGETALHLAARNGHLGIVRALIDYAKSEAGHWFPPCSDRRKRMLRMASVAGNTALHEAVRNNFYDIAKLLVQEDPEFRYPHNYAVETPLYLAVEKGRHNIMVLILESCKAPSYLGPGHKTALHAASIWNLPESMKRILEKLPNLIKNVDKFGWTALHYAAKFDHQEIARLLLSADRSTAYVAAKNDDSKTALHIAVIHGHVVLVQEILSDCPDCWVQITGKSRNILHLAVKHEKREVLELVLQSSWASELINEKDNEGNTPLHLYVATENLDGNCLVNHPFVDVNSFDSSNSTPLDRIVRDDQLSDRKLSTLIYNQYYLTNLLEQAAGTRGYRNVATVKKISRASGPDEVKRVENLSKNYSIVATLIATVTFAAGFTVPGGYNSDGPDKGMAVLGKQADFITFVISDFLAMIAAMGAVLGHIRLVQTKNYRLKLAIVRVTERQISWAVLFMMMAFPSGLCAVLPNLPVTILLCVSIAWFFHDVYINLAIAWLNLEDRECTYTTRFNHSEADDVNLRALGLYKRWYQDLTEAED >CDP20423 pep supercontig:AUK_PRJEB4211_v1:scaffold_1194:11299:13878:-1 gene:GSCOC_T00012288001 transcript:CDP20423 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFTSFIVLWFVFLASRIDLGLHARAHSNVSCFESERKALLEFKESLVDNSNHLASWTGEDCCSWKGVGCSRNTRHVMKLDLRNNAVFDAARLVPSLMNLQHLHYLDLSSNYFAGIRIPAFIGSLKNLRYLNLSSAGFNGTIPPQLGNLSALEYLDLGEKFEGKIPSAIGQLRELTELDLSSNGFNGTIPSSLWRLMCHGIKSLDFSNNYITGKPPVCKGNSGHEFRKIFVLESNKFEGPLQLLPTDIAELHLQNNSLQGIIPHPDINMTLDILRVLDLSDNHFNGSIPDSLCSLQMLVVLDLSNNQLSGRIPSCIGKLKTLGVLNLANNNLYGHIPISLGHLIVLQSLHLNRNNFTGMVPFALRHLKILQFLDLGNNGLEGLIPAWIGDELSSIYVPVYVLPAITEVDTYSEDLSVFIKGVMLNYTTSNVRYVRFMGLSGNKLSGEIPVELMSLVGLQGLDLSRNHLSGRIPENIGDLSSLWIYPKMIFLVQFPKVCRT >CDP20426 pep supercontig:AUK_PRJEB4211_v1:scaffold_1195:25248:29694:1 gene:GSCOC_T00003089001 transcript:CDP20426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MTLPMDKLCLGLIDPQDDPQSSEIKKTYVVLVSTGSFNPPTYMHMRCFELARDALNSAGFCVIGGYMSPVNDAYKKKGLISAEHRLAMCNLACRSSEFVMVDPWEANQSTYQRTLTVLSRIKSALCESGRIFSGTLKVMLICGSDLVESFSTPGVWIRDQVEAICRDFGLVCIRRHGQDVEKMINDDDILNNFKNNIKIVDEVVPNGISSTGLRDCISRGLSVKYLTADEVIGYIKQHDLYTT >CDP20427 pep supercontig:AUK_PRJEB4211_v1:scaffold_1195:29882:30046:-1 gene:GSCOC_T00003090001 transcript:CDP20427 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVMQILAFAVALESGKITVSVRQTRGFDASAACGQLRNQSKKSPLHTGAEDQ >CDP22198 pep supercontig:AUK_PRJEB4211_v1:scaffold_11950:58:1510:-1 gene:GSCOC_T00009929001 transcript:CDP22198 gene_biotype:protein_coding transcript_biotype:protein_coding FAYPFFLSNLIWSFGGKWIANSLDFNKNYDASVFETTIRLVVEGLLSAYDILAHGNPHNPRWTGVDSILADSGTEQLEFIALSQRTGNPKYRQKVENVILFLSRTFPADGLLPIYVNPQKGTTSYSTITSGAMGDRYNLFNMFTFLSSTCFVIIS >CDP22199 pep supercontig:AUK_PRJEB4211_v1:scaffold_11953:637:858:1 gene:GSCOC_T00010240001 transcript:CDP22199 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDETNGVEEARYGGGGYGGYPGEGYGGYPGGGYGGGGGYCRYGCCGRSYYGRGCRCCAYPGQAVDAEPQNK >CDP22200 pep supercontig:AUK_PRJEB4211_v1:scaffold_11957:599:1619:-1 gene:GSCOC_T00004588001 transcript:CDP22200 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYSSYSVVSSNVIPLQFCNLKIQNVSVLHPSLEFFPRKCSTYLASGCNKKPRIILQSGRPRAGSSKNSSNVVAEESAVARGFEWDDGQVEELGEMVEDEEEGPLLWEGAVIYKRSASISHIEYCTTLERLGLGKVSSGVSKTQASELGLRVTKAVKDYPDGTPVLISMDVMKKKQKLRLDGVVRTVFALDCNR >CDP22201 pep supercontig:AUK_PRJEB4211_v1:scaffold_11969:84:708:-1 gene:GSCOC_T00009245001 transcript:CDP22201 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLIHVILIFSLAYVVRSDRTLNTFKILHASGPEAIAFDLTGQGPYTGVSDGRVLKYEGPGIGFVEFAHASPLRTKEKCDGTDDPNLGPICGRPFGVGFNYRTGELYIADAFFGLCKVGPDGGLAEQLATSAEGGPFKWLDGLDVDSTTEMVYFTDISTKYTFR >CDP20429 pep supercontig:AUK_PRJEB4211_v1:scaffold_1197:34623:37730:-1 gene:GSCOC_T00006370001 transcript:CDP20429 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEYFRGSSSYYGILGVPTNASDEEIRRAYRKLAMQWHPDKWTRTPSLLGEAKRKFQQIQEAYSVLSDQKRRIMYDTGLYDPAEEEEDEASGFADFLQEMASLVDNVRKEDKVHSLEELQRAFWEMAQSFETPEWSFNPLQYMYESPAWFDEPSTSNSLGNSTGVSWSSEQKSFETFARECWSTGVESKSVSSMLGDPWGKPFYAF >CDP20428 pep supercontig:AUK_PRJEB4211_v1:scaffold_1197:28708:29361:1 gene:GSCOC_T00006368001 transcript:CDP20428 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIHLENPRKFLISVCLRFVSWILVVSFDDAHAFQFLLVLPVSQSAPYVSTVTNSHALASR >CDP20430 pep supercontig:AUK_PRJEB4211_v1:scaffold_1199:31827:38498:1 gene:GSCOC_T00001115001 transcript:CDP20430 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEFPNLYPLHWCKTLYLVGKFKFSRYSVSYSDICVSRIFRRTPYSTWLATVDNLRKHVHTSGVLNRIELVVTSPLLRTMQTAIGAFGGDGCTDRTDILPLMLANAGNSRHAAISSLNCPPIIALELCREHLGVRPWDKRRSI >CDP20431 pep supercontig:AUK_PRJEB4211_v1:scaffold_1200:1319:2439:-1 gene:GSCOC_T00005495001 transcript:CDP20431 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNGKAPKLDLKLNLSPPRGNLRLQSPSRSSTVSPTSPPSSCVSSELNQDQDTYTFSNSPDSTAMIVAGCPRCLMYIMLPEKDPRCPKCKSTVLLEVFNEGTKTGGAPKPTSTTTT >CDP20435 pep supercontig:AUK_PRJEB4211_v1:scaffold_1200:34350:36882:-1 gene:GSCOC_T00005502001 transcript:CDP20435 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCSSNSSNGLTNKRLEGKVAIITGGASGFGESTVRLFVQHGARVIIADVQDDLGHSLREELSSSGDVTFVHCDVTKDIDVKNLVDLAMSQYGKLDIMYNNAGIPGNLDFTIVDADNENFKRVFDVNVYGAFLGAKYAAKVMIPAKKGVILFTSSLASVSCGESPHSYTVSKHAVVGLTKNLCVELGQYGIRVNCISPCAVATPLLRNAMGLDQKAVEGIICASANLKGVVPTAEDVAEAALYLSSDAAKFVSGLNLVVDGGYSATNQSYMTIIKSLMP >CDP20434 pep supercontig:AUK_PRJEB4211_v1:scaffold_1200:31610:32041:-1 gene:GSCOC_T00005500001 transcript:CDP20434 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSKLTLDFHTNKKVLGEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPEESAIKTDRIEVDKETIELLAVMGMNELPGIVLKEEQSPLMVAPPLAYGAGGRGRRY >CDP20433 pep supercontig:AUK_PRJEB4211_v1:scaffold_1200:23860:30933:1 gene:GSCOC_T00005498001 transcript:CDP20433 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSESSSSSSSADPRFKSACRAFTQKELEDLKSLFVSLAAKSQSDNPHYITPPVFKKYIGAGGPLGDRMFDLVTQKRKDQKLTFQDLVIAKGTYEKGTKDDIEEFIYQLLDVYGDGVVGRSDVERVLATMLNSICSENCSESRSGSEQECVDIFLNAANFKKDDSDKAESSLSFEDFRRWCALLPSVRKFLGSLLMPPDSGSQVPKLVDGESIDPNLVLMREQYAWLIGGALSHELSEWKLLYHSAVHGQSFNTFLGKMSDDGPSVLVIKDREGYIYGGYASQPWEKHGDFYGDLKSFLFQLYPKASIFRPTGANHNMQWCAVNFSSESIPNGIGFGGRVNHFGLFISANFDKGHTFECTTFGSPCLSKTNYIYPEVIECWGIVPKGAQQERSEAIRGTVLERFKEDRHMLNLVGLANSSD >CDP20432 pep supercontig:AUK_PRJEB4211_v1:scaffold_1200:20415:22317:-1 gene:GSCOC_T00005497001 transcript:CDP20432 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPTVVIVLLMMIVLTSRIELKPRTLVQPEVAAGAAATATHLHGFSDPQQSLKEKIILSQEQSIQKLNELVQTLKQQLEFCRAVSRNAVNDTGTSVSQHLNEIEHP >CDP22202 pep supercontig:AUK_PRJEB4211_v1:scaffold_12002:2:1220:1 gene:GSCOC_T00003848001 transcript:CDP22202 gene_biotype:protein_coding transcript_biotype:protein_coding INIYRIKQMKENGSITETLCIIQFSTRVKIQMIYEITTNYLLGNLGKDCSSSVGVIDLGEEAVQMVYAMSNTNALNAPRTSVGDNVDVLEKYLNGRRYHLYTKSCEKYGILSVRAEILKLFNNTSNPCVLEGFHGTYRYGGEKYYVTVVTEPGFFSWEDQNFFEQNYLNTLCSN >CDP22203 pep supercontig:AUK_PRJEB4211_v1:scaffold_12016:167:2198:-1 gene:GSCOC_T00004678001 transcript:CDP22203 gene_biotype:protein_coding transcript_biotype:protein_coding KELRKAVFYKNSGSCSEEKSTDFTFGFWFDESEYVRRQEETKMLEMLFPFMTLLPSFQEDLHISEFLPFEKNSTLPSRILSWMQSAEPKVTPLPVVILQECLIAYIKKQADYIGRIILSKLLYDWRLLDELEVLRAIYLLGSGDLLQHFLVVIFNKLDKGESLDDDFELNTILQESIRNSADGSLLSTPDSLVVSITKNSDLSEDEQHGASIQISTPRKSRLQTMGIDVLQSLNFTYKVSWPLELLANVDALKKYNQVMIFLLKVKRAKFVLDKARRWMWKDRSTATTTRKRHWLLEQKLLHFVNAFHQYVMDRVMYFTALFSMLTTFRVWFLYYLHIKMDRAFIFVGGYVIEGS >CDP20436 pep supercontig:AUK_PRJEB4211_v1:scaffold_1204:32107:33339:-1 gene:GSCOC_T00004067001 transcript:CDP20436 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVPEAMYRVLKHYSNINQTMPLIYVKLYTHQIFRGLVYLHNVAGVCHRDLKPQNVLVDSLSHQVKICDFGIAKVLVKGEANISYILEVWKKISSTAYMSTQKLWL >CDP20437 pep supercontig:AUK_PRJEB4211_v1:scaffold_1204:33433:34001:-1 gene:GSCOC_T00004068001 transcript:CDP20437 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPIMDGHDTVTGHIISTTIRGKNGEPKQTVSYMAERVVGTGSFGIVFQAKCLEIGETVAIKKVLQDRRYKNREL >CDP20438 pep supercontig:AUK_PRJEB4211_v1:scaffold_1205:16670:17083:-1 gene:GSCOC_T00005201001 transcript:CDP20438 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIGSFTSLSFSLMPNQAVNRVSKLGSVSLSISGKSFPSLCVQPARFRVSCAAKPETVDKVCKIVRKQLALPDESKVAGHSKFVSLGADSLDTVEIVMGLEEEFGISVEEESAQNIATVQDAADLIEKLIENKGA >CDP20439 pep supercontig:AUK_PRJEB4211_v1:scaffold_1205:17355:17429:-1 gene:GSCOC_T00005202001 transcript:CDP20439 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVDVDRNPIKPMTICMIGLSP >CDP20440 pep supercontig:AUK_PRJEB4211_v1:scaffold_1205:23519:30628:-1 gene:GSCOC_T00005203001 transcript:CDP20440 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNQCDGAGDYYSAAAVSQATSTTTAGKVIRCKAAVAYGPGQPMVVEEILVDPPKAMEVRVKILYTSICHSDLSAWQGENEAQRVYPRIFGHEASGLIESVGEGVRELKAGDHVVPIFNGECGNCAYCKSEKTNLCQRFRVNPFKSVMTSDGTTRFSTRDGKRIYHFLNTSTFTEYTVLDSACVVAVDSQAPLKKMTLLSCGVSTGLGAAWNSANVQAESTVAVFGLGAVGLAVVEGARTRGASKIIGVDINPDKRNKGQAIGITDFINPSDLDKPVHQEIREMTGGGVHYSFECAGNLDVLREAFLSTHDGWGLTVVLGIHPSPRLLPLHPMELFDGRRIVGSVFGDFKGKTQLPAFAKQCMSGEVRLDEFITHELPFNKINEAFQLLIDGKSLRCLLHL >CDP20442 pep supercontig:AUK_PRJEB4211_v1:scaffold_1206:21030:21580:-1 gene:GSCOC_T00011148001 transcript:CDP20442 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYAVKGFFSVKSDVFSFGVILLEINSGKRNSAGFYQSEGALSLLGYAWRLWQERKSIDFVDKKILESCNETEVIKCINIGLLCVQDDPSERPSMSDILIMLSSETAALPNPNQPAFVVRRHTSKTPALSRKEQTNSINEITISVEEGR >CDP20443 pep supercontig:AUK_PRJEB4211_v1:scaffold_1206:23303:26340:-1 gene:GSCOC_T00011149001 transcript:CDP20443 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAGKPDFRAASAKSENLCRQGCLSNCNCLAYYFGGPSITDNLEQGCSMWTSVLTDLQEDIDRGHNLSFRVAVSAIETTSRDCQTCGKHIIPYPLSTGPNCGDLSYHSFICNDSRGQLFFLNNSYEVININKEDRRFVIQVNRQRAENCDARSGRVLQFSPSMPFNVTNWCYNEPLTSIQAGQMIEITWKPPPEPICNSLKDCRGWPDSNCSTAIDKRKRCLCNPNYKWNNLTLKCISAIVADSNRSEQKPQLSVNRIAVIISSLAIAIVMLACSLCFVVYRRRILANRKESRKSIAGNPVFYLDDSETQAADLVVGDDEIVDVPYFSMESILAATDNFSDTNKLGSGGFGPVYKGMFPGETEIAVKRLSSHSGQGLEEFRNEVVLIAKLQHRNLVRLLGYCIQRSEKILLYEYMPNKSLDTFIFDQSRCILLNWNLRFNIILGIARGLLYLHQDSRLRIIHRDLKTGNILLDEEMNPKISDFGLARIVQGYETEANTQKVVGTYGYMSPEYALEGLFSVKSDVYSFGVILLEIIGGKRNTTGFYRSEEVLSLLGYAWRLWQENKAMDLVDKKLLESCNGTEVVKCINIGLLCVQDDPSDRPAMSNVLTMLSSETTTLPSPNQPTFLARRRASSTSLSSKAETNSINEITISAEEGR >CDP20444 pep supercontig:AUK_PRJEB4211_v1:scaffold_1206:34051:38063:-1 gene:GSCOC_T00011150001 transcript:CDP20444 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTNISLIFLVLVALVDNLQSCLARDTITFNSLLPDDGTTLISSGKRFELGFFSPEDNANTGRYVGIWYYNSSPRTVVWIANRDAPLLHVSGYFAIVEDGNLKLLDGKGASYFHTNLGSSSAVNRTLKLLDSGNLALIDGKSGNYLWQSFAEPTDTLLPGMRIDGSLKLVSWRDTGNPAAGNFTFQQDQESRLPKIMNGLRVVHWKSDQSGSVELPYFVAFFLSNFSRSGLRNPSTLNYSDALASYANTRLLMNSSGQIQFYSLEQDGWSLIWSEPHDACSVYNPCGNFGSCNLRSEGLNCDCLPGFNPIFPDAWNTGDFSGGCDRKLAICSKTSKPDTFLNLKLMKVGKPDILSAGADSEDTCRQECLRNCDCQAYYYAGFNGQEGTDNGGSGCLIWTSVLTNLQEEYIDGGHNLSVRVAVSSIETTTRDCQTCGTYIVPYPLSTGPNCGDPSYYSFFCDDSTGQLFFLTSNNRYAVISINKDESRFTIQVKSQRAENCSAISGTLAGRVLQLNQSLPFDVMHHWCYYDRSDNGTSIQSGQRLQISWKPPLEPICSSSNECQDWPDSNCAATTRHEKRCLCNSNYKWDNRSLKCISAILTNPNHSEGTSLQRDKPHVAVIIVTPVIAVVLLAGSLICFCFRKRILAKRKEDKENIPMNLIPYPDDSERQSKDLVDETDKFIDVPYFSLESILAATNNFSNTNKLGRGGFGPVYKGIFPGEKEIAVKRLSSHSGQGMKEFQNEVVLIAKLQHRNLVRLLGYCIKGSEKILLYEYLPNKSLDTFIFDQGLCILLDWKLRFNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDKEMNPKISDFGLAKIVQGKETEANTIKVVGTYGYMSPEYAVKGFFSVKSDVFSFGVILLEIISGKRNSAGFYQSEGALSLLGYAWRLWQERKSIDFVDKKILESCNETEVIKCINIGLLCVQDDPSERPSMSDILIMLSSETTALPTPNQPAFVVRRHTSKTPALSRKERTNSINEITISVEEGR >CDP20441 pep supercontig:AUK_PRJEB4211_v1:scaffold_1206:13144:16596:-1 gene:GSCOC_T00011147001 transcript:CDP20441 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAGISLSFLLLVVLETSNFQSCVARDTITSNSLLPDDGTTIISAGKGFELGFFDPEDNINTGRYVGIWYYNLSPRTVVWVANRDAPLPYVPGNFSIGEDGNLKLVDKMGTSYFNTTLERSSPVNRILKLLDSGNLVLIDGSSGNILWQSFAEPTDTFLPGMTMDGGLKLVSWMAIGNPATGSFTFEQDQENRLPKIMKGKTAPHWKSDQSGSVELPYFVAFFLSNFSRSVDQATYKSSSAYSSKNPGSAITSLNYSDELESYANTRLLMNSSGEIQFYRWEQDGWSLMWKEPHDACSVYNPCGNYGSCNLKSGGLNCDILPGFKPVFPDAWKTGDFSGGCERKLDICNMPSKPDTFLNLKLMKVRKPDILYAADREDTCRQEYCLSGCACQAYYYAGFDNRSRNNGDGSSCLIWTSELTNLQEYVDGGHNLSIRVPVSAIEGTSSKRENPPISGNRVTVIIVTTVIAVVLLAGTLTCSFYRRIIAKRKETEKSIPGNPMPYLDDSERQAAEMVDEDDKFIDVPYFSLESILAATNNFSDTNKLGRGGFGPVYKGIFPEEKEIAVKRLSSHSGQGMEEFRNEVVLIAKLQHRNLVRLLGYCIKGPEKILLYEYMPNKSLDTFIFDEGRCILLDWNMRFNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIVQGKETEANTIKVVGTYGYMSPEYAIEGLFSVKSDVFSFGVIILEIVSGKRNTSGFYRSEEVLSLLGYAWRLWQERKALDLVDKKLLESCNGTEVMKSINIGLLCVQDDPSDRPTMSNVLIMLSSETTTLPSPNQPAFVGRRRTSSTSASLSSKAETISINEMTISAEDGR >CDP22204 pep supercontig:AUK_PRJEB4211_v1:scaffold_12075:557:1849:-1 gene:GSCOC_T00006553001 transcript:CDP22204 gene_biotype:protein_coding transcript_biotype:protein_coding HWVAKGFVLPDRENTRMMEERGGKYLRILLQSSLLEKVGDEGSTYYKMHDLVHDFAKSILNPESSNQDRYLALDSSKGLEENTIRTIPASIRTLFLHVEGGISTDMLLRFKCLNVLRLSGYDVESLPSSIGKLLHLRLLDISFSRITSLPESLCKLYNLQTLTINVNALKGGFPKGMSDLISLRHLNYYDYDAELKMPAQMGRLTCLQTLEFFNVSQERGRGIEELGTLKYLKGSLSIRNLGLVKGKEAAKQAKLFEKPNLCRLAFEWESGDRESDNREEDVLEGLQPHPKLQRLGIDSFMGNKFPQWLINLSKLEALEIIGCKRCSELPSLGQLPSLKRLYLIGLDNIRSIGDEFYASGSSTRKRKFFPALEVLYVEYMENLVEWKDADQVRSTVGEAETDTGQGRSSDSDDRP >CDP22205 pep supercontig:AUK_PRJEB4211_v1:scaffold_12079:3:1214:-1 gene:GSCOC_T00000813001 transcript:CDP22205 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAFSFSPAEGTRVKTSPPELVSANDSKKKLLILDLNGVLLGSAFTRMTRNRDFNFKPRCFEFLKVCLSYFEVAVCCAFWSHNIQPMLDSLSKKMNERLEQRLLFVWDQSRCTMTQTSLRENPDKPVMFKDLKHVWGEYKSYNSSNTILVDDSPYKSFLNSPYNAIFPTSYTCYTVEDNYLDPEGDFVRHLKKLASADNVQDFIKRSRFGQSPVTEGSVEWNFYVNVFSKLGLQNTAKQVTRRREAPNRYYPEVSIAFMFRNMFTIVQHLVMIAKI >CDP20445 pep supercontig:AUK_PRJEB4211_v1:scaffold_1208:32535:33165:-1 gene:GSCOC_T00005332001 transcript:CDP20445 gene_biotype:protein_coding transcript_biotype:protein_coding METPSEGSSKDSMTAVKQVRQQLESRVKALHNAQLHLIASLQNLVLDLVSSCNLSLKAISSFNSRPFSPLPNPNNLNLPNYQPSKLSPRIPTLSQVPNSDANKFLIDDAVGPFSLVRSMVAICLLKRVPFTTIDYSIVLRKLENDQFAMPAE >CDP20446 pep supercontig:AUK_PRJEB4211_v1:scaffold_1208:34411:35998:-1 gene:GSCOC_T00005333001 transcript:CDP20446 gene_biotype:protein_coding transcript_biotype:protein_coding VLEQMTKALGMKLEDMTMLFQEGMQSMRMNNYPPCPQPELVMGLCPHSDAGGLTIVLQGNEVEGLQIKKAGAWVPVVPLPNAFIVNVGNILEIVTNGIYKSVEHRATVNLHNERLSIATFFSPKLDGDMGPAPSLMTLENPAIFRRISMIDYLKAFFFP >CDP22206 pep supercontig:AUK_PRJEB4211_v1:scaffold_12090:293:857:1 gene:GSCOC_T00007782001 transcript:CDP22206 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASLDQFNGTATKPRLSVFCSDKQLYAMVVDDQNKRCLFYGSTLQKSIRQDPSGTTIEAAQRVGEELVKACIDLDINEISSYDRNGLARGDRMRAFDIAISRHGFLPR >CDP22208 pep supercontig:AUK_PRJEB4211_v1:scaffold_12117:958:1381:1 gene:GSCOC_T00010278001 transcript:CDP22208 gene_biotype:protein_coding transcript_biotype:protein_coding MYILEANQEKIVEVGGLSSLLMLLRSYKDETIRRIAAGAVANLAMNEFNQELIIAQGGICLLAMTTSDAEDPQTLRMVAGAVANLYGNGRTICLS >CDP22207 pep supercontig:AUK_PRJEB4211_v1:scaffold_12117:309:606:1 gene:GSCOC_T00010277001 transcript:CDP22207 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHSLFQVAQKITSNTIMYLPKNVDLLEVEQLSWLSSPPLDIEIEENTVRGKLKAITVYFGDATIT >CDP20447 pep supercontig:AUK_PRJEB4211_v1:scaffold_1212:444:4777:1 gene:GSCOC_T00007721001 transcript:CDP20447 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRKKNLKIKQVWRRKGSSASTSVSARPPPPPWTELPRDITANILQRLSVVDILESAQKVCTTWRSVCLDPAMWRVIDMYDCADYEDEPYDMEIMVQHAVDRSQGQLVDISIGSIGTDELLEYIAERSGKLKCLRLAFCDSISGEGLTEAVKRLPLLEELHLFFISMPSEALEIVGCSCPLLKSFTINTRSNELPHQECDKEAAAIAKTMPGLHHLHLLGNKMTNEGLKAILDNCAKLESLDLRKCSLMTPRQIMSLMKNLMTVKDFITLIHLMSTPLGFLTLMFLTMIIILMILRTSLSGTWILTMQLSLGSVNRWSLLAASIMHVMLVHPLEQLQEISIERALAFSVLCKLFLFGALRPGAFWTPKFPYCGVDLQDTSPWLCIYVLSS >CDP20448 pep supercontig:AUK_PRJEB4211_v1:scaffold_1212:26818:32347:1 gene:GSCOC_T00007723001 transcript:CDP20448 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPPASTPPPPWVELPPEITTIILQKLGTIEILTTVQLVCKTWRDLCVDPAMWRIIDLRNDMRNDGSLLDSAYDLEKICRHAVDRSQGQLIDINIEFFGTDDLLCYISHRSGQLRRLRLVFCYHLSGEALSKAVKKMPYLEELQLYYTRITKEAIEAVGHSCPHLKCFRLNSQGFRRPQIECDEEALAVAENMPSLCHLQLFGNKMTNEGLKAILDGCHHLESLDLRHCFNLCLEGSLERRCSQQIKELKRPHDSTEDYEFECHIEDFESSDEDYSFRFSDIDHMSLDDDYYEFSDLDDEYFDYADLMFELHTSFSVPLVSVLRMEI >CDP20449 pep supercontig:AUK_PRJEB4211_v1:scaffold_1214:2:1889:-1 gene:GSCOC_T00008821001 transcript:CDP20449 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDGTDTTQSVMEEEKGRKIMENLRVFVELMGVFDVGDYIPWLSWVNRFNGLDLKVEKFVKLIDEFLEGVIEEHINKRKGEAESDHSVEARCLDFVDILIEVNKENTIGFALGRDDMKAIILDVFGGGTDTTHS >CDP20450 pep supercontig:AUK_PRJEB4211_v1:scaffold_1214:4153:5076:-1 gene:GSCOC_T00008822001 transcript:CDP20450 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFDLLFFSVCSVVFPLALLKWFYAASKPQKKLPPSPPKLPIIGNIHQLGLLPHRSLQSLSRKYGPLMLLHFGSKPVLVASSADAASQIMKTHDLVFSNRPKSSVKDRLFYGSKDVVFTPYGDYWRQAKSICVLHLLSNKRVQSYQHVREEETSLMIQKISQMCSSSPVNLTEIFVTLTNDIICRVALGRKYSEEEKGRKIMENLRVFVELMGVFDVGDYIPWLSWVNRFNGLDLKVEKFVKLIDEFLEGVIEEHINKRKGEAESDHSVEARCLDFVDILIEVNKERTIGFAFGRDDMKAIILVN >CDP20452 pep supercontig:AUK_PRJEB4211_v1:scaffold_1214:16112:16643:-1 gene:GSCOC_T00008826001 transcript:CDP20452 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQNEVRAVGQGKSEITEDDFDKMQYLKLVIKEILRLHSPVPSLVWTLSWSHLVPAEGDARVRPLPWLSMNLHQQNYCTNLTLPFPDGGKPEDMDMTEAGGIVVHRKLPILVVATPYSP >CDP20451 pep supercontig:AUK_PRJEB4211_v1:scaffold_1214:9691:13086:-1 gene:GSCOC_T00008824001 transcript:CDP20451 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHFGSKPVVIASSADAACEIMRTHDLVFANRPKTSMSDRLLYGSKDIAASPYGEYWRQVRSICVLQLLSHKRVQSFRFVREEETSLMVEKIRSFSASSPPLSAINLSDLLMTLTNDVICRVALGRKYSDREDGSKSMQIMKEFAELLGTIDIGDFVPWLGWVRRLNDLDAKVEKVVKQLDEFLEGVIKEHKDRKNGKANTDDIIEGKGSDLVDILLEIQGEKSTGFTLELDSLKAIILDMFAAGTDTTHTVMNWAMTELLRHPKILEKLQTEVRQVAQGKPEITEDDLDKMDYLKAVIKETLRLHTPVPLLVPRESTQHVKLMGYDIPAGTRVMVNAWAIARDPSLWNQPEEFQPERFLNSTIDFRGFNFELIPFGAGRRGCPGTTFAVAVNELALAKLVHKFDFALPDGVEPKDLDMSESTGITIHRKNPLFAVAIPHSG >CDP22209 pep supercontig:AUK_PRJEB4211_v1:scaffold_12154:1275:1967:-1 gene:GSCOC_T00012520001 transcript:CDP22209 gene_biotype:protein_coding transcript_biotype:protein_coding IWVHVDAAYAGSACICPEFQHFLDGIDGANSFSLNAHKWFFTTLDCCCLWVQDPNALIKALSTKPDYLKNQATDSNRVVDYKDWQIALSRRFRALKLWLVLRSYGIVNLQKFIRNHVKMAKHFEGLIAKNNNFEVVIPRNFSVVCFRLSPFALTGNQKIMSSEEDLNEINRKLLESINSSGRVYMTHGMIGGVYTIRFAVGASLTDYRHVELAWKTIQEHADTLLNDLCV >CDP22210 pep supercontig:AUK_PRJEB4211_v1:scaffold_12162:167:2123:-1 gene:GSCOC_T00006535001 transcript:CDP22210 gene_biotype:protein_coding transcript_biotype:protein_coding EKSTDFTFGFWFDESEYVRRQEETKMLEMLFPFMTLLPSFQEDLHMSEFLPFEKNSTLPSRILSWMQSAEPKVTPLPVVILQECLIAYIKKQADYIGWIILSKLLYDWRLLDELEVLRAIYLLGSGDLLQHFLVVIFNKLDKGESLDDDFELNTILQESIRSSADGSLLSTPDSLVVSITKNSDLSEDEQHGASIQISTPRKSRLQTMGIDVLQSLNFTYKVSWPLELLANVEALKKYNQVMIFLLKVKRAKFVLDKARRWMWKDRSTATTTRKRHWLLEQKLLHFVNAFHQYVMDRVMYFTALFSTLTTFRVWFLYYLHIKMDRAFIFVGGYVIEGS >CDP20453 pep supercontig:AUK_PRJEB4211_v1:scaffold_1217:21358:22881:-1 gene:GSCOC_T00006087001 transcript:CDP20453 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFLKTHDDIFASRPTTAAGKYTSYNCSDVSWAPYGPHWRQARKIYLSQIFSQKPLDSFESIRIEERRAFISRLYALSGKPVVMRDHLMRLTLSTASQMVLSNKYFAQSEEDGSLVTFEEFQEMIDTWFLLGGVFNIGDWIPWLDRFDLQGYIKQMKELDKKFDRFHNHVLDDHQAKRKTEKDFIPTDMVDILLQYAEDPDLRVKLTRDQIKGLIQDLLAAGTDTSASTVEWAMNELLKHPRLIEKATEELDRVIGRDKWVEEADFSKLPFLEAIIKETFRLHPITTLLPPHYAIEDGTVAGYHIPKGTTVLINTWSIGRNSKYWDSPEEFMPERFLEKDVDMKGQNFALLPFGSGRRRCPGYNLGLKLVRSMLANLLHGFNWKLPHGMKPEEICMEELYGLTTHPRTPLAMIPEPRLPVNLY >CDP22211 pep supercontig:AUK_PRJEB4211_v1:scaffold_12184:3:970:-1 gene:GSCOC_T00007535001 transcript:CDP22211 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGNAYRSKVTPLTPLFNAKGGKARSCRNDRSLGLQTKNFVNLIKHAEDGILDLNEAAKTLEMSKRRIYDITSVLGGIGLIEKELKSTIRWTGLGASRQPDLQAEVENLSMEERRLDDRIRLEMQERLRDLSAINQKWLFVTFEDIKVVPCFQVLFYCFVVWQFLFS >CDP20456 pep supercontig:AUK_PRJEB4211_v1:scaffold_1219:36734:37480:-1 gene:GSCOC_T00011335001 transcript:CDP20456 gene_biotype:protein_coding transcript_biotype:protein_coding SVDRLEVPPVIDNSIDKAEELLPGKRPLAKIARKQSLNDENRLATYNLSTQPVASFDSVFAATLGPVAWRIASKRIEQALPSGSKFGRGWVGEYEPLPTPVLMLENCTLKEPPFFTKIEQAVVTRKQEKMPTKPVSSYNQFVVLSLYFRLDNFLGSTTMSCWPC >CDP20455 pep supercontig:AUK_PRJEB4211_v1:scaffold_1219:5422:21731:1 gene:GSCOC_T00011332001 transcript:CDP20455 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFWYTLLFSCVALVYVLPETVGADKSQREREADKVVYLPGQPLEQFGFQHFAGYIKLRPNDEKALFYWFFEAQNDVSHRPLVLWLNGGPGCSSVAYGAMQELGPFLVRNNGQLIYNKYSWNKVANILFLEAPVGVGFSYSNKSGDTEKLGDSITAEDSHAFLIGWFRRFPNFRLHDFYIAGESYAGHYVPQLANLIHEKNKGAKKGYSINLKGILMGNAVINDPTDQPGLIDYAWTHAIISDQLHRNIYKDCDFKSNISTSQCTMHIRGFLEAYSGIDIYNIYAPVCLSSLNKAAQKLIVAPRFFTQQDLWHKLPSGYDPCTEDYVEAYLNREDVQKALHANLTKLSYPYTPCRFVD >CDP20460 pep supercontig:AUK_PRJEB4211_v1:scaffold_1220:34720:35554:-1 gene:GSCOC_T00004887001 transcript:CDP20460 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESKVVVHGTWASAFTKRVELALKIKGIPFEYVEENLRNKSPLLLKYNPVHKKVPVLVHNGKPICESVIILEYIDETWPSGTKLLPQEPYQRAKFRFWAAYIEQLLDSVAKLFNTEKAAQGKALEEVHEKLRILEDGVKEFYFVEKSPDHVHAEKLGMLDIMMVGHLVAFKAQEEVLGVKIIDPEKNPFIASWIQALIQLPMVKETLPPHDNMVGLLQFVKQTGIKLMDKSRGHDRDLKGKLQSSTQGTM >CDP20457 pep supercontig:AUK_PRJEB4211_v1:scaffold_1220:400:2053:-1 gene:GSCOC_T00004883001 transcript:CDP20457 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDGFPVLHDFNRIICTCFNGAQAGGLACLSTEGLAAVHTTIDHYKENARILVDSFTSLGLRVYGRVNAPYIWVHFPGSKSWDVFSEILEKTHVTTVPGNGFGPGGEEFIRVTAFGRRDNILEASRRIKSLVSQTMPSPRFPLIQSNRHDSSGELHCFHIHGCIFLLFHGIFLSSSLPDSLHPKMAFCDEKGDNFYSIDEGVAELVPGVLFIDEVDMLDMECFSYLNCALESFLSPIVIFAANREICNVRYKATLVQFFEFTS >CDP20459 pep supercontig:AUK_PRJEB4211_v1:scaffold_1220:5565:10219:-1 gene:GSCOC_T00004885001 transcript:CDP20459 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENKVIVLGNWSSPFVKRVELALKTKGIRFEYVEEDLSNKSALLLKYNPVHKKVPVLVHNGKPVCESLVILEYIDETWQNGPHLLPKDPYERARVRFWVAYIHQLLDSMAKLYTPDKEAQEKALKEVHEKLRVLEDGMKENFPGGSPDVRAKKLEILDIMMIATVGSFKTHEEALGVQILHPERNPLTFSWVQALNELPVVKESNPPYEKLIALLQSLKEILLGNKKLGKMYTARFF >CDP20458 pep supercontig:AUK_PRJEB4211_v1:scaffold_1220:4484:5477:-1 gene:GSCOC_T00004884001 transcript:CDP20458 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDTVILHGMWASTYVKRVELALKIKGIPFEYVEEDLSNKSALLLKYNPVQRKVPVLVHNGKPICESLVILEYIDETWPSHGLQLLPKDPYERARVRFWAAYIQQLLESMAKLFNPDHKEAQEKALEEMYEKQRILEEGMREFFPEESPNVHPEKLGILDIMIVSTLGAFRAQEEALGVKTLDPEKNPLLFSWVEALIQLPVVKEIIPPFEKLVSLLQFIKQNGIKF >CDP22212 pep supercontig:AUK_PRJEB4211_v1:scaffold_12220:489:2162:-1 gene:GSCOC_T00007517001 transcript:CDP22212 gene_biotype:protein_coding transcript_biotype:protein_coding IEHGRSIHRHVFSPGYRPKTFLLNHLLNMYVKFRLLPEAQALFDQMPRRNVISWTTMISAYAAASSPPLQRRALDLLILMLRDGVCPNMFTFSSVLRACHELQLLTQIHCSIIKVGLESDVYVRSALIDVYSRWGEMSSALCVFGEMVTRDQVVWNSIIGGFAQNSDGDPALHLYIRMKRAGFQADQSTLTSVLRACTSLALLELGRQLHVHVLKYDQDLILNNALLDMYCKCGSFKDSDSIFSRMVDKDVISWSTMIMGLAQNGFSRRALELFKAMAVSKIKPNHITILGVLFACSHAGLVDDGRYYFRSMKKLYGIDPGREHYGCMVDLLGRAGRLDEAVELIHKMECEPDAVTWRALLGACRVHRNMDLAAYAAKQIIKHDPDDAGTYILLSNIYANSQRWDEITEVRNAMRHKGVKKEPGCSWIEVNKCVHAFILGDKSHPQIIAIRRELKQIIHRLKEMGYVPDANFVLQDLEEEQMEDSLLYHSEKLAMAFGIMALSSGKTIRIRKNLRICGDCHDFAKLLAKMESRSIVIRDPIRYHHFEDGHCSCGDYW >CDP22213 pep supercontig:AUK_PRJEB4211_v1:scaffold_12238:454:756:1 gene:GSCOC_T00012072001 transcript:CDP22213 gene_biotype:protein_coding transcript_biotype:protein_coding MDRERDSKPGSGSAASAQNEAIDRQEWLRRLALETIDLAKDPYFMRSHLGNYECKLCLTLHNDEGNYLAHTQGKRHQTNLAKRAAREAKEAPAQPPASQA >CDP20461 pep supercontig:AUK_PRJEB4211_v1:scaffold_1224:13804:19473:-1 gene:GSCOC_T00011911001 transcript:CDP20461 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCGPHFTASCFDSSSPSPVLSSSSFIFISILISCGEGEKKGLKEREREISNSYQSYRHSYSTPIFLPPQLPIMAEHDRPLPKFGEWDVNDPASAEGFTVIFNKARNEKKTGGKSDSPQKGSSAYKHRATLGKPPSKKWFCCVQSVATE >CDP22214 pep supercontig:AUK_PRJEB4211_v1:scaffold_12241:190:1287:-1 gene:GSCOC_T00012786001 transcript:CDP22214 gene_biotype:protein_coding transcript_biotype:protein_coding MCTILKAWKAGSNFLGTHKKSISSSSSSSKTLSSLARERLASSIIYLFFILIDWIWHSDGKLSFLGFVRLLHGVPHEHFRRLETTNYSD >CDP20462 pep supercontig:AUK_PRJEB4211_v1:scaffold_1225:17227:18355:1 gene:GSCOC_T00008984001 transcript:CDP20462 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISDVCIDHGPQNDPVRVLLGLVTHAQAKFLKKSLQGLVQTVQDQHGVHRDIEGLEGDKQNIYTMIQAHGESSGPPSDWAELGL >CDP20465 pep supercontig:AUK_PRJEB4211_v1:scaffold_1226:34298:36878:-1 gene:GSCOC_T00013357001 transcript:CDP20465 gene_biotype:protein_coding transcript_biotype:protein_coding MALISDRLLRHPNTDVRISVMSCICEVLRISAPHQPYENERMKPFHLHAVQDIFRLTLAAFKKLSLFSGRYYAKALHILEIVAKVKCCIILLDIGCDSFVTKIFEVLLSTIKFNHPQAVFSCMEDIMTWLLDESDDIPLGLLKPILASVQKENQITSPVSFWLGERVLKNCSTKVRPYLLNAICQLGTMWCSSSWLISAHLESTTLELAHGHAPFSKYPPMKVPLMAIQNAPPGLDYDRDKKFSKACPFSSNKMVAMCLVKDLTKRPTAEKLLKHSFFKNAKPPELSVQKLSADLPPLWNHVKANLSLKDAAQLALKKIPSAKQEALSHV >CDP20464 pep supercontig:AUK_PRJEB4211_v1:scaffold_1226:28247:29178:1 gene:GSCOC_T00013355001 transcript:CDP20464 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPEGCKAFRLAFKKITKKTASTDHDGPVLSAHKKLVAEKLAEEEAERKVKGEAKKEKHLVGEKGHVKPANYLDSLEKHLIGVATRGVVKLFNAVNKAQHSQRGLNPSRSKDENGRWKASIQHFRL >CDP20463 pep supercontig:AUK_PRJEB4211_v1:scaffold_1226:4066:7794:1 gene:GSCOC_T00013353001 transcript:CDP20463 gene_biotype:protein_coding transcript_biotype:protein_coding MEISCSSSTSCFELLQSFDLYLTTCRGRRNHETCLEQDEEEKDATSSRIQELIIRRMRDLEFASSGCLDHSRSLHSTRVGSELTIFLEAVKLFFETDINESCINYLLDCYWLRDPELVIDFIDSVSEILEEIDESHFKRLDEQLMFLKSFIRFAMLRGVEGQQLIGLLIHTEVVSINALRLASSVCEKINPTEPQVLETYIHVLTASKLSISSDTSALEKIKQPVADFMDYLVQNTAELLQPCTSTPVPIMNQMLKIVEGLRFLTILLRHQDKFKELCHEMKNLIGIVACDAAIVIFSLFVNQIEEGLAKETDLALFHLLKVLKLMRAEFTQVYPLTSVSGFGFPRICELGSMDFLLRNLQELARSDEINGSTAFPVDKIQTIQEDFELLRSFLEKIKEQRNQNEKLQAFWSHVMEIAYKAELVIDWTLVGDGCEYFLDDVARDINVMKIEAQEIYDSISYVGETIKGVTKTFTRVPSQVSVAAYNEVLVPLDDEVKAISDSLTRGGSRQLDVVPIVGMPGLGKTTLANIVYNSPSVMSHFNLRAWCTVSQAYSMHNMLVQILGSIESGKLEQYRKMDEHDLAVKLKQVLLRNKYLLVLDDLWDAKAWNLLERSLPDDANGSRILITSRLQNLSLQFNPYSKVHHLRRLTDKESWNLLQKKLFGKKGCPSRLSGVASQIANSCRGLPLTVVLVSGILANTAEDCWKEVTQSLTSSIVLDDEYCMKTLELSYSHLPDDLKACLLYFGAFKEDEDVSVRRLLWLWISEGFMRKTEEKSLEDMADDCLKDLVDRSLVMVSEQRTMGSAKACRLHDLVHEFCVKKAKEENFLHVLRSQNGPFVLTSPSNLHRVCNPMNGELMLEFPNVRSLLLFKGDDLEFGLPELLRVLDLGELEFVVYFPMEVFLLAHLRYLALRTRKVNFIPAAIANLSRLQTFLLRGNDIGCLLPETIWNIKTLRHLWTPTAADGFIFPVENLEVSQGLIHLDTLSLAIDPSQSLQKILTNQLESLTLCFCRGYRFKFPLNLKKLTLRGTDQGWSEISTIGKLPKLEVLKLLYCYAVGEEWEMKEGEFPSLRVLQLSNLWKFRSWTASSDNFPRLEKLVVHSCRNLKEVPSCLGECPTLEMIEVSGCHESVASSVKQIQQEQRDMGNEALKISIKYLYGK >CDP20466 pep supercontig:AUK_PRJEB4211_v1:scaffold_1227:26377:26599:1 gene:GSCOC_T00001274001 transcript:CDP20466 gene_biotype:protein_coding transcript_biotype:protein_coding MPERRAGNDPLLSWQLGSRATRAVNHALVKRSYFIHQTWFPVSLELKDTEVRAYRTDPVQVRS >CDP22215 pep supercontig:AUK_PRJEB4211_v1:scaffold_12289:1:1767:-1 gene:GSCOC_T00008005001 transcript:CDP22215 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIDLTSNKLEGKIPIDQLSNFKKLEIFYLGLNNLTGEIPSSIGNLSSLIGLYFDFNNLEGNLPMEMGLLKISAITVIAVADNSFHGNLPTNIGLTLPNLEELLLGGNKFYGNFPTSITNASGLKILDLADNKFQGQVPANLGDLTQLKLLNLETNLFGGNSTGDLDFISSLTNCSDLRILALGYNKFGGNIPQVMANLSNQLTELYLGGNQLSGTIPEGFGKHANLYLLTLDSNYLSGVIPRDFGKLQNLQFVRLDNNQFSGQRVSTLCNATTLYHLDLSTNQFEGGNILDNVLMNCQNLQYLDISHNNFTGIISPHFLQTHSSLMHLYLGENSFNGCLPAEVGMLIHMSIQQLDLSSNNLTGPIPKELEKLHSLGYLNLSYNDIEGEIPNTGIFSNASQISLIGNNKLCGGIPELEFLSTTLLVLGALLLYFLVYQKRERRMVAGFSSMPTGVNKLLRISYHELHRATSGFSPENLIGSGHFGAVYKGTLEKHGNKLVAVKVLDLQKI >CDP22216 pep supercontig:AUK_PRJEB4211_v1:scaffold_12290:171:2152:1 gene:GSCOC_T00006432001 transcript:CDP22216 gene_biotype:protein_coding transcript_biotype:protein_coding MILLELQVAPFAMTHVAYLSTFSYSDFGITYQLLPKEGVWLIVLELFPALSLYRGLDELFNFSEAAFEMGAYGMRWQSLRDENSGMREVLIIMSIEWLVFLFMSYCTLGGCFCRSPLSIFRSTQERPPSFQSPRLQVQESGVLVHVDNQDINQEVHYIHKCVPMAVAFLCAFLFGFSLIIQETILKVEHLLNEPSTSYPIISHKLQKTYPARDGNPEKQAVRGLSLAVARGECFGLLGPNGAGKTSFISMMTGLTKPSSGTAYVGGLNLKTQMSEIHS >CDP22217 pep supercontig:AUK_PRJEB4211_v1:scaffold_12325:49:1216:1 gene:GSCOC_T00010669001 transcript:CDP22217 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWWESISKARTRIHLLSTLLPETSSVLSFLADSDCPARSLLLSSAAYSSISSSLSSPSSGSDDDSLCLWLYDTFLSADSELHLVVLCYIPFLSSFYLSRIHSSSTTSAISPPLISPASKLSFLSSTHLKSRLIRVYPCSFQSLISLNLLFITPLEIPLRINRTPLTITPHNRAREAVAAAAAASATTNANTPSKAKKPEILLVSK >CDP22218 pep supercontig:AUK_PRJEB4211_v1:scaffold_12328:235:1656:-1 gene:GSCOC_T00002436001 transcript:CDP22218 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRHLDLSFNNLEGEIPNSLQVLSDLQYFNVYYNRLRGPIPQGEPFTNFTNLSFLSNEALASFHELRKITNGFSESNLLGSGSFGSVYKGIRENGMVWAIKVFDLQLEGAFKSFDRECEVLSCLRHRNLTKVISACSSPDFNALVLEYMPNGCLEKWLHSNHHFLNMKQRLDVMIDVACGLEYLHYGYSTPIVHRDLKPSNILLDQDMVGHVCDFGIAKLLGDGESMVQTKTLATFGYLAPEYGLEGLVSTSCDAYSFGTTLMETFTKRKPKDKMFTEELSLWRGLVQRKIECISSILQVGLSCTTYVPEERINMKEILRALQKIKLQFIKDIVP >CDP20467 pep supercontig:AUK_PRJEB4211_v1:scaffold_1236:25373:27067:1 gene:GSCOC_T00009729001 transcript:CDP20467 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPHVLAIPYTAQGHVLLLMELALCLVKSGIKVTFVNTEFDHKRVIESLSGEGNVPDMMHLVSIPDGLESWDDRNDLGKLTKTIFRVMPAKLEALMEKINESETDKITCLITDESMGWALEIAKKMGVRAVAFWPAAAAVSALELNIPKLIHDGIIDSSGSVLKNQMVQLSPTILAMDSAHFVWACIGDPTTQGIIFYVILKNIRTLKLADWIICNSSNELETSVFNSYPEMLPIGPLLSSNRLGKLVGSYGPKDLDCLVWLDKQPVQSVIYVAFGSITVFDQTQFQELALGLERTNMPFLWVVRRNLTAETDNAYPKGFKERIQGRGRLSSWAPQQPVLSHPSVACFLGHCGWNSTVEGVSNGVPFLCWPYFADQFTNRSYICDDWKVGLGLEKDGNGIIAQGEVKNKIEQLVTVKGYKERALDLKAKVMNSLREDGCSGKNFNNFVKWIKDD >CDP22219 pep supercontig:AUK_PRJEB4211_v1:scaffold_12368:1:1486:-1 gene:GSCOC_T00002541001 transcript:CDP22219 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGTIPRLLGNLSFLVTLDLSGNKFQGSLPQEIVYLHRLRVINLSFNNFTGQIPSWFSFLTCLKYLNLKKNNFTRLIPHSLFNLLKLENLILSSNSIQGIIPEEIGNLHSLKYVDIETNQLNDSIPSSVFNISTPETIALGHSPFGNLPTDVCLNLTKLKKLSLSSNKLSGQIPSSLSRFHCIFTGSIPKAVGALCNLNIPSSTGDHKSTRKKTSHVIFITSGIGAALMAITLTIVFLMYRNKTEVGRTEGILEIRTVQRISYYELLQATHGYEESNLLGTGSCGSVYKGILKDGMLVAVKMLNLQVKISLGSF >CDP22220 pep supercontig:AUK_PRJEB4211_v1:scaffold_12381:1:2068:-1 gene:GSCOC_T00011238001 transcript:CDP22220 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLASFGSIFLAASLQISNNKPVLIFNMTLLLHWLSSLLHFVAPSSCPTLRWKGLGPTYFPISSTGIIGSLEGIYKAIQAPLIDRQRRELAKAYMETLIPEPTPTNVRKFKKGLWRKTTPKGLKLKKFIEGPDGTLVHDSSFVGEDAYDDDDHPWESVKEIIDQDVKLNKEEKKVLEEDLTILGENQESRGTWRERLQAWNEILQKDKLAEQLDSLNARYVVEFDMKEVENSLRKDVLEKVKNNHGNRA >CDP22221 pep supercontig:AUK_PRJEB4211_v1:scaffold_12383:1:651:-1 gene:GSCOC_T00009735001 transcript:CDP22221 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIGAAIQVTLERALSLASDRIGLLVGFKKDVASMTDSLGFIKDVLADAEEKQNQSRRVQRWLNSLEEVAYDADNVLDELHYESLRHQVESRNRHKLKVCCFFSFSNINLAFRWRMAPKVRDVKLKLEEINQEANRLELVSRLVMTAALPAAVGDTRSRQTDSVVAPMIGRVHDESNILEMLLKPSEKVVSVLPIIGMGGLGKTTLAKSIYNKQ >CDP20468 pep supercontig:AUK_PRJEB4211_v1:scaffold_1239:18047:21551:1 gene:GSCOC_T00010033001 transcript:CDP20468 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAISATVKVILETVISIAADCVGMVLGVKAELERLSKTTTTIQGFLDDADGKMHSPGVRDWLKQLEDEVFKTDNVLDELRYDNLRREVKYRNQLTKKKVCFFFSFFNAIGFSSSLASKIRDINSNLKRINQQANDLGLTDSIIVPNVVGRSGDESKIVKMLLTPSERVVSVIPIAGMGGLGKTTLAKSVYNSTKIDENFGIKSWVCVAREIKIVEMFKLILESLTRTKVEVDGREAIVQETRGKLGEKIFLLVLDDVWNCEQGLWSDFFTTLLGLSTTKGSWCILTTRLQPVANAVLRHLQMNDGPYFLGKLSGDECWSIIKRKVLAGEEVPKELEAIQEQILRRCDGLPLAASLIGGLLLNNRREKWHSIIDQILKVSFDHLSPPSVKKCFAYCSIFAQDTELGEDELIEHWVAEGFVLPNQENTRMMEEREDEYLRILLQSSLLEKVADKRSTYYKMHDLVNDFAKSVLNPKCSSQDRYLALHSYEEMEENVRRNKAASIRSLFLQIWGGISPDMLSRFKHLHVLKLFGYFVMFLPSLIGKLLHLRLLNISSSGITSMPESLCKLCNLQTLTMRDRALEGGFPKRMSDLISLRHLNYYHYHAEFKMPAQMGQLTCLQTLKFFNVSQESGCGIEELGTLKYLKGSLEIRNLELVKDMENLVEWKEADQVRSTTGEAEVDAFPMMRDFRIESCPQLTTFPCSGKSLDVRYCCNLTSIKTSYGTASVEELSISFCNNLRELSEDVFGSSLQRLIQYCDDLTTIPYKMFESCPSLQYLYVEDCPNLVSFSLNLQETPSLKQFVFIGSPKLIPHWFKGFAFATNLRKLISLSINSPFSSDDSSIDDFDWSGLRAVLALRELRLEGLPHTESLALQLQYFTTLTSLRRADFGGLEVLPDWIGNLMSLKILELRNCEKLRSLPSEAAMRRLTKLTRIEVYRCPLLRQRYTPHKGIYLEEFQVIL >CDP22222 pep supercontig:AUK_PRJEB4211_v1:scaffold_12398:41:724:-1 gene:GSCOC_T00013778001 transcript:CDP22222 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGFKKDVASMRRSLRLINAVLVDAEAKQNQNGAVQEWLQSLEEVAYEADNVLDELHYESLRHQVESRNRHKLKVCCFFSFSNINLAFRWRMASKVRDIKLKLNEINEEANGLGLVSRLVMTAALPAAADAGGRRNRQTDSVAIPMTGRDDDESKIVKMLLSPSEKVVSVLPINGMGGLGKTTLAKSIYNNQQIDGQFEKKVWVCVSKKVPIVELFKLILVHLTGEK >CDP22223 pep supercontig:AUK_PRJEB4211_v1:scaffold_12410:1040:2079:1 gene:GSCOC_T00007032001 transcript:CDP22223 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAGGELFVKICSAGGFNEDEARFFFQQLISGVSYCHSMEICHRDLKLENTFLDGSPTPRLKICDFGYSKSGLLHSQPKSAVGTPVYIAPEVLSRKEYDGKITDVWSCGVTLYVMLVGAYHIDLIGF >CDP22224 pep supercontig:AUK_PRJEB4211_v1:scaffold_12416:971:1295:-1 gene:GSCOC_T00003648001 transcript:CDP22224 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKVKKTKRTAPKSDDIYIKLLVKLYRFLVRRTGSRFNAVLLKRLFMSKINKPPLTKLQCLLEPYVRSKGRKFERARGRRNSRGFRV >CDP22225 pep supercontig:AUK_PRJEB4211_v1:scaffold_12424:3:1892:-1 gene:GSCOC_T00003240001 transcript:CDP22225 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMTDIQCYSMFFIISFVSTLVIRSFSKKTNRTHFHLPPGPRPLPIIGHLQLITFPLDQFYQKLSTKYGPIIYLQFGASKQIIISSASLATEIFKTQDLAFASRPPFAVEDRLTFIASSFLYSDPQALEASSGVRRQGLRSFLQKIVESASLLKPVDVGLELLKLTNNIICTMAMNTNCSSNDDEAEKCRKLVQETFEQALKLTIGDVLGPFKWLGFWIYGKQAVNLERRFDGMVENILKQHEEKREENSKTSQYKDLIDVLLEMHYDNQAEFKLTRTQIKSFLLDIFVAGTDTSANTMQWTLAELINHPKVFKKVREEIDSLVGNSRLVEESDISSLPYLQAVMKEILRLHPLGSLIPRKCREHCKLDGFDIPKNTTILINTYAAMRDPNLWDDPNEFKPERFLISKDTEKTLARQDQMEGQLLDLLTFGGGRRRCPGMMLAFHTMSPTVAAMVQ >CDP22226 pep supercontig:AUK_PRJEB4211_v1:scaffold_12439:257:865:1 gene:GSCOC_T00001867001 transcript:CDP22226 gene_biotype:protein_coding transcript_biotype:protein_coding MWYISYYFLIKLTMICTHYNGEAEKSFDEAARLLVFPLTISSSLTFLAVFSIWVLKATPPFQRQQSLSLHLPFNQTPLQSSGPFLPVPVVSKTLDPHVYRGDLSAKSINIFPTGGNVDISASDDASDPSTATIAANEIQIQVGTTSFNGIHRSNTTSTTTAPDTKFSALAPNKNIVPRKPGNTSGSPLHLTSALPSQDLRAK >CDP20471 pep supercontig:AUK_PRJEB4211_v1:scaffold_1244:28927:29318:-1 gene:GSCOC_T00010380001 transcript:CDP20471 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLSRHYFCSISPQPWLFVGLGNPGDKFKGTQHNVGFEMIDAFAEAVGIPMDTVHCKAVFGKGMS >CDP20469 pep supercontig:AUK_PRJEB4211_v1:scaffold_1244:11624:12704:-1 gene:GSCOC_T00010377001 transcript:CDP20469 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEELAHNLVISEGEIFRSLYSKGIKPDGVQTLSKDMVKMICQEYDVEVIDADPVKLEDRPPVLTIMGHVDHGKTTFLDYIRKSKVAASEAGGITQGMGAYKVQVPFDGKPQTCVFLDTPGHEAFGAMRARGARVTDIVVIVVATDDGI >CDP20470 pep supercontig:AUK_PRJEB4211_v1:scaffold_1244:26457:28242:-1 gene:GSCOC_T00010378001 transcript:CDP20470 gene_biotype:protein_coding transcript_biotype:protein_coding MVISQLHSHPESLFLYLKTLVEVHTTGNLKFSCLRKYGSLHFPSGRMAKHQSDRIKTFLEELNDFPKLLCSKPIQLTDKVTEQYLEVISCAWQAASCHHVILSSYRVENCLRLCQEYGIVDAASFLLERVGDVGSALMLILSGLNEKFIVLEASIGPSDSRPKHFNSILKEEEVNDILDILHSCSGLCQRNSPRLDPHESEYLWFQLLDLFCLPLMDSCSSKTRSIHQEDIEVLEVQQDHEDDSA >CDP22227 pep supercontig:AUK_PRJEB4211_v1:scaffold_12447:273:1681:-1 gene:GSCOC_T00008617001 transcript:CDP22227 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHESKCIQEIVEYVVAKLERVVAIEAKNQVGIDSRVQKVNALLNLGSGKVQFIGIWGMSGIGKTTIAWAVFNRISTHFEGAIFLEDVRKQSESLKNLQEEILSKILCFKDLRISSVLEGSKMIQTRLCCKKVLIVLDDVDHLSQLDALAGMHEWFGDGSRIIITTKNKHLLVTHGVDKMHKMKVLNEYEAIQLFSWHAFKKDYPAKGYEELSTKIVHYAGCLPLALKVLGSFLYGREMAEWRSEVERLKRIPEDEIMEKLKKSLITLSRGRILMHCLIQEMGWNIVREKAPDEPGKHSRLWVAEEICDVLARDKATENIVGMWLDLPTPQDVVIKNEAFEKMKKLRLLKINNACVSCCPNCIPNEVRWLNWHGRG >CDP22228 pep supercontig:AUK_PRJEB4211_v1:scaffold_12462:698:1894:1 gene:GSCOC_T00002185001 transcript:CDP22228 gene_biotype:protein_coding transcript_biotype:protein_coding MADALITSTIKVALEKTFSLANERIGKLFQFKEDLETLSGSVAMIQAVLADAEEKQTHDQAVQLWLQRLEAVAFDAENLLDELNYEALHRQLISDINKKLNKINKEANDFGLIRFQRATFPPSTTAKVTLNRETDSIAGHYVVGRAKDETRLVEILLSLSENAVSVIPILGMGGLGKTTLAQSVYNNSQVDSHFEKKIWVCVSDNFEVTRLLKMILESLTRRNVEITSRDVIVQEIRQQLVGKKYLLVLDDVWTESQIFWDDFLRLLRGLNATNGNWCVVTTRKQQTASIVATHDPYVLGKLSDDDCWSILTEKANAGGEIPEQLQVMKKERVNYILPPCGLAFFYITPLWFQKLYITPSWFGLKCQSNGNSHS >CDP22229 pep supercontig:AUK_PRJEB4211_v1:scaffold_12472:181:1395:1 gene:GSCOC_T00007713001 transcript:CDP22229 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKDLPKGMCNLISLRHLYFYTFDEKFQMPLEMGRLSCLQTLEFFNVGREKGRQIEELGCLKNLKGSLSVRNLQLVKDRKAAKEANLFEKPNLSRLILAWALAWDREGDNYNYDKDVLDGLRPHPNLEELAIQCFMGDQFPRWLMDLPTTLPKLARLDFYYCHRCRELLPLQNFTSLKELVIWFCRGLTNLPSDMLQSCLSLQKLQVAYCDSLISFLLDLQQTPSLLELELYACPKLKTSMTPKGFGFLTSLNRLEIGPFSDDDDHENSSIYNEFDWSGLISFSSLSSILCDLELFGLPHMESLPHQIQYLTTLMSLRLHDFGGIKALPDWFGNFAALEYLCLFGFKELRHLPSEDAMRSLTKLKVLLAYGSPLLKERCTPESSGLDSQWSKVSHIQLLLISD >CDP22230 pep supercontig:AUK_PRJEB4211_v1:scaffold_12474:380:950:1 gene:GSCOC_T00001524001 transcript:CDP22230 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEATGHIVTVELKSGELYRGSMVECEDNWNCQLENITFTAKVLSFQCYSALH >CDP22231 pep supercontig:AUK_PRJEB4211_v1:scaffold_12479:68:539:1 gene:GSCOC_T00003701001 transcript:CDP22231 gene_biotype:protein_coding transcript_biotype:protein_coding SSLGYKIKYHANGQENDPIEIDFTPPFRRIDMIDELEKMANLNIPKDLSSVEANKYLLDACAKFDIKCPPPQTTARLLDKVNTVSLHGHYAATDFQLSCQKTSGMCAFHLLSHLTYLLHALNVYSE >CDP22232 pep supercontig:AUK_PRJEB4211_v1:scaffold_12489:3:679:1 gene:GSCOC_T00005679001 transcript:CDP22232 gene_biotype:protein_coding transcript_biotype:protein_coding SASGIGSWDWNLDGNSSTYHALFPRAWTVYDGEPDPDLKIVCRQISPFIPHNYKESSYPAAVFTFTLSTIQERWLRMSLYFFHGRTLLVGILVYLDIISTRNFGMLE >CDP20473 pep supercontig:AUK_PRJEB4211_v1:scaffold_1253:4521:8841:1 gene:GSCOC_T00008462001 transcript:CDP20473 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAPQNFSPLLLFPLSPNFFYQQRSLNPIMFFSSKSRTLIPRLLLLRTAILFSVQTSQVHGSCTSGCDLAQGSYYPSPGDNLTFIASLFNIPTASITDSNRANISIVPGRDNLFEGRINIPFTCDCINNNFLAHVFSYNVTSSTQTTFDTIARGSYSNLTSAAWLKRFNSYPENGVSVPRIGVLNVPVNCSCGGEVSNGYRYFVTWPVRDGETLESVAAANNLTADLVKRYNPTANFTAGNLLYIPMGVPNDSPTPALGSSKRQKSTWKLKLSIGVVAPFLATLTLLSLYCILQRKKVSGIGAISKLKRVEWSADGDKEDDESIFFSFSIIESATDHFSEARRLGQGGFGPVYKGSFNNGLEVAVKRLNKMTIYGTEQFKNEVTVISKLQHRNLVKLLGYCTHGEERILVYEYLPNKSLDSFLFDAAKQDVLDWKARLKIIEGVAQGLLYLHKYSRLKIIHRDLKPSNVLLDNDMNPKISDFGTARIFGDNELRANTSRIVGTYGYMSPEYAMDGIFSEKSDVFSFGVMILEIITGKKNTAFHDSDRHLNLIGHVWDLWIEGSLSDITDSSLNEAVPKPEALKCVQVGLLCVQEKAADRPTMSEVVSMLFNESMVLASPKRPAFSEIMSLKNANLPQNPVHCSMNKVTISEVEGR >CDP20474 pep supercontig:AUK_PRJEB4211_v1:scaffold_1253:9670:14103:1 gene:GSCOC_T00008463001 transcript:CDP20474 gene_biotype:protein_coding transcript_biotype:protein_coding MKKADLSSFRPPSLAAAGPIFPRGKNIIKTTISRPKTSSIKNSIDNNEKTTSVEEIRVCTNRTCRRQGSLDILQILSGIAPPSVSVNSCGCLGRCGAGPNVVVLPQGAFVGHCSTPAKAARLMVSLVCGFHRNDKDFERSSMECLEAFALRKRAEDEMEKGNLDGALLFLSQAIELKPFGGVHITYKTRSTARLAMGNICEALDDAKEALTLAPNYPEGYICQGDALMAMDQIDAAEKSYAMALELEPSLRRSKSFKARIAALQERLFPANSA >CDP20472 pep supercontig:AUK_PRJEB4211_v1:scaffold_1253:210:2638:1 gene:GSCOC_T00008461001 transcript:CDP20472 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKISLALFSFIHLVIFWPCGDQSSKREYDDAHNAARAQAAVSDLAEGSRDFKARGAVNQWVKLSVVGAAVATFLAALALLFWCCKLRKREGNLRKFMGPERPDLDDKGDDESLFFSFTSIEIATDHFSEENKLGQGGFGPVYKGKLVNGLEIAVKRLNRMSGHGIEQFKNEVKVISKLQHRNLVRLLGSCIDKEERLLVYEYLPNNSLDSVLFDTAKRNILDWKRRLKIIEGVAQGLLYLHKYSRLKIIHRDLKTSNVLLDADLNPIISDFGTARIFGENEMRGSTMNIVGTCGYMSPEYAMDGVYSEKSDVFSFGVMILEIITGKKNTSFYDSDRHLNLIGHVWDLWTEGRISEITDSCLDETVSTSEALKYVHVGLLCVQKNAADRPTMSDVVSMLLKESMALATPKRPAFAEIMTLNNTKLPQNPESCSLNEVTISDVQGR >CDP20475 pep supercontig:AUK_PRJEB4211_v1:scaffold_1253:14261:19085:-1 gene:GSCOC_T00008464001 transcript:CDP20475 gene_biotype:protein_coding transcript_biotype:protein_coding METTKMKLQKIGGCGGEGGGAILTPPSNHSRRGSSGRPSWLLFTIADFDERMKMLAVNVSEEDSADSFAKRAEEYYQKRPQLLALLQELYNGYVALADRYCQALAKNNHHRRRYSSPISPFNFNENDQFDEEDAGDVIDSDDAASSLSYQTPFPVAAALDPDMIVADLVMKSVDCDLILNELIAMERKSGESSRKIELQKSLLDVLESERLILLNENASLVYRVNALLEENKGLTSESLFMKRKAADLARCVLKLREDHRVCMLSRKIEDLQGQICGLEKKNKDYYDQLVKHEEEKKSKAITVKTNSKSPAEVTLEDCFHVNEDVSCFGSLANMKKGCLPTNGSINRGRRASRLWNRVKKIDFFLCVPHVNST >CDP20476 pep supercontig:AUK_PRJEB4211_v1:scaffold_1254:34540:35008:-1 gene:GSCOC_T00012393001 transcript:CDP20476 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTTTMVLFLFIIVFLSAVLALFATNVTFDHRTLVIDGRWKVLISGAIHYPSSTPQVRAALLSWNQKSKYGGLDVIETYVFWNMHEPVRGQVSSFWLSFQLCFASVEILCCSHYKKIGF >CDP22233 pep supercontig:AUK_PRJEB4211_v1:scaffold_12546:362:1895:-1 gene:GSCOC_T00001313001 transcript:CDP22233 gene_biotype:protein_coding transcript_biotype:protein_coding METLKEHLGSSFKIASGQELGQKLTVEVIKVSPEDGFGSFEICSTTGIECTPWLGEVQRGEGMMQLDLSSQQVDAANGSMDGIHNQQNGSVGSTPRLAKAQGGEGMMQVDFSSQRVDTTNACINGVHGQQNGIVGSPPRPEHTQGFDNISYQELNLAGVDVAHNSTNGIYEQQNGIVRSSTGQVLMQNMVSREHDEPIVEDPQRDGASIEQGDNEVTNLKVQKPSCTLKSDLGITREVLEQNSTRKLEDAAKNIGVSRSTLKRICREYGINRWPPRKARKVSQALAVQKTVQPSMEDTHEHHRSDATRLEDDNGMWVKAEYQGRMIKFRLPFSARNIDLEENVVQRLNLATGSFIIEYQDEDDDRIWITCDGDLRTSMSTLSSLGRTTIKMHIVEDIPNRRDQ >CDP22234 pep supercontig:AUK_PRJEB4211_v1:scaffold_12563:697:831:-1 gene:GSCOC_T00009004001 transcript:CDP22234 gene_biotype:protein_coding transcript_biotype:protein_coding MYFICYVGEFSSAQKWDFEEFCAAAINVHDLEGMESWEQLFGNS >CDP22235 pep supercontig:AUK_PRJEB4211_v1:scaffold_12602:360:632:-1 gene:GSCOC_T00000576001 transcript:CDP22235 gene_biotype:protein_coding transcript_biotype:protein_coding THYITGIARTGEAPFVAQFNQDGFSHFSTRVAGTLSYVSLEYASYGQLTEKSHVHTVGSVLLQLLSGKEAVISINKDHQALLLTDWHSQL >CDP22236 pep supercontig:AUK_PRJEB4211_v1:scaffold_12606:53:1654:1 gene:GSCOC_T00003643001 transcript:CDP22236 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLCSGELAKADEMIPSSESLATKDCSTSVYSSQAREAEMKPDAGNIEEEARALLGRYEYQKGNIEATLHVFEGINIVTVTLKMKITLARRGDHPRKQSQNYSSLPMSLHAVSLLLEAIFLKAKSLEALGRYKGSFQLLGETSICFL >CDP22237 pep supercontig:AUK_PRJEB4211_v1:scaffold_12619:1181:1291:-1 gene:GSCOC_T00008598001 transcript:CDP22237 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRIEIKKIEDKAMRRVMFCKRRKGLFRMAMELG >CDP20477 pep supercontig:AUK_PRJEB4211_v1:scaffold_1262:20308:20532:1 gene:GSCOC_T00007858001 transcript:CDP20477 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNVTSVKTSSGGAWQGDNPLHFAFPLLIIQTAIVVLISRVLEFLLKPLRQPKVVAEILVHIVGSLIQYWTYT >CDP20478 pep supercontig:AUK_PRJEB4211_v1:scaffold_1263:15331:16534:1 gene:GSCOC_T00007568001 transcript:CDP20478 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESPRPLPPPPPPPPNLDDYTPSATLIPFQHPIPLLRGPVRASSSDNPEAGPFILAFKDPQSWASAYKACESQITQQCEAGARIGCSLAASNKCKPSWWKTLTGGVAKQDYAERAKCEEREMEACLETAKDKCREFSKNKCMTAFRDAKVAIKGLDLVRNRWEVSKLLSWLCLEGNKHDGMVEMMKFGTSWVEFTTQFNLTSCKGSDLLGSGNAKDVDDFLRRNGRTM >CDP20479 pep supercontig:AUK_PRJEB4211_v1:scaffold_1266:25731:29252:1 gene:GSCOC_T00001449001 transcript:CDP20479 gene_biotype:protein_coding transcript_biotype:protein_coding MKENGSITETLCIIQFSTRVKIQMIYEITTNYLLGNLGKDCSSSVGVIDLGEEAVQMVYAMSNTNALNAPRTSVGDNVDVLEKCLNGRRYHLYTKSCEKYGILSVRAEILKLFNNTSNPCVLEGFHGTYRYGEENYYVTAVTESGFFPWEGQNFFEQNYLNMLYVERKREMEGCLIKISCTYHQNSFTSLFSNFYQQLGLIDRNADAAVIRATDYANEADRACRTKMRDAKSKYRLEDLNLPYICMDLVYLYTILVDGLGLDPWQEIKLLHQITYQDWLAGPTWPLGYAIDVASSITESQMTA >CDP22238 pep supercontig:AUK_PRJEB4211_v1:scaffold_12663:115:1333:-1 gene:GSCOC_T00002197001 transcript:CDP22238 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVFADRGSQPSRAVLILCKANGIEFEEVQIQLAKGEHKSPEYEAINPMKQVPAIEVDGKFRLFESHAILRFLATAFPGIAEHW >CDP20480 pep supercontig:AUK_PRJEB4211_v1:scaffold_1268:1520:5841:1 gene:GSCOC_T00002531001 transcript:CDP20480 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPVLGQLSDDYGRKPLLLITVSTTIFPFSLLIISKSKSFVYAYYVLRTISFIISQGSIFCIAAAYVADVIDDSKRTTGLCWMMGLFSASHVLGNVLARFLPEDSIFVSIAFLTFCPLYMALFLPETVTSAPIRDQRLPFLKKAFKIVEQRYNSMRRAAIIVISSPALKCISLISFFYELGMSGIATVLLYYLKAAFGFDKNQFSEILMVVGVGSIISQLLVFPSINPIVGEKVILCAALLSSVADVLLYGLAWAAWVPYLAASMGVVNVLVKPATYALISQASSSTDQGKTQGFVAGVQAIASLLSPLAMTPLTNLFLSRNAPFNCKGFSLVCASISVAISLVFAWMLKRNPSNQTSENDEENIEAPLLS >CDP20484 pep supercontig:AUK_PRJEB4211_v1:scaffold_1268:23764:27749:1 gene:GSCOC_T00002535001 transcript:CDP20484 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMQEPSPELNSKEEIIDYYVKNLERVVGSEKDAQMCIYDACCTRNNYGFCCDIDQDAADELARLPGVLSVRPDLDLGSVQKDYGLSDCGVELNPPSSLYSRSPLLFTPGASKHWIVRVEKPLGVLITKKQVVDYYVRVLTKVMGNENDAQMCMYHVSLQSNYGFCCELDDACAQELAGVPSVLSVRLDENFESNDKDYGGEKLENSGPQDSSSPSQVTNIKTKKLFVTGLSFYTSEKTLRAAFEGFGQLVEVKIIMDKISKRSKGYAFIEYTTEEAAATALKEMNGKIINGWMITVDVAKKNPPKYSRGRPRPAT >CDP20481 pep supercontig:AUK_PRJEB4211_v1:scaffold_1268:6203:9106:1 gene:GSCOC_T00002532001 transcript:CDP20481 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKPKVLLSYQKRQVQLIRERHKDLFWPCMQSIAILLSPLAMTPLTNLFLSKNAPFDCKGFSFVCASFAVAISLCFASMLKPNTSNQPSEVDAENAEAPFLS >CDP20482 pep supercontig:AUK_PRJEB4211_v1:scaffold_1268:10791:16696:1 gene:GSCOC_T00002533001 transcript:CDP20482 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWKNKREVRLLVHLLLPLCFHWIAEEMTKSVLVDVTTDALCPGHSTCEEAIYLNGLQQTVVGIFKMVVLPVLGQLSDDYGRKPLLLITVSTTIFPFSLLIISKSKSFVYAYYVLRTISFIISQGSIFCIAAAYVADVIDDNKRTTGLCWMMGLFSASHVLGNVLARFLPEDNIFVSITLLIFCSLYMALFLPETVTSAPIGDQRLPFLKKAFKIVEQRYNSMRRAAIIVISSPALKCISLISFFYELGMSGIDSVLLYYLKAAFGFDKNQFSEILMVVGVGSIISQLLVLPSINPIVGEKVILCAALLSSVAYALLYGLAWAAWVPYLAASFGVVNILVKPATYALISKASSSTDQGKTQGFVAGVQAISSLLSPLAMTPLTNLFLSRNAPFNCKGFSLVCASISVAISLIFAWMLKRNPSNQTSENDEENIEAPLLSS >CDP20486 pep supercontig:AUK_PRJEB4211_v1:scaffold_1268:31585:33000:-1 gene:GSCOC_T00002537001 transcript:CDP20486 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMTPLRCINFIFLAFWVPAVLAVMAEKPLVPTYLIPKPPPPPSPVKPSVPVIPVKPRIVRCRSTLFPLCFNIPFVCPLDCLTNCLVDCVTCKAYCSCNFPGAVCQDPRFVGGDGNTFYFHGRKDQDFCLVSDTNLHVNGHFIGKRKPNLRRDFTWVQAIGIMFDDHRILVAAKRTSTWDDNVDRLAISIDGNPISLPTEEGSKWQLPAPSNVSIMRTSNNNGLVVEAVNNFRITANVVPITAQESKVHGYDITDEDCFTHLELGFKFFNITDSTDGVLGQTYRSDYVNKMKVNAVMPVMGGDRKYLTSGLFSADCAVSRFGGKVLEKANSASPVHEYPALNCKSGMEGNGLVCKK >CDP20485 pep supercontig:AUK_PRJEB4211_v1:scaffold_1268:28844:31258:1 gene:GSCOC_T00002536001 transcript:CDP20485 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPPAYFTFFILSISWTASAPETVTGAKVRYHHHQNAPNLPKLFVFGDSYADTGNSPKSTAISWKKPYGVSFPGKPSGRFSDGRVLTDYIASFLGTSSPIPFNEWLGLGEKSALENGLNFAEGGTGVFTTLANGPNMTTQINVFQQLVQEEEVYSPENMSSSVALVSVAGNDYAAYFGKNGTNEESPVFTKSIMSQLVLDLQRIHGLGVRRVGVTAMHPLGCLPITTASISHKNCSENGNWLAKFHNQMLQENIENLNNEAGAPVFVILDLYSAFMSALNLQNNHPGNSTFEDQLSPCCVGTERGYTCGSVNGVGIEKYIVCEDLKQFFWDDIHPSQQGWEAVYSALKPSLQSLLN >CDP20483 pep supercontig:AUK_PRJEB4211_v1:scaffold_1268:21925:23050:1 gene:GSCOC_T00002534001 transcript:CDP20483 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQKLQEPGSHRLCANNCGFFGSPTTLNLCSKCYKDHCLKEQQLKDAKLAVEKTLTPPETSSVSPLPSPDVKIDTIADPAELKAPAEDTVSTSAAPAAVAAAQPNRCASCRRRVGFTGFKCRCGITFCASHRYPEQHGCTVDYKAMGREAIAKANPVVKADKLDKI >CDP20488 pep supercontig:AUK_PRJEB4211_v1:scaffold_1269:21556:25527:-1 gene:GSCOC_T00011429001 transcript:CDP20488 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLVAIIYAELNCRHCSDQGVLLNQHNGSHVVRKVNRPPISAVHAKDFLYALGAACMVKQNKLKKTMSKKPHSWWWDSHVSPKNSRWLQENLEEMDQNIKRMLKLIEHDADSFAKKAEMYYQKRPELINLVEEFYRMYRSLAERYDHVTGELRKSIPSDLQSQGSGISDISSEPPSTLPSPDRRPSRRKSGTRAAGFEFFLGAGGNGTDMSNKEGDESSTLDSDSESDDSSVNNYTATHSSDDDQGLRKRIIELEVELRDAKERFLMLQEEISEGSHRGTKNENSEILLARLAGYEEELRKAREKIRLSEEQINMLKDELQKYKTMEFTNSVHGKDVSVLEDAVVVEDGSKVHKQELTDLQEPNGDSETVHSEDKIHNLEKELKITKEKLLDSEKEVARLSLELQNNGSAIHGLQDQLGSSQKDISTWKTKLEKERREVLKLQDRIVRYKSNISDRDQEIRRLRETISNANESLSEENSQLRTEITRMVKEKTYLEDNLKEMDLRCQSLEEDVRRIKVGKAEMEAMLGAEIDELRVGILEKSSHIEELNRSLDTFKLNYETLRAEKDALDAKIVMYVAEISSKDIRFDEMSKHLHQLHVQHVELIARADVARKQAEELQLRIKELEREVGRQRELILEGAEEKREAIRQLCFSLEHYRNGYHMLRQAVIGHKRLPVMAS >CDP20489 pep supercontig:AUK_PRJEB4211_v1:scaffold_1269:26105:27138:-1 gene:GSCOC_T00011430001 transcript:CDP20489 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPLDSLSPSRKAKGDKGKSHTIFTFGLVFGGVFSISYTMNPHLTWSKLHCFPAVPFLLQLIPSNASNHIYCKLSTSLTSLSSFLEPLQPSLSLSCRRRFRLVKFFPFVKSLLSFLGLPISTFVWKWKIQGERRCPTSLKLEIHLC >CDP20487 pep supercontig:AUK_PRJEB4211_v1:scaffold_1269:13716:20730:1 gene:GSCOC_T00011427001 transcript:CDP20487 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPPHLRNSNTDTAATAATTVTTLDTNHFHSYSNPSSETNNSSNNYLNSARRSTVNYTSPRTVSAPDIVFPNWKPSERVLRLTPEQIDEVRLRLNLDVIVAPDSPPAPAPIESFTDMCLHSSIMKDISFHGYTTPTPIQSQAMPVALSGRDLLGCAETGSGKTAAFSIPMIQHCLAQPPVRRGDGPLALVLAPTRELAQQIEKEVKAFSKSLDSFRTAIVVGGTNIGDQRSELRLGVDVVVATPGRFIDHLQQGNTSLSRISFIVLDEADRMLDMGFEPQMREVMQNLPQKHQTLLFSATMPVEIEMLAQEYLTNPVQVKVGKVSCPTANVLQSLEKVPENEKIDRLLGMLVEEAARAERFGHPFPLTIVFVERKTRCDEVAEALIAQGLKAVVLHGGRSQSERELSLHDFRHSTTNILVATDVASRGLDVSGVAHVVNLDLPKTMEDYVHRIGRTGRAGSSGRATSFYTDRDMYLVAQIKKAIVDVESGNTVSYATGKVARRMEKEAAAAHKEARIALSKVSLLGTAPLNVEDKYKHMIFPAMARKEGAADDAWDD >CDP20490 pep supercontig:AUK_PRJEB4211_v1:scaffold_1269:30347:34623:-1 gene:GSCOC_T00011431001 transcript:CDP20490 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTGGCIGDDEHLKNILSADERKLRWSKYQEKLSVFGSSLRGPRKTTFTAHLCWPYSRSPKENRLQSTKGPLFFGGSRQPKRERKEIISNQPQRLPNQTFIPRHLQSSTPSPGFKKRIDMAEEENHKEAVQEEATAQEEVKDEAGDIAPFDPTKKKKKKKVVIQDPADDPVSELAEKTESLSVSDGLETTFAGLKKKKKKPAHTDLLNDDKENTGDELDDQIGEDEEAEGIVLQHYPWEGSDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMTFLLAEMGTSGSLDGQQRLVVKGRFAPKNFEGILRRYVNEYVICNGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRKAGT >CDP22239 pep supercontig:AUK_PRJEB4211_v1:scaffold_12702:814:1587:1 gene:GSCOC_T00013712001 transcript:CDP22239 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPKLQKLPVINFGQENLQYGTKSWSLARNEVRHALEECGCFLAVYDAVSFNLRDSVFSALEKLFDLPVETKKKNTSDRLFFGYLSDHRDSAIRESVEIENATDIEEVKKFSKLKWPQGNDDNFSGIIHEYANLIAKLEQVVTRMVFESYGVEKLKCDSHIDSITYLLLLNSYEAPGVDDEYLLT >CDP22240 pep supercontig:AUK_PRJEB4211_v1:scaffold_12703:1228:1758:1 gene:GSCOC_T00013485001 transcript:CDP22240 gene_biotype:protein_coding transcript_biotype:protein_coding MENRMKKPHAICIPYPVQSHISATLKLAKLLHLKGFHITFVHTEYNYNRILKARGPKSLIGAADFNFETIPDGLPPAENDDVTQDVFQLCLSTSKTCYVPFCNLLKKLNNRASMDDQFPPVSCVISDGFMSFTLEAAEELGIPNLLFWPFSALTVMCLLHYPHLRERGFTPLKGTN >CDP22241 pep supercontig:AUK_PRJEB4211_v1:scaffold_12705:56:1148:1 gene:GSCOC_T00000461001 transcript:CDP22241 gene_biotype:protein_coding transcript_biotype:protein_coding WSHYVHTEDKDLEGSQFGISSEKKNRLPDPLIQATRLGIIEVVQEILSVYPEAAYTFDGTGRNILQIAVEEKKWFLYDYLMTNGTNMDRMLSAIDHDGNSIIHLAAHLESLHSTPPGVVPQMMWEVLRFKRVQYDSYPYLWQLQNSDGKTAKQVFETKHASLRENAERTVRESANTVLIVSALIGTINFAAIFTVPGGFDQMTGEPIFLKNRRWEFGLLMFYLAGGLFSSLFTMGTLLVIFFLRFETEDFYVSLPCYYVMDMISIFYSAVFTIVACCQALIVQKVVITDFRPLVVFFFIYGLVALVLMETSYRMFDYVYYLIRYSLSYRGQES >CDP22242 pep supercontig:AUK_PRJEB4211_v1:scaffold_12730:1156:1404:1 gene:GSCOC_T00001327001 transcript:CDP22242 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPFFNDIVGLTGALSFWPLTVYFPIKMRIERKKIPIFSFKWVWMQTLSMCCLLTSIAATVGSVGGIVKSLQTFKIFKSIA >CDP22243 pep supercontig:AUK_PRJEB4211_v1:scaffold_12742:146:1896:1 gene:GSCOC_T00005426001 transcript:CDP22243 gene_biotype:protein_coding transcript_biotype:protein_coding RANVFAGKIVQSTIEESREAYGTWIDLAHQLLKQKNLEVKEGNLIQSNQARGKKEERNVGSLEKSDEAVEANFSRALPISKDVYQHPFVSPGRGHPGSASVAPFSDLLVKFYSALKNQNPVSLFLVIGIAVILLLMQLSILTLLSRPQQIHVVPQADWMYSINRGASESGGEIALLDKQITHLKEELHMVETLLDKMQHEHALLKLRLSDLERNRKRQK >CDP22244 pep supercontig:AUK_PRJEB4211_v1:scaffold_12749:3:1292:-1 gene:GSCOC_T00008614001 transcript:CDP22244 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALYHASSEGNVDALYDMIIKADPGVLRRISKIGHADTPLHEAARAGQTAFAIELMALMPSFAKQLNPQGLSPLHLAVMSEADELTEERARKNKIAMALIELDPELVRVKGREMMTPLHYAVRENNLELLVEFLCACPKSINDLTNKHQSAVHIAVQEGKPHAFKVLLGWLSRRNKEEVLRFKDSDGNTALHIAVGKEQFEVVRELSSKVKINSRNSKGLTAWDIADRNRSANGNPSETSRKIGKFLKNRGGRPADSLP >CDP22245 pep supercontig:AUK_PRJEB4211_v1:scaffold_12751:3:1005:1 gene:GSCOC_T00002347001 transcript:CDP22245 gene_biotype:protein_coding transcript_biotype:protein_coding VESIGAKLQKIILNGTHITPCIQEPRWQVGDIYSPVDAVAQGLKTISLNDTRVLTRTITDWFSQLEG >CDP20491 pep supercontig:AUK_PRJEB4211_v1:scaffold_1276:23103:25379:-1 gene:GSCOC_T00003291001 transcript:CDP20491 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKSSVVTTDSGLQIKTVHSNKLDYLYEISIEPEVVNPTSIPTINPYSAYGKQSFSPTRVIKSLIRAHPKGVKEYIQASKVDQHPIPATRKEQFITLHIPNDFPMQWKQQGYSHIHFGAIRISLSFHGRKGLPIVARIALLDTRFKQYQHACIATTETTLNAGTVFVTLFPNFNMSLADPHLLEALKVQVQIIGAEQVSDSIAATLHYQMVYRVQNHALDLAIPGGENALLIRVDEKNGASCSHVPRQISKQELIQLLPNDWITDYENLHTQANEPLESSNSRINHTKEGRTSISFDHSHFKSLNSKSHIPSIMCIQVPMYYPSKFEKEWKIHDDHPQNLQQVHRSQDIIQSFNKNGLPISWFQDPISGHVYFDVCTHCEECQLAELLGCDASDLQDWPKKKKKPRLVEPRPCKPDPETEGPDSDAESFISPRSKFNGYQIPSDWVSKIPKTPRSFSKKDLHPYYQRCLDILAKEETVWKPKPFCKSEPLIPTSQVQECFMFSEADFPKLETFNKNGSRHSPKIQNISSTVLPSGETVRPNPSEDVLNWQTENSLVQNTALISIHKNISETKDKIEQIDTNVSTQQSQVSHMIEVFEKRLQELKYIMPTDPSMLVDFILNKEKETKFIQDQLHILKTTGQVPVYDVGPSTPLSKVSSMYGAVPLRNWPTPFYFGGVSTPSPSLYFSEQQPQVSKPFDIAATLREYRKNKQIQKDAEIAKEMARKEQKKAEAERERKAKQAVIEPPDLLNKILQHH >CDP22246 pep supercontig:AUK_PRJEB4211_v1:scaffold_12762:883:1919:1 gene:GSCOC_T00013583001 transcript:CDP22246 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGTAKTEERAKELATEAMKVELKTTEELKPWEQHSAVITIPRFDYNASSSLLSHSHSGFLITCPISKASHPISSVQKVMFFFFLVIFVLYWYLFM >CDP22247 pep supercontig:AUK_PRJEB4211_v1:scaffold_12771:1346:1609:-1 gene:GSCOC_T00004685001 transcript:CDP22247 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDPHLLDALKVQVQIIGVDQVQDAIAATLHYQMAYRVQNHALDLTIPGGEDALLIQVDEKNSTSCTHIPRQISKPDLVQLLPNS >CDP20492 pep supercontig:AUK_PRJEB4211_v1:scaffold_1278:18406:21702:1 gene:GSCOC_T00008227001 transcript:CDP20492 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAQSIYNNRQVDSHFEKKIWVCVSDNFEVTRLLKMILESLTERNDGMTSRDVIVRKIREQLVGKKYLLVLDDVWTESLTLWEDFLHSLRGLNATNGNWCLSDDDCWSILTKKAIEGGEIPEQLQVMKKEIIKKCGGLPLAASVMGGLLHTKRKEEWKLILMNKLSNLSGDEDSVMQILRLSFDNLPSPAIKKCFAYCSIFPQDTLMEGGMLIELWMAEGFLHAGLENRTMEEIGEYYLEILLQSSLLEEIRKYGRRRWYKMHDMVHDVSKSIMSKSTRFINSETGSGDNSNQVRCLVIDSIGEGAKNLFESRSNLLHTLFLSQGSLSDDMLMKLKNLHVLNLSGAKNQNLPISIGKLIHLRYINFEHSRSLPESVCKLYNLQTLWLNELDLKVLPKGMCDLISLRHLHYYPWYQNFQMPLEMGRLTCLQTLKFFKVGREKGRRIGELGSLKNLKGKLEIRNLELVKDKEGAEEAKLSEKANLFGLELKWAQLLPLQNFASLKELTIWSCDGLTNLPGDMLHSCASLQKLEVSWCGNLISFPLDLQQTPSLSELALSWCPKLKTSLTPKGFGFLTSLRWLTIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSPLSALRELQLIGLSHMESLPPQIQYLTTLTSLWLFDFGGIKALPDWFGNFAALKYLYLYRFKELGHLPSEDAMRSLTKLKSLSVYGSPLLIERCTPESSGPDSQWSKVSHIQDLDIS >CDP22248 pep supercontig:AUK_PRJEB4211_v1:scaffold_12786:3:845:-1 gene:GSCOC_T00008687001 transcript:CDP22248 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANETAMALIKLDAELVRVKGREMMTPLHYAVRENNLELLAEFLCACPKSIDDLTNEHQSAVHIAVQKRNFDAFKVLLGWLSRRNKEEVLRFKDCNGNTALHIAVETEQFKVVWELSSKVKINSRNSKGLTAWDIANANRSVISGKIKKFLKNRGGRPADSLP >CDP20493 pep supercontig:AUK_PRJEB4211_v1:scaffold_1283:17941:21829:-1 gene:GSCOC_T00011563001 transcript:CDP20493 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSCDVLLWFSKTGFFFNSFNNRVQHHLGRTASQSDTPRCVHCKKLAPAYEKVGASFKKVKSVLIGKANCNERKSVAANIVFVVTLYPMVSKRFFGAQKVSLCEMLEYEGARPAEPLAEFVNSEGDEMSFARHFLSFCHCNSFWKMQSQGTFM >CDP20494 pep supercontig:AUK_PRJEB4211_v1:scaffold_1283:30929:36084:1 gene:GSCOC_T00011564001 transcript:CDP20494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein [Source:Projected from Arabidopsis thaliana (AT4G22220) UniProtKB/TrEMBL;Acc:A0A178UZH3] MKLQIKVDEETGKITDACFKTFGCGSAIASSSVATEWVKGKQMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRAKQNGSAEAPLEKAADA >CDP22249 pep supercontig:AUK_PRJEB4211_v1:scaffold_12855:742:1941:-1 gene:GSCOC_T00012927001 transcript:CDP22249 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKEVFGRKINLSVSAYDTAWVAMVPSRDTPNMPCFPECLDWIVENQHQDGSWGLLPGHPLLVKDKLSCTIACVIALRKWRVGKQSVQRGLNFIGSHGWAATDTDQLCPIGFGILFPAMIKEAIELGLDVPLDPVLVDDMMINQTSVLER >CDP22250 pep supercontig:AUK_PRJEB4211_v1:scaffold_12857:301:1263:-1 gene:GSCOC_T00006429001 transcript:CDP22250 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVPRWNFFTPNAGLENFHANDAVSHCFHHRHFTQLILVQSLGFKQLCLDIVLLHSCHGQSHLQIKHSFHWLQTTLSGYSPFDAVEFNGKVYTNNCYIFPGFGFGLVMCGAILVDDDMLLAASEALAKQVTDEHYARGMIYPPFVNIRKISAHIAAGVAAKAYELVATRLPRPADLVKFAESCMCTPNYQNYR >CDP20496 pep supercontig:AUK_PRJEB4211_v1:scaffold_1286:18943:19929:-1 gene:GSCOC_T00003157001 transcript:CDP20496 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNFKEKLGEGGYGMVYKGKLRSGDAVAVKMLNKSKANGQEFINEVATIGRIHHVNVVRLVGFCVTASKHALVYDYMPNGSLDKLIFSDCQNSSPLSWKQVCEIAKGVARGIEYLHQGCDMQILHFDIKPHNVLLDENFVPKVSDFGLAKLYPMQKSIATLTAVRGTLGYMAPELFYKRIGRVSHKADVYSYGMLLMEMAGRRRNVDAHAEHSSQIYFPSWIYDKFDQVEEMEIGDHATEEEKTITRKLILIALWCIQMTPEDRPSMREVLEMLEGDASGLRLPPKPSFYPPDSPISMQRSSDSSSSDESTAPLCSSVALEIEQMDD >CDP20495 pep supercontig:AUK_PRJEB4211_v1:scaffold_1286:12494:12865:1 gene:GSCOC_T00003156001 transcript:CDP20495 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLEMVGRRKNIDANVQNVSQVYYPEWVYGRLVQGEDLRIQVEKDGEDVIAKKLAIVGLWCIQWNPVDRPSITFVLQRLEGNGESPSLPPSPFTSTDPMNPSNPSLHRRHLASGLAVISELE >CDP20497 pep supercontig:AUK_PRJEB4211_v1:scaffold_1287:25333:29207:-1 gene:GSCOC_T00001293001 transcript:CDP20497 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGFHLIFSRMFPDLSRRTKFFFYYYVLPPQKLIKNNIQKYIREEIKKLHLRTQLELFQIEFSCNSQLPNLSSLQELCQVLAKTRKSMRYTLIDRLIRVLSEHGYVSNTCVLFILDDMRKASVNEGLEWGVFIGFGTGVIVETLILHSVTI >CDP20498 pep supercontig:AUK_PRJEB4211_v1:scaffold_1287:29268:30789:-1 gene:GSCOC_T00001294001 transcript:CDP20498 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFFLGQNVINCYIYLTEEILKENPNICAYIAPSLDASLDAKFIDFNRKKHPKSKSRYGITHLVFCTTSGEDMPGADFPKHIWVRLNPNPYNSVLSQTQANPAHSAHFATSTDNNKNAGVLVVQSEITTVTFCGPSDTHLDCLVAQALLGDGTGALITGADPVVGVDRPFF >CDP20499 pep supercontig:AUK_PRJEB4211_v1:scaffold_1287:32672:34406:-1 gene:GSCOC_T00001295001 transcript:CDP20499 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKRYMYLTEEILKENPNICAYMAPSLDARQDMVVVEVPKLGKEAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFGGGTVLRLAKDLAENNKGARVLVVCSEITAVTFHGPSDAHLDSLVGQALFGDGAAAIIIGADPVPEVERPLFELVTAAQTILPDSHGAIDGQLREVGLTFHLLKDVPGLISKNTEKSLKEAFEPLGISDWNSLFWIAHPGGPAILDQVEQKLALKPEKLRATRHVLSEYGNMSSACVLFILDEMRKASAKDGFNTTGEGLDWGVLFGFGPGITVETVVLHSVTIQK >CDP22251 pep supercontig:AUK_PRJEB4211_v1:scaffold_12870:60:1999:-1 gene:GSCOC_T00010453001 transcript:CDP22251 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLQPLFLAIISLLMISALTRSSEAAGIAVYWGQNGNEGSLEGACRSGNYDYVNIAFLVSFGSGQTPELNLAGHCIPSPCTFLSSEIEVCQSLGIKVFLSLGGSSPGQGRGQILASPEDARDVAAYLWNNYLGGQSDSRPLGAAVLDGIDFDIEYGSNLYWDVLAEALFNYSTAERKVYLSAAPQCPIPDDYLDTAIQTGFFDYVWVQFYNNAPCQYTSGDPSKLFASWDQWASYTGVNTLFLGLPAAEAAAPSGGYIPPEVLVEQILPVVQSYPKYGGVMLWSRYYDQNYSTAIRPYVNGDPLTYTTKSVKKSHAVA >CDP22252 pep supercontig:AUK_PRJEB4211_v1:scaffold_12890:2:1012:-1 gene:GSCOC_T00007506001 transcript:CDP22252 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAAGFLINQLSTLLSQEITLFGGLKSDVQFIKAELGSMKAFLREAEAKEDNSQLQEWLKQVREVAYDTEDVLDDFTFRFACGYKDGFCGKVGTIYNSIKNLKARHQISLEIKDIKGRVGEISTRHQRYQSLYGTQERGFSSSRQANADFDIRAQSLFIDEAQLVGIDKPKAELISKILDDHSQLKVVSVVGMGGLGKTTLVKKAYDDAAVKKQFQSHAWITVSQNFQFRGIIKNLIQQLYNEIRQSVPPEVESMDDIMLSEFVRDFLQERRYILVLDDVWSIDAWEAIKSDVASASCLGSLDFVYKMEPLSDKESW >CDP20503 pep supercontig:AUK_PRJEB4211_v1:scaffold_1294:10607:17372:1 gene:GSCOC_T00011100001 transcript:CDP20503 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMIKLGGSLKVPYVQELAKEKFASVPPRYIRPDPTKLHGVSTEEIPVIDMQRLLSDESVNPELEKLHFACKEWGFFQLINHGVSSSLVDKLKLEMQKFFNLRIEEKMRFAQEPGDVEGYGQAFVVSEEQKLDWGDMFYMVALPTHLRKPHLLPKLPLPFRETLDQYSRELKILAIKVLEQMTKALGMKLEDMTMLFQEGMQSMRMNYYPPCPQPELVMGLCPHSDAVGLTILLQVNEVEGLQIKKAGAWVPVVPLPYAFIVNVGDILEIVTNGIYKSVEHRATVNLHSERLSIATFLVPKLGGDMGPAPSLITPENPAIFRRISMIDYLKAFFSRELDGKSFVHAMRTQIEDFWAPL >CDP20504 pep supercontig:AUK_PRJEB4211_v1:scaffold_1295:7699:7881:1 gene:GSCOC_T00013464001 transcript:CDP20504 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMEILMGKTITLEVESSDTIDNVKAKIQDKEGHPPETNSASSSSASSWRTVVP >CDP22253 pep supercontig:AUK_PRJEB4211_v1:scaffold_12952:547:2052:-1 gene:GSCOC_T00010027001 transcript:CDP22253 gene_biotype:protein_coding transcript_biotype:protein_coding LVPKVKKLKTLASRKHASVHQRRAILGFLAELDIQELPLFFFLLIKPLQGTSVTADVSRQCLLSSSESVKDEFDSISILKQFTVDGLKGLSWKKKFGFLHVIEEILAVFDEYHINPFLNLLMGCVVRVLESCTAALESSKCKEPSLTDSGFNVAAAYDIVDREIDIVTSTAVKQFKELRSLCLKIVSSALAKYENHDFHSEFWDLFFTAASPLIGSFKQEGASSEKPSSLFSCFLAISRSIKFVPLLGRKKNLVPDIFSMFTITTASDAIISCVFKFVENLLNLDSQLGTEDGSVKRVLLPHLNVLVDSLHCLFTIDSGTKRYYSLPNPFIIWAGIIS >CDP22254 pep supercontig:AUK_PRJEB4211_v1:scaffold_12960:55:735:-1 gene:GSCOC_T00005821001 transcript:CDP22254 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQETKPCLAAELVVSKISSKVGQSGGIESTGRVQIGDIVTALSVNGEESTYLALGPQRHQHEHASHAYSTLKKAVGHICIQVERYETKESYQPQWEKSQTPFTQTWLKSTKTLIGRQIKITKRLQILL >CDP22255 pep supercontig:AUK_PRJEB4211_v1:scaffold_12960:814:1996:-1 gene:GSCOC_T00005822001 transcript:CDP22255 gene_biotype:protein_coding transcript_biotype:protein_coding YAKKVEIPSNKYETFGNKVVGWFTGPFKKIFQSKNSTWINILKGIDGYIMPGSMTLLLGPPGCGKSTLLEILAGRARGDKNSHLQGVVMYNDKYASEVHLSRLVAYVSGQLNKTKRSQSLFSVLQHIPFLSVRETLEFARDCSQTLRPENFTPQMRKFFAHALVEGQDPFLEYILEILNLKNIEHKLTGEAISDTDRQKLTTVELALGTYAVMLYDQPLSGSDLAATYDLADTIRTVCRIQQSSAIMSLTHLSQEIFDLFDRIILLGDGHVVFQGPRTK >CDP20505 pep supercontig:AUK_PRJEB4211_v1:scaffold_1298:27627:27824:-1 gene:GSCOC_T00000310001 transcript:CDP20505 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHLQALGPSLLRTRSAIGLVAFTVLLTSWALTFAIGVEHLFGHAWDQLVMYNLADRYGLTGWI >CDP22256 pep supercontig:AUK_PRJEB4211_v1:scaffold_12991:367:1834:1 gene:GSCOC_T00009956001 transcript:CDP22256 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEFLPSEGAQLKSLIPHQPIIIIARPKFNTHHTISIGTLATSIIIFNLEIPQAALLRQWIAENATYIRKLIQEKLYDKAHQQVHPPIESQLYY >CDP22257 pep supercontig:AUK_PRJEB4211_v1:scaffold_13001:129:257:1 gene:GSCOC_T00001396001 transcript:CDP22257 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIFQPILHTALIYIDDILLFSYSLDEHIRLLNQFHDLVKQY >CDP20506 pep supercontig:AUK_PRJEB4211_v1:scaffold_1301:23846:30642:1 gene:GSCOC_T00003248001 transcript:CDP20506 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRVTISADFDAMLKQNQRSLRYFTSVPLILVLVVLILLQFHNVMVVAGLENYTRSDFPADFVFGAGTSAYQVEGAAFEDGRTPSTWDTFAHANKGFSNGAIGDIACDQYHNYKEDVQRMVDTGLEAYRFSISWPRLIPNGRGHVNPKGLEYYNNLINELLMHGIQPHVTLFHFDSPQVLEDEYGGWLSRKMVRDFTAFADVCFKEFGDRVLHWTTLNEANIFAIGGYDNGISPPGRCSLPFGLMCTEGNSSTEPYIAGHNLLLAHSSVVKLYHTKYKAIQHGFVGLNLLAPWFSPYSNATEDVIATQRAIDFYLGWFLHPLVFGDYPDIVKKNAGKRIPALTPRESKLIKGSFDFIALNYYFIFYVRDNPSSLNMNIRDITADMALNISFEPEDAPQNENEVEASGLLALLEYVKKVYANPPIYVQENGVATKRNGTLIDTARVQYMRLCIGTLLDAIKNGSNTKGYFVWSFLDVFELLGGYQTAYGLYYVDLDDKQLRRYPKLSAHWYSNFLKGRSIRPDEILVVDNETFVSSTSKLSDH >CDP20507 pep supercontig:AUK_PRJEB4211_v1:scaffold_1301:31079:32909:-1 gene:GSCOC_T00003249001 transcript:CDP20507 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEGIQAAARVYRNLLKAVRKHIGKEEQKAQFSDFIKQEFRNSSSGQQSVDPSFIQQKIKLAHDYTFLLNSVHHHKDLLFSYNIAVDRSDEMKKILGKSAASVGLQLPDVYQP >CDP20509 pep supercontig:AUK_PRJEB4211_v1:scaffold_1303:10060:12797:-1 gene:GSCOC_T00000779001 transcript:CDP20509 gene_biotype:protein_coding transcript_biotype:protein_coding MYFMAEFVPDDPFGDPKVIGDPYCTIFVGHLSHSTSEETLRQAMSIYGRVKNLRLVRHIETLVLWLADEQEFWGHLEDDSTPLRPLGLQQSQHLLQWFRKYNSKIFDILQCRKIAGEVSVFLLKQRKRWKHGELAFEIAEEFACQYVSLPFDCQKVPHIVSVVEV >CDP20508 pep supercontig:AUK_PRJEB4211_v1:scaffold_1303:1677:8680:-1 gene:GSCOC_T00000778001 transcript:CDP20508 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGKKEYEFLKEIGIGPRTPGCYINGQWKGNGALVSSVNPASNQTIAEVLEASLQDYEDGMQACYEAAKLWMQVPAPKRGDIVRQIGDALRAKLQQLGRLVSLEMGKILAEGIGEVQEIIDMCDFAVGLSRQLNGSIIPSERPNHMMFETWNPLGIVAVITAFNFPCAVLGWNVCIALVCGNCVVWKGAPTTPLVTIAMTKIVAGVLEKNNLPGAIFTAFCGGAEIGQAIAKDARIPLVSFTGSSKVGLMVQQTVNQRFGKCLLELSGNNAIIVMDDADIELAVRSVLFAAVGTAGQRCTTCRRLLLHESLYDKVLGRLLDVYKQVKIGDPLEKGTLLGPLHTRTLKENFEKGIQNIKSQGGKILTGGSVIESEGNFVQPTIVEISPDADVVKEELFAPVLYVMKFQTLKEAIEINNSVPQGLSSSIFTCRPGVIFRWIGPAGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFGW >CDP20510 pep supercontig:AUK_PRJEB4211_v1:scaffold_1303:15598:18505:1 gene:GSCOC_T00000780001 transcript:CDP20510 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLIGNYSRKRPRNRGIFNGFCVLVLIFFFYNREDIIRNPFLRNSSSFLGYNVQWTSTSGYHIYTGKIHRRMVELSINSSISVNGSDPNSLSDKHPEFCSGLIGHVGYKSSCEFLKAHPECSSGGFFDYIKFYYCSCSGSSWAFLAFGVWLVALFYLLGNTAADYFCCSLEKLAGLLKLSPSVAGVALLPLGNGAPDVFASIAAFVGSDAGEVGLNSVLGGAVFVTCIVAGAVSLCVAEQDVQIDRNCFIRDVTFFIVALLSLLAILVSGKVGVGGAIAFLMIYVVYAFVVAANEILRKEVERLKLDAVTPLLPVRGSIFSQGTQEDESIYNPLLDFETGSDGIQSRSTLPQWMWASNVAIYSNQSLKVLDEDRPVWGWNDEGIEIEYSSFSCSKLCSILELPLTVPRRLTIPAVEEERWSKFYAVGNASLAPILLACLWNSADTASGQSGKVAYFLGVSSGCVLGILAYKYTGSEHPPRKFLLPWVLGGFLMSIVWFYMIANELVALLVGLGVVLGVNPSLLGLTVLAWGNSMGDLVSNVALAINGGDGVQIAMSGCYAGPMFNTLIGLGLSMLLGAWSEKPGLFIVPLDRSLYCTLGFLISGLLWALVVLPRNDMHPSRMLGTGLITIYLIFLSVRVCSAMGMFSLGGLS >CDP20511 pep supercontig:AUK_PRJEB4211_v1:scaffold_1303:21638:24407:1 gene:GSCOC_T00000781001 transcript:CDP20511 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSVLLILSLFYIPALIIAASSDGRETYIVHVAKAQKPSVFPTHHHWYSSILRSLSPLSAHHPPTKLIYTYDHAVHGFSARLTSSQAAELRRRRCVLSVVPDSVRQLHTTRTPHFLGLDDFFGIWPNSDYAEDIIVAVLDTGIWPERPSFSDEGLSPVPSSWKGVCETGPDFPPTSCNKKIIGARAYYKGYEANLGMSLQEAGESKSPRDSEGHGTHTASTAAGSVVKNASLYEYARGEARGMAIKARVAAYKICWSAGCFDSDILAAMDQAIDDGVHVISLSVGANGYAPQYDHDSIAIGAFGAAKYGIVTSCSAGNSGPGPYTAVNIAPWILTVGASTIDREFPADVVLGDGSIYGGVSLYAGEPLGDTQLPLVYAGDCGSSYCYEGRLDSSKVKGKIVICDRGGGNARMAKGTAVKLAGGGGMILANLADSGEELIADSHLIPATNVGQKAGDKIKSYLRSEPSPTATIVFRGTVIGSSPSAPRVAAFSSRGPNHLTPEILKPDVIAPGVNILAGWTGLVGPSQLDIDPRRVEFNIASGTSMSCPHVSGLAALLRKAHPKWTPAAIKSALMTTAYNVDNIGESIKDLATGEESNPFVHGSGHVDPNRALNPGLVYDLGTSDYVAFLCAVGYSPGRIAVFVRDGPVPVDCGAQGMGTPGDLNYPSFSVVFSPGNSVVKYTRVVKNVGSNAEAVYEVKVNAPPSVEVTVSPSQLVFSQGNDTLSYEVSFTTASGILVGALKPAFGSLEWSDGEHLVRSPIAVVWRLSPSAESM >CDP20512 pep supercontig:AUK_PRJEB4211_v1:scaffold_1303:24744:27644:-1 gene:GSCOC_T00000782001 transcript:CDP20512 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDVIMVPAGEGSSSAPAASKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >CDP22258 pep supercontig:AUK_PRJEB4211_v1:scaffold_13030:508:1080:1 gene:GSCOC_T00008950001 transcript:CDP22258 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRATEVIVVLEGTIYAGFVTSNPTDNTKNKLFAKILKPGDVFVFPIGLVHFQRNVGETKGMGIVGFNSQNPGVITTGNAVFGTDPRIAPEVLTKSFQVDKKVIEYLQSKF >CDP20514 pep supercontig:AUK_PRJEB4211_v1:scaffold_1304:28061:28480:-1 gene:GSCOC_T00000895001 transcript:CDP20514 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLDPFTYFTQFFWSCLFLLTFYIAIICQMDHNRGNSFSFPSSSSLPNPNERPKDEKSKRYLYNGFFHSLIRQLQMEAEDFLSKRIHYNRWAAALHEIMPKEGTDWQRLNCLLSELRREGKENRFFQVLLEEVLRRGG >CDP20513 pep supercontig:AUK_PRJEB4211_v1:scaffold_1304:6862:8710:1 gene:GSCOC_T00000882001 transcript:CDP20513 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAERKNEMKGKDAADKGKADEQRKDDKGKQVVQQVSVPKVPAQPVKILQPGEGTSMVANKKLAEDATQVSGQCSSLKPIDDSIPVVNQFDILNMSDDSRLDPLLLEVRPSVNLQDLVTVEDGDSSEGVEEVEIADDCLQVVSKPANAVEDDDLLQSMDLGVSRRVGLSADSEKVLTARGEKLHRRSKSFDAAVVSYTLSKL >CDP22259 pep supercontig:AUK_PRJEB4211_v1:scaffold_13046:973:1879:1 gene:GSCOC_T00012909001 transcript:CDP22259 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCLRFQINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNRIYIPKDRYLQDEAEKKAMAEKIERMELDVESRDKQFVVLQELYKSQQLLTAELSDKLDKTEIKLIYFETEHALADLEERFRQANATIKEKEYLMANLLKSGEANVHHSLKLFTYSPKC >CDP22260 pep supercontig:AUK_PRJEB4211_v1:scaffold_13062:866:1021:-1 gene:GSCOC_T00010993001 transcript:CDP22260 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSDIKLIRTDTTLDLSQKAEKGMLFFVLGYIIYSLAIADSSSRWPMWD >CDP22261 pep supercontig:AUK_PRJEB4211_v1:scaffold_13070:1:651:-1 gene:GSCOC_T00011675001 transcript:CDP22261 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSIQSYLETLPALFCFIFLFCYYYSFSRRWRNSSLPTNWPVIGMMPGLVRNSHRLQEYGTEILKESGGTFEANGPWFANMHMVLTCDPANIHYILSKNFSNYPKGPEFRKIFDILGDGIFNADSQLWETHRRVTLSLMHHPSFLKLLEVTVWDKVEKGLLPVLQNCAKTGSQLDLQDIFQRFAFDSISKLVLDHDPGSLSLDLPNIPCEKAFNDL >CDP20517 pep supercontig:AUK_PRJEB4211_v1:scaffold_1309:34803:36107:1 gene:GSCOC_T00007809001 transcript:CDP20517 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTKGDFIPCDDILKLPYINKLVEETMRLGNLSPLFSELGKGMLITKVKVHFFLISLLRDDGNLSREAYSLRVDEALDRIQNTQRMESCKLAKAHSYRITDPKNYEDALCFDPDRWNGQSNPGTFWAFGGGSRLCPGNMLARMQVAIFIHHLVSGCRWELVFANAGMRYHPHPIPVDG >CDP22262 pep supercontig:AUK_PRJEB4211_v1:scaffold_13093:1:1972:-1 gene:GSCOC_T00013517001 transcript:CDP22262 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYFLSSKWCLNLGSSSSDNVGGHHDSLRRRAPKSRAKSRMMVKMTASTSGSTGQGSSTVAFEEGQLERPRWTGETPLSRLVGALISFRPLFSIMKFGARQVLISSAEKTNIPWREMTRQILESDVYKEMDSIEDPSLVYPDYYLNPFHAYDEGNLSWLAAAEAEAATMSMMRRAIPYASSLDEANQIVRGNWLNTIEEHHQKYSEDNIVREILDIGCSVGVSTRCLADKFPNARVTGLDLSPYFL >CDP22263 pep supercontig:AUK_PRJEB4211_v1:scaffold_13097:3:1432:1 gene:GSCOC_T00013748001 transcript:CDP22263 gene_biotype:protein_coding transcript_biotype:protein_coding RGHPSREDRNGAGAGTKNLPSPYNGAGDGATYTRPIPCPNIYIIHKSNKTLLLRSFLLSHCHPLLSPQFLLRSLLFWSGLLYSLIIQTADLFDLESYPFNLNYSWHFYSELIACELIACDLGSGSMEFVVPPADPASFFPISVRFTAASTFSDLKVANILPLGGGPAPKFSQRTC >CDP20518 pep supercontig:AUK_PRJEB4211_v1:scaffold_1311:1:829:1 gene:GSCOC_T00010699001 transcript:CDP20518 gene_biotype:protein_coding transcript_biotype:protein_coding SLSNFCYFQKVIGFGARRVIVPGNFPIGCLPIYLSSFHTNNSAAYDEKHCLKDLNNFAEIHNEVLKASINVLKKEYPYVDIVYGDYYNAYLWLLSHAKRLRFDRNSLQKACCGSGSGPYNFDPRKMCGVEGVSACPNPDKYISWDGIHSTQRAYKYIAGYLLRAVLPQMRMFHL >CDP22264 pep supercontig:AUK_PRJEB4211_v1:scaffold_13119:1473:2027:-1 gene:GSCOC_T00008809001 transcript:CDP22264 gene_biotype:protein_coding transcript_biotype:protein_coding GMEELDIKDPETMQSVPPDTKTRGEVMFRGNTVMNGYFKDSKATADAFKGGWFRSGDVGVESVIYSHPAVLEAAVVGRPDDYWGETPCAFVKLKDGCNASADEIIKYCRDHLSHYMAPRTVVFGELPKTSTGKIQKFVLHQKAKDMGSLSRTSRL >CDP22265 pep supercontig:AUK_PRJEB4211_v1:scaffold_13126:132:1247:-1 gene:GSCOC_T00000980001 transcript:CDP22265 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYQCSACYRYFDCIYDENLCKRRLFNLYNNFNLNLIQKATGWFSANVLETLRHRVAVRFLSVYPNAGAEAILKSECDLFEKSKKMRLYPKNLKANEERQQDNREVVENQEKEPNDEVDYEEDEVNNIDDDIDEEDFDAYEAIDPIGFQEGDFSPQQDSCILVKLSLFSFC >CDP22266 pep supercontig:AUK_PRJEB4211_v1:scaffold_13145:1182:1490:1 gene:GSCOC_T00013156001 transcript:CDP22266 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDLEGASTQWDDIQRKLGNLPPKPPAFKPPSFTPAEDPDSKPKDKAWVDDKTEEELEDLEDDLDDDRFLQEYRYLLTFFIFWGGGPFDFPVFGR >CDP22267 pep supercontig:AUK_PRJEB4211_v1:scaffold_13152:83:1003:1 gene:GSCOC_T00011748001 transcript:CDP22267 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPEEAPPPLPEEPEPKRQKLDDALLVPEDQFLAQHSGPARINVSVPNHDEGNMKGQVLEILVQSLSETVSSLKEKIAGEIQLPANKQKLSGRPGFLKDNLSLAYYNVAPGETLQLSLRERGGRKR >CDP22268 pep supercontig:AUK_PRJEB4211_v1:scaffold_13157:301:2025:1 gene:GSCOC_T00010032001 transcript:CDP22268 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAISATVKVVLGTVISIAADRVGMVLGVKAELKRLSKTAATIQGFLADADGKMHSPEVRDWLKELEDEVFKADNVLDELHYDNLRREVKYRNQLTKKKVCFFFSFFNAIGFSSSLASRIRDINTNLKRINQQANDLGLVIKYQIEAALPADAAGATASRQTDSIVVPNVVGRAGDESKIVEMLLTSEKVLSVIPITGPGGLGKTTLAKSVYNNPKIDGHFGQKIWVCVAKEHIKIIELFKLILVQLTQDEVKVDDREVIVKKIGEKLKGQRYFLVLDDVWDHDQGLWDDYFNTLMGLNETKGSWCLLTTRRVPVADVVSTHLKMNSGPYFLGKLSCDECWSIIKGKVMSAGEEVPEELEALKKQILGRCDGLPLAAKLIGSLLLNSGKEEWQSIVEEGLIVEESLLNEYQSQINQILKVSFDNLSSPSVKKCFAYCSIFPQDTELGEDELIQHWIAEGFVLKNNRVTEETGGEYLRILLQNSLLEKVEDEVSTYYKMHDLVHDFAKSILNPESSNQDRYLALDSSEGLEENTIRTIPASIRTLFLHLEGGISTDMLLRFKCLHVLKLSGYDV >CDP20519 pep supercontig:AUK_PRJEB4211_v1:scaffold_1316:79:4506:-1 gene:GSCOC_T00007968001 transcript:CDP20519 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRSSSRSCFELALEYLRCLHDATTGCGYLYLIRKLRMGVRLLQSFDLYLTTCRRRRNHETCLEQDEEEKDVTSSRIQDLIIRRMRDLEFASSGCLDHSRSLHSTRVGSELIIFLEAIKLFFETVINESCINYLLDCYWLRDPELVIDFIDSVSETLAGLVESHFKRLDEKLMFLKSFIRFAMLRGVEGQQLIDLLIHTEVVAINALHLFSIWWFNKIIDNDEVCKEMELQISQLMHEKINPGDPQVQETYTHVLTAAKLSISSDTSALEKSKQTVADFMDRLVQNTAELLQPCTSTPVPIMNQMLKIVEGLRLLTILLRHQEKFKELCHEMKNLIGVVACDAAVVIFSLFVNPIEEGLAKETDLALFHLLKVLKLIRAEFTQVYPLTSVSGFGFPRICELGSMDFLLRNLQELARSDEINGSNAFPVDKIQTIQEDFEFLRSFLEKIKEQRNQNEKLQAFWSRVMEVAYKAELVIDWTLVGDGCEYFLDDVARDINVMKIEAQEIYDSISYGGETTTGVTKTFTRMPSQFTVAAYNEDLVPLDDEVKTITDSLTRGGSRQLDVVPIVGMPGLGKTTLANIVYNSPSVMLHFNIRARCTVSQAYSMHNMLVQILDSIDSGKLEQYRKMDEHDLAVKLKQVLLRNKYLLVLDDLWDANAWNLLERSLPDDANGSRILITSRLQNLSLQFKPHSKVHHLRCLTVEESWNLLQKKLFGKEGCPPRLSGVASQIAKSCRGLPLTVVLVAGILANTAEDCWEEVTKSLTSSIVLDDEYCMKTLELSYGHLPDDLKLCLLYFGAFQEDEDVPVRRLSWLWISEGFVRKTEGKRLEDVADDYLKDLVDRSLVMVSKQRSTGGAKACRIHDLVHEFCVKKAEEETFLHVLHGRNDHTGPSNPLRVCNRSVGNLRIWELVLKFPNVRSFLLFEEDDLGFWLPRLLRVLDMGELEFVAYFPMEVFLLAHLRYLALRTRKVNFIPAAIANLSRLQTFLLRRNNVDCLLPNTIWSIKTLRHLRITEFYSGFIFPAENLEVCPGLFHLDTLNLTIDPSSQSLQKILTKLPNIRRLRCTMTTSREEPTRIAGGILVFDYFSELQSLALHSFHGYGFKFPLNLKKLTLEGNFQPWSEISTIGKLPKLEVLKLLYDSFVGEEWEMKEGEFPKLRVLKLSHLWDFRRWTASSDNFPRLEKLVVQWCRHLEEVPSCLGECPTLEMIEVRGCRESVASSVKQIQQEQRDGGNEVLRILIEKCVDARRS >CDP22269 pep supercontig:AUK_PRJEB4211_v1:scaffold_13164:154:1625:1 gene:GSCOC_T00005696001 transcript:CDP22269 gene_biotype:protein_coding transcript_biotype:protein_coding MKALPADDPRNFTQQANVHCAYCNGAYIQSGSDQEIQVHNSWLFFPFHRWYLYFYERILGKLIGDPSFGLPFWNWDNIGGMTLPSIFQDQSSALYNQNRNQSHLPPTVVDLGYNGTDTDATDIERIKNNLAIMYRQMVTNSTTAKDFFGKEYRAGDAPSPGAGSIEAIPHIPIHRWVGDPRQPNGEDMGNFYSAGRDIVFYSHHANVDRMWTIWQQLGGKRKEVPDPDWLNSSFIFYDENAQPVCVKVGDCFSNDKMGYIYQKVDIPWVKNKPVARVTKSRVAFTSGAPQADKVFPGPLNKTVKVLVKRPKLSRSKKQKEEEEERLVVSGIEFSMDKYVKFDVFINDEDDNPNDFAKSEYVGSFAHLPHKVKGDMKVKTTQTFELTEILEDLDVEEDDALLVTLVPKSKTALTIDSIKIEVAA >CDP22270 pep supercontig:AUK_PRJEB4211_v1:scaffold_13189:123:1011:-1 gene:GSCOC_T00001392001 transcript:CDP22270 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYRFHRKDVLDLQWSTDGTFLIPGSVDSTCIIWDVNKGSVHQILDGHSHYVQGVAWDPLAKFLASLSSDRTCRIYVNKPSKTKGNDRMNYICQYVISRLEPQTTDESKTAKNHLFHDETLPSFFRRLAWSPDGSFLLVPAGIALISCYFDISLISCFNKFFLSLGIIYPLLCTAPHLSEFLTFSFIIYCSFPNIKEKGGMPYLHYLVIFRKY >CDP20520 pep supercontig:AUK_PRJEB4211_v1:scaffold_1319:6095:10042:-1 gene:GSCOC_T00005799001 transcript:CDP20520 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCSGAAAVMGSLQQPIWIKGSAFPCKGFGISGNQNYVKLISVGPCRTSQLQGSLVTGRPPSSVSVPVPEIGGSGSSFEDFNLSESDPEVQSIIDKEKERQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELETLCQQRALEAFHLDGKIWGVNVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLVNYEMLEKTAILFRPKLIIAGASAYPRDFDYPCMRKIADAVGAFLMADMAHISGLVAASVVANPFEYCDVVTTTTHKSLRGPRGGMIFFKKDPILGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKNYQNKVVSNCKALAERLFELGYNLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGTPAMTTRGFTEKEFVSVADFIHEGVQITLEAKQASPGSKLQDFMKFVTSKDFPLAHRISDLRGRVEALTTKFPLPGL >CDP20522 pep supercontig:AUK_PRJEB4211_v1:scaffold_1321:32844:33848:-1 gene:GSCOC_T00011013001 transcript:CDP20522 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTYFLFVAALVLLHFIATSSASIIVANNHNNSASDLNALLAFKAAIFDSQRIIPTNWSTSTSVCNWIGITCNARHHRVAAIDLSYMGIAGTIPPQLGNLSFLVRLNVMNNSFHGHLPTEISRLHRLKYINLASNDFEGEFPSWLGCLSALQYLSFRDNGFSGPLSGRLSNFTKLETISLGFNFFTGNLSEEFSALPKLKFLEIQQNQLVGPLPRALFNLSSLRSIGFTNNSLSGYLPAHICDYLPQLQGIYLSWNYFEGEIPSGIGECSGLQILSLSHNKFRGYIPNGVWNLTTLITLYLGDNDLTGKLPTSLCFEKLLYIVSLDAYVWRNT >CDP20521 pep supercontig:AUK_PRJEB4211_v1:scaffold_1321:95:7031:-1 gene:GSCOC_T00011011001 transcript:CDP20521 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTYFLFAGALVLLHFIATSSASIIVANNHNNSASDLNALLAFKAAIFDPQRIISTNWSASTSVCNWIGITCNARHHRVAAIDLSYMGIAGTIPPQLGNLSFLVRLNVMNNSFHGHLPTELSRLRRLKYINLEGNNFEGELPSWLGALTALRFLSFRDNGFSGPLPQALFNLSSLQIIRFTNNSLSGYLPAHICDHLPQLQGLYLSLNNFEGEIPSGIGECSGLQFFSLSYNKFRGYIPKEFWNLTTLTLIALGGNDLTENSNAVQKVSNTYNAITGEIPKVIDNLYNLEILGMERANVTGIIPQEVGNLSKLEVFELDLNRLRGPIPLKLFNSSTVRVIALTENDLSGELPSTIGAFLPNLEELYLGGNEFTGTILTSISNASRLRMLDLDTNHFTGAIPHSLGNLRLLEQFDIWQNDFSEDSLSKELSFIISLSNCKHLTELWIDDNPLNGFIPKSIGNLSSSLESIIASNCGIISEIPSSIDVASGLEYLHYGYSTPIVHCDLKPSNILLDEDMVGHVCDFGIAKLLGDGESVVQTKTLATFGYIAPEYGLEGLVSTSCDVYSFGITLMETFTKRKPKDEMFTEELSLRRWVQDCLPDSVIQVIDVDLLHPEDGLVQTKINCISSVLQLGLSCTTDAPKERIDMKEVLRALQKIKLQFIKDITP >CDP22271 pep supercontig:AUK_PRJEB4211_v1:scaffold_13222:197:727:-1 gene:GSCOC_T00011630001 transcript:CDP22271 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALLLFWSTSILSLSHNAEGSLFSIREATVHDIRIALDHNRLTSRELVEFYLKEIRRLNPVLNGVIEVNPDALHLAHKADQDRKAKKPGSMTGLAGVPILLKDNIATKDKLNTTAGSYALLGSVVPQDAGVVKKLRRAGAIILGKASMTEWAAARSSGMPNGWNARRGQSVVSC >CDP22272 pep supercontig:AUK_PRJEB4211_v1:scaffold_13224:63:1906:-1 gene:GSCOC_T00006251001 transcript:CDP22272 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAVDRCRSAKCKACMALGFACMLLPLLFAVDHYQASESVIETCNGIQEQAFSEENKASSTARKLLAFSGNGTGEDIGDAGDDDGYGGDGDSDSGGDGGLADRIGGQGPSCSKDNILVFQGQTKRMFNGIPTYTVEVQNVCDSASCSISNIHLSCGWFSSARLINPQIFRRLGYNDCLVKDGQALNPGESLTFQYANSFSYPLSVSSVAC >CDP22273 pep supercontig:AUK_PRJEB4211_v1:scaffold_13227:803:1703:1 gene:GSCOC_T00007477001 transcript:CDP22273 gene_biotype:protein_coding transcript_biotype:protein_coding KNTLKTQGYHFHSVEVYSQIAAPTTGQPIAPPPPPRLPLKRPRSISPPPRLPFMGPFKDRLDYFYNEGKDEYVKSRVQKYQSTVSKTNMPPGPFISSNPNVIVLLDGKSFPVLFDVSKVEKKDLFTGSFMPSTELTGSYHILSYLDPKVFLLLTLKSGKLIASFTFDAGTTSSRSSRRATPSYLTASSRNCHQWQSQSQ >CDP20523 pep supercontig:AUK_PRJEB4211_v1:scaffold_1323:21644:28310:1 gene:GSCOC_T00012531001 transcript:CDP20523 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSFVEHEMRNIASSYSSSSKWTYDVFLSFRGEDVRKGIVDHLYSALEQKGIHTFKDDEKLERGRSISPTLLQAIKESRIAVIIFSENYATSSWCLDELAEIIDCKHVLGQTVLPVFYYVDPSVVRRQKGSFDRAFVKHEDEIEDKERIQKWRAALTEAASISGWDVPKTADGHESKCIQEIVGDVIAKLGRVIAVEEKNQVGIDSRVHKVNALLNLGSGKVQFIGIWGMSGIGKTTIAWAVFNRISTHFEGAIFLEDVRKQSESLKNLQEEILSKTLCLKDLRISSVLEGSKMIQTRLCRKKVLIVLDDVDHLSQLDALAGMHEWFGDGSRIIITTKNKHLLVTHGVDKMHKMEVLNEYEAIQLFSWHAFKEDYPAKGYKELSIKIVHYAGCLPLALKVLGSFLYGREMAEWRSVVERLKRIPEDEIMEKLKVSFNGLKEVEKEIFLDIACFFEGKKKDYIRRVLDSFNFCPDIHINVLIQKSLITLSRGRILMHCLIQEMGWHIVRQKAPDEPGKHSRLWVAEEICDVLARDKATENIVGMMWLDLSTAKDVVIKNDAFEKMKKLRLLKINNASVSRCPNCIPNEIRWLDWHGYPSKSLPESFQAEKLVGLELQYSRIIQLWKGIKSLDKLKYMNLSYSQKLIRTPDFTGIPNLERLILEGCSSLAEIHPSAGFLKRLQKFNLRNCTNLRSLPKKIVLERLEVMILSGCSKVGEFPEILGTMEHLKVVYLDATAIKELPPSIEHLTSLGLLNLSYCESLASLPSSLCRLKCLKALILSGCSKLDKLPEELGHVLSLEELYVDGTAISNPPSSIVLLKNLKTLSFKGCKAMASHSTGLVFPSVSGLNSLARLDLRYCNLSDEGLPCDLGSLSSLVELNLGKNNFTSISAAGIKNLSHLRILELVGCKRLEKLPELPLCIEEVYADDCTSLQSATDLTNPGKLRWVSFYNCFKLLQDEQTSSMIYATWNHMLKVFSFLQYTYQLSAFCICLPGGSIPSWFTYQNSGPSITVKLPPIWYNDAFMGFAVCAVSDLIMRTGVWRDLLQKITGFFFEFTVVDQEMNCYRHLFNIGYVGTEHSIDSEHTWLGYIPFDTIWSYRRNWVRSPNDWTSIEVSGYIDVFKAWGISLVYENDVRQNSELLMIPQSSEL >CDP22274 pep supercontig:AUK_PRJEB4211_v1:scaffold_13230:295:522:-1 gene:GSCOC_T00004301001 transcript:CDP22274 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLARMYDVKDPNAIFVFKSRTHFGGGKSTRFG >CDP22275 pep supercontig:AUK_PRJEB4211_v1:scaffold_13234:91:549:1 gene:GSCOC_T00001614001 transcript:CDP22275 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEGLVPDTTAFTVILTACSHAGLIKEGCHYFDSMVNEFSLEPSPDQYACLIDLLGRSGKLKDAYNILKSMPVKPHAGAWGALLSAWKLHCDLELGEEVACRLIELEPLNAGNYVLLSDIYAAGNKWLDVSLLRLKIREKGLKKIPGCSWI >CDP22276 pep supercontig:AUK_PRJEB4211_v1:scaffold_13239:274:1212:-1 gene:GSCOC_T00010163001 transcript:CDP22276 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTANSFIFLPLFLLPPLFWLILNYIKSRNQSLPPGPKPWPIVGNLPQIGSKPHVALAQLAQDYGPLISLRLGSQLVVVGSTPAAATEILKTHDRILSGRHVPHVSYAKSPLMNYVSVGWTYECTDQWKFLRTLCKSEILGAKVIENQSHLREQKANELVQFLVSKEGQRIKIAEVVFVSVFNFLGQIFFSKDFLSYDEVENGGGMSELIREVMELWTAPNISDLYPVLGGLDLQRLSKKASVCHNKICSAWQEIIRERRGKKYQDSTRQKDFLDVLLQNDFSDDQINYLHLVRSLFPSSLSLYLVNNIKK >CDP20524 pep supercontig:AUK_PRJEB4211_v1:scaffold_1324:21119:22178:1 gene:GSCOC_T00004159001 transcript:CDP20524 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVWITCIICSVVTSVGNTYFVEQASHLNYKVGKLKFPDSTLLLLYEAAKMGFRRVYNCMKVAVGRSVAAFLNDQSPPSMKKYLVYLNPGLSGLGIMGSVLSVHLVGKISEKGGKKSWFQHDLNESHLNYYYWVLAGLSATNFLWFLLTAICFPFPDTEPVSSDTKSETTGNELQNGDLVTFITENT >CDP22277 pep supercontig:AUK_PRJEB4211_v1:scaffold_13246:172:651:-1 gene:GSCOC_T00007523001 transcript:CDP22277 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIYDNWERLVGATLRREELRLIAQRTPSDLSLASSIPSPSPSSLARSSFTYDEILQATNNFSSSNLIMYARTGDRFWGALEAGIRVVVKKVDLSLINRTSLMRELEFYNKVSHPRFVPLLGHCLENDNHKFLVYKYMPHTDLHSFWSRNVVLSTTCS >CDP22278 pep supercontig:AUK_PRJEB4211_v1:scaffold_13250:3:1193:-1 gene:GSCOC_T00011747001 transcript:CDP22278 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNKLFGAISALSLLLLLSSFGCKAQLSPTFYDYTCPNALTTIRSTIRSAISCERRMAASLIRLHFHDCFVQNAKTKLGCDGSVLLDETPTIQSEKTSKANNDSARGFNYLTIFL >CDP22279 pep supercontig:AUK_PRJEB4211_v1:scaffold_13258:665:838:-1 gene:GSCOC_T00008853001 transcript:CDP22279 gene_biotype:protein_coding transcript_biotype:protein_coding FSFFISKLDRLKMQRYLEMFYFLNYRKLVSSLAPLLFNKLHTFQRCFMLIFGSYFVL >CDP20530 pep supercontig:AUK_PRJEB4211_v1:scaffold_1327:30697:31485:1 gene:GSCOC_T00011842001 transcript:CDP20530 gene_biotype:protein_coding transcript_biotype:protein_coding MWCRHYACLNFTCLEMRDSEHPKAKSGPQELVRQVLSAGWRENIDVAGENALSRYDRVGYNQILLNSRPNCVNKNTLPKLKLSGLTYLRLSDKLLGRKNFSIFKTFVKRMHADQDYDPDHIDLPAQKASKQKISFGQLLDATKPIEPFP >CDP20529 pep supercontig:AUK_PRJEB4211_v1:scaffold_1327:28911:30518:1 gene:GSCOC_T00011841001 transcript:CDP20529 gene_biotype:protein_coding transcript_biotype:protein_coding MYQFMSCSSYMLFQQTMLSQTKKSLRKFYTNQSGTRNKECLSLGVDNLSLFEGRTAMYSDYMNSFRENMSDFLEAGTIIDIEVGLGPAGKLSYPSYVKTQGWKFPGIGEFQCYDKYLRADLKEHAKKAGYPEWDVPDNAGTYNDTPENTGFFGPDRIYLSDYRNSFLTWYSNNLLKHGDHILEEANKAFLGCKDKLAAKANAQ >CDP20525 pep supercontig:AUK_PRJEB4211_v1:scaffold_1327:5549:7079:1 gene:GSCOC_T00011836001 transcript:CDP20525 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLVNKDKPMSMALYKLCKKGRWVETASNIFKLSYFSCKLVHFFYFLFFPFLSGNHKKRFRKCLHVVVFFFQEFKNKIQT >CDP20527 pep supercontig:AUK_PRJEB4211_v1:scaffold_1327:10369:13776:-1 gene:GSCOC_T00011838001 transcript:CDP20527 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPTPIEGWPLASPDWLSWVTRMSAIKKDLWIQTGIFDAIMISCNVPAIDKPLLFSSLLFWDCSTNTFHLTCGAMTPTVLDISAILGLPPVDFNLDSHGLFSSPKWNSSIRAYSSFLKDFKGKDGSPVTDEEHLAFLVYWLNKIIFCSPDNKISKELQKVAFALHSGISVNIPVLVLSHLYRGIYELISKQFKAAGGPLWILQLWLKSYFPELGSKLTDEPTGAFGYQLGQFPPTPKSLEECFRFFYDCASRPSYMPFPQHTGPPWHRIICTQAPASFKYDNHDVWADFVLCRDLPVSLCVDEENKSKFGVEIYLPNLVSRQFGLVQDVPFPFLETHNRQLIPRRKLTESDMSDVAAQFAKRKKDFRFCSFNFSQASSENFNSWWLKYIQGQKKEDWVQVWHRIAPQSGENVTKTSPSRGHEMKRKLSPQLHGLPIRINQVTMSSELQAPLGPGAESPATAIPKQKRIRKSGSGSYKETARRILDPEPLISTTSVCPDDSPVIQKAVDDIHTKEADVEVNIEEKFDKGGGKEMDFLKKTTAGMARESLEGDLLYPGLSKATSKVVDPLVGPYVSETPAISQQKGNLSPNDLSSSTSLDQVPLAPGLIPPLDPSKLCATESMAIRQQKDAPATKYPATSTSVDQMTSIGPSKSSVNEFQPKSTPGAQNSSSQTFGDQAPLNSQRILPTDPYDTSAPFADQAPLNSQWIHPSDPYNTSAPFDPVAIFKDLENFLELESFSDGFQLSSTNSEKTELSEEEFNKHVSTVKNLISFSLEAISHPGRLPVLISTCKSLLASGRLPCQQALYLQQLVNNLPYLTQRYEVATRELSELEKVLQEKDALLKELRTSFHLSKELTDRRSAIMIRHQEIEEAVHVLLAEGDALKKEDDRANEDLRLLAEKAATARSQYEEVKPQSQSILSKQIQVMADMDSIEKQWADIQGNQSYLP >CDP20526 pep supercontig:AUK_PRJEB4211_v1:scaffold_1327:7219:10281:1 gene:GSCOC_T00011837001 transcript:CDP20526 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMLLRTLVIAAAVAAAFVDTAMAANYVVGAPTGGWDLNTQFQSWANAKKFFVGDTLNFVYGPSHSVLQVSKPDYDACLTNASIASYSGGNTAITLSSSGNSYYICGTSGHCDRGMKVVISVLPAPAPPTAAPSVPPPAATPSSPTPATPSGSPTSSPTGSPAASPPKPAAPANSPKLSPLVSPSKSPASSPSKSPAISPASSPPPSPTPAAESPAASPPSPNASSPSGSPPPSSANKVNVVSFTMTVGFIIMMLLTL >CDP20531 pep supercontig:AUK_PRJEB4211_v1:scaffold_1327:32450:32956:-1 gene:GSCOC_T00011844001 transcript:CDP20531 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATASAAARSFLRSNAARSAASRLTTKSKPSTSPFRSPSSKPLSRRIFRRPVELSACLDSMQPYHSATASSLMISNLTVSRCGYGWLFEDM >CDP20528 pep supercontig:AUK_PRJEB4211_v1:scaffold_1327:22505:23026:-1 gene:GSCOC_T00011839001 transcript:CDP20528 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVGKATKIFIFIITVLVVTGLILGFGLLRHGNHKSHNSKCSGDACYQSPVVPNPTPPSSSLTSPTPNPNPNPSPSESSVPPPPPPAGGGGLAPPAGGEIPSPQNPSTSVPPPPPPLPAPTPPVPPAPPLSSPPPPPPPPLVSQAPPPALSPPSPALVTPGPSQSLLHLISD >CDP22280 pep supercontig:AUK_PRJEB4211_v1:scaffold_13272:1349:1642:-1 gene:GSCOC_T00005871001 transcript:CDP22280 gene_biotype:protein_coding transcript_biotype:protein_coding METAYSPIAVFCYCILLLILVLAWKVFNWAWLTPKKLEKRLKEQGLRGNPYKLLYGDFKENSTLFKEAHSKPINLSGDFVPRVIPHFCAVVKKYGKW >CDP20532 pep supercontig:AUK_PRJEB4211_v1:scaffold_1328:30622:34018:1 gene:GSCOC_T00001523001 transcript:CDP20532 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLNVVTEGVLNKLISLVAEEINIVWCVKEDLESLARNLEMIQALLVDAECKHTSKNAIDIWLRRLQDVSSEADNLLDEFAYEVLRNKLKKRKQDQIKNMNLSIEKVYQEAERIGLGPVEIVNMSNNPRSDQSSTTDPFVDDSSIVGRSEEVNKVVNLLTSSEKDGNNLSVIGIVGMAGLGKTTIAQLVYKNEKAIVGKLNEVLKTKRFLLVLDDVWHEDVEKWDRMRKCLRGIGSSDESRIIVTTRNETVVSIMQPSFPCQLGTLSDGDSWELFEKIAFGHGCAAVKTPELINIGRKIVAKCGGVPLAVNAIGGLLWYKKDEREWSKIENSETWATMEEAGRRVRSAIKLSYDHLPSLSLKQCLSYCTIIGKGGVAAVEIMIQLWMAQGLLNPSKGSLLEMEDVGSNYTSILFRTSLLQVFEKDGFGRTMYFTIHDLVFDFVEEAAKESIFLVPSVELITGRESLLKPRTLILSDGLAHDLPIVRKSLRVLVLEDEDVKELPTTIGKLRCLRYLDISRSSITELPNPITQLYNLQTMKLSNLQQLPKNFGNLANLRHLYIGKDGMINGKPCLLPDIGQLSSLQTLPFFYVSRDKGCQIDQAGHLHNLRGDLKIFDLQNVSNQEEALINLDSLELHWDTRTRDGSTDEDVLKGLEPRPILKGFTMKNFMGRSLPSWMLTTSHPLVFHNLEKIVLRNFNKCQQIPPLWHLPHLNIVNIIGMKSINCISTDFFVGDAVVSFPALKELILEDLPDLIEWSGLMCHDSSHSLVKIFPSLEILRVEICPKLVSLPGLASLEILTVTHCPNLVSIPDIHSLRSLVQLALAGCNNLRSLPSGMENKEFQHPFASLLYLVLLGWQAVTSLPEQIQHLSNLAFLQIAHFDGIVALPEFLGSLHSLKELHIEDCKNLLYLPSAEAMRHLTKLRKLTIEEWPLFTDRCKKEIGEEWYKITHIPEFQLLP >CDP22281 pep supercontig:AUK_PRJEB4211_v1:scaffold_13283:65:844:-1 gene:GSCOC_T00004631001 transcript:CDP22281 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRSFLQTPTYGNSITILSIDGGGIRGIIPGVILGFLESELQKLDGEDARLADYIDVISGTSTGGLVTAMLAAPNDKNRPLFAAKEIKDFYLDNCPQIFPQETHLMLGQAEKLIKAVTGPKYDGKYLHNLLKQKLGETKLHQTLTNVVIATFDIKLLQPTIFSSYVVRLNQTL >CDP20533 pep supercontig:AUK_PRJEB4211_v1:scaffold_1329:3897:4541:-1 gene:GSCOC_T00005681001 transcript:CDP20533 gene_biotype:protein_coding transcript_biotype:protein_coding MMKASLLFILSFLVFSISVSTNSSFTSAAEAPEPVRDVAGKMLRTDRHYYILPAANVFDKFRGGGLTLSGIGKNTCPAAVFQETSEQKNGIPLAFLPVNPKKGVVRVSTDLNIKFAYPETCGQSPVWSIDNYVYPSGDSFVNIGGVVGNPGPKTLSSWFKIEKFGYQDYKLVYCPAVCSYCKVICKDVGIEYQNGKRRLHLTTDYPLRVVFKKA >CDP16590 pep supercontig:AUK_PRJEB4211_v1:scaffold_133:512938:515089:-1 gene:GSCOC_T00019010001 transcript:CDP16590 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMPHVTLSKFAQTYGPLMSLKLGTQYVVVVGSSPAAAIEILKTHDQIYPSGTFPRFLQLQRKNSTLATAPNVSDFYLVLSKLDLQGLRKKSIELGIKIRAVWEPIIEKRRKHDVPLSQQDFLDTLLQNNFTNERIHQLLMELFTAGTDTSASTIEWTMAELVKNSESMKEVCEELEREINEDMPKESHLMHLPYLQACIKETLRLHPPAPLLLPHRAPETYPQKLDMQEKFGVTLQKERPLLLIPKSRI >CDP16597 pep supercontig:AUK_PRJEB4211_v1:scaffold_133:1022470:1023031:-1 gene:GSCOC_T00019025001 transcript:CDP16597 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHEGWVGTIWMDCLVVQLFEHLRFSLSQLWILGGSFSQDVNRIEAGWQVSPDLYGDNNTRLLTY >CDP16594 pep supercontig:AUK_PRJEB4211_v1:scaffold_133:767136:773659:-1 gene:GSCOC_T00019021001 transcript:CDP16594 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILLATVWIQAFTGTNFDFSAYSSELKEVLGVSQVQLNYLATASDLGKAFGWSSGLALMYLPLWVVMLIAAFMGLVGYGIQWLVIREFITLPYFVMFLLCLLAGCSICWFNTVCFVLCINNFPSNRPLALALTVSFNGVSAAFYNLAATAMNPSSSELYLLLNAFIPLFTSLVALIPILRQPTLDTLPPDAVKRDQFIFIILIFLAVITGIYLLLLHPVSTKAARFLFSGAIFLLLLPLGIPGIVYARNWFDQKIYSRFRMEGSSFILIDHDDLELHRGLLSRDNSLRNGSLCSVDGDESVKGISRQESTESNGWCCKTVIEKDQLIMLGEEHKARMLVRRLDFWLYYLAYFCGGTIGLVYSNNLGQIAESVGQYSKTELLITLYSSLSFFGRLLSAVPDLIRTRFYFARTGWLAIALLPTPIAFFLLAATSSQMALQAGTALIGLSSGFIFAAAVSITSELFGPNSVGVNHNILITNIPLGSLLYGVLAAIVYDANAGSGLGAVTDIVCMGRKCYFYTFVWWGGISILGLAFSVLLFLRTRQAYGRFEQNRLSTLLY >CDP16595 pep supercontig:AUK_PRJEB4211_v1:scaffold_133:840589:842037:1 gene:GSCOC_T00019023001 transcript:CDP16595 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSKPKRPVISLQSSLSTTLCFIVVFTIPALLLLHTPSSSVCKNSFNQNKSWSESQSFSPVIHWHEGEPGRWRYNKALEQYEAENNRREFDVIHTESVALPFHVARHVPNLVVSWHGIALESVQSSIYQDLAWLPNEPISPAFNSSLHGVIPKVLNEIRFFRNYAHHVAISDSCGEMLRDVYQIPSRQVHVIVNGVNEKDFQEDLRLGNQFRAKIGVPQNASLVLGVAGRLVKDKGHPLLYEAFSKLKEKNSDVYLIVAGSGPWLQRCRDLGPKVIALGSMNLSELHAFYSSIDIFVNPTLRPQGLDLILMEAMMSGKPVMASRFPSIKGTILVNDEFGFMFSPNVESLLEALELVVAEGSKRLSQRGKSCRDYASSMFTAQKMALAYERLFLCIKNETFCNYI >CDP16587 pep supercontig:AUK_PRJEB4211_v1:scaffold_133:177816:178862:-1 gene:GSCOC_T00019003001 transcript:CDP16587 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSIGTPPVDVLAIADTGSDLTWTQCKPCSQCYKQDAPVFDPHRTSTYREISCESASCAAVGTSSCDDGNNCAYRLSYGDNSYSIGSLGAETFTFKSSSGKNISIPGVVFGCGHQNDGTFNETASGIVGLGGGAVSIVTQLSKSIGGRFSYCLTPLVSESNVSSKIKFGNNAVVLGSNVVSTPLIKKNPDTFYFINLEGISVADKQIAYENSSSSKLDNTTDEGNIIIDSGTTLTFLPQQFYDDLQSTLKESINGKQVSDPNGLLGLCYQVEDNIKLPNLVVHFTGADVVLPPTSTFIQVSEGTICLTFVPSDDIAIFGNLSQMNFLIGYDLINQKLSFLPVDCTKY >CDP16593 pep supercontig:AUK_PRJEB4211_v1:scaffold_133:765048:766594:1 gene:GSCOC_T00019020001 transcript:CDP16593 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSKPKRPVISLQSSLSTTLCFIVVFTIPALLLLHTPSSSVCKNSFNQNKSWSGDLRTAEFAWNRLKFNQENPPSETLKIAVFSRKWPTSATPGGMERHAHTLHTALARRGHQVHVFTSPPDQDENVPRESQSFSPVIHWHEGEPGRWRYNKALEQYEAENNRREFDVIHTESVALPFHVARRVPNLVVSWHGIALESVQSSIYQDLARLPNEPISPAFNSSLHGVIPKVLNEIRFFRDYAHHVAISDSCGEMLRDVYQIPSRRVHVIVNGVNEKDFQEDLRLGNQFRAKIGVPQNASLVLGVAGRLVKDKGHPLLYEAFSKLKEKNSDVYLIVAGSGPWLQRYRDLGPQVIALGSMNPSELHAFYSSIDIFVNPTLRPQGLDLTLMEAMMSGKPVMASRFPSIKGTILVNDEFGFMFSPNVESLLEALELVVAEGSKRLSQRGKSCRDYASSMFTAQKMASAYERLFLCIKNETFCNYI >CDP16596 pep supercontig:AUK_PRJEB4211_v1:scaffold_133:842608:849808:-1 gene:GSCOC_T00019024001 transcript:CDP16596 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILLATVWIQAFTGTNFDFSAYSSELKEVLGVSQVQLNYLATASDLGKAFGWSSGLALMYLPLWVVMLIAAFMGLVGYGIQWLVIREFITLPYFVMFLLCLLAGCSICWFNTVCFVLCIKNFPSKSNRPLALALTVSFNGASAAFYNLAATALNPSSSALYLLLNAFIPLFTSLVALIPILRQPTLDTLPPDAVKRDQFIFIILNFLAVITGIYLLLLHPVSTKAARFLFSGAIFLLLLPLGIPGIVYARNWFDQKIYSRFRMEGSSFILIDHDDLELHRGLLSRDNSLRNGSLCSVDGDESVKGISRQESTESNGRCCKTVIEKDQLIMLGEEHKARMLVRRLDFWLYYLAYFCGGTIGLVYSNNLGQIAESLGQYSKTELLITLYSSLSFFGRLLSAVPDLIRTRFYFARTGWLAIALLPTPIAFFLLAVTSSQMALQAGTALIGLSSGFIFAAAISITSELFGPNSVGVNHNILITNIPLGSLLYGVLAAIVYDANAGSGLGAVTDMVCMGRKCYFYTFVWWGGISILGLAFSVLLFLRTRPAYGRFEQNRLSTLLY >CDP16586 pep supercontig:AUK_PRJEB4211_v1:scaffold_133:90249:91069:-1 gene:GSCOC_T00019002001 transcript:CDP16586 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAYSGDGSSDEASVDTGKKKEQFRRHSAQQIQRLEAFFKTSQHPTKDEKQQLSTELGLSSGQIKFWFQNKRNQIRLRNEREENDALIVENEKLRMENLMLGGMLMDPFCTKCHGGLTEEETRKLHLQGLANENAKMKKEVDSSFHVFELSKILAMESIFFFSI >CDP16588 pep supercontig:AUK_PRJEB4211_v1:scaffold_133:467597:468091:1 gene:GSCOC_T00019006001 transcript:CDP16588 gene_biotype:protein_coding transcript_biotype:protein_coding MAERIVSTTLETLWGLLKEEAKLLSGVNAKVNELCREFRRMQCFLQDADARQYKDQSVQNSIQEIRSLAYRAENVVETYIIEISSRRGRGCKKSCKRFCCILNELNVLHTIGFGIESIRSEIAEVTKSLQAYGIKGIDGGESSSRAANEKDRWLQKTCDDPTSP >CDP16589 pep supercontig:AUK_PRJEB4211_v1:scaffold_133:495745:497228:1 gene:GSCOC_T00019008001 transcript:CDP16589 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSFLSDSDNEKAVDDLLSETMDEAVLEQISAINCSGFTDSNLPAHLETRFQKLKSFPSANSKPNTYSPHSHSASASVFKNSKNDEDSVEEKDFKENINSGFGSIPSKSLSFSNKDEIFQDSKKNPDWRKGLKAKSETEFLSATSDSFDFSAENEFFPSSKKIPSGKNRKELKSPSGSSPLPSDSSSEALSPPQKSGCLWCSPRKSSCKKNKENRVLNVSLDWGKNDEVLTDLNTFSGKNQQKLLKKAMKEEEEINKEAEKIVKWAKQASARMEISCIEDDLSDNESFK >CDP16591 pep supercontig:AUK_PRJEB4211_v1:scaffold_133:544337:545825:1 gene:GSCOC_T00019013001 transcript:CDP16591 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKPLVSFKLENSRFNKQYLKNHISLSTSPKLENLVLLESSFKVLKVLRRHFSTNVSERHSNFGSKLVT >CDP16592 pep supercontig:AUK_PRJEB4211_v1:scaffold_133:732863:736136:1 gene:GSCOC_T00019016001 transcript:CDP16592 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSNSKPWMPFTANCCTVEDHTVFGNFSRCRPSRSEFSKNIAPMPSFRRLSFSDLSSSSSARINEDLAQSFGPDLFDFQLSELRAITQNFSSNFLLGEGGFGTVHKGYVDDNFRPGLKAQAVAVKLLDIEGLQGHREWLAEVIFLGQLRHPNLVKLIGYCCEDEERLLVYEFMARGSLENHLFKRLSVSLPWGTRLKIAIGAAKGLAFLHGAEKPVIYRDFKTSNILLDSDFNAKLSDFGLAKMGPEGSNTHVTTRVMGTYGYAAPEYVSTGHLTTKSDIYSFGVVLLELLTGRRAMDKTRPKTEQSLADWAKPYLTSSRRLRCVVDPRLSGQYSVKGAKEMAHLALQCVSMNPRDRPKMPVIIETLESLQNLRDMAVTCGQWPASPKSHKIAVCNARGKGEKGQGIFLTRSAILASACPKTK >CDP20535 pep supercontig:AUK_PRJEB4211_v1:scaffold_1330:16747:26636:1 gene:GSCOC_T00005366001 transcript:CDP20535 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEVMKDLGAGNFGVARLMRHKETKELVAMKYIERGRKIDENVAREIINHRSLRHPNIIRFKEVILTPTHLGIVMEYAAGGELFDRICTAGRFSEDEARYFFQQLISGVCYCHAMQICHRDLKLENTLLDSSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTISRIVAVQYKIPDYVHISQDCKHLLSRIFTPNPLRRITIKEIKSHPWFLKNLPRELIESAQATYYQKDNPSFSLQSIDEIMKIVGEARNPPPSSKPVEGFGWGTEEDDIVVGEEEEEEDEYEKQVREVHESGEFHIN >CDP20534 pep supercontig:AUK_PRJEB4211_v1:scaffold_1330:5899:10317:1 gene:GSCOC_T00005365001 transcript:CDP20534 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSYLFKCQRKKKSIRPNRHGNAPQTRKRVVKPSKVTKDMDTGREPTKFINYCNEAAATVANKDGGQLNIVKPQPESSIMHAYNSKSKDLLDWEENGNYSASSIESKLLFCKKDDFVQTAKPEGVCIPDKKPDICTVPESNILGKVKDFLGVISEANKRLQYDAKNNAEKYDLEVLHGNESEYIEMDLMLGVADLHTAEAVAAAESAMAGSQPTFSLAASVSSDDDDDHDIKSNEEVGSDSSNDEEKMFNGAGENSCSDVSRMQASNKRPKIVELS >CDP20537 pep supercontig:AUK_PRJEB4211_v1:scaffold_1331:7750:10544:-1 gene:GSCOC_T00007623001 transcript:CDP20537 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLSEAAAEGNVESLLQLLREDPLILDKTIVSCVSETPLHTASILGHVNFVKQLLSSKPELASELDSSCCSPLHLAAAKGHVEVVKELLKADSQVGSVRNLEGRTALHVAVAKGRVTVVAELVRVKPELTRVLTDRGETVLHLCVKYFRLEVLKLLATESVKKDSELVNWRDSDGNTILHTAVTKKQFEIVNLLLTVYPAEVNALNKYGITALDVLNQSPRDLKDMDIENSLRKAGALGAKDLHLITDDGLEDTLPQIAKKLSSKPSSSAQIPSPKHKHTDWLGRKRSALMVVASLLATTAFQACLTPPGGVWQDDYMSDDKGNPESHIAGTSVMAYKAAKDYGIFMIFNTVAFLSSLSIILLLVSGLPLRRRRYMWFQMITVWIAITAQVGTYFITLRNMSPKSTNVQRMLKEVTEISVLTWLSLMGVVFLGNVARMNLWVLRKYGYIKEKERASLAEEDDEELL >CDP20541 pep supercontig:AUK_PRJEB4211_v1:scaffold_1331:29941:34408:-1 gene:GSCOC_T00007627001 transcript:CDP20541 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFASSPWWNLQEQQLSQTSSKNLSLRVETPSLCFEEAKHLGQQIEEDQSSHSMESSSQSQRGATTMGKSNSQDQCISSESVQNESFGKHVEEQPKPAFFPSNLESSANPSQIEFLRSAAQIPCIYSDPYFSSLYSAYGPQAMIQPQMMGIAPARVPLPPDLAQDGPIYVNAKQYHGILRRRQVRAKLEAQNKMVKGRKPYLHESRHQHAMNRVRGSGGRFLSTKKQQQQSESTLSTGSFSASIHSCQETDALEMEAERSNDSRHYASTSTSSSAMGYTPDDIIFQQHADSYISLSGQIAGSTSSGGFMQNGTPLHVPTTR >CDP20538 pep supercontig:AUK_PRJEB4211_v1:scaffold_1331:16575:21293:-1 gene:GSCOC_T00007624001 transcript:CDP20538 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGYNPRTVEEVFRDFKGRRAGMIKALTKEVEDFYQLCDPEKENLCLYGFPNEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLALVAVHSDAWLFAVAFYFGARFGFDKADRKRLFGMINDLPTIFEVVTGAAKKELQEKSSASNHSSSKSKSNSKPSKYSKVQEKDENEDLVDEEEDEDHGETLCGACGEHYTSDQFWICCDVCERWFHGKCVKITPARAEHIKQYKCPSCSNKRSRP >CDP20540 pep supercontig:AUK_PRJEB4211_v1:scaffold_1331:23358:27633:-1 gene:GSCOC_T00007626001 transcript:CDP20540 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVSYMSVLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLLYDDVWLRSSDGVRLHAWFIKFLPESRGPTILFFQENAGNIAHRLEMVRIMLQRLQCNVFMLSYRGYGASDGYPSQHGITMDAQAALDHLVERTDIDTSRIVVFGRSLGGAVGAVLAKNNPDKVAGLILENTFTSILDMAGVLLPVLKWFIGGSDSKHPRPLNFLVRSPWRTIDVVGEVKQPILFISGLQDEMVPPSHMQLLYAKAAARNNRCLFVEFPTGMHMDTWLAGGDQYWKTIEDFLEQTVPEKRFGESSHRGECYISCSLKFHYFKTKFSQVSGGPCDDFVLHYAKQIPNNDVPSTFQIVTIHSPPPLLCTLGFDPR >CDP20539 pep supercontig:AUK_PRJEB4211_v1:scaffold_1331:21431:22645:1 gene:GSCOC_T00007625001 transcript:CDP20539 gene_biotype:protein_coding transcript_biotype:protein_coding MFCASSCSHGLESHNTALERERLINYQIPIAGTESFKSANLLHVIYFSRPSAQIFFSVGYSQLF >CDP20536 pep supercontig:AUK_PRJEB4211_v1:scaffold_1331:1620:6264:1 gene:GSCOC_T00007622001 transcript:CDP20536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID MOVEMENT IMPAIRED 1-RELATED 1 [Source:Projected from Arabidopsis thaliana (AT5G20610) UniProtKB/Swiss-Prot;Acc:F4K5K6] MLSKVDARRKVGEDSGAGKLLNDIETISKALYLDKTRPRSLLSTPKSKSNKDDKKDTAEKDKKSIWSWKGLRSLTNVRSRRFNCCFSLQVHSIEGLPVFFDDLSLLVHWKRRDGELMTRPVMVFQGVAEFEEQLTHSCLVYGSRSGPHHSAKYEAKYFLLYASVYGYPEQDLGKHRVDLTRLLPLTLEELEEEKSSGKWTTSFRLSGKAKGALLNVSFGYEVNGENKSKDVSELRSLRQQSMSNLKHLELNDGHELNTIRRTGSLPARSSTSEKSVEDIKDLHEVLPISNSDLSDSISVLYQKLDEEKLNVPFAPVKPEVNVFPDPVELVKPDTHSSSDACVDNDENEFEVTEVTITDQGAELPSNEQEKAIEDTGKPAHDCAGEGAQSNGAEVVPVEETHHHSSVGGQERELLTSDCKSRETDVSAKESLLKELESALSNVSDLGKEGFDSQDESESSTPGNHLELQSSHRELTKGKSLSLDDVAETVAIDFLDMLGVHSPVGLSSESEPESPRERLLRQFEKDTLASGCSLFNFGIDEDEPEECYDAATESEWGMFCKNFDPYPMVHTSEEMPKIEGEATRNKTRASVLEDLETEALMREWGMNEKAFQHSPPNSSGGFGSPIDLPPEIPHQLPNLGEGLGPFVETKDGGFLRSMNPVLFSNAKSGGSLIMQVSSPVVMPAEMGSGVMDILQHLASVGIEKLSMQANKLMPLEDITGMTMQQIAWEAAPSLNGPESQDLLQHESGFGQSISGEHGNIQAKTSGPRVGKSEVNPVGNQMDMEYVSLEDLAPLAMDKIEALAVEGLRIQSGLSDQDAPLNINAQPIREFSAFEGKRGSSSGSLGLEGAGGLQLLDIKDNGGDVDGLMGLSLTLDEWMKLDSGEIDDGDNISEQTSKLLAAHHATGLDVFRGRSKADKRRGKGRNCGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFLPPKPRIYCTVSLARDTSEEDDETLPVKKEDISEEPKEEKVLEEEQIPQFKITEVHVAGLKTEPGKKKLWGSNTQQQSGSRWLLANGMGKKNKHPLMKSKAVQPGETLWSISSRVHGTGAKWKELAALNPHIRNPNIIFPNETIRLR >CDP22282 pep supercontig:AUK_PRJEB4211_v1:scaffold_13316:580:1948:1 gene:GSCOC_T00002215001 transcript:CDP22282 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQVLLRHPIFCNLFLLLVYVQACSNLAMAGSIVKFLPGFEGPLPFELETGYIGVGESEDGQLFYAFIKSESNPQSDPLIIWLDGGPGCSSFIPLFFGIGPVILEPLSFDGTLPKLVLNPSTWTKVVSIIFLDSPVGTGFSYAKTAKASQSSDFQASDQAYEFIRKVQAHHSAN >CDP20542 pep supercontig:AUK_PRJEB4211_v1:scaffold_1333:29495:33138:-1 gene:GSCOC_T00013804001 transcript:CDP20542 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIRVALQTVVSLAADQVSLVREFPQELERLNRSAEMIRGFLAGADEEMHSHDPKLVGVQNWLKQLEEEVFKADNVLDELNYENLRQKVKYQNQLMKKKVFLCFSFFNTVRFRSRLASTIREINTNLERIHRDAEGLGLAYKRRVEEAFPTIAARAPTSRQTDSTIVRRDVLGRDEDESEIVKKLLTESESVISVIPITGMGGLGKTTLAKATEGDPREELVKKIQHELKEKRYFLVLDDLWNDQEVLLNDFFSTLAGLNTKKGSWCLVTSRLQEVATILSRHPQINFTRHELGRLCDDDCWSIMKKWANVGEEVPKDIKEQVLRRCDGLPLAAKLIGGLLSKKRKEEWLSILEESLLNGNQGGIEQILKVSFDHLSPAPVKKCFAYCSIFDQDTELEQDLLVQFWMAEGFLQPDSQNERMMEKIRCEYLRILLQTSLLEEVKNEWGIWYKMHDLVHDFAKSILNRNGSNQDRYLAVYSPERINEKASASLRTLFLKGGIADDMLSKFKYLHVLKLFGEDVEELPTSIGKLIHLHLLDISDSMITTLPESLCKLYCLQTLRIRKLEESFPKEMSNLISLRHLHYFHYDKGREIQMPSRIGRLACLQTLEFFNIGRQEEGRGIQELGTLQDLKGTLEIRNLELVNGKDDAELANLSKKPNLYRLVFEWGNRDEESDKCDEDALEGLQPHPNLKELQILKFMGDQFPQWSMNLTSLVELQVEDCTRCRKLPALAQLPFLKCLYLTRLENTTCIGLSFYTLKILSLKSMKNLEEWKDAHEMRSTAGEVHVMDVFPMLEELYISDCPKLTIIPTPSRFPSLDVLEITENCHVLLAEKVLSTITTLSCAACLKRLAIRYCENLRELPEDLYQFQALEHLEIWDCSRIKSFGHPNPKNSLGQESLLKSLRKLNLFWCGSLVSFPLDLQRTPSLESFSLCWCSNLITEMPSGFGYLTSLRKVKIGPFSDDSVIEFDWAGLASSSSLRRVTLYGMPDTKSLPHQLQDLTTITSLSLYHFGAIEALPDWLGNFASLEELFLWDWPKLEYLPSVDAMERLKLRRLEIRWCPLLNQRCTPQSGSEWPKISNIPKLETD >CDP22283 pep supercontig:AUK_PRJEB4211_v1:scaffold_13336:111:1488:1 gene:GSCOC_T00011613001 transcript:CDP22283 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSKVSGSSKLLKVLDLRGQETLTEIPNEIFNLFHLKLLDLYDTRVERVPKAIGKLQHLEYLNLGITEVRELPMEILKLQKLRVLRVYQLVDSSDDDYGYHGFKAPSNMGGLLALEIFGCIDASSGSIIIKEIGKLTQLRELRITKLRREDGKELCSSLANLTSLRELRVDSIGKGDDHEIIDLNHHHPSLVSSSSSSSFLQSLRMLLLCGRLEKMPQCI >CDP20544 pep supercontig:AUK_PRJEB4211_v1:scaffold_1340:30391:31698:-1 gene:GSCOC_T00001267001 transcript:CDP20544 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFKPSINTTTKYLDQCISKLKSRLLNLCFSFCRRTMALLLSYKPQTVVFMILMVSCTASTASFSPQPCKPTFSQKSLPLYEKDIELLQFPVNLEFLEAEFFLWGALGHGLDVVEPELPKGGPPPIGAQKANLDLLTKNIITEFAYQEVGHLRALRDTVGLFPRPQLDLRAENFAKLFDEAFGYKLDPPFNPYSNSLNYMLASYVIPYVGLVGYVGTNPLLQGYRAKRLLAGLLGVESGQDAVIRMYLYERAKEVVYPYNQTVAEFTIRISKLRNKLGKDGIKDEGIFVPLKLGAEGRTSSNVLSANSYSISYERGPEEILRIVYGTGNEHVPGGFYPKGGNGKIAREFLKKY >CDP20543 pep supercontig:AUK_PRJEB4211_v1:scaffold_1340:8723:10832:-1 gene:GSCOC_T00001265001 transcript:CDP20543 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVFLFYSQAILIVNCYIVWSSKKEELILSLVNGAGAFPNVTLGWVDVRDVAHAYILAFEIPSASGRYCLVERSAHASQVIKILRGHYPTHKFLDKLSDYSNLFYPTHTVSNEKAKNLGVRFIPLKVSLKDMIESFRKKNLVSILAKALLYCI >CDP20545 pep supercontig:AUK_PRJEB4211_v1:scaffold_1342:209:1393:1 gene:GSCOC_T00004187001 transcript:CDP20545 gene_biotype:protein_coding transcript_biotype:protein_coding MIYATWNHMLKEFSLVDGGSFSICLPGGSIPSWFTYQNSGPSITVKLPPNWYNNEFMGFAVCVVSDLIRTPFLLELQRRELLQKIPGFPVQFTLIDKEMNLFCYVFTMAYVGAENNIDSEHTCLGYLPFDNILDTQMFRSLQMLFVSILVYKYAYHWGYCNQTIINFIKINSLLSSEVFGAQIAYGAQISIVTALVSLHFTFTPLTITITTVRVPTVEPPLKRLRIANILLVSS >CDP20546 pep supercontig:AUK_PRJEB4211_v1:scaffold_1343:11651:14230:1 gene:GSCOC_T00004300001 transcript:CDP20546 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRVQNLALDLTIPGGENALLIRVDEKNSASCTHVPRQISKSELIQLLPNNWITDYENLHTQANEPLESSNSRISLTSEGRTSISFDHSHLKPLTSKSYPSIMIAQVPIQDIIQYFNQEGLPVYWFQDPISGHIFFDVCAICEECQLAEILGCDASDLTDYPKKRRKPRSIDPEPIEPRPCKPDLDPQDPDTDTFVSKRSKFNGYQISSDWIPRSFTESSPSSKKDLHPYYQECLNILEKEAKQSKTEWKPKPFWKNEPLVPIHTPQVQECFMFREEDFPKLETFNNNGARHTLKIQNVSLQFFQVEKLLDQTHSLPKSLADGAEMYIHNKYGSILNLTIGQIKQAVLLSLDDLCNKRK >CDP20547 pep supercontig:AUK_PRJEB4211_v1:scaffold_1344:10701:17415:-1 gene:GSCOC_T00012742001 transcript:CDP20547 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIGKTTLAQKVYHDPSVTSHFHVRAWCFCLFPGPVLTSQTYNKRDLLFEILACIDQKAQFSETKEDDDLPDIIRQNLKGKKYLIFLDDVWDIEAWHTLKISFPDDANGSRILLTSRDHEITGNRHVVQLLTDDESWELLQTNVANARVEVYPPELNVLGRKIARNCKGLPLSIVIISGILATLDQSGWVEVLNSLSSNIVCDTDQCKSILELSYIHLPDHLKPCLLYFGAFREDQAIPTQRLKWLWIAEGFVQKNESKSPEEIAEGYITSLIKRSLVTVGKQRSLGVVKTCHIHDLLHVFCKGKAKDINFLQLSKEAFDGPHHLRRLSYGSDLKYIAKSRIFFTRIRSLLLQSDYFSSKDTDYILSFVFVLKLLRVLDLGNTEIRYTFPCELCSLVHLRYLAVTFYSDILNIPLSLANLSNLETLLLTVYCRGHCLLPDALWNMQKLRHLHVHGAFIDISMVQMMRKFPNIRELKCCLLESKESTGESKTIVQMGFLTQLESLKLILGDVTAYHIEFHLPSSLKQLTLEYFPWNMFSTIKEIRNLEVLKLLRPADGVEEWDMEDMEEEEIFPKLKFLKLESLQTVRWRGSGHHFPSLEKLVLKRCKELEELPSCLWETLTLQLIEVHGCLRSTGDFVRDIKEQQVDYGNEDLKILISREIGLNKDTPPWSD >CDP20548 pep supercontig:AUK_PRJEB4211_v1:scaffold_1347:2573:16268:1 gene:GSCOC_T00007976001 transcript:CDP20548 gene_biotype:protein_coding transcript_biotype:protein_coding MESQLSESEEQNVTSGKDSTTEDSSGSTSDYESPDSISFPDEDKEESPLILMNSEERGRCHVREYFQGASYFTEMESKQALWYLVSEPDLRWGAQTKKVQKKHELLIETPKISSQPIPCFMFQEADFPPLESFVKNGSKHTPKIQNATPVILPIGESSTTDISYEILNWQTENSLVQNSALTSIHQNVSTVSQQVSTLGTSVNHLDTSVNSQKEEVSKMISVLEKRLASLKYDSPLNASSLANFVLNEEKETAFLQKQIATLKTTGEIPKYDIGPSEPPPRVSSGFGAIPLRDWPTSFYFGNITTPDPSVFFPDQPQPVSQKPWDIAEVLREYRRKKKEQAKKEKEDQKIEAEQKRQEEERQRKQKQIATETSLMYTVQSNPNFSAQQEQKAVEAMKVYENPLSSMLEDLHQQSIPWLTTSKVDNSMESQLSESEEQNVTSGKDSTTKDSSGSTSDYESPDSISFLWHEHIRLLNQFHDLVKQYGIMISEKKMILATKEISFLGMKISNGTCTPEQHVGQSVKDFPEENLTKQQVQQFLGVVNYVREFIPKTSKHISPLTKMQKKDPPPWGLSQTKAAQKLKETLLGFPTLFIPSTGKKILQTDAFGVA >CDP20550 pep supercontig:AUK_PRJEB4211_v1:scaffold_1349:23071:27305:1 gene:GSCOC_T00012670001 transcript:CDP20550 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDKRQTKANAPVSPKISEVEKDKGVYVGVEGDAEHEEGSPQHDSEYGGLGSIWNELSFALECSKDTAVDSSADKHTIVGAEDCDHSFILKDDIGSVCRVCGLIERGIETIIEYQYAKAKKSERTYRYERSLRNGLEQTKILPESIRWVEHEDSLTEVAVHPRHRKVMKPHQVEGFNFLTSNLVTDHPGGCIMAHAPGSGKTFMIISFLQSFMAKYPSARPLIVLPKGIISTWKKEFQRWQVEDIPLYDLYSSKSESRSQQLVILKRWANERSILFLGYAQFALIVCDMDMNETTLGCRDILLTCPSILILDEGHTPRNQNTDILKSLEQVQTPRKVVLSGTLYQNHVREVFNVLNLVRPKFLKMGTPKVIKRRILSKVQISSGRSSIAKFSDDDFYNLVECTLLEDEKFNRKVNIVQDLREMTSKVLHYYKGDFLDELPGLVDFTVFLELSRAQKKEVAELKELKSRFKINSEGSAIYVHPQLKKLLMYSGVKDRVDVEKIDLMLEKLKETEGIKAKFYLNLLQLCESTGEKLLVFSQYLLPLKFLERLTVKVKNYSLGKEMFVITGDSDSEIRDSCMEQFNNSSDARVFFGSIRACGEGISLVGASRIIILDIHLNPSVTRQAIGRAFRPGQQRKVYTYRLVASGSPEEDDHLTCFRKESIAKMWFEWNGCQVITVKPRRSLSLQKVNSQHSWRLVDNSLFLDGLLSHFVDNYRQVGHMIRFYNFDIADSL >CDP20549 pep supercontig:AUK_PRJEB4211_v1:scaffold_1349:16948:20327:1 gene:GSCOC_T00012669001 transcript:CDP20549 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSEGSQSSSTTPIAKRRLSFSSITTGLTSKEQKRAKVGEVGECSSRNFSACWCHDFKWKDQKVCPTVLGHEDPFSADNLLEDLDINRYGNVKKDIEYLIARRNQLISHGFAAKPLVPCKNLSTQKIPKKVDFGSDRYGSVTREIEELLAQSQLFGCLSSTNSTMSCKRLKKYLTKEDLESNPPSMPNIIDLEDDDEPPANGTVIKCEPAEEIDTSAKCLVVIDSVEEDPVNDNNFCHSRSSATKPVVQCWNLGVKKRPSEEDFQSNKYGSVTKEIEELLAQENQVFGVLGSTNSAMLRKRLGTEMYSCKEGWDLKPPSVPDIIDLESPDNGTIVESEPFEEIVREAKPLAVIDLDDEAFENDENSCPNEVADLAKTADNLLQNNVEVH >CDP20553 pep supercontig:AUK_PRJEB4211_v1:scaffold_1353:26148:26783:1 gene:GSCOC_T00003023001 transcript:CDP20553 gene_biotype:protein_coding transcript_biotype:protein_coding MITLYLQSFLSFISHVEKFRLVIRKFCCYKYVPSSSNLYNKQQDRPKIRHRGWCSSGPGQIHCRSLRKIHQRKGSFNVVLSGGSLIDTMRYLARAPYKESVDWPKWSIFWLDERVVPLDSKDSNYRLAWDALLKYVTSY >CDP20551 pep supercontig:AUK_PRJEB4211_v1:scaffold_1353:8201:8653:1 gene:GSCOC_T00003021001 transcript:CDP20551 gene_biotype:protein_coding transcript_biotype:protein_coding MACEIGNRTVLKFDTEDGVAVALARYIADLSERFIKEKGSFNVVLSGGSLIDTMRYLARAPYKESVDWPKWSIFWLDERVVPLDSKDSNYRLAWDGLLKYVTSY >CDP20552 pep supercontig:AUK_PRJEB4211_v1:scaffold_1353:16980:18146:-1 gene:GSCOC_T00003022001 transcript:CDP20552 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASKKLILFAFFVIASLVIGGPIAKVEASRVQPAEAEAEAEAIAEQLITTLESTLTDTGGRCPFPCTSDGECLNRLCAKTRCEYIQLSLIKHCV >CDP20557 pep supercontig:AUK_PRJEB4211_v1:scaffold_1356:28231:33162:1 gene:GSCOC_T00007982001 transcript:CDP20557 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIGATIQVTLETAVSLASDRIGMLVGFKKDLASMTRSLRFINARLSDAEEKQQNQDRVVHEWLNSLEEVAYDAQNVLDELHYESLRHQVESRNRHKLKVRDVKLKLKEINQQAREFGLDSKSVVPAALPAAPAVGGTRGRQTDSVVAPMIGRADDESKILEMLLGPSEKVVSVLPINGMGGLGKTTLAKSIYNNHQIDGHFQKKIWVCVSEKVPIVELFKLILLQLKKDKKDEVGDRQSIVQEIRKELGELRYLLVLDDVWDDSQALWEDFFNTLKGLNPTNGSWCLVTTRPGPVAQCVSRVFMMENEAYRLGKLPVDHCWSIVKEKAVRGGEVPDELQAIRERVIERCDGLPLAASVIGGLLSLHRKEEWRSILDNRLSSLSGDEDPVMQILKLSFDNLPSPYVKKCFAYCSIFPKDTEMKGDMLIELWMAEGFLQADANRQMMMEETGINYLRILLQSSLFEEIIDESETSTSYKMHDLVHDLAESMSKSTKVIIDRDTHTVDNGNQIRYLATHSFGGGEDREKLLESLSTSLHTLFVKGDLSGDMLMKLKNLYVLNLSNATSQELPVSIGKLIHLRYVNLEWSAISILPNSLCKLYNLQTLTLSHSRVEDLPKGMRDLISLRHLHYYKSDEAFQMPLEMGRLTCLQTLEFFNVGQEKGRRIGELGSLKNLKGRLKIRNLELVKDKEGAEEAKLSEKANLFRLELEWAYNREGDDYNDEDVLDGLRPHPNLEELAIWFFMGDQFPQWLMDLPTTLPKLTSDMLHSCTSLQKLSVTNCYNLVSFPLDLQQTPSLSELVLYRCPKLKASMTPKGFGFLTSLRKLAIDLYGLPHMESLPPQIQYLTTLTSLTLAHFGGIKALPDWFGNFATLERLYLYHFKELGHLPSEDAMRSLTKLKFLRVWVVQSFSHSRPTHKLINNQLSSSDQIQSSKYPYCRSLHTVNLPFQSRESFQSMFLIAQDCQLACTSCSEKIPCPSLLDVFEQLSSPGLFDEDLPS >CDP20554 pep supercontig:AUK_PRJEB4211_v1:scaffold_1356:357:3500:1 gene:GSCOC_T00007978001 transcript:CDP20554 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVISATIQVALETAVSLATDRIGMLVGFKKDVASLTRTLRFINALLADAEERQQNQDRGVQAWLKSLEEAAYEADNVLDELHYESLRQQVESRNRHKLKTDSVAIPMIGRADDESKILEMLLRPSEKVVSVLPIVGMVGLGKTTLAKSIYNNQQIDVLFDKKIWVCVSKKVPIVELFKLILVQLTGGKVEVDVRDVIVVKIGNHLGGKRYFLVLDDVWDDDEALWGDLFTTLTGLNPTIGSWCLVTTRLGPVAHSASGVLRMENELVYALGRLTDDHCWSIVKEKVVGGEEEPDELIAIKKKVIKRCDGLPLAASVIGGLLRLKSKEEWRSILENRLLSLSAGGDPVVQILELSFDNLPSPAIKKCFAYCSIFPKDTEMEGDMLIELWMAEGFLMAEGFLQVDLENKTMEEIGEYSFGEGTINLSESRSNLLHTLLLHTLFLRVDQICLSDDMLMKLKNLHVLNLSGAKNQNLPISIGKLIHLRYINFKDSRSKTLPDSVCKLYNLQTLWLNEFQMPLQMGRLTCLQTLEFFNVGQEKGRQIGEFGCLKNLKGKLEIRNLQLVKNKKGAKEAKLCEKTNLFGLTLKWAWDREGHNCDTDVLDGLQPHLNLEQLAIWHFLGDEFPGWLMDLPRTLPKLACLKFNYCNNSKDLLRLQNFTSLKELVIYHCRRLTNLPGDMLHSCASFQKLRVTSCYNLVSFPLDLQQTPSLLKLELYECPKLKTSKTPKGFGILTSLRELTIGPFSDDDDDDREKSSIYNEFDWFGLISSSSSSSSALRRLELYGLPHMESLPPQIQHLITLTSLTLSDFGGVKALPDWFRKFAALEELYLYGFKELRQLPSEDAMRSLTKLNRLWVLGSPLLKARCTPENSSPPENSGTNSQWSKVSHIQHLSIS >CDP20556 pep supercontig:AUK_PRJEB4211_v1:scaffold_1356:13984:14673:1 gene:GSCOC_T00007981001 transcript:CDP20556 gene_biotype:protein_coding transcript_biotype:protein_coding MATFMPTGGSMKINEMYIFKSLHLNKTQGDGSSSSFSHSLIARGCVRFAATFMIIGLGMVQVTIHIGLC >CDP20555 pep supercontig:AUK_PRJEB4211_v1:scaffold_1356:4379:4833:1 gene:GSCOC_T00007979001 transcript:CDP20555 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGCFFFYVILGTKLNREPHRFLLLTVFTFSKSYNSLLDGILFFSVPQMAKV >CDP20558 pep supercontig:AUK_PRJEB4211_v1:scaffold_1363:31423:32883:-1 gene:GSCOC_T00000479001 transcript:CDP20558 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLIRKTITLEVESSGTIDNVKAKIQDKEGNPPDQQCLIFTDRSVSSCFPNFSPINLPKFFPQLAFLLPLRDSFLVNGVAFKKTFSYAGFEQQPKKFVNPKILLLNIELELKSEKENAEIRSLQYQSIVDAEWNIIYDKLDKCVKSGLKSFYLGWLLYFADRDVFCAGHVIEEDLHRVAAATSGTIQTTVNNVIDEVQFCCSVLDIIYALHLFSELVKYAIFIALFLFRKFRKL >CDP20560 pep supercontig:AUK_PRJEB4211_v1:scaffold_1368:12604:14527:-1 gene:GSCOC_T00008845001 transcript:CDP20560 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTVSYLVLYFVIWACIYVLTSNLRSRKSAARLPPGPYSFPIIGNLHQLGKKPHQSFAKLSRTYGPLMSLKLGSKTTILVSSPTVAREVLQQYDQTFSSRAIPTAAQALDHHKFSLVWLPPSGQWRNIRKMCKENIFATPRLDANEGLRQEKLQELRDYLHRTLFSKDFANYGSDSSQELKEIVWGVMKNAGAPNLSDYFPVLQMIDPQGIMRDSKFYLQKLFDIFDDIIDERIQVRSSSETKKNDLLETLLDHSIKIEFEFGRKDLKHLLMDLFVGGTETTSVTVEWAMAELLRNPDKIAKARAELKEVIGQKEVVQESDISRHPYLQAVIKETFRLHPAGPLLAPHKANDDVEINGYMVPKNTQVLFNVWASGRDPATWSDPEIFEPERFLDSEIDVRGQHFELIRFGAGRRIFPGLPLAYRMVHLMLAAFIHNIDWKLEEGMKPEDLDMDENFGLSVHKALPLEAIPVKL >CDP20559 pep supercontig:AUK_PRJEB4211_v1:scaffold_1368:3024:4941:1 gene:GSCOC_T00008844001 transcript:CDP20559 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIVSYLVLYFVIWACIYLLTSNLRSRKSAARLPPGPYSFPIIGNLHQVGQNPHQSYAKLSKTYGPLMSLKLGSKATVLVSSANVAREVLQKYDQMFSGRSATGAAHTLDHHKVSMVWLPVSSQWRNLRKMCKENIFATQRLDTSQGLRQEKLQELRDYLHRSSVSRKAVNVGDAAFTTSLNLISRTLFSKDFADYDSDSSQELQEIVWGVMKNVGAFNLSDYFPVLRVIDPQGIMRDAKFYFQKLFDIFDDIINERLQVRGASETKKNDLLEALLDHSIKNEFEFGSNDLKHLLLDLFVAGADTTSTTVEWAMAELLRSPDKFAKARAELKEIIGQEEVVQESDISRLPYLQAVIKETFRLHPAAPLLVPHKANEDVEINGYIVPKNTQVLINAWASGRDPTTWSDPEIFEPERFLDRDIDARGQHFELIPFGAGRRICPGLPLAYRMVHLMLAAFIHNIDWKLEEGMKPEDLDMDEKFGLSVPKALPLEAIPVKL >CDP20561 pep supercontig:AUK_PRJEB4211_v1:scaffold_1372:5083:5985:1 gene:GSCOC_T00006064001 transcript:CDP20561 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREGTAVTGDMRSGNMIFEPILEDGVFRFDCSTDDRNAAFPSVSFVNPVDRETPISSDHRVPSYIPTFECVLGQQIVKIKFPYGTSFYGTGEVSGQLERTGKRVFLWNTSAWGFGPGTTTLYQSHPWVLAVLPDGGAIGVLADTTRRCEIDLRKEFNVKFIAQPSYPIITFGPFASPTDVLISFSRAIGIFLTYCLSCITQNLGDN >CDP20562 pep supercontig:AUK_PRJEB4211_v1:scaffold_1375:21055:21534:-1 gene:GSCOC_T00013147001 transcript:CDP20562 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREKEETELKVPESLTLCTPTIPVPPPQLDDPRSNSARSPESSDPKLLVNGDDNLRSSPLSPERSDLISVGAVDGKGVELNRSLKRPRDAVNRCSCTGCRRKLGLMGFRCRCGDMFCSEHRYSDRHDCSYDYKTAGREAIARENPVVKAPKILKV >CDP20564 pep supercontig:AUK_PRJEB4211_v1:scaffold_1376:17744:21551:-1 gene:GSCOC_T00004546001 transcript:CDP20564 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIAVLQTENNLGTGETLFSGIRDTCGKEDGSKIVFFVEIMAPVFSRDAWRCVWHTIQNDLVHGWGLDFALRRCVEPAHEKIDVVDSQWIVHQVIPSLGSQGQSENGKAPWQGVRERCRSEWAQFQDCLANADKKYIEQLGRTRYLNNRKFCILLSFLAFGSDWKRNIETLEKNSVTSLRTLINLGSEVYMQADVYVSSYHINVILLGCS >CDP20566 pep supercontig:AUK_PRJEB4211_v1:scaffold_1376:28926:30611:-1 gene:GSCOC_T00004549001 transcript:CDP20566 gene_biotype:protein_coding transcript_biotype:protein_coding MPSADHQFSPSPTKNETKDEQKSLVFDASFLQNQSHIPHEFIWPDHEKPCPEPPPMLHVPCVDLNGFLSADPLAVSTTTQLVRQACLEHGFFLVVNHGVDSQLLKAAHKSMDFFFDKPLQEKQRVQRKLGDHCGYASSFTNRFSSKLPWKETLSFRFCADDQQATNIVESYFLNAMGEDYREFGKVHQKYCEAMSSLSLRVMEILGISLGVGPIHFRDFFAGNDSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDHVGGLEVYVNGKWHSIPPDPEAFVVNIGDTFMALSNGIYKSCLHRAIVNSQTPRKSLAFFLCPKMDKVVSPPKELVSSDYPRMFPDFRWSSLLEFTQKHYRADMKTLDAFVKWLSTEGILKIQQLN >CDP20563 pep supercontig:AUK_PRJEB4211_v1:scaffold_1376:1194:8300:1 gene:GSCOC_T00004545001 transcript:CDP20563 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP:RNA uridylyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G45620) UniProtKB/Swiss-Prot;Acc:O64642] MAGGGSEAPPPVDGGEFLLQLLQKPSYHHHQLQHAPSPQPQPQLPPPPPAQILPHDPAVAAVGRTLPFQQLFNGPVPPWPHPHHQSPPPFVPHSYFLQNPSRPNPGPNPNPNSNSNFSSASPPGFNQGNFQQHNVQFNLSAMGNDIRKLGNLGNPSNPSSAYTQDPKDIIFGSLRGNDVLLNGNFGENLLVSQQEKSKLGVSLLEGNVGRLNGFEVEFLGNSVDQRVHSSGLRGYGNFRGDTSSRGTGYWGSQGPDRNDHRAAVPSGFIGQQMSGKEFDNRKKGFEHGGQKVGSNFGESRFLSGKNEKERRFLSRKAGNDGDCLDDRGLSVQLDCPGSPPGSTLQSVSASDVEDPMRTFHEEDSKGGKIFGNGRRKNSKEDGHNGHEDFDDLEEQLVDSLGLEDESDKKSDKKKRQGSSRDKDYRSDKRGKWPLGRRMRKMRSEVACRVDIDGLNAPFLAIYESLIPAEEEKAKQKQLLTLLENIVSKEWPEARLDLYGSCANSFGFSKSDIDVCLRIADANVKKSQVLLKLADLLQSANLQNVQALTRARVPIVKLMDPATGISCDICINNVLAVVNTKLLQDYAHIDVRLRQLAFIVKHWAKTRGVNEPYQGTLSSYAYVLMCIHFLQQRRSAILPCLQGMEATYSVTVDNVECAYFDQVENLIGFGSHNREGIAKLVWAFFSYWAYCHDYADDVISIRTGTVLSKRTKDWTRRIGNDRHLICIEDPFETSHDLGRVVDKFSINVLREEFERAAEIMQYVPNPCAKLFEPYVPT >CDP20565 pep supercontig:AUK_PRJEB4211_v1:scaffold_1376:21863:22911:-1 gene:GSCOC_T00004547001 transcript:CDP20565 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSNCTGESNGLFEKKASKFPTTRSFKSLEDSSVKAAECGENTTLSNSSSSSGTLGSCSKTSNPAPAIFPSCKNCTNAFSSMMAPRAALIITPCLPSAWRTSLLIR >CDP20567 pep supercontig:AUK_PRJEB4211_v1:scaffold_1377:7091:12733:1 gene:GSCOC_T00004840001 transcript:CDP20567 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKAIYKNKQIVGHFDKEMWVCVAEKVDRIEEVFKMILESLIGGKVEGGRSEEIVKKIQDELKEKRYFLVLDDLWNDQEVLLNDFFSTLAGLEAKKGSWCLVTTRLQEVAIILSRHPQINFTRHELGRLCNDDCWSIMKKWANVAEEVPKELEDMRKRVLRRCEGLPLAAKLIGGLLSKKRKEEWLSILDESLLNGDQGGIEQILKVSFDHLSPAPVKKCFAYCSIFHQDTELEQDLLVELWMAEGFLQPDSQNERMEKRGYKYLQILLQTSLLEEVKEERRTWYKMHDLVHDFAKSILNRNGSNQDPDARKLPTSIGKLIHLHLLDISGSRITTLPESLCKLYCLQTLRIGMLEGHGIQELGTLEDLKGSLEIRNLELVNGKDDAELAKLSKKPNLHRLVYEWGNRDRGSDNCDEDVLEGLQPHPNLKELQILKFMGDQFPQWFMNLTSLVELRVEDCTRSRKLPALGQLPFLKRLYLAGLENIRSIGLSFYTLKKLSLERLKNLKEWKDAHEMRSTAGEVHVMDVFPMLETLYISDCPQLTTIPTPSRFPSLDVLEIKKNCHVLLAEKVLSNIANLSIDGCDSLPTDTLERLCLLPTLQHVELLSADNITTLRGMSCAACLKRLVVYFCDNLRELPEDLYQFQALEHLMIDGCPRIDSFGYPNPKNSFGQKSLLKSLELFTVYWCDALTRLPVEMFESCTSLRELTLSHCRSLVSFPLDLRRTPSLESFSTVWCPNLISEMPSGFGSLTSLREVRIGPFSDDSAIEFDWAGLASASSLRHVSLHGTPELKSLPHQLQCLTTITSLSLDYFGAIEALPDWLGNLASLEELIVYDCQKLEYLPSTADMERLKLRRLEISLCALLAQRCTPLSGSEWPKISNIQERDIR >CDP20568 pep supercontig:AUK_PRJEB4211_v1:scaffold_1377:29746:34237:1 gene:GSCOC_T00004841001 transcript:CDP20568 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAVRATIKVGLNAVVSLAADHVNLALEFPEELERLDKSAAMIRGFLAGADKDMRRPGVQNWLEQLEDEVFKADNVLDELNYENLLEEAFPTIAAGATTSRQTDSTIVRRDVLGRDEDESEIVKKLLTETESVISVIPITGMGGLGKTTLAKAVYNKSQIHKHFDKKIWVCVAEKVDRIEEVFKMILESLIGGKVEVDRREEIVKKIQDELKEKRYFLVLDDLWNDQDVLLDDFFSTLAGLNAKKGSWCLVTSRLQEVETILSRHPQINFTRHELGRLCDNDCWSIVKNWANVGEELPKELEDMREQVLRRCDGLPLAAKLIGGLLYNKKTKEEWLSILKESLLKGGIEQILMVSFDHLSPAPVKKCFAYCSIFYQDTELEQDRLVELWMAEGFLQPDSQNERMMEKIGCEYLRILLQTSLLEEVKYERRTSYKMHDLVHDFAKSILNRNSRNQDRYLAVYSSERETINEKSSASLRTLFLEGGIADDMLSKLKYLHVLKLFGADVKELPTSIGKLIHLHLLDISESSITTLPESLCKLYCLQTLRIRKLEEGFPKEMSNLISMRHLHYDHARTRREIQMPSGIGRWTCLQTLEFFNIGCQEEGRGIQELGTLQDLKGSLEIRNLELVNGKGDAELANLSKKPNLYRLVFEWGNRDRESNKCNENVLEGLQPHPNLKELYIRNFRGNQVPQWLVNSSSLVELHLVNCRELPTLGQLSSLQHLYLCGLENTTCIGLSFYTLKILTLESMKNLEEWKDAHEMDAFPVLEKLSISGCPKLTTIPTPSRFPSLDVLEITENCHVLLAEKSSLRIYGCNSLPLDMLERICLFPTLQRVELRHADNITTLRGMSCAACLERLTVYYCWNLRELPEDFYQFQALEHLQIEGCRRIDSFGYPNPKNSFGQKGLLKSLKQCFVHRCNALTRLPVEMFESCTSLRELSLYDCPSLVSFPLDLRRTPSLESFSIDGCDSLPTDMLERLCLFPTLQRVELRFADNITTLRGMSCAACLKRLVVFDCENLRELPEDLYQFQALEHLEIQYCRRIDSFGYPNPKNSFGQKGLLKSLEQFTVWGCDELTRLPVEMFESCTSLRELNLFDCRSLVSFPLDLRRTPSLESFSLWRCPNLIAEMPTIEFDWAGLASSSSLRHVSLHGMHDRKSLPHQLQDLTTITSLYLHDFGAIEALPDWLGNLTSLEDLVLFDCPKLEYLPSVDAMERLKLRRLKILCCPLLERRCTPQSGSEWPKISNIPQREIY >CDP20577 pep supercontig:AUK_PRJEB4211_v1:scaffold_1382:389:3979:1 gene:GSCOC_T00004276001 transcript:CDP20577 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSSTLANIFLLLLVAMIFSASHVSASKQFKNETDRLALLEFKKQIYDDPSGVLNSWNHSQHHCQWEGVTCSTRHQRLVTISLFMNKLEGKIPIDQLSNLKKLENFYLYTNNLTGEIPSSIGNLSSLTSLSLAFNNLEGNLPMEMGLLKSSAITVISVTGNSFQGNLPNNIGSTLPNLQLLYVGENNFYGNFPTSITNSSGLEILDLALNKFAGQIPTNLGDLTQLQQLTLHGNQLWGTIPEGFGNFVNLYILSLEINSLSGVIPRDFGKLQNLQALYLYKNELSGRIVPTLCNATALYDLDLSINRFEGGNIFDNLIHLMDFIVSHNQFSGGIPISLADCSNLENLYIQDNFFQGTIPPNLASWKSIQKLDLSSNNLTGPIPRELEKLQFLSYLNLSYNDIEGEIPNTGIFMIKGKNRGKLKVVILLSIVLPATLLVLGALLLYFLVYRKRERRMVAGFSGMPSRTDELLRLSYHELLHATSGFSPENLIGSGNFGSVYKGRLEKHGNKLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIVSYCSSIDSKGDEFKALIYEFMENGNLDLWLHPSETTDQTTSSRSLNLLQKLNIAIDVASALQYLHDHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPINTSSEQRTSSTIAIKGSIGYAAPVSEIVDPLLLLEGNENRNMTPGGETINGGREIDCIISLLKIGLKCSARLSNDRMHMNEVVRKLHLIKDVFLGVRVHQENFEV >CDP20578 pep supercontig:AUK_PRJEB4211_v1:scaffold_1386:6754:7926:-1 gene:GSCOC_T00010845001 transcript:CDP20578 gene_biotype:protein_coding transcript_biotype:protein_coding MITTSTNRKHLFFTKVHQLFTAFSNEVILAIDEYDGLGQNQLFKAVEVYLGSILSPSTKRLRATLPQKEKKINVFMESNEELTQQFNGIQLKWRMVQDMKLFMLGNDRMMGHRGNPWQSVNLDHPATFDTLAMDTDDKKMVINDLENFVRRKELYRKVGKAWKRGYLLFGPPGTGKSSLIAAIANYLKFDIYDLELTDIRTNSDLRRYLISTANQSILVVEDIDCSIELTNNRPKASRVPMHPHQYGHENRFCMTLSFA >CDP20579 pep supercontig:AUK_PRJEB4211_v1:scaffold_1393:13706:23439:-1 gene:GSCOC_T00010624001 transcript:CDP20579 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTAQAWFSGGPSSDLQKAPSSLLADWNAYATSRESEDAESSSGLGFDLEAAVRTANDKVSGTFNVVSKGVRDLPGNFQSATSSVPSGKSLLYFGILLASGVFFIIIAFSIFLPVMVIAPQKFALCFTIGCAFIIGSFFALKGPKDQLGHMSSKERLPFTLGFIGSTVGTIYVSMVLHSYILSVFFSLLQVIALSYYAISYFPGGSVGLKFLFSTLTSSLLRCFGR >CDP20580 pep supercontig:AUK_PRJEB4211_v1:scaffold_1394:25639:26078:1 gene:GSCOC_T00011330001 transcript:CDP20580 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKLAAEKAPAAKKAPAEKKPKAGKKLPKEGGATAGDKKKREASRLARYNKKPTITSREIQTAARLVLLGELAKHAVSEGTKAVTKFTSS >CDP20582 pep supercontig:AUK_PRJEB4211_v1:scaffold_1395:26618:30601:-1 gene:GSCOC_T00010630001 transcript:CDP20582 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQVASRLKPWQELRGKVVMVTGASSGLGKEFCLDLAKAGCKIIAAARRIDRLETLCDEINRMSFNSDESKTSELRAMPIKLDVSADGPAIEADVQKAWDAFGRIDALVNNAGVRGRVHTPLDLSEEEWNNIIKTDLTGTWLVSKYVCIHMRDAKQGGCVINISSIAGLDRGQLPGALAYASAKAAINTMTKVMAMELGKHKIRVNSIAPGIFKSEITEGLMEKDWLKNVTLKTVPLGTFGTIDPALTSLIRYLILDSSEYVSGNIFIVDAGATLPGFPIFSSL >CDP20581 pep supercontig:AUK_PRJEB4211_v1:scaffold_1395:16725:16922:1 gene:GSCOC_T00010628001 transcript:CDP20581 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEMYVGEIDPSTLPPKTKYTPAKQPHYNQDKTSELVIKLLQFLVPLITLGVALGIRFYTKSSA >CDP20583 pep supercontig:AUK_PRJEB4211_v1:scaffold_1397:18921:20202:1 gene:GSCOC_T00003277001 transcript:CDP20583 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFRWGCSVPIRRPITINSPNISTSRVDSNATSSTCLLLAQQMGKNVNLLNNFSIICPKISTRFCKPRIQPIYRPKFTISF >CDP20584 pep supercontig:AUK_PRJEB4211_v1:scaffold_1397:20256:22838:-1 gene:GSCOC_T00003278001 transcript:CDP20584 gene_biotype:protein_coding transcript_biotype:protein_coding MESNIDEALTVKAYAEKRFVERDFAGARNCALKAQMLCPELEGIAQMVATFGVYTASEVKINGEFDFYAILGLNPSADKAKLKKQYRKMAVLLHPDKNKTVGADGAFKLVSEAWTVLSDSAKRNSYDHRRNYFAAHSTGVSGFDNYSKSSGSHQRLDTFWTVCTSCHVQYEYLRKYVNKKLSCKNCRGVFVAVETGVAPVNGSFPYCPWSFVPENGYASHGCGVTYMPTASAYCSGNGISGHHSGHGPGEYVSNVSFQWTSFTGHSAGVSDANGLSAVTEASHQVNGKVNRGKANGRHRMRNATGDVSLNSCTVYAEQPAPKVTRPYKKRKFDSGGNCASGISDSAKSVAEERLANANGSLKINAKPSTPSDTSLRRCSAAPAFDARQLLIDKARTVIRQKLEEIKLASAAAAAAQAEKKRKAEAEADKFSEAPKRTSMTSIRTELKNALSQSRYGLYMMKKMVCHVYIV >CDP20585 pep supercontig:AUK_PRJEB4211_v1:scaffold_1398:10191:10874:-1 gene:GSCOC_T00011283001 transcript:CDP20585 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLKTCFEVFLCMKSYDHKLFSQSDGAITRLMERMSWLMEYGEGQIFYVRVVEFNTGSIHEILIHIIESGRINLFSNIVLVDGNFLVRGCPCLVRGTCYEKYLVSYFSSAKL >CDP20586 pep supercontig:AUK_PRJEB4211_v1:scaffold_1398:11573:15391:-1 gene:GSCOC_T00011285001 transcript:CDP20586 gene_biotype:protein_coding transcript_biotype:protein_coding MADAILGSAVQVLVEKAINLASEQIGQFVGFKNDLKKLQKTLIGIQAVLRDAEKKQVTEDSVKIWLEDLERVAFDADNLLDDFNYEMIRRKVEIQNQMKRKVCFFFSVSNPIAFRCRMANKIQKINMDLISINERATKLGLLRSQNGASDAPAFMESRETDSVTTDTVGRADNVSAIVTELTATSNNETISVLPIVGMGGIGKTTVARKVFHDLETHFDERMWVCVSDFEKNFDANRLFGLMLESLKVPMPEVASKEAKLGKLKELLDGKEPNGKKPLKYLLVLDDVWSEDPAPWDGFLDSLRGISSAKGSWILVTTRNEQVATMTAISSHPCSLEELSDYDCRLILEKKAFGSREAPDDLKELGLELAKKCQGLPLAASVLGGMLRNKGRDVWHSILDIGLQNIGGNRNDYINKILKLSFDHLPYPSLKKCFAYCSIFPKDFQMERNQLIQLWAAEGFLHPNPRDKMCMEEVGKLYFNILLDGNLFQEAEKDDYGNVWNCKMHDLVHDMVQSISNSKILRLTESSSSTNMETSSIRYLALERSPEEMEMSFFMLRVLNLRASSVEELPKSIGKLTHLRYLDSSETEIETLPESLCQLYNLQTLRVRYCHSLKKFPKYFKNLVNLRNFDFFSEDKSSDIMPLEIGQLQFLQTLPFFNIGEERGRQIGELRNLKNLSGQLELRNLELVKSKEEAESANLIGKPNIDELRLLWNEIDNSRNNDSEYNRVLEGLHPHQNLKGLVIERFFGGQLSTWIGKLGKLVKFELRNCKSCKELPTLGNMPFLRSLHLKGLDSITSIGPSFYGRSGVHSGSTSQRPLNLFPALEYLILKKMQNLREWMEATVDDGTVVVFPVLHTMRITNCPQLATFPNYFPRLEQLNIRKTRNGSALMTYICSGVSTLTRLFISSVNGLTKVPNELFVYECPNLISFPINLTRTPSLSFLSISLCEKLTDLPKGKLCSLTSLRDLDIGPFSETTTELHSFLDLFDALPPPHPYFPSLSKLGLFGWPHWESLPEQLQRLSALTTLGLGGFGVKSLPDWFGKLSSLEELNLYECEKLENLPQSMRSLTRLEMLSINDCSLLKVRCNPESSSTDPNSEWSKISHIPDITIDLQQIRG >CDP20587 pep supercontig:AUK_PRJEB4211_v1:scaffold_1399:11618:15542:-1 gene:GSCOC_T00008869001 transcript:CDP20587 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLFPITLYFPDNQSDSFRLKGSSIYLGKLSGDECWSIIKGKVMSAGKEVVLGTLISIAADRIGMVRGFKADLIGGLLHTNRREKWHSIVQESLLNDQSQINQILKVSFDHLSSPSVKKCFAYCSIFPQDTELGEDELIEHWVAEGFVLPDRENTGMMEERGGEYLRILLQSSLLEKVGDEGSTWGTYYKMHDLVHDFAKSILNPESSSQDRYLALNSSEGLAENTTRTIPASIRTLFLHLEGGISVDMLLRFKYLHVLRLYGDDVKFLPSSIGKLPSLLLLDIKSSRIRSLPESLCKLYNLQTLTMRDDALEGGFPKRMSDLISLRHLNYHHGDAELKMPVQMGLLTCLQTLKFFNVSQEKGRGIEELGTLKYLKGSLEIRNLGLVKGKEAANQAKLFEKPDLSRLVFEWKRGDRNNCEEDVLEGLQPHPNLQKLEIDSFMGNKFPQWIINLPKLVTLWIENCHRCSELPSLGQLPSLKRLYLNRLYLIRSVGDEFYASGSSTRRQKFFPALEELCVEHMGNLVEWEGLTTISDKMFQSCRSLRSLSVERCPNLVSFSLNLQETPSLEEFGLVDCPKLIPHSFKGFAFATSLRRLSINSPFSSDDSPVDDFDWLASHGVPATPASILDYPHFTKSSQLWRNRSATGLDWKPCVP >CDP20588 pep supercontig:AUK_PRJEB4211_v1:scaffold_1402:11187:12068:1 gene:GSCOC_T00003180001 transcript:CDP20588 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTAVKYNMEIENHHMYVHFFLSTFVCCAIKIIWLEQNVTWHRNTEYRKLVNTEYRKLGNLKFDLMLFTELKMNTKFPWTVPLSDILKLHVPGSNDKKAKYILYQHVCSSIKPNIAQDEADSIAFYQVYNKKNRDKFKIPKFLEHIVDSNKTPVVTLRTGYKAFQVGMQGRQFTSYWEIFVKTHELELGDTLVFIPESINSFTVQIYKPNGVEKLLSWYHKYYVYSYL >CDP20589 pep supercontig:AUK_PRJEB4211_v1:scaffold_1402:14310:15511:1 gene:GSCOC_T00003181001 transcript:CDP20589 gene_biotype:protein_coding transcript_biotype:protein_coding MKRILKKRSVKMIARDFIHLLFFTGFFFGHGELDVSFLMVLMNWTPMCLIAFRSVTFLFFWLCGHCKKLPPEYEKVGASFKKVKSVLIGKVSLVFLTCLGTPSYRLCSIFRV >CDP20591 pep supercontig:AUK_PRJEB4211_v1:scaffold_1404:6274:6968:1 gene:GSCOC_T00004049001 transcript:CDP20591 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCAPQYENSILRNDSCPNFSSAGIGLSSRRVKLKDKRERFGGKSGGSILKPDPDSLSAVT >CDP20592 pep supercontig:AUK_PRJEB4211_v1:scaffold_1404:7024:9095:-1 gene:GSCOC_T00004050001 transcript:CDP20592 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISFVIERTGDLLIQKLVFLKGVRRQVERLQYDLVRMRCFLKDADQRQDEDARIRNWVSEIRAAAYDAEDIIEIFASKVEFIKDKGLVTQLTHYPLKIVNVYKIGKEIGSLQMRINDIADSREKYGIKNLGEGTSTQGEELQRLRRSSPISEDTDIVGFEKITKSLAKELLKGDKNRRVVSIIGMGGAGKTTLAKKVYNHADVRARFNCRVWVCVSSIYNHKETLRTIIKQLNPITNELLDMLEKMQEQDLEERLYKDLQDKCYLVVLDDVWKEEAWDCLARRAFPDVNSSRVLLTSRKRDVAVHADALSIPHELKTLGEDDSWQLFLKKALGDGANAVCPSDLEVVGRKIAGRCAGLPLAITVIGGLLLGKKKLMSEWEKVLDNFSAYLSRSQSDAGAILELSYADLPANLKFCFLYLGLFPEDSVISVRKLIHMWVAEGIMQKRDAKNLEETAAYEDVERLCSRNLVQVVEMTVDERIKSCRVHDLLRELAIRKAEDENFFQIHETRDDEISAKSRYLAVHVLPWDTNYFGTSTPPLRSLLFFNVHDYGENISLSFGSFRKLRILDLEDVKMYSNLPEGIGKVRLLRYLGLRRTSIGRLPDSFGQLRNLQTLDVRNFHRVIVSNFIWMLESLRHLYAYKVECDSSETGDLGG >CDP20590 pep supercontig:AUK_PRJEB4211_v1:scaffold_1404:3930:4683:1 gene:GSCOC_T00004048001 transcript:CDP20590 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHIEKHLYVGFTFAQTNTCNGFYCDYFSPNKAYKPKMCYTWFLEFYDRNLFFLKFLLNYCCDCLLSFLSLWEYHGGTNLGRIAGGQFIATSYDYDAPLHEYGM >CDP20593 pep supercontig:AUK_PRJEB4211_v1:scaffold_1407:17990:18277:1 gene:GSCOC_T00009625001 transcript:CDP20593 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRLKVYEGVPPPYDKTKRMVIPDALKVLRLQAGHKYCLLGGLSSEVGWNYADTIRELEAKRKKRDLAEELMLAARSLNPPSSPTKFHQAGAR >CDP20595 pep supercontig:AUK_PRJEB4211_v1:scaffold_1411:19161:19642:-1 gene:GSCOC_T00013329001 transcript:CDP20595 gene_biotype:protein_coding transcript_biotype:protein_coding MKWILHDWNDEECVQMLRKCKEAIPSKENGRKVIIIDMVLNDQQKGADDHEAIESQLFFDMLMMVLVTGKQRNEREWAKLFSEAGFNDYKTTLVLGRIH >CDP20594 pep supercontig:AUK_PRJEB4211_v1:scaffold_1411:1343:2459:-1 gene:GSCOC_T00013328001 transcript:CDP20594 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAENLVELIEAQTHVWNAMFHFKKSACLGIPDVISNHGKPITLSDLISVIIIDSVMGSQIQDETSFETEFSLDMQMLVMLGPAKERTGKEWSKLFSDAGFSSYKVYPVLGMRCLIEVYP >CDP20598 pep supercontig:AUK_PRJEB4211_v1:scaffold_1412:13419:15678:1 gene:GSCOC_T00007584001 transcript:CDP20598 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADVQSPDKFPTIDQCESSGRENHTVVADLDGTLLIGSSSFPYFALVAFEAGGILRLLFLLLVSPIAAILYYFISEAAGIRVLIFATFAGMKVSDIESVARAVLPKFYTSDLHPETWRVFSSCGKRCVLTANPRIMVEASLKDYLGADMVFGTEISIWRGRATGLVNGPGVLVGRNKAEALQKAFDAASAPEIGFGDRKTDFPFMKLCKESYVVPKKRKVQPVSHDQLPKPIVFHDGRLVQKPTPLMALLTILWIPVGFPLACLRVAAGALLPMPLVYYAFRALGVRVNIKGIPPPPAQKSTGQTGVLFVCSHRTLLDPIFLSTALGRPIPAVTYSLSRLSEIIAPIKTVRLNRDRIKDAKMIKNLLEEGDLAICPEGTTCREPFLLRFSALFAELTDHLVPVAMNNRMSMFHGTTARGWKGLDPFYFFMNPSPVYEVTFLNKLPQELTCGAGKSSHDVANYIQRMIASTLSYECTSFTRKDKYTALAGNDGTVSDKPAIAAKNIMGC >CDP20596 pep supercontig:AUK_PRJEB4211_v1:scaffold_1412:877:2078:-1 gene:GSCOC_T00007581001 transcript:CDP20596 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCSTFSVWILVLLCHRISSGSSGVVEACTFYVSNKCPFPIWPATAPNQGFPVVANGGFYLPSGRLRKFQAPGDWSGRIWARTGCNFDYSNDGQACETGDCNGRLECAGAIGVPPVTLVEFTLQVDKRQPSFYDVSIVDGYNLPVSVTPYPTAPKCYIGGCFSDIKKECPGELAVLNELGQVVACKSACLAFNEDRFCCRKKYGSPATCKPDVYSRFFKAECPYYFSKPFDTPSPLVNCPADQYIITFCPDGWGAPATSLIMST >CDP20602 pep supercontig:AUK_PRJEB4211_v1:scaffold_1412:27090:31199:-1 gene:GSCOC_T00007589001 transcript:CDP20602 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSKPSKCVVGGLNLRRKGRRIGRPRRRTKTQSLSNKLSKVEPSHSTDLPHRNPTYQGKSEAWFDPDTVIDSDGDDDFYSVQDDMSQIESGSSSDVVTPRYSDNRHFNVAYPSDPEVKPSGPSSSNLEAHTAKEDSAREGNMNNAQLQADDHQEQANDQAHFDIVSSHSVDRSAVKSETAKLHNCGPQAHNCLPCLVCSTSREEKTAKSLAPHSTNFKKRSSFKLSFKRREGQASSALLSPRATVQRPLAGSQVPHCTAEKRISECWSEVAPNTFRVRGQNFMRDKKKEYAANYAAFHPFGVDVFLSPRKIDHIARFVELPSIDSAGEVPPILVVNLQIPLYPATIFSSECDGEGMNLVFYFKISENYSKELPVQFQENIRRIIDGEVEKIKSFPLDTNAPFRERLKILGRLVNMEDLNLGVAEKKLMITYNEKPVLSRPQHEFYLGANYFEIDLDIHRFSYIARKGFEAFHDRLKQCVFDFGLTIQGNKADDLPERILCCIRLNKICYSNYNQLSV >CDP20600 pep supercontig:AUK_PRJEB4211_v1:scaffold_1412:24017:25441:1 gene:GSCOC_T00007587001 transcript:CDP20600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB35 [Source:Projected from Arabidopsis thaliana (AT3G28470) UniProtKB/Swiss-Prot;Acc:Q9LSI7] MGRPPCCDKANVKRGPWTPEEDAKILAYVSRHGIGNWTLVPQKAGLNRCGKSCRLRWTNYLRPDLKHDNFTPEEEECILELHRTIGSRQQLPGRTDNDVKNYWNTKLKKKLFNMGIDPVTHKPFSQIFTEFGKLGNLPSTINQNALLNTEPRIKPEPLLLPQKFPNANNLQIIEQYGRTLSGNFLGQAASQLQINGQETLILPHLLGGISTSASSPSSSSSVMNFGSQSFPCEPPQLQKSPSSPSNWNETILVDPFPSTGIEQNEEDYKIRRISSPDDISSAAGASCYGLKPEPEAMRYMESSSSAADSFLESILASDSQMQLEFPELLDGFCDY >CDP20601 pep supercontig:AUK_PRJEB4211_v1:scaffold_1412:26239:27021:1 gene:GSCOC_T00007588001 transcript:CDP20601 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLQRSSYSFRRQGSSGRIWDNRLAGREIKVGCEPEANGTDHEKVQQNRSIHNHIDGNSRPALFADMTAAPKANKVRKFSIGAIFGSCARPSGAS >CDP20599 pep supercontig:AUK_PRJEB4211_v1:scaffold_1412:18692:23233:1 gene:GSCOC_T00007586001 transcript:CDP20599 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVILLLLLCLLSIPSDLSVSAGQFSRWGGGKKLKGSAVKLATGASSAPFDPTRVTQLSWKPRAFIYRGFLTNEECDHMINLAKNKMEKSMVADNDSGKSIESEVRTSSGMFLKKHQDDIVGGVEAKIASWTFLPVENGEAMQVLHYEHGQKYEPHFDYFHDKENQKLGGHRVATVLMYLSDVAKGGETVFPSSEKKETQPKGDDDWSDCAKNGYAVKPRKGDALLFFSLHPDATTDPLSLHGSCPVIEGEKWSATKWIHVRSFDALSTSEDCVDKDPNCPHWAASGECEKNPLYMVGSEEAVGYCRESCKICSS >CDP20597 pep supercontig:AUK_PRJEB4211_v1:scaffold_1412:9545:11918:1 gene:GSCOC_T00007583001 transcript:CDP20597 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGTIYGEADATKVSFQDLSIYSNTSMELSSSTVTVSGTTSVGSKLSDYQLEEKEMVSIDVELNKGGECVESGKSSLSSSSYCNSIDVNEASFRSYCPSKPHKGNDIRWDAIQSVLARDGQLGLGHFRLLKKLGFGDIGSVYLAELRGMKCLFAMKVMDKGTLAGRKKLVRAQTEREILGLLDHPFLPSLYSHFETEKFSCLLMEFCSGGDLHLLRQRQPGRHFTEQAARFYASEVLLALEYLHMMGVVYRDLKPENVMVREDGHIMLSDFDLSLRCYVSPTLVSSTTELSCKISSYCIQPSCKLPVCVEPSCFQPSCFRPSLFNSKTLKLRGECTPAVASDSLPVLIAEPTAARSMSFVGTHEYLAPEIIRGDGHGSAVDWWTFGIFLYELLHGKTPYKGNGNRETLFNVVGQPLKFPESPTVSFAAKDLIRGLLAKDPQKRLGFKKGATEIKQHPFFQGVNWALIRSTQPPEIPRPVDLAFWNQTFKPPLPSNGDKGTPDSDRSSGPYLDFEFF >CDP20603 pep supercontig:AUK_PRJEB4211_v1:scaffold_1414:15609:16608:1 gene:GSCOC_T00003074001 transcript:CDP20603 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFSFYISFERTILNLWYSSVIYHHIYKQTTPE >CDP20605 pep supercontig:AUK_PRJEB4211_v1:scaffold_1417:18685:21279:1 gene:GSCOC_T00013791001 transcript:CDP20605 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQSLSLSLSLSSVLHFHNVWSRLDFFAHPNCCLNSSKTNDFLDHEPQATVTKNLIHLAQSKYSSPRMTRMYIVSPKLGKFTWTPTKCSALFKITNILPLFLSFVSQDYHSDVDDVKTLVNSLKNHDIDKLLSQHKEAYAHLDFIFGENAEQVVYNPLVAFFKLQ >CDP20607 pep supercontig:AUK_PRJEB4211_v1:scaffold_1420:29346:33480:1 gene:GSCOC_T00002044001 transcript:CDP20607 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLAASKKQSRSSYALAFEQKEHRVLVELIDSLLDYLTDLLGSCASFQVLDEDDLAESLRQVLLRNRYLLVLDDLWDIEAWNLLEKSLPNDVNGSRILFTSRFQNLSSKFKPNTEPYYLRQLTDEESWTLLQKKLSDKGGCPPTLSEVGYQIAKICRGLPLTIVLVAGILANTAQDSWEEVTKSLSSTVLDNEYCMKTLELSYNHLPDYLKPCLLYFGAFREDEVVNVRRLLWLWISEGFVQKAEEKSLEEAAYNYLMALINRSLVMVTDQRTTSGAKACQLHDLVREFCVEKAKEESFLHIIRCWKGPFCLAEPSSHHRVWIQSSWELKTWELMLIFPNLRCLLLFGYHAFDCEEKPSRILLPKLLRVLDLGDWGFGESFPMEVLLLVHLRYLALCGITSIPSAIVKLSRLETLIVKHPESNIVLPNTIWDIRTLSYLHDESREATRNCDEILVFDCLTQLESLQLIGFRGYGFNFPLNLKKLSLSENCQPWSEISTIGKLPNLIVLKLHDSSFIGEEWVMKEGEFPNLRVLELLRLDIRNWTASSDDFCHLEKLVVRHCKKLEEVPACLGECPTLEMIQVENCSESLANSIKQIQQEQMDSGNEVLKIIIENCCDDTLILSTE >CDP20606 pep supercontig:AUK_PRJEB4211_v1:scaffold_1420:17536:18045:-1 gene:GSCOC_T00002043001 transcript:CDP20606 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLTGKQPIQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRFQNIEEEMVLMLQIAMACVAKVPDMRPNMDEVVRMIEEVRQSDSEHQPSSEENKSKDSTMQTPRLLGSSPCLCFLQFPICILKEYSVLIMEESHKELVFYWKTGLFLFDPINKFIYEKMGTLFL >CDP20608 pep supercontig:AUK_PRJEB4211_v1:scaffold_1422:1107:4913:1 gene:GSCOC_T00003849001 transcript:CDP20608 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPILNAVNRSALSAVQAFAFSALATSLIGYAVSFPKQLADTLEFIFAKSNSRQMRSQGPQGFQNEVGKIGQTTFTYAQGPGNAGRISYSGLNVHSGSKFNSACVWNSLRRFVMPFVLGLPVLVAALFPSTFSRALDYAGIYANCFLFGILPPVMMHVYQQQTKRRITILPGGNFGLLVLFSIAVVLSIRH >CDP20609 pep supercontig:AUK_PRJEB4211_v1:scaffold_1423:23973:27565:-1 gene:GSCOC_T00001484001 transcript:CDP20609 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKTIMTSKYLPFSLLFLLSWIITFGICPTVGLLAKLGCSDTCGSVRIPYPFGIGPGCSLNESYTIICASSKPYLSSLNLEVLKVSLRNLTVTVNTPITASSCSSGAQTGAISSWRSNSSDLAGTPFFYSKTYNKLVLFGCGTAVLLNQNNNKILSGCTSICKSDTPPQPININSTCYNCCETAIPFDLPKYHLNFTASRFNRGTIRSRINGTSSCSSVFLVDQSLSPVTYSQSMHSVPVVLAWTLGRDDVQAIYPCPYIITYLELESGENMATFRCTTCGTEPEDIYTNPYLQKFQCGTGTQLLLVRQRHPFLLHFELNNVTHGASAGIGVLIFVAASFTLYELVKRRRDKMIKEKFFKRNGGLILQQQLSADDIVINKTRIFTAKELDKGTDHFNENRVLGRGGQGTVYKGMLADGNLAAIKKSKKVDESQLGQFINEVVILSQVNHRNVVKLLGCCLETEVPMLVYEFIPNGTLDRLIHNDEEFPFTWSVRLKIAAEVAGALAYLHSAITIPIYHRDIKSSNILLDEKYIAKVSDFGISKSISIDKTHLTTQVRGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTRKKPASLSGSFEDSHLGLAKRFLVMMDENRLASILDPQVLDQRIEEEVIAVAELAQRCLNLNGKERPTMKEVAIVLENIKLSANPANIQVNFETQGFEKGESESVISITNPSWTTGR >CDP20610 pep supercontig:AUK_PRJEB4211_v1:scaffold_1423:27963:31767:-1 gene:GSCOC_T00001485001 transcript:CDP20610 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMSLSFLLFLLAWIITFPVSPAVGSLAKPGCSDTCGNVRIPYPFGIGPGCSLNESYTIICTSSKPYLSNLNLEVLNVSLRNRTVTVSTTVTPFCSSGSQTSSISSWRSNSSDLGGTPFFYSKTYNNLVIFGCGNAVLINQNDNKILSGCTSTCEFNSPAQAIDTSSCYGVNCCETTIPFYLSKYHLNFTASRFSLGGTGNCSSVFLADQNWSPEKFSESTQSVPVVLAWTLGRNDVQAIYRCPYEMTYLELEAGGNLATFMCPTCEFGGTDPQEINPYLQEQCGPRFIVDPGRRNLKAALIGVFAGIGVLIFIAISFTSYKLVKRRRDKMIKEKFFKRNGGLLLQQQLSGDDRVINKTRIFTAKELDKATDHFNENRVLGRGGQGTVYKGMLADGNLAAIKKSKKVDESQLGEFINEVVILSQVNHRNVVKLLGCCLETEVPILVYELIPNGTLFSLIHNENDDEFPFTWSLRLRMAAEVAGALAYLHSATSVPIYHRDIKSSNILLDAKYTAKVSDFGTSRSISIDKTHFTTMVKGTFGYLDPAYFQSSQFTEKSDVYSFGVVLVELLTRKKPVSSELEEDSHLSLATRFLITMDENRLNSILDPELQDERTEEEVVAVAKLAQRCLNLNGKKRPTMKEVATELDSIKMSANQSTNQANYESQGFKKEGSKPVAFSDNNPSWTSTGTNSITLSSAAYPDTNTF >CDP20611 pep supercontig:AUK_PRJEB4211_v1:scaffold_1424:15076:18210:-1 gene:GSCOC_T00001938001 transcript:CDP20611 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIGATTQVTLQTALSLASDRIGMLVGFKKDLASMTRSLGFIKDVLADAEEKQNQSSGVQRWLKCLEEVAYDAQNVLDELHYESLRHQVESRNRHKLKVCCFFSFSNINLAFRWRMASKVKDIKLKLNDINQQANGLGLVSRLGMTAALPAAVGDTRSRQTDSVVAPMIGRVDDESNILEMLFRPSEKVVSVLPIVGMGGLGKTTLAKSIYNNHQIDGQFDKKIWVCVSKKVPIVELFKLILLQLTEENVDAENRNVIVRKIGNQLGGKRYFLVLDDVWDDDEALWDDFFTTLKGLNPTTGSWCLVTTRPGPVAQCVSRVLMMENEAYPLRKLPDDHCWSIVKEKAVGGEEEPDELKAIKKRVIKRCDGLPLAASVMGGLLRLKRKEEWAEWQSILENRLLSLSAGGDRVMQILKLSFDNLPSPYIKKCFAYCSIFPKDTEMERDMLIELWMAEGFLQADANRQMMMEEIGMNHLRILLQSSLFEETRNYPGTCYKMHDLVHEVAESMSKSTKIINDRDTRVADHGNQIRYLATDSFGGGEDREKLLESLSTSLHTLFIKKGDLSGDMLMKLKNLYVLNLSYTTTEELPVSIGKLIHLRYVNLECSAISILPDSLCKLYNLQTLTLSRSKVKDLPKGMRDLISLRHLHYYIDDDEEFQMPLEMGRLTCLQTLDFFKVGREKGQRIGELGSLKNLKGKLEIRNLQLVNDKEGAEEAKLSEKANLFGLELWWARDREGDDYNDKDVLNGLRPHPNLEELVISHFMGDQFPRWLMDLQTTTLLSESATTLSKLACLEFNYCHRCRELLPLQNFTSLKELQIFKCDGLTNLPRDMLHSCSSLQKLRVISCRNLISFPLDLQQTPSLSELKLSGCPKLKTSMTPKGFGFLTSLRELAIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSSALRGLVLIGLPHMESLPHQIQYLTTLTSLSLYYFGGVKALPGWFGNFAALEELYLFDLKELRHLPSEDAMRSLTKLKCLHVYGSPLLKERCTPESSGPDSQWSKVSHIQDLDIS >CDP20615 pep supercontig:AUK_PRJEB4211_v1:scaffold_1426:27127:28270:1 gene:GSCOC_T00008216001 transcript:CDP20615 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKENQSPHPLVPGPANGTYVRTGDDEAGRGGSRELRRQKRKKYLLYFVAFVIFQTGVILIFTMTIMKVRTPRFRVRSATFDAFNVSRQPNNRTFDISMNAQVGVKNANFGRYKYQGTTMTFLYRGSQVGEGVIQKSSVGWRSTKKFNVAVQLTSDNFTSDSQLAADLNANILRLTSQAELKGKVALTFIFNKKKSAKMNCTMDVFLTTEQLANISCD >CDP20612 pep supercontig:AUK_PRJEB4211_v1:scaffold_1426:4151:5932:-1 gene:GSCOC_T00008213001 transcript:CDP20612 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETPVPSPPLRTYKLTASSISYAKSTASSNIPPAAVLFKPCVSSRPTYILRDVSLSAYPSQILAIVGPSGAGKSTLLDILAARTCPTGGTLLLNSKPLNPSAYRKLSAYVPQHDACLPELTVSETFAFAARLLNPPTCEIPTIVESLMAELRLTHLAHTRLAHGLSGGERRRVSIGLCLLHDPAVLLLDEPTSGLDSNSAFKVMQTLRSITDSRHRTVILSIHQPSFKILSTIDNILLLSKGTVVHQGTLSSLEAFLLSNGFTVPPQLNALEYAMEILHQLHKNAKPADTDQPMLPPSPSNYNVEVVRYRSSRVHEIVVLYQRFWKIIFRTKQLLVTNTFQALGVGLVLGTIYVNIGFDKAGIEKRLGLFAFTLTFLLSSTTETLPIFINERPILLRETSSGVYRLSSYLVANTLVFLPYLLAIAIVYSISVYFLVGLCATWQAFAYFVLVIWVIVLMANSFVLFLSSVTPNYLAGTSLVTVLLAGFFLFSGYFISKDSLPKFWLGMHYFSMYKYALDALLINEYSCLISRCLIWYDENKTCMVTGRDVLDKRGLHERQRWTNVYILIGFFAFYRLLCLIVLLRRVSRSKK >CDP20614 pep supercontig:AUK_PRJEB4211_v1:scaffold_1426:23887:25101:1 gene:GSCOC_T00008215001 transcript:CDP20614 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGEQHATYPLAPANGYSRSHEPESDSDDSRELRQKKRMKYLLYFVAFVIFQTGVIVVFTLTIMKVRTPKFRVQSATFDNFNVGTPTNPAFDLSMNARVSIKNANFGTFKYQNTTMNFFYRGNPVGQAAIPKSSVGWRSNKKINVAVKLSSANLPTPNSQLGTDLSIRLLPLTSQAELKGKVALTFIFKKKKATQMNCSMEVAVASQQLANIVCE >CDP20613 pep supercontig:AUK_PRJEB4211_v1:scaffold_1426:18902:20327:1 gene:GSCOC_T00008214001 transcript:CDP20613 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRTPKFRVRSADFDESFQVGNLSTPSFSFRMDAELGVKNANFGNYKFQNSSIFFLYGDTGVGEAAFSKSKAGWRSTKKNFSKKFHVSVDLSSKSLPSNSQLGNDLRSGVLNLRSQSRLDGKVELLFIFKKKKSVNMDCTLTIGVAEKQVRQISCK >CDP20616 pep supercontig:AUK_PRJEB4211_v1:scaffold_1426:31395:32302:1 gene:GSCOC_T00008217001 transcript:CDP20616 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRSPAPLVAANSTRPRSNIEEAAVEDYSGELRRKKRIKYLLYAVAFVIFQTGIIVLFTQTIMKIKTPRFRMVSATFDNFTVGTPSSPSFDLNMNAQLGVKNTNFGNFRYPSTTMYLYYRGSQVGEALIPKANVGWRTTRKFDVVVKLSSANLTSNSQVLADDINAGVLPITSQSDLRGKVRLTFIFKKKKSSKMNCTMDVFTATQQLSNISCK >CDP17014 pep supercontig:AUK_PRJEB4211_v1:scaffold_143:937048:941122:1 gene:GSCOC_T00007189001 transcript:CDP17014 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLDSFPFSLLIRSNTSSHFPCLNSGDFTFLSASMAGSSRALLKKSKQGVLLYAIHDITVSCFQDLLLLILSQVLVPSPLESSGQTSQHIVAGVTYGSAMVGYCLDFFSYLCKFCPVTYMWRPQSPILTARVDKIDSKDEQQGIDSYASSRQLWIWIHPAAFREGYDVLESACETVCSLPNIAVNNNKSSTTYSCFLPQEDQISTSAIISLTVKDPRAIAEKGFAVLPETKPSKFLGAKETNTQGDTTLERILPWNPGSLSSLLLDPEEKYRFSDFLDLWDVSKGVNPPGEESFLCKKKHCQMMEFFSIGEENSNNLDPSGVRQYSQLCPIMLLKDNSGKGFTTRWTAILPLSWVTVFWIAIISNGAQAIGLRERHWIACEAGLPYFPLDFPDTNAYSCFMAREAAIADQKEKLQPCSLRPLKVPHFPPWDCIHYGSEPRSTVGVTKFLPVSNNSMKILNCKNCDVASVGSHGAVFNGFVARTSDVLVHFVSHVKDDNLLPFPNFPDGKRCLAKVMKDNDLITRQTNGISCQLMCCQKLCFVRVLLHAYKEGVFEEGAVVCAPHASDINMWLSTRLEDAGKYQAPESLSGSYFVQQPSGRWALQVPEEPVVRESFRWLIGFITTGFIRGR >CDP17013 pep supercontig:AUK_PRJEB4211_v1:scaffold_143:720370:722371:1 gene:GSCOC_T00007186001 transcript:CDP17013 gene_biotype:protein_coding transcript_biotype:protein_coding MESCFTPTTSRAAYAATWLATMVIALLCRRFHQKKLNLPPGPKPWPIVGNLNLIGTLPHRSIHQLSLTYGPLMHLRFGSFPVVVGSSVEMAKVFLKTMDATFADRPKTIAGKYTGYNYSNILWSPYGPYWRQVRKMCLMELFSAKRLESYEHIRVEEMNSLLLGLFQSLGKPVLLKDHLTTMTLNVISRMVLGKRYLDQSDQNSIVTPEEFVKMMDELLLLNGVFNIGDSIPWLNFLDLQGYIKRLKALSKKFDWFLEHVLDEHNAARMANDEQNRVSKDMVDVLLDLAEDQTLEVKLERHGVKAFTLDLLVGGTESSAMTVEWAISELLKKPELFEKAIQELDRVIGQNRWVTEKDIPNLPYVEAIVKETLRMHPVAPMLVPRFLVNVWTIGRDPELWKNPQEFCPARFIGKEIDVKGQDYELLPFGSGRRKCPGYSLGLKVVQSTLANLLHGYNWKLPGDMNPEELDMGEIFGLTTPRKFPLVAVVEPRLPHHLYSL >CDP17012 pep supercontig:AUK_PRJEB4211_v1:scaffold_143:29661:34476:1 gene:GSCOC_T00007171001 transcript:CDP17012 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPNPSSSNQPFTLSTTKTSASTQNHFFVWREFLWGAIAGAFGEGMLHPVDTIKTRIQSQAVLRGCRNQKSILQMVRTVWATDGLTGFYRGITPGVTGSLATGATYFGVIESTKKWIETSHPNLGGHWAHFIAGAIGDTLGSFVYVPCEVMKQRMQVQGSKKYWSSVVMKGSAHMKHGNPMYGYYSGLYQAGCSIWKEQGLKGLYAGYWSTLARDVPFAGLMVTFYEAFKNVTEYGRQRLFPDSNRCPNSSIEGLLLGGLAGGLSAYLTTPLDVVKTRLQVQGTTLRYNGWLDVIQKTWSTEGIRGIFRGSIPRIMWYVPASALTFMAVEFLREHFNDGVNDDKLKEVASLSIDKNASSFQEVS >CDP20617 pep supercontig:AUK_PRJEB4211_v1:scaffold_1434:23071:29247:1 gene:GSCOC_T00006435001 transcript:CDP20617 gene_biotype:protein_coding transcript_biotype:protein_coding MDERGRKRKPLWDAEDENQTISCSGKEYYSTYDGGRSNRFSSSKADNSSSMPKDHAGWPSWDTLEENTTGKKVEGVYKNEQDELEMRERVVSPGFDGWGHRKHSNSSDDSLSRSRRYLEGGRNRSRDRGRNRSRSPSWSKGRDRSGVRSRTMSRSRSRSRNRNRNSARGQTRSRSPFHGHRHDLQGWNDSSGSGRSSQTCRNFATGNCRRGNQCRFLHPDRMDRDYLENDFVERSRSRPDRGHALAYGEGRGRQSWDKGSDSNHQEDDSFRSKSRGTICCKDFMRGKCKWGASCRFSHHVDSGDNNGKDTRIALFERDREHRTNESRKPLCKYFLAGKCHRDNCWFSHDGPIDSNHESRPRDAGGRQSLVDKNDQWKGATWDQGEPESKAVEGSRWSETVVPNRKIADAPLERRDNKWAHERSLRSPELKEKASEGNNYLSTQDWSEDNGGDAGAIKSVVVESSYDRQEYPLISKGNLDLVYTGSHAPNITKKPSSQHLSEASLHAGLAEKSDILHHPTVAEDNIVNASSFDGLGQLKDNRNTNNPEFMPGQSLNQNVIGNLSLNHPLVSNDQRDNLFFPHPSNVRNVDLNGSGQGLSSTLIIQNHAGSHQKEAAKTPEIQEFGAPRSFYNNASNNQVPQNLLSPAAASPMHKFVAEQTQTHLHAALNHLTSTALVSALETAMQIQPNTDVRSPVQLDPLGDGRGKLGNVNQTSGEVPYSYEQKNGIPFEEFSPMSGDNPQFGNTLISNISNVQCHESPESKQQEAPAASEVKDNNTESVVECQKGQEDLHKENGDEHVKVGNGNNNKDDKDMRLFKNALVDFVKETLKPTWKEGRMSREVHKTIVKKVVDKVTSTMQDHIPKTQGKTDQYLSHSKPKITKLVQAYVERHLKTDS >CDP20623 pep supercontig:AUK_PRJEB4211_v1:scaffold_1448:17067:19670:1 gene:GSCOC_T00010604001 transcript:CDP20623 gene_biotype:protein_coding transcript_biotype:protein_coding MDITRLRAHKATKLLFPPINRLLITSTAQDNIHSNRNNQPEKQQQQHQKPQLTKPIPKSKPLPGKEQWIDKEKVGIGERNNLAKWVSSILSKERLDFSKCKDLLTLLSPLQFEGIFLDIHQTVKPLAALKFFYFSSNSCGFRFSLRSYCILIRLLVISNLDSAARLLLIRLIDGKLNVFCDNLRINDGHGDNGKHLELAALFADLSMGNSQVDVRILDMLVHVFASQFKVSGGLNSSLDVICMLARRGLFPSLRTGVFVLSSLVKANELQKSYEAFDILCSGFVPDVFMFSIAINAYCKGRRVEDAMRLFQKMEEMGVAPNVVTYNNIIHGLCENHNVEEAFEFKEKMVNNGVKPSIVTYSTLINALVKLENFDQANIVLKEMIDTGFVPNEIVYNTLIDGYCRIGDIQCAIELRDNMIIHGLVPNSVTLGSLVSGFCKVNQIDRAVQLVEEKLSEGLCMNAGGFTSFIHELCKKSQLGSALRFTKEMILRNMKPNDTLLTRLIEGLCKNKNHSDAVKLWFLLLKKSFKVNTVASNALIHGLCEAGRMQDAVRLLKEMLERNVPLDRITYNTLIYGWCREGELDGGFKLREEMVKRGIVPDIVTYNLLMHGLCEEGKIEEAMMLWNECERIGLVPDAYTHGIMIDGFCKAGKVEEGRILFDKLSRHNIELNSIIYNIMIGGYCRNGSITEAIKLRDEMRIKGILVTCATYSCLIHAMCKTGCVEDAKSLFDEMRTDSLVPNVVCYTALIGGYCKLGQMNEARKLLQEMSLCDIHPNEITYTVVIDGYCRSGNMKEATHLLAEMVKNGIIPDSVTYSILTNGICKEGKIEEAFKLCDNMLQTGFKVDDAIYTSLVSRLHQPSAVAQED >CDP20624 pep supercontig:AUK_PRJEB4211_v1:scaffold_1448:19976:25807:1 gene:GSCOC_T00010605001 transcript:CDP20624 gene_biotype:protein_coding transcript_biotype:protein_coding MISTIHSNFREELKLLREVALPYWKGLLRRLDQCYILDKIWFPVCVSHSNTLIWDNFVGSRNIHARCSACFVLRMTKSLMTSMVL >CDP20628 pep supercontig:AUK_PRJEB4211_v1:scaffold_1455:27070:27981:-1 gene:GSCOC_T00006215001 transcript:CDP20628 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTTCGTLLFFASTIFLSTLISEPASAALVQQQPLVLQYHNGILLKGKITVNLIWYGKFTPTQRSIIVDFLQSLNSPRAASPSAASWWMTTEKYKGGGASTLVVGKQILDENYSLGKMLKNSNLVYLAARGGHMAGSINVVLTSADVYVDGFCSRCGTHGSTRGPARFAYAWVGNSETQCPGQCAWPFHQPLYGPQTPPLVAPNGDVGVDGMVINLATVLAGAVTNPYNSGYFQGPATAPLEAVSACTGMFGSGSYPGYPGNLLVDKASGASYNANGVNGRKFLLPAIWDPQSSACSTLV >CDP20626 pep supercontig:AUK_PRJEB4211_v1:scaffold_1455:13438:18419:1 gene:GSCOC_T00006212001 transcript:CDP20626 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDVEMAAAEDGAFVELDDMKKRLKEMEDEAAALREMQAKVEKEMGAVQDPAAAAASQANREEVDSRSVFVGNVDYSCTPEEVQQHFQACGTVNRVTIRTNKFGQPKGYAYVEFLEPEAVQEALLLNESELHGRQLKVSAKRTNVPGMKQFRPRRPSPYMGFRGRTPYVAPYVYPPYGFGKVPRFRMPMRYSPYF >CDP20627 pep supercontig:AUK_PRJEB4211_v1:scaffold_1455:20133:23828:1 gene:GSCOC_T00006213001 transcript:CDP20627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:Projected from Arabidopsis thaliana (AT4G33510) UniProtKB/TrEMBL;Acc:A0A178US70] MGVVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKDGVKLPSYRGDNVNGDAFDEKSRIPDPQRMIRAYTQSASTLNLLRAFATGGYAAMQRVSQWNLDFTDHSEQGDRYRELAHRVDEALGFMAAAGLTNGHPVMATTDFWTSHECLLLPYEQSLTREDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFVRGIANPLGIKVSDKMDPNELVRLIDILNPQNKPGRITVITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRSFDSIRAEVRAFFDVHDQEGSYPGGVHLEMTGQNVTECVGGSRTITYNDLSSRYHTHCDPRLNASQSLELAFIIAERLRRRRLLPQQRLSSSKV >CDP20625 pep supercontig:AUK_PRJEB4211_v1:scaffold_1455:6842:11985:1 gene:GSCOC_T00006211001 transcript:CDP20625 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGCGKSTLLDALAGRLDSNTGQSGDILVNGRRQALAYGTSAYVTQDDALIATLTVKEAVYYSAQLQLPNSMPKSEKKERAEMTIREMGLQDAMNTRVGGWGQKGLSGGQKRRLSICIEILTRPKLLFLDEPTSGLDSAASYYVMSRIARQRAGKTILASIHQPSAEVFSLFQNVCLLSSGRTIYFGPTNAANGFFASNGFPCPTLQNPSDHFLKTINTDFDEDIEEGSTGKMRTEEVISILIESYKSSINYQEVQRQVDEICSENGEELEKRRDNANFISQCSVLTRRSSVNMFRDLGYYWMRLVVYTVLALGIGTIYYDVGSGKSYNSIQARGSMLMFVASFLTFMAIGGFPSFVEEMKVFQRERLNGHYDSSAFVIGNIVSALPYLLLVSLIPGSIAYYLAGLEKGVGPGEAFEHFIYFCTILFVSMMLVESLMMIVASVVPNFLMGIIVGAGIQGLMVLSGGFFRYPNDLPAPFWKYPLYYIAFHKYAYQGLYKSEFEGRTFCRPGENLPTLSGESILRNTWQVEMTYSKWVDIAILFGMVVVYRSLFWLIVKAVMSANDPAELLQ >CDP20629 pep supercontig:AUK_PRJEB4211_v1:scaffold_1457:17385:19437:1 gene:GSCOC_T00001107001 transcript:CDP20629 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMGEMWASLGSAMASIMFVWTMYQNYFPYELRVQIRRFGEKLRSFVYPYIQIIFPEYQGDGFERSRAFVAIERYLDKNSTKQAKRLRANVIQDCEQIVLSMQEAEEVTDEYERIKLWWSSSKHAPPTQSFSIYPREEAKRYFTLTFHKKHREIITKMYLKHVLDQGKAITVSQRQRKLYTNNKSEGWYGYRRTMWSSVVFEHPSTFDTLAMEPKKKQEIIDDLITFSKSKDYYAKIGKAWKRGYLLYGPPGTGKSSMIAAMATFMKYDVYDLELTSVKDNTELRKLLIDTSGKAIIVIEDIDCSLDLTGQREKKKEKNEDEKDENDPVKKKMKNMEEKKSSQVTLSGLLNFIDGLWSALGGEKLIVFTTNFVEKLDPALIRRGRMDKHIELSYCRFEAFKVLAKNYLDIESHNLFSEIEHMLEETNVTPADVAENLMPKAAGEGADICLERLIKAIEQAKEDARLKAEEEAKLKAEEEERLRAEKEEKEKQKEGDSEVKSSEETLTKGVKENGEEVKEKGKVAS >CDP20631 pep supercontig:AUK_PRJEB4211_v1:scaffold_1459:31164:33067:1 gene:GSCOC_T00012913001 transcript:CDP20631 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIVYANLSCINLVVHLSKLNKTKKKWGSAFLTIYCSRAFKNVLSRRKSKISPVPSQTVIINIPEVPPSFCNVDQTTLTKLVKEKSLGQLDQLGGWYDGGSIFVAVFLVISVSAISNFRQSRQFEKLSKVSSNIPVEVVRNGRRQQISIFEIVVGDVVRLKIGDQVPADGLLLEGHSLSIDESSMTGESDHLEVNQNQNPFLTSGTKVADGYGQMLVTSVGMNTTWGEMMSSVSQDSNEKTPLQSRLNKLTSAIGKVGLAVAFLVLLVLLADDVINAVVKIIAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATTICTDKTGTLTLNRMTVTKFWLGKESVEKDSYLSISTNVLKLLREAVSVNTTGSVYRPINLGTEGLEFSGSPTEKAILSWAVMELNMDMERVKQNCSILH >CDP20630 pep supercontig:AUK_PRJEB4211_v1:scaffold_1459:128:2611:1 gene:GSCOC_T00012911001 transcript:CDP20630 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATSNLWPRRQFFKLWKARDKFSVSVIRNGQQMSISVFEAVVGDIICLKTGDQVPADGLFIDGNPLQVNESCIAAWRESVEINKSCNPFFLSGTKVINGSARMLVTAVGINTEWGKMLSSKSYDIEERTPLQRKLRELTIQIAKVGLMVASLVLVVLLVRYFVGNMRNEDGKSDFTAGKTNIHEVCNDLIGILATPVAIAATAIPEGLLLAVMITIAYSTNRMASQKALVRNLSACEAVGSADVICTDERGSLTLNHLIVSEFCFGNKLLNAGTSSTIPRNVLGLLREGMLLRSTKASSGSPIELFESQVHSTIQAWSVQDMDVNVEQVRANCAVHSPEIFNSENTQSSIWIKNNTSDSIHVHQKGQPEIILAMCSQYYDEDGIVKHMSIDAKKSLEQIFQEMQSKGLRCVAFAHKDVSEEHGDDAINFDPKPKAENSAFIGCLGLKCPCRPEVQKAVMDCREAEVDIKLVTRNDLPTARAIAIEYGIIDPTQDETTGELVDRQSLQSNAETEIKEKCGTIRVMARASTLDKLHMVQGLKQRGHVVAVTGHSIGDATVLREADAGLSLGIQGTSQAKENSDIIILDDNFVSVARVLRWGRGMYHKIQMYAQFQLSVSIACLVIDFVTAVSAKEPPTINIVAAISSGKVPYAAFQVLWVKLIVGALAALAITIEEPPEELRQLPPVNREQPFITSIMWKNIVGQAVYPIIVLLTIQFKGQSTFNLDAKIKDTMIFNILVLWELFVIFNTKRVEGNFFKGIHKRKMFWGVILAIILLQVLIVELLKELADTKQLSWKQWIICIGIAVLAWPMGWLVERIKYPFSSHL >CDP20633 pep supercontig:AUK_PRJEB4211_v1:scaffold_1460:25983:27259:1 gene:GSCOC_T00003027001 transcript:CDP20633 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHLLASSTTPLSFSLPSFPSQRTHYIPSHVYKHPTAILLELCTSMKELQQFLPLIIKNGLYKEHLFQTKLISLFCKFGSLTDAVKVFELVEDKVDPMYHTMLKGHVHHSSLASALWFYSGMISDGVLPVVYNFSYLLKSCADNFNVKAGKMIHGQLIVNGFSRNVFAMTSVVHMYAKCGVIEDAYKMFERMPERDLVCWNTVMAGYAQNGMLNRGMELFLQMQEVEGHRPDEVTVVSILPACANFGSLKMGMSIHGYVTKSGLESFVNVETALVDMYAKCGQVGTARLVFDRMTCKTVVSWNTMIDGYAQSGNSEEALKLFDGMLDEKLRPSNVTVMAALTACADLGDLHRGQEIHALINQLELGSAVSVINSLISMLRTKWACDQSFESLL >CDP20634 pep supercontig:AUK_PRJEB4211_v1:scaffold_1460:27420:28959:1 gene:GSCOC_T00003028001 transcript:CDP20634 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCGAVHTARKLFDMTDDKHVTTWNAMIDGYGTHGFGKEAVELFDEMQKGHTKPNQVTFLSIISACSHSGFIEKGRQYFTIMTEEYGLEPSMDHYGAMVDLLGRAGMLEEAWGFIQSMPVKPEINVYGAMLGACKIHRNVDFGEMAAEKLFELGPDDGGYHVLLANVYATASMWDKVAKVRSLMEKTGIQKTPGCTLVDLKNEVHSFYSGSINHPQSKRIYSYLEKLMDKIKAAGYVPDTNSIHDVEDDVQEQLLNSHSEKLAIAFALLNTSPGTPIHIRKNLRVCGDCHSATKYISLVTKRAIIVRDMRRFHHFKDGTCSCGDYW >CDP20632 pep supercontig:AUK_PRJEB4211_v1:scaffold_1460:21711:24302:1 gene:GSCOC_T00003026001 transcript:CDP20632 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRPARGGVGPSIRPRLGPVVQNFYEDFKPMSEWRQDEESDILSLFLPGFMNQHLKVSTEGRNIVRVRGERLVAGNKWSRFQEDYQVPDRCNIRAIRAKFEGGILTITMPWRKDAAAKATPPKATSGLDSTTDQMQQISPPKAPIEPRSKKSADEIPPKVIDPPPRPQKAAAEIHPKVVDPPSRPQTAAAEIRPKGLDQPSKPQKAAAEIHPKGLDSPSRPQKAIDEIQPKVLAPPSVTADKGSKDIDEKLLSQPQTQKGRQDEQARSPGAIKPVTTPITISSDDDEKKETITPKTNAKPMKPEYIENLKEVSDGKRSTEKSKEPLHTLAGNLTRKIIEEKEKQSQTAVASSSKDYGLGNFKKAVTSLAEPNEERQLLVNIVVAVLVIVAVGAHIASRIGSEEA >CDP20635 pep supercontig:AUK_PRJEB4211_v1:scaffold_1461:5168:7776:-1 gene:GSCOC_T00000807001 transcript:CDP20635 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHLFAASTPPKSLFGFLEKIFAGFLWGFFDNGPRFHWIKWDQLCKSQERGGVGLRSLRHVFEAFSMKLWWQFRSRQSLWAEFMHCKNCPKTHPCFAEVKLGSSWTWKRMMAIQGKAEQHILWQLSDGSVNFWHDNWLGQGPLCHQVETFQECAVYDYVEQGRWNVHKLNDVLPSWLVGRILKVDPPCHTFPDSMVWAPSTSGDFSISTAYKCVQGSGNISWLYSSVWIQGLPVNISFFMMRLLRARLPVMDRLHHLGILGPSRCFCCSSPCSESIDHIFCNGEVASKIWHFFEVVVGGFHPSFTVRHRVISWWLKPTRNPYLQFLIRLLPSLICWNLWKMRNKTMFEGQLLPVAFICERILGDMRDLFHLKFKKLYLQYQDWPDFFSSVAGLVRRYQVRIVHWRCPVQSMVKLNSDGCSRGNPGMSGGGGVLRSCNAEFLFGFSCFFWGFTSLHAGFKALLFGVRLALDKGFQNLHVESDSLELVHIIQARRFIKVVSHCFREANRPADRLSNVGVIIPAGIHAFKC >CDP20636 pep supercontig:AUK_PRJEB4211_v1:scaffold_1465:1:2700:1 gene:GSCOC_T00006539001 transcript:CDP20636 gene_biotype:protein_coding transcript_biotype:protein_coding VLPLIFCMACGPGIGGLIGRRGLACSGCEFYSISSKSFTSSHYYLLQRRQTAIDELNIRSIFEENDVVCAVIRGFQHDGSLHLQARSQKSGKLHRGQLLRIPSYLVKRRKQHFHHLDLYGVDLILGCNGFIWVGEHVEAKDDMVADQMIKSEQENANLSGSASSDDQEQSHTSLEIRQHLCRIANAVRVLSTLRFMVTAELITN >CDP20637 pep supercontig:AUK_PRJEB4211_v1:scaffold_1465:26044:26801:-1 gene:GSCOC_T00006541001 transcript:CDP20637 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETPKTAKAPRTADMWAVQCDKCFKWRTVPSQEEYEEIRSKFKQDPFLCSKKPNVSCDDPADIEYDSTRTWVMDKPNIPKTPTGFKKRMVMRKDFTKMDVYYTTPTGRILRSSTQAASFLDANPQFKGLSPSDFSFASPKIMEDTIPQNVVRKGLPGILD >CDP20638 pep supercontig:AUK_PRJEB4211_v1:scaffold_1465:27392:32186:1 gene:GSCOC_T00006542001 transcript:CDP20638 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSISAYGKGSKNKRKYLAEFPLDMIDVSALSLTEFPRYELLEEKLRNTQIELAPVEARSDNPCQEHEVEEPDQDEWDDPLTTWGSMVLDKSLKSPSGSSGVVMKSTYSKITTSIGANGPLPDGNCNISSNSTSVHQGTDAPSVLSVADTVFALPAVNKNNPTSSTLDHKPAAKAKTNHTNSSEVPDYYAGIPYDESLGKYVPQDDKEETIVNLASHMKALQKEIEVWKDWANEKVMQATRRLSKDQVELKMLRQEKEEADRFKKEMPALEEGSMKRLSEMEYAISNAAAQIDTANLSIHRLENENNVLKSQAEAARFQALKASTNFTKAVKKEQEALKGLQLADTEKIALQEELATLKHHLADLQHQLEKAKTRKNQFEALWKQEEREKLKYYRQAESLKRETEQQKARTKAEEDNLRETDERNLQNCMENVKKLEKEISELRLESESSKIAALLRGVPKINKRLAVFQDNFGAGAGSVRPERECVMCLTEEMSVVFLPCSHQVLCAECNVLHEKQGMKDCPSCRTAIQQRIPVRYLSAQHP >CDP20639 pep supercontig:AUK_PRJEB4211_v1:scaffold_1466:2753:5905:-1 gene:GSCOC_T00005237001 transcript:CDP20639 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKAVYNNPQFNDNHFDKKIWVCVAKEVETMDLFKMILESSTGNKPDADVRDVIVRGIQNEIKGKRCLLVLDDLWNHQQLDDFFTTLKALEAKKGSWCLVTTRLQEVATTLSGLPQINFTRHGLGKLCNDDCWSIINKWATVGEEVPKELEDIRERVLRRCDGLPLAAKLIGGLLYKKRKEEWQSILEESLLNGDQGGIEQIIKVSFDHLSLAPVKKCFAYCSIFDQDAELEQDLLVELWMAEGFLQPDSQIQPDSQNERMEKRGYEYLRILLQTSLLEEVKDEWGTWYKMHDLVHDFAKSILNRSSSNQDRYLAVYSSERMVEIINEKKSASLRTLFLKGGIADDMLSKFKYLHVLKLFGADTKKLPESIGKLIHLHLLDISESSIRTLPESLCKLYSLQTLRIGKLEEGFPKEMSNLISMRHLHYDDDDTGREIQMPSGIGRWTCLQTLEFFNIGRQEEGRGIQELGTLQDLKGSLKIRNLELVNGKDDAELAKLSKKPNLHRLVFEWGNRDRESDNCDEDVLEGLQPHPNLKELQILKFMGDQFPQWFMNLTSLVELRVANCTRCRELPTLGQLSSLQHLYLTGLENIRSIGLSFYSTSAEEDGGSGGSSTISRQTFFPALKFLSLESMKNLKEWKDAPEMMSTAGEVHVMDAFPVLEKLSISGCPKLTTIPTPSRFPSLDVLEITENCHVLLAEKVLSNIANLSSLELWGGGRQRIKILKLVRRPESSLSIYDCDSLPTDTLERICLFPTLQRVELRHADNITTLRGMSCAACLKRLEIDHCKNLRELPEDLYQFQALEYLMIRSCPRIDSFGYPNPKNSFGQKGLLKSLERFTVWGCYELTRLPVEMFESCTSLRELSLFQCPSLVSIPLDLRRIPSLESFILRGCPNLIAEMPSGFGYLTSLREVKIGPFSDYSVIEFDWAGLASSSSLRHVSLFGMPDTKSLPHQLQCLTTITSLSLFGFGAIEALPDWLGNLASLDKLILYDCRKLEYLPSVDAMERLKLRRLEIDGCPLLKRRCTPQSGSEWPKISNIPKLEI >CDP20649 pep supercontig:AUK_PRJEB4211_v1:scaffold_1479:20183:23570:-1 gene:GSCOC_T00010403001 transcript:CDP20649 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 6 [Source:Projected from Arabidopsis thaliana (AT3G01990) UniProtKB/TrEMBL;Acc:A0A1I9LSD7] MDDEYAKLLRRMNPPRVVIDNDSCEDATIIQVYSVKKHGILLHVVQVLTDLDLVITKAYISLDGGWFMDVFNVIDRSGNKVQEEEVIDHIKKTLESDDISAPSLRRTVGLMSSEEHTSIELAGTDRPGLLSEVCAVLTDLHCNVVNAEIWTHNARAAAVVHVADDSTGYAIEDPKRLATIKALLCSVLKGNSDMRSPKMTLSPPGVTHRGRRLHQIMFADRDYVKVERVQGRAEEKGSSPQVTVFNCSEKDYTVITMRSKDRPKLLFDIICTLTDMQYVVFHGVVHTRRMEAYQEYYIRHVDGLPISLEAERERVVQCLEAAIERRASEGLELELCAEDRVGLLSDITRIFRENSLSIKRAEISTEGGKVRDTFYVTDVTGNPVDDKTIDSVRGEIGQSLLRVIPNSGSPVEAEGATKTIGCIFGSFFRAKSFQDSKLIKSCS >CDP20646 pep supercontig:AUK_PRJEB4211_v1:scaffold_1479:3:639:1 gene:GSCOC_T00010398001 transcript:CDP20646 gene_biotype:protein_coding transcript_biotype:protein_coding PALCRKMEIPYCIVKGKSRLGAIVHKKTASVLCLTSVKNEDKLEFSKILEAIKANFNDKYEEYRKKWGGGVMGSKSQAKTKAKEKLLQKEAAQRMS >CDP20647 pep supercontig:AUK_PRJEB4211_v1:scaffold_1479:13560:15922:1 gene:GSCOC_T00010400001 transcript:CDP20647 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFFVSKAPKRGARAPAVVARRKTEKVANPLFEKRPKQFGIGGALPPKKDLTRFVKWPLNVRIQRKKRILRQRLKVPPALNQFSKTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKASDAKKPIVVKYGLKHVTYLVEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKSRLGAIVHKKTASVLCLTSVKNEDKLEFSKILEAIKANFNDKYEEYRKKWGGGVMGSKSQAKTKAKEKLLQKEAAQRMS >CDP20648 pep supercontig:AUK_PRJEB4211_v1:scaffold_1479:16345:18706:-1 gene:GSCOC_T00010401001 transcript:CDP20648 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVDVQNVLKKKRKLGVAPRSNDYGQFIFALISERLVCEAKQVAQVIISGNFPLEEDALNALIGSVSTVDPYCAVSFLNFMLDKEGLPTLLTLTNLGRNLCKHGRSSELVEVFQVLSAKEYFVDTQSYNVIITFLCKAGRIKEAYQALQEMKKKGLVPDVSCYNALLEACCREDLLRPAKRLWDEMFTNCCNGNVQTYSIFIQKFVEIGEIDEAHRLFCCMFEKGVAPDVTIYTTLLGGLCRAKHLDTAVRVFNKSAEQDMKLGQTTLIAFILFLCKEGLYVPTSKLLTSCICGIENLEAHMTFLKFLADAGEVSLASEHLEQIGDKSPLMLHALHTDIMSFSSSPKLKPIVKLFQQLQENHQNF >CDP20651 pep supercontig:AUK_PRJEB4211_v1:scaffold_1482:6550:17355:1 gene:GSCOC_T00010952001 transcript:CDP20651 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRGGGGCCPPMDLMRSEPMQLVQLIIPAESAHLTIDYLGELGLVQFKDLNAEKSPFQRTYATQIRRCGEMARKLRFFRDQISRAGLSLPTGSVSEAVLNLDDLEIKLGELEAELVEINANSEKLQRSYNELVEYKLVLQKAGEFFQSAQRSAEAQQREYASSQSAEESLETPLLADQETVTDPSKQVKLGSISGLVPREKSMAFERIIFRATRGNVFLRQAVVEELVTDPLSGEKVEKNVFVVFFSGERAKNKILKICEAFGANRYPFNEDLSKQAQAITEVSGRLLELKTTIDAGLVHRGNLLQSIGEQFEQWNLLVRREKSIYHTLNMLSFDVTKKCLVAEGWSPIFATKQIQDALQRATYDSNSQVGAIFRVLHTREAPPTYFRTNKVTSAFQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICLLVTTLFLIIRERKYSSEKLGDIMEMTFGGRYVILLMSLFSIYTGLIYNEFFSLPFELFGRSAYACRDASCSEATTVGLIKARDTYPFGVDPAWHGTRSELPFLNSLKMKMSILIGVAQMNLGIILSFCNALFFRNSINVWCQFVPEMIFLNGLFGYLSILIIIKWWTGSQADLYHVMIYMFLGPTDDLGENQLFPGQKTTQIVLVLLALISVPWMLIPKPFLLKLEHDRHHGHSYAPLQDTEESLLVETNQDSHGHGEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGFNNVIILIVGIIVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFHPFSFALLNDEED >CDP20650 pep supercontig:AUK_PRJEB4211_v1:scaffold_1482:4630:6008:-1 gene:GSCOC_T00010951001 transcript:CDP20650 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGVECGINPLICVNLLNLAYDPIFSEDVQKTDKDNSGALTVKKFQKVLDDICERYPPLELCLKNKQMHSLVDLLKDSKGDDVKESVEVNIEEFKSAISQVDSQMKNLLTTAQVASQQGVYLANCFNRMKEVETNPEGPLRFRGEGRHRFRPFRFLTCAMYFDAFSIGQSTQWLWYSVYASKQVSWRTRALVVSDWMRRFIFGRDSSNL >CDP20652 pep supercontig:AUK_PRJEB4211_v1:scaffold_1482:17576:20273:-1 gene:GSCOC_T00010953001 transcript:CDP20652 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSSLPLFLSVFFLAVATASSAIFEERDDVVSNDNPLIRQVVGDNDHLLTADHHFSLFKKKFGKSYASKEEHDYRFAVFKANMRRALRHQKLDPSAVHGVTKFSDLTPAEFRRNFLGLRPRLKFPAHANKAPILPTDNLPTDFDWREHGAVTPVKNQGSCGSCWSFSATGALEGANFLATGKLVSLSEQQLVDCDHECDPEEPDSCDSGCNGGLMNSAFEYTLKAGGLMREQDYPYSGSDRGTCNFNKTKIAAKVANFSVVSLDEEQIAANLVKNGPLAVAINAVFMQTYIGGVSCPYICSKRLDHGVLLVGYGSSGYAPIRMKEKPYWIIKNSWGEHWGENGYYKICRGHNICGVDSMVSTVAAVHTST >CDP20653 pep supercontig:AUK_PRJEB4211_v1:scaffold_1482:22770:25735:-1 gene:GSCOC_T00010954001 transcript:CDP20653 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLATPARPLQSQDPTNEDEPPPPLPPQQLPLSPLPQPPPQLPQENQPSTCEICIEPIISPTQLFKSPNNDKCGPHPFCKDCMIRYIQAKLDDNVSKIACPALNCSQFLDPQACRTLVGPQLFVKWCDSLCESAVMGFPRSFCPNRNCSALIVNECGGTVKKATCPCCKRLFCFQCKLPWHAGFRCEESGEFRDRNDRAFGVLVEQKNWMRCPQCQHFVELIEGCQIVKCRCLASFCYKCGRQVYQHWCTCDSTSMCCVWFLRIFTVLIGVFGVLFLFWNTESRKHNV >CDP20654 pep supercontig:AUK_PRJEB4211_v1:scaffold_1487:19817:27277:1 gene:GSCOC_T00010044001 transcript:CDP20654 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPVDSSEKKAKKKNKPNPFSIDYGANHGSGGANKLVVLKHPTGHDIYERYDLGGELGRGEFGVTYLCTDVDTADKYACKSISKKKLRTAVDIEDVRREVEIMKHLPEHPNIVTLKDTYEDDDAVHIVMELCEGGELFDRIVARGHYSERAAAVVMKTIVEVVQVCHRHGVMHRDLKPENFLFANKKETSPLKAIDFGLSVFFKPGEHFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDTAKDLVKKMLDPDPSRRLAASQVLEHPWLQNAKKAPNVPLGETVKARLKQFSVMNKLKKRALKVVAEHLSVEEVAGIRETFEMMDIGKRGKINMDELRNGLKKLGHQIPDADLQILVESADVDRDGTLNYGEFVAVSVHIRKMANDEHLHKAFAFFDRNQSGYIEIDELRDALSDEGDTNTEDVIAAIMHDVDIDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLQLANEGR >CDP20655 pep supercontig:AUK_PRJEB4211_v1:scaffold_1488:1568:1750:1 gene:GSCOC_T00002177001 transcript:CDP20655 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNLAVRHGPGSPVLAPVCVRGYVLMAPFFGGTLRTKSEAEGMPEPLFNVEILDRYYCN >CDP20656 pep supercontig:AUK_PRJEB4211_v1:scaffold_1488:31028:32248:-1 gene:GSCOC_T00002179001 transcript:CDP20656 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSFCLSYISVYFGLFILFLTKLQRETLYRLSVSYFVEVINFLELIMICKCIYLQLNGPFWIVPLGRRDERVSLLNEALSNLPPPFANIARLKASFAAKGWNTKELVYKRRGHTIGNAHCFVFSSRLYNFTGKGDKDPSSDPKYIPFLKKKCKPGDVTTLVEMTPGTFKSFDEAYCYTLITKRRGHLQSGAALLDDRQTRAHVKLQATAHGSTFFKDFAACTVKLSKVGVSQERLVKLGDIVL >CDP20657 pep supercontig:AUK_PRJEB4211_v1:scaffold_1489:17215:19397:-1 gene:GSCOC_T00011924001 transcript:CDP20657 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSEFHFFYFELFCPFALFTRGKVGLSHLMYLHAYNIMHGDIKLDNLLVTASGTVKIGDFGIKIVFLFDDNGELHHSTGTSIFTTPECYSGSCMTDAENMLNLRLHFWILTKLPGLIYLHLYFSQIVNNLLYIPDGMNPLLKSSIEGHFCKGLSLDY >CDP20658 pep supercontig:AUK_PRJEB4211_v1:scaffold_1496:13815:16486:1 gene:GSCOC_T00010866001 transcript:CDP20658 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLFIIVFLSAVLALFAANVTFDHCTLVIDGRRKVLISVTIHSCLGREHFQMYTYLTVKSKYGGLDAIETYVFWNLHELVRGQVSSFWLSFQLCFASVEILCCSHYKKIGL >CDP20659 pep supercontig:AUK_PRJEB4211_v1:scaffold_1499:7965:14160:-1 gene:GSCOC_T00005358001 transcript:CDP20659 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRKAYGALKDSTKVGLAKVNSDFKDLDIAIVKATNHVEYPPKERHVRKIYFATSVARPRADVAYCIHALSRRLAKTKNWIVAIKTLIVIHRTLREGDPTFREELLNYSHRGHIFQLSNFKDDSSPLAWDCSAWVRTYALFLEERLECFRALKYDIEAERLTKTSAGTSKVHSRTRLLSGEELLEQLPALQQLLFRLVCCQPEGAACFNYLVQYALALVLKESFKIYCAVNDGIINLVDLFFDMPKYDAVKALNIYKKAGQQAENLAEFYDFCRSLDLARTFQFPILRQPPPSFLATMEEYIREAPQIGSLSNRRLEYQETNEEPEKPAEPIPEEPEEKVEDEKEQVDEGPQLKKEEEDPPLISAEEPADLLGLNDEVNPKAAELEESNALALAIIQPGNDPSSSNYALTEIGQTSGWELALVAAPSNHTSKPPERKMAGGFDSLLLDSLYEDEAARRQLQLQNAGYNAGYGYQMTAQSPLDQHDPFAMSNAIAPPTNVQMALMSQQEQMMMQQQQMQQQNMMMVPHQYPTQYSQQQQVPYMASSNPFGDPFGYPQNATPQQGNHTLI >CDP20660 pep supercontig:AUK_PRJEB4211_v1:scaffold_1499:21155:22030:-1 gene:GSCOC_T00005359001 transcript:CDP20660 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRVASHLLRRLRPAATTTTTFLRHHNYPNSFSTTTTTTTFFSKSHPIFSLYPPGHYNLSPNLRFFSTRPARPVRPNRPDIGARARQLQTRRLWTYALTFSCIAGFIVIVLNQFQDQLVFYVTPTDALAKYSQNPSKSKFRLGGLVLEGSLTQIPSSPEMEFVITDLMTDILVKYEGSLPDLFREGHSVVVEGFIRPFPEKEKDEDVSREGSSSSSSIHGKAFMVSEKARSGECYFKATEVLAKHDEKYMPPEVATAIEKNKKVLIQEQQQQQESEGKGAGDGAVGTAKV >CDP17261 pep supercontig:AUK_PRJEB4211_v1:scaffold_150:415056:416237:-1 gene:GSCOC_T00010923001 transcript:CDP17261 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVPQDILEEMLSRLPVKPLLRFRCVSKQWKAIIDSPEFIRLHIAQSLETRSHHSVILRHSYLHSADFESLNRTNRAIFEELNHPLKTPDYKTEILGSCNGLLCLMNTEEDIILWNPSTRRYQKLPLTETELPGEGIYGFGYDCVSDDYQVVKIVQFYGVSSDTFDSEVKIYSLRSNSWKRIQDFPYYLRYRRVYGMLANGVLHWLVTRNPKSYTAVLIAAFDLATEEYRLVPQPIESDKNFHMNVEVLGGCLCVLCNYYLDHVDIWVMKDYGVKESWTKLISIRQCDVSSAHFEVVRPIAYSKCGKRVLLEQDCKLLAWYDLEKKTTKRAMTRFSFDPWNLSFVLDMCFESLVQLGSHFDFGKVDKKGQNPNREPKRSQAKAKQRARKRAT >CDP17258 pep supercontig:AUK_PRJEB4211_v1:scaffold_150:46826:48671:1 gene:GSCOC_T00010911001 transcript:CDP17258 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKDSLCAQVTPNRQERSDSSSSIAAGMKDEGKIDMSRIIPWCSYSSRTKSNLSKPPSSCSCLARKPSQVTGSFRKSTITALPEGVLVSSSSSFSAMNTAEVQHFETKKDSTFWSENNVQVLVQVQPLSSAEKNTDGYSPCLKQGSAESITRSGEPETRFTFDHVACETVDQETLFRVIGVLMVENCLYGYNCCMFAYGHAGSGKTYTMLGEIEQLCSKRSLNCGMVPCIFDFLFKRIRSEEESHMDQKLKFNWTCPLLEIYNKQISHLLDTSPTSLLLRYCISSDHHIPVLSCERIVRKVVYGSLNRKVAATSTNKDRSLSHTIRTGEIQSMWEKDSS >CDP17267 pep supercontig:AUK_PRJEB4211_v1:scaffold_150:857679:860418:-1 gene:GSCOC_T00010937001 transcript:CDP17267 gene_biotype:protein_coding transcript_biotype:protein_coding METDWNTKALEFIEEMTKNAGEVQKMVLADILKQNGETEYLQRFNLDGATDTKTFTSKVPCITYEDIRPEIQRMENGDRSAILTALPVSDFLLSSGTTSGKRKLIPMPEEEWNRRQVLSSLEIPVMNVYVPDLNRGKGLYFYFSWPEIRTPGGQMILTALNKYYRSEHFKNQRRDPYTQYTSPYESVLCTDYVQSMYVQLLCGLYQHKQINRVGASSASALLRVIKFFELNWQDLVLDIRVGSLNPKITYEPLRECMARIMKSDPELADFLTIECSGENWEGIIQRIWPNAKYLGTIITGSMIQYAPSLDYYSGGLPIATSKYASSECCFGINLNPISKPEDVSFTFMPNLAYFEFIPQEHHSSNMDIGNSTVTTPDLINLVDVEVGKDYEVVITTHAGLYRYQMGDILRVTGFHNSAPKFKFLRRKGVLLSIAGDKTDEVELQMAMDNASQILQEYSVSLVDYTSHASKKIAPGHYVIYWELSVGVLGDSDKNAQSDEVISRCCPIIENSFSLIYKQHRVHGAIAALEIRVLKNGTFQDLVEFAVSRGASIGQYKVPRCVETGPVLEFLDSRVVSSHFSPCLPSLASEESI >CDP17263 pep supercontig:AUK_PRJEB4211_v1:scaffold_150:601553:605320:1 gene:GSCOC_T00010926001 transcript:CDP17263 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDSPNSSTGCFDSARNYLDRFEYRIGYGSHHIAVLKMGISLLETFDLYVRKCRRRRSKRGTCLEKDEDKDDAKSDRLSSISFRIQDLVWGIRRRLDCGASDHRGIKCELNKIGENINLFFETDIKELDIISLLLYYSLEDLQLVMDFTDSISVNLKRLSSYCKFHAYEALRTVLETLQEKLMFLKSFARFATLQRVEGQPLIDLLGHVEVVVVNAVSLAFTFWFQRRNEKVRDEMQFEISQLIHKMIDPVDPQVREIYTHVLEASKLSKSSYTLAVKENRHLLVEFIDYLLRSIMGIISECYTSFLDAVKDQMLKLIEGVRFLSVLLSLEQEKFDELNDAMNDLIGVAVSDAGIVTFSLSTNEMKEGLCKDTDLALSNLLQELQLIIAEAAHVYPLTSSSSLSFTRTKELGSLDFLLETLEELVSSTDDSIAFPNDQIRTILEDLVFLRFVLGNIVEQCNRDEKLQALWSRIMKVAYSAELKIDSALLGDKCEPCLDAVARDVHLMKIEAEEVYGCIRYDGETQRVTKTTINMPSQVTAPISTEALVGLNDEVESIIDRLVRGSRQLDIIAIVGMPGLGKTTLANTIYSDPTVECHFHIRAWCCFSQAYTKHSLLVQILCSIDCESHIQYLDNNEDDMADKLRKLLKRNRYLIVLDDVWDIVGWDSLKHSLPDDCNGSRILLTSRFQKLSLLIKPDSEPHHLRPLTDNESCELLQKKLFAKKDCPPTLSKVVLNIAKYCKGLPLAVVLVAGILAITKQDCWEEVVRHLTSSIYVDNEHCMKTLEQSYNYLPDYLKPCLLYFGAFQEDRDIPVRKLLCLWISEGFVQKTEGKSLEDVADDYLMDLIGRSLVMAAQQRSLGGIKTCRIHDLVHEFCVKKAKEERFLQILHGDNLLTFTDPCDPHRLVVYPSTRRGPKKSRLFFPHLCSLLFSDCTYTELDIISRKVLSSKLLRVLDCRNDPWSRADFPSEVVFLVHLRYLNITSDRGEIPSAIANLSRLETFVVGGPLTSYFLPNTIWNIKTLRHLVVSASLGGFIFPINSLEGSPDLEQLDTLTLSIWNPSRDLQKILTKLPSIRRLRCVGDSNGASAGILVLDYLSRLESLKMRFLIGYEFEFPLNLRKLTLIDNNQPWSIISAIGKLHNLVVLKLCRVSFLGKKWEMEEGEFSNLRFLKLSGLNIRCWTASSDNFSCLEKLVLHQCYDLEEVPSCLGETLTLGMIEVKLCHESTVNSVKQIQQEQIDLGNKGLKIIIKQEIG >CDP17259 pep supercontig:AUK_PRJEB4211_v1:scaffold_150:122407:123824:1 gene:GSCOC_T00010913001 transcript:CDP17259 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKTTICSKLYMPLKDYPTFNLEKAVCNHGFFMMAPNVWDPSTKQFTRPLRLADSVNSVKVTISQPHDCSLLLIEVHDMECISDLDKEAIRAQVARMMRLSPKDEKDLQEFQNIHPKFKNMGFGRIFRAPSFFEDVVKSMLLCFCSLQNSLTMAKMLCDLQSELSNGLKRKRNSVRNFPSAKELAGIDVEILKDHCKLGLRAKHIHEFAKDYHRGRYRLRNFEKCASHEELYQKLMKIKGCGAYVSNNVLMCLGFYEKVPIDTETVRHLQEVCMQASPLYFFCFIVFFHQP >CDP17262 pep supercontig:AUK_PRJEB4211_v1:scaffold_150:483525:521468:-1 gene:GSCOC_T00010924001 transcript:CDP17262 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEANLAGKFAGLAVNDSNNSMNSSSNPNNNDGLFQVMKAVEAAEATIKQQVDENNRLRAELQKKIQELEKYRSNDLKVHHSDLINHSGDNARIASYDNQPVFGVENQVGRIVSLDNSSSCGLPNAVIPNKDLVHNDDHLVMQAREEVQSENSRANGTLNVFSGGQVATENIGFSQQSSPSTTSFSTSRFQADEELNPQQNLPTQGLMSVAQDLALNNREHEEEILRLRKHLAEYSVKEAQIRNEKYVLEKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIRLTYALQAAQQERSTFVSSLMPLLAEYAFQPHAADAQTIVSHVKVLFRHMQEKLLVTEAKLKESQYQLAPWRSDVNPSNFSPSPSHSSGIRNGLELVPQPPYSNGKIPMTSDPQTTTDWDLLGNHQSALGGGGGVKNPESDDLGRYSPLASRDIANQQLAAHAVSMGESVPVHHKDVTSTKQVTFKDLVSSDMEDSDLEGQQNDTEPQDNWASKSSAYTSALDDASTSYSPYLPPVLEEPSSSFSEAGEDDPLPAIKGLQISGEAFLGQELLACGFSMNGTTSCNFEWVRHLEDGSFHCIDGAKQPNYLVTADDVDTYLAIEVQPLDNRKRKIVGLHLLFCALFTCMLLYQSNVWIGVCSPLKRGGVGLGGGVVKRGRERRGGGGGGYGDGGGGGIEEAKEEGCWVESGELVKVFANEHRKITCDLQMQHCIERTLYSGHASYKVSLSTGFLDIWEPATLGIKRDSYSIKCSGPSGVVVSEKFSSSTIVSIPCGSPTEFSIIDGQGIERLLRSENSSNDISSSRDTIVLILRLFVIRAIERKKGKKRGLFFK >CDP17260 pep supercontig:AUK_PRJEB4211_v1:scaffold_150:198130:201480:-1 gene:GSCOC_T00010914001 transcript:CDP17260 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIVSLSQTLEDLFSKEPTDIIRHKLILLRNLIGFVTMRGVECKQLTDPLSQVATVAARLFSVCQLDRYDKQVVNRMKSEISQLIHEKINPLDTQVRETYIDVLRASKKQSRSSFALALEENECPVVVQFVESLHHYLMDLPGYHASFQVPVKDHILKLHQGIRYLSTLLKQEEKLDDEMKDLLGLAVCDAGILIFSLSVNEIKEGLPRETYLRLFHLHKVLKYMMADLAHNYLVTSPYSSCNYRRSNELGYMDFFLEDLQELARCDEADDSNVVRLDRIQLVHKDLVFLRSVLENIKEQRFQNGKLQVFWSYVMEVAYKAELLIDSTLVGDKCEDSLDAIARDINLLKIEALEIHNGQTQRVNKNSILIPSQLAVAVHNEDLVGLDDKVKTIIDRLTRGLKQLDVVPIVGMPGLGKTTLANKVYTASSVRSYFHVRGWCCVSQTYSKHSLFVQLLCSIHSKSPNEYLKMDENDLVQKLKQVLLRTRYLLILDDLWDVEAWNLLENSLPNDVNGSRILFTSRFQDLSLQFKPGSKSYHLCQLTDEESWVLLQKKLFDKEGCPPKLSEVGYQIAKFCRGLPLTVVLVAGILATIAQDSWEEVAKSLKDEVIDVRRLLWLWISEGFVQQTEGKSLEEAAYNYLMGLINRSLVVANKKGAMNGAKTCQLHDLVHEFCMKKAEEECFLHIIHSWKDPFGLTGPSNPHRVCVHDTRKLKIWELMLLFPNLRCLLLPENDILLPQEEDWGILLPKLLRVLDLGGLIIGKSFPMEVVLLVHLRYLVLSGIRSIPSAIDNLSRLKTLIVQGVDFVVKLPDTIWNIKTLSYLRTMLPHGFSFPDGNLEVSPDLDHLETLSLAIDPSAQCLQRILKKLPSIRWLKCWRSSGLQACARNRDKILVFNCLKLESLHLENFRNYRFEFPLNLKKLVLEGNRQPWSEISTIGKLPHLEVLKLLDDSFIGEEWEMKEGEFPSLRVLKLSDLDIRRWTASSGNFSHLEKLVVDNCDELEEVPSCLGECPTLETIEVTDCPESVVNSVNQIRQEQLDMGNAILKIVIENPSEEESNSQEAEEISSETESFDSGESE >CDP17264 pep supercontig:AUK_PRJEB4211_v1:scaffold_150:760075:762111:-1 gene:GSCOC_T00010932001 transcript:CDP17264 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLSKMSGKVCVIGSKILYSRKNQKPIQRTVPCYPIRTLAVQNQIMLEHKRWYITDFYFHFGLYLYEEEN >CDP17265 pep supercontig:AUK_PRJEB4211_v1:scaffold_150:765847:766658:-1 gene:GSCOC_T00010933001 transcript:CDP17265 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKERFLENLFLHNGAAVWCIGFQKIQGQGLTILGDLVLKDKIVVYDLAGQRIGWANYDCKFPYQEHFPVVDLIFTVPSIHHLSDWIKRGNLD >CDP17266 pep supercontig:AUK_PRJEB4211_v1:scaffold_150:777704:780368:1 gene:GSCOC_T00010935001 transcript:CDP17266 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVTAPISNEVLVGLNDEVESIIDRLVSGPLQQDIVAIVGMSGLGKTTLANKVYSHLSVEYHFHIRAWCCFSQVYTKHSLLAQILYLIILDDVWDIMGWDLLKHSLPDDCNGSRILLTSRFQKLCWQIKPGSEPHHLRPLTEDESCELLQKKLFAKEDCPPVLGKVVMHVAKYCKGLPLTVVLVAGILATTEQDCWEEIVRRLTSSIYVDNEHCMKTLEQSYNYLPDYLKPCLLYFSAFKEDQDIPVREMLWLWISEGFVQEIEGKSLEDVADEYLMDLIGRSLVMATQHRSLGGIKACRIHDLIHEFCVAKAKEESFLQILHEDDFSTCTRPCNPHRLSIHPSIPHINHVTRIEGLSFPNLHCLLFFGDRPTLLEESSYKFLLCKLLRVLDLRNCSYPTLSFPREVVFLVHLRYLRIRNFLGNIPSAIAALSRLETFAVEGNPRRYLLPNTIWNIKTLRHLVTSEFGGGFIFPIDGLEGSPDLKHLDTLTLAIEAPPQNLQKILSKLPSIRRLTITSVGNHGGILVLNYLSRLESLKIRRFDRYEFEFPLNLKKLTLSDNCQPWSKISAIGKLPNLVVLKLYRDSFLGQKWEMEEGDFCNLRFLKLSELDIRWWTASSGESVTLGLIKVIRCSQSVVNSVEQILQEQIDLGNKGLKIVNTNEMKNWDGYFYS >CDP20661 pep supercontig:AUK_PRJEB4211_v1:scaffold_1500:2577:6308:1 gene:GSCOC_T00002063001 transcript:CDP20661 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMPFSLRTCTTPINRYILTSTPRLVVNQNLRNAESSVIKFSSNRNSSFDANSKEPSISSGICSRRGAIGIGLCFSLVEFILQPEPTAAAEATPCEFTETPSGLAFCDKVSGDGPQAEKGQLIKAHYTGKLVNGTVFDSSYNRGKPLTFRVGVGEVIKGWDQGILGGDGVPPMLTGGKRTLKIPPELAYGARGAGCRGGTCIIPPNSVLLFDVEFVGKA >CDP20662 pep supercontig:AUK_PRJEB4211_v1:scaffold_1502:23635:24996:-1 gene:GSCOC_T00011627001 transcript:CDP20662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin [Source:Projected from Arabidopsis thaliana (AT1G08700) UniProtKB/TrEMBL;Acc:Q0V7S4] MEDGGGGSILESIGSEIIGVMSPVSICMFLVVLLVYSLTNTSASSAVRTAANLVYLESPTDTPAEKLEGALLNALVFVILIAFVTFVLVLLYYYRCTSFLKHYTRFSAFFVLSFMGGPIFLTIIQHFNIPVDSVTCFILLFNFSILGVLSVFSNGVPILIRQSYMVALGIVVAAWFTRLPEWTTWVLLVALALYDLVAVLAPGGPLKILVELASRREEELPALVYEARPTTNANISGSRGGSTLAGLLVAGMSQNDGSDHGVELQAVSASPRTNIGNYENEIASESGHMVINMDNDDEEEVEVVREDQEETSPLMAGNSRERSRSPMMYESTDNSSRRLNEVAVAEMMRPRRERDGDEMIEVMNGRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLAVCRHALPALPISIALGVIFYFLTRLLMEPFVVGTSSNLMMF >CDP20664 pep supercontig:AUK_PRJEB4211_v1:scaffold_1504:7491:11905:-1 gene:GSCOC_T00006771001 transcript:CDP20664 gene_biotype:protein_coding transcript_biotype:protein_coding MADALIASTIKVALEKTLSLANERIGTLFQFKEDLETLRGSVAMIQAVLADAEENQTHDQVVQLWLQRLEAVAFDAENLLDELNYEALHRQLVGKVRSFILSSDINIVFPRRMASKIRDINKKLNKINKEANDFGLIRRATFPYSTTAKVTLNRETDSSAGHYVVGRAKDETRLVETLLSLSEKAVSVIPILGMGGLGKTTLAQSVYNNSHVHSHFEKKIWVCVSDNFEETRLLKMILESLTRRNVEMTNRDVIVQEIREQLVGKKYLLVLDDVWTEKLKLWDDFLNLLLGLNATNGNWCVVTTRKQQTASIVATHDPYVLGKLSDDDCWSILIKKAIAGGEIPEQLHVMKEEIIKKCGGLPLAASVMGGLLRMKRKEEWKLILINKLSNLSGDEDAVMEVLKLSFDCLPSPSIKKCFAYCSILPKDSKMKGDMLIELWMAEGFLQTDVNSQMMMEEIGMNYLRILLQSSLFEETRNYWGTHYKMHDLVHDLAESMSKSTKVINSGDAHIIDIGNQIRYLAIDSSGGVYSKKLFAGISTSLHTLFIVKGDLSGDMLIKLKNLYVLNLSGARTQELPVSIGKLIHLRYVNISNLQISILPDSLCKLYNLQTLTLSYSKVKDLPKGMCNLISLRHLHYYRGDENFQMPLEMGRLTCLQTLEFFNVGREKGRQIGELGCLKNLKGKLELRNLQLVKDRKGAEEANLSEKANLFRLQLEWAWALDREGDNYNYDRDVLDGLQPHPNLEELVIQRFMGDQFPRWLMDLPTTLPKLALLELYYCHRCRELLPLQNFASLKQLEIRGCNGLTNLPTDMLQSCSSLQKLRVIDCDNLITFPLDLQQTPSLLEVGLWVCPKLKTSMTPKGFGVLTSLRKLLIGPFSDDDHENSSIYNEFDWSGLISSSSSSSTLRELLLYGLPHAQSLPHQLQYLTTLTSLYLFHFGAVEALPDWFGNFVALEELTLYNFKKLGHLPSMDTMRRLTKLTCLHIYRSPLLKERCTPEHSGPDSQWSKVSHIRDLCISG >CDP20663 pep supercontig:AUK_PRJEB4211_v1:scaffold_1504:406:525:1 gene:GSCOC_T00006769001 transcript:CDP20663 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGRNRGWVGVIKNREKHKGSFETIHVQDATGHEFAA >CDP20665 pep supercontig:AUK_PRJEB4211_v1:scaffold_1509:1:2441:-1 gene:GSCOC_T00003705001 transcript:CDP20665 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIQKSCELSKERLDAFPEAKNHFKEDEEEEHFSYAMQLVTSAAQPMVLLAAIRLDVFEIIARAGPGAQLSPSEIAANVSSENPNAAAMLDRMLRLLASYSVLTCSVATDVDGDHEIQTPTRVYGLAPVAKFFVQNKTKGGGSLSSLLALLQDKVFIDSWYQLEDAVRKGGDPFHRAHG >CDP17269 pep supercontig:AUK_PRJEB4211_v1:scaffold_151:253548:255663:1 gene:GSCOC_T00010636001 transcript:CDP17269 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLVTAILACFLVHTAPSAAASDDGFIYQGFQSSNLSLDGSATVTNNGLLRITNNTKSQSGHAFYPNPINFKSTSNSSAFSFSTQFVFAIVPDVPGVIGPGMALVIAPTRNRTEGPMSKFYSMESLGLFDRNTDGNQTNHVFAVELDTFQNSDLGDINANHVGIDINSVRSKVSRPASYQANNKNSFDSLTLSSGQSMQLWVEYDGVDRRIDVTLAPVAATKPHTPLLSLTYDLSQILVQNMYVGFSAATSPVERGTSHFVLGWSFRMNGVAQALDLSQLPKLPRSGHKKVSKFFTVGLPLICLLLWLVLTYGVAYYLRRKWKFAELLEEWELAYGPHRFKYKDLYIATKGFREKELLGEGGFGRVFKGVMPTNKVEVAVKKVSHQARQGMREFVAEIVSIGRLSHRNLVPFLGYCRRKGELLLVYEFMPNGSLDKFLYDQPKYTLHWSQRFHVIKGVASGLFYLHEEWEQVVIHRDVKASNVLLDGDLNGRLGDFGLARLYDHGTLPQTTHVVGSPGYLAPEHNRTGKATTRTDVYAFGAFLLEVACGRRPIEPRAAPAENFILVDWVFSCWKAGNVLQAVDQRLRTEYVKEEAELVLKLGLLCSHSEPQSRPSMRQVLLYLEGSVALPELSSLPMGVSAVGLGFAHPAGFEHTTSSFASSTDKSFSHSVVDSVLSGGR >CDP17279 pep supercontig:AUK_PRJEB4211_v1:scaffold_151:711198:714357:1 gene:GSCOC_T00010659001 transcript:CDP17279 gene_biotype:protein_coding transcript_biotype:protein_coding MICQTFDQYLEGQTGPWFNMSNFYIHKLCRFHQFVIPFKSKTSDDVGFIYQGFQSSNLSLYGLAKITNNGLLQITNNTKLQTAHAFYPNPINFKKTSNSSAFSFSTQFVFAMVPDVPGATSPGMAFVIAPTRVLARGPSTKFLGLFDETTNGSPKNHVFAVELDTFQEQEFGDINDNHVGIDINSVKSKVSQPASYQANNKNSFDNLTLSSGQRMQLWVEYDGVDRRINVTLAPIVAAKPNTPLLSLSYDLSPILLQTMYVGFSASTSPIELGTVHFVLGWSFKMNGDAQALDLSRLPKLPRFGHKKVSKFFTVGLPLLSLLFLLIVAFGAAYYLRRKWKYAEVLEEWEHAYGPHRFKYKDLYIATKGFTERELLGEGGFGRVYKGVLPTNRVEVAVKKVSHQARQGIREFIAEIVSIGRLRHRNLVPLLGYCRRKRELLLVYEFMSKGSLDRFLFNQPKRTLNWSERFRVIRGVASGLLYLHEEWEQVVIHRDVKASNVLLDDELNGRLGDFGLARLYDHGTLPQTTHVAGSLGYLAPEYSRTGRATTSTDVYAFGAFLLEVACGRRPIEHRAVPEENIILVDLVFSCWKAGNILQAVDQKLGTEYVKEEADLGLKLGLLCSHSEPEIRPSMRQVLLYLEGSVALPDLSVLAMGVSAVGLGFGHPAGFEDIKSSFATSTDKSFSYTAEDSILSGGR >CDP17280 pep supercontig:AUK_PRJEB4211_v1:scaffold_151:789902:792101:1 gene:GSCOC_T00010661001 transcript:CDP17280 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRLVTAILSYFLVHTAAGAADAAASDDFGFIYQGFQSSNLSVDGLAKITNNGLLQINNKTKLQTAHAFYPNPINFKTKSNSSAFSFSTQFVFTMVPDGSGFPSPGMAFVIAPTKVLARGPSTELLGLFDASTDGSPTNHVFAVELDTFLDQQYADINANHVGIDINSVKSKVSRPASYQPYNKNSFENLDLGSGQQMQLWVEYDRVDRRINVTLAPTVAAKPHTPLLSLSYDLSPILQQTMYVGFSASTSPVDNVGLANFVLGWSFKINGDAQALDLSQLPKLPRFGHKKVSKIFSVGLPLLSLLFLLIVAFGAAYYLKRKWKYAEVLEEWELAYGPHRFRYKDLYIATKGFTEKELLGEGGFGRVYKGVLPTNKVEVAVKKVSHQARQGIREFIAEIVSIGRLRHRNLVPLLGYCRREGELLLVYDFMSNGSLDRFLFNQPKRTLNWSERFRVIKGVASGLLYLHEEWEQVVIHRDIKASNVLLDGELNGRLGDFGLARLYDHGTLPQTTHVAGSLGYLAPEYSRTGRATMSTDVYAFGAFLLEVACGRRPIEHRAVPEENIILVDFVFSCWKAGNILQAVDQKLGTEYVEEEADLVLKLGLLCSHSEPEIRPRMRQVLLYLEGSVALPDLSVLAMGVSAVGLGFGHPAGFEDIRSSFATSTDKSSSYTVENSILSGGR >CDP17270 pep supercontig:AUK_PRJEB4211_v1:scaffold_151:264898:267071:1 gene:GSCOC_T00010637001 transcript:CDP17270 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPVTAVVAYFFVHIAVGAAASDDVGFIYQGFQSSNLSLDGLATVTKNGLLRVTNSIILQTGYAFYPNPINFKTTSNSSAFSFSTQFVFAIVPDVPGVTGTGMAFAIAPRRKLAQVPSYPLLGLFDTNTNGNQTNHVFAVELDVYQDQDIEDINDNHVGIDINSVISKASAPASYQDNNKSSFDNLTLISGQPMQLWVEYDGVERRIDVTLAPMEAAKPHTPLLSLKYDLSPILQQTMYVGFSAVSSPLKTGITNFILGWSFRMNGVAQALDLSRLPKLPRIGHKKVSKIFTTGLPLIFVLMLIILTSGVAYYLWRKWKFAEVLEEWELAYGPHRFKYKDLYIATKGFREKELLGEGGFGRVYKGILTANKAEVAVKKVSHQARQGMREFVAEIISIGRLRNRNLVPFLGYCRRKGELLLVYEFMPHGSLDKFLYNQPKYILNWSQRMRVIKGIASGLLYLHEEWEQVVIHRDVKASNVLLDAELNGRLGDFGLARLYDHGTLPQSTHVAGSLGYLAPEHNRTGRATTSTDVYAFGAFLLEVACGRRPIQPRAEPPENIVLVDWVFSCWKAGNVLQAVDQRLRTEYVKEEAELVLKLGLLCSHIEPKIRPSMRQVLLYLEGSVALPELSSLAMGVSAVGLGPAHPAGFKDITSSFATSIDKSFSLVADSVLSGGR >CDP17275 pep supercontig:AUK_PRJEB4211_v1:scaffold_151:418657:420996:1 gene:GSCOC_T00010646001 transcript:CDP17275 gene_biotype:protein_coding transcript_biotype:protein_coding METEVSSYRRLDFDKVDQITNDEVSTPPVLNVIKDINKIHTLIPFELIPKMGMEFESEEDAYNFYLAYAKEVGFGIKRSSFHKDSNGKLMDRVFCCSAKGKRGKDKRDLNVRAPRPETRFNCSAKMKVNSRQTGKFRICQLIIEHNHYLSSPNKSHLHISHRKINSIHAAEIDMAYRDHISLAFTKRYRDLCRLYTQLVTKAAQAEETYKIAKEGLLKILDLVDARLHQEGSSNEMSNAAKVSNPTNNTTNASGINIKGIKKKMKTISGKRLKSSLEKATKRRRSTKKSFQNSITMELPASSHNEVLSHYNFTIFVIQYFLILCIQSLFFLFISL >CDP17276 pep supercontig:AUK_PRJEB4211_v1:scaffold_151:424156:424961:-1 gene:GSCOC_T00010648001 transcript:CDP17276 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSESSSFISTTGSAIPRGIIFTADCLTVKGTKDVLNKPFSFELSIGSDTMYFMAEFVEGERRLDQLHRMLNCAVFQYLPNVLPHDNAVYRALLCCNSALYDPFVDPKVIGDPYCTIFVGCLSHSTTEETLRQAMSIYERVKNLRLVRHIG >CDP17271 pep supercontig:AUK_PRJEB4211_v1:scaffold_151:350992:353215:1 gene:GSCOC_T00010641001 transcript:CDP17271 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPVTAVVAYFLVHTAVAAAASDDVGFIYQGFQSSNLSLDGLAAVTKIGLLRVTNSTILQTGYAFYPNPINFKTTPNSSAFSFSTQFVFAIVPDVPGVTGTGMAFAIAPRRQLAQVPSYPLLGLFDTNTNGNQTNHVFAVELDIYQDQDIEDINDNHVGIDINSMTSKASAPASYQADNKSSFDNLTLISGQPMQLWVEYDGVDRRINVTLAPIEAAKPHTPLLSLKYDLSPILQQTMYVGFSAVSSPLKTGITNFILGWSFRMNGVAQALDLSRLPKLPRIGHKKVSKIFTMGLPLIFVLMLIILTSGVAYYLWRKWKFAEVLEEWELAYGPHRFKYKDLYIATEGFREKELLGEGGFGRVYKGILTTNKVEVAVKKVSHQGRQGMREFVAEIISIGRLRNRNLVPFLGYCRRKGELLLVYEFMPNGSLDKFLYNQPKYILSWSQRLRVIKGVASGLFYLHEEWEKVVIHRDVKASNVLLDAELNGRLGDFGLARLYDHGTLPQSTHVAGSFGYLAPEYSRTGRATTKTDVYAFGAFLLEVACGRRPTDPRAVREESIILVDWVFSCWKEGDILQAVDQKLGAEYVKEEAELVLKLGLLCSHSEPNLRPSMRQFLLYLEGSVALPDLSSLAMRGSAVGFDVSTDKCFSHSVADYLLSDGR >CDP17272 pep supercontig:AUK_PRJEB4211_v1:scaffold_151:375762:377778:1 gene:GSCOC_T00010642001 transcript:CDP17272 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKLVTAILACFLVHTAPSAAASDDVGFIYQGFQSSNLSLDGLAKVTDNGLLRITNTTKSQSGHAFYPNPTNFKSASNSSAFSFSTQFVFAIIPDTNHVFAVELDTFQNPDLGDINANHVGIGINSVRSKVSRPASYQANNKNSFDNLTLSSGQPMQLWVEYDGIDRRIDVTLAPIAAAKPHTPLLSLTYDLSPILVQNMYVGFSAATSPVERGTSHFILGWSFRMNGVAQALDLSRLPKLPRSGHKKVSKFFTVGLPLICLLLCLILIPGVAFYLRRKWKSAEVLEEWELAYGPRRFKYQDLYIATNGFTEKQLLGNGGFGRFSKGVLPTNNLEVAVKKVSCHARQGMRAFVAEIVSIGRLSHGNLAPLLGYCRRKEELLLVYEFMSNGSLDKFLYDQPKYTLHWSQRFHVIKGGASGLFYLHEEWEQVVIHRDVKASNVLLDGELNGRLGDFGPARLYDHGTLPKTTHAAKYEASSVVLGGIGCPARLSSLPMGVSAVGLGFAHPAGFEDTTSSFASSTDKSFSHSVVDSVLSGGR >CDP17278 pep supercontig:AUK_PRJEB4211_v1:scaffold_151:479043:483522:1 gene:GSCOC_T00010655001 transcript:CDP17278 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRLVTAILAYFLVHMAAASDDVGFIYQGFQSSNLSLDGLAKITNNGLLQITNTIRLQTGHAFYPNPINFKSTSNSSSSGYSNQAQTFSFSTQFVFAMVPDVPGISGAGMAFVIAPTRDLPGGPSTKFLGLFNESTNGNRTNHNSFDNLNLSSGKRMQVWVEYDGVDRRIDVTLAPIAAAKPNTPLLSLSCDLSPILQQTMYVGFSASTSPIDIGIAYFVLGWSFKMNGDVQPLDLSRLPQLPRRKWKFAEVLEEWELAYGPHRFKYKDIYIATKGFTEKQPLGEGGFGQVYKGVLQTNMAEVAVKKVSHQARQGMREFIAEILLLVYEFMPNGSLDKFLYNQPKYILSWSQRFRVIKSVALGLHYLHEEWEQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGTLPQSTHVAGSLGYLAPEHNRTGMATMSTEQNPERI >CDP17277 pep supercontig:AUK_PRJEB4211_v1:scaffold_151:430021:432369:1 gene:GSCOC_T00010650001 transcript:CDP17277 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKQVTAILAFFLVHTAAGAAASEDIGFIYQGFKSSNLSLDGLAKVTDNGLLRLTNTTKSQSGHAYYQSTVNFKSTSNSSAFSFSTQFVFAIVPDVPGLIGSGLAFVIAPTRNHTGGPMSRFNIMETLGLFDTPANGSQNNHVFAVELDTFQNQDYGDINANHVGIDINSVRSKASSPASYKANNKNSFDNLTLASGQPMQLWVEYDGADRRIDVTLAPVAAAKPHTPLLSLPYDLSPILQETMYVGFSAATSPLERGTSHFILGWSFRMNGVAQALDLSQLPELPRSGHKKVSKIFTVGLPLIFLLLWLTLIPGAAYYLKRKWKFAEVLEEWELAYGPHRFKYKDLYIATKGFTEKQLLGEGGFGRVYKGVLPTNKVEVAVKKVSYHARQGMRAFVAEIVSIGRLSHRNLVPLLGYCRRKEELLLVYEFMSNSSLDRFLYNQPKYSLNWSQRFRVIKGVASGLFYLHEEWEQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGTLPQSTHVAGSLGYLAPEHNRTGMATTSTDVYAYGAFLLEVACGRRPIEPRAEPADNIILVDWVFSCWKAGCILQAVDHNLGNEYVKEEAELVLKLGLLCSHCEPKIRPSMRQVLLYLEGSVALPDLSSLAVGVSAVGLGFAYPSYEEITLSAATSADNGFSHSVADSLLSGGR >CDP17268 pep supercontig:AUK_PRJEB4211_v1:scaffold_151:247091:249082:1 gene:GSCOC_T00010635001 transcript:CDP17268 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLGTAVLAYFLLPIAAGAAASDDAGFIFQGFQQSNLSLDGLATVTNNGLLRITNTTISQTGHAFYPNPINFKSTSNSSAFSFSTQFVFAIVPDLSGLTGTGMAFVIAPTRNLTKVPDSQFIGLFDNSTNGNQTNHVFAVELDTYQNQDFGDINDNHVGIDINSVISKVSRPASYKANNKNSFENLTLISGQQMQLWVEYDGMDRRIDVTLAPIAAAKPHTPLLSLRYDLSPILKQTMYVGFSAASSPLEIGTSHFILGWSFKMNGVAQAIDLSQLPKLPRFGHKKVSKFFTVGLPLICITFLLILISGVAYYLRRKWKFAEVLEEWELAYGPHRFKYKDLYIATKGFREQELLGEGGFGRVYKGVMPTNRVEVAVKKVSHHARQGMRGFIAEIISIGRLHHRNLVPLLGYCRRKGELLLVYEFMSNGSLDRFLFNQPKRTLNWNQRFRVIKGVASALLHLHEEWEQVVIHRDVKASNVLLDGDLNGRLGDFGLARLYDHGTLPQTTHVAGSFGYLAPEYSRTGRATTKTDVYAFGAFLLEVACGRRPIDPRAVPEESIILVDWVFLCWKEGDILQAVDQKLGAEYVKEEAELVLKLGLLCSHSEPNLRPSMRQVLLYLDGSVALPDLSSLAMRGSTVGFDVSTDKCFSHSVADYLLSDGR >CDP17273 pep supercontig:AUK_PRJEB4211_v1:scaffold_151:389344:391424:1 gene:GSCOC_T00010644001 transcript:CDP17273 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPVTAVVAYFFVHIAVGAAASDDVGFIYQGFQSSNLSLDGLATVTKNGLLRVTNSTILQTGYAFYPNPINFKATSNSSAFSFSTQFVFAIVPDVPGVTGTGMAFAIAPRRKLAKVPSYLFLGLFDTNTNGNQTNHVFAVELDIYQDQEIEDINDNHVGIDINSMISKASAPASYQANNKSSFDNLTLISGQSMQLWVEYDGVDRRIDVTLAPIEAAKPHTPLLSLKYDLSPIFQQTMYVGFSAVSSPIATGITNFILGWSFRMNGVAQALDLSRLPKLPRIGHKKVSKIFTVGLPLIFVLMLIILTSGVAYYLWRKWKFAEVLEEWELAYGPHRFKYKDLYIATKGFREKELLGEGGFGRVYKGILTTNKVEVAVKKVSHQARQGMREFVAEIISIGRLRNRNLVPFLGYCRRKGELLLVYEFMPNGSLDKFLYNQPKYILNWSQRLRVIKGVASGLFYLHEEWEQVVIHRDVKASNVLLDAELNGRLGDFGLARLYDHGTMPQSTHVAGSLGYLAPEHNRTGRATTSTDVYAFGAFLLEVACGRRPIEPRAEPPENIVLVDWVFSCWKAGNVLQAVDQRLRTEYVKEEAELVLKLGLLCSHIEPKIRPSMRQVLLYLEGSVALPELSSLAMGVSAVGLGPAHPAGFKDITSSFATSIDKSFSLVADSVLSGGR >CDP17274 pep supercontig:AUK_PRJEB4211_v1:scaffold_151:418461:418595:1 gene:GSCOC_T00010645001 transcript:CDP17274 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLELLTLVVIFRWFLLTRDLRVVERKKPGKAKTRRSFQWVKR >CDP17281 pep supercontig:AUK_PRJEB4211_v1:scaffold_151:806484:809345:-1 gene:GSCOC_T00010663001 transcript:CDP17281 gene_biotype:protein_coding transcript_biotype:protein_coding MLINFLKKVTCETNLAIQICRSFAVKDDIFCLFEGALDNLGSLKQQYRLPKSSNEVVLMIEAYKALRDRARYPPNHVVGYLEGNFAFIVFGKATSTLFVATDEKAKVPLYCGIIADGWVAFVDDADLLKGACGKSLASFPQGSFFSTALGELRSYENPKNKITAIPAKEEEIWGAKFMVEGPAVFAVTK >CDP20666 pep supercontig:AUK_PRJEB4211_v1:scaffold_1510:18440:19170:-1 gene:GSCOC_T00002082001 transcript:CDP20666 gene_biotype:protein_coding transcript_biotype:protein_coding MYYHYTIFSSTRTQTPLFSQLTHVHFSYICTHALFLHLHTCTFLTFAIALLPYCPIACFSFCFSCFLPPEHSSDRSEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVHGAQKLQQQNLSLDEIDILEQNFLTYLFQVQCYLHPFCVRRNAACFTKSSSFILHTLHFTFCIFLFYFILFYFCTLLTFSHLHSYLSLHLHYP >CDP20669 pep supercontig:AUK_PRJEB4211_v1:scaffold_1511:13666:15708:1 gene:GSCOC_T00011604001 transcript:CDP20669 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDEMELNEESDGPRRWSGGVEGYWYWAGASSVQLLWAILSLRRGYAGDSRLMPFKAFGVASLFVGSAASATIGSLRASGIHSVDDMKTLGANIRSGLGIRPRAQDN >CDP20670 pep supercontig:AUK_PRJEB4211_v1:scaffold_1511:16006:22330:-1 gene:GSCOC_T00011605001 transcript:CDP20670 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLRRTGFLLLKNNRLSTALKSCNGLGDSFLDYMPTKYASNMGFAPFSSTPIGEPGASSFVRREMMNNGAKYVRDGSYAPRVKHEAGGLPLLLKKQWTRRNFITSQSSFQPAMMSRINSNISSSARSFSIKASDSKGQNESETRKDISTVEDPFDAPTYNIPEKPVTFVEGASYTVFILAGLGVAIAAAYAVLTELVFEPKEYKIFGKALERVQNDSQVRVRIGSPITGYGSESRNRAARQRIPNRIWTKDGVEHVAVDFYIRGPHGAGKVYAEMFKDEDKQWKFVSLVVELTSPSRQMLLLESYIPA >CDP20668 pep supercontig:AUK_PRJEB4211_v1:scaffold_1511:8139:10073:1 gene:GSCOC_T00011602001 transcript:CDP20668 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGDGADELTAPLLNQSPVRGNGTGKLESCVKSSKPLIEYRRHSRPSVSSVVKNGVFTSSPDRDERKLKGAGAGAGAEAEAQNTESRSSKFLIKIRQKTSKFAEEIQPEEEGKAEVKVQDNEVQDEGKLLASFDDDEPLQKTWNFRPRKPIRPSLNLNGSGFKNNGSTVQHAKRAQSPQVNPNSGNRSENQKKEKRKIGFTLALSREEIEEDLFALLGSKPSRRPKKRSKTVQKLMDNVFPGAWLQSITADSYKVSEHPGKA >CDP20667 pep supercontig:AUK_PRJEB4211_v1:scaffold_1511:5886:6293:-1 gene:GSCOC_T00011601001 transcript:CDP20667 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGFFMICILHSLVALSCGGVMMFHSYEFLEFSHGRDHASKFAGSTPHDQLLIRTSDSFSGLLLFAIGFLLVMVAFVKDRDFQSYFARRCVLLHSRPQFGEYTSRESLKSSTAAIGFKGFPNLDTKWSVSRFS >CDP20671 pep supercontig:AUK_PRJEB4211_v1:scaffold_1513:7908:8492:-1 gene:GSCOC_T00008003001 transcript:CDP20671 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLQHFHVLDGGCYLSKVIFHVYLGSFTKISCSQFSSKSWLQEALEPAFQRDGQRIGTEIWRIENFQPIPLRKSDHCKFYLGDSYIVLQVHMASNISSFVSYFGPHFYELSVYD >CDP20672 pep supercontig:AUK_PRJEB4211_v1:scaffold_1516:24528:25792:1 gene:GSCOC_T00003153001 transcript:CDP20672 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFLVQFCKICDVLQMIMVKEEGDSVPDEVEYRHGLTPPMRDARRRRFRREPDLNPELVWRAEKDLQNIMAAVEHEEDGEENARNASKKVEPKPPEKPDVPEAGTAGGEPDRSDSDESDYSI >CDP20674 pep supercontig:AUK_PRJEB4211_v1:scaffold_1518:5774:5965:-1 gene:GSCOC_T00006310001 transcript:CDP20674 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSKILIIGGTGNIGKYLVEASAKWMTVLTACLMIWIPSWPQLNSGQCKTNHILLVSLVLA >CDP20673 pep supercontig:AUK_PRJEB4211_v1:scaffold_1518:1683:5403:1 gene:GSCOC_T00006309001 transcript:CDP20673 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIHRFDYNAPSSLLNHSHSGFLSPAPSYFRSLSGLNAEGFESLDANMSAKGMRISVSTKEELGSSLERKDAAL >CDP20675 pep supercontig:AUK_PRJEB4211_v1:scaffold_1529:6422:7375:-1 gene:GSCOC_T00000804001 transcript:CDP20675 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSESTPSLEEGLVSRMSTKKELMEALKQEKTSLMAICGMGGVGKTTLVKQIADQVKFEKLFDKVAMATLSQSPDMRNVQDQLAEQLGLKITEQTDLARAKRLYTRLTNSDERILVVLDDIWKELDLKSLGIPVKGECKSLKVILTSRFKDVCSGMRAEIFEVNVLRIEEAWHLFKEVAEISDDSALSGVAKQVAEECKGLPLAIVVVAKALKSNHTPESWDRALRQLKQYTIRELEGDEDLVFSRIKFSYDYLKSAEAKSLLLLCSLFPEDYSIPIECLVRYGKGLELFPDRRRLVDVRDRHADWSPEKLLFVVK >CDP20676 pep supercontig:AUK_PRJEB4211_v1:scaffold_1529:30549:31070:1 gene:GSCOC_T00000805001 transcript:CDP20676 gene_biotype:protein_coding transcript_biotype:protein_coding MQYHKNLYLNNMGPFEDEKKSTNENNAEDEENDKVGSWYSNLGTGTSGSQGLGGGVGKYLKARNSQVESTTAVDSSLPAVSVTKKRKVGVSTGEYKDFSLW >CDP20677 pep supercontig:AUK_PRJEB4211_v1:scaffold_1530:3816:4487:-1 gene:GSCOC_T00007019001 transcript:CDP20677 gene_biotype:protein_coding transcript_biotype:protein_coding MATYGEIDDLPRSYFLVVDVFESRDSGLAKLRVVWLQPLPNYRAWKKDARLPVGCGVFQGGKEQTLSLSLDRLSDQVWCKVKRSSYLIHPSIGEIWALYKDWDIVRWSSSPEKRRQCKYQIVEVLGRKSKGIRVAYSDKLEGFVSLFQRRSQSEKDSFLIEDKKLFRFSHKVPSCKMTGSKRPGVPEESFELDPKDLPADLC >CDP20678 pep supercontig:AUK_PRJEB4211_v1:scaffold_1530:22871:28321:-1 gene:GSCOC_T00007020001 transcript:CDP20678 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKSLGLNQEQIEYEDNVLETREKILELRLEENVAKGNELEAREKILKLSKELDLKRAEVKSVPRSKEQCSNEPNSTNTIPSGKEMGQKSRKRECMEMNGNCGSLKRCRLVEEIFCCREDNLVEETIAYDLNELGSAHSGNSDSRCDRNGPGSANPGFGSALVYSELIPDHKEFDSNSTALSLGLGSDSAAGDTSSLYAYENQVKLEEISELGNDAEQIHGHDVGKAYTHSTDISDSRPTVYSNLLITDFDKDKLKSLSAGQTWASYSEDDFPRSYFRVLKVLHKPRRSGLVRLRIVWLQPLPNYIAWKKVWKELKYVNLPIHWNEWIDTGLPVGSGVFKCGKEQTLSLSLDSLSGRLCCKNKNSPYLVHPGKGETWAIYNNWDIVRWTSNPENHKHCKFDIVEILGRNPNGFRVAKLDKVGGFVSLFQRSSQSSFLIEDQELFRFSHKVPSFRMTGTERQGVPKGSFELDPKDLPADFVEVDNIQA >CDP20679 pep supercontig:AUK_PRJEB4211_v1:scaffold_1530:28454:29330:1 gene:GSCOC_T00007021001 transcript:CDP20679 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFFSVLFYCLLSLGKRDRLIINKQSLRFCYPFFFSGEFKVNYNSRLVIVAWSKPVQIK >CDP20680 pep supercontig:AUK_PRJEB4211_v1:scaffold_1531:969:3825:-1 gene:GSCOC_T00008769001 transcript:CDP20680 gene_biotype:protein_coding transcript_biotype:protein_coding MLCIYSGFHVNVRVQVQETGYVYFSFTLLWGLCQKFDAGSNVVWFFILLQIFTWMEAKERSRMSAADHAMRLELTIKVHTVGEAEEYFETLPDTVSKKAACLHLLHSYVKEKSADKAEALMQKMNSLGLIVNPHPFNEMMKLYIATSQHKKVLAVIVQMKQNRIPRNALSYNLWMNACAELFGVGSAEEVYKEMIHDKNVVIGWSSLSTLANIYQKSGVVNQAFWALREAENKLCSFKRLGYLFLSTIYTSLNRKDEVVRLWKASKEVKGRITCANYMCIFSCLVKLGHIKEAENIFLEWESQCRTFDMRVPNILLGAYMKRAESLFIRSLNRGGCHSIFKGKCMGADLASYLYKNRIVYLGMSSLVPSVTELILAEFHYLQYEDEQKPIYPYINSTGTTKDGEKLGYETEAFAIYDVMG >CDP20681 pep supercontig:AUK_PRJEB4211_v1:scaffold_1535:10962:15694:-1 gene:GSCOC_T00007455001 transcript:CDP20681 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTETVVLSNNQHEIVRQLADFPENIWADSISSFTLDEQGYDLCAKEIEMLKAEVMSMLLATSKTMMEKLNFINQIERLGILHHFEDEIENQLQQFFNLCTNLGEQQEYDLSAVGLQFRLFRQHGYNISCDIFDQFIDGNGKFQESLCSDMKGLLSLYEAAHVRTHGDKILDEALAFTTTHLKRGLSYVGSTLAKQVTHALEKPLHKGISRYEAYCYISIYEEDESNNKLLLRLAKLDYHSLQMLYKQDLCEIIRWGKKLDMRSKIPYARERFVECYFWAVGTFYEPKYSFARQMFAKIAVFVTIVDDAYDAYGTLEELKIFTDAVDRWDGNGIDQLPECLKTTYMTLLSLNKELEEILAKERRTYAFNKYIQEWENYTRTSFIQSKWFLTNELPPFADYLSNSLITSTYYLLAAAAFLGMDSASEDVINWMSTNPKLFVAFTKHARLINDVASHKFEKERGSGTPIECYMKDYNVSEEEAMKKFEEMCEDAWKVMNEECLRPTTIPREIFKVMLNLARICEVVYDQREDKFTCPSYLEGYVKALFIDSMSV >CDP20682 pep supercontig:AUK_PRJEB4211_v1:scaffold_1539:9861:20325:-1 gene:GSCOC_T00005433001 transcript:CDP20682 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQQQVVVKRARHKSSVKDPGTPGVLKLTKDRFTFMPNDPSSPVKHNVEFRTIKGHKFTKDGTKQALLNLTQDQGGNYIFEFENFPDRNVCKDFVASAIALSGEAGRTVPEKPAVPIQDEQLSAAEMERRIKLLQEDSDLQKLHKQFVIGGILSEAEFWATRKKLLEQNYSRRPKQRVALKNDMWSTKPLADGQSNRVTFNLTPEIILQIFAEKPAVRQAYLNFVPNKMTEKEFWTKYSRAEYLHSTKNVIAAAAEAAEDEELAVFLKQDDMLVNEARKKIRQVDPTLDMEADEGDDYMHLPDHGLPRNDNKDVLDSQYEPYRRSFSQHLNQHAAVVLQGRVIDVELGDTRSVAEALARTKHAELAKDASDVYLDKERLERISRMTEIEDLQAPRDPAVAPLSIKDPRDYFDSQQANAFSTLGDTVSGAKQLKCSVSTREAYCSLKECISEIKFQGLTEPTINSEVAFKVLNGLAQNISSNKYHLGRNPNESVLDSLPKITREELLLHWSSIQELLKHFWSSYPITTKYLLTKATKLKDAMSQIYPKLQEIKESVQSDLRHQVSLLVQPMLQALDAAFAHYDADVQNRLARSGERPNGF >CDP20683 pep supercontig:AUK_PRJEB4211_v1:scaffold_1542:27149:29931:1 gene:GSCOC_T00013339001 transcript:CDP20683 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRNPTGHLNFLDTTPSLPFLFLFITILVASQIPFGCTRQVHSSNFTCIESERQALLRLRDSLTDESNRLSSWIGEDCCSWDGISCHKTTGYVVTLDLRNTYLSPSLVNLTNLRYLDLSLNNFSGIRIPTYLGLLKDLRYLNLSDAGFVGEVPHHLGNLSHLRYLDIDLEGCRLVVPHLLYVNFTSLSSLKLSFNQFLNPTLPPWLRNLTGLQDLGLGANNLDDKVHDTFRQMTSLVNLDLGGNHFDTSTLRSICNISSLTSLDMSDNELQGSIPSEIGQFPQLTVLKLSNNRLNDTIPYSLWQLTKLQALYIGANALTGVLSEHHFAKLKELKRLDISLNLLSLHVSSSWVPPFQLQYIGMGSNHLSGRIHLCLGNLRNLRILHLGSNSLYGQIPGSLGNLGELIILQLSKNRFDGKLPPSMQNLKRLQSLDLGENRIADTIPPWIGERLSDLEFLTLQSNNFHGGISNTLCQLPYLQVLNLAHNDLSGSIPHCFKNFTAMESTEPGTFQYSNYTYHDPILHNFKAGIELEYSKNMESVKSISLSGNNLVGEIPDEIMGLVGLQTLNLSKNHLNGRIPKNIGNLKQLETLDLSMNELRGEIPPSLSSIYSLSFLNLSYNKLSGPIPSGNQLQTLNDPSTYEGNIGLCGKPLLNSCPAGESPTENGPVLDDKGHTESDFSWFYAGFGPGFSVGAVGVVGILQFKQSWRYALFK >CDP20684 pep supercontig:AUK_PRJEB4211_v1:scaffold_1544:28333:29395:1 gene:GSCOC_T00013534001 transcript:CDP20684 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDVSESNSNAIVTAAAAVSATPLAKEGYANGASSSSSRVRAPPPFLLKVYDIVKNPETESIISWSSSGTSFIVWDPHRFAAEVLGKYFRHNNFASFICQLNTYGFRKINWDRLEFQNAWFQKGKKSWLKKIKRRIQGTQNAHLCKLLGTEGQLSLSGEQKKFESLMQEHDALKVEIMKLKDREENQRRKWKSLRKKPSVLHPSCKIC >CDP20685 pep supercontig:AUK_PRJEB4211_v1:scaffold_1545:18171:22192:-1 gene:GSCOC_T00012897001 transcript:CDP20685 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRVDSVLHNLELLQSILEKRDSFETGFSNLREDFEALKLNLLFLKPVLLCARNWTDDQLKVRLRAFLSKIEAAVNRPGMDINSLYLRSKSAFNLKSLVTSLKPIVSNLLGKIKSFKQEIIDIYKTLSSCSSSESGSCLRNCELLDFIDSVLQNLFDLLSRRYFESMEGYNSTLHAHIEALEDKLTFLKNFIGFVKILSVEQRELEDLLAHIQVVALNAARLSYKCLFYKEDQEMQNPRMCSIISEILEKISPVDPQVCATYVKILKAPKSPESLLTTETGAQILRDFNDSLISSLWELLWCTTSFTVSVKDQMKRLYEGLRFLRSILNEPQENMNELNDKIVAVMSAAGIVIFSLFLNKVKELDVDSLVVGESADSCAMLVNTNNRVKLIVAQLRGSSISGSLPSDHSIRGQEVRRTTRFQPSRARVPMTDEFVVGFEDEAKKVINRLDRGSAKLQIVPIVGMPGLGKTTLAKKVYNSPSIRAHFHILLWSSVSQEYNMKNLLVQILSCVYGEVNELRVLNEDDLAEKLYKTLKRNRYLIVLDDVWDIRVWHGLSFSFPDDRNGSRVLITSRESNVASEVQFGVEPHNLRVLTVEESWTLLQWKVFGYPGCPQELRILGKEIATNCKGLPLTIVIIAGILSTIEDDAWGEVADSLTSTIVYATDQCKSTLELSYRHLPHYLKRCLLYFGAFREDQEIETVKLMRLWIAEGFVSAEVETVPDTEPKRMADLAEEYMMDLIGRNLVMVAKRRHIGGVKTCRIHDLLHEFCKEKAKEENILQVLRGYGELSTFNEHPYLERLSIWSKAEHFKKSRLFCPQICSLLLFSQIEESDSFMADMSFVFCIYKKLRVLDLEQIFLPHKAFPREVEALVELRYLGVQGAMSSIPSTIDKLSNLETFVVIAESGTVSLPDTIWDMTKLRHLHVVGWNVSCFLPSENLENTSDLWNLDTLSTLTVTLDDRAENIMRKIPNVRQLKIQLSAAECSAGYCNLSHLTVIETLEVSAESLPSNPVEFSFPLHLKELVLGGLHLPWSKISAIEELPDLEVLTLLGESFAGERWELTPGGFPKLMCLGLENLDVVEWEDATGSGDALPCLQKLTLIGVLELETVPSCLRRDAPLVEIEVRDCKDSLKALVHEIGEEQEAWGNAGLKIIID >CDP20686 pep supercontig:AUK_PRJEB4211_v1:scaffold_1553:17445:19942:1 gene:GSCOC_T00010692001 transcript:CDP20686 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVGNDHNLDKVENSPQMGSLVQWSQWQLLDSILPTGGFAHSFGLEAAIQARLVSGPEDLRAYVIHVLQNTGSLLLPYVYSCTIFPHLEMWHKLDRMLDATLTNEVGRKASTLQGSALMRVAAAVYLEIPSLKSMRNASLSRGTVSFHHAPIFGLVCGLLGIDAETSQKAYMFITMRDVISAATRLNLVGPLGAAVLQHQVSIVAEDISKKWMNRAVEEACQTSPLLDTVQGCHGYLFSRLFCS >CDP17382 pep supercontig:AUK_PRJEB4211_v1:scaffold_156:708921:711157:1 gene:GSCOC_T00003673001 transcript:CDP17382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO70E2 [Source:Projected from Arabidopsis thaliana (AT5G61010) UniProtKB/Swiss-Prot;Acc:Q9FNR3] MEYCESETAAAESEQHLIAAAYHIVKALGASKTLNNDMKRILTDLDVQLSKMTEVQENEADRTREMENKLKFAQRKIMSFQSRSLKIWDLGPGEDYGYLKAVDQVRRLAEILQNMPSNQTRKVKQLLDEAENILHKAMARLQEELVHILSKNMQPLEHEFVLLESCQVASLEEESIVPNEDESFEILSQRGRRGPDCEQYIVDLVHPRAIPLIKCIAELMFASNYDKEFCQTFISFWKQALDDYLINLHVKQLSIDDVLKMDWKRLTYRIRIWCRATRRIVGFYLASQKRLFDKILGEFGSTSSSCFIETSKASVSCLLNFGQAVLICPPRPERLFCLLDMYETLSKFLPDLGDLFAEEAGSLVEIEFHELLKRLSNSAKEIFLEFGNHVASNTSTIPFTSGSITHLTRYVMNYIMLLVEYGDTLNSLLEEQNLENTDQFSQAEVSQIINLNNTSPMAHTLKSVTSVLEANLDTKSDLYGDESLKHIFMMNNIHYMVQKIENSQLRWYFGDEWIRRHVWKFRQHETCYERITWSSILSQLKDDGSKGKAMLKDKCRKFNTAFEEVYKSQTAWKIPDAQLREELRISTSQKVIHAYRPFASRLAKCCISDKYIKYTEDDLGNYIWDLFEGSPKSLNHAKRR >CDP17380 pep supercontig:AUK_PRJEB4211_v1:scaffold_156:453248:459104:-1 gene:GSCOC_T00003669001 transcript:CDP17380 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREVREYTNLTDPKDKKWAGKGKERIDDEEITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKISFFIFPLFAGSQQESQVEYLKVF >CDP17376 pep supercontig:AUK_PRJEB4211_v1:scaffold_156:363967:365275:-1 gene:GSCOC_T00003664001 transcript:CDP17376 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRPRRYAFGGTEEATHPDSMRATLGEFLSTFIFVFAGEGSLLALDKMYRETALEASGLAVVALAHALGLFAAVAASMNVSGGHVNPAVTFGALVGGRVSFVRALYYWVAQLLGAVVASLLLRIATDGRRPMGFSVAAGEGNLNALLMEIILTFGLVYVVYATAFDPKKGSLGTIAPLAIAFVLGANILVGGPFEGASMNPARAFGPALVGWRWRNHWIYWLGPFVGAALSALIYEYGIIHDVDQPPDHPHHQPLAPEDY >CDP17378 pep supercontig:AUK_PRJEB4211_v1:scaffold_156:444009:450217:1 gene:GSCOC_T00003667001 transcript:CDP17378 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHFARRLSVPPAELSYSARGYLRSVWNSDNHIVLRCNIAFAETSNLVGGSKLQWRRSSFAVSAASDSSSEDRKGRKRVSKDERRSMVESFVHRYRVLNSGKFPTVSEAQREVGGSYYTVRMLVQELQYKSQMPTINTKESEIEAETKRKVELAIIIGDVLSNQIATEEDTIAYTQEIVKTPLQDSESTKGELEISDYLIKVNEAPIRKKTAGESELTETTVPSVAQSSSDIEALKNESPPLRTSWEKQAVHKNDSLPESDEHPKQDSSPSVSEEEISFSKTGTSSARSDKSEALSDGSDSRNEEPECDVIKFKDNQLEQSPEPEKLTRDLSKEQTDDADSPNKSFTWKSLKSLADGFLNMWRKL >CDP17374 pep supercontig:AUK_PRJEB4211_v1:scaffold_156:78169:78948:1 gene:GSCOC_T00003658001 transcript:CDP17374 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLVLEDENGGVGVYSASLRKHYILANDEWKDNIMPEIMDGHNVYDFIDPDILQRLEKLEREEGIRQEQEANDDFEMDGAELTAEEQEALAEIRKKKSFLIQQHRMKKSTAESRPIVPRKFDKERQFTSERMGRQLSSLGLDPSLAINRAHSKSRDNDQPSKKLRLRSRSRSRSRPPNEVALGEGFKDSAQKVKVVKLAKKSVKKRNKDARRGEVDRVIPSLKPKHLFSGKRSIGKTQRH >CDP17381 pep supercontig:AUK_PRJEB4211_v1:scaffold_156:626169:627462:-1 gene:GSCOC_T00003672001 transcript:CDP17381 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVFLLTCFLLVLGLNVVNGQPLVPALYLFGDSIVDVGNNNYLETLIKANFPPYGRDFINHEATGRFCNGKLATDFTGENLGFTDYPKPYLSNKAKTTKNMLLGANFASAGSGYYEATAKIYTTLSLSKQLALYKDYQNRLVVILGKVNASATINGSIHFLSDGSSDFVQNYYINPLLYKKYTPDQFSDILIQSYVNFVKVMFSPRTLLLSDCISATAIPGPNLNLLVLDIYQPLLDLVTKPIDNGFFEARKACCGSGLIETSFLCNAKSPGTCANASEYVFWDGFHPTEAANKVLSNDLLVSGLNLI >CDP17383 pep supercontig:AUK_PRJEB4211_v1:scaffold_156:715491:716798:-1 gene:GSCOC_T00003674001 transcript:CDP17383 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNQKPTSLLDTIGEEIIRILTPVSICMFLVVILVSILNNSSDSSGPSITTIASIAYSEDSSDSIWDKLKGALLNSLVFVAVVTVVTFLLVLLFYLRCTKFLKYYMGFSAFLVLGFIGGEIAVFVIKDLSFPIDAVTFMVVLFNFTVVGVLATFMENFAIFIKQGYLVVVGMLVAYWFTLLPEWTTWVLLVAMALYDLAAVLLPGGPLRLLVELAISRDEDIPALVYEARPVTDHDSVPSNGEVQRRVWRERRHIESDFIDNVEASSESNPVGSNTSNASRVSGMSLSLETNERERNLVAAEEGRVATLDSELSAPLIQHRIDVQLNLQEGPSDNSALEGIGLGSSGAIKLGLGDFIFYSVLVGRAAMYDFMTVYACYLAIIAGLGITLMLLAFYQKALPALPVSVLLGVLFYLLTRLLLENFVVQCSINLLMF >CDP17375 pep supercontig:AUK_PRJEB4211_v1:scaffold_156:100694:111554:1 gene:GSCOC_T00003659001 transcript:CDP17375 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMLTMSLLKDRFEHVFYVPGNHDLWCRREDHSYFDSFEKLDKLLDACQKIGVETKPVILDVLGIIPLFSWYHESFDKELDIPGFRIPSLEMACKDFRACKWANELTNDENSLALFFDVMNDKNNDLVEEIKSKCSQIISFSHFLPRQELCPEKRMLFYPKLPKVIGSDFLESRIRSIHGNKGAASACHVFGHTHFCWDALLDGIRYVQAPLAYPRERKRRMNGGEDWLPFCIYYDSKFAERLSPCYWSDYYSQNPRTPDITQLAPWVSRFYTRLS >CDP17377 pep supercontig:AUK_PRJEB4211_v1:scaffold_156:394836:396939:-1 gene:GSCOC_T00003666001 transcript:CDP17377 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCFSIVHVTAVAFLLVVLTLFPSCGAVEEFFPDERNALIQLREIFSSSNGNLHGNWTGPPCYKNQSRWAGIGCSNSHVTHIVLDGLNLKASLPTMLLQNVTFLTKISFRDNFLYGPLPNLSSLQDLEFLFLSNNDFSGPIPVVYAQLPKLIQLELQVNSLQGSIPPFDQATLINFNVSHNQLSGPIPETAALKRFPKSSYNFNSNLCGTPIQVRCQVSSPPPPSNGSVPILIPPPPAPESGKGSLKIWSIALIAAAAALVPLCIMLFFLCYYRRIYPKKTKTEQPAGEGITDVRGKKTHWSESTDDPERKVELEFFNTSRPFFDLDDLLRASAEVIGRGKLGTAYKAMLECGLLVAVKRLKDMNDLSSKEFNQQLQLLGKLRHQNLVEIISFYYSKEEKLIMYEYVPLGNLFQLIHDNRRFRRQPLNWRTRLSIIKDIAKGLNFLHQSLPSHKVPHGNLKSSNVLLQDYTNANQGIVKGGYYHCKLTDYGFLPLLPSGKSSQKLAVAKSPEFCQGKRLTAKADIYCFGILVLEIITGKTPAGHNWATRAQESYEDYDVVDDLSDWVRTVVNNDWSTDILDMEILAEKEGYDEMLKLTNIALECTDIAPENRPKMNEILRRIEEVDTTQV >CDP17379 pep supercontig:AUK_PRJEB4211_v1:scaffold_156:451048:453194:-1 gene:GSCOC_T00003668001 transcript:CDP17379 gene_biotype:protein_coding transcript_biotype:protein_coding MIITNFFVSSSTFCLSKRILALHICWLQVLAQIILDSYSSLSDKHIVSSRDFLICRQQDLLKRVVEVKPKRQRHGSAEGNVSSVSSSGQVSDDRKPQTDRVQEKEVSPTRLANASDESKDDNPVKGLLLPYESSDDDD >CDP20687 pep supercontig:AUK_PRJEB4211_v1:scaffold_1560:5518:10823:1 gene:GSCOC_T00010328001 transcript:CDP20687 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKIISIFVLVVLFFPSFHPKCGASASAEEAAALLKWKASFLNQNNSFLISWNLQSGNAKNSSIIPCTWAGISCIDGSVNRLNLSDWSIKGSLYDFPFSSLPNLEYLDLRFNQIFGSIPKQIGNLSKLIYLDFSANELSGKIPPEICNLRNLSHLDFGSNQLSGPIPSLGNLTNLIILYLYENQISGSISKEIGDLKFLTDMELSENQLNGSIPVSIGNLSNLEVLSLQNNQFFGSIPATFGNLNKLVDLGLYQNHLSSPIPPAIGNLISLQFLYLYQNNLTGVIPKSLGNLTNLIELGLYKNQLNGSIPTSFGNLSSLKLLNNKFYGKLSNNWGKCKMLKTLIVAKNNITGGIPPEIGNLTQLHKLDLSSNYLSGEIPREVGKLTSLLKLDLHDNQLTGGIPQELGSLGTLDLSQNYLSGLIPKALAELPGLLHINLSFNNLEGPIPSGRAFVNLTLEEVKGNKGLCGNITGLRASLYKEIVRSTEEFSETFCIGKGGCGSVYKAQLLSGEVVAVKRLHNVPNVAKDRSFLNEIRALTEIKHRNIVYEYLERGSSAKILSIEEEAKELDWQKRLNIIKGVAHALSYMHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTSKFLRRDSSNWSSFAGTYGYVAPEFAYTMRVDEKCDVYSFGVLTMEVIKGKHSGDLIANLLSSKLEEIELKDLLDQRLLYPNQQIEKCLISILKLARECLHVDPQCRPSMLIISRLISTC >CDP20688 pep supercontig:AUK_PRJEB4211_v1:scaffold_1560:17170:18878:1 gene:GSCOC_T00010330001 transcript:CDP20688 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKIISIFVLVVIFFPSFHPKCGASASAEEAAALFKWKASFLNQNNSFLTSWKLQSGNAKNSSVLPCTWAGISCTDGSVNRLNLSDWSIKGKIPPEICNLRNLTHLDFGINQLSGPIPVAMGNLISLQFLYLCQNNLTGAIPKSLGNLTNLIELYLYENQLSGPIFKEVGDLKFLTDMELGENQLNGSIPISIGNLSNLKTLYLYKNQLSGSIFKEVGDLKFLTDMELGENQLNGSIPISIGNLSNLKTLYLHNNQLSGPIFKEVGDLKFLTDMELGENQLNGSIPISIGNLSNLETLYLQNNQFSGSIPPTFGNLNRLVDLKLFHNHLSGPIPSAIGNLISLQLLSLSENNLTGAIPKSLGNLTKLIELDLSDNQLNGSIPASIGNLSALKVLYLDNNQFSSSIPATFGNLNKLVNLTLYQNHLSGPIPPAIGNLISLQFLYLYQNNLTGAIPKSLGNLTKLIELDLSDNQLNGSIPASIGNLSTLEAGGSKAFP >CDP20689 pep supercontig:AUK_PRJEB4211_v1:scaffold_1567:2938:11248:-1 gene:GSCOC_T00008222001 transcript:CDP20689 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLISLQFLMLYQNNLTGAIPKSLGNLTNLTHLYLYENQLSSPIPKDLGGLKFLTKMEIGGNQLNGSIPVSIGNLSNLNELHLRENQFSGTIPEELGNLKKLVVLDLDQNQLSGPLPELLCQNGTLQNITVSENKLTGPIPRSLKYCSSLIKARFNGNHFHGNLSEMFGIYPFLYFIDLSNNEFHGELSSSWGKCKSLTTLKVGKNNITGGIPPEIGTLTQLQALDLSSNYLSGKIPRGVGKLASMLNLYLNDNQLTGSIPQELGMLTKLLYLDLSTNSLNGSIPEHLGDFRYLFHMNLSNNIFTQKIPFQIGKLTQLSELDLSRNFFTGEIPSEFQSLQNLGTLDLSHNNLSGVIPKALVKLPGLLHINISFNNLEGPIPSGRAFMNLTIEEVQGNKGLCGNITGLPACGSSPLIKKHVKDKQKKLLVTILCPLLGSFLLLCAFYGGLRLHDQWRKSSGTEDMDIKKGNFFSVCSYDGKALYKEIVMATEEFNDIFCIGKGGYGSVYRAELPSGDVIAVKKLHHVPEMAMHRSFLNEIKALTEIKHRNIVKLFGFCSNSRHSFLVYEYLERGSLAKILSMEEEAMELDWQKRLKIIKGIAHALSYMHHDCSPAIVHRDLSSNNILLDPEYEAHISDFGTSKFLKNDSSNWSSLAGTYGYVAPEFAYTMKVTEKCDVYSFGVLTMEVIKGKHPGDLIAYLMSSKPKNIELKDLLDQRLLYPSQEIERSLKSVLKLVRACLHVDPQFRPTMLFITRLLSTDNMMNKKEEKNKKVVVAALQLHHVLNLLVIVCNENVEVHCPTLKEDGVLIIYICIIVLFFFQTCSKILVWRESLVRKFASILLNSRILTELNHFFLSFDKKCFHASKSLYLSSLDLLFTTIFPTKLEQSHVMQVQSMGLLFQVGKLNEKHFKTIIRLLVSLKYCSSLIKARFNGNHFHGNLSEMFGIYPFLYFIDLSNNEFHGELSSSWGKCKSLTTLKVGKNNITGGIPPEIGTLTQLQALDLSSNYLSGKIPRGVGKLASMLNLYLNDNQLTGSIPQELGMLTKLLYLDLSTNSLNGSIPEHLGDFRYLFHMNLSSNIFTQKIPFQIGKLTQLSELDLSRNFFTGEIPSEFQSLQNLGTLDLSHNNLSGVIPKALVKLPGLLHINISFNNLEGPIPSGRAFMNLTIEEVQGNKGLCGNITGLPACGSSPLIKKHVKDKQKKLLVTILCPLLGSFLLLCAFYGGLRLHDQWRKSSGTEDMDMKKGNFFSVWGYGSVYRAELPSGDVIAVKKLHHVPEMAMHRSFLNEIKALTEIKHRNIVKLFGFCSNSRHSFLVYEYLERGSLAKILSMEEEAMELDWQKRLKIIKGIAHALSYMHHDCSPAIVHRDLSSNNILLDPEYEAHISDFGTSKFLKNDSSNWSSLAGTYGYVAPEFAYTMKVTEKCDVYSFGVLTMEVIKGKHPGDLIAYLMSSKPKNIELKDLLDQRLLYPSQEIERSLKSVLKLVRACLHVDPQFRPTMLFITRLLSTGASYE >CDP20690 pep supercontig:AUK_PRJEB4211_v1:scaffold_1573:1386:3269:1 gene:GSCOC_T00001284001 transcript:CDP20690 gene_biotype:protein_coding transcript_biotype:protein_coding description:PnsB5 [Source:Projected from Arabidopsis thaliana (AT5G43750) UniProtKB/TrEMBL;Acc:A0A178UJI2] MAGSVTPSVFSINFARKVASTRCESCQTKLIPSFQKIPGSFFSRINPQLSRISSKRLNAGLSEIEPDLNEDFVDRWRTNGIEEDDFKFGYYDDHHTYYEGDDKGTFWGSIAEDYAAMGPPTGFQGLISWIFLPAIAAGMYFNVPGEYLYIGAAVFAAVFCIIEMGKPSEPHNFEPQIYNMERGARDKLINDYNTMDIWDFNDKYGDLWDFTVTKDDIMKR >CDP20692 pep supercontig:AUK_PRJEB4211_v1:scaffold_1573:8732:12383:1 gene:GSCOC_T00001286001 transcript:CDP20692 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPPQEGYPTDDFLEQILQLPSYSGLPVNDVGSTSDTASLNSAVSQLSSVAGGLHHHQQLFPLGLSLDNGRDDVTDTGAFGVKADRGEAVNMGSLYPGFEQMQPHGMRHAAPQVQQAFQGQPTPSTAVTVPHPPAIRPRVRARRGQATDPHSIAERLRRERISERIKALQELVPSCNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLQTVEGDTGENITNQQVWEKWSNEETEREVAKLMEEDVGAAMQYLQSKSLCIMPISLAALIYPTLQSEEPALVKPEPPAPS >CDP20695 pep supercontig:AUK_PRJEB4211_v1:scaffold_1573:28954:29759:-1 gene:GSCOC_T00001289001 transcript:CDP20695 gene_biotype:protein_coding transcript_biotype:protein_coding MGVITFSEEYTYSIPPARLFKASVLDSHYLNFMQVIIVVTSIFSFPWTCIAGKNFSYLKYKIDELNEETYTYNYTVIEGDALSANLEKISYEVKFETSPSGGTVAKMTNTYCTVGDFAIKEEEVKAGKEKALGMYKAVEAYLVQNPDVYA >CDP20691 pep supercontig:AUK_PRJEB4211_v1:scaffold_1573:4654:8162:1 gene:GSCOC_T00001285001 transcript:CDP20691 gene_biotype:protein_coding transcript_biotype:protein_coding MTSALMQESDMSKQRRKFHVLLPSMNLSLTEAQGPTTTGYACSTTQAVYPCQTYVLYRALAPDFLDLASIGDLFSVSRPTIAKPSNISNTTSPLLPDESLFVPISCGCNFINSTIGNISYAALNYTIKSGDTFWKVSTTNYQNLTTYQSVEVVNPNLVPTNLDIGSNAVFPVFCKCPNSTQLRNRTNYLVSYVYHPSDNFSSLASRFGSTVQSIIDVNGNNTQPFDTVFIPVSRLPGLSQPIVPPASPVSPVSQRKNDRKGAVIGLGIGLGICGLLLIFVCGLWLHREALLKKKLAKGKDVEKQKFDRADRALSKDAGVNLLADVSDYLDKYKVFGIEELREATDDFDESLVIQGSVYKGSINGELYAIKKMNWNAYEELKILQKVNHGNLVKLEGFCIDPEEANCYLVYEYVENGSLHSWLHGNKNDKLNWRTRLRIGIDVANGLQYIHEHTRPQVVHKDIKSSNILLDSKMRAKIANFGLAKSGCNAITMHIVGTQGYIAPEYLIDGVVSTKMDVFSFGVVLLELISGKEAIDEEGKVLWAKVDGVLEGTEDRKVKKMREWMDEYLLKETISMESVVNVMTVALACLSRDPSKRPSMVDIVYALCKSDDLYFDLSEEGSSPRSVVTAR >CDP20693 pep supercontig:AUK_PRJEB4211_v1:scaffold_1573:15069:17808:1 gene:GSCOC_T00001287001 transcript:CDP20693 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLPLYSPGPCFLTSKPRLTKISPLRRCNLPSAFCPGYLLLSEDGKWKISCHMKHRELDPSVNITDLNKVSDRFSKTEIQSIFQVHVPRFPRALKLSKHNLFVFQVLPYKSLSQYLLIRGFLATQISLQA >CDP20694 pep supercontig:AUK_PRJEB4211_v1:scaffold_1573:18837:28496:1 gene:GSCOC_T00001288001 transcript:CDP20694 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPTPLQLDVSLPPFRDIRWNLSRLIYLFNMQLERNVATFFVVLLISCMSFVIIGGLLFYNSRGSKQSLEECFWEAWACLCSSSTHLKQRTRIERIIGFVLAIWGILFYSRLLSTMTEQFRGKMQTLREGAQMQVLETDHIIICGINSHLAFILKQLNKYHEFAVRLGTANARRQRILLLSDLPRKQIDKIADNIAKDLNHIDVLSKSCSLSLTKSFERAAANKARAIIILPTKGDRYEVDTDAFLSVLALQPLQEMASVPTIVEVSSSNTCELLKSISGLKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLHCLPDLVGLKYRQLRCGFQEAVVCGLYRNGKIHFHPNDDEVLLQNDKVLFISPIHGKKKPRLAITSGLDEDDNTLPDPETHKKDGRSLSQAFEITKARLEKIAKRSKSGSKASDWSLGPKECILMLGWRPDVVEMIKEYDNYLGPGSVLEVLSDVPLDDRNKASKLAGQGKLKHVRVSHRIGNPMDYDTLKDTITNIWKSFKKDEDIPFSIVVISDREWLLGEPAKADKHSAYCLLLAETICNKFGVNVHNLVAEIVDSKLGKQITRIKPSLTYIAAEEVMSLVTAQVAENNELNEVWKDILNAEGDEIYVKDISLYMQSGENPSFAELAERANLRGEVAIGYMKNNKKVINPVPKSEPLSLQLIDHLIVISEMEGEQPIALS >CDP20696 pep supercontig:AUK_PRJEB4211_v1:scaffold_1573:30526:31027:1 gene:GSCOC_T00001290001 transcript:CDP20696 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTFSHEYTYTIPPARLFKASVLDSHNLFPKLLPQAFKSTEILRGNGGAGSIKQINLGDGKTLSFLKYHIDELNEETYTYNYTLIEGDALSDKLEKITYEVKFEPTLQRMVVPSPR >CDP20697 pep supercontig:AUK_PRJEB4211_v1:scaffold_1586:4834:5418:1 gene:GSCOC_T00001381001 transcript:CDP20697 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGKLALNYFLKDKNFCMQGVDTSAYSSLINPVHSLSTEDISFGVFFDEVIYEITLEICFISSTPLPKTTDILII >CDP20698 pep supercontig:AUK_PRJEB4211_v1:scaffold_1586:8693:12403:-1 gene:GSCOC_T00001383001 transcript:CDP20698 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDEGVKVSEFCLKSDPLNWGVAAESLMGSHLDEVELAEDARAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTNQGGALQKELIRFLNAGIFGNGTETCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITTFLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEAFNAEEAFRLAGLSGGFFELQPKEGLALVNGTAVGSGLASIVLFEANVLAVLSVVLSAIFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVKAAQKLHELDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNARLAIASIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMAAYCSELQYLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTYLVALCQAIDLRFLEENLKNAVKNIVSQVAKRTLTMGANGELHPSRFCEKDLLRVVDREYAFAYVDDPCSATYPLMQKLRQVLVDHALKNGDQEKNVNTSIFQKIAAFEDELKAVLPKEVESARSAVENGNPAIPNRIRKCRSYPLYKFVREVLGTGLLTGEKAQSPGEVFDQVFTAMNKGQIVDPLLECLQEWNGAPLPIC >CDP20699 pep supercontig:AUK_PRJEB4211_v1:scaffold_1586:20045:25936:-1 gene:GSCOC_T00001385001 transcript:CDP20699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-insensitive protein 2 [Source:Projected from Arabidopsis thaliana (AT5G03280) UniProtKB/Swiss-Prot;Acc:Q9S814] MEFDTLTDNRQPSTLQRLFPAVVPVVFIAICYVDPGKWAAAVEGGAHFGVDLVFPVLIFNFAAILCQYLSARIAVVTGRDLAQICSEEYDKITCMLLGVQAEISIIALDLMMVLGTAHGLNVLFGIDLFTGVFLTALNAALFPLLATVLENSRAKYLSICISIFVLVSYIFGVLVSQPASPLPLGGTVTRLSGESAFALMSLLGASIMPHNFYLHSSVVQLDQGPNNVPKETLYHDHFFAIFCIFSGIFLVNYVLMNSAANVFYSTGLLLLTFQDALSLLDQAFRSSIASFCLIMFMFLLSQVTALTWNLSGQVVVRELFKMDIPGWLHHATIRIIAIIPALYCVWNSGAEGIYQLLIFTQVVVSLMLPSSVIPLFRVASSRQLMGIHKISQREEFLALITFIGMLGLKIIFFIELVFGDSDWVSNLRWNIGSSVPVAYVTLLLAASVSFFLMLWLAATPLKSATSRTDAQALDLAMHPTVPESGTEGEQNDVLVPKYQIDKPTGKREPPVTFEKSLGSSPNLSLPETIFDSENVLPLTTIEENKSEVTIPSPGCSQEASPIVLDRNLDAPIHGDISDGETQNSQALKTDTTDLAEKTLQVERDIQTVKDDGESWELEEPTKEVSGTEMNQSLTSEGSGSFRSLSGKSDDVGSGTGSLSRLGGLGRAARLQFAAALDEFWGQMFNLHGQATEEAKAKKLDLLLGLDLKLDAKSSSASVKLDSSRADFTGCFPSLSGQGSDSLISSSLYNSPRQQMGQSLIESSFGVQRGSSPLWSSPVQLFDAYVRNSSRNTHDSGERRYSSMHIPASSDGYDQQPATIHGYELASYLNWIAKERGSGILNGQMESPAPISTSSMSSSFRESFARPVGKRPQNGMSISRPPGFHNVSVSRNNSLQSERSMYDVTSPKPTENPNSSINVKKFYSLPDISGFRVPYQESTLSDKSGKWDNSMANAQSVGSTYDRTSLTVSSRTEAPPGFHGRSPSKVCREPFSLQFSSRSSTGSLWSRQPYEQFGVAGKTHAEGEQVRGSYTQESASAIDFEARLLQSFRHSVVKLLKLEGSDWLFRQNGGADEDLIDRVAAREKFLYEAETVQMNWPSNVGEAQFYSDRKSGSAVKSDDTDYTKFSVTSVPHCGEDCVYKVDLIISFGVWCIHRIFELLLMESRPQLWGKYTYVLNRLQGIVALAFFRPRTPMTPCFCLQLPAGCQQKSSPPISNGSLPPPAKQSRGKCTTAASLLDIIKDIEVAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRHLSNKPIGSQDGGYGSRKVSSSLHYGS >CDP20700 pep supercontig:AUK_PRJEB4211_v1:scaffold_1587:23117:26022:1 gene:GSCOC_T00008849001 transcript:CDP20700 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAETTKNHGVALKEEEEEEEHFSYAMQLVTSAAQPMVLLAAIRLDVFEIIARAGPGAQLSPSEIAANVSSENPNAAAMLDRMLRLLASYSVLTCSVATDVDGDHDIQTPTRVYGLAPVAKFFVQNKTKGGGSLGSVLGLLQDKVFIDSWYQLEDAVRKGGDPFHRAHGTHAFEFLGSDPRFNEVFNKAMIHHTAIVINRMLERYKGFEHLKTLVDVGGGLGMNLNIITTKYPSLKGINFDLPHVIQHAPAYPGVEHVGGDMFESVPQGDAIFMKWILHDWDDGHCLKLLKNCYKALPDNGKVIAVDAILPVVPDDSARDKATCQADLVVVTQYRGGIERYETEFLALATAAGFKGISVKCFVCNLWVMEFYK >CDP17407 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:104779:105156:1 gene:GSCOC_T00002749001 transcript:CDP17407 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFLEFVLIYHGLGIWGFLILFWYLRRLRRMRDRLIEMKQWCDEVMREEDEEDDCEGAEDEKVLSKAAVLGFMQMLMQDDDGGYAEEAVSVEWAEKCLSIHFKCPCGKGYQILLSGNNCYYKLV >CDP17416 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:338507:339728:1 gene:GSCOC_T00002825001 transcript:CDP17416 gene_biotype:protein_coding transcript_biotype:protein_coding MESRQCILRRIELHSSRESPKSIRQAHCLIAGRLARERESYPISLRRTERLPAYAVRSPLRFALFFLFYIETFLLQSMPTSGQDL >CDP17431 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:731817:732667:1 gene:GSCOC_T00002969001 transcript:CDP17431 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLLHEESRCENRKKGNRILHPYLPQKGLNSASTPALCLDLDDIQYHVPMFLYVYRLMVCNFLFSNLDQVSSIYKHGGKGRALSSIESCPIRAHSLPPRTFLLDA >CDP17428 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:595309:597058:1 gene:GSCOC_T00002922001 transcript:CDP17428 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSSMRTSPSNSSSPSLTSTKSALPPLLPSPPTKPLPPVKKLSAAEMQLRREKGLCFTCDEKFSWKHKCPNRQYMILQVDEPDGDLSSSVVAEPVPPDLPSDDSPTLHHLSLQAYHGTSGKCTSGSIAGTTVRILLDGGSSDNFIQMEKIPKRKSQSNRWVNNEWDRLDPTATHIGKCSADAS >CDP17414 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:250109:251225:1 gene:GSCOC_T00002797001 transcript:CDP17414 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVVKYKTLHLSERREFLPSAVNWYSLIPAPNRQSQSELSGSVSQSKQLDMREVVSPILCLSC >CDP17426 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:590064:591067:1 gene:GSCOC_T00002920001 transcript:CDP17426 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGGMSGSEGNMGGGSGGSGWTSFDLGVLAEENDEEVTQPNLQNAPPNPFEAVLPEPDVDDVKGLIKNRLTIYRLGNRNSAVDDWEIDRIFSLKNEILDRMIELDHSPFWNTHRNRLIRDFIQPPQGGEYRIPVLEAKLGSLFGENPASSCFYKQLIRLRDSFHIDAPFRGPRGN >CDP17430 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:730196:730300:-1 gene:GSCOC_T00002968001 transcript:CDP17430 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGALKVLYKALRCCHRQRFDFDCEKLQIGTR >CDP17410 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:110690:113158:1 gene:GSCOC_T00002755001 transcript:CDP17410 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKGGKARFPVLVWPGIKDFEVRKSRWKQGSWLRKKYLNMRVGSIIIHFLFILFRASVFLSSIFLLNFVLGSEGTAIMTRGNNLFFFGILLFCFGLLFRIFYIKQKKRWIIPLFRFFLFLIIYIYIYYLRIFLVAHLGAYVNWALPFVILGVSGKEILVHSETSSSSSWREDSFEMRVLLEPFPEEGTSVNPTRVAADEAGSSAPGGLPDLNQPAPHSPEPVAPEIDLNQPAPHSPEPVAPEVDQPDGGGPLIPELPNPLIPDDVRMEELEKKFSFYTMIDKKCKLEDQQEILASQIVIEKRVEEALVSDGFNSERILAKRSDIRSFMFYPHGKLLSKNTYLQHAWSIDNLGTRDSVPYERVIKAIKSCSLSLDNPP >CDP17435 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:802923:804300:1 gene:GSCOC_T00002992001 transcript:CDP17435 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDLYHAPSVGCLDMLQFFSLSARRKRYRMMIGSKLRKVWRPKSQKGLDPGVIVIAEKM >CDP17413 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:199422:200345:-1 gene:GSCOC_T00002783001 transcript:CDP17413 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKLNFFRAVSAVENLDVFTITKKMGNLSLDDKNTSSSSNLSLVSRSDVPNTMPMFSSTSSILERFKRSSKHSEFTRKVMDLTKSPFMDIPKTVMDLTSNSASYLLSLGFRFMPGQGEFLFKNLRLPDTLDGLKGLRENLGLTLAKDTLTNRGILKFKGLSEIHAKGSLMMNRVLLEAITYNDGFYGRFLVLAQIGVGCTVWYGFTPGDGFNIPFDASLTSLFSPIENYEPFFYNPDVYIHPGFQKMTLMENQANTISALSTAYTDEKPFLDIKIPTNSNSNLIVGITLGLVVVVLLSVGLSPIAE >CDP17411 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:128521:130025:1 gene:GSCOC_T00002759001 transcript:CDP17411 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVGEKITRLVEYATNPFGPLILVCASGGARMQEGSDFGMLGDIIIAEPNAYIAFAGKRVIEQTLNKTVPEGSQAAESLFHKGLFDSIVPPGVLSELFQLHAFFFPR >CDP17406 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:70059:70445:1 gene:GSCOC_T00002732001 transcript:CDP17406 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEQIILYGHTTTSDIDFMETDPLSFPSTSSAANIDSTMSDAQSAPPELERVFDRICDEYAECVLKAGKQLPPEWTMPDLVRTVVGEEPTSIPGFLTHSYYDLMLHGSNSWLCQELFEFLDLINYVF >CDP17419 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:367028:367909:-1 gene:GSCOC_T00002840001 transcript:CDP17419 gene_biotype:protein_coding transcript_biotype:protein_coding MFREREKRNSLMEEDQGENQILEINLISAQGLKTPSGSRRRMQTYALAWVDPATKLRTRTDRVGAENPTWNELFLFRVSSHFLACEPSGVTVEIYAVGYIRDYLIGTVRFLLSSCLGKFPSSADAIGTPAFTAVQIRRPSGRFHGVLNIAASVCSSTCSDFEIFSGASAISFRDLMGAEIKKEKEDDRRRRRRLSRIGSSRSMQSCGGESCDFDFSSLDLSSDGAESTTSSSSTASNVLKEWNGVRTEVAGKLKELKNEGGGGGLLCGLMLQRKIRFCPSDPNLRFWEESLES >CDP17405 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:41818:42245:-1 gene:GSCOC_T00002721001 transcript:CDP17405 gene_biotype:protein_coding transcript_biotype:protein_coding MNILRPNSPHLPIYKPQLTSTFSISHRISGAFLATIVLFFYLLCLKIGLICFTYENFYQFFFYSSKLILISAEITALALSYHLYNGVRHLLTGFLFRRKRLK >CDP17417 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:343582:346681:1 gene:GSCOC_T00002831001 transcript:CDP17417 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLHSLCARLFIRVVLINIKILNFFHSLPLLPRVPKRVRMYFFIIAQVFCVFVLSKFAFALGYLFMDDLSRAISQFDPSASGGMSGGSSTPPGPSGHFGILLPFGSTDEVTQDDLFDALEAQEAPAPPEVAHPDPPQEERAELFLEVKTLIVQQLQEASRKAHGVRLSVLFPEMQEADSGTAEWIMSRELELSGETDAATLREWRDSIRDNPKVLKDIIREYSSQPKKGRR >CDP17429 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:631397:632692:1 gene:GSCOC_T00002930001 transcript:CDP17429 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHEIFFSLSFAPYLWSSIPERRECFEHPKALPRIRTDDFLSLFLKGESLTLWTRYLCYLISNS >CDP17432 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:744527:745018:-1 gene:GSCOC_T00002974001 transcript:CDP17432 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVDEMLSLNAPIYTVHDNFITTAQYSDLIPKIYSSVIRNMGPPLSIINEFIYMNVIKPILIGVPDGHTDEAYFTRGFIPKETLYSYLKDNVPKKINKKKMKPWDERISGIMTSYDNYTRIVCGDFKSPNPKDYRDAHEKKWEYFQSKLRGEVGKPYYCVHY >CDP17415 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:279291:281772:1 gene:GSCOC_T00002813001 transcript:CDP17415 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNELKANTFKYVIWYFFFSFLGLVLRLARYERLLLIKTYFCNIRLPVLLTGTTLFLASITFFSAFVMVIFPSPGPNEKISTGPDNPGE >CDP17422 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:400967:402883:1 gene:GSCOC_T00002847001 transcript:CDP17422 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRQPADFWQPRLELGLNQRITETTFLYSPDSIHKLNAVPAREGDLPLNCSKPLLKKELLPSIQNRIERSFCNARPISLIALTDGLLYIMCSCQVHNLSFGKEESPLSITNRSI >CDP17425 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:572739:573533:-1 gene:GSCOC_T00002913001 transcript:CDP17425 gene_biotype:protein_coding transcript_biotype:protein_coding MECNGIDGRGLPAPKSDDSLPRGRTKERKERNGAVKAREVDSKQQATASLSLLTFLSKVKGVKHYDLTNLKISNLKNELFCSPLSLKKGASGLNAPTTAVQPFLGFVESGFPFTHNGGAAPTRQAATGHNALFAQQIHFEVDLFARPIVGMCTRYHKGPISQ >CDP17409 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:110037:110483:1 gene:GSCOC_T00002754001 transcript:CDP17409 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLDREQKQLIKKLVNFRMKEGKKTRIRAIVYQTFHRPARTERDVIKLMVNALENIKPICEVEKVRIAGTIYDVPGIVARDRQQTLAIRWILEAAFKRRISYRISLEKSSFAEILDAYRKRGIARKKRENLHGLASTNRSFAHFRWW >CDP17418 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:364430:365053:1 gene:GSCOC_T00002838001 transcript:CDP17418 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYRSLLQNVIKLAKTFVPIFLFLLVLISLHWGLGLECLVAACDGGRGSSSVAAEFHPAGEPREETPPPPPIPRAVLVPELVQPLLPDNLRMVELQQRLSIYFIGRHDRAHLPQFLGILEKQMLLEKRIEAALVRDGYAPDSIFAKRGEIRGIVLNHPTRGVALSESTLNRYLSQIERDGTRLSTPYSRVMRAIGNLNLLIRRNNE >CDP17421 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:383634:384317:1 gene:GSCOC_T00002845001 transcript:CDP17421 gene_biotype:protein_coding transcript_biotype:protein_coding MTLISSFGEISASRGIERNIFYPETLGQIHFWITFFGVNLTKILPFAFILMSMVPLPVAYAMEQAIPHADLGDLDLDLHLGQPGIEVPRHPEIDWDGLQETLSQNFQLHHFSVPRFPTPPLVEIQEHLFLENRNLPENLRRPLSEAEELLQLKDRIIQTMSRVENNPFWVTNRNSLLGHSLSHTNVFLEYSIDELTRRLNQLETFGRNSVFYSQLKIIEKTYYFFFK >CDP17434 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:778960:780435:1 gene:GSCOC_T00002988001 transcript:CDP17434 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHKRKRIDWNDASTSREPGPAQSGSPEDDDTSSDDDTSSDDDSSSDDDPEERVRLQKAIRKKIVALLKKFCSTYCSNMGFCGKYCSRGRADFDFLGAAHEIATDEFFYDKPSAKVNVLRKLFSFLKNYSSKSNKTWTNNDMNAHWLHDVMRRTPPSS >CDP17424 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:511316:512209:1 gene:GSCOC_T00002882001 transcript:CDP17424 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTSLLESHFRGRTLAPRHPETKRRDRRCIRCREYYGCEVILYPMSRSREEITFNRQSTYFLLGQDVLNGTFFKNVSKGG >CDP17427 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:592728:594591:1 gene:GSCOC_T00002921001 transcript:CDP17427 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEKKGTDLLQLHCGKDILYSCSQVGLRVHIRVRQGRRAAESQSKTGIEWGSPLLKKRRRRAQGSTSSSYYDYVSFDYRDLAHSMPSTNPTQLVMVKG >CDP17412 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:157410:158655:1 gene:GSCOC_T00002768001 transcript:CDP17412 gene_biotype:protein_coding transcript_biotype:protein_coding MPWILLRRSFPNFPPRLPTEEYPSKGANGLKELNALKAPRHQSKDRRVIRVGTFAIIDRQVSLSRVAFRQASFSNTVEAEVNQ >CDP17423 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:463544:464617:-1 gene:GSCOC_T00002863001 transcript:CDP17423 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFSMPGGVPVWHGNLGGKDLDRMFGFIEAYVVCPKTIKRPFLPYRDKNNTLIFPTGEFVGVYYSEELKYARGLGYTVLPISGYLFEKMKSPFRDFVSSLFESRLEARKSGNEALAYVYKILMNSLYGRFGINPKSTITEVCDVDRYKHLVRHSELIFGDMLSENNYIVAYHSNTGTDSDFWNPPKISAVQLAAAITANARIHMYPYISRDDCYYTDTDSVVLGQPLPEEVISSSVLGKFKLEDRIQKGYFLAPKTYLYITIDDTKVIKYKGPAKSIIYPEWFELQYADPSRTEQVLVSANFRIEWRTLDMIKKETLIRLGIKLDTKRKPVFRGNLWVDTIPIEVTDLSCLNNIGK >CDP17408 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:109121:109834:1 gene:GSCOC_T00002753001 transcript:CDP17408 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDELLSAVEPFLNAAQETLPQVPESTSLNVEGFNHLPAMEVENRVIVPTNTHLRDIVRTGTMSFSVAPTAEESGQGPFFTQRENLGGQSEAAGPSFMLGQGKADGPLPGEASSAFSVDVSQDEIWDTVEAEEQKQWKALISSREYMEVERHFALCERKISAIVEKVTSFFKEGSLPLNIDEEGDIRRGVEIYFSDLHLFHSNHQRLGHINRALEAVGNPKSRIWNQIKDQIESLR >CDP17420 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:381597:382700:1 gene:GSCOC_T00002844001 transcript:CDP17420 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSREGTITGLFTLPDEKKELDRLISANLIKIPCQEPRVIVPRHAGSQSVITFHLGFHCYIVQWLSCLSSSRWRQYGLESISPLRESHSMQD >CDP17433 pep supercontig:AUK_PRJEB4211_v1:scaffold_159:772999:773280:1 gene:GSCOC_T00002985001 transcript:CDP17433 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNNRSFSESSIPTVSSIDADSRTRTVAGSCLALLTVFNLHSLSRKAASELQWLQSPSPGPGLAASERGRSNGSSVLIPFLLVHRIGDPIS >CDP20701 pep supercontig:AUK_PRJEB4211_v1:scaffold_1590:10202:10550:-1 gene:GSCOC_T00007640001 transcript:CDP20701 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLALAKLLDVKSLGESLGQSIKIKCWT >CDP20702 pep supercontig:AUK_PRJEB4211_v1:scaffold_1591:537:1166:-1 gene:GSCOC_T00001157001 transcript:CDP20702 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLMATGVPLMMVYIFCKPLLLLLGESEEVSSAAALFVYGLIPQIFAYAANFPIQKFLQAQSIILPSAYIAAGALVLHVFLTWIVVYVLDWGLLGASLTLSFSWWVIVVAQFVYILASKKCKKTWNGFSWMAFSGLWNFLKLSASSAVMLCLETWYCEILVLIAGLLPNPAIALDSLSIW >CDP20703 pep supercontig:AUK_PRJEB4211_v1:scaffold_1591:10326:14006:-1 gene:GSCOC_T00001159001 transcript:CDP20703 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQIFCGHLGNIQLAASSLGNTGIQLLAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLMATGVPLMMVYIFCKPLLLLLGESEEVSSAAALFVYGLIPQIFAYAANFPIQKFLQAQSIIFPSAYIAAGALVLHVFLTWIVVYVLDWGLLGASLTLSFSWWVIVVAQFVYILGSKKCKKTWNGFSWMAFSGLWNFLKLSASSAVMLCLETWYFEILVLIAGLLPNPAIALDSLSICQTIQGWVFMVAVGFNAAASVRISNELGAGHPKSAALAVVVVTGSSLIIAVICAIAVLLLRHVMSYAFTEGEVVANAVSDLTPLLAVSILLNGIQPVLSGVAVGCGWQKFVAYVNVGCYYVVGVPLGALLGFKFNLGAKGIWLGMVGGVTMQTLILVWVTFRTNWNDEVLIT >CDP20704 pep supercontig:AUK_PRJEB4211_v1:scaffold_1595:20485:24657:-1 gene:GSCOC_T00006431001 transcript:CDP20704 gene_biotype:protein_coding transcript_biotype:protein_coding MEADHRVNEENGKALSSFQVQSQPPPPPLSQPSSPPQPVRNLIMVAAIAAGIQFGWALQLSLLTPYVQLLGISHKLAPIIWLCGPISGMLVQPMVGYYSDNCTSRFGRRRPFIAAGASLVAIAVVLIGFAADLGHLCGDTLGKTSKPRAIAVFIVGFAILDVANNMLQGPCRALLADLSDGNARKTRMSYAGYSFFMAVGNVLGYAAGSYSKLYKLFPFTQTKACDIYCANLKGCFIISIALLLTLTILALTFVREKPFSGPDPGSADGTESGKHHAKIPFFGEIFGALKDLPRPMWILLVVTCLNWIGWFPFFLFDTDWMGREVYGGKVGDSLYDRGVHAGALGLMLNSVVLGFASVGVEHSARVIGGVKRLWGVVNFILAACLAFTVLITKLADSTRRANGSPAEGVKVGSLALFSVLGVPLAVTYSIPFALASIFSTDVGSGQGLSLGVLNLAIVVPQMLVSLLSGQFDALFGGGNLPAFVVGAVAAAISGIIALTKLPSPPADVPSHKTQAIAAFH >CDP20705 pep supercontig:AUK_PRJEB4211_v1:scaffold_1596:29257:30220:-1 gene:GSCOC_T00008930001 transcript:CDP20705 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKSATLTDQIDINSIQPVAPADPRVVEIGQFVVEKFHHGKLLFIAVLGGFTWKCEGGKYYALIIQNQDYEGATFIHKALVVEAKGETKLLWHRN >CDP20706 pep supercontig:AUK_PRJEB4211_v1:scaffold_1599:22335:23379:1 gene:GSCOC_T00011757001 transcript:CDP20706 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQLSSQVIQNSRCSAAYLLDKSRKRLLQNGHSDEYPKVEKGGVESLISRKYRSGIKRDNFAKGIREHVRLAPKLTETVKGKLSLGARILQLGGVEKVFKQLFSVTDSEKLSKASQCYLSTTSGPIAGLLFISTNKVAFCSERSIKILSPTGKQLRIYYKVSIPLRKIKRASASQNLEIPSQKYMEVVTQDNFEFWFMGFLNLRKTLKFLQQVIASQAQ >CDP20707 pep supercontig:AUK_PRJEB4211_v1:scaffold_1603:26793:30204:1 gene:GSCOC_T00003232001 transcript:CDP20707 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSSTLANIFLLLLVAMNLSVSHVSASKHFQNETDRLALLEFKNQVYDDRFGVLKSWNHSQHHCHWEGVTCGTRHQRVIALTLRHKQLSGTISPHVGNLSFMRFIHLEENQFHGEIPQEFGRLFRLRALNLIHDNKLEGKIPIDQLSNLKKLKRISFYNNNLTGEIPSSIGNLSSLTSLSLAFNNLEGNLPVEMGLLRRLSFLAATENKLSGIIPASIFNSSAITSISVGSNSFHGSLPTNIGLTLPNLQKLYVGGNNFYGNFPTSITNASGLEKLDLSRNKFAGQVPANLGDLTNLQYLNLEENLFGGNSTGDLDFIASLTNCSGLRILSLGYNKFGGNIPRVMANLSNQLAALYLGGNQLSGTIPEGFGKIANLYVLGLESNYLSGVIPRDFGKLQNLQLLSLYQNELSGQIVSTLCNATGLSELYLSTNQFEGGNIFDNVLTNCQNLQYLHISQNNFTGIIPPHFLETHSSLIEMVLSENSFTGSLPPEVGKLVHLVNFSVSHNQLAGAIPISLADCSDLENLYMDANFFQGTIPPNLASWKSIQQLDLSSNNLTGPIPKELEKLQYLRYFYLSYNDIEGEIPNTGVFRNASQISLTGNNRLCGGIPELEFPPCPVIKGKNRGKLKVIILLSIVLPATLLVLGALLLYFLVYHKGERSMAAGFSSIPPRVNKLLRLSYHELHRATSRFSPENLIGSGNFGSVYKGRLEKHGNKLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIVSYCSSIDSKGDEFKALIYELMENGNLDLWLHPPETTDQATSSRSLNLLQKLNIAIDVASALQYLHDHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPVNTSSEQRTSSTIALKGSIGYAAPEYGMGLVASTQGDVYSYGILLLEMITGRRPTDDIFVGDLDLHNYVNGALHERVPEIVDPLLLLEGDENRNMTPGGETINGGREIDCIISLLKIGLKCSARLPNGRMHMNEVVRKLHLIQDVFLGVRVPQENFEA >CDP20709 pep supercontig:AUK_PRJEB4211_v1:scaffold_1604:17627:19552:1 gene:GSCOC_T00007866001 transcript:CDP20709 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLAIASLQILSLLLLASLGQSKTVFTNLTVYDHEFRSGDGQSVFPVAGLPNATWGFNQFGTVFVVDNTLTQSVSFKSALVGRSQGIAAVASLDNTNAELVITFLFTNGKYSGSTVEMKGIFIQSMGVNELAILGGTKQFRYATGYATFEVVSQVGDHLTLKANLYIRQDIPDDYPGIALH >CDP20708 pep supercontig:AUK_PRJEB4211_v1:scaffold_1604:2:5231:1 gene:GSCOC_T00007865001 transcript:CDP20708 gene_biotype:protein_coding transcript_biotype:protein_coding SDKRIELFKKRTKEARHCRNPFPPKIWRKFENSDQKAGSHRVVEFDFSSGVYKVVTGRRVDGKGGNTQTVKYFEKTCSCGKWQCYRLPCSHVLAVCRHRRDNPGSLVDPQFTKRRWAVQYSGKFTPMPHRDTWLQPDWELQVDRSKYIARRAGRVRARRIRNEMDERDPEEPRKCTNCHHMADIPIQADPHPGPFVYDVIPPGSVNRAYSIFHGHIVGDQLDVRRCDRGFWEHTPIPETVLHYIRLAGFGVVLECGYMMIDHALITSLVERWRPETHTFHLPVGETTVTLQDIEVLWGLPIDGPPVTGIDTSHTIEEWRNLCEELLATLYRSLCAATSPLRSSIAGPLVLLQLWAWERIPTMRPDRVQPLEHYPGPYGARWNVQLDLHRVARHVVSIFRDQLTSLRDLQFIWQPYSEDVLASLPAYCTAGRAIWRSVTYLICWGVVEPHLPYRVMRQFGYHQSVPDMRLTENQAALHSLDRRGKGNQNWITTHGAYIDVWTDRHSHVEDGVVAEDPRYPSDEYRQWYRERTVLYVSNPTRQLTFPEGFQGDSARAQYLVSFSYNL >CDP20710 pep supercontig:AUK_PRJEB4211_v1:scaffold_1606:27421:30000:-1 gene:GSCOC_T00009780001 transcript:CDP20710 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQKTIPPIEVPALSLEELKEKTDNFGTKALIGEGSYGRVYYADLESGKAVAVKKLDVSSEPESNVEFLTQVSMVSRLKHENLVELLGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQNAQPGPTLDWITRVRIAVDAARGLEYLHEKVQPAIIHRDIRSSNVLVFEDYKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHNMPRGQQSLVTWATPRLSEDKVKQCIDPKLKDFPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKSQVPAPEV >CDP17464 pep supercontig:AUK_PRJEB4211_v1:scaffold_161:173986:177899:-1 gene:GSCOC_T00007668001 transcript:CDP17464 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDYKDVPENANEHCPGTQSESAGKSDACKGCPNQEACATAPKGPDPDLVTIVERMATVKHKILVLSGKGGVGKSTFSAQLSYALAAMDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLVIDAPPGTSDEHISIVQFLQATGIDGAIVVTTPQQVSLIDVRKEVSFCKKVGLKVLGVVENMSGLCQPLSEFRFMRTTETGEQKDMTEWILSYMKGKAPEMLDVVAYTEIFDSSAGGAGRMCSEMGIPFLGKVPLDPQLCKAAEEGRSCFSDEKCRVSAPALKMIIDKLLAQQMISRIEDGA >CDP17461 pep supercontig:AUK_PRJEB4211_v1:scaffold_161:148050:149077:-1 gene:GSCOC_T00007664001 transcript:CDP17461 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQVPKQVTGISIASASWSSQRSVNNFLLQYPSNESSKLRQRKAHSVIAKVNKLGDRMEGIREHVRLAPKLTKTVKGKLSLGARILQVGGVEKVFNNNFGTKDDEKLLKASQCYLSTTVGPIAGLLFVSTHKVAFCSERSIKFTSPTGKLLRVYYKVLIPLSKIKRANQSQDVKRPSQKYIQVVTEDNFEFWFMGFLNHQEALKYLEQAIFETQ >CDP17465 pep supercontig:AUK_PRJEB4211_v1:scaffold_161:324982:328373:-1 gene:GSCOC_T00007669001 transcript:CDP17465 gene_biotype:protein_coding transcript_biotype:protein_coding MILINSIHPSSWLSVGLTHRLWQIIKWVNDHNCLGDLMSNSNASLTSSIIARHMVHSIEDDPGYKVKNIVSHVKEVLKMDVSYKKVWYGRRKAIELVFGSWNANFTELPKYVDALMQSNQGTVIRWLHHSDSTDRVKTFKYVGHLLSDKSGNNVPLLYMPLLRDLETVGQYSWGSATLATLYHSLFPSNSNITFFHLWAWERIPTMRPDRVQPLEHYLGPYGARWNVQFDLHRVARHVVSIFRDQLTGLRDLQPYSEDVLASLPAYCIAGRNIWRSITYLICWEVVEPHLPYRIMRRFGYISPCLI >CDP17462 pep supercontig:AUK_PRJEB4211_v1:scaffold_161:159328:162106:-1 gene:GSCOC_T00007665001 transcript:CDP17462 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLNLSYNKFTGPLPTNLGKNNLLQELQLSTNGFQGEIPIGLVKYGNLSLIDLSHNKLSGSILERFGELSKLQILVLSANNLRGEIPKFLVNIQTLNLDLGFNRLSGTIPQDLLSPPNLRSLDLSYNLLEGPIPTEISLSLFRLRLGGNLLNGTVSFRSYGSLTKLTYLELDNNNLTGEIPPELGLCRSLALLNLAQNGLTGVLPVQLGNFANLQVLSLQKNKLVGVITYQFTQLHSLQRMNFSSNSIGGSIPASISKLQNLTNLDLRHNNLSGPIPISIRTLNLLMELQLGNNQLSGDVPADCSESQQQFIWRAYSRVIPNFLTEMAGLTQLVLSNNQLSGGIPKFKQCVMVVTDGNKGLNNATNVSTATAGSKHSKRLSNGIVICDDSTPP >CDP17463 pep supercontig:AUK_PRJEB4211_v1:scaffold_161:166992:167820:1 gene:GSCOC_T00007666001 transcript:CDP17463 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSDEWAEFNNTTKRKAEAIKVAELILSEKFWKKVRNVCAIMEPLVKVLKTIDQDNKPTLPIIYEAMDRAKMAIQKSVKSWKTIWEVIDNRWYNQLHRDLHAAAYFLNPILQYSGTCEFNLDEVRRGLKNVIAKLEPNLDAQVDSINEVFF >CDP20713 pep supercontig:AUK_PRJEB4211_v1:scaffold_1612:21428:22468:1 gene:GSCOC_T00001071001 transcript:CDP20713 gene_biotype:protein_coding transcript_biotype:protein_coding METLEEISKILNYFLVEHKKPKQTTNVAEYYEEFEEWRNEMLALKPELSEEYFIDGFNFGLHWNIRMKLKGFRNPPKIMYEAYVRAKIEEAVMEKHDSALDLPKGEQLMRENEVMEAEHNDIITNLKDESVVSHMFDELCQRTPMDFLEENVNGEEQSAEKVQDKSEGNSEYVTEANKGSGHDSNPAEGTHEANQEQGNGNETTQQESGESSNPTEGTKEANQQQSISDNSKADADQNQRNAVGDVLPGGDGAQSTQEEQTENKDAATNNDKSDTSSNMKEGSAYGEGSNDVGNRQNAGSDTVGGTEKSSENSSANQVNEKVEIQKSDAHSETGPEEKINPSNDNG >CDP20715 pep supercontig:AUK_PRJEB4211_v1:scaffold_1612:26618:28530:1 gene:GSCOC_T00001074001 transcript:CDP20715 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRSYQLNEDLACVLKDMGYIPHAKSIAVIKSDKYTRYALHSIVTHDGEKLPFRQKLGSEAYDKACPIEIELLKVIGIDEAQFFEDLCDFYLEAADYDGKVVIDAVDWMVTI >CDP20714 pep supercontig:AUK_PRJEB4211_v1:scaffold_1612:23240:24280:1 gene:GSCOC_T00001072001 transcript:CDP20714 gene_biotype:protein_coding transcript_biotype:protein_coding METLEEISKILNYFLVEHKKPKQTTNVAEYYEEFEEWRNEMLALKPELSEEYFIDGFNFGLHWNIRMKLKGFRNPPKIMYEAYVRAKIEEAVMEKHDSALDLPKGEQLMRENEVMEAEHNDIITNLKDESVVSHMFDELCQRTPMDFLEENVNGEEQSAEKVQDKSEGNSEYVTEANKGSGHDSNPAEGTHEANQEQGNGNETTQQESGESSNPTEGTKEANQQQSISDNSKADADQNQRNAVGDVLPGGDGAQSTQEEQTENKDAATNNDKSDTSSNMKEGSAYGEGSNDVGNRQNAGSDTVGGTEKSSENSSANQVNEKVEIQKSDAHSETGPEEKINPSNDNG >CDP20711 pep supercontig:AUK_PRJEB4211_v1:scaffold_1612:1186:2268:1 gene:GSCOC_T00001069001 transcript:CDP20711 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALNQAGGESISFFVLQIEMASLFEHHLKF >CDP20712 pep supercontig:AUK_PRJEB4211_v1:scaffold_1612:4237:7077:-1 gene:GSCOC_T00001070001 transcript:CDP20712 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFVPKIIDELGDVVVKQLGEKINLVMGVEEEVANIKRKLETIQNVLQDAERRRLKEEPVGKWLEELEDITYAMDDVLDEWNIKIQKPKNEGTQQNARLKATLWSKINSIISVVGAGGSGKTTLAQLLFNDDRVKNHFELKKWVCVSDPFDEKRIARAILESPEKSSLGSLELEPLLQLLKETFSGKRFLFVLDDVWTEDDSKWKPFKDSLKDGAPGSVILVTTRSHRVATVVGTTHTHQMTQMSDSDCWLIMQRLAFANKSGDLCKKVERIGQKISERCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLEEAAMELFPHLYLSYNELSPELKRCFSYCAVFPKDTVINVMELIWLWIAQGYVRPRRRGERLELVGREYFNNLAMRSFFQELKKVGDEYQKCKMHDIVHDFAQFLTKNECHVLVVPQNLFRSLKCVRTLTLSDCELAEIPAEIGRLIHLRHLDLSDNRFVTLPEAICDLYYLETFYINDCEKLSCLPERIEGLVHLRHLHNDNIDELRQIPQGLGKVTSLCCLTRFIARSNSDDLAILKDLNQLECCIGTMEPPPNLERLRLVGYPGAQLPSWLVTKSLINNLIELIIDGPHNISSLPALGKLSSLEQLTLQKVEKMECLGKEFFGVTKALHESNLSEDDEEVAVSIMPCLEELKISQCTKLETLPHRILGRISSLKKLNIWGCYKLRDRYSDKTGDDWKQISHIPQVYISDY >CDP20716 pep supercontig:AUK_PRJEB4211_v1:scaffold_1613:21281:21712:1 gene:GSCOC_T00010752001 transcript:CDP20716 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGFLVSIAYIDPGNFQTDLQAGAQYKYGLLWIILLASFAALIIQSLAANLGVVTGKHLAEHCRKEYPKVTNFILWILAEIAIVACDIPEVIGTAFALNMLFKIPLWCGVLITGLSTKSSHARAHTHICVYLQTLVSFRLRD >CDP20717 pep supercontig:AUK_PRJEB4211_v1:scaffold_1616:8868:11846:-1 gene:GSCOC_T00004655001 transcript:CDP20717 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEKDKQNDRGEESDIQESGDERDGNMKRNTSETSLYTTEDEDSDGQEHNNKIQLGPQCTLKEHIEKDKDDESLRRWKEQLLGSVDINSVTETLDPEVKILSLSIVTTDRPAIILPIPEDGNPKGLWFTLKEGSHYSLKFSFTVSNNILSGFRYTNTVWKTGIKVDSRKAMLGTFSPQQEPYTYETPEESTPSGFLARGLYSARSKFVDDDNRCYLEINYTFDIRKDWANA >CDP20719 pep supercontig:AUK_PRJEB4211_v1:scaffold_1619:14670:15986:1 gene:GSCOC_T00006047001 transcript:CDP20719 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIADPLVIGKIVGDFVDNISPTAQMSVTYNSNKQVYTGSFFTLLKLTYFPVNILIITNIPGTTDSSYGTEMVSYEMPRPNIEISLYNIRNIHPRK >CDP20718 pep supercontig:AUK_PRJEB4211_v1:scaffold_1619:697:5587:1 gene:GSCOC_T00006046001 transcript:CDP20718 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLNSKKILQEKNNSDPNSVTNLTLTQKALSDVSCLGDFKSLERLDLGFNNLTSVEALGKCVNLKWLSVVQNKLQSLKGIEGLTKLTVLNAGKNKLKTMDDVRSLVSMRALILNDNEISSISKLDQMKELNTLVLSRNPVSKIGDSLVKTKSITKLSLSNCRLQTIGSSLTSCTELKELRLAHNEIKTLPVELSHNSKLQNLDVGNNLITNWSDLKVLSSLANLRNLNLQGNPISEKETLAKKIKKLLPNLQIFNARPTDKTMKKEVDGSNSYITDSNVLAGQKEDWTDNSRGQKNSKKYLLGIEEGDDQPLGNAEDRATEKESDNKKHKRNKLLKEKLVSTKERVTSENETKKNSKLELNKDVRNIIDDGEAPFVELFTTDVAENAIGRGQKMEQKSVPGIDSAGAIVKFPKQSKKKKNLGDRAANFDLSPVAEVGLGGPSTWDD >CDP20720 pep supercontig:AUK_PRJEB4211_v1:scaffold_1619:26852:27792:-1 gene:GSCOC_T00006048001 transcript:CDP20720 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKDTTNCNKILSLILERLLVYPYVPNGSAADRLRDTYLAISANYNTTCNFVPGRKVRETWSRNLEMWSPSLCHLMRFLCCLTDTGQENPSLDWSKRIHIALGVACGLVYLHEQWNPKIIHRDVKANHHEERTVTFP >CDP17469 pep supercontig:AUK_PRJEB4211_v1:scaffold_162:593315:594531:1 gene:GSCOC_T00000828001 transcript:CDP17469 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDSGKALSQNPCLHTTSLDSIHSIITFLESKGIHQKDLGRIFGMCPKILTSDIKTELNPVFNFLSYDLRVPDQHYRKVINKCPRLLISSVRDQLKPALFYLQRLGFRSLHALAYQDPVLLVSSVEKTLIPKLDFLVSIGFSRADAVGMVLRCPGLFTFSIENNFKPKFEYFAKEMEGSLEELKEFPQYFAFSLEKRIKPRNIAALEKRVKLPLPLMLKTTDEEFEELTRQGCG >CDP17467 pep supercontig:AUK_PRJEB4211_v1:scaffold_162:259280:260133:1 gene:GSCOC_T00000823001 transcript:CDP17467 gene_biotype:protein_coding transcript_biotype:protein_coding MANFRIARFVTEAAPPQFVQVMRQRATKMLDTIKEDEKEASMNDSHANVRMSALSASLTSTNSANTSTSAYFTRAARRPLSLFGDN >CDP17470 pep supercontig:AUK_PRJEB4211_v1:scaffold_162:597516:610125:-1 gene:GSCOC_T00000830001 transcript:CDP17470 gene_biotype:protein_coding transcript_biotype:protein_coding MATFNAVAENGNAEEPPQSALIFLGTGCSSAVPNAFCLIQRSDPPCPVCSQALSIPPEKNPNYRCNTSLLIDYCQKDGEHKYILIDVGKTFREQVLRWFTLHKVPRMDSIILSHEHADAVLGLDDVRAVQPYSTKNDIDPTPIYLSQHSMDSIAQKFPYLVNKKLKPGQEIRRVAQFDWKIIEEDCEKPFVASGLKIIPLPVMHGEDYVCLGFLFGGKNRVAYLSDVSRILPTTEHHISKDSGGQLDLLIVDALYKKGSHNVHFCLPQTLDVVKRLCPKRALLIGMTHEFDHYKDNKFLSEWSKREGIHVQLAHDGLKVRVDL >CDP17471 pep supercontig:AUK_PRJEB4211_v1:scaffold_162:740870:744147:1 gene:GSCOC_T00000832001 transcript:CDP17471 gene_biotype:protein_coding transcript_biotype:protein_coding MACFVPFNTTNMEISLLVFRPTVVEVDDVVESLKHFSLCTEGHGCLHSAILRSIHGNMIIWYGAWMKRSDEKKDSLSAAFSSMLTNVSSMAILIDQSFFEAYAGESREGCQAAKIFTGDIVSLNSTTLSTDDMNKNYFSYACLAIFRTRFLKMEGATAGVCLKSQTMSRVVGLFVWKSLHHCYSYILNTDYRETILPYLEGHSLDIKYDIFRVAYVSSNNAADIQFFSSSRLWQNDVEGKGGCPVTQDFERKGQDIK >CDP17468 pep supercontig:AUK_PRJEB4211_v1:scaffold_162:566553:573226:1 gene:GSCOC_T00000826001 transcript:CDP17468 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 23 [Source:Projected from Arabidopsis thaliana (AT1G30270) UniProtKB/Swiss-Prot;Acc:Q93VD3] MASSRSSGGSGGFGASKTRVGRYELGKTLGEGTFAKVKFARNIETGENVAIKILDKEKILKHKMIGQIKREISTMKLIRHPNVIRMFEVMASKTKIYIVLEFVTGGELFDKIATKGRLKEDEARKYFQQLINAVDYCHSRGVCHRDLKPENLLLDANGVLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEESNLLSLYKKIFKAEFTCPPWFSSSAKRLVKRILDPNPQTRITIAELIENDWFKKGYQPPVFEQEEVSLDDVDSVFDESAESQNLVVERREEHPTTPLTMNAFELISTSQGLNLSSLFEKHMGFVKRETRFTSKRPANEIISKIEEAAVPLGFGVKKNNYKMKLQAEKTGRKGHLSVATEIYEVAPSLFMVELRKAGGDTLEFHKAHKHIHVLI >CDP17466 pep supercontig:AUK_PRJEB4211_v1:scaffold_162:75523:77042:-1 gene:GSCOC_T00000819001 transcript:CDP17466 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNYNLELRLLPAAAGGFGLPDWCGKEEEEEQQMTIFYNGMVAVCDVTELQARAIISFASREMEEKSRTLSSSSEPSSPVLQSPIYGPAAGLSMKRSLQRFLQKRKNRIQAAFPYHHL >CDP20721 pep supercontig:AUK_PRJEB4211_v1:scaffold_1626:5047:6647:1 gene:GSCOC_T00004861001 transcript:CDP20721 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQEVWEETNHLGWCILECFCCPRYYADFGSHSFGHWRWFCQSVSANLFIRDNTIQISWKINVCFQLFITIGIFAANIVNCFTGKYLPHNGWRYSLGGAAVPAIVMGALAIFLPDTPSSQVDRGKVDDAKLLLKKIRGVENVDLEYKDILEACAESKKVKHPWRDLRKKHYRPQLCVSVLIPLFQQFTGINVVMFYALVLFKTLGFGGTASLMSALITGGVNVLATFISVYGTDKWGRRPLFLWGGLHIYCLYVQNLLLIGIEFGWSGLVDQLPNGFAIAIVACICCFVSAFACSWGPLGWLVPSEIFHTRSGLQHRASPSQ >CDP20723 pep supercontig:AUK_PRJEB4211_v1:scaffold_1626:18100:20355:1 gene:GSCOC_T00004865001 transcript:CDP20723 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHRKVVVHLRATGDAPILKQAKFKIDGSDKFVKVIDFLRRQLHRDTLFVYVNSAFSPNPEELITDLYNNFGIDGKLVVNYACSMAWG >CDP20722 pep supercontig:AUK_PRJEB4211_v1:scaffold_1626:11212:17241:-1 gene:GSCOC_T00004864001 transcript:CDP20722 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSTSAPTFLRQPHIVRHRYSSSSSSYFGFSKRENYKRCRFIALASFSSSSYSLPELELPLLPFPKDQVLVPSEAKKLHLHEAGYLALLEENKSLFVHFVLDPIAVNETLREASFAARYGCLVSIEKVEQLDVGALVFIRGIGRVKIVKFAQEEPFLRGAVVPVKDRILHEATELNPKVLQLKEAIQNLNSLEIKLKAPEEALLQTQTANSLNWAEKAPGLDCDESFVPSLTERISFAAYQPVSGSMQSELTKLQEEKLKAMDGKDTLERLRNSLEFVNNNISVVAAKLALQSL >CDP20724 pep supercontig:AUK_PRJEB4211_v1:scaffold_1628:17800:20891:1 gene:GSCOC_T00003276001 transcript:CDP20724 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIGAASQVTLERALSLASDRIGLLVGFKKDVASMTHYLGFIKDVLADAEEWQNQSRGVQRWLNSLEEVAYDAQNVLDELHYESLRHQVEFRNRHKLKVCCFFSFSNINLAFRWRMASKVRDVKLKLKEINQQARDFGLDSKSVVPAALPAAPAVGGTRGRQTDSVVAPMVGRADDELNILEMLLSPSKKVVSVLPIIGMEGLGKTTLAKSIYNNKQIDALFDKKIWVCVSKKVPIEELFKLILGQLMKEKVLWDEFFTTLKGLNPTNGSWCLVTTRLDPVAHSVSRVLRMMENESYPLGKLPDDHCWSIVKEKVVGGEEELDELKAIKERVIERCDGLPLAASVIGGLLSLKRKEEWHSILENRLLSLSAGGDHVMQILKFSFDNLPSPYIKKCFAYCSIFPKDTEMKGDMLIELWMAEGLLQADLNSQMMMEEIGMNYLRILLQSSLFEEIRYDWKTCYMMHDLVHDLAESMSKSTKIINDRDTRVADHGNQIHYLAIDSSGGREDREKLLESLSTSLHTLFFMTGDLSGDMLMKLKNLYVLNLSCARTQELPVSIGKLIHLRYVNLSWSSIKILPDSFCKLYNLQTLTLSGSDVEVLPEGMCDLISLRHLHYYISANEEFQMPLEMGRLTCLQTLEFFNVGREKGRQIGELGCLKNLKGKLSIRNLHLVKDRKGAEEANLFEKANLFNVLDGLQPHPNLEELVIKNFMGDQFPGWLMDLPTTTTLPKLASLEFNYCHGCRELPPLQNFTSLKELVIKYCGGLTNLPGDMLHSCTSLQKLQVTDCNNLISFPLDLQQTPSLLELGLTYCPKLKTSMTPKGFGFLTSLRKLRIGPFSDDGDDHENSSIYNEFDWSGLKCSSSSSSSSALRRLELYGLPHMESLPHQIQHLTTLTSLFLLGFGELGHLPSEDAMRSLTKLKFLRVWGSPLLKERCIPESSGPDSQWSKVSHIQDLHIPS >CDP17473 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:103483:107724:-1 gene:GSCOC_T00001836001 transcript:CDP17473 gene_biotype:protein_coding transcript_biotype:protein_coding MECIGKLATDLWNLTFEKAKKWYYLRDNLSSLETKLQILSNRKIDFESQVTNAERSGTKKRKMEVENWFGDVRKIENEFGALKTSIEQDCLLKNAFSGGDRVEEMDAKVQGLIEESNHFGELCLEVFESRGEAREITELFGEMFRKGVETIRAWLDTNEILRIGIWGMGGVGKTTLADHIHDHLLKNTQSKVYWISVSQDFTIKGLQGDVAKRLGLDLLHEADEKVRARKLGDAFDKMEEMVVLILDDVWEYFCLDILGIDARNCRLILTTRSLQVCNQMQCHPFELKTLDTEEAWGLFERTLGSETVLDGDLERIAKSITERCGGLPLGIVVMAGSMIGVTDIHEWRNALVDLNRVEHGKMEEKVFRILERSFNRLDKYERNCFLYCCLYPEDRKIRREELIDLFIRAELMSKRESWSEEFDQGHTILNKLIRVCLLEKTTHFNGADCVKMHDLVRDMAIRITTHGNSKPESSRDDVPRFLVKSLGRSDSIVALEQEEWTQDLGAVSFYFRRIEIPRGWSPNCPKLSTLLLSQVSIEEIPDSFLRHMCGLKVLNLSQCGDITELPNSVLNLVNLTALILGGCVDLRSVPPLGNLKKMRDLDLSSTQIQDLPQDLESLVNLERLNLKDCIHLRSVPPLGKLKRLRELDLSRTTIEDLPESWESLVNLERFNLNVCWSLRRKIIIPKGTFSQFHRLQLLLLPPYGRVQVNDPEVLNQLESFKGCLSFTDFYKITRWPKYYTVYVNDILPEDRRFVNEVRGFPEKQLHFHQCKLGRGSNYLPDDMEHLIIEDCEGMGIRCLSDVFKNFINLSHLSRLDIKDSVGIEFLWQLSSASPRHQLGVSSFSPLCHLKVRLVDLPNLVGLFYGESEPYLLPAGTFSSLKELSISKCHNMKQLFTVQLLQNLQNLEELYVEDCEGLEEIAADGNGVGQGGGEGTQLTSSEGATATVILPKLRQLRLENLPQLKNICKAAMICISIEYIIIFNCPNLKRLPSFLSTIDGPPYLLPAGTFSSIRGLWISKCHTMKQLFTVQLLQSLQNLETLTVEDCEGMEEIAADGNGVGQGGGEGTQLTSSEGATATVILPKLRWLHLENLPQLKNICKAAMICDSIYEIKIFGCPNLKRLPSFLSTIDGPPSLPSTLHKIRGDKEWWESLEWDHPSAKKDLDPYFTTE >CDP17476 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:126738:127822:-1 gene:GSCOC_T00001840001 transcript:CDP17476 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIVHIIGNAIAKMILLLGKFIEKMDASLKAMDMVMQIIFNISGKAITEMIPQLGKFTEKILDAGLKAMVMVMQIIMTIITKTTAEMYRLLGKFSERVEDIAFERAANLSVDAGTSYLGLGSDMQLLSRNLNALKSRASDVKEAVERAELSGRQKRKTEVKHWLEEVETLDNDFIALQRREQLAVGFLNRLLIGGHARKMKNQVVELIEQSRHFNGLLLEKTGE >CDP17481 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:322782:328604:-1 gene:GSCOC_T00001851001 transcript:CDP17481 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAVSRFSASSSLLVKISGCQHLQDLTWPENFGCPRLQAFRSSQLLQAFKIIRPNFKMIPLVGKVGKFLAEKTGEKVIEKTAELIVVDYMGSSDVANRQSLKSNWQELSCKACDIEEKVHREEMSGKKKRKREVDSWLKNVKNLSPEIDALETRGSSLRIPLKEDPVGKLQLQVKELVDQSRHFDGLVLDNYDNIGEPCLPTKLFGVKFDEALKRIWPCLVTDDVSSIGIYGMGGVGKTTLARHIEYHLLEKNNYRVLWVTVSQDFSVTSLQNKIANVLGINLSSRDEEDARARILRDAFRKMLKLIVLILDDVWEEFCLDRVGIPLHPNKCRLILTTRSLEVCNRIQCQRKFDLQTLDKDEAWDLFKYKLGSEPLLQGDLEGIAKSIVEECDGLPLGIITVAGSMRGVRDICEWRNALEQLKTCSIGYHEMERDVFRILEWSFNRLNECQRNCFLYCSLYPEDSDIKREDLIDLFIWVELMPKRDSRSKAFDEGQTILNKLIRVCLLEETKDFEDDCVKMHDLMNGDVPRFLVKSIGKGNSKVTLEPKKWTEDLHAVSFHSFSYPQLEIKVPPAWSPNCPKLSTLLLSHVSIKEIPDSFFRHMCGLKVLKLYWCQGITELPNSVSELVKLTALILRECRGLRSVPPLGKLKQLRDLDLSCTKIEDLPEGWESLVNFERLDLGGCWALSQKIIPKGTFTQFHRLQLLLLPPYGSLQVNDPEVLNQLESFTGCLSFTDFYKITRWPKYYNDVYITDILTKDPSYEFYDCYDPEKDLHFHQCKLGRGSNYLPDDMKHLLIEDCEGMGIRCLSDAFRNFINLSHLSELYIKDLVGIEFLLQLSSASPRDQLEVSSFSPLHAGTFSSLKKLRISKCHNMKQLFTVQLLQSLQNLEKLKVVDCEGLEEIAADGNGGGEGIQLTSSEGATATVILPKLRRLRLDNLPQLKNVWKAAMICDSIEKIKIYNCPKLKRLPSFLSTIDGPPYLLPADTFSSPKELRISKSFKILKQ >CDP17480 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:258689:261574:-1 gene:GSCOC_T00001848001 transcript:CDP17480 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLAKHIHDHLLKNRNFKIYWVTVSQEFNIKKLQDDIAKCLGLDPWNEDDEHIKAAILSEALVQGSVLILDDVWKEIPLKEIGICHGANKCRLIFTTRSRQVCTGMDCQRELEVKTLDKNESWDLFKTTLRLKMELPADVEKVAQSVTERCAGLPLGIVTVAGSMKGATDIREWRNALEQLKACSVGYDAMEEKVFPILEWSFNRLNKCEKNCFLYCCLYPEDSKLKIKELIDLFIWAELMQERNSWSQEFDQGHAILNKLIKVCLLEETRGYGGGDCVKMHDLVRDMALRITDGKSNLQMNGDVPRFLVKSIGKGNSKVTLEPKKWTEDLHAVSFHSFSYPHPEIKVPPAWSPNCPKLSTLLLSDVSIKEIPDSFFRHMCGLKVLNLSRCEGITELPNSVSELVKLTALILRGCKDLRFVPPLGNLKQLRDLDLSFTKIEDLPQGLESLVNLQRLNLDECGAFSQKIIPKGTFSQLHRLQLLLLPPYPRVQVNDPEVLNQLESFEGCLSFTDFYKITRWPKYYNKQLHFHQCELGRGSNNLPDDMESLIIQDCEGMGIRSLSDVFRNFINLSHLFELEITDVVGIEFLWQLSSASPRDQLEVSYFSPLCDLQVLSLSGLPNLVGLFYGESEPSYLLPAGTFSSLRELNISKCHNMKQLFTVQLLQSLQNLETLTVIESGIFSSLKGLNISKCHNMKQLFTVQLLQSLQNLETLTVNYCEVLEEIAADGNGVGQGGGEGTQLTSCEGATATVINLPKLRRLRLDNLPQLKNICKAAMICNSIEDIAIFGCPNLKRLPLFLSTINGQLSLPSTLSKIRGDKEWWESLEWDYPSAKNALDPYFTTE >CDP17486 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:657421:663088:1 gene:GSCOC_T00001861001 transcript:CDP17486 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGDSSYKTLPQNEKKPVLTHTQSQVRKEASDELERILSNTEVPFIKRVVPATWIEIKLLVHLAAPAVVVYMINYLMSMLTQIFSGHLGNLEYAAASLGNNGVQTFAYGLMLGMGSAVETLCGQAYGAHRYGMLGIYLQRSAILLTLTGVSLTIIYIFSKPILLLLGEAPDVASEAAIFIYGLIPQMFAYAANFPIQKFLQAQSIVAPSAYISFGTLAFHVLFSWLATYKLGLGLLGSSLVLSASWWIVVVGQFIYIVKSERCKKTWAGFSMEAFHGLWSFFRLSVASAVMLCLETWYIQILVLLAGLLDHPELALDSLSVCTTISGWVFMISVGFNAAASVRVGNELGAGHPKTAAFSVLVVNCLSTICAIIAAIIVLALRHVISYIFTEGETVADAVSELTPYLAASLVLNGIQPVLSGVAVGCGWQTFVAYVNVGCYYLIGIPLGALFGFYFKYGAKGIWIGIISGVFLQTLILLWTTFRTDWNKEVEIAQKRLATWDDQKQPLLNDDK >CDP17477 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:146571:149429:-1 gene:GSCOC_T00001841001 transcript:CDP17477 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKKRKSEVDNWLKDVKKLSPEIDALETRGSSWRLPLKEDPVGKLQLQVKELIQQSHHFNGLVLDAYDNIGEPCLPTKLFGEKFKQNLERTWPCLVIDDISSIGIYGMGGVGKTTLAQHIKYYLSKNTNYQVLWVTVSQEFSINSLQDKIANVLGFHLSNKDEEQVRADILRGAFRKMKRLIVLILDDVWQEFCLDKVGIPLDARSCRLILTTRSLEVCNRMRCQRKFDLKTLDTNEAWDLFKYKLGSETLLHGDVEDITKSVVKRCAGLPLGIITVAGSMQGVGDISEWRNALEQLKACSVGHDEIERDVFPILEWSFNRLNECLKHCFLYCSLYPEDSEIERKELIELFIRAELMPKRKSRSEEFDQGHTILNKLIKVCLLEETTDFSGNDYVKMHDLVRDMALRITSGNSKKKMSTDVPWFLVKRIEEGNSRVTLEQKEWTEDLHVASLYGGDELKVPLAWSPNCPKLSILLLDFPWIERIPDSFFMHMSGLKVLHLSNSELIGKLPNCVSDMVSLTALVLTGCQGLDSLPPLGKLKQLRELDLSWTDIQDLPQGLESLINLEWLNLNACSSLIQKIIPKETFTQFHHLQLLISGLDGIEFLLQFFFAPTPCDQLEVSSFSPLQNLQALKLNNLPNLVGLFYWEIEAYFLPLGIFSSLTKLWISYCHNMKQLFTMQLLQNLKNLEDLEIRNCEGLKEIAADNNGVGKGGKEGIQLTSSEATTTIILPKLKRLHLTWLPQLKNICTAAMICNSITEIEMFGCPKVKRLPLFLLTINGLPFVPSTLHKIRGDKEWWESLEWENPSAKNALDPFFTTTDRRQDVMTMYV >CDP17474 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:108151:109606:1 gene:GSCOC_T00001837001 transcript:CDP17474 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHSTGSIYSYRSILCPDLVLLVLVRSRFGSSVLCYKFLPRTVDYVKSLNKALRVLKEEQVTKMFGPYKSNHNMMK >CDP17479 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:252021:252937:-1 gene:GSCOC_T00001847001 transcript:CDP17479 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPLTFLSFLVSYQSTLQPSVFTSAPPQLLHLQTSLFSSSSGLLDLQFLKSQTLKLYKCNANANLHIKTCFSIRRKCTYSEPA >CDP17483 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:428409:431563:-1 gene:GSCOC_T00001855001 transcript:CDP17483 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRGLKAIWAWLVIDSISNIGIYGMGGVGKTTLAKHIHNHLLERTQFKSVLILDDVWQEISFEKIGIPLGANKCRVILTTRSLVLCNRMSLLHEDVEEIAKSIVKRCAGLPLGIITVAGSLRGVNEICEWRNALEQLKACSVGHDEMERDVFPILEWSFNRLNKCERNCFLHCCLYPEDWKIKIKGLIDLFIWAELMSNRESWSKAFDEGQTILNKLIRVCLLEETKNFMGDDCVKMHDLVRDMALRITHGNSKPKSSRDDVPRFLVKSLGWSNSKVTLEPKKWTQDLHAVSFYSDMFQHIKIEVPPAWSPNCPKLSTLCLSQVIIKEIPDSFFRYMCGLKVLNLSRCQGITDLPNSVSDLVNLTALILRDCNDLRSVPPLGKLKQLRDLDLSSTEIEDLPQGWESLINLETFNLNQCPTFSRKIIIPKGTFSQFHCLQRLFFPLDGMVRVNDSEVLNQLKSFIGCLSFTDFYKITQWPNYYIIYINDILTEGPFYDFEDWQQLYFHQCKLGRGSNNLPDDMKSLIIEECEGMGIRCLSDVFKNFINLSHLSELEIKDLVGTEFLWQLSSASPRDQLEVSSFIHFFVEDHLFDQNPAWFSCSHKIATARGVMLQKQVLIEALSTSTKIPFKTCFFFSCITVAIFHHV >CDP17472 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:78084:81940:-1 gene:GSCOC_T00001834001 transcript:CDP17472 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLAEHIHNHLLENTPFKVYWISVSQDFSIKRLQGDVAKRLGLDLSNVDDEKVRARRLRDAFEKMEEMLVLMLDDVWEEFRLNSLGIDARNCRLILTTRSLEVCNRMQCHPFELKTLDTEEAWGLFERTLGSETVLDGDLKDIAKSVAERCGGLPLGIIVVAGSMRGKTDIHEWRHALAGLKACSVGNNEMEEKVFRILEWSFNRLKEHERNCFLYCCLYPEDSDIKREELIDLFIWAELMSKRGTRSKAFDEGQTILNKLIRVCLLEETKNFGGDDCVKMHDLVRDMAIRITHGNSKPESSRDDVPRFLVKSLGQEDSIVTTLEQEEWTEDLRAVSFYSQNSKGIEIPPAWSPNCPKLSTLLLSDVSIEEIPDSFFRHMCGLKVLNLSSCKGITELPNSVSDMVNLTALILRYCTDLQSVPPLGKLKQLRDLDLSSTKIKDLPQGWESLVNLEMLNLDECGALRQKIIPKGTFSQFHRLQWLLLPCYGRVQVNDPEVLNQLESFIGCLPFTDFYKITRWPKYYHVYVNDILTEDPSYDFDDYLDQKQLHFHQCELGRGSNNLPDDMKILMIEDCEGMGIRCLSDVFKNFINLSHLSRLDIKDLVGIEFLWQLSSASPRDQLEVSSFSPLCGLDWLRLHRLPNLVGLFYGESEPYLIPAGTFSSLRRLHIYECHSMKQLFTVRLLQSLQNLETLTVRDCEGLEEIAADGNGVGQGGGEGSQLTSSEGATATVTLPKLRTLNLTRLPQLKNVCKVAMICDSIEEIAIDHCPKVKRLPLFLPTINGQPCPPSTLRKVVGDKKGWESLEWDNPSAKNALDPFFATQW >CDP17482 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:338231:342854:-1 gene:GSCOC_T00001853001 transcript:CDP17482 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGNLALDRGRRYVNLDDNLRSLETKLRRLVGRKTDLESQVTEAERSGTKKRKREVEIWFEEVATVENEFRALKKSIQEGGFLENAIISGDKVAKMDAIVEQLMEQSNHFDGLLLEAFENRGEPRVTTKLFGEMFDRGLKAIWAWLVIDSISNIGIYGMGGVGKTTLAKHIHNHLLNRTQFNVYWITVSQEFSIKKLQDNIAKHLRLDLSYEDDEDSRAAILSRALVKQSVLILDDVWQEFSFEKIGIPLGANKCRVILTTRSLVLCNRISCQRVFEAKTLATNEAWDLFKHTLDPKTVLHEEVEEIAKSVAKRCSGLPLGVVTVAGSMRGVNEMSDWRNALKQLKACSVGHDEMERDVFPILEWSFNRLNECQRNCFLYCSLYPEDYKIKREELIDLFIWAELMSKRGSRSKAFDEGQTILNKLIRLCLLEETKDFKGDDCVKMHDLVRDMALRITHGNSKPESSRDDVPRFLVKSLGQEVTLEQEEWTQDLRAVSFYAQCVFRIIEIPPAWSPNCPKLSTLLLSDVFIKEIPDSFFQHMCGLKVLNLSRCGGITELPNSVSNLVDLTALILGGCGGVRSMPPLGKLKQMRDLDLSSTQIRDLPQDLESSVNLERLNLKDCIHLRSVPPLGKLKQLRDLDLSRTKIEDLPQGWESLVNLERLNLDECGAVRRKIIPKGTFSQFHHLQRLLLPPYGRIQVNDPEVLNQLESFGGCLSFADFYNITRWPKYYNKVYINDILTEDPSFVNEVQEFPKKQLHFHQCKLGRGSNYLPNDMENLIIEDCEGMGIRCLSDVFKNFINLSHLSRLDIKDSAGIEFLWQLSSAAPRDQLEVSYFSPLCHLDWLRLERLPNLVGLFYGESEPYLLPAGTFSSLRQLWISGCHNMKQLFPVQLLQSLQNLETLTVKDCEGLEEIAEDGNGVGQGGGEGIQFTSSEGATAAVPLPKLRWLYLNGLPQLKSICKAAMICDSIYEIKIFGCPNSKRLPLFLSTIDGPSYLLPTGTFSSLRELWISGCHNMKQLFTVQLLQSLQNLEELDVTNCDHLEEIAADDDGVGQGGGEGIQLTSSEATATVVLPKLGWLGLENLPQLKNICKAAMICKSIWSINILDCRNLKRLPWFLSTIDGPPSPPSTLEIIGEKEWWESLEWDNSYPKDALDPLFSAW >CDP17475 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:109660:112530:-1 gene:GSCOC_T00001838001 transcript:CDP17475 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLDQNIIQNFVFWQSLNFFKITYPDFKGVSVFKISWPENFGCPTLHARVASHTLQGRQRQIG >CDP17485 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:604846:606603:-1 gene:GSCOC_T00001858001 transcript:CDP17485 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKGTCSPFDANEIDNPNNFSSLSFCTVGLTFYFCLVSSQNFLTSFPRFRSSTSTSGQRSPSSPTSLSLACYSLINCLQLQLNGIPKFQGIIILKGSFLSKFKMTDAIWKALDMMLQIIVSIIEKVISEVTRLLGKFKEKVEDTVAERAAEVSVDTGTSYWGLRSDMELLARNLRALSSRASDIKEQVEGGELSGQKRKSEVNNWLEEVQKLENDFIALQRRVQQEKFWKLFLVGRDVRKMQDQVAQFTEQSRHFDGLLLENQLNLENHS >CDP17478 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:177427:180340:-1 gene:GSCOC_T00001844001 transcript:CDP17478 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASNRTLWVMELTGKLIEKLADWALDKGTKLYYLVDNLRSLEAKLQRLSKRKIDFESRVKDAERSGTKKRKREVENWFDEVANIENEFGALEASIQEGGFLENAISSGKRVEKMDEIVEQLMVQSDSDHFGELCLEASESRGEPREITELFGEMFRKGVETITAWLGTNEILRIGIWGMGGVGKTTLADHIHDHLLKNTQSKTDFDYTLITSVQPHAMPSF >CDP17484 pep supercontig:AUK_PRJEB4211_v1:scaffold_163:594592:597492:-1 gene:GSCOC_T00001857001 transcript:CDP17484 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSDMDNMQSLKRKWQELCCKASDVEEEVKGEEMSGKKKRKREVDGWLKDVKKLSPEIDALERRGSSWRLPLKEDPVGKLQLQVEELIQQSHHFNGLVLATYDKIGEPCLPTKLFGVKFEEALQRIWPCLVTDDISSIGIYGMGGVGKTTLAKHIKYHLSEKPSYQVLWVTVSQEFSVTSLQDKVANVLGIGRLSSRDEEEVRADILRGAFSKMKRLVVLILDDVWEEFCLDRVGIPLHPNKCRLILTTRSREVCDRIQCQRKFDLQTLNTDEAWDLFKYKFGSETLLHGDLENIAKSIVRRCGGLPLGIVTVAGSMRGVTDICEWRNALEQLKTCSIGYDEMEKKVFRILEWSFNRLNKCEKNCFLYCCLYPEDSDIRREDLTDLFIWAELMPKRDSRAKEFVEGHTILNKLIKVCLLEETKDFGRSDCVKMHDLVRDMALRITNGKSNLQMNGDVPRFLVQSIGKGNSKVTLEPKKWAEDLHAVSFHSFSYQQPEIKVPPAWSPNCPKLSTLLLSRVSIKEIPDSFFQHMCGLKVLNLQNCKGITELPNFVSDLVNLTALILEGCGGLRFVPPLGKLKQLRDLDLSRTEIEDLPEGWESLVNLESLNLRKCWALRRKIIPKGTFSQFHRLQWLLLPYGRVQVMDLEVLNQLEVFIGCLSFTDFYKITRWPKYYNVYINDILTKDPFYDGDSDCEDQEKQLYFHQFKLGRGWNYLPDDMKSLTIEDCEGMVIRCLSDAFRNFTNLSHLSKLVIEDLIGIEFLWQLSFASPRDQLEVSSFSPLRDLQVLSLNGLPNLVGLFYGDSEPYLLPASTFSSLKELWISGCHNMKQLFTLQLLQNLQNLEILGVEDCEGLEEIAADGIQLTSSGATATVILPKLKFLNLCWLPQLNNICKAALICNSICQIEISGCPKAKRLPLFLPTINGLPSLPSTLHKIKGDKEWWESLEWENPCAKNALDPYFTTQ >CDP20725 pep supercontig:AUK_PRJEB4211_v1:scaffold_1630:15414:15968:-1 gene:GSCOC_T00007658001 transcript:CDP20725 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLRSPDKFAKARAELKEIIGQEEVVQESDISRLPYLQAVIKETFRLHPAAPLLVPHKANEDVEINGYIVPKNTQVLINAWASGRDPTTWSDPEIFEPERFLDRDIDARGQHFELIPFGAGRRICPGLPLAYRMVHLMLAAFIHNIDWKLEEGMKPEDLDMDEKFGLSVPKALPLEAIPVKL >CDP20726 pep supercontig:AUK_PRJEB4211_v1:scaffold_1636:3283:4929:-1 gene:GSCOC_T00005823001 transcript:CDP20726 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHFASFLVFIIIRVVALVYYIKGILSLRMLKVLLGLCFQLACKVICYAMVTVLIALVASSATKGWSGRSLSLLDPCEFMICLESIEVFSLVEWLLISNLSCLQLQACFLPLSDASSFSILYIVTLVYFSGVMVPLMLVLGPAVCKMPGISLSGAFDVLTYSLKFQNSFIFLFVTSLLLMTEKNPIVAVMNVVAFFPIIKRYFWLYFLVLMLDFHVVHCVWAAAEACSIPFIVLTSQSHDGLRIFYDFKEDYAWLSHNTDVDHKVSCHSFHNIYLHKF >CDP20728 pep supercontig:AUK_PRJEB4211_v1:scaffold_1639:21068:22465:1 gene:GSCOC_T00005459001 transcript:CDP20728 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVSRQVELSMLWVVKDGACHFWYDNWLGNGALFLQATVIPNLSFSNFISNGHWDTSRLCQSLPSQMVTSILNHPVPEEGGEAEVIWMPTSSGNFSLASAFRDIRQARNTSMVFDRIWHPCLPLKVSFFMLRLLLGRLPIPDSLRNIGFHLPSKCFCCPLPSEESIEHLFSNGNIASTIWNYFGAACGFDLSASSLRLRIVGWWLKSYDSEIRRFIGRVLPCLVCWHIWKARNKAMFDDVQMRSIAICHAIFSEIQSMVGIYLKKPLRVPSFYHLYDWPNSSEVGFTYKLVRWETKESGRLTLNTDGCSKGNPGVGAGGGVLRESNGLPLIGFSAYFGETTCLLAEARALLIGLQISAHRGFLNLNVQSDSLLLIGILQHRIHCPWHIRRVIRQIWQIMEDPDRFSHCYREANTVADVLSNEGVSHPQQQLRIYETFNTFPPMARGAIRLDKLGMPSIRKIRLV >CDP20727 pep supercontig:AUK_PRJEB4211_v1:scaffold_1639:19695:20950:1 gene:GSCOC_T00005458001 transcript:CDP20727 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSSVLFLCLLSLAQPLHSLSASPYLFPSTLFDNYEKMLTTFRIYMYTPQKPFTFTTPPLSLFHTSLLNSPFLTQNADEAHLFYIPFPPDLSTRSRARLVRHLRISYPYWNRTLGADHFFMAPGGVASSSDRNAVELKKNSVQISIFPTASGDFIPHKDITLPPVNPSPLALVQGPGNDTASHLGFMVWDGKLLEYNYLVNEMKRDPEFRIESEPSDHVDLVKDSKFCLFLYGGEMTWMVEAMALGCVPVVLVDRPVQDFPLTDVLRWSDMGLLVRTRGGLKRLKAVLNGVGGDRYQQMRELGVAASRHLVWNLEPQPYDAFHMVMYQL >CDP17499 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:714827:720068:-1 gene:GSCOC_T00007933001 transcript:CDP17499 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHKKSPLHRNARHTSVDSRISGSNPFDSDGESDNKQALTTSRRTSSEPSLVTQNTSTNPFDDEEVKGTSSSSYISNHSARNKYKNDFRESGGLENQTVQELENYSVYRAEETTKAVNGCLKIAEEMREDATKTLVTLHHQGEQITRTHHAAADIDHDLSRGEKLLGSLGGMFSKTWKPKKTRPITGPIITRDDPVYRKGSHLEQREKLGLTSAPQGRSNSRAPPAEPTTALQKVEVEKVKQDDALSDLSNILGELKEMAVDMGSELERQNKGLEHLETDVEELNFRVKGAAQRGRRLLGK >CDP17501 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:741730:747894:-1 gene:GSCOC_T00007939001 transcript:CDP17501 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSSSSSENSFDAEELFQFRTRCKELREEKDKLKDSQSQSFELIRRLELHVKTLSEAQTEDKKRIEQLERELNNSSQEIDYLQDQLNARNTEAVCLDEQVCSLQLKLANMEILEEEVIRLREESRNSNFEHSFLMQELENKEVELQKAILCIDKLEESISSAGLDYQCEIESMKLDLLALEQKFFQAKKLQEETALENARMNNLIQDLRHQLQDEKKTIQCLGKENKDLTLMFQKSKMDTKVFCQKVEEQFQGSPVDKDLSLSELGEDIRTCGEILGPLPSKLVVLGDSDAELREKMYKMSHQVHQYELLVERLKEEVKEEKLKAKEEAEDLAQEMAELRYQITGLLEDERKRRACVEQISLRRIAELEAALEKERSKGLDDDEHKKSITVFRHMSAA >CDP17493 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:514268:538368:1 gene:GSCOC_T00007923001 transcript:CDP17493 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGEEEITEAQKQRAESNRRAALAKRKAAALAATSTTSSTSAWKLFKCQKLSSSGRKCSDFRKPLISPPSTEKFRARLEICSPDSFFITPLPVPGFPYLGDEACFEKLSDWLSNVIPPHYTQNNEGGRACKYSLGEYESVLKLLKNCEGVECEEIPWGTFNVIETMLHSYSVGKWIPHRPEFLTDEKVNELIRNLPKKLLDALLPFQVEGVQFGLRRGGRCLIADEMGLGKTLQAIAIAGCFSNEGPILIVCPAILRYSWAEELERWLPFCLPSDIHLVFCHQDNPTRLAKCPKVVVISYKMLHHLWRSMLQQEWATLIVDESHHLRCTKKRSEPEEIKSVLDVAMKVRHLILLSGTPSLSRLPFDIFHQINMLWPGLLGETKYDFAKTYCSVKLVNGCQGKVFQDFSKGIRLEELNVLLRQTVMIRRLKQHVLVQLPPIRRKIMRLVLRRTDVASAMAALGLVLAKSDFTSFLAALGVLDSDTSADDTEDKLSELSQGSVVNIRDMMKKEDQILGLAKLSGFLEWLSIHPMLSGFDGEEVTEASFSSQKMIIFAHHHIVCDRIQEFLLEKGVEYIRIDAHVSSIDRKEAVQSFQTSKQVKIAIIGILAGGIGLTLTAAKNVVFLELPKEISNLNQAESRAHRIGQTKAVNIYIFCAKGTSDESRWQKLNKSLFEVSAVMNGKHAAIPEIKVEMISNIETTGITDKRDGDPVIQREGDNVVSAKNVVIPQIYCHIPGSWPSDQYCESYKVANRGSSGENGIGIVSSEVVSLPSEASPTLGCNAFSVAISETAGTISSHNLDDSEATQKNEKVHPQSEVELNSNASEPIEAASTSSVQVEYLRFEVSQYTGRIHLYSCIPGMDSRPRPLFLSFRPEELESEDLHEDVITQGKNCIQDDHRYRSAVLLFMKQWNQLRPIERRKLLVKPLQLPLSTELCYLMESLNHDKGGLLKCGSTRRITPLDEITSRLPSDAVLRKVHLCIGGTKKEKVYTQGWSTEDKPLCKFCQSPCMNENAKRPEYFEDLFCNLECYVEYSSRTKTKYLRQALFQVERGICTMCQLDCHGLVEKIKPLSHENRQQYIRVAAPKLAKCKKLFDKLIQDPTEGNAWHADHLVPVYQGGGECRLENMRTLCIACHADVTAAQCSERRITRADAKRELEAAMKKLDGPQMHDAIDSNLEKHQQVEAWDGIEEKELLVEVPGSAYSGAKANSTIENQEQENPRVKA >CDP17498 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:709747:714751:1 gene:GSCOC_T00007932001 transcript:CDP17498 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSRGEVLSLFRSLLRTARGFSDYNIREYTKCRTSIPSTPLNLKASWNSTTLLYQKNCLKFCHRFL >CDP17490 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:234422:238484:-1 gene:GSCOC_T00007916001 transcript:CDP17490 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHFVVPNWNLRHQRQDQLDGGDGNRSSHVQNHQNPSYVVPMLNHEVAELTWENGQLAMHGLSNILPSPAPTKSTCGRAGDHTLESIVHQATCHHKHSRMMNSMQSQKHDDGDKKLSSKINSTLEFSGTKWGERSGQVQMLPSTMKKRPRSETESDQCGRFFSSKIHDLGQERSACASASATLCRDNNKDATMVTWASFESPSSFKTKNTTDEDSASHGGLENRDEEQGAKGGIVQSCSARRSRAAAVHNQSERRRRDRINQKMKALQKLVPNASKTDKASMLDEVIEYLKQLQAQVHMMGTRAMPQMMMTPLAMQQQLQMSLLARMGMGAGVGVGMGMGMLDINSMARTAPLSLQPFMHSTPIASAPPTFVPPPLVMPPRIPACTPPPATANATTTEINASGAFSDPYSSFLAQQTVNMDFYSKMAALFRQQANQTSATASNPLQPNFNQGK >CDP17494 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:622914:634944:-1 gene:GSCOC_T00007925001 transcript:CDP17494 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEGGDDQGSGWLQVKKKHRGSSKFSVHGWVGGLSAKQSSHNPEGHSLKVKFDNRRNGGQSSKASTHNFIVDPGLRDKNSCLKAGVVQRRSQGADCNDSLTRNSDVLPKIKWGDLDEKALLLHQEKAVGSEMKFGRTECLTVDRTEFQKVDNSIPCSSSDQKENNLVTKNLDENHLVVAPDALLPRTKSLGNNCKEVNELKVTSEDVRSLLNTESVIDPNGSAPDSRDNTGLKLVKSLDTHFQSNENPSSVATDVHLTPAVGREAGCSKVTELPLVDVSSKLLVTLLDSTSLRVGGAGMFTTQSLSADKNLCSHTEEALMSPAFGVTQEAGCSNVSEDSVIDIMPDMVSREYTQTTSFENTQPETTAESIALSSFGNSESPTVDGVLVDLDKTEIMDFSDANGDESKERFRERLWCFLFENLNRAIDELYLLCELECDLEQMKEAILVLEEAASDFRELNSRVEEFEKVKKSSSHILDGAPLNMKSDHRRPHALSWEVRRMTTSPRRAEILSSSLEAFRKIQQERASARVGNAEKVKPDCDSGYYRCRDVMEGDNGEIDTRSNVEESILKPRKRHGASDLSRGNSSKEKRTIDSNRCNSSGSRLPVKGDSACAVSGKNKRELIGAPCEIDQVLPKKDKKPSESMTDRNSKSVDTLKKQIPLSEREKEKRNGNLWKSMDAWKEKRNWEDILGPPHRVSSRFSHSPGMSRKSAERARVLHDKLMSPDKKKKSALDLKKEAEEKHARAMRIRNELESERVQRLQRTSEKLNRVNEYQAERNMKLREVMYARHQRGESRHEAFLAQVVRRANDESSKVNEVRFITSLNEENKKLMLRQKLHDSELRRAEKLQLLKTKQKEDMAREEAVLERKKLLEAEKMQRIADIQRKKEEAQVRREEERKASSAAREAKAMEQMRRKEVRAKAQQEEAELLAQKLTERLSESEQRRKFYLEQIRERASMDFRDQTSPFFRRSLNKDNQGRSTPNNNGEDWQANGTSNSGSCALLTGNTQSQHSLKRRVKKIRQKLMALKHEFVEPSVSPEVASIGYRAAVGTARAKIARWLQELQKLRQARKEGAGSFGLITAEIIKFLEGRDTELQACRQAGLLDFIASALPASHTSKPEACQVTLCLLRLLRVVLTVPGNRSYFLSQNLLPPIIPMLAAALENYIKIAASANIPGSTSLMLSKSSSGNLESVCEILDGFLWTVATIMGHVSSDERQIQMRDGLLELVIAYQVIHRLRDLFALYDRPHIEGSPFPSSILLSINLLAVLTSRCRKGSSIDWESFPRECISGSVGVKVAEAVVLKGLPDVPEDKPLDALLDGGGSSDKRDNFGVVESINTKTDVIDVKDESPSIQCDDNTNSPVSLKEEEKSTIMVTEQKDEIRSNMKQPVAFLLSAISETGLVCLPSMLTAVLLQANNKLSSEQVSYVLPSNFEEVATAVLKVLNNLALIDVTFIQSMLARPDLKMEFFHLMSFLLSHCTSKWGVATDQIGQLLVESLLLLGYFSLFHSENQAVLRWGKSPTILHKVCDLPFVFFSDLEFMPILAGTLVAACFGCEQNKTVVLQELSTDMLISLLKSCRNSSPAPAESIAVLDNTPPDEAGESNHLGPECRKSQVDTPPQRSQRPNNRNARTLSQKGAPSNNIKTIKMRIQRESKVGKLSEETGQKHNPYTSETSAAMLHCRFPERFMDRAEQFFSAECTNYSLHP >CDP17495 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:685214:691182:1 gene:GSCOC_T00007929001 transcript:CDP17495 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRTSGVIFLVFLPSILAQNIGSAVLLIDSTAKFAETDTNYICATIDWWPKEKCNYNQCPWGSSSLLNLDLSHPFLSNAIQAFKNLRLRLGGSLQDQVHYDVGNISCTPFVKQKDGLFGFSKGCLHMKRWDELNSFFKKTGALVTFGVNALYGRHKNRRGVWQGEWDSSNAHDFIKYTISKGYHIDSWEFGNELSGKGVGASVDAEQYGKDVANLNSIIIEEYKSFHPRPFVLAPGGFFDKDWYSRLLLVSGPQVVDVLTHHIYNLGAGVDHNLINKILNPHYLSKIEATFGNLSRIIQTDGPWASAWVGEAGGAFNNGGRHVSDTFVNSFWYLDQLGLAAKFDTKVYCRQTLIGGNYALLDTTTYVPNPDYYRQGQLLFQKPTTYALLWHQLMGSGVLSIDSNEPYLRSYAHCSKQKKGVTLLLINLSNETAFSVDVESVTHTDLPVEEKSKKKSFLHNLKKTVSWVGSKAADEDLFREEYHLTPKDGDIQSKTMLLNGKPLKLTDGGGIPTLAPEFVDLNSPVFIDPLSIKFIVLPNFGAPGCR >CDP17489 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:224324:225706:-1 gene:GSCOC_T00007914001 transcript:CDP17489 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNLLINRDIFIYNIYGLSNKGYVYSSKMAQIQAPICNFAHIYTHLFLFGKRVPMAFQLLPR >CDP17491 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:241631:243431:-1 gene:GSCOC_T00007917001 transcript:CDP17491 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGYDYVDGDQFATAVAASAFAIHSLEEYQKKLRKGSEIALGSVRTRKDERSLPIETASSGRPFGQGNRTTSFARPVPFAEQKQKGNSKKYRNAETKADAWEKAQMAKIKKRYERMQSDILAWEHEKKMQEKLQLEKKKSELELRRERNLQYYRSKLARIDHNAGGARKQIEEKRKYEESVVKEKARNIRSTGNAPLRCFCF >CDP17492 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:341399:342229:1 gene:GSCOC_T00007918001 transcript:CDP17492 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIGGYEAISGQKINVQKCGFLAHDKLPSYCMARVRRATGFGHKSFPVRYLGCPLFTRRRKSVYFMEMVQSVINKIFSWRFRFLSSRGRLILIRHVLSAIPTHLLAASCPPRGVLALAEWAMANFLWEEREGEFRHHWIKWEDLCAGLSQGGIGIHSLLEVQSAFSLKLCHSCMVGAVQGSSYCNFWFDNWLGSGPLCQRLQSVSDHPVGDFVLNGRWNQQLLRALGPG >CDP17496 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:693159:697402:1 gene:GSCOC_T00007930001 transcript:CDP17496 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVIPKATNYTWPLFVCVRDPPKVNSNGFFYHYKRSNPFIYSLPILELQILLIFMLTHLLHYALKRLGIPKIVSEIGAGLILGSTFLGRDERFQKFLFPAKSQGTLGAFTSFGYLLFQFMSGVKMDTGMIRKTGPKALAIGVLNLVVPLVAGGITMLANRSYNPNSAYIEDQIRRQRNRYGILVAHSVTAFPVIALLLKDLKILNTELGRLAMSSGLITHLLQVAITSVNTIWFSNLKNKQDYNQTLDSFLCMALVILIIFIIRPALLWVVQQTPKGRPVNDLYIFLVILLCFVSGVFSYYLDVGVLFGPFIVGLAIPEGPPLGSALVDKLDTFSSGVLLPTFITLVTLRTSLSALAINKSSIMVSIVLMVVPFASKIIVCSLVARYCKMPLNDSIALGLIMSIKGVVDLATYSFIRDQGIIDQTTFALLVISTAAIAMFVPSMVQLLYDPSRKYASYQKRNIMHSRHGGKLPILACIHSSDNIVATIKLLDASTPTVENPIVVHALHLIELRGRASPIFISHQVHKRTDISYSENVIHAFKQFERNNWGSVAVQAFTAISPRNLMHEDICTLALDALASLIIVPFHRKWGIDGSVESEDQCLRMVNRNVLDRAPCSVGILVDRGHLGRSGSMTLSEKAYFVAVIFLGGKDDQEALTLAKRMTRNENVNLTVMRYISKGRDGSDMEDDMNDMKVLYDFKQNRVGQGNVTYIEKVVNDCPELVQLVRSMADQYDLILVGRRYNKESTLTRGLEEWSEVPEMGVIGDLLASSDVRRRASVLVVQQQLTTY >CDP17500 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:736387:739243:1 gene:GSCOC_T00007937001 transcript:CDP17500 gene_biotype:protein_coding transcript_biotype:protein_coding MDHWHPAIKKMTNSFKIKLGQGGYGCVYKGMLHDGSPVTVKVLKESKGSVEEFINEVASISRISNVNIVTLLFFCFQGCKRALIYESVPNGSLDKFTHGGRSSTHHQLRMQELYKISVGIARGLEYLHHGYNTRILHLDIKPHNILLDKNFTPKIPDFGLAKLCPGKESIVSILSARGAIGYIAPEVFCKTIGGVSHKSEVYSYGMTVFEMVGVREDTEVGVVDDISDMCFPDWIYKRLDQVKNLDEILFDQKAKKKKERVLKLKPKVEEDNKIYELSDFGDDASQVAIDLKDSKGEAQVFMLMINVDDMTQLRVKQRMKQRRLKTCTLEFLFSLLILTMFLFNNSSELKSCTLSIARTFLFGSTHFQGSKKALIQGFIPNGSLEKFAYSGKILDKPSTWMANIVQNCNKNFCPKISDFGLAKLYLQKESIVSILGAPRTVGYIANEIFCKNIGGVSRKSDVYSYGMMVFEMVGERKNIDVGVDHSSEIYFPRWSYSRLDRGD >CDP17497 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:704020:708303:1 gene:GSCOC_T00007931001 transcript:CDP17497 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVHKLGQKSLILTPIVCNTTRYVSTQNLVTDSPSSANYDYLINKAGRDRDFGTVQHLLMKRTRDGCFYTNNTFKFISTDLSVLDDLLKILAGLSHGFPKKSAHDCLVNQLSKLHRTTKALHVAEIMVQNKYGANACTFHPILNELTKKKNMDEAWRVMQVMREYGIKPDLTAYNYLLTAHCYGGDLTSAAYLLTKMEEDKMGADSRTYDALVLGACRAGRIDGALMVLRRMLDYGVPALYSTHAHVINAMLRNGYYAETVEFVMSYAGKDQGLDYENFGILASRLIKLDRLDEAKFVLKEMKRRGINLGEKLKDFCLCCN >CDP17487 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:34489:39219:-1 gene:GSCOC_T00007909001 transcript:CDP17487 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVVYPDTGATGCSPFEGDKPFRSKSSTPTILLLDRGGCYFALKVWNGQQAGAAAVLVADSVDEPLITMDSPEESSDADGYLEKIGVPSVLIDHSVGESIKGALKKAANVVVKIDWSESMPHPDQRVEYELWTNSNDECGIRCDEQMNFIKNFKGNAQILEKGGYTRFTPHYITWYCPHAFLLSSQCKSQCINHGRYCAPDPEQDFGMGYQGKDVVFENLRQLCVHRVANESNRSWVWWDYVTDFHIRCSMKQKKYSKECAEEVMRSLHLPIEKIKSCMGDPEADVENQVLKIEQDLQVGRGSRGDVTILPTLVINDVQYRGKLERVAVLKAICAGFKETTDPPICLNGDLETNECLENNGGCWQDSHSNITACKDTFRGRVCQCPSANGVQYRGDGYKSCEAVGPGRCMVNNGGCWSETRHGLTVSACTESDLTGCHCPPGFTGDGYKCEEIDECKEGKVCQCDDCTCKNTWSGFECGCRGDKLYILEHDTCIGKKNHLKLIRICDSAEVTQSCLLL >CDP17488 pep supercontig:AUK_PRJEB4211_v1:scaffold_164:145241:146158:-1 gene:GSCOC_T00007910001 transcript:CDP17488 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKHWFASFSTLLLIAILIYNAGIVSCQIKDSKNFSIYDATGPESIAFDWYGGGPYTGISDGHIIKWVAILNRWVDFAITTPYRIGCQGPFNHVYAEARCGRPLGLSFNQKTGDLYIADAYMGLLAVGPNGGLARPLAKQAGGIPFRFTNDVVVYQYSGIVYFTDTSTRFPRSAFAYVISSDDNTGRPLKYDPLTHQVTVLLDHLMFPNGVALSQNGDSLLVTETTNSRVLRYWLEPSRVGKVDVFAQLPGRPYNIKRNQQGEFWVATNSRDGIFNPVGMIIKLSPEGDILKIIGAGNGVTWGV >CDP20734 pep supercontig:AUK_PRJEB4211_v1:scaffold_1643:25337:29203:1 gene:GSCOC_T00001401001 transcript:CDP20734 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLAEQIHNHLLENTQFKVYWISVSQDFTVKRLQGDVAKHLRLDLSDVDDEKVRARRLRAAFEKMEEMVVLMLDDVWEEFRLNSLGIGARNCKLILTTRSEEVCNRMRCQSKFELKTLDTEEAWGLFERTLGSETVLDGGLKDIAKSVAERCGGLPLGIVVVAGSMIGVTDIHEWRNALADLDGVGHDAMKEKVFPILEWSFNRLKECERDCFLYCCLFPEDSCIKGEELIDLFIWAELMSKRGTRSEEFDQGHTILNKLIRVCLLEKTKDHNHVKMHDLVRDMAIRITHGNSKPERSRDDVPRFLVKSLRQEDSKVTTMEQEEWTQDLHAVSFYSDIFPRIKIEIPPDWSPNCPKLSTLLLSDVSIEEIPDSFFRHMCGLKVLNLSRCEGITKLPNSVSDLVNLTALILGCCVDLRSVPPLGKLKQLRDLDLSSTEIQDLPEGWESLVNLERLNLSNCSAVRQKIIPKGTLSQFHRLQRLLLLPYGRVQVNESVLNQLESFKGYLSLTNFYKITRWPKYYTVYINDISTEGPFYKLDHYEDQQQLHFHQCKLGRGSNYLPDDMKKLRISRCHNMKQLFTVQLLQSLQNLETLTVRDCKGLEEIAADGNGAAMIYKSIEVIAIFNCPNLKRLPSFLSTIDGPPYLLPAGTFSSLKGLNISGCHNMKQLFTVQLLQSLQNLRDLHVDNCKGLEEIAADGNGVGQGGGEGSQLTSSGATATVILPKLKSLFLCWLPQLKSICKAALICDSIKEITIFNCPNLKKLPSFLSTIDGPPSLPSTLRKIRGDKEWWESLQWDNPSAKSALDPFFTTQRWGN >CDP20732 pep supercontig:AUK_PRJEB4211_v1:scaffold_1643:3:2036:1 gene:GSCOC_T00001399001 transcript:CDP20732 gene_biotype:protein_coding transcript_biotype:protein_coding PESSRDDVPRFLVKSLGQEDSKVTQEEWTEDLHVVSFYAQSSKEIEIPPAWSPNCPKLSTLLLSNVYIKEIPDSFFQHMWGLKVLNLSRCRGKPSFTKKQADLFFPPDFADDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRTSPDPIFLTSEATSVGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPRGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIHIKARATPKVVAAFAERREFDKILIYSKQVSVIIKFMFTHGMLSLPILLISSRQMLVSYCCH >CDP20733 pep supercontig:AUK_PRJEB4211_v1:scaffold_1643:2117:2893:1 gene:GSCOC_T00001400001 transcript:CDP20733 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLIIEDCEGMGIRCLSDVFRNFINLRHLSELEIMDLVGIQFLWQLSSASPRDQLEVSSFSPLCDLKEIRLTRLPNLVGLFYGESEPSYFLPAGTFSSLKQLWIFKCHNMKQLFTIQLLQNLQNLEELDVYDCEGLEEIAADGSGVAQGGGEGIQLTSSEGATTNVILPKLRWLILKNLPQLKNICKAAMICDSIEVIKMFGCPKVKRLPLFLPTINGQPSLPSTLSKIRGDKEWWESLEWVYPSVKNALDPYFTTE >CDP20735 pep supercontig:AUK_PRJEB4211_v1:scaffold_1648:6488:8102:1 gene:GSCOC_T00012626001 transcript:CDP20735 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPLHPLHQIAETPTHKLLLKQWLKEEELILHRIALKETQIDSVRKEITQLYCFFFFFHSTALILLFSAASSAGGRGPFCRRSWIPSLCSLLCSLGIIWAVRYKTDVEGHLEKLLQREKEDGKLLAKCVEELKKKGVEFDLLKEVDALRRAKSLRVESSKAVRKWSSRDFVSFFFFTVACLVIALTRVILCNY >CDP20736 pep supercontig:AUK_PRJEB4211_v1:scaffold_1648:8209:22804:-1 gene:GSCOC_T00012627001 transcript:CDP20736 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEGVPFWRPRAPPSAAAVFVVVVVVCSSVTNPVARAQTNQTQTNATRPTADPFEAMAVNSIFQKLGAPAPTDWNISGELCSGVAIGNTDIQTFNPGIKCDCSYNKGTLCHITRLKIYALDVVGDLPDELWNLTFLIDLNLGQNYLTGPLPPSIGRLTRMQYLSIGINALSGELPKELGMLTDLRSLSFSTNNFSGSFPDELGNLTRLTQLYFDSSGVSGAIPPTFTKLQSLVTVWGSDAQLTGQIPDFIGNWSQLTTLRFQGNAFHGRIPLSFSKLTSLTDLRISDLSNASFSLDFIRDMKSLSTLILRNNNISGSLPSNIGEYLSLQRLDLSFNNLSGRIPDSLLNLSSLTHLFLGNNKFTGSLPSQKSEVLHNIDLSYNELSGGFPSWVSGQNLQLNLVANNFTLSDSNSSSLPSGLNCLQRNFPCNRGVPIYYNFSIRCGGPSIRSANGILYEADNATFGPATYYTTNTQRWAVSNTGLPADGKNPEYRSSSSSQFTNTLDSELFQTARMSAGSLRYYGLGLENGNYTVKLQFAESEILDTKSWMSLGRRHFDIYVQGNRVWKDFDIKKEAGGRSFTAVQREFTAYVSENYLEVHLFWAGKGTCCVPTQGSYGPFISAISATPDFNPSVSNNPPTRKKKSTGSTGLIVGIVVPIGIASFLSVFAVYFFVQRRRRHQAFEEEEFLGMEARPYTFSYAELRAATNDFHPSNKLGEGGFGPVFKGTLEDGRVVAVKQLSVASHQGKSQFVAEIATISAVQHRNLVKLYGCCIEGNKRLLVYEYLENKSLDQALFGTNNLHLDWPTRFDICLGVARGLAYLHEESRLRIVHRDVKASNILLDSDLNPKISDFGLAKLYDDTKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEIVSGRPNSDSNLEENKIYLLEWAWNLHENDSEIELVDSKVVECDENEVKRVIGVALLCIQASPALRPSMSRVVAMLSGDTEVTTVTTRPGYLTDWKFRDSTSFISSNDKTSGSNSRSMGAEKIYSPGNAEEPMISDILGDGR >CDP17503 pep supercontig:AUK_PRJEB4211_v1:scaffold_165:521329:521677:1 gene:GSCOC_T00004270001 transcript:CDP17503 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAQLLNLLELLECLGTSLSCVAHSTILFSLTGFDVTAPPPPRAYPRVRRVACPALSGTQSFTTVLN >CDP17502 pep supercontig:AUK_PRJEB4211_v1:scaffold_165:161273:176801:1 gene:GSCOC_T00004268001 transcript:CDP17502 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAWCWKWLVEAMTGGGGGGGAGGGGGGGGQPRRKLNMGNEGKPWPVSVKAPISVEITDKPMSPEELGAPLTPPLRNSFSSMDFFSQARKALCLRGPFDGDDVGSTQTPSTSASASASVASTTFLPSALAQLLSKHSDSRKRHKRSHSGTEHKARPEKARGTNIWVETEEYFRDLTVEDIDKLCEVSSLGLSNSDKCFSIPALDNEGNVCNLCSIGDMYNVEIASVQSSGGSDGRLPIRDEDRGGEKSKKDNGFKFDTGSNGLEWLLGSRSKIYLTSERPSKKRKLLGGDAGLEKVLVCHPVEGFSSLCHYCSKGDMGDQLNRLIICSSCGVAVHQRCYGMQDDVDGTWLCSWCKQKKDGQSGDRPCLLCPKQGGALKLAQNTENQALQVEYAHLFCCQWMPEVYVENIRTMEPIMNIDGINDTQRKLVCYLCKVKFGACVRCSYGACRTSFHPLCAREAKHRMEIWGRRGCDEVELRAFCSKHSEVDNGTSGQCTGDMLVPVGPDSKNQAVKPSADRIHKFGRRNGDKVAVNIEIDDLSVNADKMNNGVLHVDGLSDNRSNSEVQSQLVDLQQHFNNGTSGVEATNDDDVSETMNLNMMVRKLIDQGKVDMKDLAEEFGVSPDSLAPVLKENLAVPGLNGKIVTWLKHHGNVGSLHKTVKVKIKSSTSSMDEDHMSVPVDSNAVTVSRTKIPNVDPIKCIPPRRRTKSDIRILNNDKVMCTSREMIGDDMVLDEMGCGLPNGDGCPSKGSSAGSEKNINEGLECEDISATILPEDEGEPSDAVAIGMYQNGPSKVDAASEHNTAAKYDKKNAKSLVALDCVPNLINSESYTHPLIQHKLIAMNNRVDYGGSREREFSQFGASSSSGICCHRHGQQAASTDWMTKLSVGNREQLVKARKMGLLELSPSDEVEGELIFFQQRLSSCAISRKHFIDDLISKVAKNLQEEIDAARKKKWDAVLLSQYLYDLREAKKQGRKERRHKEAQAVLAAATAAAAASSRISSLRKDAIEESAHAEDLSKGNFSNGRPGIYSQQNPRVKETISRSAAARLSSEKNSDPFSLASDFAVEHPRTCEICGRCETILNPILVCSSCKVAVHLDCYRGVKSSTGPWYCELCEDLLSSRGSGLPTASAWEKPYFVAECGLCGGTAGAFRRSTNGQWIHAFCAEWVLESTFRRGQVNPVDGMESLSKGGEVCVICSRKQGVCIKCNYGNCQSTFHASCARSTGFYMNSKTIGGKLQHKAYCAKHSQEQKAKADTQKHGMEEFKSLKQVRVELERLRLLCERIIKREKLKRELVVCSQDIIASNRESAVLCALTRHPAYHPDVSSESATTSTRGYTDGNRSGSDTIQRSDDVTVDSTIAGKRHIKFPVSMENDQKTDDSSVSQHFVSQKPVDRVSFSGKKVPHRPGVTLRILSEDAEKRSRYRKHPETFEKELVMTSDQASMKNQLLPKGFVYVPIRCLSKEKETLPDACAQEPLEHDG >CDP20740 pep supercontig:AUK_PRJEB4211_v1:scaffold_1659:22967:26749:-1 gene:GSCOC_T00008500001 transcript:CDP20740 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLQSPNPKKEEKECSNDLSFSVLGLEDLSFSCIDSFLDFDYIEDWIEENPEPYSKSMANDLGENSVGFSSEKVASEMFDKSFEIGSAGLVGEEGGGKLGSLGQEKVEFVGGEKGSEMGYGVDGIKSGGLGCSIEEEMGKVSLEGGWSDPDSVFGGLTGVKQGGEGCDNGNSEDDCGCDVVKSVEANIRDESDSNSDSEGESDSEIESSSSSSSSSSSGSSDDDDDDEVDGKEKWGSSKERKGQDIEMEEGEIVASDPEEMVAWSGDDEYGEDEGGSGVSTLGPPKSKNELTLLPPVPPVNVALLPHHKIQPVGVVLSVLGAQVIVEGVEKHNPLNEGSILWITESRSALGLVDEIFGPVRNPYYIVRYNSESEVPPGIRPGISISFVPEFANHVLNDKSLYSKGYDASGANDEELLEEEEFSDDEKEAEHRKMQRNKKRGTNDNKIGNVKKDEKRFGNWSRNSKYDSTVNRHLPMEGAKRQVDHSSHHVPPAVVSQHQGNGLHSLSLGQGSTCQPGLAPPFVQLASTAGFSALSGGANGIAFQQPQTVGFPGLPTNGMPTVRNPYYLHQMPSQNILSFQQQINASQNLPSNLVISGMQSGFGAEPSCSSQNAFNRLPFGVTTPGQQASLPINVGEQAVPVNMSETMHNYEPQQLASFTHKRDTYRSISHGKHYRGGRKPNRRGGGQFGGGRGRQQHG >CDP20739 pep supercontig:AUK_PRJEB4211_v1:scaffold_1659:16678:21585:1 gene:GSCOC_T00008499001 transcript:CDP20739 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTANANTMKMRLFSEEEVRDISGLKRGCGGSRGGSDGDDYVEVTCGCTSHRYGDAVGRLRVFSSGFLEITCECTPGCQEDKLTPAAFEKHSGRETSRKWKNNIWVIVDGEKVPLYKTALLKYYNQASKNANGSSRSHNGKFHRDEFVRCTECNKERRFRLRNKEECRSYHDALADLNWKCSDMPYDEVTCEEDEERASRRVYRGCSRSPTCKGCTSCVCFGCEICRFSDCSCQTCSDFTRNAKA >CDP20741 pep supercontig:AUK_PRJEB4211_v1:scaffold_1662:27840:29103:1 gene:GSCOC_T00005885001 transcript:CDP20741 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFSWKLSLTAVLMLAMMASQATSRSLNEAPMTEKHEQWMAQHGRVYKDDAEKADRYKTFKENVNFIASFNKAGNRPYKLDINQFADLTNEEFRAARNKYKPWKVCESKSFMYENVNAVPASMDWRKKGAVTGVKDQGQCGCCWAFSAVAAMEGINQLTTGKL >CDP20742 pep supercontig:AUK_PRJEB4211_v1:scaffold_1665:4920:5844:1 gene:GSCOC_T00000021001 transcript:CDP20742 gene_biotype:protein_coding transcript_biotype:protein_coding MASYNIIHLVVCLSFLSLLQLPMPSHCADPSPLQDFCVADLSSPLLVNGFPCRKPETVTSNDFFFDGLQKRGRVFDPLNVNLTEVDVFAFPALNTLGVSMNRVEFLPEGENPPHTHPRASELSLVTEGKLFVGWVSTQYILYWKVLTAGEVFVIPPGLVHFQRNVGEGNAVFYAFFNSQNPGISKIAPTLFHSTPLIPDPVLTTAFNVNQTIIDLIKSGASVLVP >CDP20743 pep supercontig:AUK_PRJEB4211_v1:scaffold_1667:6332:8974:-1 gene:GSCOC_T00012296001 transcript:CDP20743 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTSLVIERTVDLLIKKSVFLKDVGRQVERVRNDLDWMRCFLKDADQKQDEDERIHHWVSQIRAAAYDAEDVIEIFASKVEFFTKNKGLVTKLTYYPLKIVNLYKIGKEIESLQMRLNDIADSREKYGIKNLGEGTSTQGEELQRLRRSSPISEDKDIVGFEKITKSLVKELLKGDKKRRVVSIIGMGGAGKTTLAKKVYNHADIRERFNCRAWVFSNIIWKLESLRHLYARRMECDEPLKIEGLRNLQTLSGIRFADIMHNNMITQTSLQKLGIWVDERSDIDKLCMHLSEVGSLKALHLYCPAGIEQPQSLARLSKLHHVTELKLCGFGWRMLPPDFPPNLSCLSLDCTSLEDDPMPALEKLGQLSFLKIEAAYLGPQLVISRHGFHQLKFLELNSLYDLDEIKVEEGALPQLKCLRIRICRRLWKLPEELKHISTLDALELVDMPKDFISRLDADMVSSVPNLRIF >CDP20745 pep supercontig:AUK_PRJEB4211_v1:scaffold_1667:23727:24635:-1 gene:GSCOC_T00012299001 transcript:CDP20745 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTYVAMVQISIFSCGGIAIGLSASHKIVDGLSMSTFMNAWAATARESLEQINASFVSSTLSPGSRYTSKYSKLFTPALDVLKTKATTSSLKPSSVTAVMGLLWKSAIVASQMKLSLLIPVWPAYAQQGTDSSPELQLLVNCIRNAITTIYSNFVEGMKGEDRLQKNFEQLFSNPNSSAEDFIISSLCKTGFREVDFGWGKPIWSFVGRENRNIYGSRVERIAWLMDTKSGDGIEAWVTLKEDGMAIFDKNLELQAFASLNPAPLES >CDP20744 pep supercontig:AUK_PRJEB4211_v1:scaffold_1667:11543:12995:1 gene:GSCOC_T00012297001 transcript:CDP20744 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLRLLWCVNDLFLIAADCGCHRSRERHLLGQQIDVNCWWSFCTSSCAQTPSEDSSCGFRTFCHVSRKAAQNSILFTWSVSFFNAMYKILVAAVTVAARAVPHRDWPVEKLKDVKVADALKHPNWNMGKKITVDSATLFN >CDP20746 pep supercontig:AUK_PRJEB4211_v1:scaffold_1675:14282:18489:1 gene:GSCOC_T00005981001 transcript:CDP20746 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMKEIPFIFLLLSSKQMIPGIMEKRVLILLYKALLVNRFKISMTRSVRNFEFMAPKKGLYRFCFTNRSPYHETVDFDVHVGHFPYYEQHAKDEHFNPLLEQISKLEEALYNIQFEQHWLEAQTDRQALVNENMSKKAVHKALLESIALIGTSVLQVYLLQRLFERKLGTSRV >CDP20749 pep supercontig:AUK_PRJEB4211_v1:scaffold_1677:15796:17875:-1 gene:GSCOC_T00009603001 transcript:CDP20749 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDLRRGPWTVEEDFTLINYIAHHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAAAAAGANSSTAARATAATATTTSNSNYHQGNTSMVADVGSGGQVILPQASTYGGHSFTAQFSPVSDLTDCYNYPVKQSNNQDYYQANNQLSYGETLTSPTGYFNQALDFHLMEQNNAWMDGGDVSDNLWNDEDAWFFQQQLNSNTFN >CDP20747 pep supercontig:AUK_PRJEB4211_v1:scaffold_1677:214:699:1 gene:GSCOC_T00009600001 transcript:CDP20747 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGRFLSVKHRATVSWYRSRMSMAYFASPPLRARIGPIPETVTPHNPPLYRSFTWDEYKKATYSRRLGDGRLNLFRFQPNDGLGK >CDP20748 pep supercontig:AUK_PRJEB4211_v1:scaffold_1677:768:6318:-1 gene:GSCOC_T00009601001 transcript:CDP20748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase C, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06500) UniProtKB/Swiss-Prot;Acc:B9DFA8] MNTSSGIGLSTMKPCRRILVSCKNSSLFGFPFLNSNYLITDNLSKLQPNLNDLPDSSNRVVGFTRTNTPNWRAFCLSGSDSGQSRFFSGCPLNTSNDRLVSNIANVASDVRNHSTSIESRVNQNSFEKIYVRGDLHVKPLLIETIEEAHKVLENVEKDKDYEARVEANDSLGVNRDNLNQRSDGSMVFRSTLKREVSEVEKEAWKLLRGAVVNYCGLPVGTVAAADPADKLPLNYDQVFIRDFVPSALAFLLNGEGEIVKNFLLHTLQLQSWEKTVDCHSPGQGLMPASFKVKAVPLEGRNGEFEDVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYSLQERVDVQTGIRWILNLCLTDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQSLFYSALRCSREMLTVNDSTKKLVAAINNRLSALSFHIREHYWVDMKKINEIYRYKTEEYSTEAINKFNIYPEQIPSWLVDWIPENGGYFIGNLQPAHMDFRFFTLGNLWAIISSLGTPTQNEGVVSLIEDKWDDLVSGMPLKICYPALEYEEWRVITGSDPKNTPWSYHNAGSWPTLLWQFTLACMKMGKPELASRALASAEKRLLVDQWPEYYDTRHGRFIGKQSRLYQTWTIAGYLTSKMLLENPEMASMLFWNEDYEILENCVCGLNKNGRRTKCARVASRSPSSMSEPLLPQIKETHC >CDP20750 pep supercontig:AUK_PRJEB4211_v1:scaffold_1680:20107:21091:1 gene:GSCOC_T00012620001 transcript:CDP20750 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVVTAFHCALSPLKCWSSSFFCLFGALRGSDTFSIYPIFSSLLPSIPFSIFSSLFFSIGLDTSSVCSLLLHRHTVSLSSISSFSAQHHKIPFFDQPWDSPSASLRFKFILAGSPLSHWEDF >CDP20751 pep supercontig:AUK_PRJEB4211_v1:scaffold_1682:26401:26756:-1 gene:GSCOC_T00012370001 transcript:CDP20751 gene_biotype:protein_coding transcript_biotype:protein_coding DEKKSTNENNAEDEENDKVGSWYSNSGTGTSGSQGLGGGVGKYLKARNLQVESTTAVDSSLPAVSVTKKRKVGVSTGEYKDFSSW >CDP20752 pep supercontig:AUK_PRJEB4211_v1:scaffold_1689:26227:28010:-1 gene:GSCOC_T00005362001 transcript:CDP20752 gene_biotype:protein_coding transcript_biotype:protein_coding VKDISTLFKEAHSKPINLTDDFVQRVIPHFSASITMILHEVLRLYPLVPVNGRITAAETKLGTFSLPTGMVFLLQTQLVHHGPEIWGEDATEFKPERFSQGVSHSTKGNVALFPFGWDLLLVQMRGIHAMLEAKLVLTMILQRFSVELSPSYSHATISLITLNQSTVLP >CDP20753 pep supercontig:AUK_PRJEB4211_v1:scaffold_1692:23980:24654:1 gene:GSCOC_T00013475001 transcript:CDP20753 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFGPNQVQILYMGRSVKRRNSKESSTQWTTGIAEVQLPMEYKLRNIVETEVAKKLLQEKRLMGRTKTDSSIPSSYSADYLQRGKDYAEKLRRGLWQLLT >CDP20754 pep supercontig:AUK_PRJEB4211_v1:scaffold_1700:6453:12448:-1 gene:GSCOC_T00006902001 transcript:CDP20754 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSKLIHTSNRCAVGGKLCEICWIFPSSSFCDYMIHVACSGNFPSDTSLNDAEDVEDIEQFKDIPDSFVGIPSEKYPLVITFHKFLMMLDGTIGDSYFRRFPEMKKIMNFSVGRSGNFRSAVLQSLLRMKEVNYERFCFHYWPHFNSQLTKKLDSSRTFTEIISHIKGGLLAGEAPDGKLSRQEYVSMSNSRASTLSSDERELIYTAFQAYEKKKLQRGEFDLSDFVIDLHLRLKSKGLEGDKMDFVYIDEVQDLAMSQIALFKYICKNVDEGFVFSGDTAQTIARGTDFRFEDIRSLFYNEFIMKSKSDKYVERREKRRMSEILNLHQNFRTHAGVLKLAQSVTDILYQFFPQSVDILKPEISFIYGEAPILLKPNESAIEIIFGKTAKTGGKVVGFGAEQVILVRDDSAREGISRDVGNHALVLTILECKGLEFQDVLLYNFFSSSPLKNQWRVVYDFVEKKDLRDSCFPRCFPSFSHARHSILCSELKQLYVAITRTRQRLWICEDSEEFAAPMFDFWKKLGLVQVKEVDESFSQTMLMASSPAEWKSRGIKLYQENKYHMATMCFERAGDTNWEKRAKAASLRATADQLRESNPQEACTILRQAAELFDSIGRAESAAECFCDLGDYERAGRIYFDKCRDPKKAGDCFTSAKSYELAAKAYADGHYFLECLSACTQGNLFEMGRQYIKKWKQAAGGGNGTAKQSKEIKQAEQEFLESCALSYYKLKDHKSMTKYVKAFLTMDSRRKFLKSIGCCIDELLLLEEELGNFEEAIEIAKLRGDLPREADLLGKAGHLKEASLLIISFVLHRSLWVTGNRGWPLRPFRQKQILLKKAMSFAQEESNEFYERIRREVEVLSHEHISLHELLQSLSYSELCKNLTVELISIRRILDVHLDCTARKFEWEDELQVDMKKHSEDKISLNHISVGSLMYFWDMWRRNMLNIMQYLKTVEKPDDNEWFEYGEFCLNYFGVRRQVINSNVAYILLNSDAEWVKTTGSVFKQKQQSENQVSIDGRKFASAALSHCQAEVVSVSLKVLDTVEALYKLSIRESFSLFCQSMRLIDIYQLMKFLTESFKFNDSVKRRLENFLWTPITYFKYVFPLDCCKSLVENMISLRKTELSRSLLEEVIVDNISNKGDLTYGQIGRVVMIWLGSGKPTDDLCMKIAKRFEKNFSWRAFIDSLQDYFFTTKYSFVEWLIYLKADMYPHAGSVADTQLSPEIIFDSVVMMVEQFLFKKQETASWIAKSKFGVNQYHPLLALRLVVILCLLHLNSGKYSNVLSHLLDQSHISSQLPMPFIQALRPRRMPHLTQDWFSLNATVEAFRRIGNPLVIVHLRENSPKFACPAIIIDTALTPRIDNIMRNLFPKQGSYHQQRPMVEANVPNLCEGLDHNAESLMSIDISAAPGQKMSTESGTESNPQMYSGPSEKISDVQISTEQRQEEELSSCSPNLSQFKVTTNGLLRSAHAQLLCGFCV >CDP20755 pep supercontig:AUK_PRJEB4211_v1:scaffold_1700:12862:14340:-1 gene:GSCOC_T00006903001 transcript:CDP20755 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLNGDSTLFKQQRWTVLFSDDFRKSFRKMTSTRMKKSVLNLLLCLASGWRPKKINVDLVCERSSQIVKHFKVEGLYVVCSIDIVKKSNYMQVLKVWDILPLVEIPKLLKRLDNIFNMYTDDFINRCKQKCLNGRAELPECWPNSSKIIRHKNMNNGKSVADSTDSTFDGGCYAENSRVSESLQLMKFYSLSPGAVSHLLFSRDGQELDLPFEVTDEEWEIIQFCKSSFILGRSGTGKTTVLSMKLFEKEQIYHIASEGFTTAENSMSTSVLKRTEFDHSTEVTRKTFLRQLFVTVSPRLCSAVKHYVSRLIR >CDP20756 pep supercontig:AUK_PRJEB4211_v1:scaffold_1700:14504:17052:-1 gene:GSCOC_T00006904001 transcript:CDP20756 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFAVVSQVEEQCDSCSVNHTGQLSESFGSDLYSQMNESQTEAIRASLHKMTCDRNSHIELIWGPPGTGKTKTVSQMLFILLRMNYRTLCCAPTNVAVKEVASRVVKLVKEAYAAESEKSDPFTPLGDIILFGNKDRLKVAPDIEDIYLDYRVKRLVECFAPSNGLKHCVRSMIDLLEHGASHYHIFLENELIKTKENKDEAPKDKPKFFLEFIRAGVKAILPSLRRCLITFCTHVARSFVAKQNFENMVHLIYLLESLEKELFEKSKCLFVLKTVHSTLENLGIPAVVNEESIRELCFQMATLVFCTASTSYKLHRTNVEPLKVLVIDEAAQLKECESLIPLQLPGLKHAILVGDECQLPASVNSKISANAAFGRSLFERLSSLGQPKHLLNIQYRMHPSISCFPNSIFYTDKIMDAPEVRSKKHERCFLREKMFGPYSFINVPGGNEDGDGDDYSLRNIVEAAVVVKIVQKLYKGMSRLPTWNGSDTSLSVGVISPYAAQVALVQEKLRHKFENLDNFVVTVKSIDGYQGGEQDIVLLSTVRANNKGSIGFLSSPQRTNVALTRARYFFSYITARNFSLIFNFKYAEIEFLVPC >CDP20757 pep supercontig:AUK_PRJEB4211_v1:scaffold_1701:14463:22558:-1 gene:GSCOC_T00003703001 transcript:CDP20757 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIVYCIFSLHDMAYSEIFPLWTESPRKFGGLSYSTQSVGEILTITGCGLLVFQLFLYPFVEKMLGPIKIARISGVLSIPLLTSYPYIAMLSGVALSVVLNCASLLKNVLSTSIITGLFILQNNAVEQHQRGAANGIAMTAMSLFKAVGPAAGGAVLSWAQKRQHAAFFPGVQLVFFILNVIEAIGVLMTFKPFLADRSQYESLN >CDP20758 pep supercontig:AUK_PRJEB4211_v1:scaffold_1702:446:1549:-1 gene:GSCOC_T00010096001 transcript:CDP20758 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVLSLWYFRSWNCAEKCIKRLLPQLSFFGTSLCPLHLKSTKPWDYYTNYPVTLPLRRPYSGDPEHLDQEEFGDASERKLEL >CDP20759 pep supercontig:AUK_PRJEB4211_v1:scaffold_1703:8370:28384:-1 gene:GSCOC_T00006968001 transcript:CDP20759 gene_biotype:protein_coding transcript_biotype:protein_coding NFNQIFGSIPKQIGNLSKLIYLDFWANELSGKIPPEICNLRNLTHLGFGSNQLSGPIPVAIGNLISLQFLSLLFHNHLSGPIPSAIGNLISLQLLSLGENNLTGTIPKSLGNLTDLIELYLHHNQISGSIPKELGDLKFLTDMELCVNQLNGPIPPVIGNLSSLQLLYLYQNNLTGAVPKSLGNLTKLIELDLYDNQLNGSISISIGNLSTLEVLYLQNNQFSGSIPVTFGNLNRLVNLSLYQNHLSGSIPPSLGNLTNLIQLDLSKNQLNGFIPASIGNLSALEVLYLQNNQFSGSIPVTFGNLNRLVNLSLDQNHLSGPIPPVIGNLSSLQFLYLYQNNLTGAIPKSLGNLTNLIELSLSDNQLNGFIPASIGNLSALEVLYLQNNQFSGSIPVTFGNLNRLVNLSLYQNHLSGPIPPVIGNLSSLQFLYLYQNNLTGAIPKSLGNLTNLIELVLSHNQFCGSIPQELGNLNKLVFLSLFSNLFSGPLPELLCQSGILQNISVFENMLTGPIPKSLQNRSSLVRALLDFIDLGNNKFYGKLSSNWGKCKMLKTLIVAKNNITGGIPPEIGNLTQLHALNLSSNYLSGEIPREVGKLTSFVKLDLHDNQLTGGIPQELGLTQLSELDLSQNLFTGEIPSEFQNLQNLGTLDLSQNNLSGLIPKALAELPGLLHINLSFNNLEGPIPSGRAFVNLTLEEVKGNKGLCGNITGLRACESSWLIKKHVKDKRKEFVLIIVLPLLGSFTLLGALFGTLKLYDKRKRNSRAEDMEVKKGEFSETFCIGKGGCGSVYKAQLPSGEVVAVKRLHNIPNVAKDRSFLNEIRALTEIKHRNIVKLFGFCSNAQHSILVYEYLERGSLAKILSIEEEAKELDWQKRLNIIQGVAHALSYMHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTSKFLRRDSSNWSSLAGTYGYVAPEFAYTMKVNEKCDVYSFGVLTMEVIKGKHPGDLIANLMSSKPEEIELKDLLDQRLLYPNQQIEKCLLSILKLARECLHVDPQCRPTTLIISRLISTLCFHSLVTYFLHALILITSLWTIADSNSTRFSSYLRSFSQLQFPISFFFLVSFCSNGILQNITVDDNMLTGPIPKSLQNCSSLVRARFNGNHFHGYLSEMFGIYPVLDFIDLSNNQFYGKLSSNWGKCKVLKTLVVAKNNITGGIPPEIGNLTQLHKLDLSSNYLSGEIPREVGKLASMFTLDIHDNQFTGGIPQELGVSMEFLDLSTNSLNGILPELLGDLKHLFHMNLSNNVLSQKIPLQIGKLTQLSELDLSQNFFRGEIPSEFQNLQSLGTLDLSHNYLSGLIPKALAELPGLLHINLSFNNLEGPIPSGRAFVTLTLEEVKGNKGLCGNITGLQACESSRLIKKKQNSREEDMEVNKGGLFAICTYDGKALYKEIVRSTEEFSETFCIGKGGCGSVYKAHLPSGEVVAVKGLHNIPNVAKDRSFLNEIRALTEIKHRNIVKLFGFCSNAQHSILVYEYLERGSLAKILSIEEEAKELDWQKRLNIIKGVAHALSYMHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTSKFLRRDSSNWSCLAGTYGYVAPEFAYTMKVNEKCDVYSFGVLTMEVIKGKHPGDLIANLMSSKLEEIELKDLLDQRLLYPNQQIEKNLISILKLARECLHVDPQCRPTMLIISRLISTC >CDP20760 pep supercontig:AUK_PRJEB4211_v1:scaffold_1709:2891:7694:1 gene:GSCOC_T00009649001 transcript:CDP20760 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSSTLANIFLLLLVAMNLSVSHVSAPKQFQNETDRLALLEFKNRIYDDPFGVLKSWNHSQHHCHWEGVTCSARHQRVVALTLREKHLSGTISPHVGNLSFMRFIQLPGNQFHGEIPQEFGRLFRLRALNLSINALVGEIPANLSYSIELINISLRDNKLEGKIPIDQLSNLKKLENFYLYKNNFTGEIPSSIGNLSSLIRIGFSLNNLEGNLPVEMGLLKRLSFFSVAENKLSGIIPASIFNSSAIILFSVAANSFHGNLPTNIGHTLPNLQELYLGGNKFYGNFPTSITNASGLDVLDLPTNKFKGQIPTNLGDLTQLKLLNLAANFFGNNSTGDLDFIASLTNCSNLRILSLSINTFGGNVPKVMANLSHQLAELYMGWNQLSGTIPEGFGHLSIQQLDLSSNNLTGPIPKELEKLQYLRYLNLSYNNIEGEIPNTGIFSNAKYGMGHAASTPGDVYSYGILLLEMITKRRPTDDMFMDELDIHNYVNRALHEQVSEIVDPLLLFEGRDENRRITHGEETINGGRQIHCIISLLKIGLKCSAKLPNDRMHMNEVVRKLHLIKDVLLGLRVHQENLQV >CDP20761 pep supercontig:AUK_PRJEB4211_v1:scaffold_1709:16427:24059:1 gene:GSCOC_T00009650001 transcript:CDP20761 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAIKVLDLQKIGSSKSFKAECNALRNIRHRNLVSVLSYCSSIDSKGHEFKALIYEFMENGDLDSWLHPEKADQTTRLRSLDLSQRLNIATDVASALHYLHNQKKSFSIKLHDNDMHHHLHNQSVCTNLFYFYSYFVGGAQKGYAIINTELHNLNFCNKIPQYGMGVAASTLGDVYSYGILLLEMIIGRRPTDDMFTDGLDLYNYVNMAMPEQLSKIVDPVLIATGEENREMATGEEVNNGGRQMEGLAYN >CDP17646 pep supercontig:AUK_PRJEB4211_v1:scaffold_171:22901:25302:1 gene:GSCOC_T00012209001 transcript:CDP17646 gene_biotype:protein_coding transcript_biotype:protein_coding MPFYTSQSNILMTWTLSLTMLPSTKLVAYASSQIGVLEPLSCSGGI >CDP17647 pep supercontig:AUK_PRJEB4211_v1:scaffold_171:25356:26738:1 gene:GSCOC_T00012210001 transcript:CDP17647 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSRESLQDSSDMVKRVCKIMMSCPKLGLDTELDQNGIRVSPEIAEEVLKRFENAGVLAYVFFDWAGKQRNYEHSVKAYHTMIEALAKIRQYQLMWDLVNAMRSKKMLNIETFCIIMRKYARAKKVEEVVYTFNIMKKYDVPPNVAAFNGLLSALCKSKNVRKAQEIFDNMKGQFVPDSKTYSILLEGWGRAPNLPKAREIYREMVEAGCDPDIVTYGIMVDILCKAGRVDEAVEIVKEMEFSGCRPTSFIYSVLVHTYGIDNRIEDAVDTFLEMESSGVEVDVAVYNALISAFCKVNKFQNAYRVLDEMEGKKVTPNSRTCNIILNSLIGCGETDEALKVFRRMIKICEPDADTYTVMIKMFCDRDELERALKVWKYMKKKRFVPSLHTISALINGLCDKADASRACVLMEEMIENGIRPGRVTFGKLRNLLLKEGREDVLEFLQEKMNLLVKEPLCD >CDP17651 pep supercontig:AUK_PRJEB4211_v1:scaffold_171:184755:186012:1 gene:GSCOC_T00012215001 transcript:CDP17651 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFLLFFSHCINLFVLAWFNNLCLQFSLKLHHFCSCVKVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEASPVLGDDALNDLIARSESEIDIFESVDKKRREEEMGAWRKLFIESGAEDRECLPPLPSRLLTDDDLKLFYEAMKISEAPPQVVASNSGMKRKSDYLGGLDTRQYGRGKRAREVGA >CDP17652 pep supercontig:AUK_PRJEB4211_v1:scaffold_171:195716:203243:1 gene:GSCOC_T00012216001 transcript:CDP17652 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRVSKVDSFDSFTKPLIDIQELVLEIENSRDNVQFVSSDFDAAFKYLSAVTDLLADLRTLALQTSLDMKTIFRDYKKLKSYLEIKVDRLEIEKIILSNQSLDLQNQIAELNSNAQISAIALLEISKQNEAEKSELLSQIQVLQKEISCLSSSSLTREKESMRKDLVKTNAKLRDTESKLKNAIQEKTKLEGEKACAERENKLLRGQKAILERDISKHDSVVGKKRDSIIDRSSNLFDPKRGRGLAIAVEQEEYKRLEVLAFEMETTIASLEEELQVTRGEKEEAILKAESLASELHALLEELNMTNVELAALKEEVTCLESSLEEYKSQNQNLKGSVDMMIQEKEELTMQLTDALLLTEEGKAMWSAKEKASIVAIEEKSRLYNAEIALLLNEMSAVKKELDFSSKQCKFIEDRLTLSEKDLELEKKYSAEKSLEIDKLKEDLLTSDDQSKRTQETLSLQLEKLQMELVKLRKQKEDLLAQLGEFDARSLPSKELQVEELSGRLSSLEAKINKDEVINKKEKAKLRMRLRYTQLKLDVCRTRHKEALDELDLMNKKYEAASTKLKNQLASYGIEVLNLKKQLAAVKEH >CDP17653 pep supercontig:AUK_PRJEB4211_v1:scaffold_171:487274:488096:1 gene:GSCOC_T00012221001 transcript:CDP17653 gene_biotype:protein_coding transcript_biotype:protein_coding MREPREERGETVEPQPGPRVERGDQVATTIQQMTNILARLVEQQGQAPVNQPRDPEIGQDRALERFQKFFPPKFLRGPDPEEAERWLETMINIFAVLNYVEERQVQFAIFQFKGPVRTWWNVVRANGRETGLYGRG >CDP17657 pep supercontig:AUK_PRJEB4211_v1:scaffold_171:696503:697503:-1 gene:GSCOC_T00012227001 transcript:CDP17657 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPQCCLQPQLSVLGLLQLTIQVLQQQLLLLISNRLWLERTKIKNREAAAKAHEKKQFLQGHKRIDVHPQPLKSTISGAK >CDP17654 pep supercontig:AUK_PRJEB4211_v1:scaffold_171:543420:551950:1 gene:GSCOC_T00012222001 transcript:CDP17654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional elongation regulator MINIYO [Source:Projected from Arabidopsis thaliana (AT4G38440) UniProtKB/Swiss-Prot;Acc:Q8GYU3] MKLDAGVKGGKSFKKPVFGTPLVQLNGENEDASRLVGGIIEKGSFSVSSDTPAPSCIPPPRPTALPFPVARHRSHGPHWTSVGGGSFSGDDRDMDDTEEGEEKGFSGFDSLAAFAKPVPRRKREGLDLSRWRDIMGGEGDSSGERKHVVVEKSTAVSPLAEISDDSLEKSAVALAMDLDTEGKNSVDFQEMKEQLSDLPTDLAQQKNTADSVDESEALNLESQIDAENRTRLGRMSSKEIAEAQAEIMAKMSPALIEALKKRGQDKLKKKKCPRSDKDKATTAVVGTLQDESILFNAHGLPHRNEVGPGPSPKNSSLWDSWSKRVETVREIRFSLDGNMIKFNVLQATNTGSTSAGSQYTVNNVAERDLLRTEGDPGAVGYTIKEAVALTRSVVPGQRALALHLVAAILNRAIHGICKKKVGYSLKYAETDGDWEAIWAFSLGPEPELALSLRICLDDNHNSVVLSCAKAIQSMLSFDLNESLFDTSEKAPSTQDDIPTAAVFRSKPEIDVGFLHGSFWKYSTKPINILPLPKVNDDNPEGEHTIQDDVVVSGQDVAAGLVRMGILPRIRYLLETQSSASLEECLLSILVAVARHSPTSATAIMTCHRLVQTVINRFLAEEQMEINPSKIKCVILLKVLARTDKKNCLEFINSGIFQKVTWHLVRYMSLDQWINSGKEVCKLSSNLLVEQLRLWKVCITYGYCVSYFADLFPALSIWLNVPTFQKLLDRDVLGEFVAVSREVYLVLEALTKRLPNFYSSSDKITDGNAEEMESWCWSYVGPLIDLALDWTVLKNITPLSRFIDWQNRENEDNMLQDSVMNSLLWVISSALHTICSVLEAVIPADTSEFSGGCLPWLPEFVPKVGLKLIKSGYFHFSGADVCDFNVAEGGSFVKFLCHLRYKCGLETAIASSSCLQGLIQIISIVDKLIRLVNPEIDNLSSEFLGVPREDKILADGILKSSVAELKTLLASYMKFTFKRPNMQSIEMFGRGGPAPGTGVGWGASGGGFWSKTVLLAQVDARLITRLLEIFQNFCVNDQLTVDNLPSFLRWINTAMEVCLTAGPRDRSMVDKIFDLLFQVPVLRCLEFVIYNFLHVNKMLKVFEWKYEEEDYLLFCGVLAAHFKSRWLSVKKKSRSIEENQDARQKMLKKGNFPLETIDEEMSASYLDNTGVTTLTNEWAYQRLPLPAYWFLSPMSNMCCSTDANVHKAYNTQSVEQEQAGLLRVAQAGLFFLLGLEATSAFLSTESYSSVHNVSVTWKLHALSVILIDGTGVLEDEKSRDVYQTLQSVYGQTVDKRRLSEAGDKINGGLLQFQLEINESYSTFLEMLVEQFAAVSYGDLVFGRQIAVYLHRWVEAPVRLATWNALSNAHALELLPPLEQCFAEADGYLEPVEDDEKLLEAYVKSWVSGVLDKAATRRSSSYILVLHHLTSFIFGNGIGDKLSLRNQLVKSLLRDFSRKVNHQGMMMNLLQYEKPTTGSKRGLVEAWQVEKRLVVLRDACGGNSLLLNQVEKLDQALKKEESAHSVS >CDP17649 pep supercontig:AUK_PRJEB4211_v1:scaffold_171:31470:37771:-1 gene:GSCOC_T00012213001 transcript:CDP17649 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGGVGKSTVGGTQIHEPKSSSLARQGSLYSLTLDEVQHHLGDLGKPLSSMNLDELLKTVWTAEANQGTGGVDYGVHQHGQLPLALNRQSSLTLSRDLSKKTVDEVWQDIQQGQEHNHERKAQERQITLGEITLEDFLVKAGVVAEPTPSKNSGSSLGVDAVVLPQQNVPPQSQWTQYQIPSIHQSPPPQQQQQQNIMPVFMPGHPVQPQLTIGSNPMMDSAYPETQMTMSPSTLMGTLSDTQTPGRRRVGSGDVIEKTVERRQKRMIKNRESAARSRARKQAYTHELENKVTRLEEENERLKRQREMEKALTTVPPPEPKYQLRRTSSGPV >CDP17648 pep supercontig:AUK_PRJEB4211_v1:scaffold_171:26815:27500:1 gene:GSCOC_T00012211001 transcript:CDP17648 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVGKDTCYLFKQVLHLTAMAMRLVKSWSGRFLVLAFQMFQKLQFRHLPETVVRTTVWTEI >CDP17655 pep supercontig:AUK_PRJEB4211_v1:scaffold_171:644461:647041:1 gene:GSCOC_T00012224001 transcript:CDP17655 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGMLLQYELPHMDRPARFFCPTCPYVCHIDSKVKIKRKHRLVKKELDPIISKDDELDNLPETEAPCPNCGYLKAAFGQQQTRSADEPMTTYYTCKKCRHNWKED >CDP17656 pep supercontig:AUK_PRJEB4211_v1:scaffold_171:677234:679229:-1 gene:GSCOC_T00012225001 transcript:CDP17656 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCFCYQRRVPLEERKSPDDICDIVPQIRSAVKKDRDIMEKGLRAFVSYIRAYKEHHCSYIFKLVNFMIGKLGMGYGLLLLPAMLDLKHHNLSTEAFTPLEDISLDEIKSKQQLEYASYQHTLRNLGCYCMNVLVKFVVAFTWLMLKIKLSILNHSNKNEHANFEDDDEMAQEYRLLKKLERGAINESEFAKLTATEDLL >CDP17650 pep supercontig:AUK_PRJEB4211_v1:scaffold_171:172317:183815:1 gene:GSCOC_T00012214001 transcript:CDP17650 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVSVRARPLSPEDAKNSPWRISGNSIFLPNQTSCKFEFDRVFEEKCKTAEVYLARTKDLVAAAVRGFNGTVFAYGQTSSGKTHTMRGSASEPGVIPLAVYDLFKTIHEEVDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHESIERGIFVAGLREEIVASTEQVLGLMEFGESHRHIGETNMNVYSSRSHTIFRMIIESREKNEDADSDNTCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGVESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQTHADETKSSLQFASRALHVTNCVHVNEILTDAALLKRQKKEIEELRAKLLDSHSEHLEEEILSLRNALLKAELERERMALELEEEKKAQGERERRLQEQAKKIENLSSMVLCSNKNENHDIYKKEKRRETWCPGKLSRETTYELNSKDEEKSLVKPGRVECFSGPLLPFEELDEAGAVESCKEEEHNKNDLQEDFIFPNPLALLHVTSRRKLPTRKKSLPIVENSELEEIQAEYEDLLIKFETERIMSEIQLDYLRSRLVEADLSQEEKFKESFAENKTCKAHGCASDKLSESEAILVIKQLQEKITVLEMEKSSSQQNLDCVIELATEQTISAKEKYDEVPSFNVLCFELYLP >CDP20762 pep supercontig:AUK_PRJEB4211_v1:scaffold_1712:24296:24490:1 gene:GSCOC_T00001511001 transcript:CDP20762 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVRENLFIGNTGDAADVLQHGSGEITHIISSQLHVNFIFPKMAKGDSDPYRRVCWGFGNRG >CDP20763 pep supercontig:AUK_PRJEB4211_v1:scaffold_1713:13012:16328:1 gene:GSCOC_T00011618001 transcript:CDP20763 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEEEEEGDKSFADVDNESGGRSFSPSSSFISQQWPQSYKETTDFYSIAASPNFGNLRRISGAFYPDGSSQNSLDISRKTSLLSEYKNINQKGEVDRISARQSSWLEKSSVYRQLTGELPIGQGCSLTQTVFNGINVMAGVGLLSTPYTVKEGGWASLFVLILFACVCCYTAELMRHCFESKEGILTFPDMGEAAFGRFGRILVSIILYAELYTSCVEFIILEGDNLSRLFPRASMDFSGFQLDSTHLFGILTVLVILPTVWLKDLRLISYLSAGGVISTVIVVLCLFILGTVDHVGFQHNGPLVNWSGIPFAIGVYGFCYSGHSVFPNIYQSMADKTKFTKAVVICFVLCVALYGGSAIMGFLMFGQSTKSQITLNLPKHAVGSKIALWTTVVTPLTKYPLL >CDP20764 pep supercontig:AUK_PRJEB4211_v1:scaffold_1713:16434:18326:1 gene:GSCOC_T00011619001 transcript:CDP20764 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLARSIEELLPARFSDNFWWFISVRTAIVLSSLCVAFLLPFFGLVMALIGSLFSILMAIVMPALCFVKILGKKATRTQVVLSIAIVALGLVSACVGTYNSVSDLAKKY >CDP20765 pep supercontig:AUK_PRJEB4211_v1:scaffold_1715:7809:10910:-1 gene:GSCOC_T00001713001 transcript:CDP20765 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPVIALLAFIFQLMAWDSVLGADAVMVNCSANDLEALLDFKNGLNDPENRLSSWRARACCLWRGIACDDNTGAVIKIDLRNPYPVNSTTRYGFWNLSGEIRPSLLKLRSLTHLDLSFNTFQDIPIPYFFGSLRNLQYMNLSKAGFTGIIPPSLGNLSSLQYLDVSSELSTLSVDNFQWVGGLVSLKHLEMNQVDLSLVHSDFFHVLNMLPNITELHFETCSLSGSLSSLSVVNFTSLAVLDLSFNGLDSIPDWLVNISSLEYVDFDSCQLRGRIPLGLAELPRLRYLDLALNHNLSASCSELFKGSWKSIEVLSLSSNKLHGKLPANVGNMTSLTHFDLSVNNVQGTLPELLGGTESCVSGNALANLFCLELGNNQLDGKIPEWLGKLKSLQTLGLAANMLEGPIPSSLGTLQNLTNIGLAGNKLSGTLPETFGLLSELSVLDVSFNQLTGILTETHFLKLNKLKILRLSANSFILNVSSIWIPPFQIRNLDTGSCQMGPLFPTWLQSQKATKFLDISNASISGSIPIWFWDISANLSLLNVSFNNLEGQLPTPLEVAPFADVDLSSNIFTGPIPLPLVPIELLDLSNNHFSGPIPVNISQIMPDLIFLSVSNNELAGEIPTSLGEMPSLQVIDLSVNKLTGSIPASIGNCSYLKALDLGNNKLSGMIPQSLGQLSQLQSLHLNDNLLSDELPAFLKNLSSLETLDLGNNRLSGSIPSWFANSFSNLRILKLRENEFSGDLPDAISNLSSLQVLDLAGNNLTGRIPANLGNLKAMQVEQKILKYLLYGAYRGLYYEERLVINLKNQFQKYTKTLSLLTAIDLSDNNFYGNFPVEMSKLSGLMVLNLSRNQISGEIPGSISDLKQLSSLDLSSNKLSGEIPSRMASLSFLSYLNLSNNYLSGTVPYNGQMSTFTASSFEGNLGLCGAPLRLECQNGGSGNGSKTENDSNEGLIDEWFYLSLGLGFLVGILVPYLIFAFRRPWADVYSDFVDKLVYKLPGISRRRNKT >CDP20766 pep supercontig:AUK_PRJEB4211_v1:scaffold_1716:1:7686:1 gene:GSCOC_T00007678001 transcript:CDP20766 gene_biotype:protein_coding transcript_biotype:protein_coding AENWLSKKAKCLGQDALRWRHLSPPPPFFFSLSFPCYSSHQFRSDLAEFILRIGTKLDFVFQIRNLSWNMTIDHWEKTKITICPLVFGIWYVTILYFFVVFLSSQELSSTRSADIIRIPSRVDPPVNRVGISISVDHHLLPSQFNKNYFLGVQVSTFRPSIHVHKYYKSFLRHEPSSNQKWGRHIQQFLGVVNYVREFIPKALKHISPLTKMLKKDPPPWGKSQTTARYIFQENRCIPPEIWPGPYGSWNYQNTHPYWTQIRKAKKEWTDKMNSSEFQDSQMPDNFTQQPESSHTERSIPKY >CDP20767 pep supercontig:AUK_PRJEB4211_v1:scaffold_1717:6439:11382:1 gene:GSCOC_T00000218001 transcript:CDP20767 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKHVTRMSFEELSKATDDFHCMNIIGTGKLGTMYKAILQNGWFLAIKKLHNSYDFDQEFMSELMTVGRMRHCNFVPLIGFCYEICNQKLLVYKYMSNGNLHDLLFSAQNGKVKCIEWPMSVKIAVGIARGLAWLHQVGVVHSSICSRCIV >CDP20768 pep supercontig:AUK_PRJEB4211_v1:scaffold_1718:16565:17788:-1 gene:GSCOC_T00007652001 transcript:CDP20768 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQKGSSGTSILSLPTEVLSEVLARVASSSSTDLFRAKLCCKLFYEVSDANNIYQRVSLDKFEIVLWQKNHKVSRFLKNCRESKNPEALYRKGVVDFFTDKHEDSALECVEEAANSGHADAAYALGIIYIFVGRDELKCKGLRLLKKSRILKGRVKLCSDNLLALLRMIWVKNLVFLNPTSICFAMTHERKTSSWPMDADEVEESTCEDCACDEEIGTICAALPYR >CDP20769 pep supercontig:AUK_PRJEB4211_v1:scaffold_1718:25690:27008:1 gene:GSCOC_T00007653001 transcript:CDP20769 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWKRLPRKVAAKVVSVGLGDFLSHLPVADRDRKLPVALAERWWDSTNSFHLPFGEMTLTPLDFTCITGVAVGGLPIPWDYNVRENTNYINEQLGWVPAFASAGAIRVTDILSFYKDKAIDENDDVQLAHLTRVFFLYMLGCTLLSNTAKTIHLCCLPALEDVDRIGDYNWGGAGMATLYRFMSAVSRQRTKSLGGYSFVWEVWAYEILQLKPADNHRLRSNIFAAQLTPLTRKTLVNWLPFPAMALPSRYLKSKELTATRLLLDSPMGRFYYLGERVIRQVYVQSNLPIGHQICTALIQFQETRYMMCLMDCPLQAYTQIPFHMLRMTSLCAVG >CDP20771 pep supercontig:AUK_PRJEB4211_v1:scaffold_1719:14986:17171:1 gene:GSCOC_T00012061001 transcript:CDP20771 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNETKGSWCLLTTRLEPVANAVPRHLQMNDGPYFLGKLSDDACCKFKKKCDGLPLAAKLIGGLLLNSGLEKWQSIVKESLLNEYQSQINQVLKVSFDHLSPPSVKKCFACCSIFPQDTELGEDELIQHWIAEGFVLKNNRVMEETGGEYLRIFLQNSLLEKVQGSWRTYYKMHDLVHDFAKSILNPESSNQDRYLALNSSEGLAENTTRTIPASIRTLFLHVKGGISVDMLLRFKYLHVLRLYGNDVKFLPSSIGKLPSLRLLDISSSGIRSLPESLCKLYNLQTLTMRDDVLEGGFPKRMSDLISLGHLNYNDDDAEFKMPMQMGRLTRLQTLKFFNVSQEKGCGIEELGSLTYLKRSLSVRNLGLVKGKEAAKQAKLVEKPDLSELEFEWEKEEGRSRASGSSTRRRKFFPALESLWVIGCLQLTTLPCSCKSLDVQRCHNLTSIKMGYGTASVEELSIRFCDNLRELPDLDLFGPSLQRLTISFCPRLISLGSCRSLRSLSVKRLSQIDPS >CDP20770 pep supercontig:AUK_PRJEB4211_v1:scaffold_1719:1428:2543:1 gene:GSCOC_T00012060001 transcript:CDP20770 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFGHLLQKCTERQFPLADVAQILDSAITSLKPCCPQNLPVYTEIQKCMGIVRNQILALIPT >CDP20772 pep supercontig:AUK_PRJEB4211_v1:scaffold_1719:17234:23465:-1 gene:GSCOC_T00012062001 transcript:CDP20772 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIQVALQAVVSLAGDHVNLVREFPTELERLNKSAEMIRGFLAGADEQMHSPVVQNWLKQLEEEVFKADNVLDELNYENLRRKVKYQNQLTKKKVFFCFSFFNKIGFRWRLGSMIREINTNLQRIHQDAEGLGLAYKHQVEEAFPTIAAGATTSRQTDSKIVRSDVLGRDEDESKIVKKLLTESESDSISVISVTGMGGLGKTTLAKAVFNTPQFDNHFDKKIWVCVAEKVDRIEVVFKMILESSTGRKAEVDNRQVIVDGIETELKEKRYLLVLDDLWNDQEGLLDDFFTTLKALKPKKGSWCLVTTRLQEVAIVLSRHPQINFTRHELGKLCDNDCWSIINKWVNVGEEVPKDKKERVLRRCDGLPLAAKLIGGLLSKKRKEEWLSILEESLLNRGQGGIEQIVKVSFDHLSPAPVKKCFAYGSIFHQDTELEQDPLVELWMAEGFLQPDSQNERTMEKIGCEYLRILLQTSLLEEVKEERRTWYKMHDLVHDFAKSILNRSSSNQDRYLAASERMVENINEKSSASLRTLFLEGGIADEMLSKFKYLHVLKLFGADAKKLPKSVGKLIHLHLLDISGSRIRTLPESLCKLYSLQTLRIDRLEKGLEIQMPSRIGQLTCLQTLEFFNIGCQEKGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELAKLSKKPNLHRLVYEWRNRYWGSDNCDEDVLEGLQPHPNLKELQILKFMGDRFPQWFMNLTLTSLVELRVADCTRCRELPALGQLPFLQRLYLTGLENIRGIGLSFYNISAEEDGGSEGSSRQTFFPALKKLSLESMKNLEEWKDAHEMMSTAGEVHVMDVFPVLEKLSISDCPQLTTIPTPSHFPSLDSSLSIYGCDSLPTDMLERLCLFPTLQHVELMDAANVTTLRGMSCAACLERLTVIRCYNLWEFPEHFYQFQALEYLLIWGCPRINSFGYPNPKNSFGQKGLLKSLERFTVGRCDAFTRLPAEMFESCTSLRELKLSYCRSLVSFPLDLRRTPSLESFSLYGCSDLITEMPSGFGYLTSLRKVKIGPFSDDSAIEFDWAGLASSSSLQHVSLQGMRDTKSLPHQLQDLTTITSLSLKRFNAIEALPDWLGNLASLEELILRRCPKLEYLPSVDAMERLKLRRLVIRSCPLLKPRCTPESGSEWSKISNIPEREIDLIERIMALLATSVNEAASSDGAETL >CDP20773 pep supercontig:AUK_PRJEB4211_v1:scaffold_1720:17086:18886:1 gene:GSCOC_T00004200001 transcript:CDP20773 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGNCFNRTIQDEGFISLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLQTDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFAQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGA >CDP20774 pep supercontig:AUK_PRJEB4211_v1:scaffold_1722:17405:27183:-1 gene:GSCOC_T00013040001 transcript:CDP20774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MDVLFNSIDIRDLLSTPDLDDPSTPLSAPDLHLLIDRLQVRSLHIKSKVHDYLRSHQLDFSSLFSQCSDVVTRTEQLSSELFNILNLISEHLVDTKIKSVIEEMVAKRKEVREKREVLELLGLILELCEKLRLVKEDIRIGRVEEAAVALRELKTALRVHNDEAAAAQDEESQLLAYGLLRKEWADCFDKLHEALMKFVESAVRFDQDSSSLRVKYWLGMDGAEKIELHTVLKAMDAVGILDYGLARVADLMIKHIIARAVSCRSSVSVVEEISEDQGPTSEAVLTIVSCTNDMSNSLDGETVYSALVQIVMFINKSFCFQNGLWMRRFGRLTWPRMSDMIISKFLAKFVPDDASKLDNFQKIVKLSSEFETDLKELMFISTSDNKDERLTEFANNVEVHFASRKKVEILAKARYMLLQAAFTIPQDHTRISSEPENEEIAENFSNRVVTLLFTSERCVVSEAALNLMELVHKTLKDVCLSSPRVGLEFYYAARDACLLYEAVVPVKLEKQLATINQAAVLMHNDCLYLAQEILGLAFEYRPDFPNPIKEMAVFADLAPRFQLIAEEILQRQIQVVIFNLEQAIDGAGGFQNTHLTKQYESAKFSIDQVAFILEKVHIIWEPLLMPSIYKRSMCMVLEVVFSRITKDILLLDDMAAEETLQLQRLVHLLFENLSSILASLLDIKQTRSSEQSQVVSVNDLIPSLQKLRKLEDLLDMPLKSITAAWESGELVSCGFTMTEVEDFIRAIFTDSPLRKECLFRMESSGF >CDP20775 pep supercontig:AUK_PRJEB4211_v1:scaffold_1724:13702:15412:1 gene:GSCOC_T00011323001 transcript:CDP20775 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHENNVYFSSSKVVGEFKPLDPEEFRRQAHQMVDFIADYYKNIENYPVLSQVEPGYLRNRLPETPPYKPEPFDNILRDIQNDIIPGMTHWLSPNFFAFFPATVSSAAFVGEMLCTCFNAVGFNWLASPAATELEMVVMDWLAQLLKLPKSFMFSGSGGGVIQGTTSEAILCALVAARDRALKSLGAAGISKLVAYCSDQTHSTYSKACKLAGIFPCNIRIIPASADSNFALSPVVLRGVIEADVASGLVPLFLCASVGTTSTTAVDPVSQLAKVANEHEVWIHVDAAYGGSACICPEFRHYLDGVERVDSLSLSPHKWLLSYLDCSCLWVKKPDLLVKALNTNPEYLKNKRSELDSVVDYKDWQIGTGKRFKALRLWLIMRSYGVANLQSHIRSDVRMAKMFEGFVKSDPWFEIVVPRTFSLVCFRLNPFKESNPSYVEHLNKKLLDSVNSTGRLYMTHSVAGEVYMLRFAVGATLTEERHVCAAWKLIKESAEALLKRSYYNSV >CDP20776 pep supercontig:AUK_PRJEB4211_v1:scaffold_1724:26158:27921:1 gene:GSCOC_T00011324001 transcript:CDP20776 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPNITSDQSALLSLKAKITGDPHEILASNWSATSSVCDWRGVTCGSRHRRVTALNISNLGLTGTIPPQLGNLSFLMSLDMSRNNFYGELPHELIRLSRLQVLSLGNNSFTGFIPPSISNMSKLETLSLQFNSLQGALPIEIGNLNKLKQIVLVYNQLSGFLPLGMFNISSLQVIALQNNSLSGSLPSSICSRLQGLTWLDLGRNKLSGVIPPSLSECSKLQVLSLSDNNFSGVIPEGFGNLTALKGLSLRWNNLIGVIPEGFGNLTALERLYLDGNNLHGTIPMEIGKLKKLKRIVLDYNQLSGFLPLGLFNISSLQVIALQSPRWVVRMVEAGSLLQVYLGSIPVRNPIISCPLPLGVLNISSLETITFAGNSPTLFAF >CDP20777 pep supercontig:AUK_PRJEB4211_v1:scaffold_1727:24518:26648:-1 gene:GSCOC_T00004209001 transcript:CDP20777 gene_biotype:protein_coding transcript_biotype:protein_coding AKGESPLRAAFSIAVPLIGVAVVLFIMALVFLKRRLRKSYIAMALETIADIFTAESLQYSLTEIQIATNNFSVDNKIGEGGFGRVYRGVLGNGQEVAVKRLSRSSGQGAEEFKNEIVVVAKLQQRNLVPLLGFCLEGEEKILIYEFVPNKSLGYFLFDPENKRSLNWSRRYNIIGGIAKGLLYLHENSRLKIVHRDLKASNKLLDGNMSPKIADFGMAKICGVDQSEGNTNRIAGTFGYMAPEYMRRGQFSTKSDVFSFGVVILEIVTGKKNSSFHIQGFELGTIYYLDVWKHWRHGEPLALLDSSIGDSFAKTEVIQCVQLGLLCVEEYVSKRPTMASLVNMLNCSSVTLPTPHRPAVFWSHGSESMVEEVEVEQSNTERISIPSSVNEATITEPYPR >CDP20779 pep supercontig:AUK_PRJEB4211_v1:scaffold_1732:23760:24980:1 gene:GSCOC_T00008527001 transcript:CDP20779 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAELVFVPLPGIGHFVSCVELAKLLVECDERLSITVLIMKLPFDPKVSSYTNSLVETPNLHIRYLELMKEEPSSQLSSFLSILFRFIDNHKSCVREVLAEISNSVSSHLGGIVIDMFCTSLIDVANEFGVPSYIFCPGGAATLGVLFQLQSLRDDLNEDVSHYENSDVELALPTYINPVPAKLLSSALFEKDGSVDMFLDQAKRYRKTKGIIINTFLEFESHAIHALSNDKTIPPVYAVGPLLNLKGSNSQNQETEMIKKWLDLQPECSVVFLCFGSAGSFDGDQVEEIAYALERSGYRFLWSLRRPSPKEKFEFPSEYENLDEVLPEGFLQRTAAVGKVIGWAPQAAVLSHPAVGGFVSHCGWNSILESVWCGVPVATWPLYAEQQQNAFLMVKDLQWQWRSK >CDP20778 pep supercontig:AUK_PRJEB4211_v1:scaffold_1732:17345:18781:1 gene:GSCOC_T00008526001 transcript:CDP20778 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAELVFVTMPAIGHLVSCVELAKLLIECDERLSITVLIMKLPFDPKVSSYTNSSLETPNLHIRYLELMKEEPSSQLSSSLSILFRFIDNYKSCVREVLAEISNRVSSHLGGIVIDMFCTSLIDVASEFGVPSYIFCPGGAAPLGLLFQLQSLRDDLNEDVSHYENSDDELALPTYINPVPAKLLSPAFFDKDGGGDMLLDQVRRFKETKGIIVNTFLELESHAIQALSNDKTIPPVYAVGPVLNLKGSNSQNQETEMIMKWLDLQPECSVVFLCFGSGGSFDGDQVKEIAYALERSGYRFLWSLRRPSPKENFEFPSEYESLDEVLPEGFLQRTAVVGKVIGWAPQAAVLSHPAVGGFVSHCGWNSILESVWCGVPVATWPLYAEQQMNAFLMVKDLATAVEIKIDFKRDFVLGMSSEILSADVIERGIKHLMDPENEIRDKVKEIKEKSRLTLNGGGSSYASLKLFLEDVIDSIP >CDP20780 pep supercontig:AUK_PRJEB4211_v1:scaffold_1732:25061:25375:1 gene:GSCOC_T00008528001 transcript:CDP20780 gene_biotype:protein_coding transcript_biotype:protein_coding MDPENEIREKVKEMKEKSRLAPSEGGSSFSSLRRFLEDVLDNIP >CDP20782 pep supercontig:AUK_PRJEB4211_v1:scaffold_1739:18484:21203:1 gene:GSCOC_T00007649001 transcript:CDP20782 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLCIQLFFFFFLFLFPISALSQKNGIVPAGSTLTAGEKSASPWLSPSGDFAFGFQQVQDKDLFLFSIWYHKIPDKTVVWFVYSTNPVPRGSTVKLDPQTGLVLRDPRGLQLWSANVGSNQLVRGFMNDTGNFILKGSDDSWLWESFRFPADTILPYQDLVTVGSLCSRRSATNFSQGRFNLHFRDNGDLVLVTRSVPTNVDDEAEYYNSQISNSTDALNSGYQVTFDGRGRMYIRKRNDQTIQLTPVSKPLPPVSENYHRATIDFDGVFTHYYHPRTSTGNPNWTILWSMPGICGEMGSGACGLNSVCYLEDNGRPACKCPEGYILLDPDDKYGSCKPKSSIGCGEVKEGSAENLYDFVVASDIDWPQCDFDEIDPSNETTCRHACLQDCFCAVAIFRDNKCWKKKLPLSNGRVDTSLQSKAFIKNRKSDAPPVLQPFPPVPAGSKPKNRGTVILVGSVLLVSSFLVFIATACLGFYLIYRQKKVMFHPNTDAMITSLRYFAYKELAEATNEFNEELGRGSFGTVYKGDLQIRSKNTTVAVKKLYRMDQETDKEFRAEVETIGQTNHKNLVRLLGFCDEGQHRLLVYEYMSHGTLARLLLNNPKTSWSIRTQIAIGIARGLVYLHEECSTQIIHCDIKPQNILLDEYFNARISDFGLAKLLMINQSRTITGNIRGTKGYVAPEWFRSTQVNSKVDVYSFGVLLLEIISCRRNVESTEIGHGELLILTDLAWDCFQEGRLDAFVENDLEALNDMMMLERFVMVGIWCIQDNSSLRPTMRKVSLMLEGIAEVMVPPCPYPFSTTG >CDP17709 pep supercontig:AUK_PRJEB4211_v1:scaffold_174:483281:484695:1 gene:GSCOC_T00010287001 transcript:CDP17709 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP F-box 1 [Source:Projected from Arabidopsis thaliana (AT1G31350) TAIR;Acc:AT1G31350] MSKSIFTTTSRSSSFTINSVAKRPKHHHHHHHQTPQKQEQEQEELPETSEDQSLLPGLPDHIAQLCLSKVQPSLLYSVCWPWRRLIYSPSFPPFLSIYALLIPSETTPDHQSHDSIKFSCFDPISSNWLSLPSPPPDPLLCLLLRHPSFISRRLPIQSVTVSGKLVLLAATADQFQPALNSPLIFNPLSKTWTYGPPIAAPRRWCAAGASAGVVYMASGVGSHYNLDVARSVEKWDLLMNQNNSSINIKRDSQTANWRWEKMGGLRDGRFSRDAIDAVGWKGKLCMVNVKGDAAKEGIIYDMKNDAWEEMPEGMLAGWRGPAAAMDEEIIYMVNESKGVLRMYDPLKDAWVQILESKMLQDAQYVAAAGGRVCIVGGSGLEIVVVDVVVSPPRFWVVDTPPAYQAVAIHVLPRMGH >CDP17708 pep supercontig:AUK_PRJEB4211_v1:scaffold_174:428950:430163:-1 gene:GSCOC_T00010286001 transcript:CDP17708 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRENLMSILYTITDLMSGILYADPQSISVSYWKETHVTFGDPSFCICNGNSWLQIILESLRTLISDEDGFNLTAEQECWAVGIMLSVTLGVIIFSSFVVSQGIRTW >CDP17706 pep supercontig:AUK_PRJEB4211_v1:scaffold_174:88385:90109:1 gene:GSCOC_T00010279001 transcript:CDP17706 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDPHLLDALKVQVQIIGAEQVSDAIAATLHYQMVYRVQNHALDITILGGENALLIRVDKKNSASCTHVPRQISKSELIQVLPNSWITDYENLHTQANEPLESSNSKITHTSEGRTSISFDHSHFKTLNSHPSIMCVQVPMYYPSEFEKQWKIHDDHPHNLQQVHRSQDIIQYFNKAGLPVSWFQDPISGHVYFDVCNQCEECQLETILDSKLLDLSSRRNQSFNILSLDLANQIWILKIQTRTHLSLKEPLPPSKKDLHPYYQKCLNILEKEAEQLNQEWKPKPFCKIEPLIPIHTSQVQECFMFREEDFPKLETFSKNGSRHTPKIQNIASTVLPSGETVRPNPSEDVLNWQTKNSLVQNIALTSIHKDVSKVKGKVDRIDNIVTAQHSQVSHMIEVFEKRLQELKYVMPTDPSSLADFILDKEKETKFIQNQLHQHLSEIGQHLFILVVLLHHLHQYFFQNHSHNLQNLLILQKLYNNIVRTNKFKRMLNVHNNWQTKKKEERKKNRLSRTNDKKNDKSHHLL >CDP17707 pep supercontig:AUK_PRJEB4211_v1:scaffold_174:420208:422641:-1 gene:GSCOC_T00010283001 transcript:CDP17707 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLLVGYIPNKLINPGCRLKTGLAELWESFASVSLESSGCCIAMFGELAEFMCVPVGKPPSEVCGGLLDDDAVGDWKGEGLSCGCSKVLKEHLEMASEHHFLHKDCLHCSLGFDVSSSLSFDEVAQLWH >CDP20783 pep supercontig:AUK_PRJEB4211_v1:scaffold_1740:12158:14930:-1 gene:GSCOC_T00013683001 transcript:CDP20783 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLIKQLSTFLSQDSTLLGGFRPDVQYIKDELGSMKAFLRQAEAKEDNDSQLQEWVKQVREVAYDTEDVRDDFAFRFARGHAGGFIGRVGKIYNSIKNLKARHRISLEIKDIKDRVVEISARHQRYQSLYGNQERGSSSYNDLIQQLYEEIRQPVPPQVESMKGIRLSEFVRDFLKERRYILVLDDVWSQNAWETIKYVFPDCNIASRVVLTTRIADVASSSCLAFHDFVHEMKPLSYEDSWTLFCNRTFQSNDCPSNLEEISRKILKKCEGLPLGIVAIGGVLALKDKDRIDEWEMILRGFGGEVDGSGELDRIRRILLLSYNDLPHHLKSCLLYLSIYPEDYPIRNVDNLLDTWIALGFIEEKEGMTATDIAMRYLKELINRSLIQVKDTWHDGKLKECGLHDFLREIIVSKSKEQCFTTIATGYCTRWPDKVRHLAIHNFTDNPPLGFNSLNCFKSPYGIGRLTFLERLAHIEADSGKIVREIGKLIQLRELSITKLRREDGKELLYSLLRLTNLRELHICSIKEEETLDLQHSISPKLGFLVRLWLNGRCLGHLPNLVELTLHGAYEGETLCFKAGRFQKLQRLELMQLKRLKWIRVEEESMSGLQQFFIIGCKLMEGLPLGLQNWTGLKLLGLLDMSDELINKVQNLDKQSDDYQTISRIPEVCTGHWINGEWKTEIL >CDP20784 pep supercontig:AUK_PRJEB4211_v1:scaffold_1744:22085:23112:-1 gene:GSCOC_T00001328001 transcript:CDP20784 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFKLVLLILMLCPLTINSSFQYLTFVQQWPKGYCTANPSRCQRNPLPTVFTIHGLWPGNFTKILQNCRTTSYTKLKNFRELRFQSFWEHEWKKHGTCSENMYPEATYFSRTIQLSQRHNILNYLATGNIRPGSNPTVSSVNSTIYRAISNHVPDLMCVTPPRQTPALVEIGICFTATMTTIIDCPSQFLRTGSCGIGTINFPA >CDP20785 pep supercontig:AUK_PRJEB4211_v1:scaffold_1747:810:3078:-1 gene:GSCOC_T00000840001 transcript:CDP20785 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVMEENQRLRMCLDRAMKDYRALQMQFNDMVQQEPNKSAEPNKSSSTITTHQETEEPELVSLSLGMSSSDGKKDDHFSGKNQGKEKVDKDGDHDKKVLALGLDCKFELPKDQENEPSPNPSLEASSGEVKEEEGGEKWPPQKSLKNVVRSEEDEVSQQNPAKRARVSVRVRCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPNCPVRKQVQRCAEDMSILITTYEGTHNHPLPVAATAMASTTSAAASMLMSGSTTSTSGLLPPSNFASANLNGLNFFLSDNSKPKPFYLPNSSLSSSPSFPTITLDLTTSSNASSNLTKLGSFPPRSYSATRDLNFSSLESNALPLSWSNGVLSYGPQAQPYNKNQSISSLNFGRQPQETLYQSYSQKNHPILNSSAQQSLPAETIAAATKAITSDPSFQSALAAALTSIIGSGTGGTTGAPVSQTSADKSGQNLKLNDQSFPILSSFPSSTSTVNKCAPTFLNSSKPPSSANSQPGSLMFLSPSLSFPTPSNKSTSPGDNRDRIS >CDP17711 pep supercontig:AUK_PRJEB4211_v1:scaffold_175:509681:521985:1 gene:GSCOC_T00000585001 transcript:CDP17711 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIASGIIIDDCGIILTYAKAVDDVEGVKVILHDGRDYWAKVLHIDCVSSIAMIKIEPKPARPLPTARLGTPTSVKQGDSVFSWGSPYFVKNTLTAGIVSAVDRTNHDILHLCLRGPRLEYIQTDCPLLVGSFGGPLLDVNGEVIGINTLSVDLNNSKGLSFAVSIGEIKTIKEHFIKHRKVLRPMLGFVLTDLNATLIEHLKETRPPFPNVQGGVLVNDAPRSLPAFAAGIRPGHVVVGFDGKPVQSIKEIVTIMKNSVEHDGSFGSFELMVIGPDDNDYKTFTVTSKEWASRYAVV >CDP17710 pep supercontig:AUK_PRJEB4211_v1:scaffold_175:464656:464841:-1 gene:GSCOC_T00000584001 transcript:CDP17710 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKFDLTQVVEVFVRVTGGKVEAASFSHSQNRPICPLPKENWRRHRKRNRQGLEGPPRYR >CDP17712 pep supercontig:AUK_PRJEB4211_v1:scaffold_175:531952:533612:1 gene:GSCOC_T00000588001 transcript:CDP17712 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRRVQQMLIVLIEVQRWPTLLSPSEINQVAARLGHIGDFKDIKSDGYLVEALVHLWDPICSAFRLGKREMTITIEEIAGFLNLLIQGTAVIFPLVSNKVEFCHFTGLKELAVRGSDQRIEAKFLFDRFALRDGFERHLGDFSFTSKEMWERKRAWVYGLVMAGTYFFPRKDKKIAFKVAKILYDLFLGVKDKQCSIILTILADIFVACITCQRGEKFFCGSNLILHVWGMEHFMRRSFIPESLPMSGYNWIVTHHKTVNRNSLPCNASEFVDFLKNKTDQNARWVLDWTNCVKPVLRTKASEFVLLLGTQGITAYTPKRFLRQLGRTQEVPPAFDVSEFTIIFNEGTCPSEFPMKDRIIEAWVTLSDDECFKYVPKLKQKGLTTPQYEDWVRKSAAQAPQDELVEEVKKLKAIIEARDKEILQLSKSVETHKGIAEQNKQLHENEREKCQELKRKCGELYDQAEHVRIPYARETRDSVLDRLRNFGNVVRNRLRDMM >CDP20786 pep supercontig:AUK_PRJEB4211_v1:scaffold_1753:26918:27607:1 gene:GSCOC_T00009201001 transcript:CDP20786 gene_biotype:protein_coding transcript_biotype:protein_coding MVISQLHSHPESLFLYLNTLVEVHTTKNLKFSCLRKYVSLHFPSGRMAKHQSDRIKMFLEELNDFLKLLRSKPIQLTDEVTEQYLEVSISSTVDEVLERMDLSPCHLSSYRVENCLRLYQEYGIVDAASFLLERVGDVGSALMLILSGLNEKFNVLQASIISLVFQLLF >CDP20787 pep supercontig:AUK_PRJEB4211_v1:scaffold_1757:6193:7525:1 gene:GSCOC_T00006599001 transcript:CDP20787 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLFFCISLAIVLTIASQVAARELAETTTSAENSKTDETTGVEEGKYGGGYGGYGGYPGYGGYGGRGGYGGYGGRGGYGGYGGRGGYGGYGGRGGYGGYGGRGGYGGYPGGGYGGRGGYGGYPGGGYGHGGYPGQAVDAEPQN >CDP20788 pep supercontig:AUK_PRJEB4211_v1:scaffold_1758:1851:2342:-1 gene:GSCOC_T00007734001 transcript:CDP20788 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSQNASYQAGQAKGQMQEKGSQMMDKASNAAQSAKESMQEAGQQAKANVQGAADAVKNTTGMNK >CDP20789 pep supercontig:AUK_PRJEB4211_v1:scaffold_1758:5875:8294:1 gene:GSCOC_T00007735001 transcript:CDP20789 gene_biotype:protein_coding transcript_biotype:protein_coding MWNTSINLLNFVRLFTEICYLGLLQGLQIISLIVCIAASYPDGGLAAVFNVTGFGAIGDGIHDDTEAFSQAWEAACSNGGGSSSVIVPAGRTFLLSPVNFEGPCYSSNVHFQISHFFQENDQGCNRPKALHIHKCDGLQLRGLHHVNSPKSHISINKCNGVSISGLNILAPENSPNTDGIDVSESTHVLISDSIIQTGDDCVAINSGSSDIQMTNVSCGPGHGISVGSLGGNGSYATVEQVNVTKCNFQGTQNGIRIKTWPGGSGYARNISFEDITLQDVENPIIIDQFYCKGEFKYEDKPSPSAVQVSHVTYQGVNGSSSSEKAIQLFCSESKGCTDIKMQQINITSAIPRAKTYAICKNAYGESSSTSPLVPCLTQLHDDVLGREASAVWV >CDP17713 pep supercontig:AUK_PRJEB4211_v1:scaffold_176:183325:183675:1 gene:GSCOC_T00001680001 transcript:CDP17713 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDFKFDSELNSVNSEFSEFNTYSCSFFFPLFKKSEIHGHGRAGDFRTKVWSMPGGPYYRPKHWKRNTTIPMAGAFLLCIPIAMISVELEQRPHMPVRPIPSQLWCKNFGEKDY >CDP17714 pep supercontig:AUK_PRJEB4211_v1:scaffold_176:217061:221036:1 gene:GSCOC_T00001681001 transcript:CDP17714 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRGISSIAPVGGADAGEGDHQYIIFRGYHGLFFSPSSPSSCHGFWHNAALVVPSALFIAYLGFQAKRNIKKLGHGRSYVMIVYYVLLWSAAVLNLTWSSFQVWQCVPEKDVAWNLLSLSTASLLLCLEISIVAFLLQDNYVSGLETLAHTFMVSGAIVGVDAFVKVICIFGLGVPLFIDVEITHRGKWFIWFTYRLVLSAVYAYILFVHYSKWRDKLPPKPAFYNYVIMLFIFNAIALCACGFAGIGAGFGLWLYNFSVVCYHSLYLPFLYVTFLADFFEEEDWLLDNAYYSEMKDAGFFDSEWD >CDP17717 pep supercontig:AUK_PRJEB4211_v1:scaffold_176:317985:322614:1 gene:GSCOC_T00001684001 transcript:CDP17717 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWVIGMLILAMAGHSSATWCICKEGVNDATLQKTLDYACGAGADCSATHQNGACYSPNSVRAHCSYAVNSFFQKKGQTPGSCDFAGTATVVTSDPSTNGCVYPASASGTIAPPGTTTTPATPTTATPTTATPTPTINSPTGTSPLVTTPSTSSGLGAGGFNNGLGPSGTNQDMSEAAGRVLLAKICPTSSSLITLLFYGLVFWWA >CDP17715 pep supercontig:AUK_PRJEB4211_v1:scaffold_176:221667:267031:-1 gene:GSCOC_T00001682001 transcript:CDP17715 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MLLELPRLTNSLRDPFDVDQAYLHRKTILQNLKPPSSANSVQESQLARKIVYRWDDASNEVRQVYKQFIGAVVELMGGEVVSEEFQEVALSVYKLFCTQLEDVEDDQVDKIIAEKKLDLQKLFGYEVPLLKLQRVLSLVKRLLELQKKDDGTVYIPEGQDESADDMEFGADLVFRAPTRFLVDVVLEDSDLFIEEATEIPNHGAWYELGDSATYIPSASGGNFDLEWLRDACDKIVSESISQLPRDELAMAICRVLDSEKPGDEIAGDLLDLVGDSAFEIVQDLIMHRKELLDAIHHGLFVLKSDKNASNAQSRMPSYGTQVTVQTESERQFDKLRRKEEKKHRRGTDHGVENDLSAMTFSSLVQASGKKGLFDDIIGRGDAHELPVTALPQGTIKKHYKGYEEVFIPPKPTAPMKPGEKLIEIKELDDFAQAAFHGYKTLNRIQSRIFQTTYNTNENILVCAPTGAGKTNIAMIAILHEIKHHFRDGYLHKDEFKIVYVAPMKALAAEVTSTFSHRLSPLNIIVRELTGDMQLTKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQSMIRIVGLSATLPNYLEVAQFLRVNTETGLFFFDSSYRPVPLAQQYIGISEHNFLARVELLNEICYNKVVVSLKQGHQAMVFVHSRKDTGKTAEKLVELARKYEDLELFRNYSHPQFELKKARKKKYFANSAGLYIESRNKEVVDLFENGIGIHHAGMLRADRGLTERLFSEGLLKVLVCTATLAWGVNLPAHTVVIKGTQIYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITMHDKLAYYLRLLTSQLPIESQFIKSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMKMNPLAYGIGWEEVIADPTLSMKQRALVADAARALDKAKMMRFDEKSGNIYCTELGRIASHFYIQYSSVETYNELLRQHMNESEIIDMVAHSSEFENIVLRDEEQNELEMLARTSCPLEIKGGPSNKHGKVSILIQLYISRGSIDSFSLVSDGAYISASLARIMRALFEICLRRGWSEMSSLMLDYCKAVDRQIWPHQHPLRQFDKDISAEVLRKLEEKGADLDRLQEMQEKDIGALIRYAPGGRLVKQHLGYFPSVLLSATVSPITRTVLKVDLIITPDFVWKDRFHGTALRWWILVEDSDNDHIYHSELFTLTKRMAKAEPYKLSFTVPIFEPHPPQYYIRAVSDSWLHAEAFYTISFHNLALPEGRTSHTELLDLKPLPVTALGNETYEALYNFTHFNPIQTQAFHVLYHSNKNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVIYIAPLKAIVRERMNDWRKHLVTRLGKQMVEMTGDYTPDMMALLSADIIISTPEKWDGISRNWHSRNYVKKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTEREVRFVGLSTALANAHNLADWLGVEENGLFNFKPSVRPVPLEVHIQASWELGYPGKFYCPRMNSMNKPTYAAICTHSPTKPVLIFVSSRRQTRLTALDLIQFAASDEHPRQFLAMPEESLQMILSQVTDQNLRHTLQFGIGLHHAGLNDKDRSLVEELFANNKIQAILILVLVCTSTLAWGVNLPAHLVVIKGTEYYDGKAKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHDHINAEIVAGTICHKEDAMHYLTWTYLFRRLMVNPAYYGLDDVEPGNVSSYLSSLVQSTFEDLEDSGCIKINEDNVEPMMLGSIASQYYLRYTTVSMFGSNIGPDTSLEVFLHILSGASEYDELPVRHNEENYNDGLSRRVRYMVDKNRLDDPHVKANLLFQAHFSQLDLPISDYITDLKSVLDQSIRIIQAMIDVCANSGWLSSALTCMHLLQMVMQGLWYDKDSSLWMLPCMTDDLISSLSRRGIAKIQQLLDTPSTVLQAIADNATASRLHQDLQHLPRIRVYLKVERRNSDSNSSEISNGFNLNIRVEKTNTHHRTSRAFTPRFPKVKNEAWWLVLGDTSTSELYALKRVSFSDRLVTRMEIPSTQVNLQEMRLILVSDCYLGYEQEYPIE >CDP17716 pep supercontig:AUK_PRJEB4211_v1:scaffold_176:282967:284455:-1 gene:GSCOC_T00001683001 transcript:CDP17716 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYNISLMQIFVKILMAKTITLQVEFCNTIDNVKAKIQDKGGILPDQQRLIFVGK >CDP20791 pep supercontig:AUK_PRJEB4211_v1:scaffold_1761:5119:11830:1 gene:GSCOC_T00010117001 transcript:CDP20791 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGFSLFLQQINALLRKNFILTWRNRRSTFLQLFSSFFFIAFMFALRKINNYTESRPNLSAKVHDPKPITNPPIPACEDDKLIINVPCFDFVWSGSGNQRLESIVNDIITNNPGHTIPQSKINFLLTKDELDKWLLDNPMHCPGALHLFERNAEEIRYDIEINSSSSFELGRQIEDPAFKFQVPLQYAVSREISRSLIGDPNFSFNVGLKEFAHPPRSIDSSNSYDASFPAIFYLLVAILGFTFRIHSLVLEKELKLRQTMSIMGLYDSAYWTSWFIWEGFMAFLTSLLIVAFGTMFRDDVFMKNNIFLVFLLFFLFMISMVSSITGFLCIHDSTLLGKSSSATTVGFFILAFGLVTVASIFSSLFYDGTVKNSNYRILWSFFPPNPFVGGFTVLEEAAGEGGIRWSQRAECKLLGDPCVSMVRKLQILFFYKYAPSMLVLTSILGSEFSSTIYWLPSRQLGCDTLICVGDVDPDVAIQLRGLTKSYSMALKIRCHYNEMCSLFSPLDLWMNFPKNQLFCLLGSNGAGKSTLISCLTGITPVTHGDALIYGNSIRNSKGMSTIRRLARFDSLWNALSTKEHLHLFANIKGLPMATRKSEVKRLLVDVDIDKIANVRAGSYSGGTRR >CDP20790 pep supercontig:AUK_PRJEB4211_v1:scaffold_1761:1:3494:-1 gene:GSCOC_T00010116001 transcript:CDP20790 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFWNQTVALTRKNLIYHRRHLRSNLRLILFPVILFLSVGWLRRYLAKKNVFGEHYNAKMATEGTPLLLIPAAEFRAVKTNQIPQSNDLPDKSCRINGSCPATILITGNNREFGQSVAGNMFSNSSCGLNNTADCVFGKTDKTSEKKYYYLQSQCKANVTIPVRTQDGTEVTECLQGLLAWRKNYMEINDELYDGQYKNGEINEILAGRKHCFFILTAYDFRDSDMKHFDVHLWYNTTPTSSEKPPNEVPVGSTLNMVWNAYLQSLLGPSVRMIFEFIGEMPRASTNVTYDFASSIGLVFFTWVILQLFPVILTSLVYEKQQKLATMMKMHGLGNAPYWLITYLYFLVIFSLYMVCFVVFGTLAGLTIFTLNSYSIQSVFYFIHINLLISA >CDP20792 pep supercontig:AUK_PRJEB4211_v1:scaffold_1762:12340:16997:1 gene:GSCOC_T00007329001 transcript:CDP20792 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPSNSSKMETFRLFDGNPQPGFGLNFLESPEKPFPALPPSVEVLPSQVSSNVKYTVESVDIDGITLIKGRVNSKEVFAFSNLDLVPGKYEGGLKLWEGSVDLVKTLQSEMQDGKLSLAGKRVLELGCGHGLPGIFACLMGSSSVHFQDFNAEVLQSLTIPNVNANIQQKPKSIGTDMTECNTKTETRFFAGDWSEVQNILPYVRGDDCGINSDQEVGNGVGYDVILMAETVYAIYALPMLYQLVKKCLTSPHGVVYMAAKKHYFGVGGGSRRFLSIVEKDGVLASTMVAEIADGSSNLREVWKFHFK >CDP20793 pep supercontig:AUK_PRJEB4211_v1:scaffold_1762:24018:27079:1 gene:GSCOC_T00007330001 transcript:CDP20793 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLSIRKSFFAFLLVLFVSSFSAKANASTSDEEAAALLKWKASSGNENNSFLTSWNLQPTNAKNSSNPCTWAGVSCIDGSLIHLNLSSNLLSKKIPPEIGLLSKLETLLLSLNNFCGSIPMEVGQLRSLMQLSLHANNIHGPVPSSLGNMKNLTFLSLGYNMLSGPIPTEIGNLSNLAYINMQSNYLTGPIPPEIGNLKALESLSLSENNLTGSIPKSLGSTTNLALLSLDRNQFSGSIPASLGNLSKLEILELQDNKISGAILEELGQIPELVMLEMGENQLSGQLPKQLCQNSKLMYFSVSNNLLTGPIPRCLRNCLSLIRATFSGNQLTGNLTEIILRMANNNISEGPVPNGTAFRNATIEELKGNKGLCGNNAGLKPCENPQRKEKGHKHVLIIVLPLVGSLILVCASLGVLICCERRKSKRTTNGHGMDVKDDNLEILKATQEFNDLFYIGGGGYGSVYKAQLLSGATLAVKRLHDLSEMADHGGFLNEIRALTRIKHQNIVNLYGFCSNATHSLLVYEYLGQGSLAKILRMDKEATELDWEKRVNIIRGVADALSYMHHDCSHPIFHRDISSYNVLLDSEYQARISDFGTAKLLKKGSSNWSALPGRCGSIAPELAYTMKATEKCDVYSFGILTLEIIRGRHPGDLIDVLMSQKPGNIELKELLDQRLAYPSPENEKILVSILKIARSCLEVDPKSRPTMLVIYRLLSIGAPVVQHPGE >CDP20794 pep supercontig:AUK_PRJEB4211_v1:scaffold_1766:8695:11817:-1 gene:GSCOC_T00010344001 transcript:CDP20794 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVISATIQVTLETALSLASDRIGMLVGFKKDVASMTRSLRFINALLVDAEERQQNQDRGVQEWLKSLEEVAYDAGNVLDELNYESLRHQVESRNQHKRKVCCFFSFSNIKLNLAFRWRMASKVRDIKLKLNEINQGANGLGLTDSVVAPMIGRTDDESKIVKMLLSPSEKVVSGLPITGMGGLGKTTMAKSIYNNQQIDGHFQKKIWVCVSKKVPIVELFKLILGQLKGKKDEVGDRQNIVQEVGKELGKLRYLLVLDDVWDDNQAFWDDFFTTLKGLNPINPPRGSWCLITTRLHLVADKGYPLGRLPGDHCWSIVKGKVVDGEEVPNELDAIKDRAIQICNGLPLVASVLGGLLRLRKDKWRSILEDRLVNLNEAMQILQLSFDNLPSPAIKKCFTYCSIFPKDTEMEGGMLIELWMAEGFLQVDLKNKTMENKSMEEIGEYYLEILLQSSLLEEIRDGGRRRYYKMHDMVHDVSKSIMSKSTKVINSETGSEDSSNQVRCLGSLSDDMLMKLKNLHVLKLSGAKNQNLPISIGKLIHLRYINFEGSRSETLPESVCKLYNLQTLRLNRFALKVLPKGMRDLISLRHLHYYSRDEAFQMPLEMGRLTCLQTLAFFNVGREKGRRIGELGSLKNLKGKLEIRNLELVKDKEGAEEAKLSEKANLFGLKLWWARDLEGGNYNDKDVLDGLQPHPNLEELEIRNFMGDQFPRWLMELPTATTLPKCRELPPLQNYTSLKELVITGCDGLTNLPGDMLHSCASLQKLSVTYCDNLISFPLILQQTPSLLELELYRCPELKTSMTPRGFGFLTSLRRLAIGPFSDDGDDHENSSIYNEFDWSGLRSSSSSPSSSALRELNLVGLPHMESLPHQIQYLTNLTSLSLSDFGELGGLPSEDAMRSLTKLKRLVVYGSPLLKERCTPESSGPDSQWSKVSHIQDLIIICKIASNNHFLNLLCNQSPLLFTFS >CDP20796 pep supercontig:AUK_PRJEB4211_v1:scaffold_1769:15621:15938:-1 gene:GSCOC_T00011702001 transcript:CDP20796 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDFGKSTTTGHLIYKLGGIDKRVMQRFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTNVTAQVPIWINLPRIHTKSNRY >CDP20795 pep supercontig:AUK_PRJEB4211_v1:scaffold_1769:13973:14524:1 gene:GSCOC_T00011701001 transcript:CDP20795 gene_biotype:protein_coding transcript_biotype:protein_coding MYYISVYKTTIQAKLIPGRTISKNRSHQVTSSCNTSISTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKVSSWGCNIKHKYCRPARYDEIVKEVSSYLKKVGYNPDKINFCPYLWI >CDP17722 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:124553:127153:1 gene:GSCOC_T00006647001 transcript:CDP17722 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHHTNFEKFPTCTRVTWVNNKENTVKVNKYQNGEEINFNFISSNDRRALKTFSENGNKNQPFFILLIVVLSTVAFISSTACSTGNCQLWDPCASSADCGPGLHCGSCPSVGKTQPFCAREKAIIPTSIEPAIDTLKEVEAFLNANPTEIVSIIIEDYVHAPKGLTKLFANAGLDKYCFPLSKMPKNGEDWPTIADMIQANYRLLVFTSDPSKEETEGVAYQWNQMVENERISSRVSGSSPNRKESKPLNSNSSSLFLQNYFPTIPDQGQACKEHSVSRIDMVNTCYKIAGNIMPNFLAVNFYSRSAGGGVFDAVDRMNGRTLCGCTTVTACQAGTSYESCKSIPVSNTTLAAIGLAASFPMPVQLTATAATIQFSSVMATFLHAVAILFFLFLK >CDP17720 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:66336:75342:1 gene:GSCOC_T00006644001 transcript:CDP17720 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKISEGGGPWLETTNGHYGRQHWEFDDQAGTVDEQAQIEKVRDEFKLNRFHSKQSSDLLMRMQLTKESSHYTPIPPAIKVKETEDVTQEAVITTLRRGISFYSTIQARDGFWPDENAGPLFFTPTLVMALYITKTLDTVLSSEHQKEIIRYTYNHQNEDGGWGLHIEGHSTMFGSALCYIVLRLLGEGPEDGEDRAMARGRRWILDHGGAVGIPSWGKFWLSVLGVHDWAGCNPLPPEFWLLPKFFPIHPDVFHGRLILVKLRNIYFSNLLSPSFLVLGMAPHYHKKSVVLIHLQWEFLKNIEKTRRKFFQFLPNFIRSITVQSAMFSFLQKMANISNAQMMCYCRLVYMPMSYLYGKRFVGSITGLIQSLRNELYVQPYHQVDWKNSRNTCAMEDLYFPHPLVQDMLWGFLYHFAEPIMARWPFSALREKALEKTMEHVHYEDENSRYICIGVVEKVLCLLACWVEDPHSEAFKRHLARLPDYFWVAEDGLKLQTFGSQAWEAALSIQAVLSSNLAEEYGPMLKKAHDFIKASQVRDNPSGDFVKMHRHISKGCWTFSIQDHGWQSSDCTAEGLKAALLFAQMPPELVGNKIEIERLCDAVNIILSLQRNNGGFQAWEPQRAYSWMEKLNPIEFFQDVIIERAYVECTSSAIQALLLFQKLHPGHREDEIKSCICKAIAYIEDEQEHDGSWYGRWGICYTYATWFAVEGLVACGKNYKNSTTLQKACGFLLSKQLPDGGWGESYLSCSNEEYTNLDGDRSNLVQTSWALLSLIAAGQVELDPTPILRGIRLLINSQMEDGDFPQQETTGIFFKNCTMHYATYRNVFPIWALGEFRRRVLCL >CDP17730 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:435046:435463:1 gene:GSCOC_T00006660001 transcript:CDP17730 gene_biotype:protein_coding transcript_biotype:protein_coding MQPREDHHRETWRAILLPCVSSFFISGVRSIIDSQKTIHMVTNPKSPFAEIKFHVNDC >CDP17732 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:511462:511995:-1 gene:GSCOC_T00006665001 transcript:CDP17732 gene_biotype:protein_coding transcript_biotype:protein_coding MKLESRSFNQSFFKIALMAISPLIWTFSFHFLATIASDLPPMSSLETYIVHVEAPDTEIVTESSEDLESRYCSFLPTTTASSNDEGLPSRLVYSYYDVFAGFAARLSEGEVKQMEKKKGFISARPQQKLSLHTTHSPAFLGLQQNMGFWKESNYGKGVIIGVIDSGITPDHPSFSDL >CDP17731 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:509841:510937:-1 gene:GSCOC_T00006664001 transcript:CDP17731 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTTATLNHAESLANEAPRVLTVGASTIDRKIRANFHAPVVASFSSRGPNQASPGILKPDIIGPGVNILAAWHKSPIEDQTLQPANVFATGAGHVNPLAANNPGLVYDIETNDYIPYLCGLYNNDTAVRLILQRPASFLSGIKYPRSTTKLSFFFHHSGIKFREIYKDSDQCW >CDP17727 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:403879:411468:1 gene:GSCOC_T00006655001 transcript:CDP17727 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSVLSSSSNQVHADDAPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEVVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYSHTDSEFLKSENTQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDSSLEFLILASDGLWDVVTNEEAVSMVKPIKDPEDAAKRLMHEAYQRGSADNITVVVVRFPGDQEGSSSHGGSG >CDP17721 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:111562:112425:-1 gene:GSCOC_T00006645001 transcript:CDP17721 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFINQLAVFYFKKCSEIKYMNCVESSKAVEILDFSGACSLVKIEGKFFECLENLQVLNLSGTKTLLKELPALTNLKSLKHLFLRGCGQLEALPLLELLHNLETLDLSQQH >CDP17719 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:35004:42606:1 gene:GSCOC_T00006643001 transcript:CDP17719 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKDKSSIIDQHHKNGGFPVWEPEKACRWMEKLNPIEIFAEVIIERRYVECTSSAIQSLILFQKLHPGHREAEIMTCICKAIAYIEDEQKQDGSWFGRWGICYTYATWFAVEALVASGKNYKNSLTLRKACRFLLSKQLPDGGWGESYVSCSNEENINLEGNRSNLVQTSWALLTLIAAGQGEHDPTPIYRGVRLLINSQMEDGDFPQQEAVGMFFKSCIMQYGTFRNIFPIWALGEFRRRVLHV >CDP17725 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:350695:353951:1 gene:GSCOC_T00006653001 transcript:CDP17725 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILFLIAFLLFYFMAVKILKRPSEKNSRLRLPPGPNPLPIIGNIHQLFGSQIHHLLRDLAKIYGPLMHLKIGETPTIIIFSFNYADLIFSPYGKYWRELRKICTMELLSPKRVQTFKAIREDEVFSLIKSISSQKGSTVNLSRTANNTQVPHFWLQSSMEVILFLIAFLLFYFMAVKILKRPSEKNSRLRLPPGPNPLPIIGNIHQLFGSQIHHLLRDLAKIYGPLMHLKIGETPTIIVTSPELAKEIYKTNDIIFASRPSHGLAFKIFSFNYADLIFSPYGKYWRELRKICTMELLSPKRVQTFKAIREDEVFSLIKSISSQKGSTINLSRTVASLSCSITSRAAFGKRNKDKERFKETLDELNKLSSEFSLADMFPSVKLLELMSISRFRIEKVHRQINGILESIINEHKGKMQESAKQEGGEGKEDDLVDVLLKMQKRGDFEPQLTDTNVRAVILDIFSAGSETSSTAMEWAIGEMVKNPEIMKRAQHEVRSIYNDKGNVDESRLHELKYLHAIIKETLRLHPSAPLLLPREYIPAMRNQWL >CDP17735 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:627812:632558:1 gene:GSCOC_T00006674001 transcript:CDP17735 gene_biotype:protein_coding transcript_biotype:protein_coding MHAALDLLKNVEVQAILGPMTSMQANFVINLGDVAQVPIISFSATSPSLSYTRSEFFFRATLNDLSQVQAISAFIHAFGWKAAVPIYVHNEFGEGINPFLNDALEKINTNLPYRSVIHPLASDDEILKELYKLMTMQTRVFIVHMPFSLGSRLFMKAKEIGMMSKGYVWITTDGMTNFLRLENSAIARSMQGVIGIKPRVRVTKRLQAFSYRWRYNSQEEASIQDLNIYGLWAYDATIALAMAVEKIGPRHFSFQKINVSGFSIDIFDAVMATLPYYVPYDYVPFENADGKSAGSYDDMIYQVYLGNFDAVVGDVTIIANRSNFVDFTLPYTESGVTMIVPVKDKKQKTAWVFLKPLTWDLWLTSVCFFIFFAFVIWILERKSNEEFGQTPPQQLGTSLWFSFSTMVFSQREKVVTGLARFVVIIWCFVVLILTQSYTASLTSMLTVSQLEPTLTSIDQLIQNGVKVGYPKGSFVLALLRHLNLNEANLIMYHNMDDLHNAFLDGRIAAAFDELPYMKPFVAKYCSKYTMVAPTLKAGGFGFVFPKGSPLLPDVSRGILIITEEPEMSKFEKGWFGEQNICPDLTTTTSSNRVDVNSFWGLFLIVGAASMLALVVFSSISLYEHRNLFVGSRKSQRIDPIPTISLSNGSEEIEGSCKDKEDSVINHSQQNSKSRSASVEDNEEM >CDP17729 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:434005:434928:1 gene:GSCOC_T00006659001 transcript:CDP17729 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLQCVLPMVFHINTPRTLFSLLKGIIRLLQIFSLCLILFSSRSKEIWRIYLQTMWFVVTS >CDP17736 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:654896:663623:-1 gene:GSCOC_T00006676001 transcript:CDP17736 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFKKILQRGYFVYGCLFLRAKLSLILHRPPPFCKLGIYKCSRIITSVPAHGYHMRTGKSVALPLTTRACFIKILDRLCITPFAIHTSASHNFTSTFKTSLTWLTIFFTLNPGSSSMLRTMWGRCTDSRQLGRNGTAWFQRTASDDKDVRDFLCRDVSDFNFPDILAAMDAAITDGVDVISLSLGNLHSPIRYRNKTISRYSTRREVISLANEAPWVLTLGASSIDKKIRASALLGNHEVLEGESAFQPVDFPSTLLPLVYPGSEAAYCSSESLNSVDVKGQVVLCQTGEISAITRGRNEHEGYTTSAEAHVLPATDVSYADAVKIMAYTSSTNSPTATIFFNGTAIGDFHAPVVASFSSRGPNQASPGILKLDIIGPGVNILAAWHKSVDNNTNTKATFNIISGTSMSCPHLRGVAVLLKSEHPDWSPAAIKICNSESSIPEAQLNYPSFSIILGSNLERYTKTVTNVGETNSSYTVQIAPPAGVDVTVEPSTLNFSGLNQKITYTFILEGEKWEKLGKILEKNFSKFLV >CDP17724 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:340303:343050:1 gene:GSCOC_T00006651001 transcript:CDP17724 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILFLLAFLLFYFMVAKILKSSSGKNSRLRLPPVPNPLPIIGNMHQLFGSPFHHLLRDLAKKYGPLMHLKLGETPTIIVTSPEMAKEIYRTNDVIFASRPSHRVTFKIFSYNHNDIIFSPYGNYWRQLRKICTMEVLSPKRVQTFKAIREDEVFDLIKSISLQKGSSINLSRRIFSLTYSITSLAAFGKRNKDIERFLHFVDELNGLASLFCLADMYPSVKFLQLTSTVRSSYEKIHKQVDEILENILDEHKGKVQESKQECEEGKEDLVDVLLNIQKRGDFEPQLTDTNIKAVILDVFSAGSETTSTAMEWAISEMVKNPEIINRAQCEVRSLYNGKGNVDESRLHELKYLHAIIKETLRLHPNAPLLLPRECTEECRINGYDIPAKAQVIVNAWALGRDPLYWSEAEKFNPSRFLDSKLDYKSNNFEYIPFGAGRRICPGISFSQAVVQLALAQLLFHFDWKLPGDLKPEELDMADNLGVTIRRKNDLHLIPIPYLGSCLIKDD >CDP17734 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:556569:561807:-1 gene:GSCOC_T00006672001 transcript:CDP17734 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLEVHECIYNDGCNSYHFIYGAVTCDIRTASRGFYAGENSSFVVRKEEILIREACGSDMSEEKLLRMIFASNNIIRAVNQYRLIQNLIQNNPIHMNTQEMY >CDP17718 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:9901:13618:1 gene:GSCOC_T00006642001 transcript:CDP17718 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIENLTILCHPVIVINTSEGSGPWLNSTNRHIGRQHWEFDHQAGTPEQRAQAELVRQDFKKNRFQRKQSSDLLMRMQVMALYITRTLNTVLSSEHQKEIVRYIYNHQNEDGGWGLHIEGHSSMFGSILSNIALRLLGEGPEDGEDRAMARGRGWILDRGGAVATPSWGKFWLSVLGVYDWAGCNPLPPENELYVQPYHQADWNNTRNTIAKEDLYFPHPLVQDMLWGFLYHYVEPIMTQWPFSILRKKALEKAMEHVHYEDENSRYICIGVAEKVLCLLACWVEDPHSEAFKCHLARLPDYLWVAEDGMKMQTFGSQAWEAALSIQAILSSNLAEEYGPMLKKAHDFIKASQVRDNPSGNFMKMHRHISKGCWTFSTQDHGWQASDCTAEGLKAALLLAQMPLELVGDKIEAGHLYDAVNVILSLQVCANTNK >CDP17726 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:371506:385516:1 gene:GSCOC_T00006654001 transcript:CDP17726 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIVACLKRQRFNHHGTSLHYICSAEKIICRNFLLKDGGLKHDNYVLTKYFHHKCFSTGYASVHGERPSAEYAKLRKESLESKFGHLLGTRSKNVSTIYHFGPFFALYRAAIISYHVLKLAIWRLFVHDMKKRSVKFRETLIRLGPFYIKASNHSSLSTRQDILPTVYCQELAKLQDQIPPFPTNIALRSIESELGAPVSQVFADITKEPIAAASLGQVYKAHLHSGELVAVKVQRPGMTHQLTLDALLFHMIGGQLKRFAKARKDLLVAVNEMASLQNVRHMFEEIDYILEGQNAERFASLYADNYNDETSSKGSAGTSIRRQKASHIKVPKIYWRYTRKAVLTMEWIDGIKLTNEDRLRKACLNRKDLIDQGLYCSLRQLLQVGFFHADPHPGNLVAMEDGSLAYFDFGMMGDIPRHYRVGLIRVLVHFVNRDSLGLASDFLSLGFIPEGVDIQSVSDALKASFGDGSRQSQDFQSIMEQLYDVMYDFNFSLPPDYALVIRALGSLEGTAKVLDPDFKVVESAYPFVIGRLLADPNPDMRRILRELLIRNDGSIRWNRLEKLIVAISEQAAEADGLAPALDDKSGHPMGWKSFDMRAVVAATEDLFQFILSDKGSRVRVFLVRDLLQAYDVFLQDEVFGCLCGNPQAKEQAPAQEHAMLVRVFNGLKSLRYAMKLAPDVWAAMFIRLAVKPEFQKFSFDVISAIITHSSNRIPDHIWVCLSRLLHKFTNNDSPKAS >CDP17728 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:416452:418727:-1 gene:GSCOC_T00006658001 transcript:CDP17728 gene_biotype:protein_coding transcript_biotype:protein_coding MKLESRSFNQSFFKIALMAISTLIWTFSFHFLATIASDLPPMSSLETYIVHVEAPDAEMVRESSEDLESWYSSFLSTTTASSNDEGLPSRLVYSYYNVFTGFAARLSEGEVKQMEKKKGFISAQPQQKLSLHTTHSPAFLGLQQNMGFWEESNYGKGVIIGVIDTGITPDHPSFSDEGMPPPPAKWKGKCEFSFASQCNNKLIGARYFGVGNGTPLDENGHGTHTASTAAGNYVKGANVYGNANGTAVGVAPLAHLAIYKVYVMSLSLGSNSKPFHVDNIAIGAYRAMEKGIFVSCSAGNKGPSTESLTNEAPWVLTVGASTIDRKIRASALLGNHEVLEGESAFQPVDFPSTLLPLVYPGSEAAYCSSESLNSVDVKGKVVLCQTGKISPITKGRIVKAAGGAAMIIMNQEHEGYTTSADAHVLPATDVTTIFFNGTAIGDFHAPVVASFSSRGPNQASPGILKPDIIGPGVNILAAWHKSVDNNTNTKATFNIISGTSMSCPHLSGVAALLKNAPTCECLRHWRRPCEPIGGKQSRTSLRHEPNDYIPYLCGLYNNDMAVRLILQRPVSCSAESSIPEAQLNYPSFSIIVGSNLVRYTRTVTNVGEANSSYTVHMAPPAGVDVTVEPSTLNFSGLNQRITYTVTFARLANSGSAGYSQGLLTWNSDKHSVRSPISVILNSM >CDP17733 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:525539:526614:1 gene:GSCOC_T00006667001 transcript:CDP17733 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLQCVLPTVFHINTPRTLFSLLKGIIRLLQIFSLCLILFSSRSKEIWRIYLQTIWFIVTS >CDP17723 pep supercontig:AUK_PRJEB4211_v1:scaffold_177:336308:338468:1 gene:GSCOC_T00006650001 transcript:CDP17723 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLFGSPIHHLLRDLAKKYGALMHLKLGETSTIVVTSPEMAREIYRTNDIIFASRPSQSLAFKIFSFNFADLIFSPYGNYWRQLRKICTMELLISLQKGSTINLSRSIVSLTYSFTSRAAFGIRNDLETERFIQRIDELNGMASEFSLADMCPSIKLLQMMSTLRFKVEKLHKQIDEILVNIVNEHKGKIKEAKQEGAEGKEDLVDVLLNIQKRGDFEPQLADTSIRAVILDIFSAGSETSSTAMEWAISEMIKNPEIMKRAQHEVRNFYNDKGNADESHLHELKYLHAIIKETLRLHPTKAQIIVNAWAIGRDSNYWSEAEKFNPLRFLDSEIDYKGNNFEYIPFGAGRRICPGISFSQAVIELVLAQLLFHFDWKLPGDLKPEEFDMVEKLGRTIRPQNDLLLIPIAYSGSCLT >CDP20797 pep supercontig:AUK_PRJEB4211_v1:scaffold_1771:3604:7310:-1 gene:GSCOC_T00010437001 transcript:CDP20797 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPEKNRREISKYLFQEGVCYAKKDFNLAKHPKIDVPNLQGFWWKAWLWPWIWRKLVVMDPNLKLASNRGSTSPKQLHIANSSDMISSLPDAVLGCILSLVPKKDAMRTSVLSKRWKRLWLSVSHYVFDEHSQGDRILFVNLLNRVIHRETYIDKLSNVVTYMIHCFFIHSCAMLESLILVF >CDP20798 pep supercontig:AUK_PRJEB4211_v1:scaffold_1773:4868:10471:1 gene:GSCOC_T00013028001 transcript:CDP20798 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNKQRRFKTHHHSHRGGAAGAQSSRTHQPLHPTWNDESLPIDPEGNADEAAVPAGPTIQLAMWDFGQCDAKRCTGRKLARFGLLKEIFVPFFGGCGNDANLRVGSGFGGIVLSPAGVQCVSREDQPLINKKGLAVVDCSWARLDDVPFAKLRCAAPRLLPWLVAANPVNYGRPCELSCVEALAAALIICGEEETANILLGKFKWGHAFLSVNRELLKSYSECKNSADIISVQNDWLSQQSSHITRTSTNAQGEKVEPSGEDEGSSNDSDDGLPPLERNMNHLYLDNSDKEESE >CDP20799 pep supercontig:AUK_PRJEB4211_v1:scaffold_1773:11319:16440:1 gene:GSCOC_T00013029001 transcript:CDP20799 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFVSSEFRVISGEFLSEVYFWTIKMSSPYPVLGSRPIDQWKVAELKEELKRRKLMTKGLKEDLIKRLDEAIRGEQGRSDLNNVNGHDSVVPSEMLTGHESTVAYVCDSAQNNIGIGNTMTQEINEDLQPRVTCEQERSNTPNSINHGVPVNEKVSGNMLNVDINEGQESIEEKLREEEEVDDSYSAGLEGKQTDQVTDKETSDFFTQNLEPVITLGEEDLQKTVTHNESHGLQAQLENDDSKPLHVDAKDNVYDTNNQVSEVKPVLGFQVKSDSISTETVSIIENNELKDNIIADDVKLEVDVKPEMVQPASSSVVHQGGKSHPMDVEEPHANKVSLKETGSSNVKNADVIPKNETGDLGFSEKPNVARSSGDYMEEDVRESKQMNSKTDSVEIRIEAGKVDGPTIKDEGLVDIVSEDAPAEKKVAIVEDKGVPAPPSVKRKFNDQQTVGNNDIAKRQRRWNSEGLKIPEPQSTDVSTTPKDIFQPALRRSFSRSDSTVGEEMPKERVVPPSPKPPTDSLRIDRFLRPFTLKAVQELLGKTGTVTNFWMDQIKTHCYVSYSSVEEAVETRNAVYNLQWPPNGGRLLVAEFVDPQEVRVRAEAPPQSPATPVSSGAGAPPVPQNVHPQPSPRPQLPKQQLPQPPLPPPPPLSNPPPSREHPASVKERLTLPPPPPPLPEKIDPPILTLDDLFRKTKATPRIYYLPLSDGQVAAKLQAQGKTGKQ >CDP20800 pep supercontig:AUK_PRJEB4211_v1:scaffold_1773:17613:23768:1 gene:GSCOC_T00013030001 transcript:CDP20800 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFTEEILAEKLSKLNSSQQCIETLSHWCIFHRTKAEQVVSTWDKQFHSSDMTHKVPLLYLANDILQNSKRNGNEFVTGFWNVLPSALKDIFEKGDDRGKSVVSRLVNIWEERRVFGSHAKSLKDVMLGEESPVPLEFGRKRSRSVRIVKRDSRTVKTKLTVGGTAEKIVTAFHLVLSEQSSESEDMNRCMSVVHRVSKMERDIDVALSNAQDPKRKTLAKELEEEEKLLKQSIDKLKVVEANRVALVSQLREALHEQESELENVRTQIQVAQAQQELASSRRKHLEDENYVVDSRSSVTSASSIDVTAQTGQTTKKTTAAIAAEVADMLAASSSSQYIMSSVLSTFAAEQEKNAGLTKSSTASTPYPALPNNATKNSEIKAEGTMLDPIITNSQAQYHLLSTPPSQQYIQPSGGTLSSYAYGSFPPLPPGPPPPPPPPAYMMSPMTPLTQQQLQQHTVPLPPPPPGPSFRPVAPMQQPTGMVYYAHPHHTQ >CDP20801 pep supercontig:AUK_PRJEB4211_v1:scaffold_1774:23550:26853:1 gene:GSCOC_T00005428001 transcript:CDP20801 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLAQMVYNDDRVNEFFDSKAWACVSDDFDIVGKCKNLPMAVKTLGGLLRARSTPEEWTDVLNSEIWEIKEDQSDILPALRLSYYHLPAHLKPCFAYCSIFPKDYEFDKYELALLWMAEGFLEESKASSTSYVAKKVVAELLLELRYSRVLSFSGYAISDLPNSISELIHLRYLNLSGTWLKLLPESMSNLCNLQTLRLSNCRELINLPVGIRKLINLRHLENSNTSQLHEMPSGIDQFTSLQTLSKVVVSKHGGFRLNDLGNLSLLAGSLAILELQNVTNVQEARDANLKNKRDLDKIVLAWNSEYDDSLSKVLQQDLLEALRPHKNLTSLEIEFYKGDKFSSWVGDSSFSTLVKVSLRGCTHCKCLPSLGQLPALKDLSIQTMLEVKAIGTELSGENECHFPLLRKLCISGCPKLKSIPVLHLPSLSELNLKKCSSLPTFSGLNTLRIRICSALSCLPMDKLLLPQLRHLEISQCQKLNLTPEIVIEDTSTSIEILEIVGCPCLNLRTMLGSVYSFASLRSLDISDCDYHLDQLPTPSLERLSLYRCKNVSYLPRGLGRLRSLVLYSCSSPLLFPQGDFPPTLELLHIVAGENLQLKPLGDDGFALLPPTLRSVAAGDLPNLKSLSTFLRGLTALQRLRIFECPNLRSLPQESLRNPLQTLVIYKCQLLEKRCLMDRGDYWPMIEEIPLVRTGCDPIRYSEI >CDP20803 pep supercontig:AUK_PRJEB4211_v1:scaffold_1777:14221:15258:1 gene:GSCOC_T00003031001 transcript:CDP20803 gene_biotype:protein_coding transcript_biotype:protein_coding MYHILQKRRHSIKRNKGTGDHFSCMSSEFAAQLFIDYDRIDEMPLTCVSILFTIFFKKLAARSLEPAFQRAGQRLGTEIWRIENFQPILLRKSDHRKFYLGDSYIVLQVHIASNISSFVSYFGPHFYELSVYD >CDP20802 pep supercontig:AUK_PRJEB4211_v1:scaffold_1777:8195:9400:1 gene:GSCOC_T00003030001 transcript:CDP20802 gene_biotype:protein_coding transcript_biotype:protein_coding MYREIIKATEEFSEIFCIGEGGFGSYLERGSLAKFFSVDEEAKELDWEKRVNIIKGVAHALSYMHHDCTPSIVHRDISSNNVLLDSEYEARLSDFGTAKFLRKDSSNWTTLGGTLGYVAPELAYTLRVTEKCDVYSFGILTLETIKGTHPGDIILISTIKLAKACLHVNPESRPTMHMISSLLSVGAPCRQQVGKY >CDP20804 pep supercontig:AUK_PRJEB4211_v1:scaffold_1778:17068:20613:-1 gene:GSCOC_T00006765001 transcript:CDP20804 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDSEFFSHKIPSSFQVVEEMKELWSMALPITAMNCLVYVRAVVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGSKNWDLLSISLQRMIFILFLAIIPISLLWVNLESIMVFLGQDIEITRMASVYCLYSLPDLLTNTLLQPLRVYLRSQGVTKPQLWCTFVAVIFHVPLNYVLVVVMGLGVPGVAMASVLTNLHMVVLLVGYVYVWGRWEWKCMAGMGGGICGGVGPLLKLAVPSCIGICLEWWWYEIVTVLAGYLPNPRLAVAATGILIQTTSLMYTVPMALSGCVSARVGNELGAGKPYKAKLAAMVALGCAFVIGFVNIIWTVMFRHKWGGLFTEDDMLKALVASVLPIIGSCELGNCPQTTGCGILRGTARPVVGARINLGSFYFVGTPVAVGLAFWFHVGFSGLWFGLLSAQAACAISILYVVLVCTDWESESLKAKKLTSLELTQKHGNDEEKGLLMKQNGHTEDVP >CDP20806 pep supercontig:AUK_PRJEB4211_v1:scaffold_1779:20407:25517:1 gene:GSCOC_T00013697001 transcript:CDP20806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyl-acyl carrier protein thioesterase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G08510) UniProtKB/Swiss-Prot;Acc:Q9SJE2] MVASAATAAFFPATSSLPDSGGRNSGNYGGSLPAGVDARGIKSKSSSSGKLQVKANAQAPSKVNGTKVGIKTDDDVISSPPPRTFINTLPDWSMLLAAITTIFLAAEKQWMMLDWKPKRPDMLGGPFGFGRIVQDGLVFRENFSIRSYEIGADRTASIETLMNHLQETALNHVKSVGLLGDGFGSTPEMAKRNLIWVVSKMQVLVDRYPTWGDVVQVDTWVAASGKNGMRRDWLVRDCKTGDVITRASSLWVMMNKETRRLSKIPDEVRAEIEGYYLDSPPIVDEDGRKLPKLDDKTAKYIRTGLTPRWSDLDVNQHVNNVKYIGWILESAPLPILESHELAAMTLEYRRECRKDSVVQSLTSVLGSGVGDMAISGYVECQHLLRLEDGAEIVKGRTVWRPKYANRIGSMGQLPAAGA >CDP20805 pep supercontig:AUK_PRJEB4211_v1:scaffold_1779:1659:6443:-1 gene:GSCOC_T00013696001 transcript:CDP20805 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSQEIGRENPTDRFPLLMEQAENRESNDHVIDIGRVGDASSSDSSDHGSPCGLTTPHHETGPSGRGQVPLTQSPSVSNGSNFRSSSLSRRGEGLGRRWSPFNTVLWISLELVFTVGQIIAALVVLYLSRRENPQTPLFAWVVGYAAGCALSLPLYYWRYIHSNQITDQRSTQSRQGSRRGNLTSEPNSYITISLTRSSEEAAGQNTSTGAWNGQNNGAPNARVSVLMDHFKMALDCFFAVWFVVGNVWIFGGHSSSSDAPNLYRLCLVFLTFSCIGYAMPFILCGMICCCLPCIIHILGVREDMNGVRGATEESINALPKHKFKLKRNRSSSSGDSSSGFEEGGFLAAGTEKERAISGEDAVCCICLTKYEDDDELRELPCSHFFHTECVDKWLKINASCPLCKFEIGGGGGAEDAAPVEASQEDQGA >CDP20807 pep supercontig:AUK_PRJEB4211_v1:scaffold_1784:3254:9767:1 gene:GSCOC_T00012352001 transcript:CDP20807 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKFTGKPITAHNAVDLDQMPDTPNRGAHHRRAQSETFFRFPDLDDDILLDDVVVFDHPPGPSLSSDATLLQPNNNESGRAQAQAQAQAQPARLIEKSHFRSLSVDADFFEGLNFGASSSSSADGGGGGGSAAAGSGAPWGPRHRHSSSMDGSSSFASAMTTSFEAMMADSDKKTAGADRLQELALIDPKRAKRILANRQSAARSKERKIRYTSELERKVQTLQTEATTLSAQVTMLQRDTTGLTAENKELKLRLQAMEQQAHLRDALNDTLREEVQRLKIAASQIPTANGNNFNRGMRPQYSSQAQTFHHFGNHQAEQHQQQQQHHMPQSTMNPGFSTQPKPSFLDFNHKV >CDP20808 pep supercontig:AUK_PRJEB4211_v1:scaffold_1786:25708:27078:-1 gene:GSCOC_T00001522001 transcript:CDP20808 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSAARDSGGSTSSRSDSSDYESVAKTHHSHRNFSSRRCFMSKPVHPLTLPSDTPRREATDSNAAGYLEFDAVTPRREKHRLSSASGSVDLTDVSEPFEADFSSRYCNPSDSFKCGLCERLLSQRSPWSSRRIVRSGDMPVAGVLSCCHVFHAECLEQTTPKANKNDPPCPICAKVEEENSPDQRVFSKFFPRLRPFCEDGPSRPWGCAQAGDCVEGALHAPSRSTMLSLTRSRIKKNLSLKGNVGRDFPGKARKSGSFASQLFVGSVDHGVACSSETVSGTSVK >CDP20809 pep supercontig:AUK_PRJEB4211_v1:scaffold_1787:20918:23562:1 gene:GSCOC_T00013463001 transcript:CDP20809 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAKLSIASLLVLVLVLAGLATSCEATNNHHCNPSSCGDIRNISYPFRLKEDPIHCGDPSYELACENNRTIVNLFLQKYYVQEIIYEKYLMRVVDPGLDKANCSSFPINTLEYDEQLMADNPKNKYPLYGIIDTNIPVLFISCPAPVRSPFFSDIMAFCRNHMSSNFSSPTNNYSYLLFGDHEVSVLNDSCAVNMAAWVTEDFGGRKAYTSLAGLYDALAYGFLLDWHGIYCQQCSSGLKEIWYQQVEFLSNAGMCLAGRFLLGVLFLFALIIYKMRRSHLSMYENIEDFLQSPNNLMPVRYAYSDIRKMTNNFKDKLGEGGYGTVFKGKLRSGPLVAVKMLGKSKANGQEFISEVATIGRIHHANVVKLIGFCFEGSKRALVYEFMPNGSLEKYIFRKETETASLSCEKLFDIALGIAKGIDYLHRGCEMQILHFDIKPHNILLDEHFAPKLSDFGLAKLYPTENSIVSLTAARGTLGYMAPELYYKNIGGVSYKADVYSFGMLLLEMAGKRKNLNPLVEQRSQIYFPSWVYDQLSKGNSIEMGDASEDERKMLKKMILVALWCIQMKPINRPSMNKVTEMLEGDGELLETPPKPFQNPDEMPAPEAEDGGNDAEETTDFPQLQLDRVDSSDMSMDRD >CDP20811 pep supercontig:AUK_PRJEB4211_v1:scaffold_1791:19271:21294:-1 gene:GSCOC_T00009100001 transcript:CDP20811 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIQGTSINDDAYILSCIIENLVGNKIFLPFVSNFWVSIICFGRTTTIPAFCVFGKAPLKASNGAESLYNYTVKGGKPILVLFGLEYAHAMPDIKEALNVEAVRFHDSNSGFQIHFANVTNSVITPSASMKLENRHLMLNGEQDNNEDSSQKTDTKFRLGGLGWSLPEGHRMEDYLLFWIGLDNSAFTNFILTFNSCEIVRYDAIEGCLKTDVSQQAKILKRRYYLVEKAKDANMVGILVGTLGVAGYLDMIHQMTELVTRAGKKAYTFLMGKPNPAKLANFPECDVFIYVSCAQTALLDSKEFLAPIITPFEALIAFNR >CDP20810 pep supercontig:AUK_PRJEB4211_v1:scaffold_1791:5344:7362:-1 gene:GSCOC_T00009098001 transcript:CDP20810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g17210 [Source:Projected from Arabidopsis thaliana (AT2G17210) UniProtKB/Swiss-Prot;Acc:Q9SII7] MAVRISSVSRLPSCFSKIKNLAATGRWQEVLSCHRELREAGVQLTDPSVFPPILKACSAISFDYGKSFHASLLKQGLDSFTSLGNSIIDFYVKSGTLGCANDVFDCMRNRDSVSWNIIIHGHLDQCAFEQGLGLFFQAKITGFKPNISTLVLVICACRERQLFDDGQILHGYIVRSGFWAISSVQNSLLCMYADIRMELARKLFNEMHHRDVISWSVIIGWYVQNGEARVALEFFRQMVSEFHIEVDGPITVTILKACTNLQNIEMGNLVHGFAISRGLKCDVFVGNSLVDFYSKCDDVESAFKAFSEMSQKNVVSWNALLSGYVQSEKHSEALLLFDSMRRAGVEADEVTLVNLLQVCKYLMLPYQCKLIHSKVLRQGYESNELVKNSLIDTYAKCNCISLAWKQFSQMKHRDAVTWSTMIAAFTYWDAYGMNGLPRDALALHAKMESQGLKPNLVTSLSLLSACSHGGLVDEGLSVFENVIQEYGVEIGVEHYSCLVDLLARSGKFDSAMDFINKIPCTVKPSASAWSAILSGCRNSGNREVGAGALAHILELEPSSSAGYLLASNMYASGGLWSDAANMRLLGKRSGAKVLAGYSLVHVNNRAYRFVAGDKHDPLSDELCIFIEQLHSWMKIENTDHDNILGTKRKEVKSCSSSFRLQQQLEQFVDAGT >CDP20812 pep supercontig:AUK_PRJEB4211_v1:scaffold_1795:6933:9421:-1 gene:GSCOC_T00012601001 transcript:CDP20812 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNSVEQEVTRILELLMERNVSTIVLSGKSGIGKTWMARKVGLLAITNERVDIMLWISLSVRHDEMSLYEHIAHQLSLLSTSAELEIDDIGQVQNDNGKEETLDDLKEKVERDLELVLQFIQQNAYQQSIQVADGDRQQKLKVLETSRNEDMRHQTQGDKKVIGMMCQLQKSAIANLGLFSLRIKSLPRCFYYLKKLNVLVLGGCDFLQKIDEIGKLTTLTVLEVSGSCLIESIPDNFFQQMTQLRSLHFSDFQIEVLPKSFYDLTEHRWLILKGLSHLTELKSLKKCQKLMVVDLSGAASLPTFPEKNLKPLPKLQTLNLSNTKIKSLPILHETMELTHLSISDCRNMDRLPSIQSLTNLQVLDISWSAIMDFHDKSFESNRHLKILDLSGTAIPWVPFNISKPCQFYLSCCSEIKYMNCVESPKELEILDFSGACNLVKIEAKFFDCLEKLRVLNLSKTKVKDLPCLSALKNLHQLLLSGCLNLEKLPSLASRKLEELDLTDCLALTMIEDVSFQHLPRLRLLILSNAKIERLPDLNSLSNLEELNLSGVISIESVDFIEHMSKL >CDP20813 pep supercontig:AUK_PRJEB4211_v1:scaffold_1796:14316:19928:1 gene:GSCOC_T00010999001 transcript:CDP20813 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAASRIATFGLSSSCCCTRSTVLHPPILHNQAAIPVAFSPQVLYLRRQNGKKMLILPNYRNHHHPLRPCCVNDNQDSQTKESSDISLPEQTDSTGKENHQQESLTTNEILKRLKRYGVAGVLSYGLLNTCYYLSTFLFVWLYVAPAPGRMGYVAAAERFLKILAMVWAGSQVTKLIRAGWALALAPAVDRGLSWFTIKYKFKSQGKAFMAIAGFCFGLAFMVFLVLTLLWA >CDP20816 pep supercontig:AUK_PRJEB4211_v1:scaffold_1800:24943:25252:1 gene:GSCOC_T00013637001 transcript:CDP20816 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCCCIAFSFERTISTLVGLHLITNTNAITSLESHHCKYEAQIGETC >CDP20817 pep supercontig:AUK_PRJEB4211_v1:scaffold_1800:25357:26676:-1 gene:GSCOC_T00013638001 transcript:CDP20817 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRVVSFSPVAAALYALKLNIPKLIDDGIIDSSGTIMKKQMVQLSPATLAMDSEHFAWASVGDATTRGIVFYAMAINNRTFKLADRIIGNSSNELEASVFTSFPEMLPIGPLLASNRLGKPVVIYVAFGSLTVFDHTQFQELALGLELTNMPFLWVVRCNLTAETDCAYPKGFRDRIQGRGRLASWAPQQQVLSHPSVACFLSHCGWNSTMEGMSNGVPFVCWPCFGDQFANKSYICDIWKVGLGLEKDENGIIAQGELENKIEQLVTVKGYRERALDLKAKVMNSLKEDGCSDKNFNNLVKWIKDD >CDP20815 pep supercontig:AUK_PRJEB4211_v1:scaffold_1800:4004:6872:-1 gene:GSCOC_T00013636001 transcript:CDP20815 gene_biotype:protein_coding transcript_biotype:protein_coding MRHYFFLFQVQNCNTIFEKSTVFLIPSPLFLSCFFGTIHFFIFIFVGAHISGDDSFQKYL >CDP20814 pep supercontig:AUK_PRJEB4211_v1:scaffold_1800:3:1771:-1 gene:GSCOC_T00013635001 transcript:CDP20814 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPHVLAIPYPAQGHVLPLMELALCLVKHGIKVTFVNTEFDHKRVIESLSGEENVPDMMHLVSIPDGLESWEDRNDAAKLMKAIFRVMPAKLEALIEKINQSESDKITCLIADENVGWALEVAKKMKVRTVAFWPAAAAQIALKLNIPKLIDDGIIDSSGSVLKKQMVQLSSTILAVDSAHLVWACIGDPTTQGILFDVILKNNRTLKLADWIICNSSNELETSVFNSFPEMLPIGPLLASNRLGKSVGSYWPADSDCLAWLDKQPVQSVIYVAFGSTTVFDHTQFQELALGLERTNMPFLWVVRHNLTAGKDNAYPKGFRDRIQGRGKLASWAPQQQVLSHPSVACFLSHCGWNSTMEGVSNGVPFLCWPYFTDQFTNRSYVCDVWKVGLGLEKDENGIIAQGEVKNKIEQLVTVKGYRERALDLKAKVMNSLKEDGSYFICDFPSINPEFFL >CDP20818 pep supercontig:AUK_PRJEB4211_v1:scaffold_1802:12661:17031:1 gene:GSCOC_T00012265001 transcript:CDP20818 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVISATIQAAIQVTLERALSLVSDRIGLLVGFKKDLASMTRSLGFIKDVLADAEERQNQSSGLHYESLRHQVESQNRHKLKVCCCSSFSNINLAFRWRMASKVRDVKLELKGINREARDLGLVDRAVVTAPLPPAAGDTRNRQTDSVVAPMVGRADDESKIVKMMLSPSEKVVSVLPINGMGGLGKTTLAKSIYNNHQIDGHFQKRIWVCVSKKVPRVELFKLILGQLKEDKKDEVGDRQNIVQEVGKELGKLRYLLVLDDVWDDSQTLWDDFFTTLKRLNPINPPKGSWCLITTRLHLVADKGYPLGRLPGDHCWSIIIGKVVEGDEVPNELDAIKDRAIQICNGLPLVASVLGGLLRLRKDKWRSILEDRLLNLNEAMQILQLSFDHLPSPAIKKCFAYCSIFPQDTEMEGDMLIELWMAEGFLHAGLENKTMEEIGEYYLEILLQSSLLEEIRYGGRRRCYKMHDLVHNLAESMSKSTKVIIDRDTHTVDNGNQIRYLAIDSSGGREDREKLLEKLPVSIGKLIHLRYVNLEWSAISILPDSLCKLYNLQTLMLSWSHVKDLPKGMCNLISLRHLHYYIYNYEEFQMPLEMGRLTCLQTLEFFKVGREKGRRIGELGSLKNLKGKLKIRNLELVKDKERAEEAKLSEKANLFRLQLGWAREQEGDDYNDEDVLDGLRPHPNLEELEIRNFMGDQFPRWLMDLPTTATLPRLARLEFNRCNRFRELLPLQNFRSLKELVIYNCDGLTNLPGDMLRSCASLQKLRVSWCDNLISFPLDLQQTPSLLELELRRCPKLKTSMTPKGFGFLTSLRELAIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSALRELGLIGLPHMESLPHQIQYLTALTSLALDDFGRIKALPDWFGHFAALEELHLWNFEELRHLPSEDAMRSLNKLKRLRVDGSPLLKERCTPESSGPDSQSNSIMIDRRIASRTEVRILKLLQHHLYG >CDP20819 pep supercontig:AUK_PRJEB4211_v1:scaffold_1815:17834:18803:1 gene:GSCOC_T00007880001 transcript:CDP20819 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKSSPNFSFQNFTNTPLARHSRKVLIGLVFCFLTWMIQIQDGLGSSPLGFSLSLSQPR >CDP17841 pep supercontig:AUK_PRJEB4211_v1:scaffold_182:409836:415242:-1 gene:GSCOC_T00009756001 transcript:CDP17841 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEENDDYVEYIPVAKRRALEAQKILQRKGKSSTLEEEEAEKLKLVEAKPSLLVKASQLKKEQPEISPTEQMVQQEKEMIEHLSDRKTLMSVRELAKGITYKEPLFTGWKPPLNIRRLSKKVCDAIRKQWHIIVDGEDIPPPIKNFKDMRFPDPILKKLKAKGIVQPTPIQVQGLPVILAGRDMIGIAFTGSGKTLVFVLPLIMVALQEEIMMPIAPGEGPFGLIVCPSRELARQTYEVVEQFLAPMREYGYPELRPLLCIGGVDMKSQLEVVKKGVHIVVATPGRLKDMLAKKKMNLDSCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQKFARSALVKPVVVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAIKSFKEGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDVDAITNASGVKGCAYCGGLGHRIRDCPKLEHQRSTQIASSRRDYYGSGGYRGEI >CDP17843 pep supercontig:AUK_PRJEB4211_v1:scaffold_182:624431:636810:1 gene:GSCOC_T00009759001 transcript:CDP17843 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLFEYATDSCMERILERYERYAYAERQLGGAEIESQGCWSLEHAKLKARIEVLQRNQRHCMGEDLDNLSLRELQNLEHQLDTALKHIRSRKNQLMFESIAELQKKDKALQEQNNVLAKKVKEKEKEQAQQPQWEQQNRHDLNSSSLVRSQPIHSLSISETYHRGGDNEAEGTQSSQTNAVMHPWMLRQMN >CDP17842 pep supercontig:AUK_PRJEB4211_v1:scaffold_182:427911:435026:-1 gene:GSCOC_T00009757001 transcript:CDP17842 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQWIAKVKEGQHLSEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >CDP20820 pep supercontig:AUK_PRJEB4211_v1:scaffold_1821:23886:24248:-1 gene:GSCOC_T00007642001 transcript:CDP20820 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDFSQTSTFKINDVVVLNNQQQIIVDQLTGGSSQLDTISIVGKPGIGKTTLVNKVYRDPEVVYYFHIRVMCNVSQVYTKRDLLLEALWHIIELIDNILTMTNEDLGLVIYRAYIRFLF >CDP20821 pep supercontig:AUK_PRJEB4211_v1:scaffold_1823:21747:23274:-1 gene:GSCOC_T00011287001 transcript:CDP20821 gene_biotype:protein_coding transcript_biotype:protein_coding MHERTKFRLHSHDVPYGSGSGQQSVTGFPTVDDSNSYWIVRPVPDTNAQQGGTIIRLQHMRTRKWLHSHLLNTTLLDYIRKSKVAASEAGGITQGMGAYKVQVPFDGKPQTCVFLDTPGHEAFGAMRARGARVIDIAVIVVATDDGIRPQTEEAIAHAKAAGVRIVIAINKVRLHLF >CDP20822 pep supercontig:AUK_PRJEB4211_v1:scaffold_1827:378:2201:-1 gene:GSCOC_T00010625001 transcript:CDP20822 gene_biotype:protein_coding transcript_biotype:protein_coding MKETLLPFFLSFLLFTSSPSSAARPASNAVRDIDGKEVIVGAHYYILPVIRGRGGGLTLGSADNETCPLDVIQEQFEVKRGLPLTFTPVNTTKGVVPVSTDLNIKFFAATICVQSTVWKLEFDADISQYVIVSGGIEGNPGRETISNWFKIEKYDQDYKLVYCPTVCNFCKVICRDVGIFVQNGRRRLALSDVPFRVVVKKAEY >CDP20824 pep supercontig:AUK_PRJEB4211_v1:scaffold_1828:8205:8868:-1 gene:GSCOC_T00009596001 transcript:CDP20824 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLQLSNWIEIDFKGPEATCLLVHALGIIGRSSSWYHFRHSCSLSCQLFAV >CDP20823 pep supercontig:AUK_PRJEB4211_v1:scaffold_1828:2597:7196:-1 gene:GSCOC_T00009595001 transcript:CDP20823 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLLPTLRRPHLMVRRTSLVHPPTPYHSHWPVTSQTCPGKRNHKLELESQARVTNLVTFSVNLKAIKRLVQESALKMEIIPNPKEVDGVKVLQLETATGATIRVRSKIIYFQPPPPISSLIMLLNSVGNFLSRIKSIPSIVELDSLKMSGDGGLDLVSP >CDP20825 pep supercontig:AUK_PRJEB4211_v1:scaffold_1828:10665:18395:1 gene:GSCOC_T00009597001 transcript:CDP20825 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDIECIIDIQKYPGEYFCPVCRTLVYPNEALQSQCTHLYCKPCLAHVANNTRACPYDGYLVTESDSKLLIESDKALAENIGKVKVRCLYHRSGCTWEGPLSECTLHCSGCSFGSSPVICNRCGVQIVHRQVHDHAQSCPGVYQVQQADAAQVVASSGLTAATGAANQNQATSQAGAPTSKAQISHIAPPPLVPGQNSNQQANANSQAPATVPTTDQWYQQQYQQYYQQYAGYDPYQQAYTQYYPYQQLAVQQYPPQVLGQQPQIQVYAQAAAQVQPPSSSQPQVHPQTQSQPLNPGQPIAQAQPPPQPHPVQSQIKTQSQVQPHGATLIHSQPLAPQGQNQVQANPQQLPHPAVQSHAQIPAQANSIPGLPAPQTQHYNQPQAQPQPQPQPHPVQPQPQHVQAQYQQPHLPMHHPQPSLVHLQSHMLTQTQPQAQPQPQPQSQPHPQLQPQPQPQVQPQPQLQPHLRPSLPNQPTVPSAQPQPLPSSGHAVSGYQSYLQPQPPQQQPIPAHPASVSLPSGQMQGQVFPQPPLMRPPSQVPVLNQQQAQFQSQVQVSSMTTTQQQQFHPQAPQLSHPNQQHPVIHSNQHGLPQQYAQQQPFSNSAQGQLSHHNLVQQQSQLHPQGPPPMMQQSFNAYPQLQQNVANASVVQSQQPHNYVGRPLMPHQGAPSQPQPFQQSSSGFISGAQIRPGQFGPYQQSPNQNYANKTNNQLLTASDQQILQSGMTSTSGVLLRQGDGVSDKTNVVFEAGLPSQNDAEKVANASRVDSVEMKPLKSDVGISYEQDAARESTENSRKSESLVRDAGSELHGGIDGSGQPLTKLPLREERVGYSLEHSSDVKSNEVAKQRDTIGDVRTDDVEPHKDQKAIFVKAGDESLQASTGPVNQQKSAGPPILQSGPPVGPPSRTQLPGYPAMPGRPQGSGLLPQPRQPLNSNEQFQSPLLRQPHDVLPGGIPALGSTATFGRAPVPFGPPQGPALTALPPGSADPRGGIMGRAPQHGPAETEMLPKQRQSHFDGEHTKIPGSFERGPLGHPSGVEPNALRMNGKLGLEKSSLGSQDDRFKALPGEHLNPFTREPTWPRDLGSRPLDRGPHGLNYDARPTLDSAGGGPASRMLPPFHPSGGPGMARHDVEHLVPRSPDREYLGMKAHSHGEGSRRNLPLDPQGNPILETRFLPLPGYQQRGDHEGPEALRYGEHRVPGPQDHIRRTDLFGQDIPPSHFRRGELLGPGNSTSNFGPFPGHTQMGEISGPTNLPHNFQYGEPFAGDKPGGQQLGEPGSRGSFSLQGFPSDGPYAGDMSTFDNSRKRKNMSMGWCRICKVDCETVEGLDRHSQSREHQKMSLDMVMSIKLQNKKKFKASNDRAGRELGNKKRNFGQEGRGKKP >CDP20826 pep supercontig:AUK_PRJEB4211_v1:scaffold_1829:1397:4545:1 gene:GSCOC_T00011086001 transcript:CDP20826 gene_biotype:protein_coding transcript_biotype:protein_coding MHAASSIGSEGKALLTWKASLDNNSQSQLSSWSASINPCSTWVGVRCNRAGRVSVINITSSGIRGTLDHLNFSSLPHLTRFDLYDNALHGIIPSNIGNLSRLIYLGLDSNQFSGNLTDLARLDLGINKLSGFVPEEIGKLISLTELYLDENTLTGRIPLSIGNLSGLTQLYLSQNYLSGPIPKEIGGLGNLTLLSVHSNFLSGPIPEEIGNLLSLENLELSYNNLASNIPISIGNLVTLTRLALFSNKLSGHIPSAIGNLTNLVDLQLSLNNLYGAIPPELGTLKLLAYIRIFENQLSGALPEGFNNLTHLNVLQLEENYLTGGLPQNICIGSSLTRFIVSVNNFLGAMPRSLKNCSSLRSIAVDGNQLSGNISEELGVYPYAESIYLTMNNFFGQLSWNWSAYLALTELSISYNNLSGNIPSGLGMISRLQKLHLSSNHLHGKIPSSLGKLTMLLELKLHNNNLSGNIPSEIGQMSRLLNLSLSANSLSGSIPEQIGNCTQLLDLNLSQNALIESIPSQIGNLPSLATLDLSQNMLESKLPPELGEMKSIETMNLSHNKISGSIPKSFDHCFSLISIDISYNQLEGPLPNTSAFQKAPFDSLRNNKGLCGNVARLRPCSQSTQKKASRRTTKRVVFLVVAPILATMFLLIVVVGIFILARSRKRSVENKPQEFTRSMFSVWSFDGKMVYENIIDAIENFDPKYCIGVGGFGSVFRAELPNGQVVAVKKLHGMDDGALRRPKDFANEIRALTNIRHRNIVRLYGFCSHVQHTFLVYEFLEGGSLMHLLSNDETAAKFEWIKRVSIVKDVANALSYMHQNCSPSIIHRDISSKNILLDSEYQAHISDFGTARILRPDSSHWTSFAGTYGYAAPELAYTMEVNEKCDVYSFGVLALEVIMGKHPGDFILSTLSASSSTSTAYDILLKDIVDPRLSSPSKQESKQVTLVAKLALSCIEPILC >CDP20827 pep supercontig:AUK_PRJEB4211_v1:scaffold_1829:10586:13193:1 gene:GSCOC_T00011087001 transcript:CDP20827 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAADPGQRYKVSEVLRDASAAGLSVCRTWAFGDGGDRALQISPGIYDERVFQANKHGIRLILSFVNNYNDFGGRGQYAQWARNAGAHVNSDDDFYTHPTIKGYYKGHIRRVVTRFNTITRISYRDDPTIMAWELMNEPRCQADYSGRTVNEWTQEMASFVKSLDRKHLLEIGMEGFYGDTMPEKKQLNPGYQVGTDFISSNLLRDVDFATIHAYPDQWLSGKDDKAQLVFMQRWMSSHWEDSRTTLKKPLVIAEFGKSSRDPGYSLSARDDYMSNVYRITYGFARSGGTMSGSLIWQLMAQGMDSYDDGYAVV >CDP20828 pep supercontig:AUK_PRJEB4211_v1:scaffold_1829:14506:15484:1 gene:GSCOC_T00011088001 transcript:CDP20828 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSAYPTQISRIYPFACSNSIKTCNSYHYQHNGFKKEQIASYYSVNTSQIRPITDNNRNDYLVTVPLVSPAGGLATQLATGFNGTTFAFPNALDIDQANQIVYFTDAGAIFFSRNLSTIIQSNDTSGKLYKYDARTKTLSLLLNGLSGPLGVARVRRYWVSGPKAGFSEILVNLPGSPDNIKRTISGDFFAAVTILNRQTFQTTSSVGQRINGDLGIVEATVNLTAQYTNNLISEVQEFLGKLYIGPSTASFVSVYGP >CDP20829 pep supercontig:AUK_PRJEB4211_v1:scaffold_1829:26130:26581:1 gene:GSCOC_T00011089001 transcript:CDP20829 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRSFLQTPTYGNSITILSIDGGGIRGIIPGVILGFLESELQKLDGEDARLADYFDVISGTSTSGLVTAMLAAPNDKNRPLFAAKEIKDFYLDNCPQIFPQE >CDP20830 pep supercontig:AUK_PRJEB4211_v1:scaffold_1830:10279:11637:1 gene:GSCOC_T00002155001 transcript:CDP20830 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGKTTLANRVYNDPLILSYFHIRAQCTVAQVYSMHSLLVKLLCSISSRSPDEYLEMGENDLALKLYKLLKGNRYLIFLDDVWEIKAWNLVKSSLPNDANGSRILVTSRIQLQFKPDSKAYHLRHLTDNESWKLLQKKLFGKEGFPPTLGKVGSQIAKLCRGLPLTVVLIAGILANTAEDCWEEVAKSLTSSIVLHDEYCMKTLELSYNHLPDDLKPCLLYFGVFQEDENVPVRRLLWLWISEGFVQKTEGKRLEDVADDYLRDLVDRSLVMVSKQRSTGGAKACRLHDLVHEFCVKKAKEENLLHIVHGQSGRFILTGPSNPLRVCDQNTKNLMIWELMLEFPNVRSLLLFKEDDFGFWLLKLLRVLDLRKLVFRVHFPMEVLLLVHLRYLALCTRGVNFIPAAIANLSRLQTFLLRGNNADCFLPKTIWNIKTLRHLWTTNSAIFFFF >CDP20831 pep supercontig:AUK_PRJEB4211_v1:scaffold_1831:8356:9484:1 gene:GSCOC_T00011547001 transcript:CDP20831 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAHFDDCILVVTCLATNYLVACRKNWASCKTSSHCETKFLTCGEIPDQLTSCLSLATLNVSYNNFAGVIPVGRNFPRFPPDSFIGNRLLRGNWLGSVKHFSYISLLNSGPRKLMVLHMGSALHTYEDIMLSTENLSEKYIIGCCASSTVYKWSEGVGIPNTGNSLWSLP >CDP17870 pep supercontig:AUK_PRJEB4211_v1:scaffold_184:491081:499360:1 gene:GSCOC_T00011490001 transcript:CDP17870 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDVSKKLLTEVKHGEEHLNEEEKLKDRIWSESKKMWVVAAPAIFTRFSTFGTSVITQAFVGHIGATQLAAYSLVQTVLLRFANGILLGMASGLETLCGQAYGGKQYHMLGVYLQRSWIVLSVTSTVLLPIFIFTAPILRALGQEEAISEVAGTIADWLIPVLYAFGVSFTCQMFLQAQSKNMIIAYVAAFSLSIHVLLSWLLTVKYKYGIPGAMISTILAFWLPNVGQLLFVLCGGCRETWKGLSSLAFKDLLPIIKLSLSSGAMVCLELWYNTILILLTGNMKNAEVAIDALSICLNISGWEMMISLGFMAAASVRVANELGRGSAKAAKFSIWFSVLTSLAIGFVLFIFFLFFRERVSYIFTTSPDVAVAVGQLSPLLAFSILLNSVQPVLSGVAVGAGWQGTVACVNVGCYYLLGIPIGVVLGYVIKLQVQGVWIGMLIGTLVQTIVLIVITLRTDWDRQVLIAQQRINRWFIPSENDNRSESL >CDP17869 pep supercontig:AUK_PRJEB4211_v1:scaffold_184:266643:267005:-1 gene:GSCOC_T00011486001 transcript:CDP17869 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYFKARPEAGDYTIFMGLDNYESEELIKYGFLEDVWFHVDKMSSTHVFLRLHKGQTFDNISEGVLEDCAQLVKADSIQGNEVNNIDVVYTPWHNPKKTASMDVGQVVIIRFILFHFPH >CDP17868 pep supercontig:AUK_PRJEB4211_v1:scaffold_184:206715:212671:-1 gene:GSCOC_T00011485001 transcript:CDP17868 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYKILGVQRNASKEEIKQAFRSLAMQFHPDKHADSPKHLRDTATVKFKQLSEAYEILTDDRKRADYNISRYGTSSSSSASSWNTGCNQNHGYAKNYGYGYGGNYTHDYTASRGKRAGPSLQFELLFRFLNARTFVRTATITGVLIGAIYLIDDGVDALWNTKNSGKSLEDALESIKEAKAVKDKS >CDP20832 pep supercontig:AUK_PRJEB4211_v1:scaffold_1847:1374:4996:-1 gene:GSCOC_T00006225001 transcript:CDP20832 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLQFCWYGVRCNTMDQRVTALNLLSLEIKGALSASIGNLTFLEELILDNNFFHGTIPYSLGNLSNLRILSASDNVLEGRIPEELGKLSNLEFLKLSSNKLSGEVPLQLFKISSIQYLNLASNHLNGSFPSDFGLNHSKLHTFVVAENQFFGPLPVSITNASGLVILEIGTNALSGPVPMNMGDLIHLQRLDISKNPLGTSDSALPNSVANLSTELTSLRLDRNYISGEIPDNLENLVNLGNLAMSQNMLTGRIPKSIGKLTKLEGLYLSGNKFIGNIPGSIGNITQLSILEMRGNKLEGRIPVSLGNCTRLQGLDLSRNRLTGTIPKDLFGLSSLTYSLNLAHNLLSGTLPIEWVNLTHLGSLDVSNNRLSGILPVTIADCVFLEFLSLRGNILDVTGNGKLCGGVKSLQLPKCQRLLPSAEGKTSLFVVLILVFVIFPIVLLLAYVQQKCQKKLTSDSPLGDQFPKISYTELFQTTDGFSEGNLIARGRYSSVYKGSLNYSDKTVAVKVINLQNQGSRRSFTAECESLRNVRHRNLAKIITACSGTDYEGNEFKALVYEFMAGGSLESWLHPSSSNSMQPKNLNLIQRLNIAIDVASALVYLHHFCAIPVIHRDIKPSNILLDNELCAHLGDFSSARSLLLAIDRSRNEGIRARTIELVGTVGYVALGNYHTRFTSIFTNENLEYFFLRAELYPWHYCIFVTLTDFFVSPLLFTNTECGMGAPASTLVDVYSYGVLLLEMFTGKRPTNSMFKDDFSLRNYVKMGLPDQVMRIADPKLSSECETEFEKCLASIFHVGVTCSAHLPERRMRIADVLMELQAARYLYLKCG >CDP20833 pep supercontig:AUK_PRJEB4211_v1:scaffold_1849:3311:6845:1 gene:GSCOC_T00008296001 transcript:CDP20833 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTLLVCRGKRRAGGEGTSRNVRQRGDNLECDIHQSGSIDIGENEGAESNSTRMRTEARHDAADASSSANVENLQSEQVVRAAISRSPYSTRSSTHMVKEIIDKLTPEQENAIKDLGFAPILKILAFNLDNDYCSWLVDHFNAEQRTLNVHGRSLKVTPKHVGYVTGLNSEGLDVAALESELSLDDNLLTEFNIQLDNDGEIGLARLRTSLMKTNSSGTDFKVKFVLFLLCRLLCRRTKFAIRKSLLAVVAKVDNLKNMNWSKYILDHLIDSLRNQRQRNQFEFVGCIVVLMILYFEHFCIHGDAYTALCNRHIPRVEDWDEEVWKGKVDQLKVMGVFNGAQVDAMFEDHSVGEERRLEDGIEKIESRLQSIEDAMRDLKGQVEQFHQMVTRLVEMMEVNQKMVLQEMRRINAKSSIVCESCGMNGDGLHNFGDCAICLNRMMLQETALVKGCQHAYCVTCILRWASYKEQPTCPLCTRPFEFLITHRSPDGR >CDP20834 pep supercontig:AUK_PRJEB4211_v1:scaffold_1849:11769:15719:-1 gene:GSCOC_T00008297001 transcript:CDP20834 gene_biotype:protein_coding transcript_biotype:protein_coding MNATSQQQGQGQGQQQHPNIEGRHDDDAALSEFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLVRLVAVATQKFIADVATDALQQCKARQSAVVKDKREKQQKDKRLILTMEDLSRALHEHGVNVKHQEYFADSPSAGLDPVSRDE >CDP17871 pep supercontig:AUK_PRJEB4211_v1:scaffold_185:408932:411173:-1 gene:GSCOC_T00011551001 transcript:CDP17871 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIHIIEPGSVLRGRINLVGKYSSCGWLSAGERECPFLVRGTCYEKYLLQIRCHFFLWS >CDP17872 pep supercontig:AUK_PRJEB4211_v1:scaffold_185:608236:613333:-1 gene:GSCOC_T00011556001 transcript:CDP17872 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSFPITKISSAFMNPLLTDFNFPPFDSIETVHVRPGIRALLKQLEGELEELEKTVEPTLPKLVEPLKKIIDRIGVVWGAINHLKSVKDSSELRAAIEEVQPEKVAFDLKLSQSKPIYNAYKAIRESPDWDSLSDARKRIVETSIKEAILSGIALEDDKREQFNKIEQELARLLRKFEENVLDATKSMKRGITYDIIILVHVFMSVMQHARNRTLREEVYRAYVTRASSGDLANTTIIDQILQLRLEQAKLLGYNNHGEVSMATKMATVDKALELLEKIRAASWDPAVKGNLLAKKRNDENKNGKNNSNNKFLITINILGSTGPIRFVVNEDDTVSEGMDAAQKLYAHQERFPVFGSDVNSFLLYPANAGLDSRDLVNPLLTYMRNLVLCKKQRQPQMTAASKVGDDFS >CDP20835 pep supercontig:AUK_PRJEB4211_v1:scaffold_1850:2587:3258:1 gene:GSCOC_T00009816001 transcript:CDP20835 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLQTSCFSIIPSLNSKFNDSNLIIRVAEKQRKQPRITSFAAKSGGFSLNSILKKCERCGGQGAIECPGCKGTGKNKKNGNIFERWKYVFFSK >CDP20837 pep supercontig:AUK_PRJEB4211_v1:scaffold_1857:16875:19947:1 gene:GSCOC_T00012163001 transcript:CDP20837 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMRVLILQVVVFLLLGGPLPTQALTHRYKFVVKEAPYTKLCSTKNILTVNGQFPGPTLRLRQGDTAFVHVHNKGKENITIHWHGVKQPRFPWSDGPEYITQCPMRPGTKFTQKIVLSDEIGTLWWHAHSDWSRATVHGLLVVYPKKGSSYPLGFPKLQAEVPMILGEWWKSDIQEVLSEFSSSGGEPNVSDAFLINGQPGLLYPCSRQDSYKLTVDYGKTYLLRMVNAAMNNILFFSIAKHRITVVGSDGSYTKPLNSGYIAISPGQTIDFLLHANQSPNLYYMAAKAYNSASSVTFDNTTTTAILEYRGNYTPPSSPSFPSLPTFKDTIASANFTGSLRSLASKEFPVDVPKNVTTNLFFTLSIKSLPCETNNTCKGPRGNRFAATVNNMSFVSPKIDILQAYYNQINGVYKPNFPSFPPLVFNYTARNLSVSLQTPTRTTKVKVLEYNSHVELVYQATNLVAGIDHPMHLHGHSFYVVGWGFGNFDKDKDPKNYNLEDPPLMNTIAVPKNAWTAIRFKANNPGVWLMHCHLERHISWGMEMTFIVKNGKRPQEKLLPPPPDMPLC >CDP20836 pep supercontig:AUK_PRJEB4211_v1:scaffold_1857:2639:4517:1 gene:GSCOC_T00012162001 transcript:CDP20836 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEETPYTRLCSTKNILTVNGQFPGPSVHIRQGDSAIVHVHNKGNQNITIHWYAAEFFFFALLQENSSKASRVTDEIGTLWWHAHSDWSTTKVHGAFIVYPKRGENYPFPQPHAEFPIILAEWWKSDVQTVLSEFLSNGGGPNVSDAFLINGQPGDLYPCSKPDTFKLAVEYGKTYLLRMINTAMNNILFFSIAKLQVTVVGSGGSYTKPFKSDYIAIPPGQTIDFLLEANQVPDHCYMAARVYNSASLASKVDVPLDVDTKLFLTISVNLRLCEANNTCAGPAGLRFAATVSYILYILKCNVSHIQDLKQFSIHFAYL >CDP20838 pep supercontig:AUK_PRJEB4211_v1:scaffold_1858:4062:9783:1 gene:GSCOC_T00011254001 transcript:CDP20838 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILGAIVGKIVEKCIDPIMRQFQYLFCYRSNVETLKNGIKKLKQTKTEVQRLVDAARNNGEKIKPIVTDWLSQADDLEKKAHTIFEGMGNVKVNCFKIVRLPNLKSRYLLGRHAAKRGNDVEKHLRREGQFDEVGYLPPLGKMPLSASTISFASRMSTKKGVMEALKQEKTSLMAICGMGGVGKTTLVRQIADQVKSQKLFDEVAMATVSQSPDMRNVQDQLAEKLGLKIAEQTDLPRAERLCTRLTGRGKRILVILDDIWKKVDFESLGIPVKGECKSLKVILTSRSSNVCRGMGAEIFEVNILPKEEAWHLFKKDAEISDDSALSGVAKQVAEECKAKSLLLLCSLFPEDYSIPIECLVRYGKGLELFPDRRWLVDVRDKVDTLIGHLKSSHLLLNDIENEDSVKLHDVVRDVCLSIASKDEHVFLVSNSGLGEKNSYTAISLILQDSNHDLLPFCKEYPRLKLLRLVFQSGELNLSEDSFVGMEALRVMELNHSQIEFPLSWPGQMLRSLRTLCLDYCVLGTGMSSMLGHMMQLETLSLFQSEILDDRFPAEIGQLSNLKLLDLRVESSLHPLPSGILSSLKKLEELYLGSRDHLQLGRDKEEEIRCLKEISSVSNLACLQIVLYDLNLLLLSLQEFDTQKLSRFHIAVANYEEAIEYLSKKYQFRKSFGLYLLDHGDEGLNQVFDSHNVTSIVKITENLTLVLGGSSGSRNLVPDLGGNGFINLKKLHLSSGQYECLIDSTGNLLAGHVFENLVSMKLGRLELKEICNGFLPPGCFNQLQEVTLRDISALECLWKGSIEPPSLCNLRSIEVQYCNKIKTLFSQSTLKCLVKLQRINVFGCKNLERVVPREESLTEEVLELPQLKVLDLRLTNFIGFGSEDAVAFFDQVSLPRLEVLYLVDPSDGPEQLIGGKMPSGSLDSLKSVNLWECRSIRCIAKADTVALLQNLQALDMWICTGMESFFDFEGLKVPNTPSEKALEILPKLESLCLRDCLRLTHIWRNFPEGVRVFQNLRSLYVWKCPLNCLFHPPSVVTMLISLEKLDVRQCSEMCEVIGEEDEEVSQEDNTQHHIVGKRREIALGRTNKEFVFPKLNSLRLEDLKNLRSFGGRHWEDYEFKFSLLTELFIMNCPKFKKFCSGKLDAPWLKKIQTAPRDIKDFEAPVDLKDREICPYDEVV >CDP20839 pep supercontig:AUK_PRJEB4211_v1:scaffold_1860:11659:12100:-1 gene:GSCOC_T00007466001 transcript:CDP20839 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSNGRKKVILCQETSGIVRGKLDQLRRCFEDQFAVGRDLRPGQLGNMIQTLSNW >CDP20840 pep supercontig:AUK_PRJEB4211_v1:scaffold_1866:10050:11034:1 gene:GSCOC_T00002333001 transcript:CDP20840 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVISQSKLLLLSAAAFKSLEPTARQAAEREEQKIIIGNQRDYTDQIINGIILVMLTAGTNTSLVTIEWALSLLLNHLEVLEKARAELDAQVGTDRLVDEHGIGVSMPKVKPLEKTCFRN >CDP20847 pep supercontig:AUK_PRJEB4211_v1:scaffold_1870:3988:5374:1 gene:GSCOC_T00009092001 transcript:CDP20847 gene_biotype:protein_coding transcript_biotype:protein_coding MANTAETLEAEIRIKFDPDEYFHTFAGKAHQLPSLCSDKMHGIDVHEGDWKTTGSVKLLTYAIDGKVETVKERIGVDEENRTITYEVLEGHILEQYKTYKAKFQVISKGDSNFAKWGIEYENFSENERPPFHYLQWLVHAAKDVDASLLKAHENK >CDP20848 pep supercontig:AUK_PRJEB4211_v1:scaffold_1870:16293:17183:1 gene:GSCOC_T00009093001 transcript:CDP20848 gene_biotype:protein_coding transcript_biotype:protein_coding MASPILAGKLEAEIKIKSDPDEFFQSFGGKAHKLPNLCSDKVHGVKVHQGDWKTKGSVKLWTYAIDGKVETLKERLTVDEENKTVTAEAVGGHILEQLKSYKASLQVIPKGQSNYAKWTIEYENINENEPAPTKYLHWLIHAGKDVDASLVKA >CDP20849 pep supercontig:AUK_PRJEB4211_v1:scaffold_1871:4363:5200:-1 gene:GSCOC_T00005697001 transcript:CDP20849 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKVGVITLLLCATILLGLKPEHASAKVCPFFCYAEVAYMTCPPAPYKKLGPVCNCCLAKPGCKLFRADGTVICTAS >CDP20850 pep supercontig:AUK_PRJEB4211_v1:scaffold_1872:14491:14604:1 gene:GSCOC_T00000462001 transcript:CDP20850 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPMVRFATTKRVVELKFFMEKPMNFETLPIVFNK >CDP20851 pep supercontig:AUK_PRJEB4211_v1:scaffold_1874:9679:12800:-1 gene:GSCOC_T00000943001 transcript:CDP20851 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTTCDQPFAFQCSSPLDVKKVCEHRKHCLDYLIPVDDDDDGELIIGPPGRVFRSGFPEYARNVGDYTSREYPQRDFAAERFRDYWALPVYHHPTQDLPSGVLEIVASSYVFSNVLPEVNLTTTRVSVAEVNSGEYLKYFISPSILHNLGWSFRLFILILLSQTCTGHQEEEIAIIHIVSIVVSTIHKLPHREIWSASGEMLSSCDGDFIQKGQGVVGKAFSSKSACFCRDIRQLSITEYPLVPIARRLKYSACFAVCLQSYCSNNCIYVLEFFLPTTLLNSLMETLKERLGSSFKIASGQELGQKLTVEVIKVSPEDKFDSFEICNTTSSESTPGLVEEVSIAHDEPIVEDPLRDGASIEQRDNEVTNLEVQKPSWTLKSDLGITREVLEQNSTRRLEDAAKNIGVSRSTLKRICREYGINRWPPRKARKVSQAFAVQKTVQPSTEDTHEHHQSNATRLEDDNGMWVKAKYQGRMIKFRLPFSASKINLEENVAQRLNLAMGSFIIEYQDEDDDRIWITCDGDLRTSMSTLSSLGRTTIKMYIVKDRPNRRDQ >CDP20852 pep supercontig:AUK_PRJEB4211_v1:scaffold_1877:11846:12058:-1 gene:GSCOC_T00008302001 transcript:CDP20852 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIEDNNTMVFIVDIRADKKKIKDAVKKMYDIQIKKVNTMIRPDGTKKAYVWLTPDYDALDVANKIGII >CDP17915 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:389921:391538:1 gene:GSCOC_T00004320001 transcript:CDP17915 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCLLIGNCRIEIVLLPLLQYTLIFSGTLKEEYSLCVEIKPKCGFLPTSKFIDEGNAMKRSVTRLKMYQALKLHDRTPIFFLFHIMHQILEISDYDPLDMFSGAKDRVHKVIKALYNTSQINFGVFLNGSLVFGSLDGGTKSTNYMVGQDIEDALKHVIMADNGMVTEKLLELITEALLRSGLLDRLLEFPTQKRGDVNSPYDLLFLESTNQSFNYKVSFIDLDMKPLKKSGVLL >CDP17914 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:357934:362311:-1 gene:GSCOC_T00004319001 transcript:CDP17914 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAYKAELLIDLTLVGYKCEDSLDAIARDINILKIEALEIQNGQIQRVNKTSIHIPSQLTAAIHNEDLVGLDDKVKSIIDRLRSGSKQLDFVPIVGMPGLGKTTLANKVYIADSVMSHFHVRGWCCVSQIYSMHSLLVQLLCSISSESPDKYLKNDENDLAENLRRVLLRRRYLLVLDDLWDVEAWNLLEKSLPNDANGSKILFTSRFQNLSSQFKPDSNPYHLLPLTDEESWTFDKKIHRMENSCPPTLSEVGFQIAKTCRGLPLTVVLVAGILATTAQDGWEEVAKCLSSIVLDNKDCKKTPELSYSHLPDYLKPCLLYFAAFQEDEVINVRRLLWLWIAEGMVQQTKGKSLEESAYDYLMALISRSLVVVTKQRTMGGAKGCQLHDLVHEYCVEKAKEESFLCVMHSSKDPFSLAGPSNHHRVRAHNIGELKIWELMLIFPNLRSLLLSGPDGGCYLTNEEELGILLPKLLRVLDLGKCIYVPMEVVSLVHLRYLRLSYLHLAGWPNGFIFPAENLEVSPNLDHLDTLNLAIDPSSQSLQKILAKLPSIRRLKCFGLHSGPRITFATRSCEEIFEFDSMRKLESLHLIQFEGYGFKFPLNLKKLTLSGNCQPRSEISTIGKLPNLEVLKLRSESFVGEEWVVKEGEFPKLRFLELSRLSIRNWTATSDNFCHLEKLVVCNCLKLEEVPACLAECLTLEMIEVKWCRESVANSVKQIQQEQMDSGNEVLKIIIKNYTNCS >CDP17904 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:109566:133287:1 gene:GSCOC_T00004304001 transcript:CDP17904 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVLASLLLFLVLYCRTTLEELSSNCPQRSCNGTTAAGPSPTPSSGSPQLPQQEVDAVNTLLDLAPTSQRLVKHISVTDCNSTTFRSIIECSCNNSTNACWVTKIDLHNFKLRGGIPENIGSLTYLESLDLSGNYLNGQIPESFRNLSRISEIDLSSNHLSGTIPNCFQNLSQITEIILYDNYLGGAVPIFFSEMKNLTFLDLRDNFFNGSIPREFGTSPALELLYLDDNFLCEGIPDELANISSLTYLSFTNNQLSGQLPQQLGNLSNLDSLYLENNLFSGKLPPSLESLKNLVIFIIQGNDFSGRIPDFISKWQNLQELDVRGNNFEAPIPDAVSNLSKLKKLSMNDMVGADKPLFPRIQNYGSLQFLTLRNCSLTGPIPDHIWKLKSLIYLDLSFNSLVGGIPPEVDLPNIQHIFLRRNNLNGSLPVWLTELKWIHVDVSENSFTNVTIPKDAFSPKKNFFACCSKVNTEGMNWLETNYSCGNSSKRYEHLYINCGGNAETINGSNYEADLDPSGESRFYLSSNGAWGFSSMGIVELVKNQKYTLNKQCNISLVDAPLYKDARVSSISLKYYAFCLKNNHYMVRLHFAEIGLDTPKNPRIKRSRVFDVEIQGKKKEKNFNIEAVAGGSPFGRYRGPLISAISISPVPKRHRKLSSAIIVGIVVSSILAVILILALFWKFGWLGGKNNKNVEQKGIELFPGGVLNFQQIKAGTNSFDPKNKIGQGGFGDVYKGVLRNGTIVAVKKLSAKSKQGAKEFINEIGTSYALQHPNLVRLLGCCAEQSELLIVYEYMENNSLEQALFGSAEVKSRLNWLIRVKICRDVAKGLAYIHEESRLRIVHRDIKPTNILLDKDFTAKITDFGFAKHSKEENPHAITRIAGTKHGKEENPHAITRIAGTKGYMSPEYLQGFLSTKADVYSFGLVTLEIVSGKQISTFRAKDQNIYLLDIAYDYQHQGNLIALVDSSLGSDYTHNEALKVLDLAMECVNPTPKLRPSMSKVVKVLEGIVKDVEAKWKTKTSSTGNTSLGDDSSMAKPDFAFSHSTQSAGSTSQEGASDIIICPSTSKEIDDSSNSDD >CDP17911 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:325374:328233:1 gene:GSCOC_T00004314001 transcript:CDP17911 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKGRQNNTHKPTKPDTPPPTNNVVSGAMSDCKLPEDLLLCILTRLPVKTIQRFKCVCKPWLKLLSTPKFVKMHREQTTKNPQNHSLIIHSIDEVYYHNMSLLNINSTAEQPINLVNPFPVIFKEMDLVGCVNGLVCLSCPPFAQMIVLWNPALNLSKGIRQPKGVIDETIDRISLGLCYDERNDDYKIVMITCLKPDGYSPKNFLRAYAEVYSANLDSWKRVRLSFQFSITPTRNNVIVKGRPYWTAIIYDPVKMFREVMLWYDVENEVFRHVPVPDYNMDCTKGGRFVEWKGSLAILVYSPTRERDDFVDVVVYDEGKGRWDTKSCHGPIGLKMERHMQCSKDGVILAETPEGTLFLYDPVTNAIKEFRIAEAMKTSYEAFSYTESLVSLKGMEKVKEQDKDKFCIKMEDLVIN >CDP17917 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:430188:432503:-1 gene:GSCOC_T00004323001 transcript:CDP17917 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIISLVVERTGDLLIQKIVFLKDVRGQVERLQNYLVRMRCFLKDADQRQDEDARIRNWVSEIRAAAYDAEDIIEIFASKIESIKDKGFVTRLAYYPWRMVSLNKIGKEIESLQTRLDDIAASREKFGIKNLGEGTSTHGEELQRLRRSSPLSEDKDIVGFEKITKSLVAELLKADRNRRVVSIIGMGGAGKTTLAKKVYNHADVRERFNCRAWVCVSSSYDYKKMLRAIIKQLNPITNELLDMLEKMEEEDLERRLYQDLQDKCYLVVLDDVWEEAAWDCLARRAFPDVGTSSRVLLTSRNRDVAQHADAYRHPYELKTLGQEDSWHLFLKKALGDGANAGCPPDLEEVGREIARRCGGLPLAITVIGGLLLGKNKSKTVWEEVLNNFSAHLSRSRSEAGAILELSYADLPANLKFCFLYLGLFPEDSVISVRKLIHMWVAEGIMQKRDAVNLEEAAAYDDVERLCSRNMVQVAEMTVDERIKSCRVHDLLRDLAIRKAEDEIFFQIHDTRDDQISAKSRYLAVHSLPLDKNYLGSSTPPLRSLLFFNVREVSLGFRSFRKLRILDLEDVKMGYNLPKEIDKVRLLRYLGLRDTYIGKLPHSVGCLRYLQTLDMRRRYANWKVKVPNFIWKLESLRHLYAKGMECDVPLKIEGLRNLQTLSGIRFDDIMHNDMTTLTSLQKLGIWVDEWSEIDELCMHLSEVGSLKKLHLFADAIRLQPILAGLSNLHRVTELKLSGRGLFMLPPDFPPNLSRLSLSSTWLEDDPMQH >CDP17913 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:346039:347413:1 gene:GSCOC_T00004318001 transcript:CDP17913 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQRGNNRSLDQALNHQAKKPPHFFKVVLSPMDRGIKIPTAFMRDYGESLEQVVVLKVPTGASWPIELLQTEFGTWLDKGWKDFAEYYSIRECHFLVFEYCGDSQFQVIIFDPSASEIEYRLEAPEDHGMHDHKNQPPMRRRRTMFQKSDEVESDDDSFEILGEVSAAASCHTKQRRKSAQIHEEQDSESRKNENVNLLDLSAEESSEGVPSTRQSAIAKSETVIDKEKFISYQRAKTFTSENPFFISFMQPSYVTYSCKLFFSPVFAKKYLKECKHWDMELRVSEGTKIWPVTCYIYTTKAKIKRGWEEFVLDNNLMVGDVCVFELMKDNRMFNVTIYRRN >CDP17908 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:242093:243429:1 gene:GSCOC_T00004311001 transcript:CDP17908 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYVPTDSCRSKPDSKCLEKNHESYPDLVKDGYQSYKEFKKKKKLKIKAKQNGNDLCSTSKARTISNEIIIEDLAAGESGGKVAAPGRKIKVFYTVRSRETGSLLESNLGEEKPFKFRLGDKKVIQGWNLGINGMRTGDRRRLIIPPSMVYGERAPDVFPSDSWLVYDIELVSVR >CDP17922 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:610228:610905:-1 gene:GSCOC_T00004329001 transcript:CDP17922 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSDDKPMEVCKRPSRISNHFKLPKACIKKGQANYAYTTAYSRRELSVKACSPSSSGGEKKTARRSFLSLEEAGLVEVSGLSPHERFLCRLTVSSLNLLKVIAEQEGCAIEELNAGRVCDWFLKDKLKREQNLESAVLQWAGRRNPLYIGGFPAAWGGLSPHQPPLKSVAVYRK >CDP17916 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:400997:401840:1 gene:GSCOC_T00004322001 transcript:CDP17916 gene_biotype:protein_coding transcript_biotype:protein_coding MEIICNQRQRRIQFNSPAQTTGAAATVLLHKQASKQAAEREERMIIIGNQMDYTDQIIKEIILVMLTAGTNTSSVTIEWALSLLLNHPESFDWKRISEKEIDLAERTRVSMPKAKPLEKT >CDP17907 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:234941:241114:1 gene:GSCOC_T00004310001 transcript:CDP17907 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTTMQEEMTFWGAYVTLNEPYILNSDGKRLRITQATLEPQKDGSLTRARSILQCTVGSKPPVSICSLSKEFTFTQLDLELEESEQVIFAVKGPQIIHLSGYYVPSQAVSKREASSSTDDLIIDCNGEADKVMAENREERKLTAVERDIANTFSMLDCRRDDTPADEEMVNEKVQLHAPESILDGEDEDYVPCMRKSAATAKKMLKKGGEDADKVIVQASKLLKAVDCIKEETADGVSRPSQQEREESLMNNLHLASSEVGMEKCIEQEKISVEPFCKEDASHSNTLSCWIANFTCIFNTQLAKIGEARKQALDGDIGGSPPLPSMFVLPSCEPDLQKSLRKEKKRIDGCGNEKKTTGGDTEYQKNAIKENEVQQAHKESDDLYQGCAGSQHHWKSFTSIESSGSFHSPSKAGLPCQIDRLNNGKKKKKRKEGCDELKTIEAIVYHRRNALKENKVNQSHTESDNTCQGRAGNRHDQILAKNIGEMGESSPSLPRDEVHDAVDQKPKERLTNGNVQVWPKTNDDAKRRIDNRLTFFSGESKCPNGSIPLPAEDRSKNGQKSKKRKKHGALDQNYVNGDGDAFQKEIFSNIVADMGSMRNHGDLIHESCKCNTKNLLTEAKFKQNIQGTENMEIVLPTNIEHQMPMDDTK >CDP17910 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:273196:275986:1 gene:GSCOC_T00004313001 transcript:CDP17910 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSKDGVILAETPEGTLFLYDPVTNAIKEFRIAEAMKTSYEAFSYTESLVSLKGMEKVEEQDKDKFCIKMEDLVID >CDP17919 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:545218:550567:-1 gene:GSCOC_T00004326001 transcript:CDP17919 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSSGNTGCFDFASDAYLHSYHISCGSSTSCFDLALDCLAKFDKFYFHWDIKNLKKKVRLLKAFFLYIKNCRRRRNREALLEHDEEDKGNIMSQSLGRSIICFRIQDVTSRMVHDLQSAYLLYNHSDESDFDILESALTRSRENIMLLLEADIKKSCTIIFFDYYSPGDPRLVMDLIVSFLETMEDPLEVHFNHKRQKLMDTIRQKLMLLRNLIGFATMRGVESMQLTDLLTHVAIVVARLISICRFDRLDEQVFNQMESEISRLIHEKINPLDPQVRETYIHVMTASKKQSRSSYALALEENEHPVLVEFVNSLLYHLMDLLESCGSFQVPVKDQMLKLHWGVRCLGFLLKQELKLGDEINDLIGVMVCDAGILIFSLSINEIKEGLPEDTDLGLFHFHKVLKCMVAEAANNYPLTSPYSSSNYPRSNELGCMDFFLENLKELARCAEADDSIVSRLDRIQMVEKDLIFLRSFLENVKEQSDQNGKLQALRSQVMEAAYKAELLIYSTLIGDQCEHSLDAIQNGQTQRVNKTSSHIPSQHTAAIHNEDLVGLEDEVQAITHWLMRGSKQLDVVSIVGMAGLGKTTLAKKVYTAPSVRSHFHVRGWCCVSQTFSTHSLLVQLLCSISSKSANEYLKMNEGNLAWKLRRVLLRTRYLLVLDDMWDVMPWNLLEKSLPDDANGSRILLTSRIQDLSSQIKPDSKPYHLRPLTDRESWTLLQKKLFDKEGFPPTLSEVGIQIAKYCRGLPLTIVLVAGILATTTQDSWEEVAESLSSTGLYVECCRMTLELSYRHLPEYLKTCLLYFSAFQEDEVINVQRLLWLWISEGFVQQTEGKSLEEVAYDCLLALINRHLVMVTKKRTTNGAKACQLHDFVHEFCVAKAKEESFLHIIHSWEDPFSLIGPSNHRACVHHTSELTIRELMLIFPNLHSLLLFGPYHHVPKKEDLGILFPKLLRVLDLGDLNFRGSFPMEVVLLVHLRYLALSGITSIPSAIANLSRLEALVVKRTSTDIVLPNTIWNIKPLSYLCITNGAIVGFIFPVRNLEVSPDLDHLEALSLAIDPSSQSLQKMLTKLPSIRRLKCKRSYGLGEATRNCDKILVFDCLNQLQSLRLTAFDGYGFKFPLNLKKLTLKWNRQPWSEISTIGKLPNLEVLKLLKESFVGEEWAMEEGEFPSLRVLELSMLDIRNWSSSSDNFSRLEKLLVDSCMYLEEVPSCLGECETLEMIEVKGCRESVAASVMQIQEEQLDMGNVVLKTQSQFPQQQSQISQKKSQMPQNRSLFPLITPDNAESERTMGFHSSADFLGRRVYFLSFTLRQWRRLKHSCLFLIWCDHSTHQGQAQACVGVVR >CDP17918 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:458067:459380:1 gene:GSCOC_T00004324001 transcript:CDP17918 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRVLWLLASYSVLTCSVAEADASISSQRRVYGLVSVAKYFMQNRTYGAGRGVSLGPLLAVLQDKVFIDRYVITAKYPSLKGINFDLPRVIQHALVYPGAEHVGGDMFGAAVYALV >CDP17920 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:597733:602577:-1 gene:GSCOC_T00004327001 transcript:CDP17920 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAGDDGLILPLEMMASAAGAGSSSSLNRLNSALKHIKNRSAILSKVTNDWKFLRKLWKSAHKRSEAATDEEKRSTDICLKIEATAEEIAQELTRFRTEKMVDDHHIKDEEALNELAHDCGTKTKRLIAKVAEALDRLYLMWSRGGGGGGGGSSDSSSFVFDDSFCKYLLLYVRSTVSLMAANHWGYEDSGVMIHFHSLYYNTRNIEHYLVSLFHARKFLGSGATTDARGLPSFDACVGHVLSLALRIASWCSDCWLNCKAGRIQVMKGELVEFLVNLHNEIHPSNPKFMGFHLNFLMALSCSETVAFNDFLRSFCNYLFCGRDGHFRHKVFSLLQLFLYATSVLDDEDTARSFIPEIHAVLVEMASIFESTGRNGKKLDNSPRCSELLTKICLLEAELFLVVQIHGTKRSRKISSLSSSMLPDFEDIMDNCRQIPKSLRTYSEKLPLGTRLDGKKLLALIESTFKEEKTLYESSRRKEITASAAKNSLLLLRFKIVIFKGESFLTELLLLKGRNDERLVARGKDKMKLHLQKFEYITLILSDERIKDRDVLEAIGESLRRLTCFSYYFLNTRDEMTNLPISELLDEAKHLTKAKLTEIIPKFPKFDFPKSSNLNFIDFIWRNLGEPLKYNPASTALAKHHMEEIQIHLQSLKSSLENVSQLDIDEHPELEDLVDRVTDSAYKVEYIVDSIELDAQWQYFFWLDNVLEELRLLSEKARKIHLTTPDATVQESKNVTLVSLVRSSRNSTTAIDEIVVDISNRENEIRNQLIWGSSELDFVFIAGMPGLGKTTLAKKVYSSLNVTRHFHVRAWCTVSEKFEKKRLLLEILTGICEPTEEIRQMRDEDLKHELHKLLLKNTYLIVMDDVWDAEAWNYLKDSFPNKNNGSRILFTGRDRSVALEIKPEGEGYPLSLSLFSQEESWQLLKKKVFKEEGCPDELLGVGNDIAYRCQGLPLAVVAVAGILKMTEKSQNSWKRIADTLSSQIIDNEGAWCKEVIDLSYKHLPEYLKLCFLYLGALNEDRDILVSKLIRSWIAEGFIPETMEGFEDVAEAFLMDLIDRSLVIISKRRSNGKVRACRLHDLVLDFCKSKTKDQNFFQLITRSDNPYASFPSTDYGFEFDFYYHSSPASFASYRLAVYLKRNHFVESNPSGLATRSLLFSACTDSKPDRPYDISFIWNKFKLLRVLDFECFNLGISFPVEIGLLVQLRYLAVGGYLKSIPQSIANLRKLRILIVNGLSGKIILPNTIWSITSLRHLHVKVHVAFDSDDKELGDGSMLENLVSFSCPSLSCGEDAERIIKRLPNLCKLSCIFYESPDSSTNCNQFPRLNCLTHLESLKIFYCGSPLNNGEFNLPLNLKKLTLSNFCLQWIRISTIGRLPNLEVLKLHSGAFEGKIWNVEEEFKNLKFLSLDNLNIAQWNASCHNFPKLERLVLQNCKDLEEIPEDFGMIGSLRMIEVHWCGKSAEESAEQIKEDYGDIKVFIRSSNLRS >CDP17905 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:147218:148188:1 gene:GSCOC_T00004307001 transcript:CDP17905 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSTLCVWTTILVRLPILRWFKILTQLIQFDMSSHDFIHFAHACISIAVLCDLIIFIGSLKFPALRVVNKLTLLPACSLLLLSYLLFEPTLLLCGGATGISHSWNSIEGHICGRYKELQLWRYSHYYNQFKAHTDSLKLEATLQDRLQQKIVILEAKNLESRDFSWVTDGFNRLFRSRRILSYSYPFAYYMFGDDLFKNKFLCQV >CDP17912 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:334672:335526:-1 gene:GSCOC_T00004315001 transcript:CDP17912 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGSFVPKNLLKVLSSDPHRIPSIVIRCASTSLAPTKPPVKTNTPQTNAATDSTDEKMDTNYIGGSLFTNYI >CDP17906 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:185604:187660:-1 gene:GSCOC_T00004308001 transcript:CDP17906 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILILAVILMIMRTKGRRANLSPPLGLKVTGSSPSIYTVVYHLQVLTSWGRLHARRPPERRSSHSLLPSIQGRPSLRKATADKKSSKVSHEVSLPSQSSYGQAVVNGINVLCGVGILSTTCAVKEGGWVGLSILFIFAVVS >CDP17909 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:248363:250385:-1 gene:GSCOC_T00004312001 transcript:CDP17909 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYHKLYLCQVAASCILILFFLSHQSFADVPPPVGSPVSSPVAPISSSNACRYTPDPSFCKSVLPNNNSSANVYDYGRYSVRKSLSAARKFLSLIEKYLRHSKDLTITAVRALQDCKFLAECNMDFLLDSFKVVNGTSKILPTLEAEDVQTLLSAILTNTQTCLDGLQATASTWSVRNGLIAPLANDTRLFSVSLALFRAWVPKKKKIPSHPTRKQLPVGNGTLPLKMSEQHRAFFESVSRRNLLQTTDDGEDQVMINDIVIVSQDGSGNFTTINDAVAVAPNNTDGSTGYFLIYITAGVYAEYVTISKNQKYSRIRTLDFSVVGQGFVAVNITFRNTAGAIKHQAVAVRNGADLSTFYSCSFEGYQDTLYAHSLRQFYRDCDIYGTVDFIFGNAAAVFQNCNMYPRLPLNFQFNVITAQGRTDPNQNTGISIQNCTVRAADDLASSNSSVETYLGRPWKQYSRTVYMYSFLDSIINPLGWHEWIGDFALNTSYYAEFSNTGPGSNKSGRVTWPGFYIINATDAANFTVSNFLLGDGWVWQTGVPYTSGLLS >CDP17921 pep supercontig:AUK_PRJEB4211_v1:scaffold_188:604078:604950:1 gene:GSCOC_T00004328001 transcript:CDP17921 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHLKNLFTRYQEQFGCGPGLGPGSGACLLKVDGITPPFIKSLYRTAAVLYRTDPWKLLRPEHLFGIKVGKDSDWSSRKQPFPCVQFIGGNGGDIGIYMFRAHDDAKKMTASRETIRVPNTELLRVTYELESIMFPSNKRMIKSLALEVSDTDRFPVIDVVRCTSSVELQFRNPTLEELKFAYAVLRAIPLVHPLLRQDYDAGPKWSRMMYFESFIETVDVQWPLEMARANDLVAVTVSHPPAHRFCTGICRTSSRLRSPMGGGAEWRRGNYTGNFLVLIFTSEKEPQQ >CDP20853 pep supercontig:AUK_PRJEB4211_v1:scaffold_1881:19346:22837:-1 gene:GSCOC_T00007290001 transcript:CDP20853 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFQPLTSMLKILILGIILLHQQSFFLVQSFNFSFQSFNPGICDDSESRLICLGSVTATNGTLNLNSDESQQNQQQKNQIARVLFRYPVTAWPASFSTTFVLRILTNLTISGDGIAFVIAQDDKLSPHESFGSYIGILDPSTEGGILRQLAVELDTYKNEHEPDNNHVAIVTTSVQYPIASKSLNSNGVYLRSGKEITVEIDYDGWEKDLQVSVAYSGNPLINVLSQNIVLEDTVPQSTYVGFTASTAYFFETHQILSWNFAYYNLLRKLLKHGVKRNKPKIALSIVVPTLVVSTLATRHFNKENLLRAGGFGCVYKGVLLSDPPAVIAVKKITATSGQELQIQEEFQFSLFLLKIKKKCTIGRLRHKNLVQLQDWCHDKDQLLLVHEYMPNVSLDRYIGKVSLGWKIRYRILSGLASALLYLHEECGNPVVHRDVKPNNVMLDSEFNAHLGDFGLARLLQNDNFVNTMVAGTPGYLAPGVSYTGRATLESDVYSFGMVVLELVCGRRSRGMMEENSLVDHVWTTHEKNELFTCVDPTLEGKFDEEEVRRSILVGLACMHPDRRHRPRMGKWFKSS >CDP20855 pep supercontig:AUK_PRJEB4211_v1:scaffold_1883:17950:21660:-1 gene:GSCOC_T00012731001 transcript:CDP20855 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSNYYSYVVVGESVVASDIEESCTVYKTAPVDLRRLPNVTAGDISFQDIHNLLSNGLELSWYVGPSWRRSSFCDVALKSVEIYRFVYRHVIGPYGYDYYFAIGGIVSRKASDL >CDP20854 pep supercontig:AUK_PRJEB4211_v1:scaffold_1883:16762:17724:-1 gene:GSCOC_T00012730001 transcript:CDP20854 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKFEEKLGEGGYGLVYKGKLRSGGAVAVKMLNKSKANGQDFINEVATIGRIHHVNVVRLVGFCVTASKHALVYDYMPNGSLDKLIFSNCRNGSPLSWRQVCEIAKGVARGIEYLHQGCDMQILHFDIKPHNVLLDENFVPKVSDFGLAKLYPMQKSIATLTAARGTLGYMAPELFYKKIGRVSHKTDVYSYGMLLMEMAGRRRNVDAHADHSSQIYFPSWIYDKFDQGKEMEIGDHATEEEKTITRKLILIALWCIQMTPEDRPSMREVLEMLEGDASGLKLPSKPSFYPPDSPISMQRSSDSSSSDESTAPSVTLLL >CDP20857 pep supercontig:AUK_PRJEB4211_v1:scaffold_1884:14314:14959:-1 gene:GSCOC_T00002539001 transcript:CDP20857 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALFNGCSLIFKDEKPSLSCDSVKLELDLTCSMCLDTAFDPVSLTCGHIFCYMRACKAGSVTIVDGLKAASPKEKCPLCREVNTWESSLSSPSYQSVLLLVM >CDP20856 pep supercontig:AUK_PRJEB4211_v1:scaffold_1884:4512:13778:1 gene:GSCOC_T00002538001 transcript:CDP20856 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYVGLAEVIAKMSSPIWMTSLSCSASVVQSSEDSTVSAFVQWLRFLFLSPCPQRALSSSIVILLWIAFLVFAIKKLWFRFSTEHELSSNLSKPLIESSTPPVRANLWYKLTLIATVVLALSSLMLCILAFNGGNQGSWRVVDGLFWLLQALTHVVITVLILHEKRFKAVTHPLSLRVYWIASFIIQVLFVTSALIRIISARETDSVLRLDDIVSITVFPLLIILLIVALKGSTGILVIRCSESIINGETTLYEPLQDKSSNVSGFATASIVSKAFWLWVNSLLVRGYKSPLKLDDVPILSPQHRAERMSELFQRKWPKPEEKSKHPVRTTLFRCFWKEVTFIAFLAIVRLCVMYVGPTLIDRFVDYTSGKRTSSYEGYYLVVVLLIAKFVEVLSSHQFNFNSQKLGMMIRSTLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHALWLMPLQVTVALSILYTYLGISTIVAFLGLVSIMVFVVFGTRRNNRFQFNIMKDRDSRMKATNEMLNYMRVIKFQAWEEHFNERIQFFRESEFAWLTKFLYSFCANVIVLWSTPVFIATLTFGSAILLGVPLDAGTVFTAISLFKMLQEPIRAFPQSMISLSQAMISLDRLDRYMMSKELMDDSVERVEGCGSEISVEVEDGAFNWDEESREEVVKDLNFMIRKGELAAIVGTVGSGKSSLLASILGEMHKISGKLRVCGTTAFVAQTSWIQNGTIQENILFGLSMNQERYKEVLRVCCLEKDLDMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAQTGSEIFKECVRGTLREKTILLVTHQVDFLHNVDLILLRVCGTTAFVAQTSWIQNGTIQENILFGLSMNQERYKEVLRVCCLEKDLDMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAQTGSEIFKECVRGTLREKTILLVTHQVDFLHNVDLILVMRDGMIVESGNYNDLLESGKDFKSLVAAHESSMELVEAESSKRRKTSVDQPTSPQACFSPRQENDDMKSQEQSQSDRGTSKLIKEEERETGKVSLHVYKLYCTESLGWWGVIAVLLISVLWQGSLMAGDYWLAYETSGKRAMSFNPSVFIWIYAIIAAGSFVVILMRIFLLTFMGLKTSQIFFKGILHSILHAPMSFFDTTPSGRILSRASNDQTNIDVFVPLFTNFFISMYITLFGIIIIICQNAWPTVLLLIPLGWLNYWLRGYYLATSRELTRLDSITKAPVIHHFSESISGITTIRCFRKQERFCQENVNRVNSNLRMDFHNYGCNEWLGFRLELMGIFILCISALFMIVLPSTIIKPENVGLSLSYGLSLNSVLFWAIYVSCALENKMVSVERIKQFTNIPSEAAWKKEDCLPSPEWPSKGNVELIDLQVRYRPNTPLVIKGITLSIKGGEKIGVVGRTGGGKSTLIQVFFRLVEPSEGRIIIDGIDISTLGLYDLRSRFGIIPQEPVLFEGTVRSNIDPIGQYSDEEIWKSLERCQLKEVVAAKPDKLDSLVVDNGENWSVGQRQLLCLGRVMLKRSKLLFMDEATASVDSETDGVIQMIIREDFSSRTIISIAHRIPTVMDCDRVLVIDAGKAKEFDKPSSLLEKPSLFGALVEEYANRSSEL >CDP20858 pep supercontig:AUK_PRJEB4211_v1:scaffold_1889:11723:24968:-1 gene:GSCOC_T00007276001 transcript:CDP20858 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVAEGHGPWLFSTNNFLGRQTWEFDPDYGTPQEREQVEEARRDYMKNQFRAKPSGDVLKQMQLIKENQADLSLPNVRIGRMEELNRQTVTTALRKALRFTAAVQAHDGHWPSEMSGPLFYVPPLIMLLYMSGTINVVLSSEHKKEIMRYIYNHQNKDGGWGFHIEDHSTMFGTANNYVALRLLGENADGPNGIALSKARNWILDHGGLVMIPSWGKMSLSLIGLYEWSGCNPVPPELFLLPSYLPIHAGKLWCYLRETYMSLAYLYGRKHVGPISDLILLLRKELYNDPYDTIDWNATRHFCLKQFFETLYIYIYIYIYLRIDPPLNFHHRNFIIGFSNIDSLKFHHLLEKIVQNDMTWTIFIFLKKWDQIQFIFIFYTKKVNLTFVHIKNDCMCYANKQSIPSEKILQCQYPNSVSSCFDNTKVTKWFFHILHVLKLIVTIQRIQDDLTSPHPLMQDAIWDILYHIVEPLLKLWPFSNRYVNIACVQKVLHMMACWAEDPNPNSISFKCHLARVPDYLWIAEDGMKMQSMGSQLWDTVFTAQAIIASDLEDEFGTTLKRAHYFIKETQIQENPSGDFRSMYRHPCKGAWMLADRDHGWQVSDCTAEALKALLLLSEMPTDVVGEKIEVKRLYEAVDFILNLQSKNGGFAIWEPSTLPKWFEFFNPTQLFENAMVEYEYDECTSSIVQALVLFKYSFPTYRQRDIEASVMRAIKFIAKSQNPDGSW >CDP17926 pep supercontig:AUK_PRJEB4211_v1:scaffold_189:258668:259345:-1 gene:GSCOC_T00003062001 transcript:CDP17926 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFEVRTSDNVVTGMFLVNSMPAYVLFDCGASHLFVIKRFTKHPYLVQINMSDFDVILGVDWFTRHYAYIDCRGKKAHLDYVVDVEKEEKLDKIPIVKNFLDVFPDDLPRLPLKREIEFEINIDPTVALYLRLSLGWLLLS >CDP17929 pep supercontig:AUK_PRJEB4211_v1:scaffold_189:531286:535604:1 gene:GSCOC_T00003067001 transcript:CDP17929 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIFPEHPSPVADAEALKKAFQGWGTNEKAIISILGHRNAVQRKLIRKAYEDMYAEDLVKRLESELSGDFEKVIYRWILDPEERDAVLLNVAIKQKPSPDYRVIVEFSTIYNPEEFLAVKRAYQHRFKHSLEEDIAQHTTGDLRKLLVGLASPYKYAGGEMDVKLAKSEADKLQDLVKEKALNHEEVIRIITTRSNAQIVATLNHYKDSHGTAITKHLKDDPANDYLAALLTAIRSINNPQKHYEKVLRIALNKPGTDEDAITRVIVTRAEKDLNDIKEIYYKRNSVALDHAISKETSSHYKAFLLALLGKD >CDP17923 pep supercontig:AUK_PRJEB4211_v1:scaffold_189:84203:84936:-1 gene:GSCOC_T00003056001 transcript:CDP17923 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFLGATIQFLEGKAITLASQQISLSLALKRDLPKLKKTLTKIQAVFRDAEQKKVTLEYAKLWLKELEDVAFDADNLLDDLNYEMILRKVEIQKQVKRKVYFSLSLFNPITSRFNIAYRIRTVTMELKRINEEAKGFGLQSQNGGFMKNRETNSVTIDSSFVGRDDDLSMIVTGLTAASKNEIVLVLPIVGMGGIESLQV >CDP17932 pep supercontig:AUK_PRJEB4211_v1:scaffold_189:611235:613190:1 gene:GSCOC_T00003070001 transcript:CDP17932 gene_biotype:protein_coding transcript_biotype:protein_coding MLLITISSGLPQILVRLISSYRFNKEVVNFSVANSEAATLHDAIKTGQLDHDDLVWILSTRNYFQLRETFKCYKNKYGSSIEQDIMASGKGTLESILKVAIWCIDAPEKHFAEVIRASIIGLRTDEDSLTRAIVTRVEIDMMKVRGEYFNMHKSSLDNAIIGDTSGHYKNFLMTLLGAKI >CDP17933 pep supercontig:AUK_PRJEB4211_v1:scaffold_189:617784:619694:-1 gene:GSCOC_T00003071001 transcript:CDP17933 gene_biotype:protein_coding transcript_biotype:protein_coding MHPWERDARLLREAVYKGPNYGILVEIACTRSSEELLGARRAFHSLFEHSIEEDIAFHIHGFEKKLLVGLVSAYRYKGPKYSEEVAKSEAKILKNVIKDGAKKLHEEEEIVRILTTRSKLHLKAVFKHYKETSGNYLDEVRNLLLILKQTVQCLCKPETYFTDVLDVSLKGIGHDFAKEALTRVIVTRADVDLKLIKEEYHQLSGVTLSHKIEEIANGNFKDFMLTLIARGDQEN >CDP17924 pep supercontig:AUK_PRJEB4211_v1:scaffold_189:242032:243327:1 gene:GSCOC_T00003059001 transcript:CDP17924 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKIGDQAGKMFEYFGSFLRKGIFSVLSVGPVPDHIAFIMDGNRRYAKKRNLLDGAGHRSGYLALMNMLKYCYELGVKYVTIYAFSIDNFRRRPEEVQSTMQLILEKIEDLIKEESMVNQYGVRIYFLGSLKLLSKPVRLAAERAMVATSGNSKSVLSICLAYTSSDEILHAVQECCEEKWDEGSALESKGAGNGLVFLKGNKNGKTKPSIVVKDLEKHMYAAVTPDPDIIIRTSGETRLSNFLLWQSANCLLYAPAILWPEIGLWHLVWAVLDFQRNFSYLKEKTKLS >CDP17928 pep supercontig:AUK_PRJEB4211_v1:scaffold_189:359473:359646:-1 gene:GSCOC_T00003065001 transcript:CDP17928 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYAYPSHSLPSSSSFSFSFRNLADKVKRYFSFAVSAIIGNVFSAIFTFFFALGEC >CDP17930 pep supercontig:AUK_PRJEB4211_v1:scaffold_189:570851:575418:-1 gene:GSCOC_T00003068001 transcript:CDP17930 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPKEISALNKAFTGLGVDEKTSISILTKWHPHQLHSYRKATPDFFIEDERQFERWSDPRVLQLRQEFIRFKDAVVLRTMHPWERDARLFKEALQMGPRIDIIIETACTRSSEDLLGARRAYHSLFHRSIEEDIASQIHTSERKLLVALVSAYRYEGPHVQEERAKSEAEVLYNAIKAVAKKNPTENEEVIMILATRSKSHIKAVYKYCEEISGNHLQQDPDGDWALKQTVQCLCTPHAYFSKILDASLRTDVDEAARDSVTRVILTRADVDIKQIKEEFQHKFSGVSLSKRIEEVANGNYRDFLLALVSKEN >CDP17931 pep supercontig:AUK_PRJEB4211_v1:scaffold_189:583009:583473:1 gene:GSCOC_T00003069001 transcript:CDP17931 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVPDIVPSPAEDCKTLKKAFQGWGTDEKAILKVLGRRNASQRKNIRETFQQLYNKSLIDELVSELSGDFRVTKLPENTSLRNN >CDP17927 pep supercontig:AUK_PRJEB4211_v1:scaffold_189:323663:335510:-1 gene:GSCOC_T00003064001 transcript:CDP17927 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWNDEELSNIIWGEAGEGEDHIVPYPDANEEKSLGSYGDCIKKEKNQEAVDVKTAEQKKPATQSDLHGVKLQCSSQYDTNEDLSAMEFGVDSWPDLSLPGAAKANEDTMEDKAAPLDNASKIFQSPLDDSEQGDFVDYGWASVGSFEDLERIFSNEDPIFGRGSLVNADELWSSSKDVTSSPEKSIPMSGDSPRLGLGSLRSTSEQFDVQGEYLSDQNQCFTPGHEKINFLTSNVLQNVKSCAGNIGYTGSSNNISMKEKTAFEMSGSKPVYNLQLDSGNFAVANECMVKVYFFLLLVKFNSYFV >CDP17925 pep supercontig:AUK_PRJEB4211_v1:scaffold_189:255934:256865:1 gene:GSCOC_T00003061001 transcript:CDP17925 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIITSSKQVRPATKTIPKFQKFCSLPWNELAYSSPLFHLFFFLYSRTNKLHARLNPLI >CDP20859 pep supercontig:AUK_PRJEB4211_v1:scaffold_1891:20164:21587:-1 gene:GSCOC_T00005788001 transcript:CDP20859 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEPDERTGLIFDVAKEFELSSRFVESTLSYIVLGTLLAALVLVLGFNAVMMSEHFASFLVFIIIHVVALVYYIKGILSPRMLKVAIMLVFSIGLVICCVVVAVLIALVASSPTKGWSGSIHDLHGIYLIIFKANDLLISNLSCLQSHACFLPLSDTSSFSILYIVASVYFSGVMVRLMLVVAPKICIMYGISLLGAFDVLTHLLKFQLPSTSEIFTSDVSFLH >CDP20861 pep supercontig:AUK_PRJEB4211_v1:scaffold_1893:8839:10707:-1 gene:GSCOC_T00007435001 transcript:CDP20861 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLLHLPSCPTNFFCFHQNLSSASPQPATHRPPTLNATLSFPSLSFKLPFKSQRFNLFEFQVPFSAQAQPITQNSENAEKDNQEEEEEEEDDEGEEEELSKTRILAQNVPWTSTVDDLRPLFEKYGTVVDIELSMYNKTRNRGLAFVTMASHEEALAAFRNLESYDYQGRVLKLNWAKPKKIKPATPPKPKTLPIHNLFVANLHFAARAKDLKEFFNANNGNVVSAEIIFEDNPRRSAGYGFVSFNTKEEAEAALVGFKGKEFMGRPIRVARSRRFLRPQTKADVQSDIATANSNSLEN >CDP20862 pep supercontig:AUK_PRJEB4211_v1:scaffold_1893:11972:16058:-1 gene:GSCOC_T00007436001 transcript:CDP20862 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSQETEDFLRESVEYTLSLPVSTQTLQSKLRAAEEAQYHLRNQYLLLQSKLREKDEIIERARAEATLNAQALKKFVEENQKLAMECANLLGQCKRWERECSLYDHDREALMDFGNEADERAKEAEVRVHELEDEVKTLSEELQSYKYQYDEQLVGTSGEAAATEQMLLESLLASALGKDNVMSTAHSFLEANRGVDVFERMLSLWNSLRPSTQKVLALVAELTSLEKHKEHLRVNLDRAEEEVKVLFEENNILDEENKKLMRQLHRERNLHGSGGKHSGSVSSKGNKRKSSPKMCSQVEKKIDFGDADSLRQPLSPLQQYSPESRMHKK >CDP20863 pep supercontig:AUK_PRJEB4211_v1:scaffold_1893:20974:21657:-1 gene:GSCOC_T00007438001 transcript:CDP20863 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLHYLTPVFVLSQTLHKIVFPRLQQSLTLLLRIPIPHPFLSQTLSPKFLLHPNHPLQLALYTKIDNLCLRQKAESLSPPSQPPAKHTICYIKNPARLLLHPSQNKTKTLSISFHLHTTCIFSHQLRLTLKPTMTFPFSHRRHQQRNSFNPLDRRDPMDRLSTTLPSSREARRGERLPNGPYYLPGRHWVSRPQYRPLMRQVWRQRNHLLGTAPTPQPIHRMAVSN >CDP20860 pep supercontig:AUK_PRJEB4211_v1:scaffold_1893:2959:4485:-1 gene:GSCOC_T00007434001 transcript:CDP20860 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSSGILCLILIAFAAVPSWATDYTVGDTSGWALGVDYTSWTGGKTFKVGDNLVFNYATSHTVDEVTQSDYGTCAAGNAISTDNTGATTIPLKTPGTHYFICGVVGHCGGGMKLSVTVTGGGPSASPPAGSGTTTSPSSGTTTTPATATTTLTPPSSTTTTAQPSSSAALTPFGALFFTLVALISKLVMS >CDP20864 pep supercontig:AUK_PRJEB4211_v1:scaffold_1894:17956:19984:1 gene:GSCOC_T00006006001 transcript:CDP20864 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQVSSQVIQISRSSAAYLLGKSRKRILQNGHSDEYPKVEKGGVESLISRRYRFGIKMDNFAKGIREHVRLAPKLTETVKGKLSLGARILQVGGVEKVFKQLFSVTDSEKLLKASQCYLSTTSGPIAGLLFISTNKVAFCSERSIKIPSPTGKQLRIFYKVSIPLRKIKRASASQNLEKPSQKYMEIVTQDKFEFWFMGFLNLRKTLKSLQKMMASHAH >CDP17936 pep supercontig:AUK_PRJEB4211_v1:scaffold_190:198171:198872:1 gene:GSCOC_T00002134001 transcript:CDP17936 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLYAAGRDPIFYAHHANVDRMWYIYDNVLKRKNIEDPDWLNSSFIFFNEAARPVRVTVKDSTNLAKLGYTYLDLPLSWLDCKPKAHRKGLNLTKVSAPKASEVLPIKLEKPISFVVEQPKKSRGGQEKAEAEEVLKIKGIEFDKGETVVFDVFVNEDHTSKCNPCKAKSLGSFRTLAHGHGKKSTTSHSFAISEVLEELEADDFDSILVTLVPRRGVVTIGGIEITFVPKP >CDP17937 pep supercontig:AUK_PRJEB4211_v1:scaffold_190:235807:236866:-1 gene:GSCOC_T00002136001 transcript:CDP17937 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSSDDPRSFTAQAKAHCAYWNGGYHDSKLLLDVHGSWLFFPFHRWYMYFFEKICQNLINDDTFALPFWNWDNPPTMFLPAIFKGSSSPLYGSKRNPTHLNTVIDMCWEGTDSTDNTMKVIRNNLCRMYRQMDMGSFYSAGRDPVFYSHHANLDRMWSLWNSLGGQNFTDSDRDSAIVSIVMVTILE >CDP17934 pep supercontig:AUK_PRJEB4211_v1:scaffold_190:26277:27702:-1 gene:GSCOC_T00002125001 transcript:CDP17934 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGTKPSPFFLLSLLLSPKIFAQNPLPLQPQSPYTACKSTLYPKLCRSILSSFQHSPSNLDGYGKFSVKLCLKHAQKISDLTNHLLSQQKQSRFLSSKELNALHDCAQLSELTVDYLESISVELKSDNSSSVSDSLVGRVQALLSAVVTNQQTCYDGLVDSGSNLVGALIAPLSDASELYSVSLGLVTHALGRVRKAGKGSRLSAYRGLLELDWTRKSSTRINRVIIDLVLCCKFFIWPIFVSKV >CDP17935 pep supercontig:AUK_PRJEB4211_v1:scaffold_190:198006:198113:1 gene:GSCOC_T00002133001 transcript:CDP17935 gene_biotype:protein_coding transcript_biotype:protein_coding MYTQMITHSSTTPLFFGQPLLGGGDPDPGVGSIET >CDP20865 pep supercontig:AUK_PRJEB4211_v1:scaffold_1906:6311:7785:-1 gene:GSCOC_T00004905001 transcript:CDP20865 gene_biotype:protein_coding transcript_biotype:protein_coding MCQVLGVYDWDGCNPLPPEFWLFPEFFPYHPAKMWCFCRSTYMPMSYLYGRKYRGPLTDLVLSLRQEIHVMPYDQINWNKARHDCCKEDLYCPHSFIQDFLWDTLNYCFEPIIRRWPCNKIRQRAMAKAIEHMRYGAEVSGYITTGCVEKSLQMMCFWAHDPDGDDFKYHLARVPDYLWLAEDGMKMQTAGSQVWDCVFASRAILASGMVDEYGDSLKKAHFYLKESQCKTNLKGDFKKMYRHFTKGSWTFSDQDQGLAVSDCTAEALKCLLRFSEMPQEIAGEKADVERLYDAVNICLYLQV >CDP20868 pep supercontig:AUK_PRJEB4211_v1:scaffold_1908:18938:19770:1 gene:GSCOC_T00013345001 transcript:CDP20868 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKLNHKGIKCNLPYFLIAFPRSIVECYVYIVYMGRRRHDKPELVEDSHHEMLSGILGSKAAARGSILCSYNHGFSGFAAILEPFQAAPIADHPGVVRVIPHKILRLNTTRSWELGPSPSAVTYE >CDP20866 pep supercontig:AUK_PRJEB4211_v1:scaffold_1908:5263:11145:1 gene:GSCOC_T00013343001 transcript:CDP20866 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLGAILKHPDDLYPLIKLKVAVMQAEKQIPPEPHWGFCYSMLHKVSRSFALVIQQLDTDLRDAICVFYLVLRALDTVEDDTNIATEVKVPILMAFHRHIYDREWHFACGTKDYKVLMDQFHHVSTAFLELGSSYQKVIEDITMRMGAGMAKFICKEVETTEDYDEYCHYVAGLVGLGLSNLFHASGKEDLAPDSVSNSMGLFLQKVNIIRDYLEDINEIPKSRMFWPRQIWSKYVNKLEDLKYKENSDKAVHCLNDMVTNALMHAEDCLKYMSALRDPAIFRFCAIPQIMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDRTKSMSDVYGAFFDFSCMLKSKVDDNDLNAKKTKSRLEDILKICRDSGTLNKRKSYILGSEPTFNPTMILIFVVMLAILLAYLSANRGNDMCKLCCLGFVINMPNTF >CDP20869 pep supercontig:AUK_PRJEB4211_v1:scaffold_1908:21195:23727:1 gene:GSCOC_T00013346001 transcript:CDP20869 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLFLKQVVNTSPWVITVAASSIDRAFPTVLILWNNPTLLGQSLYIRKDSDKFYPIVYGEDVTTTDGDEDAARSASAAATNVKEVEGVGLIFARFPTKEVALCLDVPCVQVDYATGASLLAYIGTTNNPIVKFSLPKTSLGQQLSPPQRLFSSLLKDQIHSLPQIFWCLTLLLLWSTYWPLGSLLLPYCHLMPMDINLLQLNSR >CDP20867 pep supercontig:AUK_PRJEB4211_v1:scaffold_1908:11212:17414:-1 gene:GSCOC_T00013344001 transcript:CDP20867 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQATRLREQAVLKQFGGYGASDSVVTDEAELQQHQKLEKLYISTRAAKHFQRDIVRGVEGYIVAGSKQVEIGTKLSEDSRKYGVENTCTSGSTLSKAALNFARARAEMEKERGNLLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAVEVSRRQAKVREGTGNPDMLLKLEAAESKLQDLKSNTATLGKEAAAAMAAVEAQQQRLTLQRLISMVESERAYHQRVLQILDQLESEMISERQRIEAAPTPHVDNVPPPPSYEEANDVSTSPAQNGSSNGTGYFLGEVMYSYHAESDVELNLSVGEYVVVRKVSNNGWAEGECKGKAGWFPFGYIERRERVLASKVAEVF >CDP17941 pep supercontig:AUK_PRJEB4211_v1:scaffold_191:262511:263995:1 gene:GSCOC_T00011586001 transcript:CDP17941 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDNQEGSTMENNRDWRGSDASSFYRIITQPVVDEGQLGLPKNFVKEYGDEICSSVRLNVPSGGIFRVGIEKDENMLWLRDGWQRFAEHHSLTFGYFLWFKYKGNSEFDVAIFDLTATEIDYQCCSNNSKKFNPVAETTVPEPEHDAFCSAKTDDRHPIEISSSSETEEVEIRDVTTDSGNPRSIRRRSRRRGKKISEHDVVTRLFKSDNPYFSLTMKEYNLGRCYIVHVPAEFSSTYLRTDKKSVELQDSDGNKWTVGVIVRYGRVISLSKGWCYFCRDHKLCSGDVCVFEVIKSRPVVIKVTIFPARESVEVK >CDP17948 pep supercontig:AUK_PRJEB4211_v1:scaffold_191:586997:592463:1 gene:GSCOC_T00011599001 transcript:CDP17948 gene_biotype:protein_coding transcript_biotype:protein_coding MVWWEAVLSPALQVLFDKLASGDILELLRRWNINDLLLEKLKIAYFTCTVVLDDAEEKQYFNPAIQTWLDMLKDAVCEAEDALDFLATEALRCKVELSPQSLSNQIIEKLEFIAKQKGILGLESSGKGIHGMMHRTPTTPLVVESCIYGRENEKEDIINLLLADDGTNNQGFSVIPIVGMGGIGKTTLAQIVYNDKRIDEAFDVKAWACELSDTQCWLLFQDHTLENEDSEKYLSLRQIGREIVKKCQGLPLAVKMLGGLLASKLDAVYWNQVLNSNLWDLPQKKNSILPSLRLSYHHLPPNLKRCFAYCSIFPKGYEFERKNLVLLWMAEGFVQPGAKISMEEVGDEYFSELLSRSFFQESALNRSRYVMHDLINDLAGSVSRISCVRQEENWQREHLECCYFITELPESIGNLRLLRYLDLSYTRIKSLPESLNVLYNLQTLLLCDCADLNNLPANIGKLINLRHLDISGSGIQAMPIGVENLVSLRSLPEFVLGNVGPRGSATQEMPIGGSLSLSGLENVDNLWDAKNANLKGKQKLTTLVMEWSSTLSELQNDRVATDVLEMLQPHQNLEKLTIKGYNGRKFPTWIGDPSFSKLECLSVTDCKRCRSLPPLGSLLSLKHLYIKGMDAVKSIGAEFYGYSSSSSKTFASLETLTFEDMMEWEEWLLPTSDGHMEAFPSLQELQIEKCPKLRQQLPQQLPSLVKLHLTEYCPRLELVPGTTFPSNLQGLVLRGCGLDLLPEPMINNITSLEYLCIGGCLVLSSFPGEGRQVPTTFKQLTIDQCPNLEFLPEGITHSSNISLELLEIFDCPSITSFPAGHLPATLKVLTIWNCCNLESLEDIATDSMSLESLRIGNCTNLIFLPKCLNKLGCLDYLEIDGCPGIVSFPQGGLPSRSLKKLHILDCENLMFLPELMLSLTSLKELKLSNCPSIASLPDGGFPINLVSLEVKDCENITPLSKWGLHRLASLEKLKIHGGFLDVSLSPWLQHLSSLEELKIMECHELFNLPKEGVPPMLSFLEIRGCPKLQQTCEKNWSEIDHIPCISNHL >CDP17939 pep supercontig:AUK_PRJEB4211_v1:scaffold_191:212698:215123:1 gene:GSCOC_T00011584001 transcript:CDP17939 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGSKKRKAEKKKKEQEALSHNQSHNHQTQPQSAVSHTHENDDKESEGEEVSSPASQDHSSHQHQFIDGEKEDVKKVEDSSHVQLSGEKSKSIEEHKDNGVAVEEAKAEESVVQIEKEPKPAGELNGNSISEERVKIQKESGNGVASESLDGESTLEVEKELKLFEKVNASVEHVEPQKEHNDGVLTEHRNDECRFIDKTNVVVDSSPFGSSAEAVNSFCEGLAEVPNPIPVGEVYGSVAEPDSDTVPEEKISRDSSSPGHSLLTSNVVTEMHENGKKDVAVMDQNDRSLPVLVDLGLENKRDQAIILAGNALRALEARGTVTQETEEKQMHLYTAPEDEAANYGHASNGEHHIKDSEVHGHSDTQPLVASAIRPVQRTSWMSCCGLFEAFAGSSR >CDP17938 pep supercontig:AUK_PRJEB4211_v1:scaffold_191:61027:62090:-1 gene:GSCOC_T00011582001 transcript:CDP17938 gene_biotype:protein_coding transcript_biotype:protein_coding MESGMIKLGRSLKVPYVQELAKQKFASVPPRYIRPDPTKLHGVSTEEIPVIDMQRLLSDESLNPELEKLHCACKEWGFFQLINHGVSSSLVDKLELEIQKFFNLTIEEKMRFAQEPGDVEGYGQAFVVSEEQKLDWGGMFYMVALPTHLRKPHLLPNLPLPFRFQVQENLHTHSYHCF >CDP17942 pep supercontig:AUK_PRJEB4211_v1:scaffold_191:348959:355841:1 gene:GSCOC_T00011590001 transcript:CDP17942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MEI2-like 5 [Source:Projected from Arabidopsis thaliana (AT1G29400) UniProtKB/Swiss-Prot;Acc:Q8VWF5] MGTSVRKIFSGSSGYHASTDAALFSSSLPVLPCPKLNAKDANQTCQSIDDVSASLKKFHPHVGGNDVLEIDQNHAIGSLLPDDEDELLAGIVDGFDLGGLSHHVDDAEDFDFFVSGGGLELESDSLESLSMGISNASLCDGSPSNGVAHFGLSNGVGIVSGEHPLGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNRPLRRRKLDIHYSIPKDNPSERDLNQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPNKRHHKFVEYYDVRAAEAALRSLNRCDIAGKRIKLEPSRPGGSRRNFMFQLSHDPEQDESQSCCHQAASSIGTSPPGDWPQLGSPLEHSPLQSLGKSPGLRSVSPTMGSNLPGLASILHPQSSNSMRVAPIGKNAVRSSYSEHVWANRNSNVGAASQQTLSLHKPNSSQYSGTVSSFGDSSSNGSGVETLTGPQFLWGSPKLYPEPTDPLAWRGKSAGHPFTSNGRSHGFSFSNRVSLFGSSYGHHQHHHHHTGSAPSGVPLERHFGFYHEPPETFVKPSFGGVVYGYHDRNLMMNVSGSGTISVSGNMSENGSPSFGMMSSPGFGPMSLGNGYLSGLAATSLEGCSEHVRARRVESNGNQMDNKRHFQLDLDKITSGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMMSPEYILPFYEAFNGKRWEKFNSEKVASLAYARIQGKMALVSHFQNSSLMNEDKRCRPILFHSEGSESVDKVNWEHLPSNCLNIQARPLDGSEPMDSPGNAVEHGRCDKLEQSWQ >CDP17943 pep supercontig:AUK_PRJEB4211_v1:scaffold_191:387476:391006:-1 gene:GSCOC_T00011591001 transcript:CDP17943 gene_biotype:protein_coding transcript_biotype:protein_coding MKGELTLFRKEIKLFFKTDINESCINFLLDYYWLRDPGLVIDFIDLVSKILIELLLKSQISHFKTLEEKLMFLNSFIRFVLLHGVEGQHLIDLLVHAEVVAINALRLIYGEEVYNKIGLQISQLIREKINPSDPQVRETCIHVLTASKLSRSSDTSALEKNKHLVADFMDYLIHNFMELLESCTSILVPIMNQMLELHDGLRFLTILFRHQEKFTELPHGMKTLTGVVVCDAAIVIFSLSVSQIEEGLAKETDLALFHLLQVLKFIKAEVIDPITSISGFDFPRTNELGSMDILLENLKELQSCNEADDSIAFPYDQIHRVLEDLVFLRSFLGKIVDQCNQNKKLQAFWRRVMEVAYKAELVIDSTPFGDEREYCFDVVARDINLMKIEAQEIYGSMSDVGETKRVTKTFTPMPSRVTAATYNEDLVLLDNEVTTITHRLTGGSRQLDVVPIVGVPGLGKTTLANIVYSSPSVMLHFQIRAWCTVSQVYSRHNLLVQILGSIDSRSPEQYLKVDEDDLAVKLKQVLLRNRFLLVLDDLWDIEAWNLLERSLPDDANGSRILVTSRLLNLQFKPGNKAHYLRHLTDEESWQLLQKKLFGNEGCPTKLHGVVSQIVKSCRGLPLTVVLAAGIFATTAQNFWEEVAKSLSSSIVLDKEYCMKTLELSYIHLPDDLKPCLLYFGVFQEDENVPVRRLLWLWISEGFVRKRTGKSIEDVADDYLKALVDRSLVLVTKQRTTSGAKACRLHDLVHEFCVEKAKEENFLHIIHRGKDPFSLTGLSNPHRVCDQNTRKLKIQHSMLFFPNLRSLLSFKEEELGFWLPKLLRVLDLRNLVFDAYFPMEVVLLVHLRYLALHIRGINSISNPINSISIPFAISNLSRLQTFLVRGDSIFYYVLPKTIWNIKTLRHLCITGFNYGFVFPVDDLEISPCLDHLNTLKLAIDPSSQSLQKLLTYLPSIRRLKCKRSEKSSEEFTRIDDKILVFDCLSQLESLNLSFFDGYGFKFPLNLKKLTLSYNDQPWSEISTIGKLPNLQVLKLRNGSFVGSEEWEMKEGEFPNLRVLKLIGVALRSWTASSDSFLLLEKLVVHNCPRLKEVPSCLGECPTLEMIEVKWCDESVVRSVKQIQQEQMDMGNDVLEIIIEYCGHA >CDP17944 pep supercontig:AUK_PRJEB4211_v1:scaffold_191:405174:412489:1 gene:GSCOC_T00011592001 transcript:CDP17944 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKSTVGCLKEKRGLRICPKSTMISKNSLFVSKIAQNADELQTIIQHTHDVCSCDEKRSHDSEASDADHGESFNGELLQKQYMPFIDSTDVSRMESAPGTCTSSETIFSPILESIDIHSKSYTDYYGGNNNLYVPQLETEDSDDSSKGSCEYQTCSISDFFISDMIFSGLPVDGNSVFNDNDSTDTKFLPDYKCEEPLNSFDWTEETMVMPYLKNAMESGYIHDIRTCEDATIDSSDSSLYLAIHQLRSCNQESDINIYSDVDQPDSFDPHMFIRNIPDLPDLASDLRPTILPKDSKKTKSVTLVLDLDETLVHSTLEHSDDADFTFPVFFNMKEHTVYVKQRPHLRTFLERVSEMFEIVVFTASQSIYAKQLLDILDPDGKLISRRAYRESCICYDGSYTKDLTVLGVDLAKVVIIDNSPQVFRLQVNNGIPIKSWFDDPSDSALMSLLPFLETLVDTDDVRPIIAKRFGNNE >CDP17949 pep supercontig:AUK_PRJEB4211_v1:scaffold_191:594522:603850:-1 gene:GSCOC_T00011600001 transcript:CDP17949 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNKNPRSSVIIVGAGISGISAAKVLAENGVDDMLILEASDRIGGRVRKEEFGEVTVELGAGWIAGVGGKQSNPVWELAKQSNLRTCFSDYSYARYNIYDRSGKIFPSGIAADSYKKAVDSAIQRLSQEANHDASTAHDVSVLAETPSTPKTPIELAIDFILHDFEMAEVEPISTYVDFGDREFLVADQRGYEHLLYKMAETFLTTKEDKILDSRLKLNKVVRELQHSRNGVSVTTEDGCVYEANYVILSVSIGVLQSNLISFRPPLPRWKTEAISNCDVMVYTKIFLKFPYKFWPCGPEKEFFIYAHERRGYYTFWQHMENAYPGSNILVVTLTNGESKRVEAQPDEETLREAMEVLRNMFGPEIPDALDILVPRWWNNRFQRGSYSNYPIYVNHQHVDDIKAPVGRVFFTGEHTSEKFSGYVHGAYLSGIDTCKALLDEMRKDKGRKNENQAFLLEPLLALTESLAQPDAVSNLHKYDIPRKLFLSSSKLGLPEAIV >CDP17945 pep supercontig:AUK_PRJEB4211_v1:scaffold_191:445712:447103:1 gene:GSCOC_T00011595001 transcript:CDP17945 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMQRFGEDNISWVVREGALDFWHDNWMGSGALCDKVEVFHDHSVVDFVDQRAWNVDMLHQFLDGELVTQVLEIDPPTDRGNDTMVWALTNSGVFSTASAYSLIRQSNDNSWLFGRIWQQGLPVKVSFFMLRLLQGRLPLMDRLKRFGVCGPSRCLCCQNPQEEDLNHVFCSGEGARLVWRHFESTAGEFSGVHTVRHMVWSCWLRRGTNDRVKFLHNILPSVVCWVLWKARNEGVFEGRKMRIRPTVNRIVQFLHDFLQSRFPGVQPSAPTWEGLLLELGSHQRRMVIRPVYWVTPRRGYKLNSDGCSRGNPGRSGGGGLVRDSRGNFVFGYAEPFGVITSMQAELRALLWGVRHCVIRGCLELHLEADSLTLVHIVQGTSACPWRLQRDLDELMMFKQYFTSITHCYREANAPADRLANFGADSSTGHVFNTFSELPQLVRGAIRLDRLGFPTFRTRCLA >CDP17940 pep supercontig:AUK_PRJEB4211_v1:scaffold_191:217191:221129:1 gene:GSCOC_T00011585001 transcript:CDP17940 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTCHAGSSCCVLGTEHFYLSGSQEWSKAEMCDRAYQNKRKSLCRTNLRLCYIYMLSITWEPLRLSWFSLCL >CDP17946 pep supercontig:AUK_PRJEB4211_v1:scaffold_191:522585:547312:-1 gene:GSCOC_T00011596001 transcript:CDP17946 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDSEVLGEGLTSTGGAILSYSSALAAALPMDDEADKNSNAAAPHNPDEQAQAAAFHKRVQEMVARDSRNFDSWTGLVEEVEGMCPDNLDLISLAYDSFLSMFPLCHWYWTKYTDHIIRLSDAHKAVKVYERAVDSTPFSTGLWVDYCCFGMCFYEDPSDVRRLFKRALLFVRKDYFCHALWNQYIKYEFTQQHWGFLANIYLQVLKFPTEYLDRYYENFKQFVVGLDEEMKKHENCSADAGAGALPNHSAKLSEDEIIQVIEDLQNASNEALLQKAVNKYKLIGEIFYQKAKELDEKIKNFETKIERRYFDTAPLDDAQLKNWHHYLDFIEKQDDFDWALHLYERCLITCTNYPEFWMRYVEFMESKGGRELANSALQRATQVFLKGVPEIHLFNARYKERIGDVNAAVTAFLNSDVLSDSLPRHIVELANMRRRLGNLEAASDTLKNAIDMAEKKQKLHSLPSLFIHYSQLKYMITGSAEAARDALIDGIQRIPHCSKLLEELIKFAMMHEGAKQVDLIDSIIGHAISSSSDGTSGLALKDREHVSSLFMEFVDLCGTVHDISKAWNRHIQLFPQLVRNNLIDKELDLGKCLSDQMLVIRESGSCAFPNLLDEDQHSNQPVEEQVSLLPANHASKDELVSADQTPQKCNNTVDCERGEHLSTEEADKRNFGAFEVNEVAHHSMLQSADDTPRVMESMDNLTEQHKENVPAQMTPASVQISSKATSEPNALTSNSNCQSSATVILESAEEHSCPMNMQDQRLKQHPNPVSFGNLSLNSQEQASQKLIAIASDEHDATSDISKSTDCLNANSPPNRTAAADSVEVQESPDETRSAGLLSSATQQVSTPTKMHPSSMLSPSTGGECHQMLKGTVSEGKSSEMHLQSKDLQHLHQQPLTLQQSPTVALGSKMPTTHPYPQHSLVWKQGDHTEVYCKENAPQENLASKHVWLVNNMPDMSSLSTNPSHIATDHGQTLSQTLPSQQHLLQQQYQQQLVQMLPLHHQHQQSYLHHQQLLPQQQQQNQQQASSWQQQQYHQQHQQLLSLPYQGLQIQQQMPHLQQIHQQVQPHYHQSAEQLQLQHAQQLQQQFAPVPEQQLQLYHQSQKLAYDMHQQGYQGHAAQVHQTLFQQYQLYQQMLQQHQQHPYHYNQMLLQQPPQHQQQDEQQEHHQKRASNQDLSQGISQHLWGQQTAPQGADSTLLRHSSPQSMAQLNTERQSGLVASSHQQPFHGISPSRNRDTPESAASSHHGR >CDP17947 pep supercontig:AUK_PRJEB4211_v1:scaffold_191:577981:579288:1 gene:GSCOC_T00011598001 transcript:CDP17947 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIATPTAAFRSGELKFGCLARVLDTGLPPDASDAECRQRARIYLLLILAGHLLSNKSGNKVPLLYLPLLRDLETVGQYSWGSACLATLYRSLCNATNPTKSAIAGLLVLLQEHIPTMRPDRIASLEHYPDPYGVRCAFRPYFDCSYFIKYKWNNDLDIHSVVRHVVPAFRDQLIGLRPEEFIWQPYLEDVLASLPAYCTAGQDIWRSVTYLICWDVVEPHLAHRVMRQFGFHQSLPDMRLTDNQAALHSLDRRDRANQDWSTTHR >CDP20870 pep supercontig:AUK_PRJEB4211_v1:scaffold_1910:9255:10164:1 gene:GSCOC_T00000296001 transcript:CDP20870 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSAIGTGKTDISSLEPVKPADPHVIQIGKFVEQQHHHGKLLCVAVVGGFTWSGDGGNYYALIIENQDSDGATCLHKHKVLVLETPSEMKLIWHKK >CDP20871 pep supercontig:AUK_PRJEB4211_v1:scaffold_1910:13785:14470:1 gene:GSCOC_T00000298001 transcript:CDP20871 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSAIGTGKNDISSLEPVKPADPHVIQIGQFVVEQCHHGQLLFVAVVGGFTWSGDGGYYYALIIENQDSDGATYLHKALVLETPSETKLIWHKK >CDP20872 pep supercontig:AUK_PRJEB4211_v1:scaffold_1911:15894:17738:-1 gene:GSCOC_T00006992001 transcript:CDP20872 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPLAIFLFMTVFVSPAISRNIPPPPSVKSWCAQTPYPQPCEYFLSQNPKYGYGYPIKGKSDFLKVSLNLALERAVHAQENTNNLGSKCQNEREKAAWEDCIELYESTIVKINKTVDPYTKCNAVEAQTWLSTALTNLETCKQGFIELGVADHLVPLMSNNVSCLISNTLSLNKVGYNEPSYKEGFPTWVTPGDRKLLQSSSPTPNAVVAQDGSGNFKTIAAAVAAAATRSGNGRYVIHVKAGTYKENVEIGTKLKNIMLVGDGIGKTIITGSNSVDGGTTTFKSATVAVVGDGFIARGITFRNTAGPQNHQAVALRSGSDLSVFYQCSFEGYQDTLYVHSQRQFYSECDVYGTVDYIFGNAAVVFQNCNLYSRNPPNKINTITAQGRTDPNQNTGISIHNSRVTAASDLKPVQSSVKTYLGRPWQQYSRTVFMKTFLDGLIDPAGWLPWSGNFALDTLYYGEYANTGPGSSTANRVSWKGYHLITSATEASKFTVGNFIAGNSWLPATNVPFTSGL >CDP20877 pep supercontig:AUK_PRJEB4211_v1:scaffold_1918:11043:11661:-1 gene:GSCOC_T00008113001 transcript:CDP20877 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGQTLSSRLFFCQISTCYYIFVLLPEINAVPTSKGQALADNYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDTKAEASTIKINQPDRAAGAGQLAQKSACCGS >CDP20875 pep supercontig:AUK_PRJEB4211_v1:scaffold_1918:1156:2147:-1 gene:GSCOC_T00008111001 transcript:CDP20875 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFHLVGSLSPSIGNLTFLRELNIQDNNFHGTIPEEVGRLFRLQYLRFANNSFEGELPLNITDDLSTLSKLYALSLSRNNFSGSIPPSLGNISSLQILSMSRNNLGGNIPAEIGRLSNLHFLLSSTTFRIFSITNNLLSVRFPATVGLTLPNLTLFLADLNQFFGSIPTTLANASGLIKISIGDNSLTGPIPQNLGSLRELQVLHFGHNPLGTDKANDISFISSLTNCTNLQILSLSRIQIGGILPTAIANLSTKLTSLWLNDNIISGSLPSGIGNLASLGYLDVRNNSLSGIIPDSVGKLIPT >CDP20876 pep supercontig:AUK_PRJEB4211_v1:scaffold_1918:3081:5816:-1 gene:GSCOC_T00008112001 transcript:CDP20876 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLINQLSALLSQETTLLGGLQPDVHVYPEDHPIDVEILLDKWIALGFIEEKEGMTATDIAMRYLEQLINKTLIQVKETSDDGKLLECGIHDFLREIIVSKSKEQCFIAITTGYCTRWPNKVRHLVIHNFTDKPPQGFSSLKRLRSVETFGYEDPLTTSFLSKFLCGGPKFLKVLNLYKAELDNIPKEVFKLFHLKFLNLSDTRVKVIPKSIGQLQNLESLILTRTTVTELPVEILKLRKLRSLIVGRVGDYSNNFSVWGFKSPDGIGKLTSLERLGNIEVDGGKVVREIGKLIQLQELTITKLRREDGKELLYSLSRLTNLRELYICSIKEEETLDLQHSVSPRLGFLTRLGLTGRLERVPEWVMSLQSLRTLLLQNSELSEDENAIDCLGHLPNLMELTLYRAYEGETLCFNAGGFPKLQKLDLGQLKRLKWVRVEEESLSSLQKFFIVDCKLMEGLPLGLQNLTGLKFLGLYDMSDELIYKVQNLDKQSEDYQTISLIPQVCTGHWINGEWKGEFL >CDP20878 pep supercontig:AUK_PRJEB4211_v1:scaffold_1918:23505:25154:-1 gene:GSCOC_T00008114001 transcript:CDP20878 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLALKDKDKIDEWEMIFRGFGSEVDGGGKLDRIRKILLLSYSDLPHHLKNCLLYLSVYPEDHPIDVEILLDKWIALGFIEEEDRMTATDIAMRYLKELVNRSLIQVKETRHDGKLKECGLHDFLREIIVSKSKEQCFTTVATGYCTSWPDKVRHLAVHNFTDNPSQGFCRLKCLRSVETFWYELPLTTSFLSKFLCGGPKFLKVLDLAGAELDNIPKEVFKLFHLEYLDLSGTRVKIIPKSIGQLQNLEVLLLIRTTITELPVEIIKLRNLRSLAVGRICDYSNNFALWGFKSPDGIGKLTSLERLRYIEADGGKIVREIGKLVQLRQLSITKLRREDGKELLYSISRLTNLRELHIGSIKEEETQHSVSPRLGFLIRLSLSGRLERVPEWVISLQSLGTLILQNSELSEDENAIDCLGRLPRMGVLTLHGAYEGETLCFKAGRFQKLQRLELFQLKRLKWVRVEEESMSSLQEFVIAGCKLMEGLPLGLQNLTKLKVLGLGDMSDELIHEVQNLDKESEDYQTISHIPQVGIGRWINGEWKTEFL >CDP20879 pep supercontig:AUK_PRJEB4211_v1:scaffold_1922:2448:2906:-1 gene:GSCOC_T00010090001 transcript:CDP20879 gene_biotype:protein_coding transcript_biotype:protein_coding MACEIGNRTVLKFDTEDGVAVALARYIADLSERFIKEKGSFNVVLSGGSLIDTMRYLARAPYKESVDWPKWSIFWLDERVVPLDSKDSNYRLAWDGLLKYVTSY >CDP20880 pep supercontig:AUK_PRJEB4211_v1:scaffold_1922:18107:19248:1 gene:GSCOC_T00010091001 transcript:CDP20880 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASKKLILFAFFVIASLVIGGPIAKVEASRVQPAEAEAEAEAEAIAEQLITTLESTLTDTGGICPSRCFTDRQCQTRLCRKTSCKYIALLPFKQCV >CDP20882 pep supercontig:AUK_PRJEB4211_v1:scaffold_1925:23230:24604:1 gene:GSCOC_T00013582001 transcript:CDP20882 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLSNQSPINTQISRRRYSQSGKFTSSGQNLPLHGGTLVCNGKEYTCTGEYSEWSSCTYTTKNPPRRNEPLEIPESVEKSPVSDLIIKKHQVSNSRPQRELALADKPFTGMVISLSGRLSQTHQHWRSKIEKHGGKVANHVIGVTCLVASSAERERGGSGKLAEAIETGIPVVREEWLIDSIEKKEAQPSDAYDIVSDLAVAGKGIPLDKQDPSEEAIQSIAAEVLLIFYKGDSYSKLLIGRAYC >CDP20881 pep supercontig:AUK_PRJEB4211_v1:scaffold_1925:8136:12172:1 gene:GSCOC_T00013580001 transcript:CDP20881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein WIP3 [Source:Projected from Arabidopsis thaliana (AT1G08290) UniProtKB/Swiss-Prot;Acc:Q9SGD1] MGIRTTNCHVQDMNMCFQAAPTFIEWLKPTHESSSSSSSSSSSTLSSTQQEFLDQFTKPMSFLKLPLFYQQQEEELAVHESIQCLPLLSQFTDTKKPLKEELDEDGIGQEESIFGIKGSDKVEKVTVALHIGLPDSGSASSDSETKPYNLKEKPVDNYQKSPSTDGCTFNNESRFWIPTPAQILVGPMQFACNICNKTFNRYNNMQMHMWGHGSEFRKGPESLRGAQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGAKPFNCRKCGKSFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGNGHSPHPSLEGFEDEKECITGGSEDENAQY >CDP20883 pep supercontig:AUK_PRJEB4211_v1:scaffold_1928:11908:14415:1 gene:GSCOC_T00009592001 transcript:CDP20883 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYIALFVSVNCTIGSKLQKPESKITIYKRFCLLLVLAVGYDAFDPTGNITIKWDIKTWNADGYVAVVTIFNFYKYRHIESPGWQLGWTWAKKEVIWNMMGGQATEQGDCSRFKGTIIPHCCNRKPTIVDLMPGTPYNQQVANCCRGGVISSWVQDPANAVSSFQLTVSQAGTNNTTARAPQNFTLNTPGPGYTCGPARTVNPSKFVSDDKRRVTQALMTWNITCMYSQFLAQKTPACCVSLSSFYSKSIVSCPTCACGCQNSITQPGICVESESPNVPSALSRSGKNSHTPLVQCTRHMCPIRVHWHIKVNYKEYWRVKVTVTNFNYRMNYSQWNLVVQHPNFKHLTRTFSFNYQPLTPYASINDTAMFWGTKFYNDLLMHAGPSGNIQSELIFWKDKSTFSFEKGWGFPQRIYFNGDNCVMPPPDLYPNLPNASVPRVTSLHCLLTMILVSLVIVLGYV >CDP20884 pep supercontig:AUK_PRJEB4211_v1:scaffold_1928:14726:17442:-1 gene:GSCOC_T00009593001 transcript:CDP20884 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTTSANKREGTPVKANDLQLLQCFSILKIKLQLWAILLLMALSQSEAFDPLDPFGNITIKWDVVSWTADGYVASVIMNNYQMYRHINTPGWTLGWNWAKKEVIWSIVGAQATEQGDCSKFKGNVPHCCKKNPIIVDLLPGVPYNQQYANCCKGGVVASWGQDPSAAVSAFQVSVGVAGTSNKTLKLPKDFVLMGPGPGYTCGPAEVVPSTVFFTPDHRRKTQALMTWTVTCTYSQILSSKYPSCCVSFSSFYNETMTPCPSCACGCHNKRDCIMSDSKRLNTLGINTPKKDNTPLLQCTHHMCPIRVHWHVKINYRDYWRVKIAVTNFNYRMNYTQWTLVAQHPNLSNVTQVFSFDYKPLVPYQAINDTAMFYGMKFYNDLLMEAGPLGNVQSEVLLRKDKTTFTLKQGWGFPRKIYFNGDECKLPPPDSYPFLPNSAHEIPSFYSTMVAIILSLLINIMM >CDP20885 pep supercontig:AUK_PRJEB4211_v1:scaffold_1937:209:1562:-1 gene:GSCOC_T00009893001 transcript:CDP20885 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKVVVLGTYGSMFSMRVQVALAEKGIEYENKEEDLANKSPLLLEVNPVHKKIPVLIHNGKPVCESLIIVQYIDEVWHDKNPLLPSDPYQRAQARFWADFVDKKVYDCGRRIWATKGEEKEAAKKEFIGIMKTLEGELGNKPYFGGEDFGYVDVALIPFYCWFHAYEKFGNFKTETECPKLVEWAKRCMQRESVSKSLADPHKIYEFVVSLKKKLGIE >CDP20886 pep supercontig:AUK_PRJEB4211_v1:scaffold_1937:19366:19947:-1 gene:GSCOC_T00009894001 transcript:CDP20886 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSDGSLDLYEATGIRPEFLRDAMSLKQVQRKTLTPMKFFGRDTAKYPPLMKTRNLSKSLKYLTKAYLGNHDVQMGPQDPYEGCVATMWL >CDP20887 pep supercontig:AUK_PRJEB4211_v1:scaffold_1942:11946:13434:1 gene:GSCOC_T00007524001 transcript:CDP20887 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKPLVSFKLENSRFNKQYLKNHISLSTSPKLENLVLLESSFKVLKVLRRHFSTNVSERHSNFGSKLVT >CDP17992 pep supercontig:AUK_PRJEB4211_v1:scaffold_195:162615:162935:-1 gene:GSCOC_T00005221001 transcript:CDP17992 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPEKGKAEKHYHEITGAFKNNCMLHYATYRNIFPLRALAEYRKRVPLLTN >CDP17994 pep supercontig:AUK_PRJEB4211_v1:scaffold_195:165220:165485:-1 gene:GSCOC_T00005223001 transcript:CDP17994 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLYGKRFVGPITPLIWQLREELYAEPYDQINWRKVRHICAKDLYYPHP >CDP17991 pep supercontig:AUK_PRJEB4211_v1:scaffold_195:84164:85300:-1 gene:GSCOC_T00005220001 transcript:CDP17991 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVVKATEFLAITRASIEVPFLFPIVFTTGPRVDDHESLVKYAKLLSDHKSDSQNVKEFVQGIIEGEVHVSAAAMTMEEIFKGTKDFKREVLEKVQLELNQFGFLIYNSNIKQLVDVQGHEYFSHLGQKTEMGAANQAKVDVAEAKKRRGIGAKERAELTLQNVAKIDVETKDTEIKVKSEVQIYENQRVAEVAEANAELNTKKSKWAQQAKMAEVEAQKVVAIWKAELQKEVECKAEAVLYHQAKAAEAQRVGAQAECYARQKAIDAELCAKCKEAEGLKVLARHKESISALC >CDP17995 pep supercontig:AUK_PRJEB4211_v1:scaffold_195:181364:182186:-1 gene:GSCOC_T00005224001 transcript:CDP17995 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAVRRVVLFFAALQASNGHWPAENAGPLFFVPPLVMCLYITGHLNSIFPTEYWKEILWYIYCHRNEDGGWGLHIEGHNTMFCTALSYICMRILGEGPDGGSLNNACSRARKLILDHGSVTLIPSWGKTWLSILGLFDWYGTNLVPPEFWILCDNPTFP >CDP17993 pep supercontig:AUK_PRJEB4211_v1:scaffold_195:162991:165123:-1 gene:GSCOC_T00005222001 transcript:CDP17993 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIHYEDENSRYITIGCVEKVLCMLACWVEDPNGDYFKKHLARIPDYMWVAQDGMNMQVTDNPSGNFRRMYRHISKGAWTFSDQDHGWQVSDCTAEALKCCLLFSTLPPEIVGEKLEPERLYDAVNILLSLQSKNGGLPAWEPVGADNWLQLLNPTEILANCAVEHEYAVCTSSAINALVMFQQLFPGHREKERESFIVSASKYLENVQMPDGSWFGDWGVCFTYGTWFALGGLVAAGKTYDTFMTLITENSYLASLLTIFSLCQKKKKEIHSSRRRTIKSGSRSLGIDGAYLRRTSG >CDP20888 pep supercontig:AUK_PRJEB4211_v1:scaffold_1950:4397:4757:-1 gene:GSCOC_T00001098001 transcript:CDP20888 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNLEVFTNKDKAVAQLKGNAKKVIIFIPSKDAPMFVTTNYLAPLAKVFCFISSLTS >CDP20889 pep supercontig:AUK_PRJEB4211_v1:scaffold_1955:2648:5228:1 gene:GSCOC_T00000523001 transcript:CDP20889 gene_biotype:protein_coding transcript_biotype:protein_coding METDWNTKALEFIEEMTKNAGEVQKMVLADILKQNGETEYLQRFNLDGATDTKTFTSKVPCITYEDIRPEIQRMENGDRSAILTALPVSDFLLSTATTSGKRKLIPMPEEEWNRRQVLSSLEMPVMNVYVPDLNKGKGLYFYFPWPEIRTPGGQMILTALTKFYRSEHFKSQRLDPYTQYTSPYESVMCTDYVQSMYVQLLCGLYQHKQINRVGASSASALLRVIKFFELNWQDLVHDIRVGSLNPKITYEPLRECMAQVMKSDPELADFLTIECSRGNWEGIIQRIWPNAKYLQTIITGSMIQYAPLLVYYSGGLPIATAKYASSECCFGINLNPISKPEDVSFTFMPNLAYFEFIPQEHLSSKMDIVNIVITTHAGLYRYQMGDILRVTGFHNSAPNFKFLRRKGVLLSIELDKTDEVELQMAMDNASQILQEYNVCLVDYTSHASKKMAPGHYVIYWELSVRDSDKNAQSDEVISRCCPIIENSFSLIYKQHRVHGAIAALEIRVLKNGTFQDLVEFAVSRGASIGQYKVPRCVETGAVLEFLDSRVVSSHFSPCLPSLASEESI >CDP20891 pep supercontig:AUK_PRJEB4211_v1:scaffold_1956:19675:22040:1 gene:GSCOC_T00009515001 transcript:CDP20891 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIGWLFFTFISLSSFFSPCFSKNPSSGDINWWCNQTPYPEQCNYFFLNHGTNHFMPKEKADVKKMAMQITLERALKAKTHTENLESKCRNEREKAAWADCLKLYENTILQLNRTLDSNTKCTDFDAQTWLSTALTNLETCRSGFQELGVSDFVLPLMSNNVSKLICNTLALNNNINVSVETQTYKDGFPTWVTPGDRKLLQSSLVRPNLIVAQDGSGNYRTIKAALDAAAKRSGSGRFVIQVKRGTYRENLEIGNKMKNIMLLGAGMRDTIITGSRSVGGGSTTFDSATVAVTGDGFIAQGITFRNTAGPQNHQAVALRSGSDLSVFHRCGFEGYQDTLYVHSQRQFYKECYIYGTVDFIFGNAAVVLQNCMIYARRPMDKQKNTITAQGRTDPNQNTGISIHNSRVMAASDLRPVLSSFKTYLGRPWKQYSRTVFIQTYLDSLVDPAGWLEWDGNFAFNTLYYGEYKNSGPGSSTSRRVKWRGYHVITNPTEASRFTVANFIAGRSWLPATNVPFAAGL >CDP20890 pep supercontig:AUK_PRJEB4211_v1:scaffold_1956:2919:4304:-1 gene:GSCOC_T00009514001 transcript:CDP20890 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTTSMGVSEKTQRTEIARPQVKTFHAKKRSAFLESQRPEQGKQGRPFAKKGRGAGGVKILETLRKDTPGGDPSGKGPLRGISRRDKT >CDP20892 pep supercontig:AUK_PRJEB4211_v1:scaffold_1960:989:1746:1 gene:GSCOC_T00011312001 transcript:CDP20892 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESKTGEVHENVIKLKEIVASPGTHPSPYVQSGYLIHKHQTYTICNRYKGSIYMIFGYMDHSLTGLADCPELRFTIQHINCYMK >CDP20894 pep supercontig:AUK_PRJEB4211_v1:scaffold_1968:12821:17341:-1 gene:GSCOC_T00003010001 transcript:CDP20894 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSKRREMDVMKLMMSDYSVETVNDGLSEFNVLFHGPKESTYEGGVWKVHVELPDAYPYKSPSIGFVNKIFHPNVDELSGSVCLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPSDPLNGDAASLMMKDKTQYEQKVKEYCERYAKAENIVKSKEDEESEEEDISDGHCTSSDDEIPGLEDP >CDP20893 pep supercontig:AUK_PRJEB4211_v1:scaffold_1968:5120:11290:-1 gene:GSCOC_T00003009001 transcript:CDP20893 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVPPSWTSFMVFSLLLVKVSAETVEVRQALVQFLDNIYPANAGRDASWGWNLSSDPCDARWKGVDCRGTSSVRKIVLDEINLTGILDASSLCVTRSLAVLSLNDNNVFGNLPEEISNCSRLTHLYLSGNNFTGNLPKSLSRLNNLKRIDISNNRFSGALPDLSRISGLLSFLAENNQLSGEIPDFDFSNLAKFNVSYNNFSGPVPNVDGHFGPSSFLGNPELCGQPLSIACPTPPPVPAPTPAKKKHSSSKQYLIYSGYAILALIIIFLVGCKVFKRKKHGAKNSMAAENESSKPSSTSSDSKIREGSRSEFSIMSPATRKSSSLVVLSSPMVNGMQFEDLLRAPAELVGRGKHGTLYKVALNNSVTLAVKRIKDWEISRDNFKKRMQKINEVKHANVLPIVAYYCSEQEKLLVYEFQQNGSLFRLLNGKPKYFSWHLIPHSLELHNFYKSEMFDWGSRLNVAASTANALALMHQELHNDGIAHGNLKSSNILMNKDMDPCISEYGLKMVENHDEQFTTQIESIMDSDSQGSKKIFKADIYSFGVILLELLTGKLVQNRASDLANNVLNSILHLSPAIISLVSFLPPPKCLYPKLTTTYQRIFVSWIFQFHNLDVSLQEIGFDQLLIFLHNQRILDQTT >CDP20895 pep supercontig:AUK_PRJEB4211_v1:scaffold_1972:682:2580:-1 gene:GSCOC_T00008045001 transcript:CDP20895 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKFSFDNLPSPAVKKCFAYCSIFPEDAEMEGDMLIELWMAEGFLHAGLENKTMEEIGEYYLEILLQSSLLEEIRYGGRRRCYKMHDMVHEVSKSIMSKSTRFINSETGSGDNSNQVRCLVMDSFGEGAKNLFESRSNLLHTLFLSQGSLSDDMLMKLKNLHVLNLSSEENQNLPISIGKLIHLRYINFEDSLLIANLNLSGETLPESVCKLYNLQTLRLKRFPLKVLPKGTCNLISLRHLHFYTFDKEFQMPLEMGRLTCLQTLEFFNVGREKGRRIGELGSLKNLKGSLEIRNLELVKDKEGAEEAKLSEKANLVELELKWARDREGGDYNDEDVLDGLRLHPNLEELVIKNFMGDQFPRRLMELPTATTLPKLARLEFTRCNRCRELLPLPNFMSLKELKISKCDGLTNLPGDMLHSCASLQKLEVGYCKNLISFPLDLQQTPSLSELVLYECPKLKTSMTPKGFGFLTSLRELRIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSSALHRLALIGLAHVASLPHQIQYLTTLTSLSLLDFGGIKALPDWFGNFAALEYLHLIGFKELGHLSSEDAMRSLTKLKCLHVYGSPLLKERCTPESSGPDSQWSKVSHIQDLEITDDY >CDP20896 pep supercontig:AUK_PRJEB4211_v1:scaffold_1974:5857:11270:-1 gene:GSCOC_T00003321001 transcript:CDP20896 gene_biotype:protein_coding transcript_biotype:protein_coding MESGMINLGSSLKVPYVQELAKEKFASVPPRYIRPDPTKLHGVSTEEIPVIDMQRLLSDESVNPELEKLHFACKEWGFFQLINHGVSSSLVDKLKLEMQKFFNLTIEEKKRFAQEPGDVEGYGQAFVVSEEQKLNWGDMLFMVALPTHLRKPHLLPNLPLPFRETLDKYSRELKILAIKALEQMTKALGMELEDMTMLFQEGLQSMRMNNYPPCPQPCPQPVVPLPNAFIVNVGDILEIVTNGIYKSVEHRATVNLHNERLSIATFFSPKLDGDMGTAPSLITPENPAIFRRISMCRNITHRITTNK >CDP20897 pep supercontig:AUK_PRJEB4211_v1:scaffold_1974:17906:19227:-1 gene:GSCOC_T00003322001 transcript:CDP20897 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQNKKAGTWVAVVPHPKAFIVNVGDITEMQIVPNGIYKSVEHRATVNLHNEGLSIATFLVPKLDGDMGPAPSLITAENPAIFRRISIIDYLKAFFYRELDGKSFVDALRTQIEDF >CDP20898 pep supercontig:AUK_PRJEB4211_v1:scaffold_1977:1:5877:-1 gene:GSCOC_T00008167001 transcript:CDP20898 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFWNQTVALTRKNLIYHRRHLRSNLRLILFPVILFLSVGWLRRYLAKKNVFGEHYNAKMATEGTPLLLIPAAEFRAVKTNQIPQSNDLPDKSCRINGSCPATILITGNNREFGESVAGNMFSNSSCGLNNTADCVFVIFSLFCSFGYLFLPTDKTSEKKYYYLQSQCKANFTIPVRTQDGTEVTECLQGLLAWRKNYMEINDELYDGQYKNGEINEILAAYDFRDSDMKHFDVHLWYNTTPTSSEKPPNEVPVGSTLNMVWNAYLQSLLGPSVRMIFEFIGEMPRASTNVTYDFASSIGLVFFTWVILQLFPVILTSLVYEKQQKLATMMKMHGLGNAPYWLITYLYFLVIFSLYMVCFVVFGTLAGLTIFTLNSYSIQSVFYFIHINLLISAAFLLSTFFSSAKIFGFVLVFGSWLVGGFFFKRLIADVSFPRVWLIVLELFPALSLYRGLDELFNFSEGAFEMGAYGMRWQSLRDENSGMREVLIIMSIEWLVFLFMSYCTLGGCFCRSPLSIFRSSQERPPSFQSPRLQVQESGVLVQVDNQDINQEILKVEHLLNEPGTSYPIISHKLQKTYPARDGNPEKQAVRGLSLAVARGECFGLLGPNGAGKTSFISMMTGLTKPSSGTAYVGGLNLKTQMSEIHS >CDP20899 pep supercontig:AUK_PRJEB4211_v1:scaffold_1977:9343:13573:1 gene:GSCOC_T00008168001 transcript:CDP20899 gene_biotype:protein_coding transcript_biotype:protein_coding MFALRKINNYTKSRPNFSAKVRDPKPITNPPIPACEDKLIINVPCFDFVWSGSGNQRLEFIVNGIMTNNPGHTILRVKSFRTKDELDKWLLDNPMRCPGALHLFERNAEEIRYDIEINSSSSFELGRQIEDPAFKFQVPLQYAVSREISRSLIGDPNFSFNVGLKEFAHPPRSIDSSNSYDASFPAIFYLLVAMFGFTFRIHSLVLEKELKLRQTMSIMGLYDSAYWTSWFIWEGFMAFLTSLLIVAFGTMFRDDVFMKNNIFLVFLLFFLFMISMVSFAFMISTLFSKSSSATTVGFFILAFELVTVASIFSSLFYNGTVKNNNYHILWSFFPPNPFAGGFTALEEAAGEGGIRWSQRAECKLLGDPCVSMVYFYLWLVSLFFFWSLVAIYFDNIISNSAGLRKSHLYFLKPSYWIGRGDNNLTDHFTLDDEDVHEEEASVKKATIEGTVDPDVAVQLRGLTKSYSMALKIRCHRFSFCYFCCTCKITKPFVTVKDLWMNFPKNQLFCLLEPNGAGKSTLISCLTYNEVCFLLAALIYVNSIRNLKGMSTIRRLAGVCAQFDSLWNALSPKEHLHLLASIKGLPTATRKSEVKRLLAGVDIDKIANVRAGSYSRGTRH >CDP20901 pep supercontig:AUK_PRJEB4211_v1:scaffold_1982:6812:7332:1 gene:GSCOC_T00008883001 transcript:CDP20901 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLPRIIQAKQILRRSSKDVLKGYIAVYVGVSEKKRFVVPISYLNQPAFQELLSQAEEEFGFDHPMGGLTIPCREDKFIDVTSCLS >CDP20900 pep supercontig:AUK_PRJEB4211_v1:scaffold_1982:1:3182:-1 gene:GSCOC_T00008880001 transcript:CDP20900 gene_biotype:protein_coding transcript_biotype:protein_coding MSIERPLRVSVYWGGRIHYEDGSICYLPRACNRTFSLRSRIGYDELVDRIYQYMGVDRGLFKFNIFLRQPCGRSSYNVSPVVMTRVPPLNMESTY >CDP20902 pep supercontig:AUK_PRJEB4211_v1:scaffold_1984:3728:10291:1 gene:GSCOC_T00012058001 transcript:CDP20902 gene_biotype:protein_coding transcript_biotype:protein_coding MVKINKFILSLAVSIIAVIASWNPSAVTANSEGDALYSLRRSLFDPDNVLQSWDPNLVNPCTWFHVTCNQDNHVTRVDLGNSNLSGHLVLDLGKLEHLQYLELYKNNIQGSIPVELGNLRSLISLDLYNNNLTGVVPHSLGKLKSLVFLRLNDNHLTGPIPRSLAGISSLKVVDVSNNDLCGTIPTSGPFEHIPLNNFENNPRLEGPELQGLASYETNCS >CDP20903 pep supercontig:AUK_PRJEB4211_v1:scaffold_1988:12950:21549:-1 gene:GSCOC_T00013102001 transcript:CDP20903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DEAD-box ATP-dependent RNA helicase 29 [Source:Projected from Arabidopsis thaliana (AT1G77030) UniProtKB/Swiss-Prot;Acc:O49289] MAVLASSKSELKRKQKQEKKSKSGGFESLKLSPNVYRGIKRKGYQVPTPIQRKSMPLILAGNDVVAMARTGSGKTAAFLIPMLEKLQQHVPQAGVRALILSCSRELAIQTLKFAKELGRFTDLRISLLVGGDSMESQFEELAQNPDIIIATPGRLMHHLSEIDDMSLRTVEYVVFDEADQLFEKGFAEQLYKILSQLNENRQTLLFSATLPGALAEFAKAGLRDPQLVRLDLEMKISPDLKLTFLTLRQEEKYAALLYLIREHISSDQQTLVFVSTKYHVEFLSILCREEGIEPSVCYGDMDQDARKIHVSRFRARKTMLLIVTDVAARGIDIPLLDNVINLDFPAKPKLFVHRVGRAARAGRTGAAFSLVTSEDVPYLLDLHLFLSKPIRAAPTEEEVLQDMDGAMSTVDQAVANGETVYGRFPQTVIDLLSDRVREIIDSSAELSALQRPCTKAFKLYAKTKAKPSRESIKRLKDLSREGLHPVFWNLLGGNELTALAFSERLKAFRPKQTILEAEGEAAKSKHQSDQWVDVMRKKRAIHEEVINKVHQQRSTENVRKEVLTENNSSMGEEMQVSGSKRKKPKSFKDEEYFISSVPTNRHFEAGLSVRANQGLESNRLEAAVLDLVADDSGGLVKQKSAYHWDKRSKKYIKLNNGERVTASGKVKTEGGAKVKAKKTGIYKKWKERSHSKVSLKGDANDGNGEDSRSFAGAPGVQGNNRRFRGGQKRHSIANANVRSEIKDIEQVRKERQKKANRISHMKSKSKKGKKFNKNGKRGRTK >CDP18050 pep supercontig:AUK_PRJEB4211_v1:scaffold_200:21403:28267:1 gene:GSCOC_T00012857001 transcript:CDP18050 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLSLKLLSKTSKTLSNNTTTTYSIYSKTSPFLPSTNSPSPQNNPFFSQYSSWTSYRPHNRVFSKEISNGFFSNPSNSTKLLILRNSPSTGQTIVTGLRAQFARRNFHSNFSFDSNRRSWFQRLTTDGVVLGLILTNVAVFLLWRIADPSFMKKNFMISLDNFKSGRIHTIITSAFSHIDSGHIISNMVGLYFFGTSVGITFGPTYLLKLYLAGAVGGSVFYLVHHALLAPSSKGKHMWSFDPSNVPGLGASGAVNAVMLLDIFLFPKKTLYFDFIIPVPAILLGIFLIGKDMLRIMEGDNRISGSAHLGGATVAAIAWARIRKGRFRF >CDP18051 pep supercontig:AUK_PRJEB4211_v1:scaffold_200:299173:301370:-1 gene:GSCOC_T00012859001 transcript:CDP18051 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSLILRRFVLFSIAAKDEELRRVLGSRPHVIGKGHHRLPLHFLLECQGTEVICIESLKMKAVVINDVNLAH >CDP18053 pep supercontig:AUK_PRJEB4211_v1:scaffold_200:521223:523605:-1 gene:GSCOC_T00012866001 transcript:CDP18053 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGSGEAPKPVTNSAEAPKNQAPVETNVVSLKPTSVAQPVDASKQIPAGIQGNKANNYFRAEGQNSGNFLTDRPSTKVHAAPGGGSSLGYLFGGGNN >CDP18052 pep supercontig:AUK_PRJEB4211_v1:scaffold_200:461362:474641:1 gene:GSCOC_T00012861001 transcript:CDP18052 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKLQVEEGVGPGKRWGHTCNAIKGGKLLYVFGGYGKDNCQTNKVHVFDTVSRTWNEPAMNGVLPAPRDSHSCTTVGDNLFVFGGTDGRSPLGDLQILDTSSNTWISPSVRGDGPAPREGHSAALIGKRLFIFGGCGKYDGLEKYYDDVYILNTETFFWKCVEPSGTPPSKRDSHTCSSWKNKIIVIGGEDVSDYYLSDVHILDADSLVWCKLNTTGQLLPPRAGHTTVALGKYLFVFGGFSDEQNLYDDVYVLDMENGAWTKVMATGEGPSARFSMAGEVLDPYMGGVLVFMGGCNKNLEALADMYYLYTGLTREYGREERRLEKLSMRKQLKLRCQEQNPLVTAEGIAATHQPMHVTSYVQPNLQNIHQNQYQTAGGKKTFEARVTKSFADGYTIETFIDGKPLRGLLFRNKPINSMEVDDSTRKVAAVETDGAMVNGDQTTSNITRPVEHKVKDVIQAHGVVQENACPPEAQLEAVATEMKIQEPAEALLSLEVGAVEPSAALDVKIKTNGLNNLPHPSSELPEDCVSTAKECVT >CDP20904 pep supercontig:AUK_PRJEB4211_v1:scaffold_2006:10503:10724:1 gene:GSCOC_T00009491001 transcript:CDP20904 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTIHIALLFMEVVWTANIHDCINGKIWPVMGAGYHTIHRTTYRHNYCHYTIWMDWMFNTLRDPEEDEAKKS >CDP20905 pep supercontig:AUK_PRJEB4211_v1:scaffold_2008:12204:12729:-1 gene:GSCOC_T00013803001 transcript:CDP20905 gene_biotype:protein_coding transcript_biotype:protein_coding MYELHHISLIKLQVKVCVHVAVKYFFNFTYFSILLVGSADHRIYYYDLRNTSNPLYIFSGHKKAVSYVKFLSTNELAFASTDSTLCYMWLCPTSLFVQGSPFLSWL >CDP18056 pep supercontig:AUK_PRJEB4211_v1:scaffold_201:424331:425977:1 gene:GSCOC_T00000274001 transcript:CDP18056 gene_biotype:protein_coding transcript_biotype:protein_coding METLVHYLLPLVLFPLSIGLVFFLRKRSSGRVNLPPGTSGWPMLGENIPFALLGSQKFVKDRMRKHSPEVFQTSLMGERTAIFCGAQGNKFLFTNENKLLTSWWPQSMKKAFLFPEFVENSLKEVSALKRSFMHDILKPEALKQYIPVMDAMAREHIEKEWSRNSEVKVFPLSKKYTFDLACRLFMSIEDPDHIKRLGNPYHLVTNGMFSVPIDLPGTSYNGAIKGGKMVREELLKIIRKRRNELMQCKETARCDLLPLQRISHGFHLCRFYYSKGMEGMTESSTLTNKSKNLFVFQSLTEKFDPSRFEGSGPAPYTFVPFGGGPRMCPGKEYARLEVLVFMYNVVRRFKLKKLMPNEKIVIHASPVPVNGLPVRLQPHGS >CDP18055 pep supercontig:AUK_PRJEB4211_v1:scaffold_201:395332:395478:-1 gene:GSCOC_T00000272001 transcript:CDP18055 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIHKIEETLGVGGHRMITKSTRRVRNTTMERSTRRKAIVVNTRKE >CDP18057 pep supercontig:AUK_PRJEB4211_v1:scaffold_201:506545:514033:1 gene:GSCOC_T00000276001 transcript:CDP18057 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] METGAQDGSSGYSTMDDYESLISTTDAELLKRAWQNEKAAPQILRFEAALIQRSREQIKLMEETVGEFTRNGIDPLTVSLYQMDLDRTVFLLRSYLRTRLQKIEKYVFHIQKTAELWNRLSRQEKRFAERCIEDMEKHLDQSVLSKLPSSYKSHLKQSSSSEEDDMVPEPRLDTYVICRSKRFLGAFQLDDRQFPVNIEADDLYALPYKSIKPLVETGQIDLV >CDP18054 pep supercontig:AUK_PRJEB4211_v1:scaffold_201:254082:256490:-1 gene:GSCOC_T00000270001 transcript:CDP18054 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGVTSVSRTELTEFWRTIWRKPYIMRLALSAGIGGLLFGYDTGVISGALLYIRDDFPSVKNNTWLRETIVSMAIAGAAIGAAIGGWLNDKCGRKKSILLADVLFVAGAILMAAAPAPWMIILGRIFVGLGVGMASMTAPLYISEASPARVRGALVSTNGMLITGGAFLSYVINYAFTKTRGTWRWMLGVAGLPALIQFLLMLWLPESPRWLYRENKTDEARKVMEKIYPADEVDEEVKALKASIEAEKAQEGSIGENFFTKLKGAWSNVIVRRGLYAGITVQVAQQFVGINTVMYYSPTIVQFAGFASNSTALALSLITSGLNVVGTIISMLFVDRYGRRRLMIVSMFGIIACLVVLSLMFFEAASHAPRVSHSESFNFSVNSTCPGFVQASNPASWNCMTCLKASPKCAFCSNGASQYQPGACLVSDDDIKYKCHSEHRVWFTEGCPSKFGIFTVLLLGLYIISYAPGMGTAPWIVNSEIYPLRFRGIGGGTAAVANWVSNLVVSETFLSLKEALGSAGTFLLFAGFSFLGLVAIFFLVPETKGLPLEEIESMLQEGYKPTLFCCKGKAEEKDSGKRISNK >CDP20906 pep supercontig:AUK_PRJEB4211_v1:scaffold_2011:1832:2172:1 gene:GSCOC_T00011338001 transcript:CDP20906 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVGADGAKVKAVAVCHRDTSAWNPRHLAFQLLKVKPGTVPICHFLPEDHIVWVPKH >CDP20907 pep supercontig:AUK_PRJEB4211_v1:scaffold_2012:1345:1874:1 gene:GSCOC_T00013292001 transcript:CDP20907 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKFFLCCRLCCFLCFRFMKREHLIDVTEVFRVLSVEKKLRVVKLGFYLLLFVLVMTRIYAAGTFSVVMSVFHSDNEELDIRSSILEF >CDP20909 pep supercontig:AUK_PRJEB4211_v1:scaffold_2013:4007:10784:-1 gene:GSCOC_T00002422001 transcript:CDP20909 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRLKQQQQQQQQALMQQSLYHPGLLAHTQIEPILSGNLPPRFDSSTCRSVYVGNIHPQVTEPLLQEVFSSTGPLEGCKLIRKEKSSYGFVDYFDRRSAALAIVTLNGRHLFGQPIKVNWAYASAQREDTSNHFNIFVGDLSPEVTDSTLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRSQQEAQSAINDLNGKWLGSRQIRCNWAAKGAANGDDKQSLDAKSVVELTNGTSEDGQEKSGEDAPENNPQYTTVYVGNLAPEVTSVDLHRHFHSLGAGVIEDVRVQRDKGFGFVRFSNHAEAARAIQLGNACILFGKPIKCSWGSKPTPPGTSSTPLPPPAVAHMPGFSATDLAAYERQIALSKMAGAQALMHPQGQRIGAASQAIYDGGYPGIATTQPPIYYQ >CDP20908 pep supercontig:AUK_PRJEB4211_v1:scaffold_2013:1500:3256:1 gene:GSCOC_T00002421001 transcript:CDP20908 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRPTPVIGNLTNSFFLGKRAAGLMDVATSPRSPLEFRIQSPRGMKHYDLGGVGLGIVAALEKSVHDHANENLATKALSNRNINRSNPIPVNPARNFNNRANRKGRIEEAEMDSMEDYTFVTCHGPGNKSFTRVYYDGGSKGYQISDFGREGSRSNRPSLFTLSPARFDNSPAIPTCDFLDSCNLCHKKLQGRDIYMYRGEKAFCSTECRYSHMMMDEHKEKCSSEASRSVEVSSSPYKNGHGQILSPGIFAI >CDP20912 pep supercontig:AUK_PRJEB4211_v1:scaffold_2014:23535:24053:-1 gene:GSCOC_T00008891001 transcript:CDP20912 gene_biotype:protein_coding transcript_biotype:protein_coding LIDLANLRSVNVSIAENSAWVQAGATLGEVYYWIAQKSRTHGFPAGLCTSVGIGGHITGGAYGTLMRKYGLGADNVVDARIVDSSGRILDRESMGEDLFWAIRGGGGGSFGILLAWKLRLVPVPAIVTVFTVRRTLEQGATKLLYRWQQVADHLDEDLFIRVLSRPTNSTDD >CDP20910 pep supercontig:AUK_PRJEB4211_v1:scaffold_2014:22693:23195:-1 gene:GSCOC_T00008889001 transcript:CDP20910 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRISESETPYPHRNGTKFMIQWLTRWESGDDEETIKEHIDWIRKLYKFMTPYVPRSPRAAYVNYRDLDLGVNRIDGGTSLAEASSWGTKYFKNNWKRLVLVKTKVDPENFFRHEQSIPITDAFSTGKKKGKEDWHGFI >CDP20911 pep supercontig:AUK_PRJEB4211_v1:scaffold_2014:23247:23462:-1 gene:GSCOC_T00008890001 transcript:CDP20911 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGFPELGLTQKDCIEMSWIESVLYIAKYPRNIQPKFLLQGKPLLNKVYFKAKSDFVKEPIKEHALEGIW >CDP18064 pep supercontig:AUK_PRJEB4211_v1:scaffold_202:392161:393649:1 gene:GSCOC_T00003117001 transcript:CDP18064 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKPLVSFKLENSRFNKQYLKNHISLSTSPKLENLVLLESSFKVLKVLRRHFSTNVSERHSNFGSKLVT >CDP18058 pep supercontig:AUK_PRJEB4211_v1:scaffold_202:32146:38350:1 gene:GSCOC_T00003110001 transcript:CDP18058 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFSGGMDGHGFRGGRTPLLNKHAEKGKNNQENGQLTDLEHGDAVQPANVGFLRVFSLAKPEAGSLIIGTVALLIASTSSILIPKFGGKIIDIVSGDLHTPEQKSRALEAVKSTILDIFLIVIVGSVCTAVRAWLFSSASERVVARLRKNLFSHLIYQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLSTAFIGLGFMLTTSWKLTLLALAIVPLISVAVRQFGRYLRELSHKTQAAAAVASSIAEETFGAIRTVRSFAQEDFEMSRYSEKVDETLQLGLKQAKAVGLFFGSLNAASTLSVIVVVIYGATLTIKGSMSPGDLTSFILYSLTVGSSVSGLSGLYTVAMKAAGASRRVFQLLDRVSSMPKSGSKCPLGDQDAEVELDDVWFAYPSRPKHMVLKGITLKLQPSSKVALVGPSGGGKTTIANLIERFYDPVQGKILLNGVPLVEIAHQHLHRKISIVSQEPVLFNCSIEENIAYGLDGKASSADIENAAKMANAHEFVSNFPDKYQTHVGERGVRLSGGQKQRIAIARALLMNPRILLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVVSDGQIVESGTHDELLSRNGIYTALVKRQLQGPKAEI >CDP18063 pep supercontig:AUK_PRJEB4211_v1:scaffold_202:224177:229260:-1 gene:GSCOC_T00003116001 transcript:CDP18063 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTETQETTGDTNNCVLALAANNSATNNLLERRPWEKLQAETKLIDSSATPLCDRIGVDRGQCPKPAAKVNSGQGKKKRDKHTTRHPNAVNLDHRVDNMTNNSGILSNVSACAMDNISSGRVSELIPNEGRSKQKRRYTMETDEKTVQSEISNLMTCSPVDEDVFPVSVKSIPTEDSEMKNNVAGYCLSGGRKEATEECKKHILSESADISVKVEARSCRATLKSEKCLRPLNSDGIPVSAGKIKVESSLLESHDDDEGVTNDSSEMKFQKTCQRTCQIREVVKTYSRKRKKVYDYPVVDSKSFQEDTSSLEEENMKKSFSDHAVQMYSGGCSKDLNEKKLVEGVLEDLLLDKVDDGCTDVESLGMENERRMDIDNCKRKTTEGCLNKDVPLVHSAEQHFEQCERVKPDHVMGTQGDSRISHVAKEIDDESGKIVSDEYVDKHSLPSLSDLSNSRYATTQVDNYMNNSLGRSLIGSYGKKLLVLDINGLLADIVRVPHRCMPDAIISGKAVFKRPFCDDFLQFCFTRFSVGIWSSRTKRNVELILDFLMGDAKKKLLFCWDQYHCTETGFNTVENREKPLLVKKLKKLWEKYERNLPWERGEYNETNTLLIDDSPHKALGNPPHTAIFPHSYQYSDEDDNSLGPGGALRVYLEGLGNAENVQKYVEENPFGQRPITEKNLSWRFYLKVIEAMSSTSRDIHYRYSRENYHWRARDTPDRYSREHYHWRARDTLNRYSRENYHWRARDTPNTYSRENYHWQANR >CDP18061 pep supercontig:AUK_PRJEB4211_v1:scaffold_202:201554:206663:-1 gene:GSCOC_T00003113001 transcript:CDP18061 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFLHSLTDDNPDLQKQIGCMTGIFQLFDRQHIITPRRITGHSTKRIHSGVTFEKESSTIYNRSATVEKHSSKHVLERQILSTESSRASFSSSSRSSSFSSLDYNKTGQTEPFDRIIFPETPSRDPAMSQANTSPQFGRQMLDLREVVKDSMYREAQGLPVKTVGRDGAADSLAKHRDSPGPVQLSRANDGSYGQGVNGKQDLPVDLKESLRVLSKLREAPWYTNEPRELSRSSSYQSKDGSCFSLAKEAPRFSYDGREMRNVPFESQDNSKSSLKFKELPRLSLDSRENSMRNFNSDLPPDLYLKFPLEENGSSNSNVTSQQQKSGTQSRPPSVVAKLMGLEALPDSISSAGSSELSDLCRPIQVSNSAKNLWKEPRSPRWKNPDSIMKPISRFPIEPAPWKQMDGNWSSQKPACKGMKAPAKSPFSFPSVYSEIEKRIKDLEYSQSGKDLRALKQILEAMQTKGLLEIQKEEALNFSASKDHEQRFMNSTSARTGSQRKLQNDVVSTSTRRGTMSSRNFESPIVIMKPAKLVEKSGIPASSVLPIDDLSSLPQIQGGTFSDSRRSAINSRAAKNQIPKSGSRDNAGNSKDMKSNNRVLKSPQTSVKSPQLPKESSAGSLKSSGSISPRMQQKRQELEKRSRPPIPPSDLSRTRRQPNNKQAKESSSPGGRCRQKSMNLQLSGDQLSENTNESRNLSYHENEISAQSDGSILSDSRLDVEVTSAERSPEISSGYSPSMEAVHYLASDLINKKSMPIAREEEPLAEHPTVAPEYPSPVSVLDSAMDMDDSPSPVKRITKTFRGDESHETNVIPNTEECSVVDSLATNAVGPCPASEISRKKLQNVENLVQKLRRLNSSHDEARIDYIASLCDNTNPDHRYISEILLASGLLLRDLGSSLTNFQFDPSGPPINPKLFLVLEQTKGSSTYLKKECAPEKAVQLRSKQKVHRKLIFDTINEILARKLAILELSSDPWLRPLKLARESLNAQKLLRELCSEVELLQGKSSKSSLEDEDDGLKTILWEDVMNRSENWTAFNSEVSSMVLDVERMIFKDLVDEVVIGEAPALRNKPVGRRQLFAK >CDP18060 pep supercontig:AUK_PRJEB4211_v1:scaffold_202:43467:44708:1 gene:GSCOC_T00003112001 transcript:CDP18060 gene_biotype:protein_coding transcript_biotype:protein_coding MCTISYRSALGSFHNTVKASLYVNPYFLKQSTLIFTSYPALKAPIRKIFSLITVLHFKLLSIFKHCVSSPTSIPSTRGSLRTSHLTTSKLKPRSSNSSNNGFIFFPTSNAIENGNRRIIRSTSSFSKPISIKNTILSRILSSSIMITKGNASKTLTTVLSLNPKPFKHITHLKASSGPNSNLLETSKMFLMAEDVKLEIPISENLVSHFSRKSKSKILGQLYTTEERNSCEGFKDKRILRDFWT >CDP18062 pep supercontig:AUK_PRJEB4211_v1:scaffold_202:213163:214506:1 gene:GSCOC_T00003115001 transcript:CDP18062 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSKLTEDKIPELGMEFNSKEDAYKFYNKYAFKMSFSVRKDYLNKDKDGVITSRRYSCCKKCVKRKYEDDAMPKRTRAPTKTGYETKMIIANL >CDP18059 pep supercontig:AUK_PRJEB4211_v1:scaffold_202:38710:43403:-1 gene:GSCOC_T00003111001 transcript:CDP18059 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEGVHARRIRVIGEVTCNGCCSWESLGSVIMGSVLMYLDKANFPRGYIGLELSTGTPMLYEFEDGSLIQKGNPEAPMLGFMLNTRKNKAGTLFEDQMKLQRALYKMR >CDP18065 pep supercontig:AUK_PRJEB4211_v1:scaffold_202:432853:446087:-1 gene:GSCOC_T00003118001 transcript:CDP18065 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSRNYATPADQGPYPPLQHCPPAPPPAFSRSSHLPLPTAIQQSRLQIPLHVGHLGHPVYQYTPSGTPQQGPLSPVLSSGINSQPFFALPPPPAPQPLQVPGNSRVQLPLQWTHTTFVSPTGPPSVSRVLPQPPSQGQTQFSSQVHQAQAGSMQPQVQPNPPASSLCTSPPVGSFKHSMPQLSTTAPAGPPALPPPPPLPSPPGSPPTRSSSPPPTSLSTFSKSCTLIAVPAASKPAYHHEIGSRDNKSSSLETSDQVGDAVHDGEAGGKSVSALRKNLISDLPSPPPKPADDRTVHSIEVLCQYIAKNGPEFEILTRQKESGNPEFKFLFGGQPGSETAVAHEYFLWTKRKYLLGSGSVENQGDHDLLLRPSGRSASQLKNAKNVSPPYSPVDSDMDMEDDITHPDEEPQNCTPNEISHEIISVSNRLDKWQELQPLPGIAEHSLAKDASDETLTYSGWQVSEELIQGAGISSDQNRSIIRKSVTKLGGLIASSSGDVENLSTSNVTKTSELLEVISQTNTSAHLAEVRLEKLPTQLVTQGGSPFTLLQDYASNESSDDEAHLVPTTAALSVKRDSVPLDTDFKVDWGTDLGAKSALESHKGLEQLPQSSILKPESVVVEAEMPYTTGKPEDFVDNTYGNQESPKGGMPQREYQQKVFLIDDANFEKQKVAKSFSSTSKLDKFGRLIKAGASDSESDGSPCFSRRQGKRSRNRSRSRSPHDTRWRRSPWRRREKRGRSRSLSPKRRRSRSRSPLFQHGEDKMRRDKSHRSECFDFIRGKCYRGASCRYLHCEDKSDRSRSYKRKEQFQDLPYSSRSSDLHEKTEIPYKKLSREHDGGRIQQLKPQKVKANTDGKKHTNEEMNEAVTCFPDKSGPVEPLVNPILEANIKKIPGIAAHCRLSPSENLDVHQSQGNSSDQFLRNADYQHQQRDASCHPKCSPVHASASDPSPHLMDKSCVNEEGANESRVTLSTMNETLPCQSVSSQPMVHKESISSDHRSHLPLSFALVSQDTSSSINQEMPRDYNLISPAGVFPSHSSSVESRHLYQPSVCHSHSQFPFRPNPTWSSVPPPSALYHHPPPPSAFVSDRNLTPGPYTASSAHTMQNMLPRTNDFSSQTSARPFLTEFRISSVGKNQVYPPMQEPNRPPDQLNDLHPRTFPVSQPSQSHGRAGLVGEGQFTGQPGPGLYSSNSFDKDKKHSQTMPFSRESPLKRAQAFPSDTLPPVDGVSSIHHEHGNLSSTMPSYTTDFLDRNQPSVLSDTGISRISDRFNPYACTFDQPLSSKFSCSSLLQERDRPFGNQLGAAYSFSQVPVAGRDIANHDSGNLMSSQNSAQPLDGISPQPGDGQYDPIYDSIEPSLNSVRKSNYGQKHEITDESDVIVRSSGSNKPLDKKEHKLKGAVCDEEIGSLENDEYGETADGEVGAVENGSPSNLNDAAETAAGEVEIDQVKAPGKSKKSKDSRSMKLFKVALADFVKEVLKPSWRQGSMSKEAFKTIVKKTVDRVSGAMKSHHIPKSQAKIDHYIDSSQRKLTKLVMGYVDKYVKV >CDP20913 pep supercontig:AUK_PRJEB4211_v1:scaffold_2021:19330:21273:1 gene:GSCOC_T00008471001 transcript:CDP20913 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVESPKELEILDFLSACNLVKIEAKFFDCLEKLRVLNLSKTKVKDLPCLSALKNLHQLLLSGCLNLEKLPSLASRKLEELDLSNCQALTMIEDVSFQHLPRLRRLILSNAKIEHLPDLNSLSNLEELNLSGVISIERVDFIEHMSKLQYLNLSETLLEQLPSLSNLKGLKHLFLRACQQLEALPPLEVHHNLETLDLSQTAITQLPFLGNLSNLRTLLLNDCSSLEDFENLEMLHISRVENLPCGISNLTQLQCLALPSKKKNIEAADSNKVTGWHQKPSELHWSFSIVDGMVSNTGRALISYNDSLFVEFLGSNPSLLDTTSNHLLISVHPIEVQNGEEDLVFHKDELIFRDIYQVSRHFSKSSGQLMEIHHHSTFPWGSETVLHNAEYVFMFDNLFCKLLSDLGADNIRMMKGRWIEGCENLEFVIKTNDLANGSELGIALEILWISSAPSLRSICSGDLQFGSFQNLKCLYLDCCPKLSTVCISAHFLQKLEILHVKFCENLETLFNNDVEGHKLPNLRRLRLWELPKLKSIGCIMPSIQLLEVGECPVLGYILSGGQHAISTSHMLENLEVLNVRFCDNLETLFVGITSDNCLCPSLHTVQLWNLPKLVSIGTNLSPLQKRSIKDCPKLAIPMP >CDP20914 pep supercontig:AUK_PRJEB4211_v1:scaffold_2022:17698:20440:-1 gene:GSCOC_T00007863001 transcript:CDP20914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID REDOX INSENSITIVE 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10522) UniProtKB/Swiss-Prot;Acc:Q9XIK0] MASATSLYSSTSLLPFSSSDSSSSYYVPRPLSISANRIPISCLHDYSNATYFKHLIRKPLFSNSRNPRVTCRAAEYKFPDPIPEFAEAETEKFRAHLLNKLSKKDIFGDSVQEVVGICTEILSTFLHTEYGGPGTLLVIPFMDMADTLNERGLPGGPQAARAAVKWAQNHVDKDWKEWTSKNSR >CDP20915 pep supercontig:AUK_PRJEB4211_v1:scaffold_2027:5346:7885:-1 gene:GSCOC_T00009020001 transcript:CDP20915 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSITLTHSLIPRCSGNFQDHSSSQASYDVLSNTQQGDPPSLQEVYARSDGNLCGRRSQVDPSWSCTGVCINLVISLCLLV >CDP18070 pep supercontig:AUK_PRJEB4211_v1:scaffold_203:450635:461433:1 gene:GSCOC_T00003688001 transcript:CDP18070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Transcription factor RF2a [Source: Projected from Oryza sativa (Os09g0516200)] MDRDKSVNHGGGLPPSGRYSSFSPPGSSYGVKPEQSGSSTLPPIGPGGISESTHFGHGMSSDSSRFSHDISRMPDNPPKNLGHRRAHSEILTLPDDISFDSDLGVVGGLDGPSFSDDTEEDLLSMYLDMDKFNSSSATSAFQVGESSSLAAGVLGSSSTAASASTSLAPPSEIVSGISDKPRVRHQHSQSMDGSTTIKPEMLTSGAEEPSQADAKKAMSATKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTNGLTAENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQIPNGGPMMNYPPSFGANQQYYSSNHAMHTLLTAQQFQQLQINSQKQQHQFQPHQMHQFQQHQLPQSLIQQQDHPMQQTGDLKLRGSLPTPAQTDNASDTSASLSKD >CDP18068 pep supercontig:AUK_PRJEB4211_v1:scaffold_203:32332:34414:-1 gene:GSCOC_T00003682001 transcript:CDP18068 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLLKVAHKCLDFFFDRPLQEKQRVQRKLGDHCGYASSFTNRFSSKLPWKETLSFRYCDDGQQALNIVESYFLDAMGEDFRESGKVLQKYSEAMSSLSLKIMELLGTSLGVKAKHFREFFTRNDSIMRLNYYPPCQKPDLTLGTGPHTDPTSLTILHQDHVGGLEVYVNGKWHSVPPNPEAFVVNIGDTFMALSNGIYKSCLHRAIVNSRTPRKSIAFFLCPKMDKVVSPPEELVSFDNPRMFPDFTWSELLEFTQIHYRSDMKTLDAFAKWLIHQRDDQKTAA >CDP18069 pep supercontig:AUK_PRJEB4211_v1:scaffold_203:64513:66569:-1 gene:GSCOC_T00003684001 transcript:CDP18069 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIPLCSSLMLVDDLQHQLSIAKIKYINLAMPSAKNQLSSSPATNEAKGEQKSLVFDASFLQNQSDIPYEFIWPDEEKPCPEPPPVLHVPCIDLNGFLSGDPVALSTTTKLVKQACLEHGFFLVVNHGMDLQLLKEAHKCLDFFFDRPLQEKQRVQRKLGDHCGYASSFTNRFSSKLPWKETLSFRYCDDGQQALNIVESYFLDAMGEDFRESGKVFQKYSEAMNSLSLKIMELLGTSLGVKAKHFREFFTRNDSIMRLNYYPPCQKPDLTLGTGPHTDPTSLTILHQDHVGGLEVYVNGKWHSVPPNPEAFVVNIGDTFMALSNGIYKSCLHRAIVNSRTPRKSIAFFLCPKMDKVVNPPEELVSFDNPRMFPDFTWSELLEFTQKHYRSDMKTLDAFAKWLIHQRDDKKTAA >CDP18066 pep supercontig:AUK_PRJEB4211_v1:scaffold_203:9155:10062:1 gene:GSCOC_T00003680001 transcript:CDP18066 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLAVVLKHYGNALQLSKMDWESKEAIFWVWWKKLKRSYKRKREFRHIAITTNILWQILLARRSILKLADECDDL >CDP18067 pep supercontig:AUK_PRJEB4211_v1:scaffold_203:10545:14580:-1 gene:GSCOC_T00003681001 transcript:CDP18067 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRVLSPEAIQAVQSLKLAKSPGKLAEVFANRISRLMKADLLDTLYELQRQNEVELALQVFNFARKEIWYEPGISIFSDMILMLGKSSNIEMAEQLFGELEKEGLRPDTRTYTEMIGAYFRIKMIEKAMESNESMKGSGCVPDKLAFRILIKNLEKTGKKELIATVKKDCLSYIKYPEKFLEEVEKTYPKRRLLNVV >CDP20916 pep supercontig:AUK_PRJEB4211_v1:scaffold_2030:765:1438:1 gene:GSCOC_T00009269001 transcript:CDP20916 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQVFLSLPINSFYGNYRLLPRASFCAQRICPCYGVQMESTCNSNQLKTSPVTRLSSGWSKTHSICPELQPRKCSSQMGALVTSRAEEVPTLPVIGDERIGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQA >CDP20917 pep supercontig:AUK_PRJEB4211_v1:scaffold_2030:1609:19438:1 gene:GSCOC_T00009270001 transcript:CDP20917 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLYGYVHVIFRAVRHYQSCMIVLLIVRKEACLIAFKVVSCVVNNTCMNAPRSLILILMSSAEELRKALWAKNVPAKVYVGMRYWHPFTEEAILQIKRDKITKLVVLPLYPQFSISTSGSSLRLLESLFREDEYLMNMQHTVIPSWYQRGGYIKAMADLIEKELQKFDCPEEVMIFFSAHGVPLAYVEEAGDPYKAEMEECIDLIMEELENRRITNAYTLAYQSRVGPVEWLKPYTDETIIELGQKGFKSLLAVPISFVSEHIETLEEIDVEYKELALKSGIQKWGRVPALGCEPTFIADLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSQRRELPPPVIVWEWGWTKSAETWNGRAAMLAVLVLLVLEVTTGEGFLHQWGILPLFR >CDP20918 pep supercontig:AUK_PRJEB4211_v1:scaffold_2035:23146:23690:1 gene:GSCOC_T00001398001 transcript:CDP20918 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHSPVYTRTPTMYLDLTLKTRAQCLFGFIPESWNAFVYTIDGEGMFGIPNSSPVAAHHALLLGPGEGLSVWNRSSRPLRFVLIGGQPLNEPVVQYGPFVMNTQAEIDQTVEDYHYAKNGFEMARHW >CDP20919 pep supercontig:AUK_PRJEB4211_v1:scaffold_2036:6109:9794:1 gene:GSCOC_T00013041001 transcript:CDP20919 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALAVGNSVLSAFLQVVFDRMATKEFVSLFQKRKNEEELLQKLKLNLLALGAVLDDAENKQTRNQSVKGWLDELHDTIYQADELLDEINTEALRLEVEAEHRSSASQVSVSTYSKSSSNDFLKKMMPEIEKMVVKLDWFVQQINPLGLQVVEQKIQSCRRLPSTSLVDETTVYGREVDKEKIIEVLLSESVNRVNVTVIPLVGLGGIGKTTLAQLVYNDKWVQDHFSIKAWVCVSEDYDATRITKELLGELDIPFSDMSENLNSLQMKLQLGLTQKKFLLVLDDFWNRDYNDWDKLKVLFKGGLQGSKIIVTTRDEKIALMMCKKESIYHLDLMKEGDSWSLFKKHAFENIDGNQSSELEQIGKKIVKKCGGLPLAVKTVAGLLRSETTAEEWKDILVSEEWSQTDNQDGILPALRLSYNLLPSHLKRCFAFCAVFHKDYQFEKEEIIQLWQAHDLLENPRGNRGIEEIGEEYLREMRLRSLFEQSTANFFIMHDLVNDLARFVSGKYCLRLEDHHLGHGTIGRISNFSYHPSSYDTYQKFELLRETKNLRTFLSLSISKNSNQKYEVSPKFLHGMLPKFKSLRVLSLLGYHIIKLPDSISHLKHLRCLNLSSTNVNTLPEWICTFYNLQTLLLPNCKKLQELPVNLAKLINLSYLDISGTPLKTMPLHMGRLRNLQVLTNFIVGKSSGSMIEELGKFRKLRGGLFISNLENVSCSRDASMANLKGKKHLDKLALKWNGYCGTTFPNWIGNPSLTNLKSLSLSSCEYCLFLPELGQLRSLQSLEIVGMSCISALTEDFYGDTRATMPFTSLKKLGIEKMPELEKWHVPKHEIFSNLEELYIIDCPKLIGELPQQCSALRILEISRCDSLVLPNGQLSIFNGNNIQQFTSKEFERVVPRAQPVSQASEIEHSCASLKVLNYEGCCNLEVESESWQLEDLALVNYDSHKVIQNSGAATSVMTSLQTLTISGSVDLMSFPEGGLPAAPKLTQLHLWNCKKLKFLQQQMDSLFPSLRHLFISCCPNIECLPEGGLPSSLQCLDISTCKKLISRRREWGVAKLPSLTQFRIGGIDDEVESFSEEDWLLPCTLQSLQLWAHKNLTKLSYSGLRHLCSLQTLYIRNCARLQSLPEEGLPASLTTLEIEKCPLLKPRLRLKKGQDWPKVAHIPCIIVDLELVP >CDP18073 pep supercontig:AUK_PRJEB4211_v1:scaffold_204:401881:408606:-1 gene:GSCOC_T00009923001 transcript:CDP18073 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIRAIGRTLFAAVKADTSSASSAAAAAASTARTKHNPLENFFEADRSPDDDKPVVYGRGWKASELRLKSWDDLQKLWFVLLKEKNMLMTQRQMLHAQNLRFANPERISKVRKSMCRIKHVLTERAIEEPDPRRSAEMKRMINAL >CDP18071 pep supercontig:AUK_PRJEB4211_v1:scaffold_204:21339:29761:1 gene:GSCOC_T00009910001 transcript:CDP18071 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFLELKEEEALEFSWGHKRCLGGTYNGVQFYDSFTYDGINYSLYDCVYMWADDQREPYIGKLVKIWETASHKKKVKVVWFFRPIEIAHWLGDIKPLKTEIFLATGEGKGLFNLNPLEAISGKCKVVCVSKDPRNAQPTEDDLRNADYIFYRTFDVGSCKVSTAFADQVAGIEVKHYFNSRKVQKSILDTENVANFPVKDGTPARVKTKFSDTSASTDVPEIQAQKRRKVEGSLGYKEKLDVKDPFGKNISMSKGVNINDKASKDPHQLGQNKGMKSDSQVMEVTRRLDTDSSKWFKIQSWDDRMNAAHEKGTLVLFENLDPSYTSTEVEDIVFHVFNQQVSAKMIQHSPFSRPNYGQAFVIFKTRDAADVVISQLKRRCLMLGDRRPVVGRRAKPTDPRNPPKFFGHISIPKLRLQKQHEDMRNAVSTPHYSQSNTVEFDMAMEWCSLYGRSKLWWETLHQEQAKETEALRNEIKIHHPNEQGYLVFPLSFYNFLLLKGQLLPFPGSIYQILIAMHLSGSCIAVALMTRALNVAGRFVSATVYREAYMGVPFFCTVYEVTPTHRVGHIVQALGSKVIYKCLEDVPIFGHVDGAASMHIISSKYT >CDP18072 pep supercontig:AUK_PRJEB4211_v1:scaffold_204:400574:401535:1 gene:GSCOC_T00009922001 transcript:CDP18072 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNPVLAVLFLYPITPQTEEARIKEDSLIKDPSARVYFMKQTVGNACEAIELLHAVGNITSEINHAEGSYPDNLLHEAAKVIRKMIEKNPDSLNFNVMAISKRV >CDP20920 pep supercontig:AUK_PRJEB4211_v1:scaffold_2041:2100:5174:1 gene:GSCOC_T00011928001 transcript:CDP20920 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQSWISFSTTCTLSNFFFLVQYLFSRCSFPCKSKLSKFMTKFSFTNLTKNSSGFSIKFLQSNQQIPQT >CDP20921 pep supercontig:AUK_PRJEB4211_v1:scaffold_2047:22287:22836:1 gene:GSCOC_T00004424001 transcript:CDP20921 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKEQEPRALPPEVQCWCYHSHCECSFASRDALLKHNNSVRGRLKFSCDICDNKFKIFFVCKEPASVFAQHSLKEGLTVFRDPEFSSDMGSSTVKRIADVLKLRIYQFPQLYKVVFVAYDS >CDP18077 pep supercontig:AUK_PRJEB4211_v1:scaffold_205:334416:335704:-1 gene:GSCOC_T00008284001 transcript:CDP18077 gene_biotype:protein_coding transcript_biotype:protein_coding MVERHSNIQLVVESFPFETPHILYLFGQNHWQRFFSNFFWPSLVHFSFSSVNLSSSSYKYF >CDP18079 pep supercontig:AUK_PRJEB4211_v1:scaffold_205:465010:476803:1 gene:GSCOC_T00008288001 transcript:CDP18079 gene_biotype:protein_coding transcript_biotype:protein_coding MHPMNKLIAVAIDKDRGSQNALKWTVDNLLVRGQTILLVHVKAKLHGSSFSSSSVALPITNSSDEYGMYGRDYDVETKDIFLPFRVFCTRKDIHCQDVILEDTDVVRALLEYVSRIGVDVLVLGAAAKGYLFRRFKARDIPASVLKGVPDFCSVYIISKGKIAATRSASCSAPVNHPLRQQMLDQASSRSSPLDVPLPIIHSSRCSQLSSTRQASEPSSRNIKTDSTTMNQSGNLLGLIENRSPFTHRKGPNGKPYELSSPDTDISFVSSGRPSIDNTFPSFADSIDSGPTPPRISGFSEMENRSFESLHFGRRSVDIITSPEFSSAFGDSDASMAQATDDMEVEMRRLKLELKQTMEMYSTACKEALSAKQKAWKTHGKILKPIILLEEARLAEETALALAEKEKTKSGVVAEHVEAAQRIAELETQKRINAEMKTLKEMEENNKLFNRLVQSNIRYRVYTIDEIEIATQYFDKSLKIGEGGYGPVYKCYLDHTPVAVKVLRPDAAHGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMSNGSLEDRLFRRGNTPALPWQHRFRIAAEITIGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVANSVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSLGIIFLQILTGKPPMGLTHQVERAIEKGTFTEMIDPAIPDWPIEEALNLAKIALKCSELRRKDRPDLGKVVMPELERLRAFGEEKMSFSLTYSSGQSPMQSQVSLSTVSLYTQYFNYFHEFIYELYINDPTCREKFAQNTLGI >CDP18075 pep supercontig:AUK_PRJEB4211_v1:scaffold_205:132724:146842:-1 gene:GSCOC_T00008279001 transcript:CDP18075 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MAAGNGKPSSAGNGNTALEQLDFERGVCIPFRKYSPQTVRDKVLESRGAILSLIGRGVEIVWKLGLYWSTLVYDYFVGRDEEVVPFRASQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPDQVAFNIIEEELGQPLEAVFSKISSQTIAAASLGQVYRATLRASGEDVAIKVQRPEIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPGVYRQLSGSHVLVMEWIDGIRCTDPQAIKEAGIDIDGFLTVGVSAALRQLLEFGLFHGDPHPGNVFALRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIIPALEAIWQNSLEKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICLTLEPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVAKMSSNPALRGESRQRSKQWRIERKLDLTDTIKDGARLFLLDAGIRRQLLLALTEDSKLHIQELIDVYRLLEDQIDIPSVALEVAQDLPSVARDVMLSWSASVLSDR >CDP18074 pep supercontig:AUK_PRJEB4211_v1:scaffold_205:123193:129287:1 gene:GSCOC_T00008277001 transcript:CDP18074 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAQNPSKRWGEIFFILYTPFWLTLCLGIVVPYKLYETFTEWEYLLIGLVSAVPTFVVPLLFVGKADRNVSWSNRYWVKASANLWMMIFSYVGNYFWTHYFFKVLGASYTFPSWRMNDVPHTTFLLAHVCFLFYHVVSNITLRRLQHAIAELPETIQWAFKAGWILALAYVIAYLETVAIANFPYYTFVDRASMYKVGSLFYAIYFIVSFPMFLRVDEKPGDPWDLPRVAIDALGAAMLVTILLDLWRIFLGPIVPISDSKQCPQSGLPWFAEHTQQF >CDP18076 pep supercontig:AUK_PRJEB4211_v1:scaffold_205:314834:315307:-1 gene:GSCOC_T00008282001 transcript:CDP18076 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLWVVRRNLTAETDNAYPKGFKERIQGRGRLSSWAPQQPVLSHPSVACFLGHCGWNSTVEGVSNGVPFLCWPYFADQFTNRSYICDDWKVGLGLEKDGNGIIAQGEVKNKIEQLVTVKGYKERALDLKAKVMNSLREDGCSGKNFNNFVKWIKDD >CDP18078 pep supercontig:AUK_PRJEB4211_v1:scaffold_205:341124:345864:-1 gene:GSCOC_T00008285001 transcript:CDP18078 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPRRLTNPASVNLPSPCLPRHLGFHLRNLIWASSVYVLKQSSIECSSQMEEFLLYAKLEDVTCPICLDFPHNGVLLQCSSYDKGCRPFVCDTDHLHSNCLDRYKQAYGTLSGSQSPLISDATPSESMNLANLDASGRPTCPLCRGEVTGWVVIDEVRKSLDEKKRSCEEEKCTYKGTYMELQKHAQLEHPHSRPSKIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGVILGDYVIEYGDYHSEDELEEFRRDEGNWWTSCILYHVFDNLRASRNRRRSRVSNPRRANRHSSYTSNSDDGSVASVEFPDYRTEETDDDLVSSRGPSRVGGDDRSSRRRRSRFYDS >CDP20922 pep supercontig:AUK_PRJEB4211_v1:scaffold_2050:262:1919:-1 gene:GSCOC_T00013107001 transcript:CDP20922 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTSSEWVWTPALFMQLTRSIKTLQSFLQAAIFAGGVGTIATETKGTALIHKAQQALRGASSPLDISKVGELIKAIAGSGAKVIVSGAAVGEMALHFCERYKLMVLKISSKFELQRFCHTTGAVALVSILQSESINDTNTRI >CDP20923 pep supercontig:AUK_PRJEB4211_v1:scaffold_2050:20282:20677:1 gene:GSCOC_T00013113001 transcript:CDP20923 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGGALALNAMHETRTVRVLKPFKVGPLPTGGSYIIMEFIEFGASRSNQSVISRKLC >CDP20924 pep supercontig:AUK_PRJEB4211_v1:scaffold_2058:616:5657:1 gene:GSCOC_T00003941001 transcript:CDP20924 gene_biotype:protein_coding transcript_biotype:protein_coding METGKVVVERVGGKSAAIHCYSKYPLKFIIPNKVGPSQIDAVWIYTITYGGGIVSGDAIKCDISVGDGCTTVLTTQASTKVYKSVESKCSEQVLEARIGSDALLAVIPDPVTCFSTAKYSQTQVFKVFPSSSLLIVDWITSGRYGRGEKWDFELYKSTNNIFLDADEPLFLDTILLEQGRYSSIAERMQDYQVIAMVILLGPKLKFIQDQIQENVKNLMSQQLRIPSGSSGRYGDIDDNPFLTRPSFLASCSVFGQKGRGVVVRIAAMTTESVYSFLQCQLSGLDSLLGVAPYR >CDP20925 pep supercontig:AUK_PRJEB4211_v1:scaffold_2058:8491:15467:-1 gene:GSCOC_T00003942001 transcript:CDP20925 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVSDSNPTSSPPPQALLERLKDYGQEDVFALWDELSSEERDILVKDIESLDLSRIDRIIRCSFNSQGVPAAAIEPVPESIVSTVEERTMEDRERWWKMGMKAVSEGKLAVLLLSGGQGTRLGSSDPKGCLNIGLPSGKSLFQLQAERILCVQRLASQSINEGSKTVPPIHWYIMTSPFTDEVTRKYFESHKYFGLEADQVTFFQQGTIPCVSREGRFIMETPYRVAKSPDGNGGVYSALKFSRLLEDMATRGIKYIDCYGVDNALVRVADPTFLGYFIDKGVSAAAKVVRKAYPQEKVGVFVRRGKGGPLSVVEYSELDPSLSSAINQQTGRLRFCWSNVCLHMFTLDFLNQVANGLEKDSIYHLAEKKIPSAHGHTSGYKLEQFIFDAFPYAPSTALFEVLREEEFAPVKNANGSNFDTPDSARLLLLRLHARWVVAAGGFLTHSVPLYATGVEVSPLCSYAGENLESICRGRTFHAPCEISF >CDP18082 pep supercontig:AUK_PRJEB4211_v1:scaffold_206:504276:507899:-1 gene:GSCOC_T00001302001 transcript:CDP18082 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDYKDVPENANEHCPGTQSESAGKSDACKGCPNQEACATAPKGPDPDLVTIVERMATVKHKILVLSGKGGVGKSTFSAQLSYSLAAMDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESKLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLVIDAPPGTSDEHISIVQFLQATGIDGAIVVTTPQQVSLIDVRKEVSFCKKVGLKVLGVVENMSGLCQPLSEFRFMRTTETGEQKDMTEWILSYMKEKAPEMLDVVAYTEIFDSSAGGAGRMCSEMGIPFLGKVPLDPQLCKAAEEGRSCFSDDKCRVSAPALKMIIDKLLAQQMISRIEDGA >CDP18081 pep supercontig:AUK_PRJEB4211_v1:scaffold_206:490857:494069:-1 gene:GSCOC_T00001299001 transcript:CDP18081 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYVDISSTIFFFFLFIYSVFSQLPSKQIATMTNLYEMLQNNSGNSSFPWSNVKKDSNPCSWVGVSCSSSNSSVTELSLPSLSISSSEILPGVCQIDSLESLDISNNHLSSIPNVFISSCGGISGLKLLNISRNELGGSLPTFNGFQKLEVLDLSRNALMGNINLQFDGLDSLKSLNLSYNRVTGPLPTSLGKNNLLQELQLSTNGFQGEIPVGLVKYGNLSLIDLSHNELSGLIPERFGELSKLQILVLSGSNLRGEIPKLLVKIQTLFRFAANQNNFVGNIPPGITTYLRNLDLSFNKLSGTIPQDLLSPPNLLSVDLSSNLLEGPIPTEISLSLFRLRLGGNLLNGTVSFRSYGSLTKLTYLELDNNSLTGEIPPELGLCRSLALLNLAQNRLTGVLPVQLGNLANLQVLYLQKNKLVGVIPHQFTQLHSLQRMNFSSNSIGGSIPASISKLQNLTNLDLQHNNLSGPIPISIRTLNLLFELQLGNNQLSGDVPAMPSSLQIALNLSNNLFGGPIPVSLSGLIALEVLDLSNNKFSGVIPNFLTEMADLTQLVLSNNQLSGDIPKFKKYVTVVTDGNKGLNIATHVSTATAGSKHSKGLSTGMVIAVAFVSGFIFSFMIVKIIPCLNYSWDRTKFEWIINDGIHKPSFHFSKAMKEVHRQANILLKSEFYTYYQASMPWGMNYCIKKLYWTSKTLSLVSPEKFSQEVKLLNQLSKSNIMIPVAYALTVKGALLIYECPQHGTLFDLLHGSDENVLTWEIRYNIAVSVSKGLAHLHGFYDSTSAPVVLLCLSTRSIFMRSLSEPLIGDVELTKVIDPSKSLGTLSVVAGAVGYVPPEYAYTMRVTKSGNIFSFGTILLELLTGKQAVNEGIELVKWVKDKVEHDQLEEILDPRVRSTSVENHQQMWSMFKISMLCVSISPRERPDAEELQTMLLDVAPQFSS >CDP18080 pep supercontig:AUK_PRJEB4211_v1:scaffold_206:464364:470005:1 gene:GSCOC_T00001298001 transcript:CDP18080 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFCGAVENKFLFSNENNLLATWYNLCLELSCRTSPYLQLEEMVEIFESKGPQKLLNWNDVRKIKYSWCVACEAIRLTPPAQGASREAITDFTYASFTIPKGWKVWHLLYDLYVLRVPLEERKSPNDICDIVPQIRSATKMDRDVMEKGLRALHFVSYIHAYKEHHYSYIFRLVNFMIGKLGMGYGLLLLPATLDLKHHNLSTEGFTPLEDISLDEIKYKQQLEYASYQHTLKNLGCYYMNVLVKFVVAFTWLMLKIKLIILNHSNKNEHANFEDDDQMAQEYCLLKKLKRGAINESEFAKLTGTEDLL >CDP20930 pep supercontig:AUK_PRJEB4211_v1:scaffold_2060:19294:20787:1 gene:GSCOC_T00012377001 transcript:CDP20930 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQPSAEGQGLSPTKKKSFSQLFSQPATSPIHIQQASVYKGEAAVVFSKADADKLAAPFQWALVGKFSHGRPSLEDIRKFFASLNLKDHVSIGLMDYRHVLIKCMAEADFNRIWMRGIWQLGKYPMRVFRWTREFHVLRESSLAPVWVVLPALPIHYFDKHSLFSILSPVGRPLFLDSATAAGTRPSLARVCVELDVAKSFTQRVWVAVEGESGFWQRIVPENMPLYCSSCSRLGHSQEQCKKNVTEVGSRYLYKHNSKLQRDLSLEVNNVQVSNLDLVKNPDANLEKTTDVVTESDEVQRTEMGNYAEKLKGAVVEEVCTATKVQEVSKPDDHLIKLGVTKLSSSAGFEQPQNDLAMERREMENQLEMVHGNDVQGNLNTAHMREQALVGEQLIMQRHLEQVHGNDEGTQATSSEASKEKLQSMADNNSLEHLYVELRGVENFGPTEHGIVEVNSPTNCCRKFVNHHLLNFHFKLVSFIFHEFFLVPYLTVRPP >CDP20931 pep supercontig:AUK_PRJEB4211_v1:scaffold_2068:12457:16319:1 gene:GSCOC_T00010339001 transcript:CDP20931 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLEVDPSYDQARRQIVRLKPLADEKRQKMKEEMIVDHWEIGNCNSGQFGMSADNFKAVKDPNTGSYSIPFQC >CDP20932 pep supercontig:AUK_PRJEB4211_v1:scaffold_2069:6225:7062:1 gene:GSCOC_T00006703001 transcript:CDP20932 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYGSVYKTLINGSNVAVKVFNLQTEGAFRSFDIECEVLRNLRHRNLTKVISSCSSLDFKALILEYMSNGSLEKWLYYQDLYMDFLQRLDIMIDVASLLDYLHDGYSIPVLHCDLKPSNVLLDKNMVAHVGDFGTAKLLGMGESMAQTQTLATLGYMAPAVSLGAKYGSEGLISKKCHIYSFEIMLMETFTRRKPTNEMLSGDVSLKDWMNASWPDAVSEVIHANLMRLEEDLIGMVHGGCQTTKNKIYIKTYSQN >CDP20933 pep supercontig:AUK_PRJEB4211_v1:scaffold_2069:18640:20397:1 gene:GSCOC_T00006704001 transcript:CDP20933 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSINAISPSVVYLSKKDNQPPPIETMFKLPSPLPAWPQGAGFASGTIDLGGLRICQVSTFNKVWATHEGGPDNLGATFFEPSSIPVGFFTVGYYSQPNNSPLFGWVLAAKDASSGQGILSKPTDYTLIWSSESLNIKQDGVGYIWLPTPPDGYKAIGHVVTSSPDKPSVEKVRCVRSDYTDATDLDSWIWGSSNGINIYGSRPKVRGIQVLGVSTVNWFFQNGALLYTRGQESNPVAITPTGSNLPQGGTNDGAYWIDLPRDNAAKDRVKQGNLQDASVYIHVKPMLGATFTDLAVWVFYPFNGADRAKVEFVTIKLGKIGEHVGDWEHVTLRISNFNGELKSVYFSEHSGGTWISASGLEFQNGNKPVVYSSLHGHAAYPKTGDFLQGSNSNVGIRNDAGKGQFFMDAGANFSVVSADYLGPTIVEPPWLNYTREWGPKISYNINDEIKKVEKFLPGKLKSALEKAVSELPSEVLAEEGPTGPKSKDNWSGDERT >CDP20934 pep supercontig:AUK_PRJEB4211_v1:scaffold_2070:9335:12045:1 gene:GSCOC_T00001315001 transcript:CDP20934 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEINYLVSSQPVFNSSAITIFSVADNSFHGKLPTNIGLTLPNLEGLYLGANEFYGNFPTSITNASGLEILGDLDFIVSLSNCSNLSEIDLTANKFGGNIPKVMANLSNQLAGLDLGGNQPSGTIPEGFGNFVNLSRLGLHMNSLSGVIPRDFGKLQNLQGMRLDHNEFSGQNISHNNFTGIISPNFLQTHSSLRYLNLGKNSFNGSLPAEVGKLVHLVSFVVSHNQLAGDIPISHADCANLENLFMQANFFQGTIPPNLASLKSIQQLDLSSNNLTGPIPKELEKLQFLRYLNLSYNDIEGVVPNTGVFSNASQISLIGNNKLCGGIPELEFPPCPLIKGKNRGKLKVIILLIDELLLLSYHELLHATSGFSPENFIGSGNFGSVYKGRLEKHGNKLVAVKVLDLRKNGASKSFKAECKALRNIRHRNLVSIVSYCSSIDSKGDEFKALIYELMENGNLDLWLHPSETTDQATSSRSLNLLQKLNIAIDVASALQYLHDHCEAEIVHCDLKPSSILHDNDLVAHVGDFGLARLLPKPISTSSEQGTSSTIAIKGSIGYSAPEYGMGVEASILEDVYSYGILLLEMITRKRPTDDMFMDEFDLHNFMTPGGFKTDGGREMECVISLLKIGLKCSEKLPNDRMHMNEVVGKLHLIKDDFLGVRAYQKSLEA >CDP20935 pep supercontig:AUK_PRJEB4211_v1:scaffold_2071:18084:23357:-1 gene:GSCOC_T00003955001 transcript:CDP20935 gene_biotype:protein_coding transcript_biotype:protein_coding TSIWSSGRQLCDAVSLTGKPCMHKRHSVGTDDLLVTDEIKPHSSGFVFLHACACGRSRQLRPDPFDFETANISFNCFPECDKLLPALHIPQGSVEGPIKPSCWRLIRVGGARYYNPAKGLLQSGFGTTQKFLLKWSIVLGKQKMSNGLSLSNQLQVYSNKLSGSDKDEPLAGKDTKKVGDAHFQAQEVQSEVEVPKRQPLLNNKASDKMMGVSNLTMRKPFSEVVAGSAGTHSGFPPLQTRKQPLPGSGKGIKQHDTREKGLSKAMEIANNQGSQKLPNIATIDHATNGVAINTNADANSDPPLQIGSNDVPLNMATGGKVREFIPLKHVSIYVGFEHECPHGHRFILTPDHLKGLGSPYAMPEESLVTSSIENSDHNMADSSKSGKNGGHARARKQSNGTINNAFRMTSNLEESKVRSTNKIVYENGQMQVSNILREQNLSEAKGTSSVMDLAAGFQSVNLDDGGTAFSLVNRSLPIYMNCPHCRESRKKKDTMNVKFASTISQLQRIFLVTPAFPVILAACPVVQFEDSCLPSTVPDCKEKLQFGLGCRVILPPDSFLSLRLPFVYGVKLDDGKVHPLMPFEDQPQLTAWITKGTTLQVVSQGSNLAGVANM >CDP20936 pep supercontig:AUK_PRJEB4211_v1:scaffold_2072:9750:9872:1 gene:GSCOC_T00011922001 transcript:CDP20936 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTITLEVESYDTIDNVKAKIQDKEGIPLDQQCLIFTDR >CDP20937 pep supercontig:AUK_PRJEB4211_v1:scaffold_2072:11550:11807:1 gene:GSCOC_T00011923001 transcript:CDP20937 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIGCLQLSQVGNEPKNEGLLSATSSSHSKLLRIVMQKYIRSYPNE >CDP20938 pep supercontig:AUK_PRJEB4211_v1:scaffold_2076:1017:1838:-1 gene:GSCOC_T00000544001 transcript:CDP20938 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKTLSLEKPNPPAEDWKIVLPRRGKQKGQFRKTIESELQKPGQSWSPIDDQSDPESESKLMQKMLSYVQKLESSQFYQAFIDQIQSHEMSDHLHRVSGSEEKLQMVIYGIGSIESYEPPRLQLSLAILMKRKFTWIGEVEVFDPVLSLTESKVLSALGCSVLSFNEQGQRQALKPTLFFMPHCEAGLFDNLLQANWEVNLLNQIVLFGNSFEAYEQFLSVCKDPIREERQRHIMAIRSFTKEFAINATSDDYFRAFHSSSWHFFSHQSR >CDP20939 pep supercontig:AUK_PRJEB4211_v1:scaffold_2077:7696:10686:1 gene:GSCOC_T00010798001 transcript:CDP20939 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRAGSTLQEADREELAETSGGTEHGATPTSSNRGRMGRKRSSKRNDRLGGEEELITAGTSEVAEPVPPLNPWMKFRKEYQHTVSAKGVKLTEYNDIARAAYNKLSEEEMRKRKEEYLKEKEEYEKEMERLGKPILRKARVQIKVNTRHDSANT >CDP20940 pep supercontig:AUK_PRJEB4211_v1:scaffold_2080:568:3441:1 gene:GSCOC_T00004683001 transcript:CDP20940 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFYCFILLFMLSLCDASNKTPIKSIKSPDGDIIDCIHIYDQPAFDHPLLKNHTILMRPSFHPNSELLNPHSQENTNSITQSWQLSGKCPDNTIPILRNQNARKTKKYVMKQNETVSQSSSDDDMKSLDPYTHELELITNDLGSHKYAIAYVQGDKYHGAKATINVWQPYVQNRIEFSLSQIWVVGGHDSTINTVEAGWTVDPSVFGDNKPRLFTYWTRDHYGSTGCYNMFCPGFVQTSTKIALGANISPVSTYHGPQFDISLYIVKVTNENQILT >CDP20941 pep supercontig:AUK_PRJEB4211_v1:scaffold_2084:20724:22386:1 gene:GSCOC_T00010476001 transcript:CDP20941 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPQAKRAKVTRDTDDYMPGNILEIELCNFMTFTTLFEKPGSRLNLVIGPNGSGKSSLVCSIALGLGADPQVLGRATSIGAYVKRGEESGYIKITLRGENKGEKITITRKIDVHNKSEWILNGKCLILHADNVL >CDP20942 pep supercontig:AUK_PRJEB4211_v1:scaffold_2086:15930:17349:1 gene:GSCOC_T00012068001 transcript:CDP20942 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPRVASSSKRLDGKVAIITGGASGIGAAAARLFHSNGAKVVLADIQDNLGQAIANELGKNACYIHCDVSQEDQVFDLIDTIIAKYGQLDIMYNNAGITEGSKIAILETSKSELDRVIGVNLVGSFLGAKHAARVMIPRRRGCILFTASASVNIAGLGPHAYTATKHAIAGLAKNLSAELGQHGIRVNCVSPYAVMTGIAAGNYSEEYIAQMQMFVNAVANLKGKTLTADDVAQAALYLASDEAGYVSGLNLVVDGGFSVVNPSMMNAAAQTKLRQK >CDP20944 pep supercontig:AUK_PRJEB4211_v1:scaffold_2096:21847:21969:-1 gene:GSCOC_T00011139001 transcript:CDP20944 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHLLLLDGSLFFQECILIFGLILLLMIDSSSNKNDIL >CDP20943 pep supercontig:AUK_PRJEB4211_v1:scaffold_2096:3772:7049:-1 gene:GSCOC_T00011138001 transcript:CDP20943 gene_biotype:protein_coding transcript_biotype:protein_coding MVESWDRPCNHVMASSKHSEILFSIQKPAAKIKFGCKERLSAWSIYFSCITGALPVESSSIDVVVVISKSSEFPNDALFLEISRILKPGGTVLVHLTSLSTPLQESSLERRLLLAGLLDVESSEAGQSIGVSVFIGSSFSLKKQTKSLPAVQIMIDDDTDLINEDNLLSEEDLNKPQLPVGDCEVGKTRKACKNCTCGRAEAEEKVKLGLTMDQLNNPQSTCGNCGLGDAFRYSTCPYIQNG >CDP18106 pep supercontig:AUK_PRJEB4211_v1:scaffold_210:318860:321780:-1 gene:GSCOC_T00013258001 transcript:CDP18106 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQSLIAGTVEGAISFVSCFGTQDFSCNQYPGVDTWQDYTQCEQHPHDKFTKLLSSSDGSCSLGTPNAHLQISSCATMTGSSVPMCVYKRKKQQITSSAICPVQISVSTKPGGRSNSSISSHAPSGSTKEHTLSVEAETEVTGSPCKPSVKCNTDGIVSKSASFNGCLVGGEEPSSEEALRSDSRRILDVCRIDDSCSSSKLNLGVATASRQTDVDDTGECSSSGVSILERSWDNTSGKDFCISILRSQGLLQRVSAQQHHAPADDSCANCCSRKCKVCNNSETTLNMLICDNCEDAFHASCCYPRIKKIPIDEWFCYSCLKKKRKLLMEKSTSNSLNIDNGSGQCRNATSEGELGPIESMLKDMEPCKFPVRIGREFQAEIPDWLHPIIDEVDPMSEPEEMIQSEYLYLHNSSKTPQLSSIGNWVQCQQVIEGIGEHVDGTICGKWRRSAFFNALI >CDP18105 pep supercontig:AUK_PRJEB4211_v1:scaffold_210:225783:235173:1 gene:GSCOC_T00013257001 transcript:CDP18105 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTRYMRPDKDQCPTISNGGSFHQIPVVDMQCLFSSAYTYAYVLFHPDAITYENNCQQLINHGVNSSLVEKLKSEVQDFFQNKYGQEPGDVEGYGQAFVKSEEQKLDWADMLYMITQPEDLRKPHLFPKLPLPQRESLQEYSIELKSLALKILNLIAKALGMKHEEIEVLLEEGLQLGLQTNRPAILLQINDVQGLQIKENEAWVPVIPLPNAFIVNVGDILEVSIRINIRLYCELPH >CDP18109 pep supercontig:AUK_PRJEB4211_v1:scaffold_210:381739:387389:-1 gene:GSCOC_T00013262001 transcript:CDP18109 gene_biotype:protein_coding transcript_biotype:protein_coding MCQSNVRAFAPTWIHVALLMIRTTSHQTHSQTNNSETLHLWHLQVSIKLLYLAAGAGIAAQMQVSCWTITGERQASRLQGLYFETLLRQEIGYFDTEMTVGQALGMASSDAITIQDAMSAEESLSNTCQPFFGGFLIAFAREWLLSLSLVSMIPSLLIVGGVTVIILTKMSINTQAAYSEARGVVEETIGAIKTVSSLTAENEAITKYAKKLEKVNVFSARQGLVSGLGLGTVLGPYLHINRSVSISWINMRHKSLLMYLTLFGGYGLTIWYGSKLILEKGYTGGQVISIIVALVYGGIEVCNLSNVFLTSCTRAFLQSSSCLNALSSGKAAASNLFKTIKRKPKINAIDSTGIVLENIGHVALVGKSGCGKSTVISLLERFYDPDAGEVQIDGVSVKRLQLRWLREKIGLVSQEPVLFATTIRENIAYGKENATEDEINKALQSSSAAAFIKDLPLGLDTVVGKLGAQLSGGQKQRITIARIILKDPTVFLLDEITSALDTKTEKAIYDEIFVTHSLSRTHAELIRNQDGHYSKLVSSQGKNQVENSKRMNGETRKYITETMENFEGLLSSTESITRASLSSTNHSSRKYTGISTQWLANQCKDVVPILLIGTFSAIVHGIAFPVYGFLCATAITIFYEPPSQQEKYSSYWAQMYVFIGSITFLAVLSQNYSFGAASGKLIKQISLLSFDKIVHREICWFDDPSNSRKIAYGSVGARLSCNAAMLQSLLKDGLSLLIQNISTVFAGLFIALMENCMLALILIALCTTLAMLSFIQTKFLKRFAADAELIYTEQSQVASDAIGNIRTVASICAEDKVMELYRKKSGTKMTYWIRLGIISINFGISQFGYFFTSALCFYIGSVLVYLAFIASAAGIAQANGTTLDANKVSALASSLYNIFDSKETNGSRSTTGMTPKNLRGDLEFQNVSFSYPCRPNVQILKDVSLNISPGKVRSLFH >CDP18104 pep supercontig:AUK_PRJEB4211_v1:scaffold_210:211113:212442:1 gene:GSCOC_T00013256001 transcript:CDP18104 gene_biotype:protein_coding transcript_biotype:protein_coding MTKALGMKLEDMTMLFQEGLQSMRMNNYPPCPQPKLVMGLRPHSDAAGLTVVLQGNEVEGLQIKKAGAWVPVVPLPNAFIVNVGDILEIVTNGIYKSVEHRATVNLHSERLSIATFFSPKLDGDMGPAPSLITPRKSGNFQKN >CDP18108 pep supercontig:AUK_PRJEB4211_v1:scaffold_210:371290:373569:-1 gene:GSCOC_T00013261001 transcript:CDP18108 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDCVKYHKYKCCNVDWSVVSSGAATHRNLRCSIKLTYVYGRGRNNISTMKKKYKSRRKTVVFVGESGNGKSTLISLVERLYDVKSGCISLDAIDIRNYNLKWLRQQIALVSQEPILFKDTIRRNIIYGKQEDTTEDEIVAAAKLANAHNFISALPQGYNTHVGECGAQLSGGQKQRIAIARAIVKDPKILLLDEATSSLDAESEKAVQAALNQVMVSKTAIVVTHRLTAIRGSDTIAFLRNGVIVEKGRHDELKKIANGAYASLFSHHLNHSI >CDP18107 pep supercontig:AUK_PRJEB4211_v1:scaffold_210:324455:328269:1 gene:GSCOC_T00013259001 transcript:CDP18107 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCYNSQHQIISDCTICHQLINHGVSSSLVDKLKLEMQKFFNLTIEEKKRFAQEPGDVEGYGQAFVVSEEQKLDWADMFFMVTLPTHLRKSHLLPNLPLPFRETLDQYSRELKILAIKVLEQMTKALGMKLEDMTMLFQEGLQSMRMNNYPPCPQPELVMGLCPHSDANGLTIVLQVNDVEGLQIKKAAAWVPVVPLRNAFIVNVGDILEIVTNGIYKSVEHRATVNLHKERLSIATFFSPKLDGDMGPAPSLITPENPAIFRRISMIDYLKAFFSRELHGKSFVDAMRTQIKDF >CDP20945 pep supercontig:AUK_PRJEB4211_v1:scaffold_2100:12053:22165:-1 gene:GSCOC_T00012932001 transcript:CDP20945 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTLLMGAIFLEDVRKQSESLKNLQEEILSKILCLKDLRISSVLEGSKMIQTRLCCKKVLIVLDDVDHLSQLDALAGMHEWFGDGSRIIITTKNKHLLVTHGVDKMHKMEVLNEYEAIQLFSWHAFKKDYPAKDYEELSIEIVHYAGCLPLALKVLGSFLYGREMAEWRSEVERLKRIPEDEIMEKLKVSFNGLKEVEKEIFLDIACFFEGKKKDYIRRVCDSFNFCPDIHIKVLIQKSLITLSRGRILMHCLIQEMGWHIVRQKAPEEPGKRSRLWVAKEICDVLATDNATENIVGMWLDLSTPKDVVIKNEAFEKMKKLRLLKINNACVSRCPNGIPNEIHWLNWHGYPSKSLPESFQAEKLVGLKLQYSRIIQLWKGIKSLDKLKYMNLSYSQKLIRTPDFTGIPNLERLILEGCSSLAEIHPSAGYLKRLRKFNLRNCTNLRSLPKKIILESLEVMILSGCSKVGEFPEILGTMDHLKAVYLEATAIKELPPSIEHLTSLVLLNLGYCESLASLPSSLCRLKCLKALILSGCSKLDKLPEELGHVLSLEELYVDGTAISEPPYSIVLLKNLKTLSFRGCKAMASRKYSTGLVFPSVSGLNSLAKLDLSYCNLSDEGLPCDLGSLSSLVELNLGKNNFTSISSAGIKNLSHLRILELVGCKRLEKLPELPLCTEEVYADDCTSLQSATDLTNYGKLRRVSFSNWTTSLDELSLIDGSFSICLPGGSIPSWFTYQNSGPSTTVKLPPNWYNSEFMGFAVCVVSDLITTPFLLEIQYRWLLQKIPGFPVQFTLIDKEMNLFSYVFTIGYVGTENNIDSEHTWLGYLPFDNIWSMRANTVRSPNDWTCIDVSAYFGVIKAWGISLVYENDVRQNSELLMIPQSSELVERGLSSNVIVSGLKSSRTRRNPAGQEDEVLKRHCRGTISI >CDP20947 pep supercontig:AUK_PRJEB4211_v1:scaffold_2105:18643:19609:-1 gene:GSCOC_T00008621001 transcript:CDP20947 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNYDPEVAVSVNKFVDQLPAVFNQLAEGISEFRPTPSENLDCFQKSYSVQHTFLVKFNVDAIDETDILEETLKPRVESIGGKLQKIILNGTHITPCIQEPRWQVGDIYSPVDAVAQGPQTISLNDTRVLTRTITDWFSQLEG >CDP20946 pep supercontig:AUK_PRJEB4211_v1:scaffold_2105:10919:16165:1 gene:GSCOC_T00008619001 transcript:CDP20946 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFLGVAETKEEDADLRLQEWIKQVREAAYDTEDVLDEFVARFARHSTLRTRHKLAVQIQGIKARVKNISEGHQRYQLEFGVTTQVSGSPAAANNTTWHHSRDDALLVEEAELVGIDKPKQQLVSQLLEGDESQLKVVSVVGMGGLGKTTLVKKVHEDFDVRRHFPVRAFVTVSQPCNFLELLKDLTRQLHNDLKKPVPESIEAMTAFQLKLCVKNFLQQAGRYAIVFDDVWDVEVWNEIRFALPENGYGNRVMLTTRKVDVASASCNKSQDYVFKMAPLSFEDSWTLFCNKIFKGNGCPAHLTDVAKGILGKCQGLPLAILAIGGFLALKDFLIAEEWEMVRRSLVGELEGSGMLDRVRKILSLSYNDLPCHLKTCLLYLSIYPDDYEIDCHKLVLLWSAERFVGKREGMTMMDVGFNYLRELISRSLIQVTQSFYEGIPYFCRIHDLVREVVLSKAREQNMIAITTGQYTKWLSEKVRRLVVHSCSNNTEQHQESQCYSFNHLRSFITIESMNPLISRTLLSEVLKSSRLLKVLDLSDEETLEKIPNEVFNLYHLRHLNLCRTGVKAVPNFIGKLRNLEYLGLRETQVKELPVEILKLQKLEHLMVLKKVDVSDERLQALQSLSTIDASSGSVGVKEIGALTQLRRLGISNLRREDGKVLCSSLATLTTNLQSLARIDLYWSGLRDEEDPLEPLHHLPNLVTIIFCGSYQGEGLCFKAGGFLKLKDLYLKKLEKLKWLKVEEGALPSLHELSLDRLPLLEELPLGIQHSRNLRKLYLSELSSQLMEKLENLNEQTEDYRKIAHVSEVVIELWTDEEGWRLHRLWGKKM >CDP20948 pep supercontig:AUK_PRJEB4211_v1:scaffold_2109:19427:19588:-1 gene:GSCOC_T00000489001 transcript:CDP20948 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVLLYLEGSVGLPELLSLATGISGFAYPSGFEDITSSFASSKCRNFQDPNI >CDP18111 pep supercontig:AUK_PRJEB4211_v1:scaffold_211:159455:160981:-1 gene:GSCOC_T00002032001 transcript:CDP18111 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMGETWGSLGSAMATIMFVWTMYKNYFPPELNGQIIRYSQKIKSFIHPYIQITIPEYQGDGFERSKAYIAIERYLDKNSSKQARRLKANVVQDFESVVLSMEDHEEVTDEYKSIKLWWSSSQDIPNRQSISFYPREDEKRYFMLTFHKRHREFITKNYLKHVLDEGKDIAVRERQRKLYTNNKSENWYGYKRSMWSHVVFKHPATFGTLAMEPKKKQEIMDDLITFSKAEEYYAKVGKAWKRGYLLYGPPGTGKSTMIAAMANLLKYDVYDLELTAVKDNTELRKLLIDTSSKSIIVIEDIDCSLDLTGQREKKKEQKDDKNENKDPAKTEMMKQMEENKSSQVTLSGLLNFIDGLWSACGEERLIVFTTNHVEKLDPALIRRGRMDRHIELSYCGFEAFKELAKIYLSIESHYLFAQVGLLLEETNMTPADVAENLMPKSTAEGADFCLERLIKALEQAKEDARLKVEEEAKVKAEKEEKLRANKEEDEKEKGKQDTTVDEVKNT >CDP18112 pep supercontig:AUK_PRJEB4211_v1:scaffold_211:406677:408266:-1 gene:GSCOC_T00002036001 transcript:CDP18112 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMGEMWASLGSAMASIMFVWAMCQNLFPGELRGQIKIYGEKLGSFFSPYIQIIFPEYQGDGFQRSKAFMAIERYLDQNSTKQANRLRANVIQDCEQIVLSMQEAGEVTDEYEGIKLWWASRKHTPTSQSISFYPREEAKRYFKLTFHKKHREIITKMYLKHVLDQGKAITVSQRQRKLYINKKSEDWYGYKRTMWSSVVFEHPSTFDTLAMEPKKKQEIIDDLTTFSKSKDYYAKIGKAWKRGYLLYGPPGTGKSSMIAAMANFMNYDVYDLELTSVKDNTELRKLLIDTSGKAIIVIEDIDCSLDLTGQREKKKEKKNKNEEEKQEKDPVKKKMKNMEEKKSSRVTLSGLLNFIDGLWSALGGERLIVFTTNFVEKLDPALIRRGRMDKHIELSYCGFEAFKVLAKNYLDIESHHLFSEIEHMLEETKVTPADVAENLMPKAAGEGADICLERLIKAIEQAKEDARLKAEEEAKLKAEEEERLRAEKEEKEKQKEGDSEVKSSEETLSKGVKENGEEVKENGKVAS >CDP18110 pep supercontig:AUK_PRJEB4211_v1:scaffold_211:157541:159268:1 gene:GSCOC_T00002031001 transcript:CDP18110 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHWFFSHDRVSTTHVRVLIPLLVLWFIWKSRNSARFEAGSITPAQVIFRIEEFLDQMGKARAFSRASFAGDRDCPWAGLDGPYKRDKGVVPVSWEKPSLGWVKLNTDASVLHGKAAGGGVLRDHCGRVIFAFYKEFGEMDVLEAEAQSLLEGLRMCADRAVGALTVESNSNVLVHLVRSDVVSK >CDP20949 pep supercontig:AUK_PRJEB4211_v1:scaffold_2112:1791:5911:1 gene:GSCOC_T00009237001 transcript:CDP20949 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMSEKSILPSRFLSCLIGLSLFLLLLSSLSLFQFCGSSFRNISVLQVFLVNSPSSCSKDSVNPSETLTTEEVRNEEVPYVNFTEKLDGLGHDARRVKCDPSKALLRVYMYDLPAEFHFGLLNWRGGVNQLWPDVSDLHQVPSYPGGLNLQHSIEYWLTLDLLSSNSPNVDRPCTAIRVEHSTEADILFVPFFASLSYNRHSKLHGKEKVSVNRLLQDKLVEFLKDRDEWKRLHGKDHLIVAHHPNSLLNARKKLHSAKFVLADFGRYPPHVANLDKDIIAPYKHMVKTISSSDSAPFEKRPTLVYFQGAIYRKAGGIIRQELYYLLKDEKDVHFTFGSIGSNGIKQASHGMAASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPFEDILDYSEFCIFIRASEAVKSGYLLNLLRGIERDKWTKMWEKLKDIASHYEYQYPSRPGDAVDMIWQAVSRKISGVKSSVHRKTRYERTQILVEGQSSTS >CDP20951 pep supercontig:AUK_PRJEB4211_v1:scaffold_2112:9097:15974:-1 gene:GSCOC_T00009239001 transcript:CDP20951 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLIVKVDHVITPDALQSAPKSEGAGTSVERSSSHLVNPPASVIDIKEDEDDNVGEDEPLIQTVECRICQEEDSIKNLEVPCACSGSLKFAHRACVQRWCDEKGGITCEICHQPYQPNYTAPPPTLPEDTAIDIGEGWTIAGTPVDLNDPRLIAMATAERHLLEPEYDEYADSSASGAAFCRSAALILMALLLLRHALTIGNGDGDDDDVSTFFALFLLRVAGFLLPCYIMAWAISVLQRRRQRQEAAALAAAEVAFLLQAGQRRGIQVRIAPVPTPAPAPVAANEPAVTAHTGPAQ >CDP20950 pep supercontig:AUK_PRJEB4211_v1:scaffold_2112:6102:6386:-1 gene:GSCOC_T00009238001 transcript:CDP20950 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSCRMIILIVCIGSFCFQPEKVSGLRSIDLALRLHEGELLFLRSSRILNSVASEGLHVQLNLAPAPATMFDPNQSNKRRVRRGSDPIHNRC >CDP20953 pep supercontig:AUK_PRJEB4211_v1:scaffold_2117:16219:20135:1 gene:GSCOC_T00005959001 transcript:CDP20953 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKWPKFPFFGSILYNITTYLDFSQKVTHNHFSELESYIAVDKQFGWPNTRKIFQTIPHFKLFTYRTVNSIDKYQRDICYSSKIKVRFFTIPFSPSTRVVALNISNMGFEGTIPLQLGNLSFLVTLDMSNNSFHGYLPEGMSHWRRLSLMALSNNNLAGEIPSWLGVLDRLQYLSLRNNSFVGHLPTNICDNLPNLKELDMSLNQLSGEILSGLANHSRLESLSLSFNWFNGSMPKELGSLKMLEVLDLGYNLVEGPIPNEMFNISTLRVFDLSDNHLSGILPSNMCHGLHNLEDISLAVNDFSGVIPASISNCSKLAIISLGDNKFSGRIPNSIGNLRHLEFMIIWVASNPLNGILPRSIGNLSISVEWLDLANCGLKGNIPNSIGNLSNLRYLNPRSNSLTGSIPTTIWGLQKLIGLGLHNNSLSGSLSGCFNNLTSLRYLDIAFNRLTSTLPMSLWDHKDLVVVNLSSNFLRGPLAPEMGELKFLTTLDLSNNQFSGKISSTIWSLESLDYLSLANNSLQGSIPDNL >CDP20952 pep supercontig:AUK_PRJEB4211_v1:scaffold_2117:182:3372:1 gene:GSCOC_T00005958001 transcript:CDP20952 gene_biotype:protein_coding transcript_biotype:protein_coding MERACYYFSVGLLLATSLLAVGTADIINDKSALVAFKNHIVLDPHSIVAKNWSISSSVCDWNGVTCDSGRHRVMALNISNMGFAGTIPPQLGNLSFLVSLDMSNNNFHGHLPEGMSHLRRLSFMALGNNNLTGEIPSWLGVLDRLQYLSLTGNNFFGDLPANICDNVPNLKELDLAWNQLSGQLLSGLSNCSGLKSLDLSYNQFNGYVPKAVGNLKMLEELDLGDNKLEGPIPNEIGNLLKLESLHLGWNSLSGSIPVGIFNMSPLRTLDLGHSHLSGVLPSNMCHGLHNLEEIDLGGNNFSGAIPASISNCLKWVNLSSNLLRGPLAPEMGELKNLTRLDLSNNQFSGKIPSTIWSLESLDHLSLANNSLQGSIPDNL >CDP20954 pep supercontig:AUK_PRJEB4211_v1:scaffold_2119:680:2781:1 gene:GSCOC_T00012628001 transcript:CDP20954 gene_biotype:protein_coding transcript_biotype:protein_coding IIGSIPREIWNLTRLQRLYLGHNYLENNQIEGSIPRDIGNLTALKELYLGVNNLTVDCCIVPDSISNSSKLTHVEFARNRLTRPIYIVFGNLQSLECLVLFDNNLTNESSLVELSFVTALTECRYLRVISVANNRLTGVLPVSIGKLSSSIEEIYAGGCKMKGRIPKTVGNLSNLRVLDLLGFILSSNFLTGSLPSEIGLLKVATWINLSMNQFSNNIPSRIGDLANLNHLSLAYNNFEGTIPESISNMLSLESSQTPSIHKSRTKKVLQTKLLVFGVSAIIAGVALAFLFFRYVQKEKVPNGTNLFSLTAKGRISYCELLQATNGYDESNLLSFGSVYKGILANGICVAIKVFNLQLEYTFKRPENVKSYAVLGTEI >CDP20956 pep supercontig:AUK_PRJEB4211_v1:scaffold_2119:3661:5658:-1 gene:GSCOC_T00012630001 transcript:CDP20956 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHRACKDWGFFQLVNHGAATVIEKMKLVTEDFFKLPLQQKMACPQLPNDVEGYGQIFVVSQDQKIDWGDMLFLCALPVSQSNMRFWPTTPTSFRATMDEYSLQMHKVCMTLFKLMWANLGVDPEKLCSIYQDGMQGIRMNYYPPCQQADKVIGQTSHSDAIGLTLLVQVNDVQSLQIKKSNTWVPIKPIPGEIIINIGDIMEILSKKLENNTKQQKPRAFSDLLLFFFNLHHKPSLVNYQYAFTEVSSCMSLICLLFCVMVGCPTLICTCLNDIKPTLDEYSLELLEVCITLVKVMVTNLGVDGPEILNSMYPDVMQGMIMNQCPPCSQGDKVVCLIAPHSDGGLTLLVQVNKVEGLQIKKNNRCVLT >CDP20955 pep supercontig:AUK_PRJEB4211_v1:scaffold_2119:2918:3533:1 gene:GSCOC_T00012629001 transcript:CDP20955 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVASALEYLHRSYSIPVVHCDLNPNNVLLNEDMVACVTNFGVPKILSILHQYGLEGLVSTGSGIYSYGSMLIEVFARLKPIDEMFSDTCLRNWLEGITSMVRIAIACTEDSPRDSHVLRGNLENCLPKIRKFIS >CDP18114 pep supercontig:AUK_PRJEB4211_v1:scaffold_212:14980:15262:1 gene:GSCOC_T00004286001 transcript:CDP18114 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVYNGFDHVNNQQKVNCVMFIIALIM >CDP18117 pep supercontig:AUK_PRJEB4211_v1:scaffold_212:176532:177115:1 gene:GSCOC_T00004290001 transcript:CDP18117 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFHFHLFMLKETMVPLVKCFSSSCTALIFVDLSSLVVPKISKPVLGQIAMVKKPELHLDYLNQEWNPLDETGPFQASLKGELQKEQPKDTSKYKAEIRVEKEIIKTVSASSPVACGQASVNSGKIITTPFCDFNQIQRYKGNGKFFQPGFRIIFNKTA >CDP18115 pep supercontig:AUK_PRJEB4211_v1:scaffold_212:48341:49160:1 gene:GSCOC_T00004288001 transcript:CDP18115 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLLCPGKTNGFNREVASFVIHENDLSGYNWSTFIPDKLVSGIRKAQSLAISVVFGCVVLLMIVYLEHLSVTGPRVGISLSPQTPWVAQWREEEVGIHVAKLKSMHIFGQLPALAGTPDVANVHLHYITLICYPFLVLCPLFYAYMLYYCYKMLRRYVLRTLNLAIQA >CDP18120 pep supercontig:AUK_PRJEB4211_v1:scaffold_212:490460:496782:-1 gene:GSCOC_T00004297001 transcript:CDP18120 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVSNRGDEDQQQLLPVDGDGTCMACKKTPELDRTVTCGTCNTPWHVDCLVEGPTTLVEILSFICPDCSGGGLTGAPAPVSSSNGDLVTKIRAIEADGSLTEREKAKKRQELFAGKGVDKDEQKRKGKEKMNEALALLSQSIKCSFCMQLPERPVTNCLVSLILTSFFVQCEGFTWDCLKLFLVISVQFINVELYINVNRKKIRTMKIRNSFGSFINKGHWLFIELYSLLNILVLPNLVYGSPSTYFRLRSKLVINSPHLLFSIVTYIEKSFKHSKGPSDCFITPCHPLRRSGSIYCDPSEVVMEKFSSSCYFSFIVSPMHMCRLFGGRDLSGNKRTNKDQSFDQEFKNFNEALRVSCKKGYPVRVISGGLDIILIVAFDELLSHQNLKVISNDSKIGATSCLKAQLGSYKEKRSSYAPEKDLRYDGIYRIEKCWRKVGQQGFKVCRYLFVRCDNEPAPWTSDEDGDCPRALPDVPELQQAIDIFERRESPSWDYDDGETCWKWKMPPPPSKEKVIEAKPEDIERARRAFKKSRHDSLRENLLKEFSCLLCKKVMNLPVTTPCAHNFCKSCLEDCFSGQAFIRERTCKGGRQLRAQKNCMKCPVCPSDISEFLQNMQVNREVMTAIEDIQAKLEEDEKTMEDSGEHGVDAEQESPGDQPSDTEIASLNAEDVGSNGSALKRKSVDGCLSTENKRQKADNGHGISDETENVHADANGSPSSPLHLRPNDEIFS >CDP18116 pep supercontig:AUK_PRJEB4211_v1:scaffold_212:171585:176291:1 gene:GSCOC_T00004289001 transcript:CDP18116 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIKTFNFVYCALSSFILLFSNFVVVSTFLLCLFLFGSFWLLIHQRNILVLRSSSFADDPGPHEKCCDICGDIGVSDAIQTCPQCKISCEHIYCMRGSVVMLSPKDWCCDDCLLSIKMLSSTSGFMEDLVCRSQFGTASRLHKSSQPNKQPCDLRQNGIDKKIPHGKVKYMHVEEVIMLSSGAKKSNSPVKSKSTCSTKPVSWKNGASALDRTPVKPKAIPAESSIHIVRANPSFVTSKQLKAVRPNNSQLNTVPGQYVPQSFKGLRETGAIQASLKGQMQKEQPKDTFKDKGEIRVGKAITKAVSASSPATCGQASVNLGKIIYHSCSWF >CDP18118 pep supercontig:AUK_PRJEB4211_v1:scaffold_212:177191:180312:1 gene:GSCOC_T00004291001 transcript:CDP18118 gene_biotype:protein_coding transcript_biotype:protein_coding MRISDFSGGDVFLNLELEKTDSRTADPIGIFPEVRKCSSGPALDATWKGRLKIYNDPEHGEMNGVQAYPPSRVLRKVYEFSKHMPEILGFELIPRGDLWPNLFQNYCLDRRDIGLYFFPGFIERCESYFSLLEAMEAGDLAMRNLMDGIELLIFTSKVLSFDCQGEISYYFLILVQL >CDP18119 pep supercontig:AUK_PRJEB4211_v1:scaffold_212:403632:406636:1 gene:GSCOC_T00004295001 transcript:CDP18119 gene_biotype:protein_coding transcript_biotype:protein_coding MCEQSKVEGHIIELTQHWKRNRKKKNQTTAFSGVRQRNLSRCFPLSSLPIFASLSIAESFFADSNGGTPLVSLKFKIRYNFLPYMISMALNARFKSGFSNNLNSIEDVKSLYKQMVKMRPLPSVIDFNQLLGRVVKLKQYSAVVYLFNDMCVLNIPVTECSLNIVMNSCCSANRVDFGFSILGEFFKRGVVPNVSTFSTLLKGLFKENRISQAQELFKKIIYEKLCEPDEKMYGIVVDGLCKVGNTKMAVEFVRIMERGRVKPNAVVYSTVIDSLCKDKMVDEAVALLRRMIGKGVSPDVVTCTCLIKGLCNTGKLDDAKSVFNEMVDLGIAPNVYTFNILVDALCKEAEVKDAEGLVDIMIEQGQYPDSFTYNALIEGCCLQGRVNKARKFFDTMAAHGVGPDILSYCILINGYFKKRKTDEAMYLFREISHKGLTPDISIYNTVLQGLFRVGRYVTAGEVFLGMQSANISPDFHTYCVMLRGLCKSGHIDEALELISKIETDGVELHVSMYNIILDGLCKCGRLDHARGLFSSLSIKRLNPNVATYNTMITGFCWEGLLDEAKEFAIKMEENGCSADMITYNVIVQGLLKRGEFDDAITCLEKMFERGFSLHSSTFSMLLDLLQENENSPVLAKIIQKCNPAGK >CDP18113 pep supercontig:AUK_PRJEB4211_v1:scaffold_212:8477:14894:1 gene:GSCOC_T00004285001 transcript:CDP18113 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDESPKSIYDFTVKDAHGNDVPLSNYNGKVLLVVNVASKCGFTDSNYKELNVLYEKYKDQGFEILAFPCNQFGWQEPGTNEEILEAACTRFKAEFPIFEKIDVNGKNAAPLYKFLKAEKSGLLIATIKWNFTKFLVNKEGKVVERYGPHTSPLQFEEDIKNLLGSS >CDP20957 pep supercontig:AUK_PRJEB4211_v1:scaffold_2125:21732:22525:1 gene:GSCOC_T00007534001 transcript:CDP20957 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNKPIVSKNHVIGYLKESDFEVKNSFSSFQVPHVSKAVLVKNLYLACDPYMRHLMSPPNTDFASLLTPLPTGSVLVGYGVAKVIKSGGPAFDEGDYVWGKVGWEDFITLICSSR >CDP20958 pep supercontig:AUK_PRJEB4211_v1:scaffold_2127:5836:7940:-1 gene:GSCOC_T00001725001 transcript:CDP20958 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVQNHALDLTIPGGEDALLIQVDEKNSTSYTHIPRQISKSDLVQLLPDSFLQDIIEHFDKNGEAVYHFQDPISGHIYFDTCTNCEECYLAEQLELDASDLSFGKKKTKPVDPQPMEPRPCKPDHKPQDPDSDNFQSARSKFDGYQIPSAWVYQAPKAKQVLHPYYQKWKKILQIDASDRYWGAILLEEDLDGKRHCCGFASGKFKAFEQHYHSTFKEILAVRNGIMKFSFFLISQYFLIEMDMGSFPKMLHFKQKTIPHPQLLRWAAWFSQYSFDVKHIKGKTNIVADFFSRKEPLPQQVLPPKALTCFMFTLVQDRPPDIYEVPYPWEKENIERIRNKYELELFRSYGGSILSPFGTNPEYPFCQIYIANPTEFPKELLWYFWCLCHQYHILMEFQSPFFNRPLVQNLQKFFQWFKLLSFGKDLFNTNPNHILIHFHRPYHLINNQIQALSEVVIYRELSHTILDQEVEYGEGQRYIVQENRCIPPENLARTLWIMELPKYSSLLDPDS >CDP20960 pep supercontig:AUK_PRJEB4211_v1:scaffold_2134:3730:7219:-1 gene:GSCOC_T00012797001 transcript:CDP20960 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFFSFLVKSPTTLHTLGNQGLYQPKPLLISSRTTSTSFHKSHPLILSTWVIEGYHPTLLIDGDGNFNSKFVDDVKLAAVSRPVAVVSVFGVQSTGKSTLMNSLHSTKFKVMDASQGIHQTTKGVWVAKCPLPNPNGGPEILAVDTEGSDGSEREDDTKFEKQTALFCLAVSNTVIVNMMCCTIGLNNGGNRPLLRTVFDVMIRKFCAPRKVNLVFVLRDKNECPLDKLEEQLKEGMYKIWEGMKKPEAQLNASLEDFFNIKVVALSS >CDP20959 pep supercontig:AUK_PRJEB4211_v1:scaffold_2134:647:2838:-1 gene:GSCOC_T00012796001 transcript:CDP20959 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKSGTKLRRTRTLTYLITESWLLKYAVTKLLKRSIRIFTRIGPGFKLRRKQSQSNNAINLSEYDEETQHYDETKRDASRKQLIENIMKVVKPTYLSVVEHMRHAILEKFEQAAMDELKKNGVLVAMKTHKYSNEFKNQLKDAAVKQANWNQDTEQLAQLESEIDRTKEEIRATNELLEKQKARKLQIMCLFRKLVDEIINDHLVSKLAAV >CDP20961 pep supercontig:AUK_PRJEB4211_v1:scaffold_2134:20336:22441:-1 gene:GSCOC_T00012798001 transcript:CDP20961 gene_biotype:protein_coding transcript_biotype:protein_coding YDEEAQHYAETKRDASRKQLTENIMKVVKPTYLSVVEHMRHQILEKFEEAAMDELKENGVLVAMNTDKYINRFKNQLKDAAVKQANWNQDAGQLNQLESEIDHAIKRIRDTNELLEQQKKDKRAFWFKVGSVGTGALTAATAVGSLILALALA >CDP18125 pep supercontig:AUK_PRJEB4211_v1:scaffold_214:439257:441672:1 gene:GSCOC_T00007594001 transcript:CDP18125 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVQSQPVGPEDEKNPSSAHPTGAATDDQNSSLDSLIAEAAAFGDEDENLSVEEKAQRALECPCIAHLRTGPCGFQFSNAFLCFLKSTAPEKGSDCVHPFVALQNCIKANPDAFSKDILEDDEIKKKDGEIKKEEKPSKEYKIHPPLWSVESKKSKHKS >CDP18128 pep supercontig:AUK_PRJEB4211_v1:scaffold_214:511101:512564:1 gene:GSCOC_T00007610001 transcript:CDP18128 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIDRKANIRTSFTVFSGFNLRKRRRHTKSLVCGVAVGLLKESLVVVTEIIIAGNNGHCLISPRTRQTRIKA >CDP18129 pep supercontig:AUK_PRJEB4211_v1:scaffold_214:527400:529577:1 gene:GSCOC_T00007619001 transcript:CDP18129 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRSGSFNWFSCESLLAAGQATLFMSSSGANEGASSSEDTGGTSRNEASSSSVGTFSFTEDRMLFRSGSFNWFSCESLLAAGQATLFMSSSGANEGASSSEDTGGTSRNEASSSSVGTFSLCSKSKKKDGDCYCYGC >CDP18124 pep supercontig:AUK_PRJEB4211_v1:scaffold_214:226981:228738:1 gene:GSCOC_T00007591001 transcript:CDP18124 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKFLGNAEKNSKHFSPKLPPGPSPWPIVGSLLELYRNLPPFQWIDGIMKKLETDIACFRLGSVHVIVVNSPELARDFSHNHNACNKSSSSDGSVINVRTALRHYVSNVIKRIIFGKRYFGEGGKDGGPGKEEEEHVEIVFKLLKYMFAFGVSDYLPWLRVLDIQGHEKSVKKAMRILNKHHDPIIEERIRLWRDGKKKEPEDLLDVMITLKDETEKSLLSEEEIKAQCIEVFFGMDSPTTSVEWALAEMINKPELLQKAVDEIDKVVGKERLIQEYDVPQLNYVKACVKEAFRLHPVTFFNLPHVSTADAIVGGYFIPEGSHILLGRYGLGRNPKVWENPLEFKPERHFDCDKSSKVELIDPELRMISFGSGKRACAGIALGTPLSVMALGRIVQAFTWSVPKTLENIDLSEVPNGLELAKPLHAYAKARLPSTMYPIMLNGF >CDP18127 pep supercontig:AUK_PRJEB4211_v1:scaffold_214:457474:460807:-1 gene:GSCOC_T00007596001 transcript:CDP18127 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGGGTSSSTKKEQQQQKKAEAEEEEEVEEELPWIQEKALDLVEFTALLPKPCPGLEVGRAPCPGFWLFPWLIWGLPLSLLSLELFGSSIPPEENVVNWF >CDP18126 pep supercontig:AUK_PRJEB4211_v1:scaffold_214:442195:443496:-1 gene:GSCOC_T00007595001 transcript:CDP18126 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGYSEKGFKRKLEVQALFGKFTWISFLFGIFSRDSSITVKEIFGVADEDAENIRLHTVSEAGDLDSLEKMIDWSESENSPDESSNAS >CDP20962 pep supercontig:AUK_PRJEB4211_v1:scaffold_2147:13538:17008:1 gene:GSCOC_T00005491001 transcript:CDP20962 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSVAKIIDVLGDVAVKQLGKKINLVMGVEEEVENIKDMLETIQKMLHDAERRRLEEPVGKWLEKLEDITYEMDDVLDEWNFKIHRAKNEGTNQNARMQPTLRNKKIKKINERLELTLEKARQFNFITSGGISDSQDFQRIITTSIIDESEVCGRKADMEKLLDQVLSESSGQGRKRVQIISVVGAGGSGKTTLAQLLFNDDRVKKHFELKKWVCVSHPFDEKRIARAILASLEESSLDSLELEQLLQQLKTTFSGKRFLLVLDDVWTEDDSKWKPFKDSLKDGAPGSVILVTTRSHRVATVVGTTHTHQMTQMSDSDCWLIMQRLAFANKSGDLCKKVKRIGQKISEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLEEAAVELFPHLYLSYNELSPELKRCFSYCAVFPKDHVIVVEELISLWIAQGYVRPRRRGEHLDLVGLEYFNNLTMRSFFQEVQKFGTFDEYEQCKMHDIVHDFAQFLTKSECHALDGTGRNSSTEIPAEIGRLIHLRHLDLSQNRFVALPETICDLYYLETLNIYYCDKLSCLPERIEGLVHLRHLFNIETPKLQTPSCIESMEPPPNLDRLALTSYPGTQLPSWLVKKSLINNLRKLIICEPRNISSLLALWKLSSLEELALVGVENLECLGKEFFRSSFSTEVVAFPNLRKLHFGSFQNWKNWEDLSEDDEEVAVSIMPRLEEIKIYHCEKLEILPHRIFKKISSLKNLEIRYCFKLWNRYSDKTGDNWIKISHIPRVHISNHYYFQPDYPH >CDP20963 pep supercontig:AUK_PRJEB4211_v1:scaffold_2148:17290:22108:1 gene:GSCOC_T00011145001 transcript:CDP20963 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKCISSCMIFLTFYFSFFMDRHESKCIQEIVEYVVAKLERVDAIEAKNQVGIDSRVQKVNALLNLGSGKVQFIGIWGMSGIGKTTIARAVFDRISTHFEGAIFLDDVRKQSESLKNLQEETLSKTICLKDLRISSVFGGSNMIRRRLCNTKVLIVLDDVDCLDQLEALAGKHDWFGAGSRIIITTKDKHLLVTHGVDEIHEVELLNQSEAIQLFSWHAFKKDYPAKGYEELSKKIVHYAGCLPLALKVLGSFLYGREMAEWRSEVERLKRIPEDEIIEKLKVSFNGLREVEKEIFLDIACFFKGKKKEYIRRVLNSFDFYPDIGIKVLIEKSLVTVSGGRILMHCLIQEMGWHIVRQKAPDEPGKHSRLWVAEEICDVLARDKATENIVGMWLDLSTPKDVVIKNEAFEKMKKLRLLKINNACVSRCPNCIPNGIRWLDWHGYPSKSLPQSFQAEKLVGLNLQYSRIIHLWKGIKSLDKLKYIDLSYSQKLIRTPDFTGIPNLERLILEGCSSLAEIHPSIEHLTSLVLLNLRGCESLASLPSGLCRLKCLETLILSGCSKLDKLPEELGHVLSLEELYVDGTAISKPPSSIVLLKNLKTLSFRGCKAMASRKCRAFSPSWLLGQKSQDSTGLVFPSVSGLNSLAKLDLSDCNLSDKGLPCDLGSLSSLVELNLGKNNFTSISAASIKNLSRLRILELVGCKRLERLPELPPCIEEVYADNCTSLQSATDLTKHGWLHRVSFSNCFKLLQDERNSSMIYATWNHMLKEFSLVDGSFSICLPGGSIPSWFTFQNSGPSITIELPPNWYNNEFMGFAVCVVSDLMRTPFLLELQWRELLQKIPGFPVQFTLIDKEMNRFCYVFTMAFVGAENNIDSEHTCLGYLPFDNIWEALEFSRWRDGPSPSLWSNSLRSPNDWTCIEASAQADVMECLAFKEWGISLVYENDVRQNSELLMVPQSSELGERRFSSNVIVNRLKSSRRRRRGLEALPGFPSELIQIGDPSVQRPDRVDAVHEFPTVATDLRSLMSNVHRVRGSIGGRDTITTRRGCGCSYLET >CDP20964 pep supercontig:AUK_PRJEB4211_v1:scaffold_2149:17772:21341:1 gene:GSCOC_T00010051001 transcript:CDP20964 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCFTLIPHKKDFTAYADVCFKEFGDRVLYWTTINEGNVFAMGGYDNGVTPPGRCSFPFGQMCTEGDSVTEPYIAGHNMLLAHSSAVKLYYKKYKAVQHGFVGLNIYSSWFSPYSNATEDVTATQRVIDFYIGWFMHPMVFGDYPDIVKKNAGSKIPVLTPRESKLIQGAFDFRGLNHYNLVYVKDNPSSFEMNVRDITADVAASFFLEPEDAPQNQNDDPSSSLSGILEYLKTAYGNPPTYIHENGLRTERNGTLYDIPRVNYLHSYIGTLLEAIKNGSNTKGYFQWSFLDGLELFGGYERGSGLYYVDLDDKQLRRYRKLSADWYYNFLKGRTIRPAEITEVETGVFGSSTSKASE >CDP20965 pep supercontig:AUK_PRJEB4211_v1:scaffold_2155:2159:2697:1 gene:GSCOC_T00010616001 transcript:CDP20965 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRLSPRKSDLEFFFFDFILVYYLSIYLCSIAGQRVVGEPVASGLVLDDSGLWDPDFYTAAKQEPISLSITAGHGKALQAALSTGVLQVFS >CDP18151 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:334140:335593:1 gene:GSCOC_T00013734001 transcript:CDP18151 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRRCKPNVNVYNTIIDSFCKDKMVGEALALLQEMIEKGIPSDVVTYNCLIGGQCNLNRWKDVTKLFSEMKDYKITTQWWMPQ >CDP18156 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:417778:426696:-1 gene:GSCOC_T00013743001 transcript:CDP18156 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKDLEHNRKSRQDIQLQGENLFPPSLQRKTLRRLKPSIKEYQQQHFIGKPISFSLVTSPAKTHFQGSQYQRLIPTLAATSHPRSCNSISNKGEDSLSISRVEIHASLLFQVERIFTGQLHFRRFMTSPSRSFSRSPIYNQYSKYDRRHDLRASPDYSYPTRSPWSETLKLEKKYNNYSDTPKNSYLDRDYRNGRYADSESDEDLRGLSFEDYRRLKRQKLRKTLKNCIWNCTPSPPKNPNNPEEEETVENSEEEKEFSEKDATNGDILKSDAKSESESESASESTEVSDSESDDLRSKRKGKGKGKKSSSRHKSRRSKSVSETESESDESNESENEDESGSEDSDASPSSGDRVKSKKQKSLRSKRSKKKRKTESDDEASLSAKSSDSAVEAKSKPKAAEEKPMLNEVDSEVLQFKELIESRKRAALENEPVVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFETLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREQKVMADLQRLVQRHIGQDAGPSHDPFGGRSTEGAEA >CDP18153 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:371469:372963:1 gene:GSCOC_T00013737001 transcript:CDP18153 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVVLEAFLPLEIIVGMLFVMGNAQDFIHKATHGWSKHIDNDIWDVATERRDKKPMDMLSSSSTPN >CDP18146 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:184192:184440:-1 gene:GSCOC_T00013728001 transcript:CDP18146 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDVDLLNPPADLEKRKHKLKRLVPSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGTKLL >CDP18141 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:152166:153257:-1 gene:GSCOC_T00013723001 transcript:CDP18141 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAAFLQLPTTFENLFDFFKDEHARVQWDVLSDGNPVHEIAHISTGTHPRNSISLVQPINQKENMLILQESSIDLLGANLIYAPVLVSAITSAMSGKDTRDTDVLPPGFIISSDGVDDRPNSSLLTVAFQIMVRPDTFADRLVTDSVATIHALISSTVQKIRVAVGFRFG >CDP18147 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:187002:187181:-1 gene:GSCOC_T00013729001 transcript:CDP18147 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKTRKSRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFNKYHPGYFGKVRMR >CDP18149 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:223671:224841:1 gene:GSCOC_T00013731001 transcript:CDP18149 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIHCCSLASFISVISFSYSRSFLQSRREVKVCMGCEDFLSDKAALKQSIRFKSSSKTTHMFPNKFNGFDSFSKKPKRR >CDP18150 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:230844:232472:1 gene:GSCOC_T00013733001 transcript:CDP18150 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGNRMGRAIEKLEIRPRVEEENDGGSVHHYSDSESPSNSPMSHTGSVLGGNDSGTNFIGSSSSSSGGCCSGSMSEEDEGGEDGCLDDWEAVADALAATDEKQQLHIGTAPVDKNIAHFDSQSDVDISKEKPENGVSVQRAPVNCRAWRPDDAFRPQSWPNLHYGCGGSVWGCKNAASIPKSCPICYEDLDFTDSSFLPCSCGFRLCLLFKAIKFVL >CDP18137 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:42580:44004:1 gene:GSCOC_T00013715001 transcript:CDP18137 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDVLLETLTFTDHVDVNSWHFCQLTYISKEEPWK >CDP18142 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:153400:154796:-1 gene:GSCOC_T00013724001 transcript:CDP18142 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPMLISWWHGLNMFKWMIYLLLILFIKIWFVILKHMEPRNGLSLCKGCARDLHFQWDQDLLLDMNLKELLMHLKVGKA >CDP18136 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:15381:40779:-1 gene:GSCOC_T00013713001 transcript:CDP18136 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQSTTPAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYISQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDDHPPIPDSLSPAITDFLQQCFKKDARQRPDAKTLLSHPWIQNSRRALQSSLRHSGTIRNIEEDDSDNEEISNGDDQSNVGDVSAEKGSRKELLSSEAAEVCTSSDKDGSGGNLFEDRADSAEDEAVSDQVPTLAIHEKKSIGSHSSRHAAGDETAPNLSEHQPSYPAVQDKVLMNGERESSELKTKAVVSEKLEEIENSVNGRHDSFAFGVKSQDSNSRKAVKASVISSQNELSRFSDTPGDASLDDLFHPLERNLGDRSAEASTSASSSNVNQTNVDSGKNDLATKLRATIAQKMENETGQANGGDLLRLMMGVLKDDAIDMDGLGFDDKLPAENLFHLQAVEFSRLVLSLRPEEPEDDVVAACQKLTAFFHQRPEQKIVFVTQHGLLPLIELLEVPKTRVICSVLQLLNQIIKDNSDFQENACLVGLIPVVMSFAVPDRPREVRMEAAYFLQQLCQSSSLTLQMFIACRGIPILVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCRIAARNGILLRLINTLYSLNEATRLASISGGGGFPPDGLAPRPRSGSLDPSLLQSEASHYGVDQPDHFKLKHGTLDHILPAGTQETARASVSQSPDARFFTLETDRPQSSNTTLEASGSSKLSDSTPLDKVISMAAKEFPVASSREKESTDRWKNDPSRIEFDPRQPRGAGMANRTSTDRPAKMTEGAPNGIFSATATQQENVRPLLSLLDKEPPSRHFSGQLEYVRHLTGLEKHESILPLLHASNEKKSNGLEFLMAEFAEVSVRERDNSNLDSLPRNSYKSANKKVGQPATIDGMASTSGFASQTASGVLSGSGVLNARPGSATSSGLLFHMVSPWNADVAREYLEKVADLLLEFARADTTVKSHMCSQSLLSRLFQMFNKIEPPILLKLLKCINQLSMDPHCLEQLQRADAIKYLIPNLDLKEGPLVSQIHHEVLHALFNLCKINKRRQEQAAENGIIPHLMQFIMSDSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLEDELWSVTALDSIAVCLAHDNDSKKVEQALLKKDAVQKLVKFFENCPEQHFLHILEPFLKIITKSSRINTTLAVNGLTPLLILRLHHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPSKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >CDP18145 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:174808:177797:1 gene:GSCOC_T00013727001 transcript:CDP18145 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNNRRQEERTGNMELLGIVFLLSALILIELVTQFQNATDEEIKERIAANLANFAYDPYNYTFLREQLNVLELFLDCMTEPNERLVEFGIGGICNACADPSIAAVVIQCGGIPLVIQCLSSPVNYALGALYYLCSATNKEEILKPEVVDIIKRYASTSAVSVSFCNMALDMCQAKISMRDSKEGLAEQELGKEERESQEHEKE >CDP18140 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:124172:126452:1 gene:GSCOC_T00013722001 transcript:CDP18140 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPLCLAGHPKTLADVKGGTLVSYEGRVQVFGTVKVTRPAVNEFKSIEKFKIFNTNYLQVSLETIKRLIQESALKMEIMPNPKEVDGVKVLQLETAAGAAIRFFDRVLIGNNVPRSRFLPAKATSDLLHVQSDDSYVVRNVARKNPTNPAIELVGKFLSRFKSIPSIVELDSLKVSAHVWFGSGITLKVIQTNYLSPSYSVSEALWMYRSLKICL >CDP18143 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:154855:159215:-1 gene:GSCOC_T00013725001 transcript:CDP18143 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVMVETAVNAMDELLELFRGNEPLWVKSPTNERYLIHRETHDKLYPKISHINSSSSWIESSRDSGLVPITTRHLIDIFQDPEKWMDFFPTIVTKVRTIEVLDTGKEGCALKIRKIYSYQHMHEKLHVLSPLVAPRELAFLRHIQQLDSTTWVIVNVSYDSFKELEDASS >CDP18139 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:76917:78556:-1 gene:GSCOC_T00013719001 transcript:CDP18139 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISLQITTNCIHYICNIFLVKGLDVDSLFISHIQVNQAHKQSRRTYHAHGQINPYMSSSCHIELILSEKEESVKKEVIHTCRM >CDP18148 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:220494:223526:1 gene:GSCOC_T00013730001 transcript:CDP18148 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHYSSAVSVFRDMYVRGIPLSDYTLNVVINCYSLLGRVELGFSVLGVFFKRGILPDIVTFNTLLKGLFREHKVPQAQELFKKIIFEKLCEPDEVTFLIVIDGLCKVGNTQKAVEFLRVMEKRRRCKPNVNVYNTIIDSFCKDKMVGEALALLQEMIEKGISPDVVTYNCLIGGQCNLNRWKDVTKLFSEMKDYKITPDVVTFNIVVDALCKEGHIEVAEEVVGIMIRQGHNPNLVTYSSLMDGYCLKRRIDEARRVFEAMVISGLTPNLHSYGILINGYFKHKKVEAAMNIFREIQHKGLTPNIVVYNTVLQGLYSVGSYLGAREVFDEMQTAGIKPNFYTFCVMLDGLCKTGHVNEALQLFHSMEADGIGHHIGMYSIILDGLCKSGRLDSARDLFDNLYDKGLDPNVPTYTTMIAGLLSQGLLTEAKELFGKMEENGCLADSVTYNVILQELLKGGHYDDAIVYYEEMVRRGFLLDASTFSILLDSSTEKEKNPSLLMLMLKIGPDSMKFIDGG >CDP18155 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:391105:401609:-1 gene:GSCOC_T00013742001 transcript:CDP18155 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGKPISTSSASSSLAAKPFFDFSPDSEPAKFVLSPDELRYSSEALQSFKDKLFKSPQTIRQEFLTLQAKRMSRSDMMSRCTVAFDSVNRDKNRYSDVLPFDSNRVVLNPCKDYRPSARGYINASFIETSDKVSRFIATQGALPHTFEDFWEMILQNHCPVIVMLTRLVDNYKMMKCGDYFQAEDGPREFGNICIVTKWIKTTGSSLVLRCLEVKYKESEEPPLNVLHIQYPEWPDHGVPRDTLAVREILKRLYDVPPSLGPIVVHCSAGIGRTGTYCTIQNTIQRILVGDMSALDLVKTITLFRSQRIGMVQTLEQYLFCYDAIVDELEDLISDSSGQRSSY >CDP18144 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:159623:161697:-1 gene:GSCOC_T00013726001 transcript:CDP18144 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSKVLVYILHARSFLNLCVFTLFYLFYFFMFLKECPHPDENQRRQLSSELGLEPRQSSSGFKIKEPKQRVTMNELTTMPLELKITDSIMRL >CDP18152 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:368321:371337:1 gene:GSCOC_T00013736001 transcript:CDP18152 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRAASLGTAAAAANFTTLALFLSAFPSPKPQLAFCSAIATSSDKIRNHQHQIGTSSGNVLQFLSGLKGRIDSIDSLDDALSLYQQMVRIRPLPYVFCFNRLVDRIVKMKKHYSSAVSVFRDMYVRGIPFNDCTLNVVINCYSLLGRVDLGFSVLGVFFKLGILPDVVTFNTLLKGLFREHKVPQAQELFKKIIVEKLCEPNEVMFLIVIDGLCKVGNTQKAVEFLRVMEKRRRCKPNVNVYNTIIDSFCKDKMVSEALALSQEMIEKGIPPDVVTYNCLIGGQCNLNRWKDVTKLFSEMTDYKITPDVVSFNTVVDALCKEGQIEAAEEVVGIMIRQGHNPNLVTYSSLMDGYCLKWRIDEARRAFDAMITSGIIPDLHSYGILINGYCKHKKVEAAMNIFREIQHKGLTPNIVVYTTVLQGLYSVGSYLSAREVFDEMRTAGIKPNFYTYCVMLDGLCKTGHVDEALQLFHSMEADGIDHHIGMYSIILDGLCKLGRLDSARDLFDNLFVKGLDPDVPAYTTMIAGLLSQGLLTEAKELFEKMEENGCLAGSVTFNVILQELLKGGHYDDAIVYHEEMVRRGFLLDSSTFSILLDSSTEKQKNPSLLMLMLKIGPDSKKFTDVG >CDP18154 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:387419:389833:-1 gene:GSCOC_T00013741001 transcript:CDP18154 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSYAKLIILLFSLSFLASTTFCRDFSIVGYTPDDLTCIDKLINLFESWIQKHGKIYESIEEKLHRFEIFKDNLKHIDERNKDIANYWLGLNEFADLSHEEFKKMYLGLNGELATKRQSPGEEFTYGNVMDIPKSVDWRKKGAVTPVKNQGSCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCDTTYNNGCNGGLMDYAFAFIASNGGLHREEDYPYLMEEGTCDEKRDQSELVQISGYHDVPQNSEESLLKALAHQPLSIAIEASGRDFQFYSGGVFDGHCGTQVDHGVAAVGYGSTKGLDYIIVKNSWGSKWGEKGYIRMKRNTGKPEGLCGINKMASYPTKNK >CDP18138 pep supercontig:AUK_PRJEB4211_v1:scaffold_216:76594:76752:-1 gene:GSCOC_T00013718001 transcript:CDP18138 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRLLRDAEADGWATIRLPYHMRVLPRRQPLCSNGNVSVVTRPLSFIAFLG >CDP20967 pep supercontig:AUK_PRJEB4211_v1:scaffold_2168:4639:6876:-1 gene:GSCOC_T00004173001 transcript:CDP20967 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAFNFSPAEGTRVKTSPPELASANDSKKKLLILDLNGVLLGSPFTRMTRNRDFNFRPPKVSANLYLNCFVNYNVHKFFCYDQSRCTMTQTSLGENPDKKVMFKDLQHVWGEYKSYNSSNTILVDDSPYKSFLNSPYNAIFPISYTCYTVEDNYLDPEGDFVRHLKKLASADNVQDFIKRNRFGQSPVTEGSVEWNFYVNVVSKLGLQNTAKRVTRKREAPNRYYPEVSIAFMFRNMFTIVQHLVMIAKIASAHMHRPRKKGKFESMRKIIVHCTEASPNLWKASTSLLMGHTTKTFVHRCFPSCKWFDGTGNIPSSLDIPLVLDVTSGWKVVLHEENGSVYYWNIETGETSWEVRGLPR >CDP20968 pep supercontig:AUK_PRJEB4211_v1:scaffold_2169:15718:18651:-1 gene:GSCOC_T00006555001 transcript:CDP20968 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFLCSSSSLLLHFLLSFILFASQIPFASSNQAYYNYSNVICTESERRALLQFKDGLIDESNHGYHCKNCLGGQLSPSLVNLTNLQYLDLSLNNFSRIQIPTFFGLFKSLRYLNLSGAELAGEIPYHLGNCSHLRYLDLGVATQNSYITQNWLRSKDLGWLAGLSSLEGLVLSWVDLSATEDGLKAIKMLSSLTTLKLDYCKLFITPHLSPANFTSLSTLDLSGNNFGKYMVPSWLHNLTILHDLRLSSNNLSVPIHGLFGQMTSLALLDLGYNRFDASTLKSLCNVSSLTYLDMNNNNLQGSIPSEIGQLISLTYLDLSYNDWQGSIPSEIGQLVKLTELLLFSSRLNGTIPYSLGQLMNLQTLDISYNSLTGVLSEHHFSKLKCLKKLDLSENSFSLNVSSSWVPPFQLQYIGMQSIKLGPRFPEWLRMQQEVEQLYINNASISDAIPSWFRELCYDIKYLDLSNNNITGSPLEFKEMKSHHGEDRALLLSSNKMEGSLKSFPSDIWYLDLSQNFLTGHIPKPDANQTVVPELELSNNHLSGRVPMCLGTLRDLWILNLANNRLYGQIPSSLGNLKGLNSLLLYGNKFVGKLPSSMQNLTGLQLLDLGENRLKDIIPSWIGERFSKLMFLRLQSNNFHGGISNKLCQISNLQVLNLAHNNLTGNIPQCFNNFTVMASNDPGKYHQGINNEISLQNFKGGRELEYSSENVMFVKSISLSANNLVGEIPDGIMDLTGLQILNLSQNHLSGRISKKIANLKQLETLDLSMNELFGAIPPSLSALDSLSFLNLSYNNLSGQIPSGNQLQTLMDPSIYEGNGGLCGKPLPNNCLQHKLPMEKGPILDGKGHNESDWSWFYAGIGPGFAVGLLGVLGILIFKESWRYAYFRFLENAYDKICVMIALKTAHLRRNFH >CDP20969 pep supercontig:AUK_PRJEB4211_v1:scaffold_2174:1151:4753:-1 gene:GSCOC_T00006923001 transcript:CDP20969 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDTIITSDTPSSSSQFNSSSRIICRVCQKQYSQYTCPRCNTRYCSLPCYKSHSLHCTESFMRENVEEELRQLQPDDESKQKMLHILKRFHSEEQEMDYMDEHGSDSDSESLFAEETVQKILSGSQVGLDDLSVEEQKHFLRAIASGELSKLLKPWEPWWLKRSANYTRLSQDGTQLVQPLDNTGKLASSHDNIENDQIYDIPPGPDAPLPSVRRLSATEPSPLLTVHLVDIIYSYCFTLRLYNGDWSSDSTGSVEVLLSISSVLGQGGQPETVLEALSYCSEQTCSPAYRHMGGSQFALNLMDDVTSVLYLGGAAIVCLLCDLQRLIQAAEKEFKSEKSGKSRGSKIKTKLKSAERKVYFIKCWANEQPNEAWSSLAAIVKAEKSSAMEYITRGRYSSKKEEGSKPKDKPMICEFQ >CDP20971 pep supercontig:AUK_PRJEB4211_v1:scaffold_2174:15662:21050:-1 gene:GSCOC_T00006925001 transcript:CDP20971 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSIVKETLESLSCNNGWSYGAFWGFDQKNSLLLTLQDVYCEEHLGVVIDDMLVQVHMLGQGVIGQSAFSKNHRWMISDSHSGKLSPSGSLQNSDALQDYSAFHCQFSNGIKTIVVISVEPLGVVQFGSTQKLAERLEFVTQTRELFQSIEILQGLALSEIEPLSLQNDAWEASGLFASLISCQSPHSVTPAFQHGDSCADLIEKDCLLENITPSFPSTSDCETTGPLATASSLCDNRLRFPSTVAHVDSNGNKSTASSLMFEHSASQGPFNCSWTDYDIQESSIFNLMCNLESFIDMPKTSQQLCEDQLQRPSNLCTFEELLPEIEFAKSVSKHCTNDDLFQWFSPMTDENNDIMEAKLKSDPSGTSEVISLSSNSKGHHVPTNLILDNQPSTSVQSSVTNAINSAEKEKGSGIFGNDMRSDCPRVDMGSKNPGDWEDVMRSMDISGHLHFCASSSDCMSEQYLESKLRTSNTLFSQLGLYQRLDAIGRGSCSVANSDFRDEWSSTAKRRKIESPLLSSNEVSCLPEFVGTVNSLQPVHHLNSTSNFESKDKVIRKKEAGSCNDDNCSIIAEDTVLSPNKLELPAKTIKKKAKPGTKPRPRDRQQIHDRLLELRDLIPNGEKMSIDRLLHLTIKHLLFLQCVTRHAKRFVQTDELKSGTVGNGYPNSGKGVTWACEVGDQTMFCPLIVEDLSVPGQMLIEASEKQFHLYIILCEEQGFFLEIVDIIRGFGLTVFKGVMEVRETKIWARFNVEAEENRQHVTRHEIFSSLVQLLQLTGSTRIHESDQLDNVMDGGAAFLKSCQQSALQLVSGQVDA >CDP20970 pep supercontig:AUK_PRJEB4211_v1:scaffold_2174:10064:12131:-1 gene:GSCOC_T00006924001 transcript:CDP20970 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIKEDAGRVEWRIRVDEKDGSSDQVLETEPGPANGFCRVFKCLVANKFSMRLLRFLKKAWHLGVDDPRKVFHCLKVGIALSVVSLFYYMRPLYDGVGGTAMWAVMTVVVVFENTVGATISKSLNRAIGTCLAGLLAVGIHWVASKSGNKLEPVIVEGSLFLLASAATFSRFVPAVKKKFDYGTVIFILTFSLVSVSGYRVEKLFDMAKQRLSTIIIGTSLCILTSMLVSPIWAGQELHCLIIKNMEKLATSLECCVAEYFDDGQKTTSAPVQNLQAYKCVLNSKATEESMANFAAWEPAHGSFNFQHPWKQYLKIAAAMRDCAYCIEALNSCISNKKQASKSMKKHLSDICLKVNSSSGSILRELAINLETFKKTSKMDISIEEMNNSVQEVKNYLKSLPGLVLTPTTSETKSSETAIMEPLLTTNTIPLVEVIPLVTFTSLLIEIPTRVEAIVDAVEELANKAKFKPAPDDKPKQNEQNNKTVSEQLNDEKTMKTLQSV >CDP20973 pep supercontig:AUK_PRJEB4211_v1:scaffold_2175:18552:18860:-1 gene:GSCOC_T00002280001 transcript:CDP20973 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSWFKGTKNDTNSKPATQKSEPVKTQSASEVPGMNGAVEVRRPGPPPADITVFEFGSIAASADKVTLAGFCPVSDELEPCRWEILPAQGSDAPQFRVVF >CDP20972 pep supercontig:AUK_PRJEB4211_v1:scaffold_2175:1555:3975:-1 gene:GSCOC_T00002278001 transcript:CDP20972 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSWRYSRDDALLVEEAKLVGIDQPKQHLISKLLEGHDHQLKVVSVVGMGGLGKTTLVKKVHEDPDVRKHFPVRAWVTVSQTCDFPKLLKDLIRQLYEELKESVPGSIESKTTAELKKIVKDFLQKAGRYAIVFDDVWDVEFWNEIKFALPEGNYGNRVMLTTRNADVAASCTESQDCVYRMKPLSNEDSWTLFCNKIFKGNRCPAHLMDVAKAVLDKCDGLPLAILAIGGLLASKDVSRIDEWEMIQHSLGGKLERVKRILSLSYNDLPSHLKPCLLYLTIYSEDYPINCHMLILLWIAERFVEWRDGMSIEDVAWAYLSELINRSLIQVTKVFYEGTPHTCRIHDLLREVMLIKSREQNMVIVTTGQPMTWPSEEKVRRLAIHSSNILRSSKLLKVLDLTNEEIEATPNEIFNLLYLTYLSLYGTKVKRVPRAIGKLQHLEYLNLGDTGVRELPVEILKLQKLRYLIVFQRVDPSDVKEIGKLTQLRELYITQLRREDGKELCSSLVNLTSLRQLSVASVGKGDDSEIIDLNHHQHSLSSSSSCSFLQSLRVLIMYGRLETMPVWTTHLQNLVKIVMNWSGLRAEEDPLESLQHLPNLDEISFCGSYQGERLCFKAGGFLKLKRLWLRRMEGLRWMTVEEGVCPNLRRLVLEQLPLLEDLPSGIQHLTHLQELGLYKLSSRLMEKVENQEEDSEDYRRMAHIPEIVIGFYTDDGGWSIRRLWGKQKETILA >CDP20974 pep supercontig:AUK_PRJEB4211_v1:scaffold_2175:20264:22075:-1 gene:GSCOC_T00002281001 transcript:CDP20974 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAKAVLDKCDGLPLAILAIGGLLASKDVSRIDEWEKILRSLGGELEGTGELERVRRILSLSYNDLPSHLKPCLLYLSIYPEDYLIECNMLILLWVAERFVEWREGMSIEDVAWGYFSELVSRNLIQVIGVFYEGLPYTCRIHDLLREVILIKSREQNMVTITTGQPMTWPSEKVRRLVLHSSSNSSNIQYHQQRQFYSFEHLRSFITVTSTNPLLSKTFLCEVLRSSKLLKVLRLKGEEIEETPNEIFNLLHLTYLNLYGTKVARVPRAIGKLQHLEYLNLGDTGVRELPVEILKLLKLRYLIVFQRVDPSDDDYGFHGFKGPSKLGGLLALQVLRTVDASSGSVILKEIGKLTQLRELYITQLRREDGKELCSSLVNLTSLRQLSVKSIGKGDDHEIIDLNHHQHSLSSSSSCSFLQSLHSNWSGLRAEEDPLESLQHLPNLGEITFCGSYQGERLCFKAGGFLKLKELRLRKMEGLIWMAVEEGACPHLRTLVLDRLPSLVDLPSGIQHLSHLQELGLYEMSSQLMEKVGNQKEDSEDYRRMTHIPEIVIGFYADDGEWRIRRLWGKKKKTILA >CDP20975 pep supercontig:AUK_PRJEB4211_v1:scaffold_2177:4893:8018:1 gene:GSCOC_T00008874001 transcript:CDP20975 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIGAASQVTLERALSLASDRIGLLVGFKKDVASMTRSLHLIKGVLADAEAKQNQDGAVQEWLKSLEEVAYDADNVLDELHYESLRHQVESRNRPKLKVCCFFSFSNINLALRWRMASKVRDIKLKLNGIYQDAQGLGLVSRAAPAVGDTRGRQTDSVVAPMVGRADDESEIVNILLSLSEKVVSVLPIVGMGGLGKTTLAKSIYNNHQIDEQFKKKLWVCVSKKVPIEELFKLILGQLKKDKKDEVGDRQNIVQEIGKELGKLRYLLVLDDVWDDNQALWEDFFNTLKGLNPTNGSWCLVTTRPGPVAQCVSRVLMMENEAYRLGRLPDDLCWSILKEKVVGGGEYYLEILLQSSLLEEIRNFWGRRCYKMHDMVHDVAKSIMSKSTKFINSETASGDNSNQVRCLVINSFGEDAKNLFESRSNLLHTLFLSQGSLSDDMLMKLKNLHVLNLSGKENQNLPISIGKLIHLRYINFERSRSQTLPKSVSKLYNLETLRLRDFYLKVLPKGMCDLISLRHLHYYTTNEEFQMPLEMGRLTCLQTLEFFNANLFRLELKWAYNREGDNYNDEDVLDGLRPHPNLEELVICNFMGDQFPRSLMELPTTTTLPKSATTLPKLARLEFNCCDRCRELLPLQNFTSLKELVIYHCDGLTNLPGDMLHSCASLQKLRVIGCDNLISFPLNLQQTPSLLELELRRCPKLKTSMTPKGFGFLTSLWELTIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSSALCELALYGLSHMESLPPQIQYLTTLMSLTLCDFGGIKALPDWFGNFAALEELWLYGFKELGHLPSEVAMRCLTKLKRLEVYGSPLLKERCTPESSGPDSQWCKVSHIQDLHITDDCLQ >CDP20976 pep supercontig:AUK_PRJEB4211_v1:scaffold_2178:246:1420:-1 gene:GSCOC_T00012065001 transcript:CDP20976 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNHDEDSQPVSPTGQYFNSSVLSICIIGVLEFEIPIQEDDSLTVKLVQDVFLPINPRFSSIMVQDEKGVKYWKKVEVKPKDHIRVPNFPEGKSIEFYDECFNDYLTKLAMETLPQSQPLWEIHIIKYPTKNAAGNVVFKLHHALGDGYSIMGALLSCLQRADDPSLPITFPAFRTNPQVISIEDSSICKKVPRILSGIGNTISDFVWGVLKSTVLEDDRTPIRSGDDGVEFRPISITTFSFSMDQIKQIKVNLEVVSLQSCSHYGFYNILEELNMPKSHHGKDIHDVPLFDIYI >CDP20977 pep supercontig:AUK_PRJEB4211_v1:scaffold_2178:17110:20715:-1 gene:GSCOC_T00012066001 transcript:CDP20977 gene_biotype:protein_coding transcript_biotype:protein_coding MGELNQDEEFQVPVSPTGQYFNSSIMSAAVIGVLESEIPIQEDDSLTLKLLQELFLPINPRLSSIMVQDEKGVKLWKKVEVIPKNHIHVPVFPEGKSIEFYDECFNDYLSNMALQPLPQSQPLWEVHIIKYPTKNAAGNLVFKLHHALGDGYSIMGALLSCLQRADDPSLPITFPEFQTNPKGKNNDEGSIFKKVPRVLSGIGNTISDFAWSMLKSTFLQDDQTPIRSGEDGVEFRPMTITTMTFCIDQIKQMKANLDVSVNDVICGVIFLGTRLYMQAIDPEKTNGKSSALVLLSTRAIRGYKTVKEMVEPNSKTPWGNHFAFMHVSVPNLAKAGSQNPLNFVLEAQKIIRSKRNSAGVYLNGKLLETMRKCRGPEATAKFIHKTLTNSSMTVSNVFGPVDRLALANHPAKGMYFMMAGSPQNLTITMVSYMKKLRVAIGVEKGMIDAEKFKSSIDEAFNMISLAAINNGSAPHQPPISTPLNVT >CDP20979 pep supercontig:AUK_PRJEB4211_v1:scaffold_2179:14857:20461:1 gene:GSCOC_T00005372001 transcript:CDP20979 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEQKENSNKYSIIIPTYNERLNIALLVYLIFKHLPNVNFEIIVVDDGSPDGTQDIVKQLQSVYGEDRILLRARPKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPNFIKKQMETGADIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYRKSVLEDVIGSCVSKGYVFQMEMIVRATRKGYNIAEVPITFVDRVYGSSKLGGSEIVEYLKGLVYLLLTT >CDP20980 pep supercontig:AUK_PRJEB4211_v1:scaffold_2179:20990:21835:1 gene:GSCOC_T00005374001 transcript:CDP20980 gene_biotype:protein_coding transcript_biotype:protein_coding MKEATRLTRYLPIIIVLAADLCVGIRFSAQLSEGSITDTANQQQLQTAVFALGSFWRSEAVFGCLDGVVRTTVGYAGGSKTNPEYRSLGDHAESVQVTSYVPSFFSLLICILLPV >CDP20978 pep supercontig:AUK_PRJEB4211_v1:scaffold_2179:48:4071:-1 gene:GSCOC_T00005371001 transcript:CDP20978 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEATGHIVTVELKSGELYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSKVRYRK >CDP20981 pep supercontig:AUK_PRJEB4211_v1:scaffold_2184:9539:11402:1 gene:GSCOC_T00009942001 transcript:CDP20981 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCDWPRLYLTPREPGKLDKGFLLKLKSRRCSQMLTLGSNQGLEVKDVNAKPIPPQSESPFLIGVYFGKYVPS >CDP20982 pep supercontig:AUK_PRJEB4211_v1:scaffold_2184:12711:14143:1 gene:GSCOC_T00009943001 transcript:CDP20982 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRNGLKESSLITELLPVSDRSITVGHVSNRPARDRRAPLLILRKKELSYYLLNNKLITSIHLMKILRLQRQRPSFYSLRHRP >CDP20984 pep supercontig:AUK_PRJEB4211_v1:scaffold_2195:5569:8051:1 gene:GSCOC_T00004902001 transcript:CDP20984 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSSGNAQKLPPGPNKLPFIGNLHQLLGSLPHHSLKKLADKYGPLMHLQLGELSTIIVSSPKLAKEVLQTNSLAFANRPQLVVAKIIQYNNLGVSFCPYGDYWRQMRQIYIMELLSAKSVQSFFSIMEDELSYLVGSIEAEEGPLLAPRECREECTISGYNIPINTVTIVNAWAIGRDPEYWNDPEKFNPERFSDKSIDFRGNNFELIPFGAGKRVCPGISYGVTNVELVLANLLYHFDWKLPQGINPQDLDMTEYWNDPEKFNPERFSDKSIDFRGNNFELIPFGAGKRVCPGISYGVTNVELVLANLLYHFDWKLPQGINPQDLDMTEVFGASAGRKNNLYLDAKIYIPSNDH >CDP18259 pep supercontig:AUK_PRJEB4211_v1:scaffold_220:34365:44626:1 gene:GSCOC_T00006601001 transcript:CDP18259 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDLLNCPQPPPQLRATPPKSYRTPRKATNKPSSMVASLPHRMASSLYSSLNPLLTPSLRLLSNPLPVFSSSIPRSTETVINFSSFSRTSSSKSGEICGTKRNGVPLSWALATDSSGSTAAAVSTEEGKKDGVSSSEGRVVLPTNESSEILLRIRHTCAHVMAMAVQRLYPDAKVTIGPWIENGFYYDFDMEPLTDKDLKKIKKEMDCIIGQNLPLIREEVSRDEAQRRILAVNEPYKIEILESIKEEPITIYHIGNEWWDLCAGPHVESTGNIRRKAVQLESVAGAYWRGDTNKPMLQRIYGTAWEDEEQLKAYLHFKEEAKRRDHRRIGQDLDLFSIQDEAGGGLVFWHPKGAVVRHIIEDAWKKIHIQRGYDLLYTPHVAKADLWKISGHLDFYKENMFDQMEIEEELYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEHQIKDEIRGVLDLTEEILLQFGFDKYEVNLSTRPDKAVGNDDIWVKATSALKDALNDKRWSYQIDEGGGAFYGPKIDLKIEDALGRKWQCSTIQVDFNLPQRFDITYVDSDQEKKQPLMIHRAVLGSLERFFGVLIEHYAGDFPLWLSPTQARILPVTDAQLEYCNEIWRRLKANGLRTEICSGERLPKLIRNAEKQKIPLMAVVGPKEAETQTVTVRSRHGGELGTMPIDEFITRIKCAVESRTFL >CDP18264 pep supercontig:AUK_PRJEB4211_v1:scaffold_220:365930:368923:-1 gene:GSCOC_T00006614001 transcript:CDP18264 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPQRKNRVKVYPSRPSACSLSKGRNKTGKSQAIVAMGRRPARCYRQIKGKPYPKSRFNRGVPDPKIRIYDVGMKKRGVDEFPCCVHLVSWEKENVSSEALEAARIACNKYMAKYAGKDSFHLRVRVHPYHVLRINKMLSCAGADRLQTGMRGAFGKPLGTAARVAIGQILLSVRCKDANCNHAQEALRRAKFKFPGRQKIIVSGKWGFTKFKRADYIRFKRENRIVPDGVNAKFLTCHGPLSEREPGRAFLPATA >CDP18263 pep supercontig:AUK_PRJEB4211_v1:scaffold_220:212395:214880:-1 gene:GSCOC_T00006611001 transcript:CDP18263 gene_biotype:protein_coding transcript_biotype:protein_coding MENNASPKGSLVLSSPLSSPNVSALLKIKIMSWSQETGLPLSVRVRVANRTFNLHKRPLFSKSGYFKGRLNESNEIELPQNFPGGADTFEMIALFIYGSSTMVDPFNVAGLRCAAEFLEMTEEYSSGNLCERFDIYLNQVVLQSWDDTLIVLQKCQKLLPWAEELLIVSRCIESLAFMACMEILDPERRREKPIVTLEALASQPWSCETVKEIVRQDLWINDLIALPFSFFKRIIGSLRRQGMKEKYVCPIILFYANKWILCAKMKRHESHDDDVDDDFKSKLSHILQGIVDMLPIEEKASKGIPVGFYFSLLSQALQLELRDDSREKLQQQIVCMLHFAQVEDFLPPKSKTDFISCSKELAVMEQIFSTYVSSYMGPCHTRSSNVIVAELWDMYLSQVATDLRLDSKRFMDLIETLPMYSRQNHDHLYRALKTFLEAHPDLSQEERGFVCKYLNCQKLSQEMCIEAVQNELMPLRLIVQALFVQQLNTQQAFKECSDSFRYTHCNELSGSISSSRYANSKSQNLGESPDMDGREGGCKLSFLLQKDKAMQRPDIENEISWKDYESTSFRIQNLEQELISLKRALKVQNISKKREPPQAHTEPLSGRLQTARPYGFEGRSMSKKKNAVGQVTACIGNVNLASQRKYASKLLKIFQRISMFGRGKAKRKPSTTKGKSSVVTSTVVCTIN >CDP18260 pep supercontig:AUK_PRJEB4211_v1:scaffold_220:45442:49162:-1 gene:GSCOC_T00006602001 transcript:CDP18260 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSRTFAILSILISLISLTKTSAQQNCSSYKFNTNNIFATCISLPVLNSFLHWNYHSSNHTVDIAYRHTGVTASDWVAWALNPTGSGMSGAQCLVGFRNSTGNFHVYTSPVAGYSTQLAEGTLSFGVPKSSGEFINNNTEMIIFATLQLPAGRTSFNQVWQSGPVSGNTPQAHSGGDNLKSSGNVDFATGQVSGDGGAAGSQQRKRNVHGVLNVVSWGILMPLGAVIARYLKVFKSATPAWFYLHVACQTSAYIVGVSGLGTGLKLGHDSGLSQDTHKAIGIAMVCLATVQVFALLLRPKPDHKYRFYWNIYHYAVGYAVIILSIVNIFKGFDILNPEKKWKHAYIGIIIGIGAMAAFLEAFTWFIVLRRKKEGGGSTNKYPYNSDGVNGANGYGARIQQAV >CDP18261 pep supercontig:AUK_PRJEB4211_v1:scaffold_220:119280:121773:1 gene:GSCOC_T00006606001 transcript:CDP18261 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKVQSDFLAPDTGDLVENSEKGKCPEGKSEGVIGVLDVYIHQAMDIHNICIYQNQDVYARLSLTSDPEQVVSTQIINGGGRNPVFDENVRLNVRTIDSSVRCEVWMLSRVRNYLEDQLLGFTSVPLCEVLIENGKPEQEFSLSSSDLYHSPAGFVQLTLTYTGASPDVLEIPASHSCTVVDVANKDSEVDDSVPCELDKIEFPDPEIVNENERMASEYFAIPCPDLECKSTGQCASASSDGQLTIRNNGCVQEAVALDGQGANDVPVGETPPTILKTAEPPSVLVPINPSSVSHELSIPEPQNQDEVSKLKDKGPSPVNNIATEVPDSTITQSVVNVTIEPEQKVVQQEIVEIYMKSMQQFTEALAKMKLPMDIGDSSGADNVDSTSGTSGSSEKANASKSSEPSPKVFYGSRAFF >CDP18262 pep supercontig:AUK_PRJEB4211_v1:scaffold_220:209637:211522:1 gene:GSCOC_T00006610001 transcript:CDP18262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase a [Source:Projected from Arabidopsis thaliana (AT3G07800) UniProtKB/Swiss-Prot;Acc:Q9S750] MFAGKTTSLLRRVKLESDSGRSVAMIKSSKDTRYATDAVVTHDGVKFPCWSMPDLSSFKQKIGVDAYEKLDVIGIDEAQFFDDLYDFCCETADLDGKIVVVAGLDGDYLRRSFGSVLEVVPLADTITKLTARCELCRKKAFFTLRKTEETKTEIIGGADVYMPVCRQHYVNIHVEAARSVLKPHNVQANVSLDKAVVV >CDP20985 pep supercontig:AUK_PRJEB4211_v1:scaffold_2200:19585:19974:1 gene:GSCOC_T00001093001 transcript:CDP20985 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIKEGDPKSYCIYYDRIKCNAEKLYSTPTQEYTSPFPLADNVPYELLDWANTNIKPIESRPNRPMSIIVEGLSKLGKTIWDRSLDPHNYLCRHLDLNNKVYNNDAWYNIIDGINPHYLKHYKEFIGA >CDP20987 pep supercontig:AUK_PRJEB4211_v1:scaffold_2201:8065:9499:1 gene:GSCOC_T00007739001 transcript:CDP20987 gene_biotype:protein_coding transcript_biotype:protein_coding MSCILFSFIILHAPRTPFPSILGFLHFMLVIGFICLRVPLNMGNTCLLCFSSLSLISFIYVIYFLLFI >CDP20986 pep supercontig:AUK_PRJEB4211_v1:scaffold_2201:5920:6222:1 gene:GSCOC_T00007738001 transcript:CDP20986 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQDSKPGSGSAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGNYECKLCLMLHNDEGNYLAHTQGKRHQTNLAKRAAREVKEAPAQPPASQA >CDP20988 pep supercontig:AUK_PRJEB4211_v1:scaffold_2203:263:2322:1 gene:GSCOC_T00007301001 transcript:CDP20988 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAADPGQRYKVSEVLRDASAAGLSVCRTWAFGDGGDRALQISPGSYDERVFQALDFVISEANKHGIRLILSFVNNYNDFGGRGQYTQWARNAGAHVNSDDDFYTHPTIQGYYKDHIRRVVTRFNTITRISYRDDPTIMAWELMNEPRCQADYSGRTVNEWTQEMASFVKSLDRKHLLEIGMEGFYGDTMPEKKQFNPGYQVGTDFIRSNLLRDVDFATIHAYPDQWLSGKDDKAQLVFMQRWVSSHWEDSRTILKKPLVIAEFGKSSRDPGYSLSARDDYMSNVYRITYSFARSGGTMSGSLIWQLMAQGMDSYDDGYAVVLGRNPSTTAIMSRQAHAMSALSHLLAGADDAHSHARGQAHPRLMNHRHPSRRALLHHAKQHGHHHSSSLL >CDP20993 pep supercontig:AUK_PRJEB4211_v1:scaffold_2208:11082:11306:-1 gene:GSCOC_T00007334001 transcript:CDP20993 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSHAVLLAPQKHLLGFQKLHLTPQTEGLVEFNVHVCKHLSMVDKLGKRKIATGKYMLHVEDLKHRLTVTVRI >CDP20992 pep supercontig:AUK_PRJEB4211_v1:scaffold_2208:2259:3107:1 gene:GSCOC_T00007333001 transcript:CDP20992 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVKKTRGRQKIDIAKIQNEQHLQVTFSKRRAGLFKKASELCTLTGSEVALVVFSPGEKVYSFGSPNIDAIIEKFEFSTPKSDDASTLLLEAHHRFNVQHLNKQLSILENQLEAKKKIGETLNQLRQVGQIRHWWYAPINDLNLEQLESLHSALCELQNTVRIELEKPIYENTNQVPDLNGINPSGISPLGINTRENEGGAFDLIQSQPPRTKAFAPTAASSLVNTGISSNAWTRALTAENGPTASLSFAPNASEFDGATMPCYPSQGFNTIYGGLTLFKI >CDP20996 pep supercontig:AUK_PRJEB4211_v1:scaffold_2216:16841:17079:-1 gene:GSCOC_T00005199001 transcript:CDP20996 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYVSNGTLSNHLQESVETLAYMHSHASIVIYHRDIKSSNILLDETFRAVISDFGLSR >CDP20994 pep supercontig:AUK_PRJEB4211_v1:scaffold_2216:2:1242:1 gene:GSCOC_T00005197001 transcript:CDP20994 gene_biotype:protein_coding transcript_biotype:protein_coding TEIGMISALQHPNLMKLYGFCVEGNHLMLIYEFMANNCVSRALFNKLSSIQRTSASFSSCFRYLIIYKWQCDNKTATRASFDSDHNLVTCLYCNECSLNTCSCRGYMAPEYAMRGYLTAKADVYSYGVVALEIVSGKNNTNYRPKEECVYLLDEAYVLQERGSLLELVDPDLGPNIHQKRQLSC >CDP20995 pep supercontig:AUK_PRJEB4211_v1:scaffold_2216:4215:6853:1 gene:GSCOC_T00005198001 transcript:CDP20995 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTMNTADRSWESAVCSLSMIVKTDFNDFGRFNISSCDDDYTVPIVLDWEIGNISCHEAVKRRHYVCGQNSKCINSTRGIGYLCTCLPGYQGNPYLPTGCKDINECQVPKRNKCPKDATCVNTAGSYVCTCRLGYYKKDFGTLSNACIPLPDKGKRSMAIFISLGIGIATTFLILVFACVWMHRKLKKIEEKEAKKKLFKRLLQQQLSSGKGSVFETKLFVTKELEKATDNFNESRILGKGELGTVYKGMQSDGTIVAVKKSNKIDRDQLLQFINEVLILSQINHRHIVKLLGCCLETEVPLLVYEFVSNGTLSQHLHDDPSAGMITWENRLRIAAEVAGALSYLHSCASTAIFHRDIKSSNILLDENYRAVVSDFGLSRSVAIDRTHLTILVGGTFGYLDPEYIRSGQPNDKSDVYAFGVVLAELLTGQEAVSSDKSDVGLVIRFLSSMKENRLFEILDKIVADEGLEEEILFVAKLVKRCLKLNARKRPGMKEIAAELEQLRRIKEGSIDKQNFQDGYCSISEKSDFCNVDLITEDTDE >CDP18302 pep supercontig:AUK_PRJEB4211_v1:scaffold_222:205150:210309:1 gene:GSCOC_T00001195001 transcript:CDP18302 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFTFLYSPLIPISLFLVISYRLSWVLADNHDDAQVQLVFYYQAPHYSLNFPRPFAQLHMLQKVPQNTFAYRVSTLLRSLVHLVWMLQLPQVLILLRLLLTPEIVLNHQPQFATSVALASGAAVAASAASTPSLNHKSIEKTLILDLSAFKLWC >CDP18303 pep supercontig:AUK_PRJEB4211_v1:scaffold_222:211320:213192:-1 gene:GSCOC_T00001196001 transcript:CDP18303 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSTNSVNGFYSFLTRGIDDLERYFLSNNFLSIQFLQRVLTLLRSSHTHLILLVQKLHLPVGEKWLDEYMDESSKLWEVCHVLKSGISGMENYYAAGLNINSSLENHPFLSPQVNRQVIRAISGCRRETVGLEEDNRALMETRIEPLSLRFDEKGCVESKLNGFNGFRGVLYAMRNVSSLLLMILLYGLVYCWPQESDFLRGGGYEGGGCLFFGSALMISTARLHQRVAAEINQINGRPGILLYEFRRSKAAMEELRVELERRCSQGVGVVDWESEHGITERVENLRDCFGVLRSGTENIVGQLDDFFDEIVEGRKKLLDFCSHHR >CDP18301 pep supercontig:AUK_PRJEB4211_v1:scaffold_222:126999:133785:1 gene:GSCOC_T00001194001 transcript:CDP18301 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSSGKVSVKWIPIFSISFFFIGMLFTNRFWVPPESGGQLISQHRREQELQIISEDCTTKKKSGEQDKDVMDEVYKTHEAIQTLDKSISMLQMELAASRSSKEMGKPDRSSSASSKEGAPRKKAFIVIGINTAFSSRKRRDSVRETWMPQGEKLVKLEEEKGIVVRFMIGHSATSNSILDRAIDSEEAQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDADFYVKVDDDVHVNLGVLGATLARHRSKPRIYIGCMKSGPVLSSKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDERSMCCATPPECEWKAQAGNVCVASFDWSCSGICKSVEKIRFVHERCGEGEEAVWNALF >CDP18305 pep supercontig:AUK_PRJEB4211_v1:scaffold_222:423481:424128:-1 gene:GSCOC_T00001198001 transcript:CDP18305 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSLQQNLIENVDQEDEIKNLRTIVDEDVADSNFIYILNTILSGTARLNVLLPTATILAFTIFAPLVTNDGKCKSLERWLMGSFLAFLAASCVFFSFTDSFRTATGRLHYGVATIKGIWTFNGGKTKPCVPSDYRLRCSDMFHASLSLIAFLTFATSHSDVLDCYHLVVPRKVTNSVPLVVGFVVSFLFVMFPSRRRGIGYPFLLQSDALYQRY >CDP18304 pep supercontig:AUK_PRJEB4211_v1:scaffold_222:221685:221996:1 gene:GSCOC_T00001197001 transcript:CDP18304 gene_biotype:protein_coding transcript_biotype:protein_coding MELINTSAKVRGDVSVFGRVGEYTHERNDLCMEIKEFGVITKENNAESKGPLAYGQMNEPPGSCTRVGLVALTMAEYVYVYECVCIYIYVCVCLYIYNILATI >CDP20997 pep supercontig:AUK_PRJEB4211_v1:scaffold_2220:918:7389:1 gene:GSCOC_T00004760001 transcript:CDP20997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lariat debranching enzyme [Source:Projected from Arabidopsis thaliana (AT4G31770) UniProtKB/Swiss-Prot;Acc:Q94K01] MRIAVEGCMHGELDNVYATLLHLQEVEKVKIDLLLCCGDFQAVRNEKDLDSLNCPQHYKSMKSFWKYYSGEKVAPFPTIFIGGNHEASNYLWELYYGGWVAPNIYFLGFAGVIKFGNIRIGGLSGIYKEYDYHKGHFEKLPYDKKDVRTVYHIREYDVHKLMQVEEPIDIFLSHDWPLGITNHGNLKDLLRDKPYFEEEIRKGILGNKPSAELLDGLRPSYWFAGHLHCKFAALVQHGEDGLITKFLALDKCVPGRKFLQIVEVESGPGPYELHYDEEWLAITKKFNSVLPLTVQRANLSGATLDMQECRQFVRDKLQARGAKPFDFVKTVPCHDSSALVANSSFSGHCRNPQTVGFLQFLELEYLLDDKSRQVAVSPASFTSKVAGSFDCVSEDIPIDDVNDEEELKADEM >CDP20998 pep supercontig:AUK_PRJEB4211_v1:scaffold_2220:7462:8715:1 gene:GSCOC_T00004761001 transcript:CDP20998 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSFRLKTLLLQKVGYKCRHYMADDRINFLLICTRTQIPIADDRINFFLNMYWKSDPYALISGCL >CDP20999 pep supercontig:AUK_PRJEB4211_v1:scaffold_2230:13949:19377:-1 gene:GSCOC_T00009087001 transcript:CDP20999 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVRGLFNSFCGNLFLLLVLVLVRPNLAVAGSVVKFLPGFEGPLPFELETGYIGVGESEDVQFFYYFVKSESNPQTDPLLIWLTGGPGCSSIRGLASGIGPVKFQRVLYDGTLPKLMTNPYSWTKVASMIFLDSPAGTGFSYARTAKASQSTDLQASEHNYEFLRKLIDNINPEHVLKPTCPDVSRKPNNLFSGRRSTVETFYKKFEELDAATGEKLIDYWANDMSVQEALHVGKALWVGCNHSLPYTRDAGSVVPYHANLSTKGYRSLIYSGDYDMISPYLGTEEWIRSLNYPIIDDWRQWIHQGQVAGYTRTYANKMTFATGAGHVAPYEKPAECRSMFGRWISYQPL >CDP21000 pep supercontig:AUK_PRJEB4211_v1:scaffold_2236:14462:14958:-1 gene:GSCOC_T00010532001 transcript:CDP21000 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPKKLVGPTSPNSFLSFSAFLHQHTLRLSAKLATLLLPAHSSSSPILLPFASASHSQQPPRHAAAVATSSETLSSDHVAKTLAGTSIYTVSNSNNEVVLISGLDGAKSIDLLCFRREDAETFLAQVRGKNRDHWTTKF >CDP21001 pep supercontig:AUK_PRJEB4211_v1:scaffold_2243:3:2910:1 gene:GSCOC_T00010007001 transcript:CDP21001 gene_biotype:protein_coding transcript_biotype:protein_coding KLTNLVVRLCINLLFAAKYPFQIILVKIKKINGQLELTLKEADQFKFITSGGISDSHDFQRIMTTSIIDELEVYGRAADMEKVLDQILSKSSSQGRDGAQIISIVGAGGSGKTTLAQLLFNNDKVKNHFELRNWTCISDRFDQKRVAKAILENAGKSSHEAELDPLIRRIKETFSGKRFLLVLDDVWTEDDSKWKPFKDSLKDGAPGSVILVTTRSHRVATVVGSTDTHDLGMISHSDCWLIMQKVALARKSGDLCRKVERIGHKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLEEAAVELFPHLYLSYNELSPELKRCFSYCVVFSKGITMNVEELIRLWIAQGYVRPRRRGERLELVGREYFNNLAMRSFFQELEKYGHEYGECKMHDIVHDFAQFLTNSSGERARHLKILGGTEEEMSSSRVVDFGRLRSFFAIAAILVEIPAEIGRLIHLRYLDLSDNPFITMPEAICDLYYLETLTIYVCRKLSCLPERIEDLVHLRHLFNGMADELRQIPQGLGKLTSLCSLTWFIARCNSDDLAILKDLNQLEILHVVIEGEVDFRSAELGKKVNMRDMYLLSRFEAHFIETPSCIETMEPPPNLEELELDGYPGAQLPSWLVTKSYADNLTRLVIARACNISSLPALWKLSSLEVLVLAEAEKLECLGKEFFGITKALHENSLAFPNLRTLHFRRFQNWTNWEDLSEDDEEVAISIMPCLEELEINQCTKLETVPHRILSKISSLKIVDIRHCDKLRDRYSDKTGDDWIKISQIPRVDISDKYY >CDP21002 pep supercontig:AUK_PRJEB4211_v1:scaffold_2246:20040:21039:1 gene:GSCOC_T00008949001 transcript:CDP21002 gene_biotype:protein_coding transcript_biotype:protein_coding MISRNLLFHFQCCMLCLLSFHTRLTSPVNFLSSYCVNTTYEPNSIFGRIYSNNLYSVLAALSSNAFRTDTGGFYNISAGNDPSNTVYGLFLCRGDTSPTDVCGQCVAIAGVKVIEECPYHEDAIVWYDECFLRFSNQAIFSRVDAYVVLSMYNVQNVTGRDQEMFETTLANLVNDIPVQAANRTGGQN >CDP21003 pep supercontig:AUK_PRJEB4211_v1:scaffold_2247:1881:3372:1 gene:GSCOC_T00005752001 transcript:CDP21003 gene_biotype:protein_coding transcript_biotype:protein_coding MWLIMKVFVFHFVVLLILFGVSPSQAATHNYSFVVKEASYTRLCSTKDILTVNGLFPGPTLHLRQGDTAFVHVHNKGKENITIHWHGILQPRYPWSDGPEFITQCPIMPGNSFIQKVIITEEIGTLWWHAHSDWSRATVHGAIIIYPKRGDRYPFPKPDAEIPIILGTNKGINSIL >CDP21004 pep supercontig:AUK_PRJEB4211_v1:scaffold_2249:18185:19729:1 gene:GSCOC_T00010323001 transcript:CDP21004 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSKILIIGGTGNIGKYLVEASAKAGHPTFALVRESTISDPKRAAIIENFKSLGVIFLHGDLHNHQQLVNAIKQVDIVISAVGGDLVAHQVKIIEAIKEAGNIKRFLPSEFGVDVDRANAVEPAASLNRTKVEIRRAIEAEGIPYTYLVSNGFAGYLNYILNNFGDSFSAGPPRDKIVILGDGNPKVVFTKEEDIAAYTIKAADDPRTLNKSVYITPPANTLSYNEIVSLWEKKIGKTLEKIYVPGDEVLKKIQEASMPLKLLLSLAYTFFVKGEIANFEIKASFGMEATELYPDVKYTTLDEYLSQFVSN >CDP21005 pep supercontig:AUK_PRJEB4211_v1:scaffold_2250:2117:4050:-1 gene:GSCOC_T00010481001 transcript:CDP21005 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTEFTESGFGILWKRKEDEKGWLGSLAAVRQLTGSLTTAEGLRFGIVVACFNEIVTKPLLEGALDTFKKYSVKEEDIDVIVWVPGSFEIGLVAEKLGKSRKYQAILCIGAVVSTHQLFPV >CDP21006 pep supercontig:AUK_PRJEB4211_v1:scaffold_2250:13472:14765:1 gene:GSCOC_T00010482001 transcript:CDP21006 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKSEATSAAASSSSSGEIHVIVGPMFAGKTSTLLRRIKTESSNGNFQDDAREIALQLDKSLKDLRFSGRVVEAVQMLCHVGVQAGDLNLVHILFDKLQMKSLVSCNSMIAGYAQKGLEEVGLSMFHEMGKNGLIPDHYTFASVFGACAALAILEQGRQAHALWIKCQMSGNLVVNSALMDKKGKETTVT >CDP18333 pep supercontig:AUK_PRJEB4211_v1:scaffold_226:74238:89683:-1 gene:GSCOC_T00010978001 transcript:CDP18333 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTCNQNSSIWLANSMADQAETAAVGDLPPAPSPAIASCVRRKLVQSTLFPVTQKEQILRDDDCKGEEEDDGNEDDEEEEDWGSSSKKRKVNSSKKKANNSNSRTTPRSRASKKVVLNGKEISSKKVDDGDSPVTIKSDFFMKSSEKVNQKKQQDERLSDFSCQENDKPSNSPNKDERTPSKLTRQNGFIVEKPKTKKTTPKKKLANSDLIETLSKKTSSDTMLDGPTLQSIPNLRLEAKMTAQENSRLFAGKQIHPFFSLHKASKRNQEIIDLEGPCCSSERKNANLSFNPIHVFDMVEDGSHCLDWKNWSFSEGNLSSINSHLEDNLLSLYDQRVNSLQFDDFSRHSFPSIYYSLNSLCVNEWYANSHDIHEIGRRVKLAELIVLHGCLEAEKRQRCYCLTDNAIIKQWCSFMVDVKYGKNKLKKIKNRSSSNWPENCLWTDKYQPRRAAQICGNDEAVKFISQWLYLWHEKGSQSGKSSFHDEQTVGEDIDYSSHQSDTDSENIDEETSLKNVLLVMGPVGLKHVNTRFFFSGKSAAIYACAEEQGFQVLEVNASDWRNGALVKHRFGEALGSHWLQHKVDNTASSDKKYLSKSSPEIIEVTKGSEDEVVELIPLSDEDDPQLICSGNSILDCQNEIKTLILFEDVDATLSEDHGFLSTIQQLAQTAKRPMILTANNYNPILPNNLDRLEVSFKMPSPAELLGLGHMVCAAEKAEIEPWLINRLIDSCQGDIRKTIMYLQFWCQGQNSKKGTGSQITYSPLPFDLEAGHEVLPKLIPWGCPSRLSEIVEEEITKSLVMMEDRYGLVDIIVEEELNCGKPHASSRIQSHKLDHIEVKKEAILSLHSSIYDEDDLLAQPDCNCELSDYSGSPVAFSGSVRRKLGAVISSDSEEEYLGDNIPTLLGREFGDQKNEMRVVNSTSSPHLFPIGSCCHPTDQLTHVEEDKLKHSGSTCLENVVYSHMDGVCRSVDMSSVPEPSFVPETEFGDQTDLFSVAVSCSLMEVDSMSENLLPRFSSINDAKCCTPPYENQEMLSNDSDMHKKTLKTEEIGDSNLEHVEDGIRGHQMLDECSRVEFSGAPKTFKTLKPHQQVDFVEETWKRLRERKTDLQQYVTPQQKEAFQALKVASGMSKLISEADSLVTLCQSQICDSLEPSLSPCEESQLGSWYDDHLHMSSIMAQHGMCFYAKESLAVGSNRDSVDRVDLAWEMLSSSTNAMALGRLLSVDRKLTGGTEERSEMSRCSFRRYKIRRKIDSCLYNVLESVVPPRTHLALHGDAFHEYLSSLSKVSRLEAGRLAELASKREQRRARIARHYLSSGTFVLSPEDISLLSQYNSYRKFLPNGDIHGSDKALS >CDP18331 pep supercontig:AUK_PRJEB4211_v1:scaffold_226:20886:27667:1 gene:GSCOC_T00010976001 transcript:CDP18331 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGSLYDWTVIRSILAIIQWWGFNVTVIIMNKWIFQKLEFKFPLTVSCVHFICSAIGAYLVIKVLKLKPLIVVDPEDRWRRIFPMSFIFCINIVLGNISLRYIPVSFMQTIKSFTPATTVFLQWLVWRKYFDWRIWASLVPIVGGILLTSITELSFNVFGFFAALLGCLATSTKTILAESLLHGYKFDSINTVYYMAPLATMILAAPALVLEGSGVVEWFRTHTELVSPLIIIFGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAITFSWLIFRNPISLMNAVGCTVTLVGCTFYGYVRHKLSQQTPGTPRTPRTPRNKVELLPLVNDKLDDKV >CDP18335 pep supercontig:AUK_PRJEB4211_v1:scaffold_226:148368:151519:-1 gene:GSCOC_T00010980001 transcript:CDP18335 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKKPKYDAVSSLLFIFLVSFPFLVSSKSTIEPCSNFDSCSAVVGYTLYTDLKVAEVASLFHVDPIALLTANAVDISYPDVENYILPAKLFLKVPITCSCVDGIRKSTSTIYKTRPSDTLFNIADDIYGGLVSADQIKEANANSISDPSVLNVGTSLVIPLPCTCFNGTDNNLPAVYMSYVVRPVDTLSGIASKYSTTLTDLMNVNALGSPSIKDGDILAIPLSACSSSFPKYALDNALSVPNGSYAITASHCVQCSCGPGTRNLYCTPASLAVSCSSMQCKNSNLMLGNITMQQTSAGCNVTTCSYGGFVNGSIVTILSSSLQPRCPGPQQFPPLIDPSSLVGQDSSFAPAPSPSEIAGAPTVPISSVVPSTGSTIQFPPANGPAGSAGIATSDSSVVNPLAGFPITFLLALYLRNLLPLGL >CDP18336 pep supercontig:AUK_PRJEB4211_v1:scaffold_226:166910:174396:-1 gene:GSCOC_T00010981001 transcript:CDP18336 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKQEEEEKANMEARILGGLQSRLQHFRDNASSLTLAGIRRILEEDLGFEKYALDVHKSFIKQFIEKNLNDDDDYETKNSDSHAEKEANSSVGEATKSPEKEDLARTSPSDEAEKKEDSPIMGVLTPKTEMVDSQGIEISESMLKNAIWERADYIRSQSEKLTLAGARRFLEEDLKLSKNALDPFKKIIREQIEKVFDANDVSTSAMTAKRKSSGNCQSKAAESTSSERKLGSIDDEDDDEQHKMKSSGKTVRRVEAKKLDREKKRKRPEKKTDVAVKNQSKLVKRHSEESSDADNEGDVSEDGESQSAKKSVKKKEASTPTFGKHVEHLKSVIKACGMSIAPTVYKKAKQVPDGKREAFLIKELEDILAKEGLSKNPSEKEIKEVRKRKERAKELEGIDLSNIITSSRRRSAMSFLPPPKPQKKKKFEMMLTDKDEDGGNDDKKKEKQEREEDEEGDDEVGEEDGDDSQSEDFNEGDEDSD >CDP18330 pep supercontig:AUK_PRJEB4211_v1:scaffold_226:7899:10015:1 gene:GSCOC_T00010975001 transcript:CDP18330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase 1B, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14660) UniProtKB/Swiss-Prot;Acc:Q9FUZ2] MAGATWLYSSPFSKALLSKLPGTATIAPSIFRRLHRFTPTNPFNYSSTSPHKPPGMAAGVRAQARRSFSSSSKVKEDEFASVADLQFEAPLKIVEYPDPILRAKNKRISTFDHNLKKLVDEMFDIMYRTDGIGLSAPQVGINVHLMVFNPVGERGEGEEIVLVNPKVSRYSRKIVPYNEGCLSFPGIYADVERPDSVTIDAQDISGKRFAFNLTRLPARVFQHEYDHLQGILFFDRMTDEVLESISADLQALEKKYEGRTGLQSPERIDARRRRKTAIGFGKSR >CDP18337 pep supercontig:AUK_PRJEB4211_v1:scaffold_226:381125:381703:-1 gene:GSCOC_T00010984001 transcript:CDP18337 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSALASIQISLVLLLAIFVCSEADLLDPYYCCRGKETKITVYLQLFTGGPKTTSVAVAGAPGRPRTLSEFGTIFVNDANMTEGISYRSPTIGRAQGLYIVSARNGSSSQGLFSLLFSNSQYNGSTLEFQGPGFNLQTGGPTSEIPVIGGTKKFRLARGYGLFKIVRQNLSLNNTVIMGNITVVSNNKFE >CDP18332 pep supercontig:AUK_PRJEB4211_v1:scaffold_226:52142:54197:-1 gene:GSCOC_T00010977001 transcript:CDP18332 gene_biotype:protein_coding transcript_biotype:protein_coding MWALTHVTNKGDMLTLLHIVPPSHNTSESSSSSCSPYLASSLGSLCKACKPEVEVEALVIQGPKLATVMSQVKKLEVSVLVLGQKKPSSMLLNCLCGTSSSEEFVEQCINAVDCLTIGVRKQSKGMGGYLISTRWQKNFWLLA >CDP18334 pep supercontig:AUK_PRJEB4211_v1:scaffold_226:142878:146255:1 gene:GSCOC_T00010979001 transcript:CDP18334 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHPSLEVAKTVLEVADVAFTAMECCHHHHNHPSHHDETTRSSPQLSEEKFKEEEEELESLQSENRRLRSLLERNLKLLENISQSPSLLQNCPSDLHDRIISAVNSESFLNKLESKSGGTGCKFPFDEASEVDLEAAGILINIDQKEPSWWVWVTDEMTPSNIEEESGIDNENYVIVSEEHVVDGVANFMARCILSNPKAKDLTPQELQKALTKALGGFNKVDKMLHIWTAAKMFYALSTWGLALVGLYRTRAVLKLAAMGVHTTSKAVLKAL >CDP18338 pep supercontig:AUK_PRJEB4211_v1:scaffold_226:473878:475187:1 gene:GSCOC_T00010986001 transcript:CDP18338 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLLFLSFLLFNSFLSFAAEEPNPVLDINGEEIRPGVEYHIVSAIFGGGGGGVTYGKGPGNQICPLAVVQALSDLNRGHPVSFTPVNPEEGVVRVSTDLNIKFSPFINFCRGSNVWKVHFNEALEQHFVLTDGVEGNSGCETEANWFKIEGNYPFYKLVFCPAVCDSSSEAICKDVGIYYDDDGTRRLALSDRPFVVFFSKKNEDILKSVTST >CDP21007 pep supercontig:AUK_PRJEB4211_v1:scaffold_2263:5043:8707:-1 gene:GSCOC_T00003984001 transcript:CDP21007 gene_biotype:protein_coding transcript_biotype:protein_coding MENLVVAYHLNGNLYRRKGKNFVKRKRISFDTAHGLEYLHEHCNGKIVLKPGNIHLDDDLEAFFGGYKIVRLQLKFRELWDTLPLNNCMFLLWSYWCINEMGKYLD >CDP21009 pep supercontig:AUK_PRJEB4211_v1:scaffold_2267:12434:17181:1 gene:GSCOC_T00001062001 transcript:CDP21009 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIQVALQAVVSLAADHVNLVREFPTELERLNKSAEMIRGFLAGADEQMHSHDPRLLGVQKWLKQLEEEVFKADNVLDELNYDNLRRKVKYQNQLTKKKVFFCFSFFNKIGFRWRLGSMIREINTNLQRIHQDAEAGAATSRQTDSTIVRRDVLGRDKDESEIVKKLLTETESVISVIPITGMGGLGKTTLAKAVYNKSQIHKHFDKKIWVCVAEKVDRIEAVFKMILESLIGGKVEGDRREVIVQKIQDELKEKRYFLVLDDLWNDQEVLLNDFFSTLAGLNAKKGSWCLVTTRLQEVATILSRHPQINFIHHELGKLCDNDCWSIMKKWANVGEELPKELEGMREQVLRRCDGLPLAAKLIGGLLSKKRKEEWLSILEESLLNGDQGGIEQIVKVSFDHLSPASVKKCFAFCSIFHQDTRLEQDLLVELWMAEGFLQPDSQNERVMEKIGCEYLRILLQTSLLEEVKEKRRTWYKMHDLVHDFAKSILNRNGSNQDRYLAVYSSERINEKASASLRTLFLEGGIADDMLSKFKYLHVLKLFGADAKELPKSIGKLIHLHLLDISGSRIRTLPESLCKLYCLQTLRIGMLVDGFPKEMSNLISMRHLHYDDDTGRDDDDDDDTGREIQMPSGIGRWTCLQTLGFFNIGRRQEEGRGIQELGTLQDLTGSLEIRNLELVNGKDDAELANLSKKPNMYRLVFEWGYRDEESDNCDEDVLEGLQPHPNLTELHIRNFRGDQVPQWLVKSSTLVELHLVDCRELPTLGQLSSLQRLYLRGLENTTCIGLSFYSSSTISRQTFFPALKFLSLERLENLEEWKDAHEMMSNAGEVHVMDVFPMLEKLYISDCPQLTTIPTPSRFPSLDSSLSIFGCDRLPTDMLERLCLFPTLQRVELDYAANITTLRGMSCAACLKRLEVLSCDNLRELPEDLYQFQALEHLAIYGCPRIDSFGYPNSFGQKGLLKSLKRFTVNRCNALTRLPVEMFESCTSLRVLNLVDCPSLVSFPLDLRRTPSLESFALEDCPNLIAEMPSGFGYLTSLRKVEIGPFSDDSVIEFDWAGLASSSSLRHVSLYGMRATKSLPHQLQCLTTITSLSLRYFGAIEALPDWLGNLASLDELILFGCQKLEYLPSADAMERLKLRRLEIHFCPLLDRRCTPQSGSEWPKISNIPELKTIDLRN >CDP21008 pep supercontig:AUK_PRJEB4211_v1:scaffold_2267:6491:7309:-1 gene:GSCOC_T00001061001 transcript:CDP21008 gene_biotype:protein_coding transcript_biotype:protein_coding MILELNILLSFVLNAFLCSVGAQYHGGIFFLDVTFCCDHPFKRSKFVQVVSKVFKCVSKLASTLQCLDILKDNWSPALTISNFLSTLMSIFTNPDSCK >CDP18340 pep supercontig:AUK_PRJEB4211_v1:scaffold_227:157849:159963:-1 gene:GSCOC_T00005967001 transcript:CDP18340 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDPLTFNFLWNRNTSFRVIQLSQFAASSSSFLSANSFLEFPRPTSETFCGTVTLVSGSSNFLNSQHLLPRFYPPTLSLNFRVLHQKTSGNYLFIYFKSIRGFHSHIRRQLVEWVEFNCLLDAYHLRRINSRSGVVQSFLCTQSACCNASKNLSPCDFLISLTFG >CDP18339 pep supercontig:AUK_PRJEB4211_v1:scaffold_227:102421:111637:1 gene:GSCOC_T00005963001 transcript:CDP18339 gene_biotype:protein_coding transcript_biotype:protein_coding MDFESVKRLLEKEGGPYESTVDSMPQRYFEPFVVQGLKVDLIERGRIVCSMVVPSRLLNTGTSLHGGATATLVDMVGSAVIYTVGSPVTGVSVEINVSYLDGAYVGDEIEIEAKTLRVGKAIAVVSVELKNKKTGKIIAQGRHTKYLALSSKL >CDP18341 pep supercontig:AUK_PRJEB4211_v1:scaffold_227:208433:212140:-1 gene:GSCOC_T00005968001 transcript:CDP18341 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNIKIPSTRAIISAAASVAATAMLIRSVANDIIPREFRQFLFTNVHRLFTAFTNEVILVIDEFDGLGQNQLFKAAEVYLGSILSPSTKRFRATLPQKEKKINISMETDEELTQKFNGFQIKWRMACKQIRPRYVSQPGGYDSTMLSKPRYYELIFHKKHKDKVIGEYLPYVLERSKAIELEKKTLKLFMLGNDRMMGHRGNPWQSVDLDHPATFDTLAMDTDAKKMVIDDLENFVRRKELYRKAGKAWKRGYLLFGPPGTGKSSLVAAMANYLKFDIYDLELTDVRTNSDLRRHLISTANQSILVVEDIDCSIELTEKRPKKASSASMFPQQYGQENWVTLSGLLNFIDGLWSSCGEERIIVFTTNHKDKLDPALLRPGRMDVHIHMSYCTLCGFKLLASNYLGITDHPLFLAVEQLLKVTKVTPAEVGEQLLKNGEPEIVLACLIEFLEEKKKNEEGGNEGKTSVIGLQAIKELVKTNKRQRDKAVDEYLPYALKRSKAAEFERKTLKLCISRSDGRRGRRVVHGNQPVFGTH >CDP18342 pep supercontig:AUK_PRJEB4211_v1:scaffold_227:318654:324109:1 gene:GSCOC_T00005970001 transcript:CDP18342 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLVKNSLEKQNEEDDKENGNQSTLESLPHRFFEPFIMQGIKLDILEPGRLICSLTVPPRLVSKDENSMHYGAIASLVDSVGASVVYTMGASASGVSVEINVSYYDTAYVGEEIDIDAKALLVGKVIGIVNVELRKKKTGKLIARGRHTMYMAVPSKL >CDP21010 pep supercontig:AUK_PRJEB4211_v1:scaffold_2271:1982:2722:-1 gene:GSCOC_T00008094001 transcript:CDP21010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNRPPTPPPPPSSSSSSSSHDLGHEEEDSSSIASSASDFSDIYTASTIQPPQNPNPTTPNSTINTTTASAAQSLPPPTLLHLSFNQDHGCFATGPTSGFRIYNCDPFREIFRRDFFSSSSSTASAVVGGGIGIVQMLFRCNILALVGGGPDPQYPLNKVMIWDDHQARFFIGELSFRSQVKSVRLRRDRIVVVLLQKIFVYNFADLNKLAVGLNKLAFFFLSDFLSSFPSAIHLLNKLAVALG >CDP21011 pep supercontig:AUK_PRJEB4211_v1:scaffold_2279:67:1784:1 gene:GSCOC_T00000264001 transcript:CDP21011 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFLQTHDSIFASRPTTAAAKYTSYNCSGMLWAPYGPHWRQVRKIGLTQIFSTKRLDSYESIRIKERHAFMSRLYALSGKPVVLRDHLIRFTLSAACQMVLSNKYFAQSEGDGSVVTFEELQEMIETWFLLGGVFNIGDWIPWLDRFDLQGYVKQMKELYNKFDRFQNHVLDDHRARRKTEKDFIPKDMVDILLQHTEDPDLQVKLTGDQMKGLILDLLVGGTDTSASTVDWAMNELLKHPHLIEKATEELDSVIGKDKWVEEADFSKLPFLGAIIKETFRLHPLATLLAPHYALEDCTVAGYHIAKGTTVLINTWSMGRNSKYWDSPEEFIPERFLGKDIDVKGHNFELLPFSSGRRMCPGYVLALKLVGTMLANLLHGFNWKLPHGMKPEEICTEELYGISTHPRISLTMIPEPRLPVNLY >CDP21012 pep supercontig:AUK_PRJEB4211_v1:scaffold_2279:16113:17870:1 gene:GSCOC_T00000265001 transcript:CDP21012 gene_biotype:protein_coding transcript_biotype:protein_coding MESTWLLLALAGTVALAFLSKALTHKRLKLNHPPGPKPWPIIGNLNLLGSIPHQSLHLLFQKYGEIMQLKFGSSPVVVASSPEMAKEFLQTHDNIFASRPATAAAKYTSYNCSGMLWAPYGPHWRQARKIYLTQIFSPKQLDFFESTRIEERRAFISRLYAQSGKPVVMRDHLMRLSLSTASQMVLSNKYFAQSEGDGSLVTFEEFQEMIDTWFLLGGVFNIGDWIPWLDRFDLQGYVKQMKELYKKFDKFHNHVLDDHQARRKTEKDFIPKDMVDILLQYAEDPDLQVKLTRDQIKGLIQDLLVGGTDTSASTVEWAMNELLKHPHLIEKATEELDRVIGRDKWVEEAVLSKLPFLGAIIKETFRLHPLATLLPPHYALEDCTVAGYHIAKGTTVFINTWSMGRNSKYWDSPEEFIPERFLGKDIDIKGHDFELLPFGSGRRMCPGYVLALKLVGTMLANLLHGFNWKLPHGMKPEEICMEEHYGLSIHPRISLAMIPEPRLPVNLY >CDP18347 pep supercontig:AUK_PRJEB4211_v1:scaffold_228:375036:382578:1 gene:GSCOC_T00010853001 transcript:CDP18347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-arabinosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT5G13500) UniProtKB/Swiss-Prot;Acc:Q9FY51] MGRATPVLLVLLSLGFFFVTYNLITTIVHYRAVGSGKQTVSTRETPSLIDPVIQMPLYARKAKKERLLFHVALTATDAPYSKWQCRIMYYWYKKKKDLPGSEMGKFTRILHSGSPDNLIDEIPTFVVDPLPKGLDQGYVVLNRPWAFVQWLEKATIDEEYILMAEPDHIFLNPLSNLAHHEFPAAFPFFYIKPTENEHIIRKFFPEESGPVSNVDPIGNSPVIIKKDLLEKISPTWMNVSLRMKNDAETDKTFGWVLEMYAYAVASALHGVQHILWKDFMLQPPWDLETRKKFILHYTYGCDYNMKGELTYGKIGEWRFDKRSHLTGPPPKNISLPPPGVPESVVTLVKMVNEATANLPNWETT >CDP18345 pep supercontig:AUK_PRJEB4211_v1:scaffold_228:324198:324971:1 gene:GSCOC_T00010851001 transcript:CDP18345 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGETVSQAVETEEGRGEMMRKRRRKSIIRVFAISIVRYIMYIVIMLYNIYYICTVKNYYIIYKYY >CDP18348 pep supercontig:AUK_PRJEB4211_v1:scaffold_228:468871:472345:1 gene:GSCOC_T00010856001 transcript:CDP18348 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGNCYFDDLRNKPEVIDPAQDEELLEVSEHMNDATQIAVKPNLTVSSSVRELLECPVCLNAMYPPIHQCSNGHTICSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCMGIYPYYSKLKHESQCSFRPYNCPYAGSECTVIGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQHGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKMIWQGIPRSIRDGHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >CDP18343 pep supercontig:AUK_PRJEB4211_v1:scaffold_228:304939:308840:1 gene:GSCOC_T00010849001 transcript:CDP18343 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTYRKPHAIMIPYPYQGHINPFVHLAIKLASKGFIITFVNTQSVQHQISKAQTGSRRDDIFAKARQSGLDIRYATVSDGFPLSFDRSLNEDQFVEGLIHVFSAHIDELVGNLVNSETEPPATCLISDTFFVWGSVIARKHNLVHVSFWTEPALVLTLYYHLDLLRQNGHFASPVNRRDNIDYIPGVKAIEPGDLMSYLQATDIWTAVHQVIYKAFDDVKRADIIICNTVQELEPETISALNRKQPTYAIGPVFPAGFTKSNVATSLWSESDCTQWLNDKPDGSVLYVSFGSYAHTSKQDILEMAHGLSSSGVSFIWVVRPDIVSSDETDFLPVGFEDQIKDRGLIVPWCCQIAVISHPAIGGFLTHCGWNSILESIWCSVPLVCYPLVTDQFTNRKLVINDWKIGINLCDKQAITRDEVVEKISLLMNGQVLDELRAAIKEVRKKLELALSTNGSSEGNFHQFVEKIKTQMREKVGIAANGHLSSR >CDP18346 pep supercontig:AUK_PRJEB4211_v1:scaffold_228:325181:325532:-1 gene:GSCOC_T00010852001 transcript:CDP18346 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVCILCTELLRRCLNMIQLREYIPKLRFN >CDP18349 pep supercontig:AUK_PRJEB4211_v1:scaffold_228:481695:486237:-1 gene:GSCOC_T00010857001 transcript:CDP18349 gene_biotype:protein_coding transcript_biotype:protein_coding MELEATFRVSGINCHAAPFYNPICNYGTLNLRFPYHHCLPPLSNASFYTLSNHYCKASAKESSTEAVEKGRKMSGDDSHDLELVSLTALCPLDGRYWAKVKELAAYVGEYGLIRFRVLVEVKWLSKLSQIPEIPEVPRFSKDAETYLQGLIDGFSLDDAMEVKKIEKVTNHDVKAVEYFLKQRCQSHPEIGKVLEFFHFACTSEDINNLAHALMLKEALSMVILPAMDEVISAISDIAIENAHVPMLSRTHGQPASPTTLGKEMAIFAFRLSQEKQVAEEFVASLGIDFNPYVPQIEPHDYMAKLFHSIIQFNNILVDFDRDIWGYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGVANGDLSHLSMKLPISRWQLLIDFCACHLRRDLTDSTVLRNMGVGLGHSLLAYKNTLTGIGKLQVFDNSYLVDGQEI >CDP18344 pep supercontig:AUK_PRJEB4211_v1:scaffold_228:317466:318879:-1 gene:GSCOC_T00010850001 transcript:CDP18344 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFCTREPFDPCYTKDSRLYPSSLFPSLSSSVHAFLIGIVRLQVKEFSVTFLTGTLATTYEKLGGKVKWMGKPDKIIYKAAAEMAAIDPSQCVAIGDSLHHDIKGANATGISSAFITGGIHATELGLDTFGEIADDSSVVALALRHDAYPSYVLPSFNW >CDP21014 pep supercontig:AUK_PRJEB4211_v1:scaffold_2284:10015:11229:1 gene:GSCOC_T00006372001 transcript:CDP21014 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKNGRIHNKRHLVEVDTLTAYLAFLESCLSFLASCISTFAMEIGWSTKTNLEERMVAFEAVGLLLGSHEQSDAIRLIASLIAEGIKVEKEIPATCGNWYLNITGKFKTFRPVILCWAT >CDP21013 pep supercontig:AUK_PRJEB4211_v1:scaffold_2284:7236:8752:1 gene:GSCOC_T00006371001 transcript:CDP21013 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKYCQYILFLSHKKHQRSFRRAIQQLHCQLIFAPKSTSNATIELEQHSSAKNKLTELLGLWNFILRQYALGLSPQEAVFLFQHLQGHCQVEYFFDSFTYSFLIKASANLIQSGLGKQLHCLTYKAGFQEHIYVQTALIDMYMKCGCLVEAGKVFDEMPQKNLVTWNALLTGFIRWGDLGSAQSVFNRMPQKNVVSWTGMIDGYTRMRQFVKALSLFQRMVIYQGIKPTEVTLLAIFPAIWNLRHIEFCQLVHAYGEKSGYNASDMRVMNCLIDAYAKCGSIEYALRVFRDILDERKNIVTWTSIISSFAMHGMAEEASKSFSGMLCTGLKPNPVTFLSVLNACSHGGLVDEGLEFFRRMVNEYDIVPDIKHYGSVIDMLGRAGRLEEAEKIAMEIPMDIGNVVVWRTLLGACSFHGNVEMGEKVTRIIMEMERQYGGDYVLLSNIFAGVGRYIDSERVRSHMDKKNAAKVTGLSFV >CDP21015 pep supercontig:AUK_PRJEB4211_v1:scaffold_2288:303:407:1 gene:GSCOC_T00010454001 transcript:CDP21015 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSILAFIATALLILIPTIFLFIIFVTIVSQNN >CDP18350 pep supercontig:AUK_PRJEB4211_v1:scaffold_229:140320:140772:1 gene:GSCOC_T00009500001 transcript:CDP18350 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNEIVSIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTTGRPLGRGRGRGRGRGRGRVLHFVHHFD >CDP18351 pep supercontig:AUK_PRJEB4211_v1:scaffold_229:442330:453911:-1 gene:GSCOC_T00009502001 transcript:CDP18351 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPGRRKSSYGSAAAARKDQNATEKSNDSSLASPKTPLQDSNVIPNRPATGTPAPWASRLSVLARIPPVKRNEKGDDGDLVQPVYVGEFPLAVRDEQQAALVQKQYPGEMSISGGMDKETSLAWVICRDRLFVWNYFSPIASRNCVVLDLPSSTFETRDSSRNAFNSNTWLVCILNWDCLNRNSDKLISQCNSAGIIVCNRRSRTLVYWPEIYSESRSAPVLSSASVEELEVLLWPGDGKANYNKQQQRTKQGSSITGLSSLNSLIATPVLGANHVCVSLACSSNGDLWQFFCSPFAIERKKIFQDMLGTASSGGDGSHLVGSKGYPRSLTWLLFNSFSETKRQFLLLTDREIQCFCVKLTPDYNVSKLWSHEIVGTDGDLGIQKDLAGQKRIWPLDLQIDNDGKVITILIAIFCKDRITSSSYTEYSLLTMQYRSGLSISTENVKPTSERVLEKKAPIQVIIPKARVEDEEFLFSMRLKIGGKPAGSVIILSGDGTATVSHYWRNSTRLYQFDLPYDAGKVFDAAVFPSSDDGEDGAWAVLTEKAGIWAIPERAILIGGVEPPERSLSRKGSSNERSSQEERKNISFSGNIPPRRASSEAWDAVDRHRAPITGIAHRNAQDEESEALLNQLFNDFLLSGQVEGSVDKLKYSGAFERDGETNVFTRMSKSIVDTLAKHWTTTRGVEIVALSIVSTQLIEKQQKHQKHLQFLALSKCHEELCTKQRQSLQIILEHGEKLAGMLQLRELQNMICQSHTNGVSSSYSRSETQTSGALWDLIQLVGERARRRTVLLMDRENAEVFYSKVSDIEDLFYCLEKQLDSMIGEDMPFTVQFQRACEISNACVSILQTAMHYRSEHHLWYPPPDGLTPWYCQTVVRSGIWSIASFMLQLINETFRLDDAKKLDFYSHLEVLSEVLLDAYSGAITAKSERNEEQKGLLDEYWKRRDALLDSLHKQVKGFFQAKLQDPDGGTEVQNDEVIRKLSSKLLHIAKRHEGYQTLWSICCDLNDSELLKNLMHESMGPRGGFSNFVFKQLYDSKQYSRLMRLGEEFQEELAIFLKQHQDLRWLHEVFLNQFAAASETLHVVGLSQEDSPGLANEETHFCGTTNRTTLAERKHFLNLSKIAAMAGRNVDCPTKVKRIEADLNILKLQEEILGLLPDSDEKHNIGNRLLPPVDLIELCLKNQNRLLALRAFDVFAWTSFFFLKCNSSLLEECWRNAANQDDWERIYQLSVTEGWSDEETLHSLQETVLFQASTICYGPEAKTFDGGFDEVLPLRQENLEVEYVKDASSSVEAILMQHKDFPDTGKLMLTAIMLGSAHAGTVINESCFPME >CDP21016 pep supercontig:AUK_PRJEB4211_v1:scaffold_2294:17067:18092:-1 gene:GSCOC_T00008783001 transcript:CDP21016 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCISILSILFLSILCHFTPNIAAYNVVHFGSRGDGRTDSTLPFLRAWMSACSSARPATVYVPRGTFLMKTVTFSGPCRCRIRFQIDGTLVAPNKHRALGNSGSWILFHNVSRLTIYGGTVDARGAGFWACRKNGRNCPAGASILSVCVNRISSMSLIWCNNVLVSGLKSVNSQTMHMAIGHCSNVKIQNVKIIAPSGSPNTDGIHVESSIGVSILDSIIRTGDDCISIGPGSMNLWISRIGCGPGHGIR >CDP21017 pep supercontig:AUK_PRJEB4211_v1:scaffold_2295:17970:18800:1 gene:GSCOC_T00002166001 transcript:CDP21017 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSLFGDSNTRLFTYWTSDGYNSTGCYNLRCPGFVHASNSIALDVALSPVSTYHGAQHEIILHIFKDPKQNVWWLQHGNDDVIEIINNAQDGQHTTTQMGSGHFAEEQAGGASYFKNLQVVDQSNALVPPGDIKAVATKPNCYNIVPGKSDDAGDYFYFGGPGRNPNCP >CDP21018 pep supercontig:AUK_PRJEB4211_v1:scaffold_2299:15601:18035:-1 gene:GSCOC_T00006985001 transcript:CDP21018 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSGNNEKAGILTRGWRWMKDLPKNSFTKGVEIARQTKKIGQDDPRRVIHSLKLGLALTLVSLFYYFQPLYKNFGVSAMWAVMTVVVVFEFSVGATIGKGLNRGLATFIAGALGVGAHYLASMTGKVGEPIFIGLFVFLQAAVTTFIRFFPKVKARYDYGLVIFILTFSLVSISGFRTDEILDLAHKRLSTILVGASTCVIVSIFVCPVWAGEDLHNLVADHLEKLGNFLEGFGEEYFAGSVEAEFKDNKSFLVGYRSVLNSKTTEENQANLARWEPGHGKFMYLHPWEQYLKIGALTRKCACRIEALNSYLNSEIKAPEEVFQKVQEICTIMSKESGQALKELSLAIGTMTKPSSPNRHIVNSTTAAQNLESLLKSGSWEDINLRQLISVAAVASLLIDIVISVEDIAESVTELATLAKFQSPELTVPESKIPSNAGISTKQLQVQDCPRVIISINLTPATDEVHQSQFQDSNQEAKQNVQV >CDP18356 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:54406:55703:1 gene:GSCOC_T00013589001 transcript:CDP18356 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNKMFPLALLVVGVENYDNLSWSLGLLVQDLKISDSSTWCIMIDKQKQMHKGLALKERLWRYARASYITQLKIEIEMMKQESKDAYAWLDEKDPNTWSRAHIKTGLDCDILVNNMCESFNSIILKTGSLPIVGMLQTIYLYVLKRMEKNRETMSSMKVKLLTYYFLSQFLKLHYAKKEQCMCICYYASTMKYQICCPFSDQFAVDLGSKTCTCRKWQLRGIPSPTYWKSTYMKSYEPMLNPINGPNLWAQVDLPPIRPPKYGKSPERPKKLKKKGPDEDRNKQLYVNPSKPHKVSKVGTKMSCSLCKKYEHNRRSCPKKTSKLLQ >CDP18368 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:462221:474019:-1 gene:GSCOC_T00013610001 transcript:CDP18368 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPKKPSRGFSFFGIAAGGGGGGAGDNGKTDDPPKLNLEADREVYRPGDLVNITVEIKNPKALFSLLIEKLSFEIKGVEKLDTNWFSTPKPSPDSKQRRGEYTFMDWFTPTIVSNQIIAAGATKRYVVRTILPNIIPPSYRGATIRYLYYARSTLFGKYLILENGPSATESIQDLPEMEARIPLQIWVTQKTNGLLSEGGYNDGIVPATSVLLDVYWKEVDGDAEWTRANESFDGVEEGYESSRDEVLSVSSYNPIKEDIHRAFGSSLSLQSTLARSSSKDLSNLEGHASISSNLALPRVAVAEVLHDNSDGVLSPSKSDDTTFQSRLRIHTRSLSLDDETRVPSAPGTVESGASEGFVRGRSYNIRLDDQVLLRFSPKNSDSTYYFSDMIGGTLTFFHEGGARRCLELSVTLEMTETISRRFVHPSRKHSPTITKVHSDHHEVVADLVQTSFLFSIPMDGPMSFSTRYVSIQWALRFEFLTTPKNVDWTRYEHPLLIEGRDKCEWLLPITVHAPPLGAAATQIRSEKPFSLEPLWVRT >CDP18367 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:430604:430852:-1 gene:GSCOC_T00013609001 transcript:CDP18367 gene_biotype:protein_coding transcript_biotype:protein_coding MGESTITLQDVEVLWGLRVDGRPVTLHHVRRTVQERKQLVNEVLGFWLEDAMLKGGCLKMSSMYRQLTTPVPPDAPDELVRQ >CDP18354 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:20803:27577:-1 gene:GSCOC_T00013587001 transcript:CDP18354 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTFDPRDTEAGSRILEDITSNAGHIQEQVLEEILTKNASTDYLKSFLNGHSDKGLFKNKVPVVDYEDIKIYIDRIALDGEPSRILTNESITELMISSGTSGGKQKWIPKTAEEGEPGPSFFVSLLLFELRCLHGLNDGKALFFVLINPDIHTPGGLVLRTTTASEIKNRKDRYPEVILCEDTNQSLYSQLLCGLVQRDAIVSIGTFFASGLQRIIKFFEEHWQEMSSNIRTGQMSDWITDPKCKRTVSLILSKQMPDLADSIDLVCQEKSWEGIIKKIWPRTKYVQAIITGSMAQYIPALEFYTGRLPVVSPAYVSSEACFGVNFKPLCNPYDVSYTFIPNMAYYEFIPIGNHQDPNCTNSKDAHLKDHIVDLANVKIGQHYELLVTTCTGLYRYRMGDILLVTGFHNITPQFKFVQRRNVVLSIDTDKTTEQDLQNAVTIAMHILEPLGFFLLDYSSYADTSSIPGHYVLFWELQLRSNNDIPELDQVKMEKCCSLVEQSLDQKYKLLRNQSISTIGPLEIRVVKQGTFNVLMDFYVSRGTSLNQYKTPKNIKSEKAIEILDSRVVGKFYSREVPNQDS >CDP18357 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:76786:79490:-1 gene:GSCOC_T00013590001 transcript:CDP18357 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTFDPTDTEAGSRILEDITSNAGHIQEQVLEEILTKNASTDYLKGFLNGHSDKGLFKNKVPVVDYEDIKIYIDRIALDGEPSRILTNESITELLKSSGTSGGKQKWIPKTAEEGERRAFICCLRDTVLNRYLQGLSDGKALLFVLINPDIHTPGGLVLRTASESEIKNRKDRYPQFILCEDTNQSLYGQLLCGLVQRDAIVSVGTFFASGLLRIIKFFEEHWQEMSSNIRTGQISAWITDPNCKRAVSLILSKQMPDLADSIDLVCQEKSWEGIIKKIWPRTKYVLATITGSMAQYIPALEFYTGGLPVVSSLYGSSEAFFGINMKPLCSPYDVSYTFIPNMAYYEFLPIDNHQDPNCTNRKDAHLKDHIVDLANVKIGQHYELLVTTFTGLYRYRMEDILLVTGFHNSTPQFKFVQRTNVVLSIHTDKTTEQDLQKAVAIAMQILQPLGFFLLEYSSYADTSSIPGHYVLFWELQLRPNDDTPELDQVKMEKCCSLVEQSLDQKYKWLRNQSFSTIGPLEIRVVKQGTFNVLMDFYVSQGTSLNQYKTPKNIKSEKAIVILDSRVVGKFYSREVPNQDS >CDP18363 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:202062:203236:-1 gene:GSCOC_T00013601001 transcript:CDP18363 gene_biotype:protein_coding transcript_biotype:protein_coding MGNITALSESLWRHHHQRNQTISLGIIDCPKLIGELPRQLSSLQRLEIGGCHKLVLPNGQLGIFQGDVKQFSSLSVLKISWMENLKELCRELNKLASPEYLEIYNCGFILPFPMSYLPASLKTLVCDTCRNLELESETWQSGSLESLGLNGCRSLKALSLGSFHILTEISPSNCTKLEQMEALLPSLRYLSIYDCPEIEYFSEEGLPSGIQSLVKLCSKKNLKMLSCSGLGNLTSLENLKISFCIRLLSLPED >CDP18369 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:481944:482451:-1 gene:GSCOC_T00013611001 transcript:CDP18369 gene_biotype:protein_coding transcript_biotype:protein_coding MGICTSKPSPEPNLHQDSKQNPIPTKDKNNPAQVKDKAHYLICKKSPARSSVNSTSKRFFKRPFPPPSPAKHNKAVLAKRHGFVKPNEASISEGNESEMVAALDKNFGFSKHFGNKYELGEEVGRGHFGYTCKAKFKKGE >CDP18352 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:215:1624:-1 gene:GSCOC_T00013585001 transcript:CDP18352 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEREIDKTNFLNEEDPKSNGVKGAKWKNKRKKRLQYEAKKAEKRGICYLSRIPPHMDPLKLRQILSQHGEIQRIYLTPENPAARVQRKQAGGFRGQEFSEGWVEFTKKSVAKRVAKMLNGEQIGGRKRSAFYYDLWNIKYLSKFKWDDLTEEIAYKNAIREQKLALEISAAKRERDFYLSKVDQSIALSSIEERMKKVNHFSSYSIIRYS >CDP18353 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:11657:13308:-1 gene:GSCOC_T00013586001 transcript:CDP18353 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSYFDSPAFDNPLLKDHWILQMRPNYQPQLGFTENKFSNIKSTNNELEQKPISQLLQLANTVFRNHCFAKKKKSTYYLEKKKSYLGFSKINLCECHSESFFPELYGDKRTSFFIYWTRNYFRSTGCYNLLCPGFAQTRNEYTLILMSCSLILLGWFSI >CDP18362 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:170778:185405:1 gene:GSCOC_T00013600001 transcript:CDP18362 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDRLFEALGRTYTQEEFEELCFKFGIELDDVTTEKAIIRKEKHLGEEEGSEDEEVIYKIEVPANRYDLLCLEGLAQALRIFNGLDPIPTYKVAGINKESFLEMHVKSETSRIRPYVVCAVLRDVTFDEARYNSFIDLQDRLHQNICRRRTLVAIGTHDLDTIEGPFTYEALPPSEINFVPLKQTRKFAANELMEFYQSDQKLKKFLHIIEGSPVFPVIYDQNRTVLSLPPIINGAHSAITLKTKNVFIECTATDLTKANIVLNTMVTMFSVYCKEKFQVEPVKVIYPDGKSYSCPDLSVYHMDVPLSYITRIVGVSLPEKEVSSLLYRMQLEAKLSISGDETVNFTVSVPPTRSDILHPCDVAEDVAIAYGYNEVPKTKPSSLKPCSLNQLSDLIRMEIAMVGFTEVLTWILCSYKENFTMLNRKDDKATAVINGNPRSTDFEVVRTSLMPGILKTVAHNKDHPKPIKIFEVGDVVLLDESKDVGATNRRHIAALYCGANSGFELIHGLVDRIMEVTGTPFVSPGDDVGYYIKSADEPEFLPGRQASIIYKGKQIGTFGIVHPEVLQNFDIPDPCSFVELNMEIFL >CDP18359 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:108516:110289:-1 gene:GSCOC_T00013595001 transcript:CDP18359 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNIRTGQMSDWITDPNCKRAVSLILSKQMPDLADSIDLVCQEKSWEGIIKKIWPRTKYVMAIITGSMAQYIPALEFYMGGLPVVSPLYGSSEALFGINMKPLCSPYDVSYTFIPNMAYYEFLPIDNHQDPNCTNRKDAHLKDHIVDLANVKVGQHYELLVTTFTGLYRYRMGDIVLVTGFHNSTPQFKFGQRTNVVLSIHTDKTTEQDLQKAIATAIQILEPLGFFLLDYSSYADTSSIPGHYVLFWELQLRSNDDIPELDQVKMEKCCSLVEQSLDQEYKMLKNQSISTIGPLEIRVVKQGTFNVLMDFYLSQGTSLNQYKTPKNIKSEKAIEILDSRVVGKFYSREVPNQDS >CDP18360 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:110371:111654:-1 gene:GSCOC_T00013596001 transcript:CDP18360 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKGWITWAMGLKELNHGVNLFLKTIMLPTFDPRDTEAGSRILEDITSNAGHIQEQVLEEILTKNASTDYLKGFLNGQSDKGLFKNKVPVVDYEDIKIYIDRIALDGEPSRILTNESITELLKSSGTSGGKQKWIPKTSEEGERRAFFSCLCDTVLNRYFQGLNDGKALLFVLINPDIATPGGLLLRTASESEIKNRKDRFPQFILCEDTNQSLYSQLLCGLVQ >CDP18365 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:323761:329557:-1 gene:GSCOC_T00013605001 transcript:CDP18365 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTSGNVVLIGKNVTLSFADIEATFAPSVKASGEGGTLYIAQPLDACLPLTNKVDDTDRNGTISPFVLIIRGGCSFEDKVRSAQSAGFKAAIIYNSEDDELVAMAGSSAGIKINAVFVAKRSGEILSKCAVVAGTEVWIIPSFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRREHSRTSQVREFHGMSSRLVRAMPSLIFTAVLDDNCTSTTCAICLEDYIVGEKLRILPCHHKFHAICVDAWLTSWRTFCPVCKRDARTSTGEPPASESTPLLSSTPASVSSSSMLSSVRSSLASSSAIRIVPASSRSPSVSRPNSISSSTPYNLQSLRSYNQSPHLSVSRSSVDLRNASSQRSHASYLFSSHHSLGYPPLSPLNSRFMSPYVPSPGNASSSYIGSSSQQPHPLHYSESAASFSPYTSAYSLPEC >CDP18358 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:91593:95695:-1 gene:GSCOC_T00013593001 transcript:CDP18358 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTFDPRDTEAGSRILEDISSNAGHIQEQVLEEILTKNASTDYLKSFLNGHSDKGLFKNKVPVVDYEDIKIYIDRIAVDGEPSRILTNESITELLISSGTSGGKQKWIPKTAEEGERRAFFFCLLATVQNRYLHGLNDGKALFFVLINPDIHTPGGLVLRTTTASEIKNRKDRYPEVIFCEDTNQSLYSQLLCGLVQRDAIVSIGTFFASGLQRIIKFFEEHWQEMSSNIRTGQMSDWITDPKCKRTVSLILSKQMPDLADSIDLVCQEKSWEGIIKKIWPRTKYVQAIITGSMAQYIPALEFYTGRLPVVSPAYVSSEACFGINLKPLCSPYDVSYTIIPNMAYYEFIPIGNHQDPDCTNSKDAHLKDHIVDLANVKIGQHYELVVTTCTGLYRYRMGDVLLVTGFHNITPQFKFVQRRNVVLSIDTDKTTEQDLQNAVTIAMHILEPLGFFLLDYSSYADTSSIPGHYVLFWELQLRSNDDFPVLDQVKMEKCCSLVEQSLDLQYKMLRNRSISTIGPLEIRVVKQGTFNVLMDFYVSRGTSLNQYKTPKNIKSEKAIEILDSRVVGKFYSTEVPNQDS >CDP18364 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:232413:233777:-1 gene:GSCOC_T00013602001 transcript:CDP18364 gene_biotype:protein_coding transcript_biotype:protein_coding MICSKVWIFWECEIIWLSFLQRVQPIIASLLLFVLPLYYRFQYGSLVNLFLLINASIPKLDILRECFVVSEKVGYFHQQECSVDASLSG >CDP18366 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:428607:430498:-1 gene:GSCOC_T00013608001 transcript:CDP18366 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYSWGSRLCHASRASTTTTGGPYLLLQIWAWERIPSIRPKMYPFIWMPYNEERLAALPRYCRHGKHIWRARVPLVFWHIIGFHCPDRVMRQFGLVQKVPEPINTNPNRLHQLDLSGYPGRNWAQFLRAWIQYWNARANVEVIDQLANTFNPSNNYLKWYHEHIVLYILNLSDQNPQIGQMLQGASGQFEYLVCIYLRLLTVRSIRFWASFDIKCPIHTGPLSSRGRQRRHDQYDGSTVVEPTVQVIGIDTGYQHTPPVQQFTPFESSPVLGVDRGMAAWCHVSRDMFIPQLASMTIGAQATPSQQFSPFAAPPTPVADMYGFDPRFPSSSQLPPYEHVPMTGNFSSPTALHPIFTFLSGTGDTSSSLELSYYYSLGQSLVFPSTDQPSYASGHVSTGDFVTYRSTNNSESNDTSDALETDDVDPDSGSRDDPSSHDVPNHPGVVLGEHQRRRLKRFCCPSTTPQNVGKGKGKSKGNMHPKH >CDP18355 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:42428:43943:-1 gene:GSCOC_T00013588001 transcript:CDP18355 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGGFQGASYDIWVIKFFKENWQEMSSNIRTGQMSDWITDPNCKRAVSLILSKQMPDLADSIDRVCQEKSWEGIIKKIWPRTKYVMAIITGSMAQYIPALEVYTGGLPVVSPVYGSSEAVFGINMKPLCRPYDVSYTFIPNMAYYEFLPIDNHQDPNCTIRKDAHLKDHIVDLANVKIGQHYELLVTTRVLSGLYRYRMGDILLVTGFHNITPQFKFVQRRNVVLSIDTDKTTEQDLQNAVTIAMHILEPLGLFLLDYSSYADTSSIPGHYVLFWELQLRSNDDFPVLDQVNMEKCCSLVEQSLDQKYKLLRNQSIRTFNVLMDFYVSQGTSLNQYKTPKNIKSEKAIEILDSRVVGKFYSREVPNQDS >CDP18361 pep supercontig:AUK_PRJEB4211_v1:scaffold_230:123496:124205:1 gene:GSCOC_T00013599001 transcript:CDP18361 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTIYLYLLKRMEKNRETMSKHEGLLCPAIFDILEKAKKKQYMCSCYYAGTMKYQICCPFSAQFVVDLGSKTYICRKWQLKGIPCGHASTYKKSYEPVLNPINGPNLWVQVDLPPIRPPKYGKSPERPKKIRKKGPDEDRNKQLYVNPSKPHKVSKICTKMSCSLCKKYGHNRRSYLKKTSKLLQ >CDP21019 pep supercontig:AUK_PRJEB4211_v1:scaffold_2300:4399:4926:-1 gene:GSCOC_T00012205001 transcript:CDP21019 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQLPPTVSSDHNPVLQPNECSSTLFQTIAAPASVVWALVSDFENPQRYKPLVRSCRIIDGQANQVGCLRRVDVASGLPASYSIERLEILDHDQRIFGFSIVSGDHRLSNYRSIMSLHPNGGDETVVVETYVIDAAEANTKEETCAFVDTIVKLNSRTLSRVAEDLAGKAQQQV >CDP21020 pep supercontig:AUK_PRJEB4211_v1:scaffold_2300:14732:15444:1 gene:GSCOC_T00012206001 transcript:CDP21020 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKGMRISVSTEEELGSSMERKDAADDFGEPVYQRNMVFLPTRN >CDP21021 pep supercontig:AUK_PRJEB4211_v1:scaffold_2300:16488:17876:-1 gene:GSCOC_T00012207001 transcript:CDP21021 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSKILIIGGTGNIGKYVVEASAKAGHPTFALVRESTISDPKKAAIIESFKSLGVIFLHGDIHNHQQLVNAIKQVDIVISAVGGDLVAHQVKIIEAIKEAGNIKRFLPSEFGGDVDRVHAVEPAASLCRTKVEIRRAIEAEGIPYTYLVSNGFAGYLNYILNNFGDSGSASPPRDKIVILGDGNPKVVYTKEEDIAAYTIKVADDLRTLNKSLYISPPANTLSCNEIVSLWEKKIGKTLEKTYVPGDEVLKKIQEASMPLKLLLSLAYTVFVKG >CDP21024 pep supercontig:AUK_PRJEB4211_v1:scaffold_2302:19633:20256:1 gene:GSCOC_T00001087001 transcript:CDP21024 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLLFLISFLPFFISTVSSFASAAEPEPVLDVAGNVLRTDLYYYILPANVRGRFGGGGLTLSSIGNDTCPVGVFQELSAQRNGIPVTFSPVKPRNGVVRISTDLNIEFAYPETCGESPVWRVDNYLDPSADSFVSIGGVVGNPGPATLGSWFKIQKFGYDYKLVYCPTVCSYCDVICKDVGILYQNGERRLFLIDYPLRVVFKLA >CDP21025 pep supercontig:AUK_PRJEB4211_v1:scaffold_2308:12893:13099:1 gene:GSCOC_T00007784001 transcript:CDP21025 gene_biotype:protein_coding transcript_biotype:protein_coding MENVEKYLGIHWTQISPHPYLAPLHDVNEEPVCPRPFVFDFEQLSFTEENIKELIWRESVKFNPDPTH >CDP21026 pep supercontig:AUK_PRJEB4211_v1:scaffold_2320:191:340:-1 gene:GSCOC_T00006525001 transcript:CDP21026 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGSSLEDLFNFCSRMLPLKTVLMLADHIVVSLFLICLLKMSLSLPL >CDP21027 pep supercontig:AUK_PRJEB4211_v1:scaffold_2329:7061:9274:1 gene:GSCOC_T00013527001 transcript:CDP21027 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVPLSFFLSSFFSLSLFNFIVGRQAHALWIKCKISGNLVVNSALMDIYFKCSSLSEGRLVCEKFLDRNFVTWSALTSGYGHHGRVVQVIESFHRMLDEGFNIWIWTSSNAMCRMCHMHWIELLHTMEKNCRAGHWRIFHHSDKSLVLRHMRMYALLKLTLYLRNRAASWSGLNCLNMHMIFGLPYTTLQEC >CDP21028 pep supercontig:AUK_PRJEB4211_v1:scaffold_2329:11687:15248:-1 gene:GSCOC_T00013528001 transcript:CDP21028 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAELLIPKIIGKLGEVAETQVEKRVMLVLGVEEEVANIEKRLKTIQDVLHDAERRRLKNKSVGRWLEELEDITYEMDDVLEEWNLPDRSHIAQKIKKINERLELTLKESHEFNFITSGGIPDSHDSQRIMTTSIIDESEVYGRAADMEKVLDQILSKSSSQGRAGVQIISVVGAGGSGKTTLAQLLFNNDKVQNHFELRNWVCVSDPFDQKRVAKAILENAGKSSHDAELDPLIRSIKETFSGKRFLLVLDDVWTEDDSKWKPFQYSLKDGAPGSVILVTTRSHRVATVVGSTDTHGLGMISHSDCLLIMQRIAFANKSGDLCKKVERIGQKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLEEELSPEQKLFPHLFLSYNELSPELKRCFSYCAVFPKDHEIHVEELIRLWMAQGYVRPRRRGESLELVGLEYFNNLAMRSFFQEIRKFGTFDKYMECKMHDIVHDFAQFLTKNECHALGGTERNSSSERPRHLTILEEGTEEEMFSSRVVDFGRLRSFLTFSRIGRVVVPQNLFCMLKCVRTLTLSRCGLVEIPAEIGRLIHLRHLNLWNNPFVALPETICDLYYLETLNITVCEKLSCLPRRIEGLVHLRHLFNEGTDDLRQIPQGLRKLTSLCSLTRFIARSNSDDLAILKDLNQLERLDIDIEGEVDFGSAKLGKKINMREMSLFFSLGAHFIETPSCIETMEPPPNLELLVLVGYPRTQLPSWLVTKSNANNLTRLIIDGPRKISSLLALRKLSSLEELVLQGVEKLECLGKEFFGSSFSAEAVAFPNLRKLHFEHCDNWTRWEDSSEDDEEVAVSIMPRLEELQIKYCTKLEALPHHILSKISSLKKLDIQSCDKLSHRYSDKTGDDWKQLSRIP >CDP18406 pep supercontig:AUK_PRJEB4211_v1:scaffold_233:335828:346229:1 gene:GSCOC_T00008396001 transcript:CDP18406 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEDGEIVPDSVTEYYFVDHIGELVSFSTLPLQWNDGEITEELCTQIYVCGTSDDGLQQIYKKVIAWKFDISYVMPEVYVLSKDKLWVKLYRPRKSYEETIKTILITIHFLHFVKKNPSGSQDALWNQILKTFRHATFEILPSENDLLGHMPLITEAALRDKDLARSEYMATFITKMHDKREAATEDTQALKNLKFVIDDCDDDIDVEDDDGDEDRKQLFDYVCAYCDDGGQILCCEGRCIRSFHPTKESGAHSFCESLGYSHKQLEAIQIFLCKNCQYQQHQCFACGSLGSSNRSSGAEVFPCVSATCGHFYHPKCVSKLLHPSDVTKAEELCSKIAEGDSFTCPVHKCFECKQVEDKKVYELQFAICRRCPKAYHRKCLPRTIMFESDKERKIYQRAWENLLHNRVLIYCMDHNIIPEIGTPKRNHLVFPDVDTKKPQHTLGSLPAPVQVVSGRRSKVLGFLEEKPVAKLNYRLKGIHSNFKVGKFVENVEQGVRKLVLVGKVGSSPNSSRDNWMPVRDKYRQSIADKGKSHVKDDQLKFKNVLSGNIDSTQTATSATNKAQRIEPSGNAEAEKRVLALMKRSTASFNQEEFLKQKKNKSIDVYSKSMDDKSMTMGKVERAVKAVRTALKKLEDVGTIEEAKAVCEPEILHQLVKWRQKLKVSLAPFLHSKRYSSFGCHFTKVDKLKEAR >CDP18408 pep supercontig:AUK_PRJEB4211_v1:scaffold_233:429687:437690:-1 gene:GSCOC_T00008402001 transcript:CDP18408 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTQSKRDLAFEYQAQIPILRPSIHARRANITVKFQDIYGFTVEGNVDDVNVLNEVREKVRQQGRVWWALEASKGANWYLETHVSSTLKSSLKFTALVNQITLKRLIRKGIPPNLRPKVWFAMSGAAKKKSTVPESYYNDLTTAVVDKVTPATKQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVSDCYTNNLSGCHVEQRVFKDLLAKKCPRIAAHLEELEFDVSLVCTEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHTALAIFKMKEEELLMANHVGDVINIIQRTTHHLFDPDELLTVAFDKIGFMTTTTISKQRKKQEPAVMAELDQRLRRLNPNGDEK >CDP18407 pep supercontig:AUK_PRJEB4211_v1:scaffold_233:347920:353849:1 gene:GSCOC_T00008397001 transcript:CDP18407 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCIHESTPHFLHLRLFLHFNIFLQLAVVDRLRWYVEDGDTIVDFCCGSNDFSCLMKEALDKLGRKCSFKNYDIIQPKNAFSFEKRDWMSICQDELPAGSNLIMGLNPPFGVHASLANMFISKALTFSPKLMILIVPKETKRLDRGKLPYDLIWEDDELLSGKSFYLPGSVDVLAQQMEQWNAETPPLYLWSRPDWTVKHKAIAREHGHISMELDVGRIREINVEPSVSNYLMEDKHDCYHDFSSVMKGYSDITSMLDDLPDEFDGTQPNQLRDTRAEMQFDRQLKNRCPDAEDHSVDMDWEIV >CDP18405 pep supercontig:AUK_PRJEB4211_v1:scaffold_233:183072:207458:1 gene:GSCOC_T00008395001 transcript:CDP18405 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEEGEIVPDSVTEYYFVDHIGELVSFSTLPLQWNDGEITEELCTQIYVCGTSDDGLQQIYKKVIAWKFDISYVMPEVYVLSKEKLWVKLYRPRKSYEETIKTILITIHFLHFVKKNPSGSQDALWNQILKTFRHATFEILPSENDLLGHMPLINEAALRDKDLAKSEYMATFISKMHDKREAAKEDTQALKNLKFVIDDCDDDIDVEDDDGDEDRKQLFDSVCAYCDDGGEILCCEGRCIRSFHPTKESGAHSFCESLGYSHEQAEAIQIFLCKNCQYQQHQCFACGSLGSSNKSSGAEVFPCVSATCGHFYHPKCVSKLLHPSDVTKAEELCSKIAEGDSFTCPVHKCFECKQVEDKKVYELQFAICRRCPKAYHRKCLPRTIMFESDKERKIYQRAWENLLHNRVLIYCMDHNIIPKVGTPKRNHLVFPDVDTKKPQHTSGLLPAQVQVVSGRRSKVLGSLEEKPVAKMNYQLKGIHSNFKVGKFVENVEKGVRKQVLVGKIGSSPNSSKDNWMPVRDKYRHFIADKGKSHVKDDQLKFKNVSSGNIDSRQTATTATNKAQRIEPSGNAEAEKRVLALIKRSTASFNQEEFLKQKKNKSMDVYSKSMDDKSMTMGKVERAVKAVRTALKKLEDVGTIEEAKAVCEPEILHQLVKWRVVDRLRWYVEDGDTIVDFCCGSNDFSCLMKEALDKLGRKCSFKNYDIIQPKNAFSFEKRNWMSICQDELPAGSNLIMGLNPPFGVHASLANTFISKALTFSPKLMILIVPKETKRLDRGKLPYDLIWEDDKLLSGKSFYLPGSVDVLAQQMEQWNAETPPLYLWSRPDWTVKHKAIAREHGHISMELDVGRIREINVEPSVSNYLMEDKHDCYHDFSSVMKGYSDITSMLDDLPDEFDGTQPNQLRDTRAEMQFDRQLKNRCPDAEDHSVDMDWEIV >CDP21031 pep supercontig:AUK_PRJEB4211_v1:scaffold_2334:19049:20197:-1 gene:GSCOC_T00007577001 transcript:CDP21031 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIMPVRNEVSWTAMLVGYLNVGEALEAKNLFDEMTNRNLASWNAMISGFVRFSDLRSARELFIEMPEKNAISYTTMINGYAKAGDMVSARKLFEQSDAKDIVSWSALISGYAQNGQPSEAIQMFYRMQCRSVKPDEFTMVSLMSACSQLGCLELAKIIDSYMHQSSFDLKRVQVAAALIDMNAKCGNMDRASTLFEQMPTHDVISYCSMIQGLSIHGSGPQAVALFERMLKEGLVPDTTAFTVILTACSHAGLIKEGCHYFDSMVNEFSLEPSPDQYACLIDLLGRSGKLKDAYDILKSMPVKPHAGAWGALLGACKLHCDLELGEEVACRLIELEPLNAGNYVLLSDIYAAANKWLDVSLLRLKIREKGLKKIPGCSWI >CDP21030 pep supercontig:AUK_PRJEB4211_v1:scaffold_2334:11831:12088:-1 gene:GSCOC_T00007574001 transcript:CDP21030 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEDILLLSLLNLLWAFCLIYLNHANDPKKVEHLLALDGAKERLQLFKANPLEEGSFDAAIDGCDGVFHTASPFYHTVTDPQVH >CDP21029 pep supercontig:AUK_PRJEB4211_v1:scaffold_2334:1420:3892:-1 gene:GSCOC_T00007573001 transcript:CDP21029 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAGAETFPNSSMGWVDVKDVANAHILAFENPSASGTYCLVERVVHYSEVVKILREIYPSSKLPEKCADDEPFVPTYQVSKEKAKNLGLEFIPLEQSIKETVESLKEKNFLDSSAAL >CDP18410 pep supercontig:AUK_PRJEB4211_v1:scaffold_234:139778:143981:1 gene:GSCOC_T00007271001 transcript:CDP18410 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRSGGRRTETPKSDGGKQEKGSDFPVKDKPKRKGSSSSPEVQVAVAVDYEEKRAQRMKENMERMKMLGILDLSKNLQKPDKPITHKKLRASPSLPALHDPPRRSSRLKTMTPVNYFENRTPKKDKGMKNVEIHIEKGSNPEVYTKEHEKLLGDSKSAWTLCVDGYDQEGQRIYDPFWGKSCHQCRQKTLGHRTKCSKCKSVSGQFCGDCLYMRYGENVMEVNDNPDWICPVCRGICNCSRCRRVKGWEPTGQIYKKVTQLGFKSVAHYLIQTCRSENKTKGPISRVPVSPDVSLASADKKNEFSDAISMPIPDGNKRDTEDKDRQLHSDDEYMADDSNDDFVNANSE >CDP18409 pep supercontig:AUK_PRJEB4211_v1:scaffold_234:59569:59721:-1 gene:GSCOC_T00007270001 transcript:CDP18409 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKMEKGRVCVTGGTGLLASWLIKRLLEDGYSVNATIRSSSGSCLLIY >CDP21034 pep supercontig:AUK_PRJEB4211_v1:scaffold_2341:11491:12722:1 gene:GSCOC_T00006915001 transcript:CDP21034 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADTTKKLNTKAQAAKVAKFVKSGTTFKKKAKKIRTKVTFHRPKILKKDGNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTMVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVATKIGII >CDP21035 pep supercontig:AUK_PRJEB4211_v1:scaffold_2341:19598:19939:-1 gene:GSCOC_T00006917001 transcript:CDP21035 gene_biotype:protein_coding transcript_biotype:protein_coding SPKFFTLISNHHHLQSPFLPYLDDQLFQFLPKFYCPNFFLNFCPGQGRHPPDQQHLIFTGKQLEDSRTLVDYNIQKESALHLVLHLDGGTKKRKKNTCTKPAMTEGNSPPLFL >CDP21037 pep supercontig:AUK_PRJEB4211_v1:scaffold_2343:2672:3973:-1 gene:GSCOC_T00003846001 transcript:CDP21037 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISATIQVALETAVSLATDRIGMLVGFKKDVASMTRTLSWINAFLADAEERQQNQDRLVQEWLKSLEEVAYEADNVLDELHYESLRQQVESRNRHKLKVCCFFSFSNINLAFRWRMASKVRDVKLKLKEINQQARDFGLDSKSVVPAALPAAVGDTRNRQTDSVLVPITGRADDESNIVKILLSPSKKVVSVLPIIGMGGLGKTTLAKSIYNNHQIDGHFQKKIWVCVSEKVPRVELFKLILGQLKKDKKDEVGDRQNIVQEVGKELGKLRYFLVLDDVWDDNQTLWDDFFNTLTGLNPVNPPKGSWCLITTRLHLVADKGYPLGRLPGDHCWSIIKGKVVEGEEVPNELDAIKDRAIQICNGLPLVASVLGGLLRLRKDKWRSILEDRLLNLNEAMQILQLSFDNLPSPAIKKCFAYCSIFPQDTEME >CDP21036 pep supercontig:AUK_PRJEB4211_v1:scaffold_2343:870:2608:-1 gene:GSCOC_T00003845001 transcript:CDP21036 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGEYYLEILLQSSLFEETRNYQGTCYKMHDLVHDLAESMSKSTKVIIDRDTHTVDNGNQIRYLATNSFGGGEDREKLLESLSTSLHTLFVKGDLSGDMLMKLKNLYVLNLSNATSQELPVSIGKLIHLRYVNLEWSSISILPDSLCKLYNLQTLTLSHSDVEDLPKGMCDLISLRHLHLDILDEKFQMPLEMGRLTCLQTLEFFKVGREKGRRIGELGSLKNLKGKLSIRNLELVKDREGAGEAKLFEKANLFELELIWACDREGDSYNDEGVLDGLRLHPNLEELVIKNFMGDQFPRRLMELPTATTLPRLARLEFNRCNRCRELLPLQNFTSLKKLVIDECDGLTNLPGDMLHYCTSLQKVRVTNCNNLISFPLDLQQTPSLLKLELYDCPKLKTSMTPKGFGFLTSLRKLAIGPFSDDGDDHENSSIYNEFDWSGLISSSSSLSSALRGLVLRGLPHMESLPPQIQHLTTLTSLTLLDFGGIKALPDSFGNFAALEDVHLWFFKELGHLPSEDAMRSLTKLKRLWVSGSPLLKERCTPESSGPDSQWSKVSHIQDLRITDYY >CDP21038 pep supercontig:AUK_PRJEB4211_v1:scaffold_2349:1783:8540:-1 gene:GSCOC_T00012444001 transcript:CDP21038 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLFYYLILPLVLLQICSNLATAGSTVRFLPGFEGPLPFELETGYIGVEESEDVQLFYYFVKSESIPETDPLVLWLSGGNCCTSFSGLAYQIGPIKFEEVLYNGTLPKLLLNPYSWTKLVSIIFVDLPVASGFSYARTAKASQSTVSQACNQAYEFLRKWLVDHPEFISSPVYIGGDSKAGIRVPIVTQLISDGNEVGIEPHIYLKGYILGNPVTTPGDGNYAIQFTHGMGLISDELYESLKLSCKGEYQNIDPSNALCLQNMQAYNQASNHFSASFVLLYFEKLVTIQLLSNINKAHILEPDCPYASPKPNNLFIGRRSTIQVFCEKTRELKIQELAAPFTCRMDGYRLVYHWANDESVQKALHVRKESIGEWIRCNCRYPYIRNIGNSLPYHANLSIRGYRSLIYSGDHDMIVPHFGTQAWIKSLNYSIIDDWRQWILQGQVAGYTRTYANKMTFATGGGHIAPEYKPAECQAMFERWISHQPL >CDP21039 pep supercontig:AUK_PRJEB4211_v1:scaffold_2349:13783:14272:-1 gene:GSCOC_T00012445001 transcript:CDP21039 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYMQLIFMCSFVFCLNFMTGYNILQFSGDHGVLAPYLATQTWIRSINYSIVDDWTPWMLQGRVDGYARTCVNKMTFATIKARNDK >CDP21040 pep supercontig:AUK_PRJEB4211_v1:scaffold_2349:14680:19832:-1 gene:GSCOC_T00012446001 transcript:CDP21040 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHVRPSCTTGKLRILIRSSLFSYLILPLVLVQLCSNLASAGSPVKFLPGFEGPLPFELETGYIGVDESEDVQLFYYFVKSESSPETDPLVLWLTGGNCCTSFSGLAFEIGPIKFEQVLYHGTLPKLLSNPYSWTKVASIIFVDLPVASGFSYARTAKASQSTVLQACNQAYEFLRKWLVDHPEFISSPVYIGGDSYSGITVPIVTQIISDGNEVGIEPHIDLKGYLLGNPTTTPGDGNYAIQFAHGMGLISDELYESLKLSCKGEYQNIDPSNALCLQNMEAYNQASNHFSASFVLLYFEKLVTIQLLSNIDTAHILEPDCPYASPKPNNLFIGRRSTIQVFCKKTRELKILELAAPFTCRMDGYRLVYHWANDESVQEALHVRKGSIGEWIRCNCRYPYIRNIGNSLPYHANLSIRGYRSLIYSGDHDMIVPHFGTQAWIKSLNYSIIDDWRQWILRGQVAGYTRTYANKMTFATVKARNSHFPLLQCRI >CDP21042 pep supercontig:AUK_PRJEB4211_v1:scaffold_2353:6800:7267:-1 gene:GSCOC_T00008677001 transcript:CDP21042 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKSTMENSKSTMEKRVLTLQERKQQADKEQEAVEKEVQELLTWTDMIEGMDESQLKTYVKNRPKHLKTAKWEKLGKSRRVQN >CDP21041 pep supercontig:AUK_PRJEB4211_v1:scaffold_2353:616:4856:1 gene:GSCOC_T00008675001 transcript:CDP21041 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSINSISVALMLGFVLVVLVFSGFLEIPIANSSNPPSSTRFQESSPDSDSVSKSKQDPFWDLFSAFRKWDSQVGCAQFREKYGKNGSFSSSSSLQDVDGKAECSALKMDHVSVLVKGWTWIPDNLDNLYSCRCGLSCLWTKSSVLADKPDALLFETTTPPFQRHQGEPLRVYMDLEAGRKRSGNEDIFISYHAKDDVQSTYAGALFHNNRNYHLSRHKNNDTLVYWSSSRCLPERNHLAKRILSLLPYHSFGKCLNNVGGLDMALSLYPECTKDAGEAPKWWDHLHCAMSNYKFVLAIENTKTESYVTEKLFYALDSGAVPIYFGAPNVWDLVPPHSIIDGSKFSSIEELASYVKALANDPVAYAEYHAWRRCGVLGNYRRTRAASLDTLPCRLCEVVSRKNGRNARSL >CDP21043 pep supercontig:AUK_PRJEB4211_v1:scaffold_2358:534:5859:1 gene:GSCOC_T00002637001 transcript:CDP21043 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSAPAGSANIDGVAPPAPPLPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYEWTCNNEQLRLRSIHPLDASHLSKMTGTEYTLSEAMEPHLFVIRKQKRDGPEKVIPMLTYYVLDGSIYQAPQLCNVFASRLGRALYHISKAFNTVASKLEKIGYVDAENETTASESKASKETIDFKEIKRVDHILASLQRKLPAAPPAPAFPEGYTPPTTAEGEKGSEDQQAELQTATLDPIIDQGPSKRVKL >CDP18447 pep supercontig:AUK_PRJEB4211_v1:scaffold_236:290340:299399:1 gene:GSCOC_T00010293001 transcript:CDP18447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSCMLSDGGTVNLLSQSYGVYNINELGLQKCPSWTIDDSDRTEKTYRCASHEMRIFGAIGSGASSVVQRAIHIPTHRIIALKRINIFEKEKRQQLLNEIRTLCEAPYHQGLVEFYGAFYTPDSGQISIALEYMDGGSLADVIRVRKCIPEPVLSSMVRKLLHGLSYLHGVRHLVHRDIKPANLLINLKGEPKITDFGISAGLENSIAMCATFVGTVTYMSPERIRNESYSYPADIWSLGLAVFECGTGEFPYTANEGPVNLMLQILDDPSPSPRKQDFSPEFCSFIDACLEKDADARPTAEQLLAHPFIVKYEDTAVDLGAFVRGIFDPIQRMKDLADMLTMHYYLLFDGSEDLWQHTRKLYDERSVFRFSGKEYGGQNDIFAILSNIRRTLAGDWPPEKLVHVVEKLQCQCHGENGLAIRVTGSFIVGNQFLICGDGIQVEGLPNFKDISIDLPSKRMGSFQEQFITERGSSIGCYVIAKQELYIVQ >CDP18449 pep supercontig:AUK_PRJEB4211_v1:scaffold_236:320059:324034:-1 gene:GSCOC_T00010296001 transcript:CDP18449 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGAFDHHVWPIICSTLLLMVFLAKFLRKKVKKNVKNRKFQLPPGRRGWPIIGDSIKWYNAVASSHPPQFVEEQVQRYGKIFSCYLFGKRAVVSADPKFNRFVMQNEGKLFQSSYPKSFRDLVGKNGVITAQGEQQKKLHSIASNMMRLEKLKFHFLQDIQRVINQTFNNLHDNQVICLQDICRKVAINLMVNQLLGVSNDSEVNEMAQLFSDFVDGCLSIPINLPGFAYHIAMKVQFKSCLPLEKDSSKALVFDASFNLKQARESIISKINKTIETHRQQPSSGVGNGVLQRILEEESLPDDAVADFIINLLFAGNETTAKTMLFAVYFLSQCPMAMRQLLVEQERLKTARNNFGGEMMLTWQDYKAMPFTQCVIDETLRLGGIAIWLMREAKEDIRYQDYDIPKRCFVVPFLSAVHLNETVYEEALNFKPWRWMIPENQASARFCPGAELARLQIALFLHYFITTFRLWNQLKEDRMSFFPSARLVNGFQIQLRRIPDDDRK >CDP18451 pep supercontig:AUK_PRJEB4211_v1:scaffold_236:443169:458911:1 gene:GSCOC_T00010301001 transcript:CDP18451 gene_biotype:protein_coding transcript_biotype:protein_coding MKWCLAHFEKDFLPDGSYFCLKICCLCFMFLNVENEYGYYEAAYGEGGKRYALWAARMANSQNTSVPWMMCQQFDVPDHVIDTCNSFYCDQFKPISPNTPKIWTENWPGWFKTFGSRDPHRPVEDVAYSVARFFQKGGSLHNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLARLPKWGHLKDLHKAIKLCENVLLGSEPSLLSLGPLQEADVYEDMSGNCAAFIANMDDKYDKMVQFRNKSYHLPAWSVSILPDCKNVVFNTAKVGYQTSVVEMVPSNFTSSTLSPNRDLKGVTWEVYQEKAMIWGQADFTNNGFVDHINTTKDTTDYLWYTTSFLVDENEDCLRNGSSAMLLVESKGHALHAFVNEKLQATGTGNGTVSTFKFMSPIILKAGKNDIAILSMTVGLQNAGPFYDWVGAGPSSVKILGLKNGTLDLSRYTWAHKIGLQGEHLKIYQGDGLNSLNWVSTTEPPKEQPLTWYKIVVDSPPGNEPVGLDVIHMGKGLAWLNGEEIGRYWPRKSSKHEECVLYCNYRGKFSPNKCSTGCGEPTQRWYHIPRSWFKPSGNVLVIFEEKGGDPTQIRFFQRKISVVCAHVSEDHPSFDLNYFQKAGNENLTNKATVQLVCPMNARISAVKFASFGTPTGNCGSYAKGDCHDANSASFIEKVCLHRNDCVLEVGKGNFNTGLCPGRAKRLAVEVVCS >CDP18450 pep supercontig:AUK_PRJEB4211_v1:scaffold_236:441152:442845:1 gene:GSCOC_T00010300001 transcript:CDP18450 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRKKKLCSIFIPPFTVLVVLTCVFTFSSAAAANYSITYDRRSLIINGQRKLLISASIHYPRSVPAMWPGLVQLAKEGGVDVIETYVFWNGHELSPNNYYFGGRYDLVKFCKIVQQAGMYMILRIGPFVAAEWNFGGVPVWLHYVPGTVFRTDNEPFKYYMQKFMTYIVNLMKQEKLFASQGGPIILTQASKLFFY >CDP18448 pep supercontig:AUK_PRJEB4211_v1:scaffold_236:311239:312352:1 gene:GSCOC_T00010294001 transcript:CDP18448 gene_biotype:protein_coding transcript_biotype:protein_coding MREAKEDIRYQDYDIPKRCFVVPFLSAVHLNETVYEEALNFKPWRWMIPENQAIFSFLALFAPFGGGARFCPGAELARLQIALFLHYFITTFRLWNQLKEDRMSFFPSARLVNGFQIQLRRIPDDDRK >CDP18446 pep supercontig:AUK_PRJEB4211_v1:scaffold_236:141530:143437:-1 gene:GSCOC_T00010292001 transcript:CDP18446 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNSCCKNVLEQCVMSLIKFILTLCHLSFTFVEMLETDRSPTTMEHEHWNTNCGNWIVQSSHVANGFNDQGLSKMSRSSSSSSSSSDSIMNIPFCDDDEDDRKKEGESFMMQSSHAIGGVDAQVSSKKSKSPSCNSSSPDSPLDGSFKDDEKHGKRDYGNTKMQSTPAIGGTEIQVCRKTSRSSSSGSSSPDSPLDGHFWGDTEEHKHTYGVNLITRSCPAVEEFDAQASSKMSRSSFSSSSSLDASFNVPFKENVKHDNLDGVNFMMQSFPAFDGLDLQASSKMSRSSSSNSSSPESPVNSPLKKDSKNHRNTNGINLMMQLPPAVDRLEVRASSRMSRSSSSFSSPDSSPKGPFEGGEKHRKTNTGDLVTQSSPAFDQVDVQVSSKSVRSSSSSSSSPDSPQDDPSAKDDQEFDKSFQSTASFFGQNNGAKIEDGKHKEPDCRKTLPANETPVSISPTEVKNHSPESNLGNMPTTESPPTQVMERPTNSPYRIPSHVFARTKSTNPVEWSVASNESLFSIHMGNMSFTRDQFLLRSEELGIIPGESTTSGQTFKSSTGILPGEPSNPYQTPTNQPPGRIATESRSHKLAVETMEEVIKESGDQHHGKSRSKGQISHSSAGSAASAKSFAFPM >CDP21044 pep supercontig:AUK_PRJEB4211_v1:scaffold_2361:5961:9113:1 gene:GSCOC_T00011723001 transcript:CDP21044 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIKVALQAVVSRAADHVNLVREFPTELKKLNDSAEMIRGFLAGADEEMHSHDPKLVGLQKWLKQLEEEVFKADNVLDELNYENLRRKVKYQNQLTKKKVFFCFSFFNKIAFRWRLGSMIREINTNLQRIHRDAEGLGLAYKRPVEEASRATTSRQTDSIIVRSDVLGRDENESEIVKKLLTESESVISVISITGMGGLGKTTLAKAVFNTPQFDNHFDKKIWVCVAEKVDRIEEVFKMILESLTGGKVEGDRREVIVQKIQDELKEKRYLLVLDDLWNNNQDGLLDDFFSTLAGLNAKKGSWCLVTSRLQEVETILSRHPLINFTRHELGKLCGNDCWSIMKKWANVEEEVPKELEDMRELVLRRCDGLPLAAKLIGGLLYNKKRKEEWLSILEESLLNGNQGGIEQIIKVSFDHLSPAPVKKCFAYCSIFHQDTKLEQDLLVELWMAEGFLQQDSQNQTMEGIGCEYFRILLQTSLLEEVRDWRGTWYKMHDLVHDFAKSILNRSSSNQDRYLAVYSSERETINEKASASLRTLFLEGGMADDMLSKFKYLHVLKLFGADARKLPTSIGKLIHLHLLDISGSSIITLPESLCELYSLQTLRIGMLVDGFPKEMSNLISMRHLHYDHAGTRREIQMPSGIGRWTCLQTLEFFNIGRQEEGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELAKLSKKPNLHRLVYEWGNRDRESNKCDEDVLEGLQPHPNLKELQILKFMGDQFPQWFMNLTSLVELRVADCTRCRKLPALGQLSSLQHLYLTGLENIRSIGLSFYSTSAEEDGGSGGSSTISRQTFFPALKILSLESMKNLEEWKDAHEMMSTAGEVHVMDVFPVLEKLSISDCPQLTTIPTPSRFLSLDVLEIKGNRHVLLAEKVLSNIANLSSLELWGGSRQRIESLNFVRQPESSLIIDRCDRLPTDMLERLCLFPTLQSVELMRADNITTLRGMSCAACLKRLRVFHCKNLRELPEDLYQFQALEDLEIAGCPRIDSFGQKGLLKSLEKFTVSGCHALTRLRLSES >CDP21046 pep supercontig:AUK_PRJEB4211_v1:scaffold_2361:16460:18007:1 gene:GSCOC_T00011725001 transcript:CDP21046 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGCTCYCLLHCQHLKVLLNPYGTFSIGNDHFPAFLLDLPGIVESYKTYDDSVLIKSADIGQMIMVKEEGDSVPDEVEYRHGLTPPMRDARRRRFRREPDLNPELVRRAEKDLQNIMAAVEHEEDGEENARNASKKVEPKPPEKPDVAEAGTAGGEPDKSDSDESDYSI >CDP21045 pep supercontig:AUK_PRJEB4211_v1:scaffold_2361:9218:13151:1 gene:GSCOC_T00011724001 transcript:CDP21045 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGFGYLTSLREVEIGPFSDYSAIEFDWAGLASSSSLRHVSLHGMRDTKSLPHQLQDLTTITSLSLLFFGAIEALPDWLGNLASLEFLRLYDCQELEYLPSMAAMQRLKLRRLEIRGCPLLERRCTPESGSEWPKISNIPARDIIDPNLFWR >CDP21047 pep supercontig:AUK_PRJEB4211_v1:scaffold_2362:11875:14141:1 gene:GSCOC_T00008300001 transcript:CDP21047 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVTDSQFHVLAVDDSIIDRKLIERLLKTSSYQVLTAANFKVLVVTNSARVTAVDSGSKALELLGLLDDEKANGCSTEQHVLEVNLIITDYCMPGMTGYDLLRKIKESSSLKDIPVVIMSSENVPSRISRCLEDGAYDFFLKPVKQSDVNKLRPHLLRGKARESVKDQEQLQQPPTPTSKRKIIEESLLPEPTRTRFQ >CDP21048 pep supercontig:AUK_PRJEB4211_v1:scaffold_2366:12617:14449:-1 gene:GSCOC_T00010601001 transcript:CDP21048 gene_biotype:protein_coding transcript_biotype:protein_coding MWKCKRRFTASSSFLWSYGSLSTQLPKHIVVAKPIDLLHQRSIRLAQSPRFLRNPLSFNSCIFPLFSSSVSWSSSTKFPDSHSRHGAEDDDNDSIGNEDEDLYNNEEMDGSKCQKSTLTDFRDVETLMTILEESKTSRSVMKNKLELCGVTVTSELVVEVLSRVRNDWEAAFTFFLWASKQPGYAHSLREYHSMISILGKMRKFDTAWALIDEMRVRGGSTGTPLVTPQTLLIMIRKYCAVHDVAKAINTFYAYKRFKFEITIEDFQDLLSALCRYKNVKDAEYLLFCNKSVFPLNTKSFNIILNGWCNVVGDLREGMRIWREMKARGIPRDVFSYSSMMSCYSKFGNLKSLLKLFDQMKGLDIAPDRKVYNAVIHALSKGKHVKEARNLMKTMQENGISANAATYNSLIMPLCRTRQIDAAREIFNEMTEKGMPPTVRTYHAFFRILMTGEEVFALLQSMNVTGCQPGHDTYIMLIRKFCRWRQLDNVFKLWTEMRNNGLDHDRSSYIVLINGLFLNGKLEESYKYYLEMKKKHLLPEPKIEEMLQAWLAGKQNDGRQITHLKDSQEVPTKLENKARLKPKKLDGKRDFFHQPEGKMTTREHGFSFWKD >CDP21051 pep supercontig:AUK_PRJEB4211_v1:scaffold_2379:18129:18953:1 gene:GSCOC_T00008232001 transcript:CDP21051 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRKRITAAEALEHQWLKKGGEASDTPIDSVVKIRMKQFRAMNKLKKLALKVIAENLSEEEIKGLRQMFNNMDMDRSGTITYEELKTRLSRLGSKLSEEEIHELMEAISNPRLWRRFLVLTHTWGTKG >CDP21050 pep supercontig:AUK_PRJEB4211_v1:scaffold_2379:2675:7872:-1 gene:GSCOC_T00008231001 transcript:CDP21050 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNVPHQIVQSPARLGLPNPNSPSLQNATPAKFASQAQQSHPPNQQSNASSIIPTSSTLLPLLPPLPRAQSILLQMSLLASRLFDVSPNRSHWISAFRGSLPSFLSSQSQPLTSTAPDSYPSSSKEILALFTNLQTQLFEAVAELQEILDLQDGKQKLSHEIRSKDAAILALASKLKEAEQVLDNLVDDYSDYRRSKRSKSEDVAEDSSTTTVASQLKLSDILSYAHRISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYAFADLNVGLPKTDEDKKKTIEPMIETPVGQPAEPNPLANLTGMQGLLPPNISVPSGWKPGMPVELPSDLPILPPPGWKPGDPVALPPLDSLPVPPRIEEQQPQHIPPPMLTKAPEPIQVRHVQLDIDDDSSDYSSDVGSSDSED >CDP21052 pep supercontig:AUK_PRJEB4211_v1:scaffold_2380:2257:17181:-1 gene:GSCOC_T00012639001 transcript:CDP21052 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFNIWIFVFMGLVRFDMQFVLGAPQVPCYFSFGDSIADNGNNNNLPTIAKANYPPYGIDFPDGSTGRYTNGRNIPDFIAQLLGFDNFIPPYATARGQGIIKGVNYGSGGAGIRDETSFQQGARISFNQQLQNHGRTISRISLLHGGPTSAKDYLSKCLYTVLIGSNDYLNNYFLPQIYSTSRTYTPDQYAEVLIRQFSQQLKTLYNYGARKIALFGLGALGCIPAVIDSSGGTTCVDSVNAAVQIFNGRLKPLVDDLNSQLVGAKFIYLNTSSIQSGDPTSLGIQFFTEPCCQVDSSTGLCISGSTPCCNRTQYAFYDAIHPTETVYQAYSVRAFDSVLPSDAYPMDIRHLAQLKYILTNKLQSKQTRLPALVFCNIEPIWGAPQVPCYFSFGDSLVDNGNNNNLSTLAKVNYPPYGIDFPDGPTGRFTNGRTILDILGQLVGFENYIPPFATATSQDIIKGVNYGSGAAGIRDETSRQMGDRISFNKQLLNHQKVVSKIASLLGSQAAANEYLGRCLYTVVIGSNDYINNYLMPQIYPTSKLYTPAQYAEVLVQQYSQQLMTLYNNGARKIALFGLGPIGCTPAEISTYRTTTCVDSVNAAVQLFNDKLKFLVDDFNRQLTDAKFIYINITSIQSGDPATIGLQILNQPCCKVSETGLCKPGVRPCTFRAIYLFWDSFHPTETVNVLTATRAYNALLPTDAYPMDIRHLFYIHLSPVPMSFKVSTCFLVFIGFIIFNPQTTLGEQEIPCFFSFGDSLVDNGNNNNLNTTSKANYRPYGIDFPDGPTGRFTNGRTIIDIVGILSFVILDFSLEL >CDP21053 pep supercontig:AUK_PRJEB4211_v1:scaffold_2387:2:2684:1 gene:GSCOC_T00005409001 transcript:CDP21053 gene_biotype:protein_coding transcript_biotype:protein_coding VNSNDEQCRVNSNDEQYRLSRIQIRGILPTVIANLSTKLTSLWLNDNIISGSLPSGIGNLASWAISMYITILSQFPSTIGDISELQILVLEQNTLTGNIPVSLSNCSNLQGFTITKNRLSGALPKELLGLSSPSLGLLLAQNQFTRSLPSEVGNMKNLVSLDTSENKLSGEIPTSIDGCEMLEYLRLKGNFLEGSVPSTLGELKSIQVIDLSQNNFSGQIPASLAKLNFISTLNLSYNMLKGEVPMDGILANSNAFSALGNGKLCGGIKALNLSSSIVLLLISAYAIHRLRRSKQQLPFSSAAEKQNQKFSYAELYDSTNGFSLENLIGEGKYGSVYKGVLKPGEQMVAVKVFKLHQHGAHKSFLAECATLRNIRHRNLVKIITSCSSLDFKHNDFKALIFEYVPNGSLENWLHPSSAEEEGQSLMKLQLIQRLNIAINIASALDYLHNHCGTPIIHYDLKLSNILVGDDFRALVSDFGIVKFLSSIEGKSHQHRSSSVAIGGTVGYVAPGTITCLLKFKQSFN >CDP21054 pep supercontig:AUK_PRJEB4211_v1:scaffold_2391:10314:11267:-1 gene:GSCOC_T00013492001 transcript:CDP21054 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFQGLLQTIPKVNWDGLLLYYYNNFWCPGEVLKSTIFFQRNFKAKDSDIILASIPKSGTTWLKSLSFSIINRKKCTTPESPLLITNPHDLVSCMEYDLFLNSENPDLEAFSCPRIFSTHLPYHALPQSILNTKCRIIYICRNPLDQFISLRHFLLENSGEDQQKALPIDEAFELFCKGIYPFGPVWDHAEGYWNASLNDVQKVVFLKYEDLKIDATSHVKMLAEFLGFPFSPEEDENGVVKEIVKLCSLENLKNMEVNKNGLLVLSPTIKFKARSFFRKGEVGDWKNFLNNSMAERYKKIMEEKLGKSGLAFELF >CDP21055 pep supercontig:AUK_PRJEB4211_v1:scaffold_2396:2835:5118:-1 gene:GSCOC_T00010012001 transcript:CDP21055 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDELMELIDLILENLADLTTVYLGIIDDMDPQVSAQFQALEAKLTLLKNFIPFAKLRGTVDIPALLLAHFEVVALNAAHHFYMCSIWDGKERHNPEFCSMVYKQQQKITPIDFQVFEIYMEVLTATRSSKLVHNRMMDKQILNNFNDSLISCLWELLCCSSSFMDSLKDEMRILYEGLRFLRSILREQQEKMDERNEKIGALLCETDIIICLPSLNRVKEGEVSFSESTEALDCYDMLANTNIHIKHFKDQISGSIIIESLPSFHSLRAPEVSKTSSYILSKGKMPIAHEVMVGLDDEAAKVIERLIWGPEQVEIVPIVGMTGLEDEEVSCCFDDAWAIKVWNELRISFPDEKKRSRIIFTSRSSNVASQVEYGGKPQNLRPLSEKESFELLLKKVFGKEDCPQALCGIAMKIAKKCRGLPLVVVVVVGVLATIGHDILVWEEFAESLTSTMVSSTDQCKKSLELSYEHLPYPLKACLLYFAAFREDEKIGAKKLMCLWIAEGFVEIIEGKRSEVIAEEYLMDLIGRNLVMVSKSRSIGGVKTCYIHDLIFGFCKGEAKEKKFLQVLRGYDELSTFNEPPNLPRLSICSSGEDFIKSRLFCPYLGTLLFFDATPGDKLKELPAEVESLLCLRYLALRAWKMEFILPSIAKLSHLETFCLYSH >CDP21058 pep supercontig:AUK_PRJEB4211_v1:scaffold_2398:12283:15069:-1 gene:GSCOC_T00009223001 transcript:CDP21058 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFDLVLIHIKTIRGFSRRQLLSNHFSVVATSGDTNLLHRQGIVTIILLVLWDYYGFFREGTLFCYDNTVPSPCWKLNFLKDHHCFGSCSNSGVLLLKDVMGPRWKGKGAEAKALADPISTIVSQLQSSLVQSSSQGLLMNSSVLFEADAKQTDLLNRACFGRPVVTAEKDKQWFQLGLEEAFYLFYVLKCIKIVNENNSEIDSEELWMYMTSKKENFPNLFKAYSHLRMKNWVVRSGSQYGVDFVAYRHHPSLVHSEYAVIVLSDNNGETNGRLMVWSDYHCTLRLCGSVAKTLLLLNIDKCGENATLPSCLESYIVEERTITRWSPQQCREKQTIATGES >CDP21056 pep supercontig:AUK_PRJEB4211_v1:scaffold_2398:1248:4403:1 gene:GSCOC_T00009221001 transcript:CDP21056 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKLLYFLTLVSTGVGAKHADLEVYWKSKLPNSPMPKALRDIVRNGKPPGVGSFSVRPDRIPLKIYKYIAHYSPTEDQLHYHQKVTAFFLKKDLHRGSSVNMQFIESVNDTPAFLPRQVADSTPFSSKSVPEILNKFSVNPHSAQAEAIKKTIAECEEPAIEGEDKYCATSLESMVDFTTSKLGKNVLAFSNEAPKNAGKIQKYGIVDVSKLNNDKAIVDDELVACHKQNYVYAVFYCHSFQNIDAYMVNLVGSDGAKVKAVVVCHKDTSSWDPRHLAFQLLKVKPGTVPICHFLPGDHIVWVPKH >CDP21057 pep supercontig:AUK_PRJEB4211_v1:scaffold_2398:7302:9272:-1 gene:GSCOC_T00009222001 transcript:CDP21057 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAVRELVHNGKTSGSGSFTKLPDSNFQIYNARAQMNGHGSSFSQLPDSKFQIYNRKSQVNGHGFSFSKLPDSKFQIYNRKSQLNSHGISFSNLPDSKFQIYNRKSQVNSHGTNPTKDQLYNDKNITIFFLGKDLHRGSSMNLEFVESLKITTLFLPRQVADSIPFSSKSVPEILNKFSLKPQSEEAETIKKTIAECEMPGTKGEDKYCATSLESMIDFTTSKLGKDVRAVSTEAEKIDTKIRKYTIKDVAKLNTADKVVSCHKEKYPYAVFYCHTSQSNAYMTNLAAAEDEAKAKAVAVCHKDTSQWDPEHLAFQLLKVKPGTAPICHFLPEDHIIWVPK >CDP18461 pep supercontig:AUK_PRJEB4211_v1:scaffold_240:227180:229455:-1 gene:GSCOC_T00006388001 transcript:CDP18461 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVPGVSPQEMVAIAQETFRRCTSSSSSSSSLPERRGKGVAIFWFRSDLRILDNEALIKAWLSSQALLPVYCVDPRLFTSSTHYFGFPKTGVLRAQFLMESLADLKNNLKSRGLDLLIKQGKPEDILPLLAKAHGAHTVFAQKETCSEELNVERLVAKNLRQVDQPLLKGLSTKPESKTGTKLQLIWGGSLYHIDDIPFDCKCLPDVYTQFRKSVESKSTVRACLKIPTTLGPPPNISDWGTVPEITELGFQKPKACLLSSSHT >CDP18460 pep supercontig:AUK_PRJEB4211_v1:scaffold_240:216119:227104:-1 gene:GSCOC_T00006387001 transcript:CDP18460 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVGGESAALSRLHEYFWKKARNLCWIGILSYDLLRIYKETRNGMLGPDYSTKFSPWLAAGNLSARFIYEEVKRYEAERQSNNSTYWVLFELIWRDYFRFLSIKEGNTLFNPGGPRKVEVNWNQDSILFDAWRDGHTGYPLIDANMRELSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFESCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAQNYDPEGEFVAYWLPELRALPKEKRNFPGHLYIKPVVGLKHGGSNKTSSKTRTAGRAKTWK >CDP21059 pep supercontig:AUK_PRJEB4211_v1:scaffold_2400:5787:8477:1 gene:GSCOC_T00006235001 transcript:CDP21059 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQNVVERPSKHHKANNYLNNSSTLQATNSCHEQVICTFGNSNVVPERNRQQSIMGTNTGDDLVSEALISRASFTSLEEAVKGVHTTKKTSSRTRPPSQTYDHIIAERKRREQLSQQFVALSAIVPGLKKMDKTSVLGDTIKYLKHLQQREKELEEQATKQTMESLVLVKKSQLLLEDEGESSDEQEGCCNEQPLPEIEAKMCDKHVLLRILCEKHRGVLVKILSEMDKLNLDVINTNVAPFGSLALDITIITEMKREFNLSMKELVNSLASGLRRATLQKA >CDP21062 pep supercontig:AUK_PRJEB4211_v1:scaffold_2401:19087:19560:-1 gene:GSCOC_T00001081001 transcript:CDP21062 gene_biotype:protein_coding transcript_biotype:protein_coding LIRLSTIEATVVENKKFDSAFWGLKVKLIEVTAKVLKVVGYGNVILLAQCRVYLLKTRLPYIRKIKPLLDSMADKETEFPFKLDEHLCQSIERAMVSLILALPSSDQADILVNWMSSVQLRYPNLSEAFEVWCCRTKSAKRRSVEGLNNVGNTAVCL >CDP21060 pep supercontig:AUK_PRJEB4211_v1:scaffold_2401:2393:4129:1 gene:GSCOC_T00001079001 transcript:CDP21060 gene_biotype:protein_coding transcript_biotype:protein_coding MYILKIIRGLYYCGEQLKRSLVLLGPFGGNDYRYALFQGASLEERKLLAHLITDAAVLAVNELVELGVGTVMVPGLVPDGCLAVSLTYFGSPNTGNYDLSTGCINWLNEFAEYHDELLQTKLNMARKRHPTAAIIYADYYNAILQLYRNPEEYGFRRTTAACCGDGGPYNYNKEVQCGDPPTTVCPDPSVYINWDGPHFTEAANRWITSALLKGPYTLPHLDASCISEAASTTHFTDA >CDP21061 pep supercontig:AUK_PRJEB4211_v1:scaffold_2401:11093:17441:1 gene:GSCOC_T00001080001 transcript:CDP21061 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSIQLLTPALAILILTLLKLCTSTRASAGICYKSIISFGDSIADTGNLLRLCPSNYPPHFSLPPYGESFFHRPTGRFSNGRLVIDFFAESFGLPLIPPYLAGEDARGRDFRQGVNFAVAGATALDSSFFRERGVRNPLTNVSLGTQLRWFKDVMPSFCSNSSDCREFLRTSLVLMGEIGGNDYNHALVQGIKIDQIKSFVPAVISEISSAIEEVIKLGAVTLIVPGNFPIGCSAVYLTYFQGSNQQDYDKATGCINWLNDFSKYHNRLLQKELNRIRKLHPHATIIYADYYNAAMRLYRSPNKYGFRGGALKACCGGGGPYNYNSSVECGYPPATSCDDPSLYVCWDGLHLTEAAYQLIAGGLLQGPFSTPPMNTICALASTSSGLLLQD >CDP21063 pep supercontig:AUK_PRJEB4211_v1:scaffold_2407:15898:16872:-1 gene:GSCOC_T00009505001 transcript:CDP21063 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTKQKQKHHHHHHSHRSSTTVAAAEGQGAGVEDWRQEAINGGSLKHVDLHTGSNGWASPPGDVFSLRGPNYLTKKTKVPSGPWLLQPAGVDWLRSNAKLDHVLARPDNRVMNSLKSSNLQGKSLKTFLVAVNLQVPGRDHHSAVFYFATKEGEGLQPGSLLYRFVHGDDNFRNSRFKIVNRIVKGPWIVKAAVGNYAACLLGKALKCNYFVGDNYLEIDVDIGSSAIASAILHLALGYVTAVTIDMGFLVEAQSEDELPEKLFGAVRICQMEMSSATYVENSSNAVSFKRGLHSGYPNGSNSNSSCSKSKVQAEEGSNNGME >CDP21064 pep supercontig:AUK_PRJEB4211_v1:scaffold_2409:16710:19672:1 gene:GSCOC_T00008927001 transcript:CDP21064 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTFVMPSRMCINPIHNKKGILHQSIDANSEERRVLASCSMHSLYDLDLISETVPVILDNSKQWHQVLLTSMKFGSRGIANVQGVNWEDLRSKS >CDP21065 pep supercontig:AUK_PRJEB4211_v1:scaffold_2411:19250:19540:-1 gene:GSCOC_T00006895001 transcript:CDP21065 gene_biotype:protein_coding transcript_biotype:protein_coding VLFIIIAQVRHLSVQSVVREEWTAEVFDVELMRFQNIEEEMVQMLQIAMACVAKVPDMRPNMDEVVRMIEEIRQSDSKNQPSSEENKSKDSTMQTP >CDP21066 pep supercontig:AUK_PRJEB4211_v1:scaffold_2412:5107:5580:-1 gene:GSCOC_T00006185001 transcript:CDP21066 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLWVVRRNLTAETDNAYPKGFKERIQGRGRLSSWAPQQPVLSHPSVACFLGHCGWNSTVEGVSNGVPFLCWPYFADQFTNRSYICDDWKVGLGLEKDGNGIIAQGEVKNKIEQLVTVKGYKERALDLKAKVMNSLREDGCSGKNFNNFVKWIKDD >CDP21067 pep supercontig:AUK_PRJEB4211_v1:scaffold_2413:2863:5771:1 gene:GSCOC_T00010005001 transcript:CDP21067 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSCHSQPISYTTSLQNVKKIVAPSISCSMQPGGQSNIKVIVNGAAKEIGRAAVVAVTKARGMGVAGAVDSYLVGEDIGKVCDMEEALEIPIMNDLTMVLGSISQSKGMAVVVDFTDPTTVYDNVKQATAFGMNSVVYVPRIKQDTVMALSSFCEKASMGCLVAPTLSIGSILLQQAAISASFHYNNVEIVESRANAADFPTQDAIQIANNISNIGQLYNRQDISTEVQARGQVLGEDGVRVHSLVVPGLPSSTTVYFSRPGEVYTIKHDITDVQSLMPGLILAIRRVVRLKNLVFGLEKLL >CDP21068 pep supercontig:AUK_PRJEB4211_v1:scaffold_2413:7416:10277:1 gene:GSCOC_T00010006001 transcript:CDP21068 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQTIMMAQLILVVILAILFSRIVVASAAAFPISKPGCNSSCGDVEIPYPFGMTEGCYLDEPFQVICNTSFNPPKPFSQLSEMDVTRISLEGCCQTSIPKGTSEFSLSVGSFRNHSVVENFNPCSSVFVVEQGGFNFSMDLLRDIENVNKLPVALDWTIGNETCEIAQKNLDTYACQKNSKCINDPEPDSYPGYRCSCLEGYEGNPYIACQDIDECQDENLNTCTFKSLCKNEIGGHKCSCPNGYHGDGKISPCLPKRKNQQLLVTRIIAGIALVTAALLAFGSWLYFEFKRRKLIIEKQKFFQENGGLLLQQELIKQQDCTNIAKIFTAKELEKATNNFDEKRVIGQGSYGTVYKGNLTDCTVVAIKKSRASVLCWDTRLRIATETAGALSYLHSAASPPIIHRDIKSVNILLDDKYTAKVSDFGTSRLVPMIESEIPTMVQGTIGYLDPEYLQTSQLTEKSDVYSFGVVLVELLTGKKVLCFDRSARERSLASYFLSSIKDNHLFEVLDDNIDTERNAEQLKEVAMLAKRCLNVKGEDRPTMKEVALELEGMSLATRHSWVQHPATVLEEMESLLSDVTIILSPKKHVLLPINDGR >CDP21070 pep supercontig:AUK_PRJEB4211_v1:scaffold_2416:7567:12563:-1 gene:GSCOC_T00007474001 transcript:CDP21070 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTSPTAPALPFSSPSHSKPKGSCQRWFSIKKEVTVCSGRIHFNRKSRNGDFAINSASRESSPSVGFDVQFPKDYAEVLQQAKEATELAVKDNRQLMEIEFPTAGLGSVPGDGEGGIEMTESMELIREFCDLFITPEKATRTRIFFPEAKEVQFARNSVFGGVLFKLDYLTKPSFFEDFGFVEKVKMADRVKPEDEVFLVAYPYFNVSEMLVVKELYEEAVVSTSRKLIIFNGELDRIRSGYYPPFFYPKLAALNKTLLPKMETVYYIHNFKGHKGGVLFRCYPGPWKVLRRTRKGYICLHQQDLMPSLKEVALDILPSA >CDP21069 pep supercontig:AUK_PRJEB4211_v1:scaffold_2416:3710:5586:-1 gene:GSCOC_T00007471001 transcript:CDP21069 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTCDDLEHPFIHYVANQTAMPTDGFIIRGWAPQLLILSHLGIHPSIGGFLSHCGWYLTVEAVCIGMPSPSPIRGDQILNAKLMGRFNKIQEDDEATEIITTKDDIIEAIERLMSDEELHERAKALRNKVFKHGFRASSLAAFKALRDFISQTVS >CDP18467 pep supercontig:AUK_PRJEB4211_v1:scaffold_242:293625:295160:1 gene:GSCOC_T00008000001 transcript:CDP18467 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWLGSMCTVVISSNEVAREMFKNHDVVLAGRKIYEAMKGDIGNEGSLITAQYGPHWRMLRRLCTAEFFVTSRLDATVDVRAKCIDQMVKYIEAAGGSGANGIDVGKFFFLLAFNLIGNLMFSKDLLDPSSERGAKFFYHAGKVMEYAGKPNIADFLPLLKWLDPQGIRRSTQYHVKRAFDIAGLYLKERIIESNGDETDHPSPEKRRRDYLDVLLHYRGESAEEPPEFSPTTINIIVFEMFTAGTDTTTSTLEWATAELLRSPKTLEKVQAELRSVISLGTKLEEKHLDNLPYLKAVVKETLRLHPPLPFLVPHMAMDSCKMLGYHIPKETQILVNVWAIGRDPKTWENPLEFKPERFLEPSTADFKGHHFEFIPFGSGRRICPAVPLASRVLPMALGSILHLFDWSLADGIKPEELDMGERMGITLRKAVPLKAIPVPLQG >CDP18465 pep supercontig:AUK_PRJEB4211_v1:scaffold_242:228729:229891:-1 gene:GSCOC_T00007997001 transcript:CDP18465 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYVCVERLDSIEGTRSGWGRGRESRQPTSERSTGEISSGPNPKPRVDPNVQIATAMQQMTNLLAQVVQQ >CDP18466 pep supercontig:AUK_PRJEB4211_v1:scaffold_242:249093:249710:-1 gene:GSCOC_T00007999001 transcript:CDP18466 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPEKNRREISEYLFQEGVFCAKKDFDLAKHPEIDVPNLHVIKLMQSFKSKENVRETFTWMHYYWYLTNDGIEFLRTYLNLPSEIVPTTLKKSAKPLGHPMGGPPSDRPRGPPRFDRDRPRFGDRERYRSGPVDLLVSLVVRKVELLRITSLLSGVLVEGLALAMDLEVWFSTTKFKLLLDSCFAKLCYLQFRLSDVAVESYAC >CDP18468 pep supercontig:AUK_PRJEB4211_v1:scaffold_242:427761:428763:1 gene:GSCOC_T00008002001 transcript:CDP18468 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSELHQVAEYFGPIGDFKEIKSNGYLVEALVHLWDPECSAFRIDNRQMTITLEEVAGLLSLPIHRTALVFPSAPDKAEFCQIIKIERVSTTRVRSGRRREYFV >CDP21071 pep supercontig:AUK_PRJEB4211_v1:scaffold_2426:2:1818:-1 gene:GSCOC_T00008184001 transcript:CDP21071 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHRRARALSRFSQFISTGNHSEVVSTKVFIPLFFNLLFGVQEGKGENVRSACMEAIASIAGCMTWKQYYELLMNCFREMTKREKQKVILRLICCILDHFHFSETPAEAKFSDIQTCIQKTMLPKIQELLSDSDNVNVNISLVALKLLKLLPGEIMNLQLPNIVHRISNFLKHRLESVRDEARLALAACLKELGLEHLQFMVKVLRGTLKRGSELHTLGYTLNFILSKFLINPIRGKLDSCLEDLFSVIEIDILGDVSEQKEVDKIASKMKETRKQKSFETLKLVAQNITFRTHAVKLLSLVTAHLQKQLSPKLKSKLENMLNHIADGIQSNASVDQTELFIFVYRLIKDGIDDVNHERKHVYMSEAGKGDCDLVDSQMINSDRLINLEPRYSHLITGFALGLLQNHIKGMKLNRKDEEQLSLCLSPLVRLPLPSLESQSDKIKNSLLFIAQRSANAGSPLVESCLRLLTVLLHNTGVTLSADQLHMLIQFPLFVDIERNPSIVSLSLLKSIVNRKLVVPEIYDVVKR >CDP21072 pep supercontig:AUK_PRJEB4211_v1:scaffold_2426:1881:19421:-1 gene:GSCOC_T00008185001 transcript:CDP21072 gene_biotype:protein_coding transcript_biotype:protein_coding MQELNTAEDFISFYQEMFPLVQTLPQIILQKELIVSKLLSRLQIKSRLSLEPILRLVATLSRDLLEDFLPFLQRIVNAIVFLLKNGGDKDPDIIKQIFTSWSYIMMFLQKYLIKDVIHVLKVTVKLRYYPHGSIQKFVAESVSFLLRNAPVEQLIKAGVRKIMIEVVKNPLAKRKSGATELLWHVIRGSSSTLHSRAPQVLQLLLDKSLFSIGDQLLEDPDCLLEIVISTFQRLSNEAGPVELNLIWDCLYGEIIESVTNGHSMHVSRLLSVLVLIVENGFMKKISDYQPMFKLVRLLVQTYVPPVTEEGGKQATDIVIKILQLSLFIVDGLHKANDLSALSDFSCQLVPIFSLKNPGLLTFLKDLVSRDSNVLKIFRINIISALNDLTNISEEETVYCWLRLCENLKMPSFSFLDGISKDKLSRIYDLVQQSISYWIGMISNSLHGDLSSLQLEQSRLALLWGTVKCYPYLFNGQENLTLLLDLLNAIDELLMTESGLADLTWQSLIGATLGSYKEMLSSSGTGCEESAISKFLYLAKKYHSSSQILAPIADILDSHFGSVIKGCTSFRRYHPDLAARNVIDALDILAENLCNCDQMLRRSTLRILCHYEPLKFESSFNTQPDEKTAGNDIMQTFNVLELLLSVETTEPSSTDRKIELLLSRIQVNVSARKIDENYVPALLYGLIGIFHIRLSNLWIPAKECLAVLISQNFGIVWEPYMKYLDHCQSLFLISCDQSSRSGTKSLNQSSDLVGYFNLSINPPCGSTPCATLFSLMIQSLQKVPFLAETRSRQLMPFFLKFLGYSVDDLLSVELYNVHCCKGREWKEALKELLNLFRLLRNPKSFYQSQFIKDVFLYRLLDETDTELQLKVLDCLLNWKDEFLLPYSQHLKDLINAKNLREELTTWSLSRESNEIDEHHRDFIVPIVIRILVPKVKKLKTLASRKHASVHQRRAILGFLAELDIQELPLFFFLLIKPLQGRQCLLSSSESVKDEFDSISILKEFTVDGLKGLSWKKKFGFLHVIEEILAVFDEYHINPFLNLLMGCVVRVLESCTAALESSKCKEPSLTDSGFNVAAAYDIVDREIDTSTAVKQFKELRSLCLKIVSSALAKYENHDFHSEFWDLFFTAASPLIGSFKQEGASSEKPSSLFSCFLAMSRSIKFVPLLGRKKNLVPDIFSMFTITTASDAIISCVFKFVENLLNLDSQLGTEDGSVKRVLLPHLNVLVDSLHCLFTIASGTKRHPADNELFVFKLLSKYITEPLTAKKFVDILLPLLAKRLRNSDSCVVILQILQSVVEVVGSENNSKILSSVSPLLTFAGLDVRKSICDVLNALAKDDSSVFVVAKLLNEMNATSAMDIGSLDYDTIIGAYEKINGEFFHTVGKEHALIILSQSAYDMSSEELIFRQSAYRLLLCFVEFASEIVESKDKSDQGCWTEALIQHIVTSFLLKHMGNAMNRETSVQKLWIDLLREMVLKLPKVANLESYGTLYSQDPEQDFFNNVIHLQKHRRARALSRFSQFISTGNHSEVVSTKVFIPLFFNLLFGVQEGKGENVRSACMEAIASIAGCMTWKQYYELLMNCFREMTKREKQKVILRLICCILDHFHFSETPAEGVLRSGAVDCMTCMVDL >CDP18469 pep supercontig:AUK_PRJEB4211_v1:scaffold_243:74614:82242:1 gene:GSCOC_T00012820001 transcript:CDP18469 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRVFFLDVNALCYQGNTRSLHSFAHWIFLFFSQVSLSDPVIAVVDGERGNEYRKQILPSYKANRRIFSQHFSSLGRFPRNRIGRSHKLVLNVLHGCNVPVVKIEAHEADDVIATLVEQVISRGYQAVVASPDKDFRQLLSQDVQIVLPMPKCNRWSFYTLNHYIAQYTCDPQSDLSLRCFLGDEVDGVPGIQHFVPGFGRKTALKLLKKHGSLENLLSAAAVRTVGRAYAQDALMKYADHLRKNYQVLSLKRDVDVQIEDKWLSGRNCCNDLVVLSNFINLLRDTENHDGQSKYDPNG >CDP18470 pep supercontig:AUK_PRJEB4211_v1:scaffold_243:92098:100109:1 gene:GSCOC_T00012822001 transcript:CDP18470 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMVQSTISSPLQHLPPILTATHKCNSNFQLSASVPQWPCTSTTLNVRLKPPKELLSFQILGREVRSFSFLPLQRPDEFSSYLPHSEDLTIVDRQSIYTAELSYGAISWLVSEQAALASENVRMNAVFEIGELFELGIQLTYLLLLLVLIGVGSFFVIRQVLVRRELDLSAKELQEQVRSGDASATEFFELGAVMLRRKFYPAATKYLLQAIERWDGDDQDLAQVYNALGVSYIRDEKLEKGIAQFENAVKLQPGYVTAWNNLGDAYEKKKDFKSSLKAYEEVLLFDPNNKIARPKRDALKEKVKVYKGVPVKSKKT >CDP18472 pep supercontig:AUK_PRJEB4211_v1:scaffold_243:373480:384576:1 gene:GSCOC_T00012827001 transcript:CDP18472 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G51260) UniProtKB/Swiss-Prot;Acc:Q9SYC8] MAIAAVVILPLGLLFLLSGLIINFIQALIFILVRPLSKNLFRRINKEVTELLWLEIVWLFDWWANIKVELYTDPETFEFLGKEHALLICNHRSDIDWLVGWVLAQRFGCLGSTLALIKKAALYLPVLGWSMWFNNYIFLERSWTKDEYTLKSGLQGLNDFPRPFWLALFVEGTRFTQAKLLAAQEYAASAGLPVPQNVLIPRTKGFVYVVSELSSFVPAIYDITVTIPKNEPQPTMLRILRGCSSVVHVHIERHLMQELPETSSGISQWCKDIFVAKDALLERHVAMNTFGNKDNYHIGRPIRSLLVVISWTCFLIFGAVNFFEWCPFSWGEIAFCTVFLALVVVLMQILIVFSQSEQSTPVKESPRNSLEEKLLHI >CDP18471 pep supercontig:AUK_PRJEB4211_v1:scaffold_243:318722:320698:-1 gene:GSCOC_T00012826001 transcript:CDP18471 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQVQNAGVTAGPNGVAAPAGGAAVVAAAAPIDRGNHQVGQVVSVRVCRDLSTRCSLCYGYVNYSNPQDATRAMDVLNFTPINGKSIRIMYSHRDPSIRKSGTANIFIKNLDKAIDNKALHDTFSTFGNILSCKIATDGNGQSKGYGFVQFDHEESAQTAIDKLNGMLINDKQVYVGQFLPSLSLSDSIVS >CDP21073 pep supercontig:AUK_PRJEB4211_v1:scaffold_2438:14202:14630:-1 gene:GSCOC_T00001486001 transcript:CDP21073 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCDLKPSNILLDQDMVAHVCDFRIVYLLGNGESNKFSILMTQYGPEGLVSTSCDIYVGYFQNLISCKMGARLRT >CDP21074 pep supercontig:AUK_PRJEB4211_v1:scaffold_2440:6966:14094:1 gene:GSCOC_T00003046001 transcript:CDP21074 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSDEDPEEQDVDIENQYYNSKGMVETDPEAALEGFAEVVRMEPEKADWGFKALKQTVKLYYKLGKYKEMMDAYRDMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFGLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQKDDGSDDQRKGTQLLEVYAIEIQMYTETKNNKKLKQLYQKALSIKSAIPHPRIMGIIRECGGKMHMAERQWEEAATDFFEAFKNYDEAGNHRRIQCLKYLVLANMLMESQVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEELLVSLILDNRIHGHIDQVNRLLERGDSSKGMKKYAAIDKWNTQLRTLSQTICNRVC >CDP21075 pep supercontig:AUK_PRJEB4211_v1:scaffold_2441:17467:18563:-1 gene:GSCOC_T00006486001 transcript:CDP21075 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQFSCAIYFALLICFLLLVPNKMPMAEAKFCKRPAEHYSGTCYPNRCYSYCKSKEHAYSGECIWTGQGHQRHYACYCVYNC >CDP21077 pep supercontig:AUK_PRJEB4211_v1:scaffold_2449:3285:6973:-1 gene:GSCOC_T00004188001 transcript:CDP21077 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQLNTIYFPGISKRERALMKQITNGLNVLNKSRNPPIHLHASFVLMLPPGRTGWPLVGETLQYFSKIQQGVLEKFVTERRNKYSSKIFRTSLIGYPMAILCDAEGNKFLFSNENKFVKHWWPSTIDKLFPKSNNKPNTEHTKALHKLLTFILKKDVLRAYVGVMDAIMKQHLQIYAECKLVKIGDMAKTYIFKLACITFLGIDNQVKIDELEKGIEEIAAGLHSMPLNFPGTALNRAIKTSKLMREEFESMIRQRKVDLSVHSSSSAKDFVSHMLSATDDGGQFYSEADIACILVGLLQGSYTTVHNTITNIMMYLTEFSDVYNSVLREQKEIADLKEPNNILCWDDLKKMKYSWQVACEVLRLKPPVHGAFKEAIADFNYAGYTIPKRWKIHWIAHATHKNPEYFPDPDKFDPSRFQGDGPASYTFVPFGGGAHMCLEPSMRGLQYSFFCIMW >CDP21078 pep supercontig:AUK_PRJEB4211_v1:scaffold_2449:7302:9686:-1 gene:GSCOC_T00004189001 transcript:CDP21078 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSYILLFLLFLVPFVYLCFPKLWLRKKLAIANSNSSCQNQRLPPGRTGWPLLGESLEYFTKIRQGVPYQFVKDRMNKYSSKVFRTSLIGQPMVMLCSAEGNKFLFSNERKLVQVWWPSTMDKIFPKSDHRPSSEHSNRLRKLLPFILKTDVLREYVGIMDAVMKKHLQTEWNCIHSMPFNLPGTALNRAIKASKLMRKEVEALIRQRRSDVSDYGPSSAKDFVSHMVLARDDNGQLLSDGDIASHLVGLVQAGYTTIHSTITIIMKYLSELPDIYTSVLKEQKEIAIIKEQNGRLSWEDLRKMKHTWDVALEVLRIYTPGTGSFREAITDFVFDGYTIPRGSKIHWIFDVTHKNPEYFHDPEKFDPSRFQGDGMAPFTFVPFGGGARMCPGNEYARVSILIFLHNVVTHFRWKKLIPDEEVLHYPVPRPAQGLPIRLYPHQP >CDP18500 pep supercontig:AUK_PRJEB4211_v1:scaffold_245:47706:48937:1 gene:GSCOC_T00005505001 transcript:CDP18500 gene_biotype:protein_coding transcript_biotype:protein_coding MCRDLLSKFPDNSAFDFDYSQSSIWSPLIHRKFPSTTPISNDRRNVLSCGLSRKLVYNDDEGLTKIKKVTANIKRKFTDAVSDNLLKYQKMRKRKRRNSLDFTPRPSSKLPSTSPTPRKGWVKVLKAASKHFKKKSKKRDSYTQINFSSCFTSTDS >CDP18503 pep supercontig:AUK_PRJEB4211_v1:scaffold_245:154064:165103:1 gene:GSCOC_T00005508001 transcript:CDP18503 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF GAP-like zinc finger-containing protein ZIGA4 [Source:Projected from Arabidopsis thaliana (AT1G08680) UniProtKB/TrEMBL;Acc:F4HXP0] MSSRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFVCMTCSGIHREFTHRVKSVSMAKFTFQEVEALQNGGNQRAREIYLKHWDSQRQRLPDNSNAEKVREFIRNVYVMKKYVGGGSFDRPPRDSQIARSHEDETRRASSYHSYSQSPPYDFQYEERQYGRHAPVLTRKPGSDRGLYEGKVSSFLSPSRLSDRSPSRLSDHIYEDRFGFGRSNSRVSDYSSSAQSPNFQKDIGSPSSETSREFCGEDIAHNAANRYPDSYANRGSGQMLYPQRTASSESIGSLDSNSLSFKTSVGAADVGSEAEQSVEARSKKIPTLTSLPQTSAQGNHDSLDLFTAPFALQNVTSIALAGSTSNLPGLSSTQSIDPFGTSCLSTASNIDMQQLPKPNQSSSMDLFSEIPQQPPAASLDDKSLKVIPQNEGWATFDTPQHSAPVGNVNSAVLEVPSSDKNPGQSFNPLLSLNQWPSFENNSSNGPSSSMPPWGAAQQIVAAKPRIEPWNAFEESYGQLVKRSSEQVVNQSSFAEQYLYRESEGFNHGEIPTVGRDTLPPIATVSSEMSLSQHQHDAPIPPAMGGHSHALSPKSTNPFDLPYDAKIESENMSDFWDVSSLQAALPNGQMSTPFTAGANEHWFAQEPMVSYVPAGSDGALGFLVGQAPSTQMSNVPSHGPVASVGGNPFA >CDP18504 pep supercontig:AUK_PRJEB4211_v1:scaffold_245:269199:269948:1 gene:GSCOC_T00005509001 transcript:CDP18504 gene_biotype:protein_coding transcript_biotype:protein_coding MYILITTTRYAVNKLRISVKTPWYPWYTQGHVGGYVVGYENLTSVTVRGAGHLVPRYQPARGLAIFSSFLEGKLPPSS >CDP18505 pep supercontig:AUK_PRJEB4211_v1:scaffold_245:337116:337997:1 gene:GSCOC_T00005511001 transcript:CDP18505 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSAIDTGKNDISSLEPVKPADPHVIQIGQFVVEQCHHGQLLFVAVVGGFTWSGDGGYYYALIIENQDCDGATYLHKALVLETPCETKLIWHKK >CDP18510 pep supercontig:AUK_PRJEB4211_v1:scaffold_245:406143:407525:1 gene:GSCOC_T00005517001 transcript:CDP18510 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKSATVTDQIDINSIQPVAPADPRVAEIGQFVVEKFHHGKLLFIAVIGGFTWKCEGGKYYALIIQNQDYEGATFIHKALVVEAPGETKLLWHRN >CDP18511 pep supercontig:AUK_PRJEB4211_v1:scaffold_245:432951:433813:1 gene:GSCOC_T00005519001 transcript:CDP18511 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKSATVTDQIDINSIQPVAPADPHVVGIGQFVVEKFHHGKLLFIAVIGGFTWKCEGGKYYALIIQNQDYEGATFIHKALVVEAKGETKLLWHRN >CDP18507 pep supercontig:AUK_PRJEB4211_v1:scaffold_245:347686:349478:1 gene:GSCOC_T00005513001 transcript:CDP18507 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLLACIHLLLLYYYVRCTRTNKNAPLNKKRRLCLICILELVCQRCIYTNKNAPLNNKTAVFCLSLYLHILFLYYLQAISNP >CDP18502 pep supercontig:AUK_PRJEB4211_v1:scaffold_245:50410:50715:-1 gene:GSCOC_T00005507001 transcript:CDP18502 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKTELVFVPAPGRGHTASIIGFAKQLLEREERKSVTVLVIKRATPPKLDSYIEKLAAANSNIRFINLPSVDPPSPEPVSSIEKFTAVLLRSTKPSSKMP >CDP18501 pep supercontig:AUK_PRJEB4211_v1:scaffold_245:49323:50327:-1 gene:GSCOC_T00005506001 transcript:CDP18501 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLLPTFYSHLMLLFLGLCFISPFAILRRGEFSISDPDSIITTYSHPVPSRVLPSFLFDKTGGYGSMLYQGTRFRETKGFIINTFAELESPMQLTVINFEVERHPNSENIIKWLDDQPSSSALFLCFGSMGGFEHPQIAEMAAALERSGYRFLWLHGRQHQRNSVQSESVFGANKEKGIFCVIAQEAVGGLVSHCGWNSILESLWNGVPIATWPVYAEQQSNAFQLVKDLELAMQLTLDYRFKNPHKLVPFNEIEKAIRCLMDDENPVRRRVKEIGKKAIEALMDGGSSFISLRRFIEDPLVAQK >CDP18506 pep supercontig:AUK_PRJEB4211_v1:scaffold_245:343279:344135:1 gene:GSCOC_T00005512001 transcript:CDP18506 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSAIGTGKNDISSLEPVKPADPHVIQIGEFVVEQCHHGQLLFVAVVGGFTWSGDGGYYYALIIENQDSEGATYLHKALVLETPNETKLIWHKK >CDP18508 pep supercontig:AUK_PRJEB4211_v1:scaffold_245:352183:354311:1 gene:GSCOC_T00005514001 transcript:CDP18508 gene_biotype:protein_coding transcript_biotype:protein_coding MKGALVIFLTLISLVSFVKCYKGEKYETLRKFLHAESLNRSNNYITDEDSAHQYSPVYIGPQEGFKAANKIIALPGEAKGVKFDQYSGYVTVDPTAGRALFYYFSESENPSSKPLVLWLNQGRGCSSLGVGAMSGQGPFRVSKDNKTLWHNEYAWNKVANILYLESPAGAGFSYLNTDFYIAEEAYAGFFVPELAQLIPHNNKITNQTVINLKGIAMGNSIVDTETQSKGLYDFLWSHSLISDEIYHGLVSNCTCNKYAEQAVKAIGNINRYSIYAPVCSSTSNAPWIYGEDPRADDYALSHLNNPEVQKSLHANATGIGLMGYCRFINWTDSPATVLPTIRELMAGGINVWMYSGDTNSFIPVTATRYAIKKLGIAMNAPWQPWYSQGEVAGYVVEYENLTFVTLKGAGLFAPSYKPARSLAMFSSFLEGKLPSSS >CDP18509 pep supercontig:AUK_PRJEB4211_v1:scaffold_245:373789:374834:1 gene:GSCOC_T00005516001 transcript:CDP18509 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSAIGTGQTDISSLEPVKPADPRVIQIGQFVVEQAHHGKLLFVAVVGGFTWSVIGGNYYALIIENQDYEGATYLHKALVFETPDGVLTLIWHKK >CDP21080 pep supercontig:AUK_PRJEB4211_v1:scaffold_2455:13599:15298:1 gene:GSCOC_T00011104001 transcript:CDP21080 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGCRLRLQPTHHHTCMARRVLLWRRRQMSLLVTDGYRSMSSWMQEKKLRRGRLPSRRRGRSLRVMRGTSGITFLPSRPQQRLDRLMRNRRSAVRKERSEEGTLQGHLSFRATVDGEGELPLLSDLREGEQPPTQHHSGMAEVVDVGHVNAGSAVKASTESALTHPASRDHFKAKEQLHGIEMHAKHGGVTSSGFAGAEQVPIDTNMDMDEGRAIYLP >CDP21079 pep supercontig:AUK_PRJEB4211_v1:scaffold_2455:7058:7786:1 gene:GSCOC_T00011103001 transcript:CDP21079 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIGNQRDYTDQIIKGIILVSMFFLYYPFFIVTIEWALCLLLNHPEVLEKSRAELDAQIGTDRLVDEHDLSNLSYLHNIILETLRLYPTAPMLLPHESSVDCKIGGYNIPRGTILLVNAWAIHRDPNVWDDPTSFKISEEEIDLVEETGVSMPKVKPLEKTCFRN >CDP21081 pep supercontig:AUK_PRJEB4211_v1:scaffold_2456:11528:13015:-1 gene:GSCOC_T00004605001 transcript:CDP21081 gene_biotype:protein_coding transcript_biotype:protein_coding MDERDPDEPRRCRNCHQTGHNRRNCPNYSFIHLSLHPLSIDLLIYLLKECSDGVLYVLMLLHMHDMTHTFHLPIGEATVTLQDVEVLWGLHIDGPPVIGIDTYRSIQEWGAICEELIGFSPAVGYFDGQRLKLGCLARALDTELPPDTSDVQCRQRARIYLLLILGEHLLSDKSGNKVPLLYLPLLWDLETVGQYSWGIACLATLYRSLCDATNLLNRLLPVH >CDP21083 pep supercontig:AUK_PRJEB4211_v1:scaffold_2459:1522:2910:-1 gene:GSCOC_T00006031001 transcript:CDP21083 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSGSLHVVMFPWLAFGHFIPFLELSKFIAQKGHKVSFISTPKNIDRLPKLPPNLASSITFIKIPLPPVDGLPENVEATVDLGGLDVAFLKKAYDGFEPDLTRFLEDSAPDWIIYDFAPYWLPPIAAKLNIARSFFSIVSASTVVFFGPSFDAMINGTDPRSKVEDYIVPPKWIPFESKVAYKLYESKWIVGASNLDGSGASDMYRVGSVIKGADVVLVRHCREFEGQWLNLLENLQRRPVMPLGLMPPKVEKSGLESNESWIAIKKWLDGQGKGSVVYVAFGSEVSMSQLELGELALGLELSGVPFFWALRNPSGLPEGFEDRVEGRGIVWKNWAPQLNILSHDSVGGFLTHCGWSSSIEGLMLGHPLIMLPFVIDTGLVARILEEKQVGIEIPRNPVDGSYTRDSVAKSVRLIMEEDEGKSYRDKAKEISAVFGDRELHDGYLQRFVDYLEKNVRKST >CDP21082 pep supercontig:AUK_PRJEB4211_v1:scaffold_2459:417:770:-1 gene:GSCOC_T00006030001 transcript:CDP21082 gene_biotype:protein_coding transcript_biotype:protein_coding MANESKLHLVMLAWLAFGHFIPFLELSKFIAQRGHRVTFISTPRNIARLPKIPPHLVSSITFVKIPPPQVEGLPKNAEATMDIHTDQMSLLKRAYDGLEPELTRFLENSLPDWTSFS >CDP21084 pep supercontig:AUK_PRJEB4211_v1:scaffold_2459:17498:18886:-1 gene:GSCOC_T00006032001 transcript:CDP21084 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSSSLHVVMLPWLAFGHFIPFLELSKFIARRGHKVSFVSTPKNIDRLPKIPPNLASSISFVKIPLPPVDGLPENVEATVDLGGLDVAVLKKAYDGLEPELTRFLESSVPDWIIYDFAPYWIPHIAAKLNISKSFFCIFSAASLVFSAPSLDAMVAGTDPRTKLEDFTVPPKWIPFKSKLAFKLHESRFVVRSKNLDGSGVSDMYRVGSAIKGADVTLIRYCPEFEGQWLKLLEDLLQRHIIPLGLMPPPMEKSIVENNESWIAIKDWLDGQGKGSVVFVALGSEVSLNQLQLSELALGLELSGVPFFWALRNPSGLPEGFDDRVKGTGIVWKNWAPQLNILSHDSVGGFLTHCGWSSSIEGLMFGHPLIMLPFIIDTGLIARIMEEKQVGIEIPRNDVDGSYTSHSVANSVRLIMVENEGKIFKDKAKEISAIFGDQDLHDSYLHKCVDYLENKRHESK >CDP18512 pep supercontig:AUK_PRJEB4211_v1:scaffold_246:243814:245719:-1 gene:GSCOC_T00005488001 transcript:CDP18512 gene_biotype:protein_coding transcript_biotype:protein_coding MNALFGVAMVHILVGFFVFITGLGLLRGGESLGINYGQVANNLPPPDKVLDLLQALKLTKARIYDSNPEVLSAFANSNIELIVTVENEMLASLTDPQQALQWVSTRIRPYFPATRITGIAVGNEVFTGEDNTLVASLVPAMVSIHAALVRLRLDQYVQVSTPNSLAVLAQSYPPSAGCFSSELNGIMPQLLQFLQSTNAPFWINAYPYFAYKDDPNRIPLDYVLFNPNSGMIDPYTKLHYDNMLYAQVDAVIFAIARLGFSGLEVRVSETGWPSKGDTDEIGATLENAASYNRNLMRRQFENEGTPLRPNMRLEIYVFALFNEDMKPGPTSERNYGLFQPDGTMAYNVGLLALSSASSASSTASSSASVSLTSSAPKVKLVGYQSFLYSTVLYLLALQILLRRQS >CDP21085 pep supercontig:AUK_PRJEB4211_v1:scaffold_2462:64:3853:1 gene:GSCOC_T00013780001 transcript:CDP21085 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTGRILAASNSRTPCLPYSVTTTTPFMLSGRYSPGKLKPNLKWRCTASDSDASSFAPSIDSESSASDTNAAGFCIIEGPETVQDFAKMELQEIQDNIRSRRNKIFLQMEEVRRLRIQQRIKSAELGILKEEQETELPNFPSFIPFLPPLNSSNLRLYYASCFSLIAGIMIFGGLLAPTLELKLGLGGTSYADFIRSMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEDKRCKYCLGTGYLACARCSSTGALVLIEPVSTLNAGDQPLSPPKTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >CDP21086 pep supercontig:AUK_PRJEB4211_v1:scaffold_2462:4200:17993:-1 gene:GSCOC_T00013782001 transcript:CDP21086 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MTSNKENLVVDRGEGGLSAEQRYRISRNFRAAKALLARKRPRQTEPVNLSSPYPRKDGVGRENESPAQLVGIKRLPLSETPMNVPSSETINLRICNDSTDSCPRVPAKVNVDICATADTKNVINISSLKTPIEQSECCVNLSSSNDVDLNARQRDVFLKDGIGSDSFVTPVRQTSCSSLSEPLSFSTVLDDYFDESILEEIDALCNKSAAKSEIEVSNSIPLEIQHVSNSSGKENTCINLVLSDKNFNTESALNSSSSQECVLEVLRNSDTQVQSMPEEYAKYIMSLNERQQEAACSDISIPLVIVAGPGSGKTSTMVGRVIILLNQGICPSHILAMTFTTAAASEMRERIGAVAGKATAKVLTISTFHSFSLQLCRLHAEKLGRTPEFLVYGHGQQRRAVIEAIRLSENGKKKSNYKLQQADEVSGVSSPQYFKDESKKWLKYITKAKAAGRTPEDCCRIGDERGADILRNYEEILKSCNALDYHDLISCSVKLLNEFPAVFKECQESWKAIVIDEFQDTSSMQYSLLCILASHKRITIVGDEDQSIFGFNGADVSGFHSFRKDFPMHKEVRLNKNYRSTRCIVEAASSLIRNNLERCESKDVLTDNSSGSKITVKECSNENSQCAFVVDKILEITSDGSAAKCNFGNIAVLYRRQVSGKIFQTTFRNRSIPFNIHGVAFYRKKVVRAIIAMLRTALPACDDAPYRKVFKALLPFEKEEKKKVIEHIDKVSTVRECSFISAATDIFSAKISGTFKRTQLTQGRKVLLTLDMISKLIHTEQSISAVITSVSNMIPQRYLLEQRAVVDVDGGKLLNEDNDLRSVLQYLLDDVSDFLTMQGATVEVKTDDGAEGKGCPALLKAFIDYVSERERENFRSRRQDNKDSVTLTTIHQSKGLEWDVVFIIKVNETEIPLLHESNGVTNEKGSSVEEERRLLYVAMTRARKKLFILYLIMDSNWQILQPSRFFREIPRHLQEVQDEPSVQSLQENNGQLSRQTLEIATSVQTKTELVEVNSKPSGSICEACDEVSNESNEFLERCNANSFLRRFHVDDRGAVSQLFHQWAKKPAFHDPKRLLNKVGFVIDERLRAKKSNRKVVKAFLSCFLLSGCMSASLFLCYFSFQRIFNTNVLLLAEDVLRTLKSCLHSDEAFHFAISVLKWEQIPADKRAQLMREKQEHFQKQRIESAMNSSKATSKQIAYLQNLGCTVAPTSRLHASRLIEQYKSL >CDP21087 pep supercontig:AUK_PRJEB4211_v1:scaffold_2466:5403:6365:-1 gene:GSCOC_T00007449001 transcript:CDP21087 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLQPLFLAIISLLMISALTRSSEAAGIAVYWGQNGNEGSLEGACRSGNYDYVNIAFLVSFGSGQTPELNLAGHCIPSPCTFLSSEIEVCQSLGIKVFLSLGGSSPGQGRGQILASPEDARDVAAYLWNNYLGGQSDSRPLGAAVLDGIDFDIEYGSNLYWDVLAEALFNYSTAERKVYLSAAPQCPIPDDYLDTAIQTGFFDYVWVQFYNNAPCQYTSGDPSKLFASWDQWASYTGVNTLFLGLPAAEAAAPSGGYIPPEVLVEQILPVVQSYPKYGGVMLWSRYYDQNYSTAIRPYVNGDPLTYTTKSVKKSHAVA >CDP21088 pep supercontig:AUK_PRJEB4211_v1:scaffold_2470:11980:13240:-1 gene:GSCOC_T00000203001 transcript:CDP21088 gene_biotype:protein_coding transcript_biotype:protein_coding MLRETMGKLCSKGFDKGISHRKVPPPVWCPLRCTLDELYNGVEKTIKFPGGRMKLLPDPGVIAPNADPETLVVEIPAGAKNGLKIVYPRRVILDDRKVPRDVIVDVIEEPHAEFHRQGNDLWAIRKIPLMEYVTNEALTIETLDKRLLTVPKIEPGCVIEIPNEGMPCWHGIGETGSIFVSFEVIYPKNLSLTREEKDELKKLLAKEENNV >CDP21089 pep supercontig:AUK_PRJEB4211_v1:scaffold_2473:93:1709:1 gene:GSCOC_T00009147001 transcript:CDP21089 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRGFGSEVDGSGKLDRIRRILLLSYNDLPHHLKNCLLYLSIYPEDHPIGAEILLDKWIALGFIEEEEGMTATDIAMRYLKELINRSLIQVKDTWYDGKLKECGLHDILREIIVSKSKEQSFTAIITGYCTRWPDKVRHLAIHNFTGNPPQGFSSLKCLRSVETFGNKDSLTTSFLSKFLCGGPKFLKVLNLASAELDSIPKEVFKLFHLEYLDLSGTRVKIIPKSIGQLQNLESLNLAGTTITELPVEILKLRKLRTLRVGRAGDYSNNFALWGFKSPDGIGKLTSLEILGNIEVDGGKVVREIGKLIQLRQLSITKLRREDGKELLYSLSRLTNLRELIICSIKEEETLDLQHSVSLRLGFLTRLSLTGRLERVPEWVMSLQSLGTLALLNSELTEDENAIDCLGHLPNLVALMLSRAYEGETLCFKAGGFPKLKELGLVQLKRLKWVSVEEESMPNLQQFVIAGCKLVEGLPLGLQNLTELKVLALADMSDELIHEVQNLDKQSEDYQTISHIPQQLQVQFSLFYNRKWGINFH >CDP21091 pep supercontig:AUK_PRJEB4211_v1:scaffold_2474:14237:15787:-1 gene:GSCOC_T00002089001 transcript:CDP21091 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIHSLHQNLPATNLPNPYRLPLRIESYQDFKIEARPRQKPPNRAVRLAESWSSSLQLQIETAIHKSLGHSNKHNNLANIEDMQNTPTMSPKEDISEKWQEIHGSSEWDTLIDPLHPWLRREIIKYGEFAQATYDAFDFDPFSEYCGSCRYNRHKIFDKLGLSKSGYKVSAYIYAMSQIDLPRWLERSQLVDTWSKDSNWMGFVAVSDDEESKRIGRRDIVVAWRGTVAATEWYEDFQRKLQPIGEGDAKVEHGFLSIYTSKCDFTRYNKSSASEQVMKEVRNLVHFYKSRGEEVSLTITGHSLGGALALLNAYEAAASFPGLPVSVISFGAPRVGNIAFRDELYQMGVKTLRVTIRQDFVPRMPGIVFNESLQRFDDLTGTLEWIYTHVGVELKLDIRSSPYLKRGFNLMGFHMLETYLHLVDGFLSTTSTYRSDARRDAALVNKACDMLIDELRIPPSWYQLANKGLQCNAHGRWVKPKRDPEDIPSPTVELHSQNHVFDVQTHYALEPLFAS >CDP21090 pep supercontig:AUK_PRJEB4211_v1:scaffold_2474:5220:13686:1 gene:GSCOC_T00002088001 transcript:CDP21090 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAKPMFGRGPSELCGAVDLISRYKLWLHHDYFSKRPPPLSLSESPYLCNLVGDLQIRKGEGMELGQLLQGTSCTGDRNEHLPPFDLDILSEAFQIKETTDFDLAYVIYLSLHLVDIHILTFMV >CDP21092 pep supercontig:AUK_PRJEB4211_v1:scaffold_2475:501:1511:-1 gene:GSCOC_T00009804001 transcript:CDP21092 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISHYYFSLGLWLSSLLSCLATTAPNITTDQSALLSLKAKITWDPHEILASNWSATSSVCDWRGVTCGSRHRRVTALNISNLGLTGTIPPQLGNLSFLMSLDMRRNNFYGELPHELIRLSRLRVLSLGINRLSGNIPPWVGSLQDLRYFSLRNNSFTGYIPPSVSNMSKLETLNLKFNSLQGAIPMEIGKLKKLKQIVLDFNQLSGFLPPGLFNISSLEAIALRSNSLSGSLPSSICPRLQGLTWLDLGFNKLSGVIPPSLSECSKLQVLRLFENNFSGVIPEGFGNLTALVELRLARNNLIGVIPEGFGNLTALVELGLGHNDLDGTVLTVFD >CDP21093 pep supercontig:AUK_PRJEB4211_v1:scaffold_2475:16249:19001:-1 gene:GSCOC_T00009805001 transcript:CDP21093 gene_biotype:protein_coding transcript_biotype:protein_coding TIPQELGNLKHLEELVLGSNSLTGSISAQIFNISTLQVLDLSNNTLSGRLPSSTGCGLINLEWLGLFGNKFDGVIPASISNVSKLTFLELGGNRFSGPVPNSLGNLRLLRLLGLVDNHLTTEPSSRELSFISYLTNCKYLKKLGFAENPLHGFLPMSVGNLSTSIEEFYAYGCGIKGSIPDGIGNLSRLMILILERNHLSGPVPSTMKYLQNLQVLSLSANQLSGSIPDSICKLKRLYRIYLGQSQFRGSIPSCLNNISSLREIDFAGNLLDSSIPASLWNLTDLLKLNLSYNSLSGSLPYETGNLKVVTLLDLSGNRLNGNIPNSLGGLQSLATLSLAQNKLQGPVPDSLSQMLSLEFLDLSNNNLSGPIPKSLETLLYLNYINLSFNHLRGEIPSSGPFEKFTYESFMSNDDLCGAQRFHVPPCRSPRIHKSSQKKVFHMLGILSGIAATIIAVTAAAILLLRCQRKDEASRNTDLLPMGLPKMISYYELAQATNGYDESNLLGKGSSGSVYKGILTDGTVVAVKVFTLLAEVTSGSFDTECEVLRNLRHRNLTKVIGSCSNLDFKALVLDYMSNGSLEKWLYSHNRWLDLLQRISIMMDVASALEYLHFGYTAPVVHCDLKPSNILLDENMVAHVSDFGMTKFLDEENSVLHTNTLATLGYLAPEYGLEGQVSTRVDVYSFGIVLMETFSRMKPSDEMFEDDLSLKSWIEESLPNATTQVIDANLLGRQDEHFNEKLECISVIFKLALSCCAKCPRDRTNMKDVVATLQKIKRQIEYFPNISA >CDP21095 pep supercontig:AUK_PRJEB4211_v1:scaffold_2476:8203:10013:1 gene:GSCOC_T00006575001 transcript:CDP21095 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKKTKKTHESINNRLALVMKSGKYTLGYKTVLKTLRNSKGKLILISNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDPGDSDIIKSLPGDH >CDP21094 pep supercontig:AUK_PRJEB4211_v1:scaffold_2476:932:7122:1 gene:GSCOC_T00006574001 transcript:CDP21094 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYTQFSTALYSSKLVHRFSEEAVSYWSSKGKNITLPKLGSLEHIKVLLSSDLKRQKLKLGSQDRLLIPSQGSETFFYGNDMGWLHYTWIDIGTPNVSFLVALDAGSDLFWVPCRCIQCAPLSSSYYSMLDRDLSEYEPSRSSTSKPLSCSHQLCELGLNCQSPNGSCPYSVNYSENTSSSGLLFEDQLYLTSSGGGAPEGSIQAQVIIGCGSKQSGSDLDGSAPDGLLGLGPGKLAIPSLLARSGLVPHSFSYCFDNSYSGRMYFGDQGPATQRSTTFVPYKGEYSGYFIEVEDYCIGSFCLKQNGFQAQVDSGSSFTYLPSEDYKLFVAEFDKQMNATRSGIEKFPYCYKARSHGQPDVPSIKFKFALNQSFVIENPMFHIIDYQGDDLYCLGIQPLDGGIGIIGQNFMMGYRLVFDWENLKLGWSHSNCQDIRDSDRVHLTPSPNSAVNPLPTNEQQRTPGGHAVVPAVARRAPEESSAALSVHILYNHYCKTSLLLLHLTLWLPFW >CDP21096 pep supercontig:AUK_PRJEB4211_v1:scaffold_2476:13900:14621:1 gene:GSCOC_T00006576001 transcript:CDP21096 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLEDSFQSIALDLGDCVGTESCVDLRSDIDQEFCMVQKTRGSRRNQRLERTEKEYPPPIPWLARTENLPSHMPWIMKRYYTDDGRLIIKEEKVKRHEYFQANRSNGRLTLHLVPLDDDVLDDDCDEEEFEVACDWDSDGMISGRDDFDESRNKKSESCMDNGGGAIVGGDRGGGGGGRGQCYMYSGLAVAAVRPVHT >CDP21097 pep supercontig:AUK_PRJEB4211_v1:scaffold_2477:2:2946:1 gene:GSCOC_T00004469001 transcript:CDP21097 gene_biotype:protein_coding transcript_biotype:protein_coding SCIFFLFHRGVLLFHHWNKIDCPVLGSTVSLVKALATWSPASGVLLRKQCIFRGINHGKHSSSWSARIRITVVLFYCHILFFLQWLARS >CDP21098 pep supercontig:AUK_PRJEB4211_v1:scaffold_2477:12253:16632:-1 gene:GSCOC_T00004470001 transcript:CDP21098 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKIFVGEKKIVNSILGTIAEKCVDPIWHQFQYLIFYKSNVQTLSNDIKKLELKETEVQQKVDRAKDNTEEIKPTVVDWLKRVEDVKKDAHTIFEGMETTKVNCFNIVRLPNLKSRYLLGRRAAKRMSVLEKLLAEGNFDKVGSIAPLGKMRFSESTPSLEEGLVSRMSTKKEVMEALKQEKTSLVAICGMAGVGKTTLVNQIADQVKFEKLFDDVAMATVSQTPDMRNVQNQLAEQLGLTISEQTDCLRAERMYKRLTNSDKRTLVILDDIWKEVDFKSLGIPVKGECKSLKVILTSWLFDVCSIMGAEIIVVNMLPEEEAWHLFKEKAGISDDFILNDIAKQVAGECKGLPLAIVVVARALRSNHTLESWNRALRQLRKDRMGNLKGVQDLVFSRIEWSYNHLETAEAKHLLLLCSLFPEDYSIPIECLVRYGKGLQLFQDTETLRDARDKVDMLVDELESSYLLLNDGEKEDYVKLHDVVREVCLSIASKAEHEFLVSNAGVGEKNSYTAISLISQDSNHNVLPFCKEYPRLMLLRLVFQFDWAFELGKLDLPKDSFLGMEALRVMELNFFHIEFAVSWPGQMLRSLRTLCLDNCYLGSGMSSMLGQMMQLETLSLFASKIQDDRFPSEITWPSNLKLLDLRVESSLHPLPVGILSSLKKLEELYLGFHCQLQLGRDKEEERGCLKEISSISNLTRLQIDLCDLNLLLLLLQEFDTQKLSRFHIVVGKYGRKLSALSRNYQFQNSFKLYFSHDEPLDDLSSTEALKQITENLTLDLSGSSCLRNIVPHLGGNGFIYLKRLDLNKGQYECLIDSTTNPIARHAFENLVSMKLRDLNALEFLWKGPIEPPSFCNLRSIEVRNCAQITTLFSQSTLKCLVKLQKLVAYDCKNLESIVLREENLKEEVLELPQLKFVFPKLNSLWLEDLENLRNFGGSHREDYEFKFPLLTKLFIMSCPKLKKLCFGNLDAPLLKKIQTTSRDIENFETPVDLKVRIF >CDP21102 pep supercontig:AUK_PRJEB4211_v1:scaffold_2479:9410:11215:1 gene:GSCOC_T00011560001 transcript:CDP21102 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKHTLFKLDLNMSRAWLTRATNWTKFSATALQLIDSGHLQQRKSLMAPCHRMRLVVVAAHIQKHKHWYLSYCIM >CDP21101 pep supercontig:AUK_PRJEB4211_v1:scaffold_2479:6676:9225:1 gene:GSCOC_T00011559001 transcript:CDP21101 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRETSIQLTLQFLYSYNKLHFIILNTIKQSVKMRNNILHIKFFLHSTMLHKHTLFKLDLNMSRAWLTRATNWTKFSATALQLIDSGHLQQRKSLMAPCHRMRLVVVAAHIQKHKHWYLSYCIIIDIKYQRLDKLQQILIQTDNIQVTLYFCNHVSDVKTSEILTSTTLMHMSPDYLSICQLLMFLDRPQDIAAAFEKLLRAKSKNDGLLGFQIAFDLVENEHQAYLLKMRD >CDP21099 pep supercontig:AUK_PRJEB4211_v1:scaffold_2479:1362:2324:-1 gene:GSCOC_T00011557001 transcript:CDP21099 gene_biotype:protein_coding transcript_biotype:protein_coding MVILTCIRAIKDFYFATFSFQNMRYHSCSSEIKKIIVSQFSSHQRKYSTANGVLSPKVLPDEAYPILQSSALQHWFKNWQELRKHKLTASTFSGAIGFWPKRRVQLWLEKIGAIKPFSGNLATCWSNIKEEEALERYKLITGNTVCFPDFQVYRKTNHEEDDWLAASPDGLVESLIYGLPSRGVLEVKCPFYDGDMTKAFPWQRIPLHYIPQAQGLMEILDRDWMDMYVLAVKGSSLFRMYRDPEYWDALKIALSDFWWKHVHPARELYTNSAISNPLFELRSFRPAPRHELCSFLVYESKRIVDNSGLIMREIYGKLVD >CDP21103 pep supercontig:AUK_PRJEB4211_v1:scaffold_2479:18136:19023:-1 gene:GSCOC_T00011562001 transcript:CDP21103 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLGKLRHRNIVRLLGYLHNENDVMMVHEYMPNGSLGAALHGKQAGKILVDWVFKYSIALGVTHGLAYLHHDCHPLVIHRDIKSNNILLDSDFEARIVDFGLARTMSHKNETVSMVAGSYGYIALEYGYTLKVDEKSDIYSYGVEAIDIVEWVRKKVNNKTSESALDPDVASQCKHVQEEMLLVLKITLLCTAKLPKERPSMRDIITMLGEAKPRRKSVCQNWGYTANKDKIIFAHSPVVGLL >CDP21100 pep supercontig:AUK_PRJEB4211_v1:scaffold_2479:4685:6581:1 gene:GSCOC_T00011558001 transcript:CDP21100 gene_biotype:protein_coding transcript_biotype:protein_coding MILYFRHLNKVCLHKLILSSMFTQVILYSMFTLILYFFCSSSLYRFCSENVYKDENFDETQRQLPTLLASKIQRCFFVGSFFDLLFNVSEDSDYVRTILAKAIDKRPDLKTKAAEISIKYQRLDKLQQALTRSDNIQVTLYYCNHVSHNFASSREYQHVKASEILTSTTLMHMSPDYLSICQLLMFLDRPQDIAAVFEKLLRTKSKNDGLLGFQIAFDLVENEHQAYLLKMRD >CDP21104 pep supercontig:AUK_PRJEB4211_v1:scaffold_2483:3126:5402:1 gene:GSCOC_T00012254001 transcript:CDP21104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-D3-1 [Source:Projected from Arabidopsis thaliana (AT4G34160) UniProtKB/Swiss-Prot;Acc:P42753] MAIEKNVHYHPQTQHQSLQSFSLDALYCEEEKWGDNNNNNGTATNCPSSLFPPPLHLPLLEQDLFWEDEELLSLFSKEKETQHLASSGNANAKDPVFSAARREAVEWILKVNAYYGFSTLTAILAINYLDRFLSSLHYQKDKPWMIQLASLTCLSLAAKVEEIQVPLLLDFQVLDSKYVFEAKTIQKMELLILSTLKWRMNPVTPISFLDHIIRRLGLKSHVHWEFLRRCESLLLTVMPDSGFSSYLPSVLATAIMLHVIHQVDPYNAIDYENQLLEVLGISKTKVTDCYEAIAVLSSTSNSLKRKYDPVPSSPLGVMDAFFNSDSSNDSWVGTTGGLSGSSSPEEPQPLIKKSRAQEQQMRLPSLTRVFVDIVGSPR >CDP18519 pep supercontig:AUK_PRJEB4211_v1:scaffold_249:61591:62366:-1 gene:GSCOC_T00000055001 transcript:CDP18519 gene_biotype:protein_coding transcript_biotype:protein_coding MNETDIFPISLLQHLVLQEPKGTASPEIILQCLFFCNYLQTLKLSVVFKSLRSYPALRVVTGPLQSRAFQPDFIPRDPKSKPVRHKYPAFYDPYGPRPPPSDKIIRLAEQIAALSPKERNFIGPTLRDRLRHPKIQPVLVEGMDLGPDGGSGVGSSKAEKKKVEKTAFDVKLVKFDAAAKIKVIKEVRAFTNLGLKEAKDLAEKVPVLIKQGITKEEANDIIEKIKAVGGVAAME >CDP18523 pep supercontig:AUK_PRJEB4211_v1:scaffold_249:250722:253037:-1 gene:GSCOC_T00000062001 transcript:CDP18523 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGGSTHFSVFLLVAIILLCSSSKTVNATCYASEKQALMDFKKDLKDPSGRLSSWIHDIDCCKWEGVVCSNRSGRVIQLHLQCPVGEIDDFGNEEISPLSGKISHSLQNLTHLRYLDLSLNNFSGIPIPSFFGSLRSLRYLNLSGAGFQGMVPYQLGNLSSLRTLSISAWQPPTLRVDNLQWLAGLSNLEHLDMSRVNLSLASNWQEVINTIPSLVEIHFPSCQLDLISHHLGRNTFTSLQHLDLSFNHLNGSLPDELIHLNNLISLNLGWNHFEGSLDGIWNWSSLTSLDLSYNNFTTFLPSQLSTLTALISLDLATNHFRGSIPSSIANISKLQHLDLYDNNLSSSLPIPSNLGKLSSLEHWDVSNNKLTGTLPESFWQLSKLEDLRIHNNSMEGIVSESHLDNLSVLWYFDASGNSLTLKVSASWTPRAQFEVLRLGSWKLGPQFPTWIRSQKILSDLNLSFTGISDTIPPWIFNSSLGYIDFSHNQLHGKSSNISENLISNYLFSGGISHALYEVKNENQVLWYLDLGENSLSGEIPDCWMNYPHLERIDLNSNNFTGSIPRSLFLLEDLDYLGLGNNSLTGPIPFDFVKHE >CDP18520 pep supercontig:AUK_PRJEB4211_v1:scaffold_249:156553:161154:-1 gene:GSCOC_T00000057001 transcript:CDP18520 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGGSTHFSVFLLVAIILLCSSSETVNATCYASEKQALMDFKKDLKDPHGRLSSWIHDVDCCKWEGVVCSNRSGHVIQLHLQSPVREIDDFGDEEESPLSGKISHSLQNLTHLRYLDLSLNDFSGIPIPSFFGSLRSLRYLDLSGAGFQGMVPYQLGNLSSLRTLSITCSVYSTDLQVDNLQWLAGLSNLEHLDMGGVDLSLASNWLEVINTIPSLVEIHLFSCQLDLISHYNGGRDTFVSLFHANFSSLTVLDLSRNFLGYVIPRWVFGLPALASLDLSWNSDNYLNGPIPSTVGNCTKLEYLSLRDNALSGSIPSNLGKLSSLEHWDISCNELNGTLPESLGQLSKLEELTIHDNLMEGIVSESHFDNLTALRYFDASENSLTLRVSVSWTPRVQFETLELSSWKLGPRFPTWIRSQKILRDLNLSFAGISDTIPPWLFNSSLQFVDFSHNQLHGGISHILCEVKNEYHDLQYLDLRENSLSGEIPDCWMNYPNLTHINLNSNNFTGSIPRSLFHLEDLDHLGLGNNSLTGPITFDFLQHAKEKLAELHFYVHDRVTAESPTSVLIAQVSPQEPHNKSPTMFGPTYVFDDPMTLGPEPSSKIISHAHGITSSASKEEDASQIGIMNLAFNDGKFNGSALSILGDYPFFQKYKEMAIVGGSGAFRLARGIVTAIIYTYNDTTQNEIIDFHVLVLHY >CDP18522 pep supercontig:AUK_PRJEB4211_v1:scaffold_249:233856:235090:1 gene:GSCOC_T00000060001 transcript:CDP18522 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEPLLWIIFFLMNMGLIALNLYQIVSLSDLEADYLNPYESSSRINHVVIPEYLLHGAFSILFLLSGHWFFFLATLPAAYINLRK >CDP18521 pep supercontig:AUK_PRJEB4211_v1:scaffold_249:168083:169421:-1 gene:GSCOC_T00000058001 transcript:CDP18521 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPLESSAISIALSRSSLGLLCQKWRTSPKNQRKNYSGCNLRTNLSEVALDAEECCWRGINNMVEIRKNRKEESLRKKLLQNAFAVQSDTSSVEKNV >CDP18518 pep supercontig:AUK_PRJEB4211_v1:scaffold_249:33479:33877:-1 gene:GSCOC_T00000053001 transcript:CDP18518 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVVFKSLRSYPAFRVVTGPLQSRAFQPDFIPRDPKSKPVRHKYPAFFDPYSPRPLTLDKIIRLAEQIAALSLEERNLIGPTLRNRLRHPKIQPVLVEGMDLGPQGGSGAGYSKAEEKKVEKTAFEKTAF >CDP18524 pep supercontig:AUK_PRJEB4211_v1:scaffold_249:362090:366293:-1 gene:GSCOC_T00000066001 transcript:CDP18524 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGGSTHFSVFLLVAIILLCSSSKTVNATCYASEKQALMDFKKDLEDPYGRLLSWIHDVDCCKWEGVVCSNRSGRVIQLHLQRHDPEIADFGGGEILPLSGKISHSLQNLTHLRYLDLSLNDFSGIPIPSFFGSLRSLRYLNLSGAGFQGMVPYQLGNLSSLRTLSIGGNPSDLQVDNLQWLVGLSNLEHLDMSRMNLSTASNWLEVITRLKAHCPELIHLNNLISLNLQGNHLNGPIPSTVGNCTQLEHLSLNDNALSGSIPSNLGKLSSLVHLDVAGNKLTGTLPESLWQLPKLEVLYIADNLIEGVVSESYLDNLTTLTYFDASGNSLTLKVSASWTPRAQFVTLGLGSWKLGPQFPIWIRSQKILQYLNLSFAGISDTIPPWLFNSSLDTVYLSHNQIHGGISHILCEVKNENQVLQYLDLRENSLSGEIPDCWMNYPFMYHINLNSNNFTGSIPRSLFHLEYLDYLGLGNNSLTGPITFDFVNHE >CDP18517 pep supercontig:AUK_PRJEB4211_v1:scaffold_249:4764:5673:-1 gene:GSCOC_T00000049001 transcript:CDP18517 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVVFKSLRSYPALRVVTGPLQSRAFQPDFIPRDPKSKPVRHKYPAFYDPYGPRPPPSDKIIRLAEQIAALSPEERNVIGPTLRDRLRHPKIQPVLVEGMDLGPQGGSGVGSSKAEEKKVEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKDLVEKVPVLIKQGITKEEAYDIIEKIKAVGGVAVME >CDP18525 pep supercontig:AUK_PRJEB4211_v1:scaffold_249:405644:407342:-1 gene:GSCOC_T00000068001 transcript:CDP18525 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSIDGSEVYGRAADMEKVLDQILSQSSSQGRDGVQIISVVGAGGNPFDQKRVAKAILENAGKSSHEAELDPLIRRIKETFSGKRFLLVLDDVWTEEDSKWKPFKDSLKDGAPGSVILVTTRSHRVATVVGSTDTHDLGMISHSDCWLIMQKIALARKSGDLCKKVERIGQKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLEEAAVELFPHLYLSYNELSPELKRCFSYCAVWPKDHVINVEDLIRLWIAQGYVRPRRRGERLELVGREYFNNLAMRSFFQELENYGFECGECKILKDLNQLEILHVVIEGEVDFGSAELGKKVNMRKMYLLSRFEAHFIETPSCIETMEPPPNLENLELVNVQSSRLCHIASSARYHLSKIWIFDVATS >CDP21105 pep supercontig:AUK_PRJEB4211_v1:scaffold_2497:1418:1765:-1 gene:GSCOC_T00007284001 transcript:CDP21105 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLVCTGFNCVGHQFCLHFEPFHPRTAPVYMAFLRFMVSDEDAEKFCYSLEVGGKGRKLTWQGVPRSIRDSHITVRDGMDGLIIYRNMALYFSGGNMKELKLKVSGRLWRKDM >CDP21106 pep supercontig:AUK_PRJEB4211_v1:scaffold_2502:3:3136:1 gene:GSCOC_T00011759001 transcript:CDP21106 gene_biotype:protein_coding transcript_biotype:protein_coding KNIHVGPSCYSFRGCLVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPLEATIQELRVTIRVLPPQDCYLSMLRNNVRSRSWGAAIGCSYRVERCCVVKVRILTIFIFSYLLCFPFFICNTYYYVISTLEVALYESFVPVVL >CDP18530 pep supercontig:AUK_PRJEB4211_v1:scaffold_251:351061:353564:1 gene:GSCOC_T00002398001 transcript:CDP18530 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQEQDQTNQASHGSESSERNEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQIQASLSGEVQVQTRTAGATATAIPYETSCCAGFSNSMSFLPLSASASSASCLGGSSSACGIINNDCANDLFAISGQIGQPEIAQTSSSINPRSHYQSGLISVFINGVATELPRGPFDLRATFGQDFLLFHSSGVPVSVSEYGFLLESLQHGESYFLVQRPV >CDP18529 pep supercontig:AUK_PRJEB4211_v1:scaffold_251:20497:21825:-1 gene:GSCOC_T00002393001 transcript:CDP18529 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTSRSFLFLLLVLFLLHSLSKIQGLPQQNSISPIDLSALQQIENSLTDVPSSRAPPSARFFTSWDFSSHDPCSTFAGITCSSPFLNPRRVTSLILGTGLSGSLGLAGSLSPSISNLTELNQLVLNPGIVTGPIPAQLGSLRNLRVISLTNNRLTGSIPLSIYALPNLHTLDLSNNQLWGSIPPSISHLDQLKVLVLASNRLSGEIPNELPTQLLHLDLKNNFFSGTLPARMPLSIRYLSASGNSMWGPLNGLESLSELVYLDISMNRFSGTIPPSLFRSSLSSMLLQRNNLSGGVPQQKNTTTPLSSPSSSSSSLKLDFYGEGSIVDLSHNTLTGELPAGAFSGVETLFLNNNRFTGKVPKEYVESLYSGGTKTLYLQHNYLTDFPIISDLKLPDSVSVCLSYNCMVPPVGLTACPASAGEQLSRPAYQCSKFNNGGSTG >CDP21107 pep supercontig:AUK_PRJEB4211_v1:scaffold_2514:2974:3673:1 gene:GSCOC_T00012552001 transcript:CDP21107 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYWFFTAGYQTSYRGTLEPDQLAEMAIAVEQSGYRFLWSFSGILPESFLERTKNRGLVCGWAPQVEVLAHEAVGGFVSHCGWNSTLESLWHGVPIATWPLYAEQQICAFELVSELELAVDLNMDYRMENAENLVKAEEIEKAVRCLMDTENPTRKRVREMKEISRKAIQDEGSSFISLGRLIEDIYESFFIHL >CDP21108 pep supercontig:AUK_PRJEB4211_v1:scaffold_2518:2846:3298:1 gene:GSCOC_T00010416001 transcript:CDP21108 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQLHIQVSNNYMSQAKRKQFPTIHKMIPNANCTRYRPSNHVNKHNKPFLL >CDP21109 pep supercontig:AUK_PRJEB4211_v1:scaffold_2518:7091:7564:1 gene:GSCOC_T00010417001 transcript:CDP21109 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQLYIQVSNNYMSQAKQKQFPTIHKMIPNPNCTRYRPSNHVNKHNKPFLRLEPCGGE >CDP18532 pep supercontig:AUK_PRJEB4211_v1:scaffold_252:179394:182357:1 gene:GSCOC_T00007428001 transcript:CDP18532 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFEPYLYMKFGFRSLVIHSPAFFPRYLLLVSLFPTLSLSLAISFPPTPVSKMNVEKLMKMAGAVRTGGKGSMRRKKKAIHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKEDVVIQFINPKVQASIAANTWVVSGSPQTKKLQDILPQIIHQLGPDNLENLKKLAEQFQKQAPGTAGAGATTAQEEDDDEVPELVAGETFEAAAEEGHT >CDP18533 pep supercontig:AUK_PRJEB4211_v1:scaffold_252:189338:190393:-1 gene:GSCOC_T00007429001 transcript:CDP18533 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVTVADGGPQLYFCHQCNRTVTIIPSPTSDLICPTCHSGFVEEFENPNNAYPNPAYSPDPFFSSDPVGSFLQDLFVGPQRNPGGLFTGSRVSGRRSQYGAEDFDPFAYIVNHVLARRNAGEQFHFMFGDDHAGIEGFQFPGSIGDYFLGPGFEQLIQQLAENDPNRYGTPPASKTAVKGLPDVKVDEGMMKSELAQCAVCKDDFELGVLVKQMPCKHVYHKDCILPWLELHNSCPVCRYELPTDDPDYESRERSGSGDSNASSSGSGSSGGNSQGQRRVERVLTLPWSLLLGAFGSTGHTGGSDSSRQPQNRDSN >CDP18531 pep supercontig:AUK_PRJEB4211_v1:scaffold_252:159393:161373:-1 gene:GSCOC_T00007427001 transcript:CDP18531 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGAGDRGGFGRGFGRRETEEEKWVPVTKLGRLVKDGQIRSLEQIYLHSLPIKEYQIIDTLVGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCAKEVATAIRGAIILAKLSLIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFECLLKTYGFLTPDFWRETRFTKSPYQEFTDLLSAKPTAKIAYIEDSAPERVEA >CDP21111 pep supercontig:AUK_PRJEB4211_v1:scaffold_2520:12708:13103:-1 gene:GSCOC_T00007001001 transcript:CDP21111 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGKQPIQSLGRDDMVDLPRWVQSVVREEWTAEVFDVELMRFQNIEEEMVQMLQIAMTCVAKVPDMRPNMNEVVRMIEESGNLTRRISHLQKRTNPRTQLCKPPDSLFMFFAVSHLYFERILCSYNERKP >CDP21110 pep supercontig:AUK_PRJEB4211_v1:scaffold_2520:3337:9349:1 gene:GSCOC_T00007000001 transcript:CDP21110 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKAIMGSGRRWAVEFTDNSASPSSRDIPDPPGFTRSSHDQDDSSVSKQKKDSEANWKSQKAWEVAQAPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPISALQGVGKVFEPYKDNKVDLLGPKLVYIALNLVGLGLGVWKLNTLGLLPTHASDWVSSLPPAQEVEYSGGGFSLF >CDP21112 pep supercontig:AUK_PRJEB4211_v1:scaffold_2520:13971:14596:1 gene:GSCOC_T00007002001 transcript:CDP21112 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLQQLKQYDGSDPSKPIYVAVKGQVFDVTAGKSFYGPGGSYCMFAGKDASRALAKMSKNDEDVIGSLDGLSEKEIQTLDDWEKKFEAKYPVVGSLAD >CDP21113 pep supercontig:AUK_PRJEB4211_v1:scaffold_2530:10321:17929:-1 gene:GSCOC_T00012737001 transcript:CDP21113 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSNYNKLDSDAAKLSHLQLLSSIIPREERDRLSIRHSYQHSFSGFSAMLTEDEASILLAHSKVVSVFPDPVLKLHTTRSWDFLEQHCTIGVRHYFSSKKMTYSHPNASFQKLNRQIVVCGTYTPFFLWKNYFFFVYLHVYIWPELPSFSDRGVGKIPSRWKGECMEGPNFKKSNCNRKIIGARFYDDFDLSTNKPNKRQGSPRDAVAGAAVANASYYGLARGKARAGVPSARIAAYKACSTDGCSGSTILKAIEDALSDGVDIISISVGQSSVFQPDFLSDPIAIGAFHAAEKGVMVICSAGNEGPEPYTVVNSAPWLFTVAASTVDRDLQSIVIVGNKRSYQGTAINFSPLKYSSKAYPLAFGENVAAPFVSPSDAM >CDP21114 pep supercontig:AUK_PRJEB4211_v1:scaffold_2532:6869:10000:1 gene:GSCOC_T00004181001 transcript:CDP21114 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRADLSTKIFPEPVDHFDRLPDSLLLLVFNKIGDVKALGRCCLVSRRFHTLVPQVENVVVRVDCVISDDDPSSSSSSGNSPTSSSSSSAADKSRSFLRLFLSSLLKPIQSFTQFINPNKRPMSSISHDYAGNGDDDFDHSSVTHHSPTQVLKNFNEISFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGASSIIKNYKSSTCDDQISNCNNIVEPVRAHENNGNDNSNHPANTDHSNSNNSNSNESDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLDSLVLTDADGQGVLCMNKEQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGTVLKGATLVAIRPSEQQQLAPKKDVAAAADGSWVGAAFEEPYGTAARMLVKRRTYCLEMNSF >CDP21115 pep supercontig:AUK_PRJEB4211_v1:scaffold_2538:665:6877:-1 gene:GSCOC_T00007292001 transcript:CDP21115 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKDGTISGNLPANTAAFAVNYPAYPSSIARAVETLGGTEAIAKARSSESNKLELHFRPEDPYSHPAFGELHTCNNFLLRISKDRVSNAQDPELRKRVSSSSSADPVSLEQHESCSGSIETTAEAESQVVEERQEHLSADIVACIGEAYHFNGMVDYQHVIAVHADVARRKKRNWAEFVEKGGLMDVDQDDLMILVPPLFSLKDRPEKIVLKPCIHSSSKTKQEDMNQHHQEKLNFLDLGAFFLFLVHLTFKQIPKKVNWEKFIPEGSEQWVLQNTVAELFNERPIWVKDSLSEHLLDKGLEFGENMLKRWLLFRAAYYFSTGPFRRFWIRKGYDPRKDPESRM >CDP18553 pep supercontig:AUK_PRJEB4211_v1:scaffold_254:209808:213640:1 gene:GSCOC_T00012177001 transcript:CDP18553 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-like protein [Source:Projected from Arabidopsis thaliana (AT1G54390) UniProtKB/TrEMBL;Acc:F4HWW1] MAIARTGVFVDDYLEYANTLPAELQRLLNTIRELDERSQAMINQASQQTKLCLGMASHRNHDSYRDQDNEEAFEKMKKEIEATQENTLSLCTEKVLLARQAHDLIDSHIKRLDEDLNNFAEDLKQEGKLAADEPAILPTLPLVPKNEKRKPIYGTPQSKKLDYRDRDWDRERDRDFELMPPPGSGNKKDFTAPVDVDQPIDPNEPTYCVCNQVSFGDMIACDNENCQGGEWFHYSCVGLTPETRFRGKWYCPTCRQLPH >CDP21116 pep supercontig:AUK_PRJEB4211_v1:scaffold_2540:10005:13516:-1 gene:GSCOC_T00005998001 transcript:CDP21116 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELYAGAQSGNWVVMKRFSDYFYFQHTPAKDTVLHVLAQSSDSADVVQVILDRHGRLLMKLNKRGETALHLAARNGHSGVVRALIDYAKSGAGYWFPPCFDRCKWMLRMASKAGNTALHEAVRNNFYDIAKLLVQEDPEFRYPHNYAVETSLYLAVEKGRHNIMVLILESCKTPSYLGPGHKTALHAASIWNLPESMKLILEKLPNLIKNVDKFGWTALHYAAKFDHQEIARLLLSADRSTAYVAAKNDDSKTALHIAVIHGHVVLVQEILSHCPDCWVQITGKSRNILHLAVKHEKREVMEFVLQSSWASELINEKDNEGNTPLHLYVATENLDGNCLVNHPFVDVNSFDNSNSTPLDRIVRDDQLSDRKILIKDELEQAAGTRGYRNVATVKKISRASGPDEVKRVENLSKNYSIVATLIATVTFAAGFTVPGGYNSDGPDKGMAVLGKQADFITFVISDFLAMIAAMGAVLGHIRLVQTKNYRLKLAIVRVTERQISWAVLFMMMAFPSGLCAVLPNLPVTILLCVSIAWFFHDVYINLAIAWLNLEDRECTYTTRFNHSEADDVNLRALGLYKRWYQDLTEAED >CDP21117 pep supercontig:AUK_PRJEB4211_v1:scaffold_2541:14449:16846:1 gene:GSCOC_T00010166001 transcript:CDP21117 gene_biotype:protein_coding transcript_biotype:protein_coding METATSDAFGSSTAPLTWHDFLERMRHPSAAPFVKDIKSFIVSFMNNAPDGERDSAAVQEFLGNMETAFRAHTLWAGSSEEELESAGEGLEKYVMTKLFPRVFASLPEDVQADDQLYEKIALVQQFIQPENLDIKPTFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVISNLLLNASIAAKENPPGADEFLPVLIYVTIKVNLLFLFFSVSFV >CDP21118 pep supercontig:AUK_PRJEB4211_v1:scaffold_2547:13271:16466:-1 gene:GSCOC_T00001786001 transcript:CDP21118 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKQKRHRKAVRFYAACFGFREPFKVLCDGTFVHHLLLNKITPADTALANALGAPVKIFTTRCVLGELRSLGDSYAESLNAARNLLTARCDHEKRKSAVSCITDIIGENNSEHFFVATQDAELRKKFQKVPGVPLIYGLRNALFLEQPSAFQHQFVRSVEEDRSHMTDLEYKLLNVKKKNVAFEEAKDSSDANEDKNDGTFTVQAIQTNFRKKRDLKDTVQFKRKRAKGPNPLSCKKKKPRENTNNAASVKVGFISEFLTCILCL >CDP21119 pep supercontig:AUK_PRJEB4211_v1:scaffold_2549:1495:4236:1 gene:GSCOC_T00005960001 transcript:CDP21119 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEVIYSILVLSFLVVLVAIKHLQENKRTLKPPSPPALPILGHLHLLKAAPHRALQLLSIKYGPLISLRFGIRPILIVSSPSLAEECFSKTNDVIFANRPGSISGKILGYNNSTIGFSPYGDHWRNLRRVTTIHIFSSASLHNFSSIWIEEIRFSVRKLFSMNSDDIVWKDVNMNSVFLDLVFNVIMKMLAGKKWPSDKTADLFSLISFMGICDYVPVLRWIGFRGLEKNLSNLQQKRDKLFRDLIDQTRKKEGEGGSCSTEQRRTVIQALLSLQDAEPEFLTDETVKGIILIMFTAGVHTSALTMEWAMSLMLNHPQVLKKARSEIDNNVTQSGQLIEDSDLSKLPYLRCIIKETLRLFPAAPTLLPHYSSEDCTIGGFKVPKGTTLLVNAWAIHRDPKVWEEPAKFKPERFEGINEGACDEGFKFIPFGKGRRACPGAALGMRFISLTLGTMLQCFDWERVGPQLVDLEEKSGITLDKAKPLEALFRPRSSMIELVSQL >CDP21121 pep supercontig:AUK_PRJEB4211_v1:scaffold_2555:2863:4415:-1 gene:GSCOC_T00012727001 transcript:CDP21121 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAFIFGLLGNIVSFMVYLAPLPTFYSIYKKKSTEGFQSVPYVVGLFSAMLRIYYAFLKPDTTLRITINSVGCFFQTVYLCYYLFYAPRRARIQTAKLLALLVVMGFGSIILLTQLLSTGKTRARIVGWIGLVLSLCVFVAPLAIVRQVILTKSVEYMPFLPSFFLTLSAIMWFFYGFLRQDYNIAIPNILGFFFGILQMVLYLIYKNAKKAVEQKLPEIQNQVIVLEEHKLPELQEQVIEVVKLSALVRPEIVSVISAQLNNENGMVKKITEPSS >CDP21122 pep supercontig:AUK_PRJEB4211_v1:scaffold_2555:15230:15871:-1 gene:GSCOC_T00012729001 transcript:CDP21122 gene_biotype:protein_coding transcript_biotype:protein_coding LAKDSTRVRIVGWICLVFALLVFVAPLCIVIQVIRTKSAEYMPLPLSAFLAVNAVAWFFYGLLLKDFYIAIPNVLGFVFGVLQMVLYKIYSKSEKVPVVLRANNDGLQADKGLNVQMEPIKV >CDP21120 pep supercontig:AUK_PRJEB4211_v1:scaffold_2555:114:1411:-1 gene:GSCOC_T00012726001 transcript:CDP21120 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAPVPTFYQIYKKKSTEGFQSIPYVIALFSAMLWIYYAFLKPKTTFLITINSFGCFIETIYICLFLFYTTKKARVETVKLLVLLLACGFGLNVLLTHFLAKDSTRVRIVGWICLVFALLVFVAPLRIVIQVIRTKSAEYMPLPLSAFLTLNAVAWFFYGLLLKDFNIAIPNVLGFVFGVLQMVLYKIYSKSEKDNDLEKQRKVSQLADQIIIVEENKLSDITEQIIDIAKLGQLEKIPVVLRANNDGLEADKGLNVQMEPIK >CDP21123 pep supercontig:AUK_PRJEB4211_v1:scaffold_2556:4261:13683:-1 gene:GSCOC_T00009610001 transcript:CDP21123 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGHILLVLLVIFHVHGANAFAHGVSARARGLHKIDRGDAPLSPSFGIDVLNRSSFPKGFVFGVATSAYQVEGAWNIDGKGPSNWDIFTHKFPGKIANGSNGDVATDSYHRYKDDIKLLKEMNGDSYRFSIAWSRVIPEGKTSKGINEKGIQYYNKLIDELLANGLTPTVTIFHWDVPQALEEEYGGFLSPKIVKDYRDFANLCFERFGDRVKHWITFNEPWTFSTIGYESGAFAPGRCSAWKNNNCTGGNSGTEPYLVAHHQLLAHADVVKLYKTKYQAHQKGKIGITLVSDWFVPFSNSALDQRAAIRALDFMFGWFMNPLVYGDYPPSMRILVGNRLPKFRPQQSKKLIGSYDFLGLNYYTANYAVHLASPPNKVNVSYSTDGQLNVTASRNGQLIGVQAGSDWLHVYPKGLWDLLIYIKRKYKNPIIYITENGVDEKDNQTLTLKQALKDNFRIQYYYRHLQFLRKAISNGVRVKGYYGWSLIDNFEWSDAYSVRFGINYVDYKTLQRFRKLSSYWFERFLRK >CDP21124 pep supercontig:AUK_PRJEB4211_v1:scaffold_2566:15676:18086:1 gene:GSCOC_T00004719001 transcript:CDP21124 gene_biotype:protein_coding transcript_biotype:protein_coding MELQEVLHMNEGEGDTSYAKNASYNLALAKVKPFLEQCIRELLRANLPNINKCIKVADLGCASGPNTLLTVRDIVQSIDKVGQEEKNELERPTIQIFLNDLFQNDFNSVFKLLPSFYRKLEKENGRKIGSCLISAMPGSFYGRLFPEESMHFLHSCYSVHWLSQVPSGLVIELGIGANKGSIYSSKGCRPPVQKAYLDQFTKDFTTFLRIHSKELFSRGRMLLTCICKVDEFDEPNPLDLLDMAINDLIVEGLLEEEKLDSFNIPFFTPSAEEVKCIVEEEGSCEILYLETFKAHYDAAFSIDDDYPVTSHEQIKAEYVASLIRSVYEPILASHFGEAIMPDLFHRLAKHAAKVLHMGKGCYNNLIISLAKKPEKSDV >CDP21125 pep supercontig:AUK_PRJEB4211_v1:scaffold_2567:3:5834:1 gene:GSCOC_T00013647001 transcript:CDP21125 gene_biotype:protein_coding transcript_biotype:protein_coding LLVAGSETSSSTIEWTMSLLLNHPKELERARAELDRNIGQNRLVEEEDLPKLPYLQSIIYESQRLHPAAPILLPLESSSDCTIGNYTIPSKTILMVNAWAIHRDPQLWDDPESFKPERFLGLENDAYKHKFIPFGLGRRKCPGAGLANRVVGLTLGSLIQCFEWERISNELVDLSEGTGITMPKASPLEAICKPPTQCITIHQCFENQTGRLNWEPVMASVRFYTTIDFVRKSIKTTMEQNFFSNVSLLFFLLFSLTLSLLLFHKQRQRKTLPPSPPSLPIVGHLHLAKQPLHKILKHLSDKYGPIFSLRFGNQLVVVISSPATVEKCFKKNNIIFANRPQSLVY >CDP18606 pep supercontig:AUK_PRJEB4211_v1:scaffold_257:223381:227546:1 gene:GSCOC_T00000316001 transcript:CDP18606 gene_biotype:protein_coding transcript_biotype:protein_coding MECTGKITMQAILSVFKGTYWDGVETLVDVGGGTGATIAEIVKVYPHIKGINFDLPHVVATAPKYDGVSHVGGDMFDAIPSAQAVFMKWIMHDWCDEDCVKILKNCRRAIPEKTGKVFIVEVVLKPDGDGLFDSIGMILDLLMIAHSSGGKERTEPEWKKLLDKGGFPRYKITEIPACFSIIEAYPE >CDP18610 pep supercontig:AUK_PRJEB4211_v1:scaffold_257:357122:364537:-1 gene:GSCOC_T00000326001 transcript:CDP18610 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDDEKALEEQLELQLQEQRGSLNTLNDALALDTSNAELLAVQAELVQAISDVEEGLLHLKRARLLREADFVLQGPKGHVEEVKVESLDPNDVEVEPVVDQSYSVGSKCRFRHTDGRWYNGLILGLEGSNSAKISFLTPTSESMLMCKFFLQQRCRFGSSCRLSHGVDVPISSLKQYIPTVWEQSLAGSSIWALQDAKFGIWREAELESWDEMLNLGHVVFRDDGSSAKLGVGALSLSEFAEVSDEEDSDLGSEETDSSDSGEDNSRGLGFLETTTSQKGIQTETAIFAKWENHTRGIASKMMASMGYRDGMGLGASGQGIVDPIPVKVLPPKQSLDHAVENQENEKDKENQGKKRSRGGKRKREKKFAAACRAAKEQEESEPDVFSLINTQLAKHGETLNGGSTKKQQNMANDAGRKDDRSRLVAYEDEVKELRMRVEKLEEMVIRNKKEKVVYEAAMRKLTETRKLLAEAEAAHASASSAVISKEKEKRWLKF >CDP18607 pep supercontig:AUK_PRJEB4211_v1:scaffold_257:231969:232835:-1 gene:GSCOC_T00000319001 transcript:CDP18607 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNSPKCAIDHLLEAQQNGEINEDHLLYIVENMNFMVMETPLWTLEWGIAELVNHPQIQSKLRDEIDAVLGPGVQITEPDIDKLPYLQAVIKETLQFRVPVPFLVPHMNLNDAKLGGYDVPAESKILVNTWWLANNPENWKRPEEFRPQRFLEEESKVEANGNDFRYLPFGVGRRSCPGIVLAMPILSLTLGCLVQNFELLPPPGQSKIDTTEKSGQFSSHLLNHSTIVLKPRSFQI >CDP18608 pep supercontig:AUK_PRJEB4211_v1:scaffold_257:255933:262508:1 gene:GSCOC_T00000320001 transcript:CDP18608 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKQGESSSSSNLITNANSRASSRRTKAVDCLKCPHCAGPLSKEMETSQWTVPPLIRDSFSMIGSAVGGTASAFYGFNYVMPIVQRRIKGPMLLHFLVGAPPVIVFSSACAGLAGGAVPALAQLASSSYHAAFSSSSLSPSSSQDENMSKSRTSSTL >CDP18604 pep supercontig:AUK_PRJEB4211_v1:scaffold_257:154157:155275:1 gene:GSCOC_T00000313001 transcript:CDP18604 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRLTLDKVNAAINDMATCADANAQLIAAPWKKLNESTLVRALVSKSFAQKTGGKKSVKTFLETDVKRPTLKFDTTGKGILTLILRHLGRITEDRIGHHRVIILLRPQWISTIDHINYQFTI >CDP18605 pep supercontig:AUK_PRJEB4211_v1:scaffold_257:202336:203634:-1 gene:GSCOC_T00000315001 transcript:CDP18605 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVLTCDPANIHYILSKNFSNYPKGPEFRKIFDILGDGIFNADSQLWETHRRVTLSLMHHPSFLKVLEVTVWDKVEKGLLPVLQNCAKTGSQLDLQDIFQRFAFDSISKLVLDHDPGSLSLDLPNIPCEKAFNDLALVLLHRHILPERYWKLQKWLGIGKEKKLSKAWDAFDQFIYPRISLKQEKRSKMFDEERRFVGLTTLMEAYEETNNSIRDAKAFIRDNILNLMFAGRDTTSTALTWFFWLIATNHWAEEKILEEIANELDVVKQGQDSRLFNVQQSHKLVYLHAALCEALRLYPPVALEHKAPVQAVILPSGVRVEPNTKLILFFYSMGRMESLWGEDCLEFKPERWISERGRVRHEPSFKFPAFNAGPRTCLGKEMAFVQMKMVAASIIYNYHVRVVDGHPISPSDSIIIQMKQGLMVRLFQRNR >CDP18609 pep supercontig:AUK_PRJEB4211_v1:scaffold_257:264213:283730:-1 gene:GSCOC_T00000322001 transcript:CDP18609 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEEILQELLNVEVELHDVQEQLKELLDRQDELYQRQSELKALLESCESSSRRSPGKIDGSSDCTENWSGTFEWDSQADDIRFNVFGIPTYRANQREIINAVMTGRDVLVIMAAGGGKSLCYQLPAVLKNGVALVVSPLLSLIQDQVMGLAALGIPAFMLTSTTGKEDEKFIYKALEKGDGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKNLGILKTQFPDVPVIALTATATKKVQEDLMEMLHVSKCVKFVSTVNRPNLFYTVREKSSVGKVVIDEIAAYIQASYPNNESGIVYCFSRKECEQVAKELREKGISADYYHADMDVHAREKVHLRWSNGKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECVLYFRPGDVPRQSSMVFYENAGLQNLYDIVRYCLSKRECRRGAFFRHFAEPLRDCNGMCDNCAFASDVKELDVSAHAKMIVSLLQDLQENDQRVTMLQLADKLKVKQKGVDPELKRDELEQLVIQLILERVLKEEFQHTAYATNAYVTIGPLSKYVLHGKKIIKLEVSSGQKGNSSILKQSKRTKSGDLEFKLDKLRKELASINGGIFPHSVLSTQQISMLSVQQPKSVEQLEKVIGKLKTQKYGVRILEEIENFESNNQSNNILESQISRASKKMKSTKTPVIIESSGDEA >CDP18603 pep supercontig:AUK_PRJEB4211_v1:scaffold_257:38112:39230:-1 gene:GSCOC_T00000312001 transcript:CDP18603 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRLTLDKVNAAINDMATCADANAQLIAAPWKKLNESTLVRTLVSKSFAQKCYHRKTFLETDVKRPTLKFDTTGKGILTLSIYVLRHLGRITEDRIGHHRVIILLRPQWISTIDHINYQFTI >CDP21126 pep supercontig:AUK_PRJEB4211_v1:scaffold_2570:15238:17081:-1 gene:GSCOC_T00001797001 transcript:CDP21126 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTYMGRSRWDMINLLLPGHPCFIFLLLSLLIIPSLTGLTEAQSKLCRTSCGDIPINYPFGIDDGCGSPYYRHLFVCSTSGQLEFMTPSGRYPIRNITYSDPHILLTDPFMWNCQDGNNFRPTRPFSLDTSTHFTLSSQNDYLFFNCSENYVIMEPKPMFCERFPEQCDSACDSSSYLCRHLPECASALRSSSCCSYYPKATESLRLMLRHCSTYTSVYWRNLGVTPPFNQIPEYGIRVDFEIPVTMRCLQCQDTAKGGGICGFDTETQNFLCLCQKGNVTTFCKDRNNSQHSRVVAGTVTAVSVAGAIGIGAGIWFLRKVRTKAPVTHGVQSNENRLF >CDP21128 pep supercontig:AUK_PRJEB4211_v1:scaffold_2572:6780:12670:-1 gene:GSCOC_T00010168001 transcript:CDP21128 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAVTTTRRATIAKAAKTATPPPEPGPEPEPPAQLVVEMKRKKKKGRPSLLDLQKRQQQQQQQQQKTLTPPPAPPPPNHNSTPTRRSTRRNPTNYNSPSSEFFNNDDDDDDDERQQKKVKLVVRLPQSTQQHFHNSASAADSGADDPDDHQASPRKRMINAVDRRSNDVVFDQGEKALKATDTVHGSSLDIGPTTPLPDKKMLVFVLDRLQKKDTYGAFSEPADPNELPDYHEIIEHPMDFWTLRKKLDAGSYSNLEELEADVMLLCSNAMQYNAPDTIYFRQARSIRELAKRDFENLRNEGDNGEPQPKVIRRGRPPSKNLKKPLESSPVDRVGHELSSGATLASAEDKAIGSNGYNLRKGPAFYRFRSNDAFGTSYRSRNGENYSDWLVDWNSEFPASILRADMKYGKKHFSAVDENRRDTYKQFHPSTSSSNESYLWNSFGDMKRLLPVGLHLEPQAYARSLARYAANLGPVAWNVASRKLKTALPDGLAFGPGWVEENDAPQQLLSAEKLKQANGLVHDRDPSRPVTPSSSGLSTAVAHRPSPEMAEAVRRLNCQNELAEQAENRGTSQRGNLLGQASDSHVPSEVGMTARCLQAQHRQPLAVPPDLNVRVQASASPSSSLQIGSPQQPDLALQL >CDP21129 pep supercontig:AUK_PRJEB4211_v1:scaffold_2572:15227:17000:1 gene:GSCOC_T00010169001 transcript:CDP21129 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKADSRNPDSKLSVKKRKQKREKKAKDPNKPKRPPSAFFVFMEEFRKQFKEENPNNKLVAVVGKAAGDKWKSFTDEEKAPYVARAEKRKQDYERQMQAYNRRLEGGHGEEGSDKSKSEVNDDDEEGSGEEEEDDE >CDP21127 pep supercontig:AUK_PRJEB4211_v1:scaffold_2572:3395:5413:-1 gene:GSCOC_T00010167001 transcript:CDP21127 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLRATFLYVVFSIFFCAFLSHHYLHRDPAAVVSLPNITTATALNFDVVDDQNDDHPRRPPPTKSPPVPPITTTTITSTAIINHAIHENLSPAHHPKIPPQPSPLPLLLLSTVSLLLPDWEVLVIVSPEHTPTLMTHPRGYRHDDGQYLCSFPNGDISPATPAGVLHFPDRATFKCVLPERSRRRLPFPRPVLTKSRAPPPQRSSPAPEMLRWTYVAYDSLTTEDDVVVFAKGLNHRQGINREPSEFKCVFYRGDDVANGVRTAVTNSMQEVFRCQRPSELNASPPPAGEDPERIKVTLETVLDKRVLPSVAYYNPPRKLAAKSSGRALLCACTMVYNVAKFLKEWVVYHSKIGVQRFLLYDNGSDDNLKDVVEELVRQGYDVNTYFWYWPKAQEAGFSHSAVYAKDSCTWTAYIDVDEFIYSPSWFNSSSPSSSMLHSLLTSILTSNAEELASLSLGQVHIGCHEFGPSNRTEHPAEGVMQGYNCRKQLENRHKSIVLLDAVDNSLLNVIHHFSLKPGYCARKLSIREALVNHYKFQAWPEFKTKFRRRVSAYVVDWTQQVNPGSNDRTPGLGFSAVEPQGWPGKFCEVLDNGLKDLTRKWFALEVASSSSEVHNNYKMAWQR >CDP21130 pep supercontig:AUK_PRJEB4211_v1:scaffold_2576:12781:13884:-1 gene:GSCOC_T00008704001 transcript:CDP21130 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGYLNVGEALEAKNLFDEMTNRNLASWNAMISGFVRFGDLRSARELFNEMPEKNAISYTTMINGYVKAGDIVSARMLFEQSDEKDIVSWSALISGYAQNGQPSEAIQMFYRMQCRSVKPDEFTMVSLMSACSQLGYLELAKIIDSYMHQSSFDLKRVQVAAALIDMNAKCGNMDKASTLFEQMPTHDVISYCSMVQGLSIHGSGPQAVALFERMLKEGLVPDTTSFTVILTACSHAGLIKEGCHYFDSMVNEFSLEPSPDQYACLIDLLGRSGKLKDAYNILKSMPVKPHAGAWACRLIELEPLNAGNYVLLSDIYAAGNKWLDVSLLRLKIREKGLKKIPGCSWI >CDP21132 pep supercontig:AUK_PRJEB4211_v1:scaffold_2579:11632:18007:-1 gene:GSCOC_T00009182001 transcript:CDP21132 gene_biotype:protein_coding transcript_biotype:protein_coding MDFENYYEITRVAGFIHAHNFSRVALQFPDELLKDSRKVVSALRHLLQNSDESHGTAKLYVMADTTYGSCCVDEVGAAHVKADCVIHYGHTCLSPTTTIPAFCVFGKAPLKASNCAESLYNYTVKGGKPILVLFGLEYAHAMPDIKEALNVEAVRFHDSNSGFQIHFANVTNSVITPSTSMKLENRHLMLNGDQDNNEDSSQKTDTKFRLGGLGWSLPEGHRMEDYLLFWIGLDNSAFTNFILTFNSCEIVRYDAIEGCLKTDVSQQAKILKRRYYLVEKAKDANMVGILVGTLGVAGYLDMIHQMTELVTRAGKKAYTFLMGKPNPAKLANFPECDVFIYVSCAQTALLDSKEFLAPIITPFEALIAFNRGSQWTGAYSMEFQDVVASQPAEVEDEAESRFSFFQGGYVEDFKLQEGKEEKDAVLSLVNVTEKALLLQNKDSELLSRRDAKSGAEFFAARSYQGLEIHSSDSFPEPFLIGRAGKASGYEDEQSK >CDP21131 pep supercontig:AUK_PRJEB4211_v1:scaffold_2579:5940:8252:-1 gene:GSCOC_T00009181001 transcript:CDP21131 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLPSISSVSRLPSCFSKIKNLAATGRWQEVLSCHRELREAGVQLTDPSVFPPILKACSAISFDYGKSIHASLLKQGLDSFTSLGNSIIDFYVKSGTLGCANDVFDCMRNRDSVSWNIIIHGHLDQCAFEQGLGLFFQAKITGFKPNISTLVLVICACRERQLFDDGQILHGYIIRSGFLAISSVQNSLLCMYADIRMEFARKLFNEMHHRDVISWSVIIGWYVQNSEARVALELFRQMVSEFHIEVDGPITVTILKACTNLQNIEMGNLVHGFAISRGLKCDLFVGNSLVDFYSKCDDVESAFKAFSEMSQKNVVSWNSLLSGYVQSEKHSEALLLFDSMRRAGVEADEVTLVNLLQVCKYLMLPYQCKLIHSKVLRQGYESNELVKNSLIDTYAKCNCISLAWKQFSQMKHRDAVTWSTMIAAFTYWDMPGEAIALFREMKLIEENLNTVTMLNLIEACSLFADLNISKSAHGIAIRHGLAFEVAVGTAVLDMYSKCGAIQASRKAFEYMPQKNIVSWGAMIAAYGMNGLPRDALALHAKMESQGLKPNLVTSLSLLSACSHGGLVDEGLSVFENVIQEYGVEIGVEHYSCLVDLLARSGKFDSAMDFINKIPCTVKPSASAWSAILSGCRNSGNREVGAGALAHILELEPSSSVGYLLASNMYASGGLWSDAANMRLLGKRSGAKVLAGYSLVHVNNRAYRFVAGDKHDPLSDELCIFIEQLHSWMKIENTDHDNILGTKRKEVKSCSSSFRLQQQLEQFVDAGT >CDP21133 pep supercontig:AUK_PRJEB4211_v1:scaffold_2586:1746:4472:-1 gene:GSCOC_T00002360001 transcript:CDP21133 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTSGLQQLQLKTCQLFGTTDLHHFDNILPKKRASLKPLVIEAKANAKTDSAKTRNIRLRKKFNGTATKPRLSVFCSDKQLYAMVVDDQNKRCLFYGSTLQKSIRQDPSCTTIEAAQRVGEELIKACINLDINEISSYDRNGLARGERMRAFEIAISCHGFWPR >CDP21134 pep supercontig:AUK_PRJEB4211_v1:scaffold_2586:11013:11455:1 gene:GSCOC_T00002361001 transcript:CDP21134 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIQVALQAVVSLAADHVNLAREFPEELERLDKSAAMIRGFLAGADEDKHSSEVKIWLKQLEEEVFKADNVLDELNYENLRRKGDQHEPSKDPSGCRRFGTGLQAPS >CDP21135 pep supercontig:AUK_PRJEB4211_v1:scaffold_2586:11619:14900:1 gene:GSCOC_T00002362001 transcript:CDP21135 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKAVYKNEQIVGHFDKKIWVCEERLKGIVGSTLAGLNAKKGSWCLVTTRLQEVAIILSRHPQINFTRHELRRLCNDDCWSIIKKWAAVGEEVPKELEGMRRQVLRRCDGLPLAAKLIGGLLSKKRKDEWQSILEESLLNGDQGGIKQIVKVSFDHLSPAPVKKCFAYCSIFDQDTELESDLLVELWMAEGFLQPDSQNQMMEGIGYEYLRTLLQTSLLEEVKEEWRTWYKMHDLVHDFAKSILNHNSSNQDPDVKELPTSIGKLIHLHLLDISGSWIRTLPESLCKLYSLQTLRIGMLVDGFPKKMSNLISMRHLHYDDDDDDDDTGREIQMPSGIGRWTCLQTLTFFNIGRHEEGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELANLSKKPNLYRLIFEWGNRDRESNKCDEDVLEGLQPHPNLTELQILKFMGDQFPQWFMNLTSLVELRVADCTRCGKLPALGQLPFLKRLYLTRLENTTCIGLSFYTLKILSLKSMKNLEEWKDAHEMRATAGEVHVFPVLETLSISDCPQLTTIPTPSHFPSLDVLKIKKNCHVLLAEKVLSNIANLSSLDLKESSLSIDGCNSLPTDMLERLCLFPTLQHVKLWAADNVTTLRGMSCAACLKRLTVTFCDNLRELPEDLYQFQALEHLEIQRCPSIDSFGYPNPKNSFGQKSLLKSLERFTVGGCDALTRLPVEMFESCTSLRELNLLNCRSLVSFPLDLRRTPSLESFLLFDCPNLIAEMPSGFGYLTSLRKLILFNCQKLEYLPSMAAMERLKLRRLEIEGCPLLERRCTPQSGSEWPKISNIPERRIPEVRSHLKILINSFSLLDHLILPVFVPHSFSPEISIPLLLLAKITLSFNL >CDP21136 pep supercontig:AUK_PRJEB4211_v1:scaffold_2589:15279:17239:1 gene:GSCOC_T00004772001 transcript:CDP21136 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEDTKLADQECQELLKYGLIEVSDSQWACQAFYVNKRLKQVRGKMRLVINYQPLNIFLLDDKFPIPNWFTLFSQIAKAKWFSKFDLKSGFWQLGIYPEDRHKTGFLRNGIKKFSFFLISQHFLIEMDMGSFPKMLHFKQKTIPHPQLLRWAAWFSQYSFDVKHIKGKANIVADFFSRKEPLPQQVLLPKALTCFMFTPIQDHPPDIYEVPYPWEKENSERIRNQYELELFRSYGGSILSPFGTNPEYPFCQIFIASPTEFSKELLWYFWCLCHQYHILMEFQSPFVNRPLVQNLQKFLQWFKPLSFWKDLFNTNSNHILIHFHRPCHLINNQIQALSNAVIYRELSHTILDQEVEYGEAQRYIFQENRCIPPEIWPGPYGSWNYQNTCDDPTAPRGVPKGLADRLPGSRQNSKTKSK >CDP18619 pep supercontig:AUK_PRJEB4211_v1:scaffold_259:333609:337295:1 gene:GSCOC_T00010372001 transcript:CDP18619 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIMGSFKIISTFLLVLLLFPSFQPKCGASASAEEAAALLKWKASFQNQNNSFLTSWNLQSINTKKSSVLPCTWAGISCIDGSVNRLNLSDWSIEGSLYDFPFSSLPNLEYLDLSQNQIFGSIPKQIGNLSKLSYLNFYANELSQEIPPEICNLTNLTHLDFGSNQLSGPIPVAMGNLISLQFLSLCQNNLTGAIPKSLGNLTNLIILYLYKNQISGSISKEIGDLKFLTHMELGENQLNGSIPVSIGNLRNLKVLSLRNNQFSGSIPATFGYLNRLMDLGLYQNHLSGPIPPAIGNLISLQFLYLYQNNLTGAIPNSLGNLTNLIELDISENQLNGSIPASIGNLSTLKLMYLHNNQFFGLIPATFGSLNRLVNLSLGQNHLSSPIPPMIGNLISLQFLYLYQNNLTGAITKSLDNLANLIELDLSFNQLSSSIPISIGNLSNLEFLSLGQNQCFGTIPQELGNLNKLVDLRLFSNQFSGPLPELLCQSGILQNITVDENMLTGPIPKSLQNCSSLFRARFDGNHFQGNISEMFGIYPVLDFIDLSNNQFYGKLSSNWGKCKMLKALIVAKNNITGGIPPEIGNLTQLHKLDLSSNYLSGEIPTGVGKLASMIKLDLHGNQLTGGIPQELGMLMEFLDLSTNSLNGTLPELLGDLKDLFHMNLSNNVLSQKIPLQIGKLTQLSELDLSQNLFTGEIPSEFQNLQSLGTLDLSQNNLSGLIPKVLAELPGLLHINLSFNNLDGPIPSGRAFVNLTLEEVKGNKGLCGTITGLRACESSQLIKKHVKDKRKEFVLITALPLLGSFILLGAFFGVLRLSGRRKQNSGVQDTEVNKGGLFAICAYEGKALYKEIVRSTEEFSQTYCIGKGGCGSVYKAQLPSGEVVAVKRLHNIPNVAKDRSFLNEIRALTEIKHRNIVKLFGFCSNAQHSILVYEYLERGSLAKILSIEEEAKELDWQERLNIIKGVAHALSYMHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTAKFLRRDSSNWSSLAGTYGYVAPEFAYTMKVNEKCDVYSFGVLTMEVIKGKHPGDLIANLMSSKLEEIELKVLLDKRLLYPNRQIEESLLSILKLARECLHVDPQCRPTMLIISRLISTC >CDP18615 pep supercontig:AUK_PRJEB4211_v1:scaffold_259:45096:47971:1 gene:GSCOC_T00010365001 transcript:CDP18615 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVRPCTWAGISCIDGSVNRLNLSDWSIKGSLYDFPFSSLPNLEYLDLSFNQIFGSIPKQIGNLSKLIYLDVWANELSGKIPPEICNLRNLSHLDFASNQLSGPIPVAMGNLISLQFLYLCQNNLTGVIPKSLGNLTNLIILYLYENQLSGSNFKEVADLKFLTDMELSNNQLNGSIPASIGNLSTLKVLYLYNNQFSGSIPATFGNLNKLVNLSLQQNHLSGPIPPAIGNLISLQHLYLYQNNFTECEAHVSDFGTSKFLRRDSSNWSSLAGTYGYVAPEFAYTMKVNEKCAVYSFGVLTMEVIKGKHPGDLIANLMSSKLEEIELKDLLNQRLLYPNQQIEKSLISVLKLARECLHVDPQCRPTMLIISRLITTC >CDP18620 pep supercontig:AUK_PRJEB4211_v1:scaffold_259:357221:360849:1 gene:GSCOC_T00010374001 transcript:CDP18620 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFKIISIFILVVIFFPSFHPKCGASASAEEATALLKWKDSFLNQNNSFLTSWNLQSGNAKNSSVLPCTWAGISCIDGGVNRLNLSDWSIKGSLYDFPFSSLPNLEYLDLSFNQIFGSIPKQIGNLSKLIYLGFGANELSGKIPPEICNLRNLTHLDFATNQLSGPIPVAMGNLISLQFLSLYQNNFTGAIPKSLGNLTNLIKLYLYENQLSGSISKEIGDLKFLTNMELAYNQLSGSIPASIGNLSNLEVLYLYNNHFSGSIPVTFGNLNRLVNLSLSQNHLYGPIPPVIGNLSSLQLLYLYQNNFTGAIPKSLGNLTNLIDLELSDNQLNGSIPASIGNLSNLEVLYLYNNQFSGSIPATFGNLNRLVDLGLYQNHLSSPIPPVIGNLSSLQFLYLYQNNLTSAIPKSLGNLTNLIELALSNNQLNGSIPASIGNLSDLELLALHENQFSGTIPPTFGNLNRLVYLYLSSNQLSGSIPTSIGSLSDLERLALQYNQFSGSIPQELGNLNKLVFLSLFCNQFSGPLPELLCQSGILQNITVGENMLTGPIPKSLQNCSSLVRARFDGNRFQGNISEMFGVYPVLDFIDLSNNKFYGKLSSNWGKCKMLKTLIVARNNITGGIPPEIGNLTQLHALNLSSNYLSGEIPGEVGKLASMLKLDLHDNQLTGGMPQELGVSMEFLDLSTNSLNGTLPELLGDLKHLFHMNLSNNVLSQKIPLQIGRLTQLSELDLSQNLFTGEIPSEFQNLQSLGTLDLSQNNLSGLIPKALAELPGLLHINLSFNNLEGPIPSGRAFVNLTLEEVKGNKGLCGNITGLRACESSPLIKKHVKDKSKELVLIIVLPLLGSFTLLGALFGVLRLRDQRKQNSGVEDTEVNKGGLFAICAYEGKALYKEILRSTEEFSETYCIGKGGCGSVYKAQLPSSEVVAVKRLHNIPNVAKDRSFLNEIRALTEIKHRNIVKLFGFCSNAQHSILVYEYLERGSLAKILSIEEEAKELDWQKRLNIIKGVAHALSYMHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTSKFLKRDSSNWSSLAGTYGYVAPEFAYTMKVNERCDVYSFGVLTVEVIKGKHPGDLIANLMSSKLEEIELKGLLDQRLLYPNQQIEKCLISILKLARECLHVDPQCRPTMLTISRLISTC >CDP18618 pep supercontig:AUK_PRJEB4211_v1:scaffold_259:241405:242085:-1 gene:GSCOC_T00010369001 transcript:CDP18618 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLLFFISLAIVLAITPQVAARELAEASTSVDNSKALVTPDRGDPSGRVSGVGPGGGWHRDGGYGGYPGQAVDAEP >CDP18616 pep supercontig:AUK_PRJEB4211_v1:scaffold_259:51944:55201:1 gene:GSCOC_T00010366001 transcript:CDP18616 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVDDDTKETVTFRAVSRDEEGRKRAEKAEVDSYNVDTLKYIGKKLIDKGVQRQDRRPIDGIPLGRQHKSGHGGKRTWEGPSPCYLSPMAPPAIDENDPNYVDEEAEDRILRGEVSGVAGLVVGELELPKLAEEGVARIDVDPQLQTNI >CDP18613 pep supercontig:AUK_PRJEB4211_v1:scaffold_259:1176:5053:1 gene:GSCOC_T00010360001 transcript:CDP18613 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKIISIFVLVVIFFPSFHPKCGASASAEEAAALLKWKASFLNQNNSFLTSWNLQSGNAKNSSVLPCTWAGISCIDGSVNRLNLSDWSIKGSLYDFPFSSLPNLEYLDLSFNQIFGSIPKQIGNLSKLIYLDFWANELSGKIPPEICNLRNLTHLDFESNQLSGPIPVVMGNLISLQFLYLCQNNLTGAIPKSLGNLTNLIILYLYENQLSGSIFKEVGDLKFLTDMELGENQLNGSIPISIGNLSSLQFLYLYQNNLTGAIPKSLGNLTKLIELDLSDNQLNGSIPTSIGNLSTLKVLHLGSNQFSGSIPATFGNLNRLVELDLAFNQLSGSIPVSIGNLSNLELLSLGQNQCFGTIPQELGNLNKLNMLTGPIPKSLQNCSSLVRARFDGNHFQGNLSEMFGIYPVLDFIDLSNNQFYGKLSSNWGKCKMLKTLVVAKNNITGSIPLEIGNLTQLHTLNLSSNYISGEIPREVGKLTSLLKLDLHDNQLTGGIPQELGVLMEFLDLSTNSLNGTLPELLGDLKHLFHMNLSNNVLSQKIPLQIGKLTQLSELDLSQNLLTGEIPSEFQNLQNLGTLDLSQNNLSGLIPKALAELPGLLHINLSFNNLEGPIPSGRAFVNLTLEEVNGNKGLCGNITGLRACESSPLIKKHVKDKRKELVLIIVLPLLGSFTLLGALFGVLKLHDRRKQNSGEEDIEVNKGGLFAICAYDGKALYKEIVRSTEVFSETYCIGKGGCGSVYKAQLPSGEVVAVKRLHNAPNVAKDKSFLNEIRALTEIKHRNIVKLFGFCSNGQHSILVYEYLERGSLAKILSIEEEAKELDWQKRLNIIKGVAHALSYMHHDCSPPIVHRDISSNNILLDPECEARVSDFGTSKFLRRDSSNWSSLAGTYGYVAPEFAYTMKVNEKCDVYSFGVLTMEVIKGKHPGDLIANLLSSKLEETELKDLLDQRLLYPNQQIEKNLISILKLARECLHADPQCRPTMLIISRLISTC >CDP18617 pep supercontig:AUK_PRJEB4211_v1:scaffold_259:234820:235539:1 gene:GSCOC_T00010367001 transcript:CDP18617 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGCRMHSTPICQAVLSDIRSAVEIHFRMRLGLLSFPQLYEWSGRPSSRVSFQIVRWSARPGCLTLNTDGCSKGNPGCSGGGGILRDASGGPILAFSAFFGIRSSLHAEALAMLTGLRLCAKLGFVQVDLQSDSQVLVGIIQRRFRCPWQIRTEIEQIWDLTAAVVSVCHCYREANRVADILANVGVSHPQQGCIMYDSTSIIPKLAKGEIRLDKLGMSSVRRIVREAEQPDRMGDIL >CDP18614 pep supercontig:AUK_PRJEB4211_v1:scaffold_259:26677:30151:1 gene:GSCOC_T00010363001 transcript:CDP18614 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFIIISTFLLVLLLFPSFHPKCGASASADEAAALLKWKASFQNQNNSFLTSWNLQSINAKKSSVLPCTWAGISCIDGKIFGSIPKQIGNLSKLSYLNFYANELSQEIPPEICNLTNLTHLDFGSNQLSGPIPVAMENLISLQFLSFNQFSGPLPELLCQSGILHNITVSENMLTGPIPKSLQNCSSLVRAHFNSNRFQGNLSEMFGIYPVLDFIDLSNNKFYGKLSSNWGKCKILTTLVVAKNNITGAIPPEIGNLTQLHKLDLSSNYLSGEIPREVGKLASMLKLDLHDNQLTGSIPQELGIGELTQLSELDLSRNFFTGEIPSEFQSLQSLGTLDLSQNNLSGSIPKALAELPGLLHINLSFNNLEGPIPSALYKEIVRSTEEFSETYCIGKGGCGSHSILVYEYLERGSLAKILSIEEEAKELDWQKRLNIIKGVAHALSYMHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTSKFLRRDSSNWSSLAGTYGYVAPEFAYTMKVNEKCDVYSFGVLTMEVIKGKHPGDLIANLMSSKLEEIELKDLLDQRLLYPNEQIEKSLISVLKLARECLHVEPQCRPTMLIISRLISAC >CDP21140 pep supercontig:AUK_PRJEB4211_v1:scaffold_2596:16056:17256:1 gene:GSCOC_T00003288001 transcript:CDP21140 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWWEIEELAKLMYILGNNFSTFSFTFTRKTTTRRTERKAIIHFPWTYFQGLISDLSHTYVFPRTYFQGLISNLSHTYVFPRTYFQGLISNSMPF >CDP21139 pep supercontig:AUK_PRJEB4211_v1:scaffold_2596:11820:14646:-1 gene:GSCOC_T00003287001 transcript:CDP21139 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTTTCVRLREISSCQNGEIAKIEEVLGEVIEICELGYGDTKIWTTTGEILSSYRGENLFGRDKG >CDP21141 pep supercontig:AUK_PRJEB4211_v1:scaffold_2599:5867:9712:1 gene:GSCOC_T00011617001 transcript:CDP21141 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVGATIRITLEKAVGIASDQIGMVYGFKKDLVKFKASVEMILAVLADAEEEQPKQQAVQLWLKRLEGLAYEADNALDELNYDVLRQQVETGKRNGNQLKGKVRSLFHSNSIGFRWKMGQKIRDLNKKLNIINEEANSFGLKSQLGGSGTKATLLPDIGRTVMVNRETDSVVFPNIVGREHDKFKIVQKISSETSNVIYVLPITGMGGLGKTTLAQSIFNDQQIERHFDLKIWVCVSEDFEVTRIFRLILESLTRRKVDVASRDVIVQEIRKEIEGKRYLLVLDDLWNEIPLLWTDFYRSLTGISTTRGNWCLVTTRQLQVATVVATYPPYSLGKLSDDDCWTIIKDKMIGSGEVTEELQAQEKEITKWCQGLPLAAIVIGGLLRMRRKEERLSIVNNGLLKFTGDDSSVMQILKLSFDHLPSPSIKKCFAYCSMFCKDFKVERRKLIQLWMAEGFLQSNLENEVMEEIGDKYFRVLVESSLLQEEISSDKRTFGTMHDLVHDLAQSISRCESIVLNSSGEITPRNFDVISSSLRSLFPRSSIPEDLVPKLKNLRVLDLSSANIVELPTSIGKLIHLRYLEFPYTLTKTLPESLCKLYNLQTLKFNCISPMDLPEQMSKLISLRHLCYYNNDRKVQMPLGMGRLTCLQTLDFFNVGEEKGRQIEELGCLRHLKGFLRIRNLELVKGKEAAERAKLFEKQNLSSLSLEWGGRWEDDGADINVLEGLQPHPHLQVLEVENFMSGQFPLWLMNPATSLEKLVWLRLVNCRRCTELPALGQLPFLQSLAMIGLEKVTVIGHSFYGLCNPSGSRSSRSSSQLSRKVFPHLKSLYLNNMNNLVVWMEAEAREGEVLDVFPMLETLKIQNCYKLNRAPNYFPCLRQLLKTPNKSHALVLKDILSKATTLSTLRIGGQAGLTCVSDVLAVHNSQNLKYLSLESCPHLLHLGDLHKLLSLEHLSVVRCPNLKTIDLIVPNDQQHLTSLRSLTIGQCNGLRSLPSEILELNTSLRELRLYECHNLVWFPVDLQQMPSLSVLWLSNCPKLTTMPQGFGGLTSLRELCIGPFSDTSMENYGYDWLALCSFFPVRKLTLQGWPRSESLPNQLQNLTALIELHLFGFGIEALPEWLGNLVNLEELEVVNCAKLRTLPSMAAMRRLTKLSDLLIKLCPLLEEICTPQSGPDSEWSKINHIPHIFVGQMTVQYLPCKILNLQITCNSFVGFPQKKSLLFAVSNLFPYFLLFYFFNFWIFFLLDMNISNFGLLVSELLHQFSM >CDP18622 pep supercontig:AUK_PRJEB4211_v1:scaffold_260:348747:350039:-1 gene:GSCOC_T00006172001 transcript:CDP18622 gene_biotype:protein_coding transcript_biotype:protein_coding MWIMIKGFPLVRNVSKLPQGSFRIPGLSHSTLAGSVLHGMKEARHYFVEVWLQTLAPGARTPIHRHSCEEAFLVLKGSGTLYLTTNMHPEFPGNPHEFRIFSNSTFLVPIDDVHQANSPLYSPLFFILFLFFWRRFTYDDWFMPHTAAKLLFPIFWDAVCYQTPLERDEL >CDP18621 pep supercontig:AUK_PRJEB4211_v1:scaffold_260:37328:48600:1 gene:GSCOC_T00006103001 transcript:CDP18621 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSKDDPIPDHKLCGYLRTVVTIPPPQNSQTLPPLNARCHISVDGPDGVHFVSNNGVVLSPVSSSLALAENGETSNGRTPAGSKKKWSRRIGMVRGSLSVVHQLHALVMKKCLTIAARVIGVSMRGDEVRVVLLVDVYLPIALWSGWQFPRSATTAAALFKHLSCDWKTRLLMLASSRHDLADDCGTWNLPDCHVLECKQQCSVAEASKRKLFELHEIFRSLPSVMKGGNPNFSKVNPANTASETGIWLVPDDILIKILTALRPIDLVRVAATCLHLRSLAAPIMPCMKLKLFPHQQAAVEWMLQRERHSEVLQHPLYLNFTTQDGFPFYVNTVSGKIVTGMVPAIKDFRGGMFCDEPGLGKTITALSLILKTQGRIADPPDGVQVIWCDDNSDQKRGYYEIKDQSTGSNTVSLNNRVTGHNPRRGQFCQDDLTPTKNSKMCTLKDGIVVLSDQQVDLKGSCPEKGIVSQKVTSGSLRTRTCSVHAARSCGKTKRNLLNEYEEASVFPTETVTRNSAAKRKQAPDDPRKSSLEHSAFSDLSSCKGKRPKMMYADHFQFNETWVQCDGCGKWRKLLDASAADTSRAWFCSMNSDPLYQNCGVPEETWNYESITYLPGFCTKGTPGGLKENVSFFTSVLREHHERLNYRTKKVLTWLAKLPPEKLSEMETGGLAHPVAELCITDDGNYQEYHHIFQAFGLVKSIEKHVTRWYYPRNLANLVFDLDALRVALCEPLGSLRIYVSRATLIVVPSNLVDHWKTQIEEHVRPGQLRVYAWTDHKKPSPHYLAWDFDVVITTFSRLSTEWSRRKRSALMQVHWLRVILDEGHTLGSSLSLTNKLQMAVFLRASSRWLLTGTPTPNTPNSQLSHLKPMLKFLHEEAYGLNQKSWEDGILRPFEAEMEDGRMRLLQLLHRCMISARKIDLKTIPPCIKKVAFLDFNDEHARSYNELVETVRRNILLADWNDPSHVESLLNPKQWKFRSVTIRNLRLSCCVAGHIRVTDAGQDIQEAMDVLKENGLDPVSEEFDLLKSNLLHGGNCMRCKEWCRLPVITPCRHLLCIDCVALDSERCTLSGCGNLYEMQSPETLARPENPNPMWPDDWHPDWQLTSSSKVSYLVSRLKMLLQANQAIDNSNDEKGVHLHFSLQRCSQQDVLELKGQLTRTIPEKVIVFSQFLEHIHVIEQQLTIAGIQFAGMYNPMPSASKMKSLATFQNDANCVALLMDGSAALGLDLSFVTHVYLMEPIWDKSVEEQVISRAHRMGATRPIYVETLAMNGTIEEQMLNFLQDADECKRLLKEEFGKHDGAGARVYRTLHDFAENNYLAQLNFVRRNSRT >CDP21143 pep supercontig:AUK_PRJEB4211_v1:scaffold_2600:11168:11809:-1 gene:GSCOC_T00011969001 transcript:CDP21143 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNFFQGTIPPNLASLKSIQQLDLSSNNLTGPIPKELEKLRYLRYLNLSYNDIEGEIPNTGIFSNASQISLIGNNKLCGGIPELEFPPCPVIKGKNRGKLKVIILLSIVLPATLLVLGTVLLYFLVYRKRERRLVAGFSSMPKRFNKLLRISYHEHHRATSGFSPENLIGSGNFGSVYKGRLEKHGNMLVAVKVLDLQKNGAFKSFKAECNT >CDP21142 pep supercontig:AUK_PRJEB4211_v1:scaffold_2600:10742:11071:-1 gene:GSCOC_T00011968001 transcript:CDP21142 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNLDLWLHPAETTDQATSSRSLNLSQKLNIAIDVASALQYLHNHYEAEIVHCDLKPSNILLENDLVAHVGDFGSARLLPKPINRSSEQGTSSIIAIKGTMRPRSPR >CDP21144 pep supercontig:AUK_PRJEB4211_v1:scaffold_2600:11871:17907:-1 gene:GSCOC_T00011970001 transcript:CDP21144 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNKISEYGMGLAASTQGDVYSYGILLLEMITGRRPTDDKFVGDLDLHNYVNGALHERVSERVDPLLFLEGDENRNMTPGGKNINGSILVTGLEVLDLSKNKVAGQVPANLGDLTNLQLLNLGVNFFGNNSSRDLDFIASLTNCSDLRILSLSGNKFGGNMPRVMANLSNQLTKLFLAWGEINYFGKLQNLQHLRFDTNQFSGQIVSTLCNTTALYYLDLSFNHFQERLGQQITREFKLPQIELYLKRNMNPNDSTRSAFFCQKFSAKFFLSQLTASLVSLFASLLPEAFLSKPRKLLLSLYSPSALCFSHPFFSAAPLVVNLSHSYLYSPPFFFCFSLSPPDLQPSLSPSPFSLNEITLKFGVYNNVLMNC >CDP21146 pep supercontig:AUK_PRJEB4211_v1:scaffold_2601:11222:12007:-1 gene:GSCOC_T00006027001 transcript:CDP21146 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEPSPNLNLEKRHKSDILVAGKDSHPTKAELGGGHQLLSWSLSLVVFIVQVSFILSSPLP >CDP21145 pep supercontig:AUK_PRJEB4211_v1:scaffold_2601:6897:10601:-1 gene:GSCOC_T00006026001 transcript:CDP21145 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAQIVYKDLSVEVSFPTRAWVSVSEEYDATRITKELLRQLGISFGEGDNLFSLQGKLQVGLTNKKFLLVLDDVWNSNYNDWDNLRTPFKGGSRGSKIIVTTRDQNVARMMAKERSIYHLGLISEEDCRPLFKKHAFENRDDNENADLEEIGNEIVKKCRGLPLAVKIVARILRSKTTPEEWKEILVSEEWTQMDHPNGPLPALRLSYIHLPSHLKRCFAFCAVIKNEGEKCFHELRMRSLFDQSNDHTFSMHDLVNDLAWFVFGKYCLRLEDHQEGNATRFCVRHFSYYPSVCDTFHKFNLLRGSKNIRTFLPLRMDQDLDRTCFLSNRFLEDTLPQFMSLRFLSLSCYENIVKLPNSYSGFKQLRFLNLSATGIKELPDWICSFYNLQTLLLSNCRQLEELPKNLGKLINLCCLDISGTPLKKMPPQMGRLINLQVLTDFVIGKDSGSTIKELGKLTMLRGELILSGLENVSAGMDASMANMERKEHLESLTLQWNGDANDSQVVRDVLDKLRPHPSIKHLNIIGYGGTTFPDWLGNSSLSSLESLSLSGCKYCHSLPTLGQLQSLQSLAISGMHCILDLAESFYGDVSATKPFPSLKNLTIWWLPEWESWHIPEGEVFNGLERLSIIGCPKLIGQLPQQLPSLQSLEISGCDNLLENLKELPLQPNQLSRLEELTVDACGSLSPSHVSRPPASLKSLEYKGSCNLELEISSGEGGGTLEGLILENCDTVKVKADGMTTTTTTTTTTSVMTSLQSLHVSHCDNLIIMSFPAPSLTRLIISNCKKFMPLPQRMESVLPSLRSLSVFNCPEIECFSEGGFPSTLQSLDIDNCKMLMSRRREWGLEKLTYLTHLTIRGPCDEVESFPEQDWLLPCTLQYLYLSSLRNLKVLNYSALQHLTSVQTLNIYSCPRLQSLPEEGLPASLTELHIRDCPLLKPSSRSAANSLTVMLVTCEDLAPKVTRPSSSYIHIIKDCLL >CDP21148 pep supercontig:AUK_PRJEB4211_v1:scaffold_2607:11277:12641:1 gene:GSCOC_T00008812001 transcript:CDP21148 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVVKDGACHFWYDNWLGNGALFLQATVIPNLSFSNFISNGHWDTSRLCQSLPSQMVTSILNHPVPEEGGEAEVIWMPTSSGNFSLASAFRDIRQARNTSMVFDRIWHPCLPLKVSFFMLRLLLGRLPIPDSLRNIGFHLPSKCFCCPLPSEESIEHLFSNGNIASTIWNYFGAACGFDLSASSLRLRIVGWWLKSYDSEIRRFIGRVLPCLVCWHIWKARNKAMFDDVQMRSIAICHAIFSEIQSMVGIYLKKPLRVPSFYHLYDWPNSSEVGFTYKLVRWETKESGRLTLNTDGCSKGNPGVGAGGGVLRESNGLPLIGFSAYFGETTCLLAEARALLIGLQISAHRGFLNLNVQSDSLLLIGILQHRIHCPWHIRRVIRQIWQIMEDPDRFSHCYREANTVADVLSNEGVSHPQQQLRIYETFNTFPPMARGAIRLDKLGMPSIRKIRLV >CDP21147 pep supercontig:AUK_PRJEB4211_v1:scaffold_2607:832:2312:1 gene:GSCOC_T00008810001 transcript:CDP21147 gene_biotype:protein_coding transcript_biotype:protein_coding DVLFLYQSVHLFFFFVVAITGFLLSQIGRVCTQKSEEIINSLGQFNNALWVGLKVLYEGVPTIGFLLEHNKEVEKALIIGAWKYDAGHSNRLLFENNQILLCFDLYRTKFK >CDP18627 pep supercontig:AUK_PRJEB4211_v1:scaffold_261:191051:196008:-1 gene:GSCOC_T00000534001 transcript:CDP18627 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFSGRRHRVGVVVPKKGSSLVSRDAIDKRDQNAEFCNRIGCNGRLKYGKSNQSNCAEKYKNSRPSCHSSNGKQITGSSSRTCFPITNGRKSRHDSDSYRKSSSNLDNDSAESCGVRYEPAVTEHIPLVSRNHTVHQPDLQDSSAGKVTLTEVGSLTTGSNNKSHRIIRHKPPSGCQKPLPSPSVTSVISTSNSIASGTRKYNGGWYGLRNLRCNSISDVIPQGGSASESKVKRDVLRKRSPEGQIGASSSGKRGNMACSEDGHVAASNTGISVTGSRHGRSWVSNIDSRTASLRTQRALNSNTRIGLSNIDNRNALLTSESSSSIAQLSRPETPNSANLHSLSHQSSIEGSSNASSSCRLSVRTGGNGTGIMSLMPTEHGITHAFRRHNLNGVAEVLLALERIGQDEELNYEQLLALENDLFLGHLSFYDQHRDMRLDIDNMSYEELLALEERMGTVSTALSEEAFAKCITKSMYQTATVDVGASGCSEDEADIKCSICQEEYAVGDEIGKLGCEHGYHVACIQQWLRLKNWCPICKASAATSQSSLSS >CDP18628 pep supercontig:AUK_PRJEB4211_v1:scaffold_261:197222:198278:1 gene:GSCOC_T00000535001 transcript:CDP18628 gene_biotype:protein_coding transcript_biotype:protein_coding MSINTHTNKSKSILHLRHRPILHLSFIIFLLFVVPKSVAPIPETTSLKLRLAGASEIALMSGQDPSAR >CDP18626 pep supercontig:AUK_PRJEB4211_v1:scaffold_261:181330:181659:1 gene:GSCOC_T00000531001 transcript:CDP18626 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRIHPSTALAEIVFWYCALFLALKLVLSCCESTEEEFEPCDEIHAVREGETLHTISGKCGDPYIFEQNPHIHDPDDVFPELVIKITPFTNM >CDP18631 pep supercontig:AUK_PRJEB4211_v1:scaffold_261:362687:370579:1 gene:GSCOC_T00000541001 transcript:CDP18631 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNFEFDFELNSEFGEFRILRIQYLFLFLFFSPCSKSLRSTGTAEQATLGPKSGACLVGLTTAPSTGSVILPSSWLASSIFPIPWFYIPNPIKDIKIEKSEKKTEVRKDKKSSSGEQGSKKLPPPHRNPPSSPSNHHRKSTCRRASQRKMGGKRKDFTTTTSVQGTEDGGEQKVKKKKEKLAVDGLLPSTIKNKEKRSAVHAKLKHQKRLEKRKRAKAREAAEQRALELGEEPPPKKIPRTIENTRELDETICKPDDEELFATNDADEFSVILKQDRPPKILITTCRFNSTRGPAFISDLLSVIPNAHYFKRGTYDLKKIVEYAKNKEFTSVIVVHTNRREPDALLIIALPDGPTAHFKLSKLLLRKDIKNHGNPTSHKPELVLNNFTTRLGHRIGRLIQSLFPQDPNFRGRRVVTFHNQRDFVFFRHHRYIFETKESKQAASSGEKSKDNNNEKSEQKVIARLQECGPRFTLKLISLQHGTFDSKGGEYEWVHKPEMDTSRRRFFL >CDP18624 pep supercontig:AUK_PRJEB4211_v1:scaffold_261:164838:165468:1 gene:GSCOC_T00000529001 transcript:CDP18624 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLYTGDNSGRGLARRSAGWGGGKRLELVWNAWGQVIGPNATQYLSQVGILVKDGNKLPLTYTDWRAMPEGSKERFWEDIKRNTNIDDTCKKVQMMRVSKLWRNWKSKVKRLYFTPYRRHRSWLLAHCPARVEEDQWPILVDYWSLEDVKACIYCHISFAFELNSNAIMILMCC >CDP18625 pep supercontig:AUK_PRJEB4211_v1:scaffold_261:176689:176886:1 gene:GSCOC_T00000530001 transcript:CDP18625 gene_biotype:protein_coding transcript_biotype:protein_coding MADVETNVAVRQPKKRTFEFSFKGVDLDAFLDMFTDELVKLFYACGHRRSFRGLKRKPVAFIKKL >CDP18629 pep supercontig:AUK_PRJEB4211_v1:scaffold_261:261842:264368:-1 gene:GSCOC_T00000537001 transcript:CDP18629 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWLFGYGSLVWNPGFEYEEKIIGFIKDYRRVFDLACIDHRGTPERPARTCTLEQIQGAVCWGAAYCFGGGPEKEKAAMEYLQRRECEYDQKTLVDFYKEGDTEEPALTGVIVFTSTPDPVSNKYYLGPAPLEDMARQIATAFGPCGNNRDYLFLLEKAMFDIGHEDDYVIELANEVRKVLGVLGICIPKEKRLLGPSHIPLKSLVSPINLRRLPEAVAMDS >CDP18630 pep supercontig:AUK_PRJEB4211_v1:scaffold_261:272102:274628:-1 gene:GSCOC_T00000538001 transcript:CDP18630 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWLFGYGSLVWNPGFEYEEKIIGFIKDYRRVFDLACIDHRGTPERPARTCTLEQIQGAVCWGAAYCFGGGPEKEKAAMEYLQRRECEYDQKTLVDFYKEGDTEEPALTGVIVFTSTPDPVSNKYYLGPAPLEDMARQIATAFGPCGNNRDYLFLLEKAMFDIGHEDDYVIELANEVRKVLGVLGICIPKEKRLLGPSHIPLKSLVSPINLRRLPEAVAMDS >CDP18623 pep supercontig:AUK_PRJEB4211_v1:scaffold_261:18507:19769:-1 gene:GSCOC_T00000525001 transcript:CDP18623 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVKEGLVGKEKRGISPSSKKSPSTSKEPSPNKRHDSTTSERSVPNYLKPTISSALDASRSHVKKHPPSESAQKATLARRRSFDKPLPPSHVQKTRISPNPRERNIRSSSFGVKTTTTSQKSPSDRLSKVPKSDGREHSLHPRPKNVKTSSITIKNQETQGGTFSVKPPTERPHGTVDTPVVVDFPQVAEHQEEDLGSTINEIDEDILNVGKENVSVTDEFLVLEDKILSNVTKYEPESYELQEFKMIESSSILKDQDANIGSRLEEPEDELQVGEITSNQSKILEHPHDKEEKNINHQDENVEEGPKVEAPKMEGENTKEDTIAAQTFDEKEESSDRSLVLDSQHETDSAKDVAKEVKKGPERETTKPEVIQGKNDSAPLSNNVIEVTASKLREQRKNKVKALAGAFETVISLQDNK >CDP21149 pep supercontig:AUK_PRJEB4211_v1:scaffold_2615:6692:7702:1 gene:GSCOC_T00002092001 transcript:CDP21149 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGRNGDANKLLQAQAHIWNHIFNFINSISLKCTIQLGIPDIIHKHGLNSLVDVGGGTGTLAKEIADAFLDLNCIVTDLPHVVDGLVANNKSLAFVGGDMFVAIPPADDVIMKASLTLFTNEECVQILGKCKEAIPSKENGGKLNFSVIR >CDP21152 pep supercontig:AUK_PRJEB4211_v1:scaffold_2620:16372:16569:-1 gene:GSCOC_T00003359001 transcript:CDP21152 gene_biotype:protein_coding transcript_biotype:protein_coding ILYKDDEPKLGTDGKVIVSLGRHDAVARFPFTTLIGLLPCLIISKDLFTGKTRKLMDLDPRIVRY >CDP21151 pep supercontig:AUK_PRJEB4211_v1:scaffold_2620:5268:8975:-1 gene:GSCOC_T00003358001 transcript:CDP21151 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVAVSIQIVLEKLVSATTDVIGVAFGGFKEELEKLKGSVAMIQAVMADAEKKQVQNQDVQLWLKRLEGVAFDADNLLDEINYEFLRRRTETQNRLKQKVKNLNMKLKSINQEAVGFGLSRSQMGGGAYVSPLPAMSRETDSIARQNVVGRATDASNIVGTLLSSSAKVVSVIPITGLGGLGKTTLAQLVYNVPEIDRQFDKKIWVCVSRNFEVTRLFKLILESLTKRKVDVESRESIVQEVRREIEGKRYFLVLDDVWNERSELWEDFIHSLVGISTTNGNWILVTTRNIEVATRVATHPPYSLSKLGDDDCWSIVKERVFPSGEVPKELEVLKSRIIKRCQGLPLAATVIGGLLRFKRKEEWLAILESGFARLGGEESSVMQLLKLSFDHLPSAPIKKCFAYCSIFGKGFVMSRQCLTLLWMAEGFLQPRFDNEVLEDIGKEHFDILLQSSLFEEAAMFGPFSHKGDRYCTMHDFVHDLAQSVLRSNTITDENCRYLFLPSFDEETQGNLKSRSSSLRTLLVNGTVDKFRMPKGMGQLTCLQTLQFFNIGKEEGCKIEEVGCLKNLRGQIVIRNLEFVNAKREAHQADLLSKPNLNDLAFEWGSGREGDYNDEDVLEGLLPHPNLQSLYVKNYMGSQFPRWLMNMSIAKLVKLVLVDCKMCEDAPALGRLPSLEFLALDGLDKLTCLGRSFYDMDDRRASRNNSSSEVSNTLFPALKSLVLSKMPNLREWMDAQAESRRESNEDVKVFPILEDLTIKKCYRLPVKKICSNATNLSAVWIKGMADLTHVQDVLNGLKLQSLILEKCPELVDIHGGGTSLRTLSILECENIRKLPKDLHQLQNLDSLVIGGCHNLETIPIPSGQKGLTSLKDLRIGYCNGLTSLPVEMLEARSSLLSLMVCKCPNLVSFPIDLRQMPSLVSLALFGCPKLTTIPKGLDQVSSLSILSLVGQPQSDSLPVQLQYLTSLTALGLHGFGVEVLPDWLANLASLEELRLDGCEKLQHLPSTAAMRRLTKLKFLGSFGCSLLEKNFTPGATSEWPKIFNISHIQFADKPIGGY >CDP21153 pep supercontig:AUK_PRJEB4211_v1:scaffold_2624:17077:17817:1 gene:GSCOC_T00002205001 transcript:CDP21153 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLIKQLSTLLSQESTLLGGLRPDVQFIKDELGSMKAFLRQAEAKEDDDSELQEWVKQVREVAYDTEDVLDDFAFRFAPADADGFFGRVGKIYKSTKNLKARHRISLEIKDIKARVVEISARHQRYQLLYGTQERGPSSSHVANTYCDIRDQALLIEEAKLVGIDQPKKELISKILDDHSRLKLVSVVGMGGLVKTTLAKKVYDDAAVKKQFQSHAWITVSQNFQFKVIIRNLIQQLYDEI >CDP21154 pep supercontig:AUK_PRJEB4211_v1:scaffold_2626:7478:8341:-1 gene:GSCOC_T00007945001 transcript:CDP21154 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGVYMLAFSVLSYYFHVCDPNGRWYLADSGFVLGDLLFLTGKALIHATAGLRPAVSHRSSGGRNFSTDQYTLPCRTSLVFRFMPQGNAIRDCSPIATAGHVIPQSYVPISVTQFMDAIIVLVMCQSL >CDP21155 pep supercontig:AUK_PRJEB4211_v1:scaffold_2626:8458:8844:-1 gene:GSCOC_T00007946001 transcript:CDP21155 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDRETFCNVCCFLHQVRKVDMLEEVTVIWSEKVKYELVLEGNDIELVSRSATLINQKCHVKNKDIRKFLDGIYVNEKGQIAEEE >CDP21156 pep supercontig:AUK_PRJEB4211_v1:scaffold_2627:118:822:-1 gene:GSCOC_T00012384001 transcript:CDP21156 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVSGERFVAIGITFMNIAGPGKHQAVAVRNNADLSTFYRCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNSAAVFQNCNLFARKPMPNQKNAVTAQGRTDPNQNTGISIQNCSVQAAPNLSLDLNSTSTYLGRPWKEYSRTVVMQSFIGDLINPQGWLEWNANMGLDKIYYGEYENYGPGANTSRRVRWPGYSHMNDLDALNFTMYNFAMGDTWLPYTNIPFSRGLHK >CDP21157 pep supercontig:AUK_PRJEB4211_v1:scaffold_2627:13836:14464:1 gene:GSCOC_T00012385001 transcript:CDP21157 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLTSQIKDIAIKASGTYKNCKPCSGSSNHHNNCGDDYADSEPGSVSGHYHFSYARAAAAAAARREMESRLKVFSSGETTPASVSGRTESVVFMEEDEPKEWVAQVEPGVLITN >CDP21158 pep supercontig:AUK_PRJEB4211_v1:scaffold_2631:10846:13575:1 gene:GSCOC_T00008820001 transcript:CDP21158 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAKDKATGQLVALKKTRLEMDEEGVPPTALREVSLLQMLSQSLYVVRLLCVEHIVDGNKKNGGSDSGNGKPLLYLVFEYLDTDLKKFIDSHRKGPNPRPLPPSLIQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLVDKDKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTAVDMWSVGCIFAEMVRRQALFPGDSEFQQLLHIFRLLGTPTEKQWPEVCSLRDWHVYPQWEPQNLARAVPSLGPDGVDLLSKMLKYDPAERISAKAALEHPFFDSLDKSQF >CDP21159 pep supercontig:AUK_PRJEB4211_v1:scaffold_2639:862:6199:1 gene:GSCOC_T00004660001 transcript:CDP21159 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIFFTDFLIAAADGAVIKDQIIRLLQQVMKTLQFENLVQFWGLVKIEDDMYLTTCDQPFALKNINDQDRKKLCEYRKLCLECLIPVDEDDDDDEIGPPGRVFRSGLPEYAKDVCHYTSVEYPQRDYAVYLPTTEYWALPIYHHSMRRLPVGVLEIVSSRVFTGIPRYGVLEKLQFFLPTYEEDEDEDEAEADYGDPTILLPSLMEILKECLGISFKIASGQELGQKLTVEVIKISSKDEYDSFEICNTTGIESTPRLGEVRGEGMMQLDFSSQQVDAANGSMNGIHEQQNGSVGSTPRLAKAQGGEGMTQVDFSPQQVDTTSAYMNGVHGQQSGISGSPPRTEHTQELTVGVDVVHNSLNGIYEQNNGIVESPHRQELPQNIENIAHDEGNMEIDVANPERGGASIEPSDREVINIKKQKSSYTLKSKLGITREDIEQNSWRSLKDAAKFLKGNT >CDP21160 pep supercontig:AUK_PRJEB4211_v1:scaffold_2639:10877:12215:1 gene:GSCOC_T00004661001 transcript:CDP21160 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLLDYNFLLHKWQKDPQTSLSPPFPTAGLGPCELSCSHLSDGKGQLRVYWSTCNDEVHCVQPPLAVCLQSPCPNKCIYVLEFFLPTKEEDDDDDDPRTLLPMLMKTLKRHLQSSFKIASGQELGQKLTVEVVKISSKDEYDSCEISNTTGIESTPRLVGVQGGGKMQLDFSSQQVHAANGSINGIHE >CDP21162 pep supercontig:AUK_PRJEB4211_v1:scaffold_2640:11399:17022:-1 gene:GSCOC_T00002590001 transcript:CDP21162 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHWGGSLEISTTDSATEDEKSRNMEWDRASVSYQHYQHLDETQQSWLLGPPERKKKKYVDLGCVVCSTKALKWTVYGILIAFFVIGLPVMIVKLVPKHKHHPSPPDNYTLALHKALLFFNAQKSGKLPKNNGIPWRGNSGLSDGSKLTDVKGGLVGGYYDAGDNIKFHFPMSFAMTMLSWSAIEYEQKYRAIGEYDHIRDLIKWGTDYLLLTFNSTATRIDKIYSQVGGARLNSTTPDDHYCWERPEDMDYTRDVQMANGAPDLGSEMAAALAAASIVFRDDNLYSRKLVQGATTAFNFARRFGGRVPYSRGNPVIEPFYNSTGFWDDYMWGAAWMYYATGNRSYISFATDPRLSKHAKAALMIPDLSVFSWDNKLPGAMLLLTRMRMFLNPGYPYEEMLGSYHNLTGLTMCSYLQTFHVFNWTKGGMIQLNHGRGQPLQYVANAAFLASVFADYMNATNVPGWYCGSSFMSQDVIREFAASQMDYILGKNPLNMSYVVGYGKKFPKHVHHRGASTPHNVKTSCTGGWKWRDSRNPNPNTITGAMVGGPDKFDKFQDVRKSYSYTEPTLAGNAGLVAALVSLTSTAGNGVDRNTIFSAVPPLYPATPPPPPPWRP >CDP21161 pep supercontig:AUK_PRJEB4211_v1:scaffold_2640:3843:11199:1 gene:GSCOC_T00002589001 transcript:CDP21161 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGIFLGLLLVHILVISVADTQKENLYIWPMPVSVSHGQHTLYLSNEFELKTDGSKYSDASGILRDGFSSILKIIKGDHVIEDAPRFGSYLVIKGIHVVILSPSDELQHGVNETYRLSVPVDGNPIYAHVEAQTVYGALHALQTFSQLCYFGFETRVIEVPQAPWTIFDQPRFSYRGLLIDTSRHFLPLPVIKKVIDSMTYAKLNVLHWHIVDTQSFPLEIPSYPRLYAQRRGIHVLAELDVPGHAESWGIGYPSIWPSENCRQPLDVSNDFTFKLIDAILSDFSKVFKYRFVHLGGDEVDTSCWTFTPHVSDWLKKRGLNESGAYQYFVLKAQQIALSHGYEIINWEETFNNFGSKLNPKTVVHNWLGGGVAQKVVAAGLRCIVSNQDKWYLDHLDATWEGFYSNEPLANITKPEEQALVIGGEVCTWGEHIDGSDIEQTIWPRAAAAAERLWTPYHNLAKNSSEVTARLANFRCLLNQRGVAAAPLAGPGRVAPLEPGSCYKQ >CDP21163 pep supercontig:AUK_PRJEB4211_v1:scaffold_2643:14234:15804:1 gene:GSCOC_T00010336001 transcript:CDP21163 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDKYPSEVSDSIFSVLQDLFNLPLEIKVQNTSQTPLFGYYAPNPNVPLYESTSIEDAINLEPVQEFTNQMWPSKNSHICELLHCYANQVAELDKMVSKLVFESYGVEKYHESHVGSVTYFLRLAKYRAPEQNETNLGVRPHTDKNFITILQQNEVDGLEVQLKNGSWIPVDFPPSSVVIMAGDAFSVWSNGRVHSPFHRVTMKGKGRYSIAQFSYCKKLVEAPTELVDDEHPLLYKPLDNFGFLRFLSKGERREIQNPLKAYCGI >CDP21164 pep supercontig:AUK_PRJEB4211_v1:scaffold_2645:10920:11294:1 gene:GSCOC_T00012040001 transcript:CDP21164 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYRDMLMMLARNKRVDEARSVWGDFKRGGGLFDQHTFGDLIRAFLDSGLPKEAMDIYEEMRLSPDPLLSLPYRVILKGLLPYPELREKIKDDFLELFPNMIVYDPPEDLFDDQQWEKDDVDG >CDP21165 pep supercontig:AUK_PRJEB4211_v1:scaffold_2645:12526:14401:-1 gene:GSCOC_T00012041001 transcript:CDP21165 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGKWIRNFLLGKREEKDKHKKRVNSVATEVSNLGSPTAILSVTKEKRRWSFKKSTTTEKDTRRNLSFDSISTPHLGKQALEEHEIEQIRFKAILVATSKQVNGTMPVVTTPVSQAIGPLKDAAAIKIQAAFRSYLARKALCALRGLVKLQALVRGHLVRKQTTAVLRSMHALMTIQVRARYGRVRMVEARAEIATKRSGHGESARNSTVRPFLDYFLTFPLDDHPSGSAFCRICSSTQILRSNLQDFLFSIELLYVSSDRKDVNAHETRPLDYSQAERKELGVITTYHSGRFPINIQEDQPDQTCCSPSTTVTETSSTNLSTTKQIKLQASTAPLSHENRGYMSNTASSKAKARSHSEPKQRPKKWRPVQKNKQSTSVQGVNVVPEVQEQCTPSPSEFNEQENQIPWFIKLYRSAKQVNGSHADHSSVANCNSNFEKTLNPFEACSRYLANTSRG >CDP21168 pep supercontig:AUK_PRJEB4211_v1:scaffold_2650:11079:12567:1 gene:GSCOC_T00005976001 transcript:CDP21168 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKPLVSFKLENSRFNKQYLKNHISLSTSPKLENLVLLESSFKVLKVLRRHFSTNVSERHSNFGSKLVT >CDP18678 pep supercontig:AUK_PRJEB4211_v1:scaffold_266:111629:113074:-1 gene:GSCOC_T00000303001 transcript:CDP18678 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIGVDDFTYPSVLNCLTSKKDVKIGQSVHCRVIKTGFQGYNLIGNALVDMYAKQGDLSYAFKVFNTILDRDVISWTSAVTGCAHNGSHEEALKLFCKMRNSGVEPDQVITSSILSSCAELALLEFGQQVHANFMKSGLQSSLLVDNSLVTMCANCGCLEEADRVFKSMANRNVITWTALIVGYAQNGKGKESLGLYDQMILSGIKPDFITFIGLLFACSHAGLVDQGCRYFESMINVHGIRPGPDHYACMVDLLGRAGKLLEAEKLLDEMAVEPGATVWKVFLAARRKHGNIDLAKKAASVLFKLTPKDAVPYVMLSNMYSKADKWEDAAAVRKLMKSKCISKEPGYSWLEMNGKVRRFMSEDRSHPKSDQIYLRTSEVMGLIKNAGYVPDVNFALHDINDEGKEQGLAYHSEKLAVAFGLLYVPQGAPIRIYKNLRVCGDCHAAMKLISKMFCRHIILRDSNCFHHFRDGTCSCGDYY >CDP18679 pep supercontig:AUK_PRJEB4211_v1:scaffold_266:177615:178340:-1 gene:GSCOC_T00000304001 transcript:CDP18679 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWVIDLTFAGTMTSIVSATVFTLQNSCSHTVWPGTLSSNGAAVLGDGGFALSPTATIQLSAPAGWSGRFWACTGCNFDDSGHGSCSTGDCGGLKCTGGGVPPVTLAEFTIANGDNAKDFYDVSLVDGYNVELGIRPSGGLGDCNYSGCVADLNQNCPEELQVTDSDKVVVACKSACLAFNTPQYCCTGDHSTPETCPPTDYSRKFKEACPAAYSYAYDDASSTFTCAGSDYVITFCPTG >CDP18676 pep supercontig:AUK_PRJEB4211_v1:scaffold_266:97354:101040:-1 gene:GSCOC_T00000301001 transcript:CDP18676 gene_biotype:protein_coding transcript_biotype:protein_coding MEISCSSSRSCFELALDYLGWINKTLPYRGSAIGELEKEVRVLQSFDLYMTKCRRRRNDETCLEQDEEEKDVTSSRIQDLIIRRMQDLEFSCSKYLNHSCSPNSSQIGSELTRFREAIKLFFETHIKESCINFLLDYYWLRDPGLVIDFIDSVSKTLAKMKGFYPECLGKKLMLLKSFILFAVLRGVKGQQLIDLLIHAEVIAINALHLASICYFHTDNEVWNQTTRQISRLIDEKINPSDPQVRETYIHVLTAAKLSRSSDISDLVKNRHLLADFMDHLVPNITELLKSCTSTLAPIMNQMLELLEGLRFLTVLLRHQEKFKELCLEMKNLIGVVACDAAVVIFSLSVNQIQKGLAKETDLALFHLLKMLKFIRAEVTDPVTSVSGFGFPRTNELGSMDFLLENLKELESCNEADDSIAFPKDQIRTVLEDLVFLRSFLVKIADQRNGNGKLQALWSRIMEVAHWAEFVIDSIVVGDKHEYLGRVARDIQLLRTEARETYDSTRHDSGAQRTNQKSFHIKSKRSIPVLNEVLVGLDDEIKTIIQSLTRGSKLLDFVSIVGMAGLGKTTLANRVCNDPLILSHFHILARCTVSQVYSMHSLLVQLLCSISSRSPDEYLEMDESDLAPKLFKLLKRNRYLIFLDDVWEIKAWNLLERSLPDDANGSRILFTSRIQLQFKPDTKAHHLRHLSDEESWKLLQKKLFGKEGFPPTLEDCWEEVAKSLTSSIVLDDEYCMMTLELSYSHLSDDLKSCLLYFSAFQEDENVPVRRLFWLWISEGFVRKTEGMRFEDVADDYLKDLVDRSLVMVSEQRSMGGAKACRLHDLVHEFCVKKAKEENFLHILHGRNDCCILTGPNNPLRVCNLMIRASMLEFPNARSLLSFKEDDLGFWLPKLLRVLDFGELEFDAYFPMEVFLLAHLRYLALRTRGVSFISAAIANLSRLQTFLLRGNSFDCLLPKTIWNIKTLRHLWIKYSNAGFIFPVENLEVSPGLFHLDTLNLVIDPSSQSLQKMLTKLPNIRRLRCTKMTSTRIGDRILLFDCSSQLESLTLRFFNGYGFKFPLNLKKLTLMHTKLPWSEISKIGKLPKLEVLKFVYSVLGEEWEMKEGEFPSLRILKLRGMWDFCSWTASFDNFPRLEKLVVRSCPKLEEVPSCLGECANLEMIEVSGCRESVASSVQQIQQEQIDMGNEALKISIEDCVGA >CDP18675 pep supercontig:AUK_PRJEB4211_v1:scaffold_266:66994:70719:-1 gene:GSCOC_T00000299001 transcript:CDP18675 gene_biotype:protein_coding transcript_biotype:protein_coding MEISCSSSRSCFELALEYLRYLQDTARGYFMCEPRHSYLIRKLKIGLRLLQSFDLYLTMKPVWNRETCLEQDEKEKDVTSSRIQDLITKRMQDLEFACSEYQNHSRLLHSTRVRSELTIFLEAVKLFFETDINESCINYLLECYWQRDPELVIDLIDSVSENLAEIGVSHSKKLMFLKSFIRFAMLCCVKGQQLIDLLIHAEVVAINALRLASIWWFHSDRHNEHVQKEMRLQISRLICEKINPGYPQVRETYIHVLTAAKLSRSSDISDLEKNKHLVADFMEYLVSNNMELLESCTSTPVPIMNQMLKFVEGLRFLTILLRHQEKFKELCHEMKNLIGVVACDAAVVIFSFSVNQIKEGLAKETDLALFHLLKVLKFIRAEVTDPVTLLFSPFGFPRTNELGSLDFLLQNLKELENCSETDDSIAFPKDQIHRVLEDLVYLRSFLVKIADQRNWNGKLQALWSRVMEVAHRAEFVIDSIVVGDKHEYLERVAKDIQLLRTEALETYDSTMHDCGAQRTTQKSFRIESKCSTPMLNEVLVGLDDEVKAIIHSLTRGSKLLDFVSIVGMAGLGKTTLANRVCNDPLILSHFHILARCTVSQVYSMHSLLVQLLCSISSRSPGEYLEMDESDLAHKLYKLLKRNRYLIFLDDVWEIKAWNLVKSSLPDDANGSRILFTSRIQLQLKPDSKAHNLRHLTNEESWKLLQKKLIGKEGFPPTLGKVGSQIAKLCRGLPLTVVLVVGILANTAEDCWEEVTKSLTSSIVLDDEYCMMTLELSYSHLSDDLKSCLLYFGAFKEDENVPVRRLLWLWISEGFVRKTEGKSLEDTADDYLKDLVDRSLVMVSKQRTMGGAKACRLHDLVHEFCVKKAKEENFLHVLHSRNDHTGPSNPLRVCNRSVRNLRIWESVLEFPNVRSLLLFKEDDLGFWLPELLRVLDLGELEFVAYFPMEVFLLAHLRYLALRTRGVNFIPAAIASLSRLQTFLLRGNASDCLLPKTIWNVKTLRHLWTTYCDSGFIFPVENLEVSPGLDHLDTLSLAIDPSSQSLQKILTKLPNIRRLRCKMTAPREEPTKMDDGILGFDCLSQLESLTLRSFVGYGFKFPLNLKKLTLSCNKQPWSEISTIGKLPKLEVLKLLLYSFVGEEWEMKEGEFLNLQVLKLSNLLKFRRWTASSDNFPRLEKLVVDWCPNLEEVPSCLGECLTLEMIDVKWCGESVASSVKQIQQDQIDMGNEVLKILIKYCGDS >CDP18681 pep supercontig:AUK_PRJEB4211_v1:scaffold_266:312588:313706:1 gene:GSCOC_T00000307001 transcript:CDP18681 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKTKTFLVHIKPVQTQLVDARQRYTVLYCSEAEPEFGCPQLTHEPESVSLSIDQQTENEQLLTAGHGGSSSKVCLLLSQKFDEAKTLDSNDFDSPDADSKKKAKLG >CDP18682 pep supercontig:AUK_PRJEB4211_v1:scaffold_266:341970:345263:1 gene:GSCOC_T00000308001 transcript:CDP18682 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLHFEDLVKSLMFLKSFTRLAILRGVKGKQLIDLLIHAEVIAINALHLASIWWFDSRHKVFSETKFRIARLIREKINPGDPRVRETYIHVLTAAKLSRSSDISNLEKNKHLVADFMEYLVSNIMELLESCTNTPVPIMNQMLKFVEGLRFLTILLRHQEKFKELCHEMKNLIGVVACDAAVVIFSLSVNQIKEGLAKETDLALFHLLKMIKFIRAEVTDPVTSFSPFGFPRTNELGSMDFLLENLKELESCNEADDSIAFPKDQIHSVLEDLVFLRSFLVKIADQRNRNGKLQALWSRVMEVGHRAELAIDSIVVGDKHEYLERVARDIQLLRTEVLETYDITRHDLLNEVLVRLDDEVKTIIHSLTRGSKLLDFVSIVGMAGLGKTTLANRVYNDPLILNHFHILARCTVSQAYSMHSLLVQLLCSISSRSPDEYLKMDESDLAHKLYKLLKRNRYLIFLDDVWEIKAWNLVERSLPDDANGSRILFTSRIQLQFKPDSKVHHLRHLTDEESWKLLQKKVFGKEGFPPTLGKVGSQIAKLCWGLPLTVVLVAGILANTVEDCWEEVAKSLTSSIVLDDEDCMKTLELSYSHLSDDLKVCLLYFGAFKEGENVLVRRLLWLWISEGFVRKIEGKSLEDVANNYLKDLVDRSLVMVSEQRAMGGVKTCRLHDLVHEFCVKKAKEENFLHVLHSRNDRFVLTGQSNPVRVCYRSARNLRIWELMLEFPIVRSLLLSKGDALGFWLPKLLRVLDLGELEFGAYFPMEVFLLAHLRYLALHSRGVKFIPAAIANLSRLQTFLLRGYFTHLLLPKTIWNIKTLRHLWTTYSYAGFIFPVENLEVSPSLDHLDTLSLAIDPSSQSLQKILTKLPNIRRVRCKMPASREEPTRIGDGILVFDCLSQLESLTLSSFVGYGFTFPLNLKKLTLFYNKQPWSEISTIGMLPKLEVLKLLDYSVVGEDWEMKEGEFPSLRVLKLRALRDFRSWTASSDNFPRLEKLVVHNCQMLEEVPSCLGECPTLEMIEVTWCRESVASSVKQIQQAQKDMGNEFLKILIEEEACSENLMLEKYPSIEIDWAAL >CDP18677 pep supercontig:AUK_PRJEB4211_v1:scaffold_266:109270:111338:1 gene:GSCOC_T00000302001 transcript:CDP18677 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEIIISRGRYAELTAAEQRPFRLVFVLLFDGKTGAVDYADLRQSADICLSLCPGIQKKGLILSCLASPPAPLKCHSFCIFTHTLHLEKKKGMIKKLPVTLVELVKPSPSLPEISTGVGNSLVGADRILKQLLDELAVSDGVQARMDGIMFQKLEGADYSSPILTMVKDYLGSL >CDP18680 pep supercontig:AUK_PRJEB4211_v1:scaffold_266:282605:286697:1 gene:GSCOC_T00000306001 transcript:CDP18680 gene_biotype:protein_coding transcript_biotype:protein_coding MEICYSSSPSCFELALDYLGWIEKTFQHKFSVDIDEAFQHKFNFNIEQLEIRVRLLQSFNLYLTKCRRRRRNHETCLEQDEEQRDATSFRIQNLIIRIMPDLEFACSECLIHSCSTDSTQIGSELTRFREAIKLFFETHIKESYINFLLEYYWLRDPELVIDFIDSVSKTLAKMNGFHFERLGKKLMLLKSFIRFAMLRCVKGQQLIDLLIHAEVMAMNALRLASIQCFHTDNEVWNETKLQMSRLICEKINPGDPQVGETYIHVLTAAKLSRSSDIPDLEKNKHLVADFMDRLVHNIKELLKSCTNILVPIMNQMLKLLEGLRFLRMLLRHQQKFKELCHEMKNLIGVVACDAAVVIFSLSVNQIEEGLAKETDLALFHLLKVLKLIRAEVKNPVTSFSPFGFPRTNELGSMDFLLENLKELESCNEADDSIAFSKDQIHTVLEDFVCLRSFLVKIVNQRNRNEKLQAFWSHVMEIAYKAELVIDWTLVGDGGEYFLDDVARDINVMKIEAQEIYDSISYVGETKRVTNTFTCMPSQATAATYNEGLVLLDSEVETITQRLTKGARQLDVVPIVGMPGLGKTTLANIIYSSSSVMLHFHIRAWCIVSQVYSEHNLLVQILGSIGSGSPEQYQKVDEVDLAIKLKQVLLRNRYLLVLDDLWDAKVWNLLERLLPDDANGSRILITSRLQNLSLQFKPDSKVHHLRRLTDEESWNLLQKKLFGKQGCPPRLSGVTSQIAKSCRGLPLTVVLVAGILANTTEDCWEEVAKSLPSSIVLDDEYCIKTLELSYSHLPDDLKPCLLYFGAFKEDENVPVRRLSWLWISEGFVRKTEGKGLEDVADNYLKDLIDRSLVMVSKQRTIGGAKACRLHDLVHEFCVKKAKEENFLHVLHGRNDRFILTGPSNPLRVCDQNGRNLMIWELMLEFPNVRSLLLFKEDDLGFWLPKLLRVLDLGELEFGAYFPMEVFLLAHLRYLALSIGGTNFIPAAIANLSRLQTFLLRGNNADYLLPKTIWNIKTLRHLWTTYSYAGFIFPVENLELCPGLFHLDTLSLAIDPSSQSLQKILTKLPNIRRLRCTMTTSREEPTRIGGGIPLFDYFSELQSLALHSFHGYGFKFPLNLKKLTLSYIEQPWSEISTIGKLPKLEVLKLLDGSFVGEEWEMKEGEFPSLRVLKLRGLRDFCSWTASFDNFPRLEKLVVHSCLMLEEVPSCLGECPALEVIEVSGCSESVASSVKQIQQEQIDMGNEVLKILIENYVDAWSSSEEDDESSP >CDP21170 pep supercontig:AUK_PRJEB4211_v1:scaffold_2668:5378:6984:1 gene:GSCOC_T00002182001 transcript:CDP21170 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLVPKVIDVLGNVVVKQLGEKVNLVMGVEEEVANIKKSLETIQEVLHDAERRRVKERPVGKWLEKLEDITYEMDDVLDEWNVKILKPENEGTYRNARVQPTLGNKVRSFIPSLCSCLKQLPVCSDIASKIKKINEELELTFEKACQFEFISSSGIPDSQDFQRIMTTSIIDESEIYDGVQVISVVGVGGSGKTTLAQLHIGSFRPKKDRKSHLWRPIADKYTIDLHTILESAGKSSHDMLELDSLIRLIKETFSGKRFLLVLDDVWTEDDSKWKPFQYSLKDGLLGDSICWKIRGLMQEGGKHWAENCKKIQGVATCCEDYGKLVTVQRYRTTMAECFG >CDP18686 pep supercontig:AUK_PRJEB4211_v1:scaffold_268:147383:159245:1 gene:GSCOC_T00006861001 transcript:CDP18686 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYFNNEQSQAHLRSKVCGPYFYPMLAKEKFASVPPRYIRPDPTKLHGVSTEEIPVIDMQRLLSDESVNPELEKLHFACKEWGFFQVCHFVFVYAYISICSKSTSHFNLVFTTTLTYFQGNKIILKYLLLVVHLVLYILPLANLFLMSSAPLFLHNKGLDELIKYFVLNLKEQFKSYPHHFATICDFLKSIQVRTFDSINYDGIFFFKLKNTYPGKSPLKVVYHKTLDQYSRELKILAIKVLEQMTKALGMKLEDMTMLFQEGMQSLRMGYYPLCPQPELVMGLCPHSDATGLTIVLQVNEVEGLQIKKAGAWVPVVPLPNAFIVNVGDILEIVTNGIYKSVEHRVTVNLHNERLSIATFFAPKLDGDMGPAPSLITPENPAIFRRISMIDYSKAYFSRELDGKSFIDAMRTQIEDF >CDP18685 pep supercontig:AUK_PRJEB4211_v1:scaffold_268:72948:74405:-1 gene:GSCOC_T00006858001 transcript:CDP18685 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLFQDGLQSMRMNNYPPCPQPELVMGRCPHSNAAGLTIVLQVNEVEGLQIKKAGAWVPVVPLPNAFIVNVGDILEIVTNGIYKSVEHRATVNLHNRRLSIATFFSPKLDGDMGPAPSLITPENPAIFRRISMIDYLKVFFSRELDGKSFIDAIRTQIEDF >CDP18683 pep supercontig:AUK_PRJEB4211_v1:scaffold_268:55250:61638:1 gene:GSCOC_T00006856001 transcript:CDP18683 gene_biotype:protein_coding transcript_biotype:protein_coding MQENTTASPTPKQTQKSSKANEKGFSLFTLFKFADGVDIFLMVFGSICAIANGLAQPVMALLFGGVVDTFSTADYQHMPQDILRVSIKLLYLAAGAGIAAQMQEKKSILFFTFLFSFFSFFLLSFLLFFFFSFFPFLFFFFSTAPLLFPFSFFSFVRPPPLPTLLLSFSRAASALLAHPRSSSSSSRPSTLHQRRRPPQLSSLPRVPPGAAASPRARHTSAAPLSSAHLSPSKLQVARPSLAPPYHYCLPRAQDGRRPSLTPLHGHQRAPPPPLLFATSSTCRAPLSAHLLPRNSSPPARGQAVLPRPDSPPRTPPGAPSRAFLPTALHLVAATQGHSSSSYASPQSARANGSSSPANLSVQPCRHLLSISDRWRYLSSYYTWLLEKGLLHKCGSHAGPSQEKDRLLDYKACTLKHC >CDP18684 pep supercontig:AUK_PRJEB4211_v1:scaffold_268:61692:66074:1 gene:GSCOC_T00006857001 transcript:CDP18684 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDAITIQDAMSTEIGKSIQYLSTFLGGLLIAFARGWLLSLSLVSMIPSLLILLILKPEVWWKRLLEQLKPYKKIDIIIFKFLHKVSSLTAENEAITKYAKKLEKVNVFFARQGLVSRLGLGTVSFILFGGYGLTIWYGSKLILEKGYTGGQVISIIVALVRALLQSSSCLNALSSGKTAESNMFKTIKRKPKINAIDSTGIVLENIKGEIHLKDVYFRYPARQAVEVFSSLSLHISSGKHVALVGKSGCEKSTVISLLERFYDPDAGEVLIDGVSVKRLQLKWLRENIGLVSQELVLFATTIRENIAYGKENATEDEINKALQSSSAAAFIKDLPLGLDTMVGNLGAQLSGGQKQRIAIARIILKDPTIFLLDEITSALDTKTEKAIYDEIFKIASRRTAIIVTHSLSSVENVDSIAVMHQGKIVEQGTHAELIRNQDGHYSKLVSSQGKNQVENSKWMNGETRKYITKTMENFEGLLSSAESIMRESLSSDRVLIVSNFIVNFPFCPYQILL >CDP18687 pep supercontig:AUK_PRJEB4211_v1:scaffold_268:234911:235864:1 gene:GSCOC_T00006862001 transcript:CDP18687 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKTRKLGGSLKVPFVQELAKENPSSVPTRYIRPDKDQYPTISNGGFFHQIQVVDMQCLFNSTVEITDLELQKLHSACKEGNNCQQLINHGVSSSLLEKLKSGVQDFFNLPMAEKNKYGQEPGDVEGYGQAFAKSEEQKFDWADMLYMITQPEDLRKLHLFPKLPLPLRYI >CDP21171 pep supercontig:AUK_PRJEB4211_v1:scaffold_2687:14393:14863:1 gene:GSCOC_T00008788001 transcript:CDP21171 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLKGPKLLFWKCQSRRRGCILFTASASVNIAGLGPHAYAVTKHAIAGLAKNLSAELGQHGIRVNCASPYAVRTGILGGNYSEEYIAQMQMFVNAVANLKGKTLTADDVAQAALYLASDEAGYVSGLNLVVDGGFSIFNPSMMNAAAQTKLYQK >CDP18691 pep supercontig:AUK_PRJEB4211_v1:scaffold_269:336778:337383:-1 gene:GSCOC_T00009832001 transcript:CDP18691 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEGKRRLLMHRTQPLSNPTKKSCYGERKSTHQKKCVLRQLSRGEARLTNRKDKEEGQQDRAVLVSKEGQCRQIMATHIDPITQHLPSIKPSATVISSASKLLTRERVLTALRNGSFSKPKVLPHFFSDNSRKSSSPLLGGSTRSARE >CDP18692 pep supercontig:AUK_PRJEB4211_v1:scaffold_269:338398:338803:1 gene:GSCOC_T00009833001 transcript:CDP18692 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHNSNNDFTVGCILSIKTPLGEEFQGQVLTSDRSSNILVLNILLLLFYQDFPLKSFIFSFQLLKANYIKEFTFLGQGEDPLDLKKYFY >CDP18688 pep supercontig:AUK_PRJEB4211_v1:scaffold_269:206754:216033:1 gene:GSCOC_T00009828001 transcript:CDP18688 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFKRKPFPLTETPTDLKPQENVFQVRFTKEIFRSYSEYLNRIDLYRRRVWTCKVTGRGNLTYEEALICESNAIKKVQQIPKELIFPVLSDVQFSMLTLKDLVNSIAEKFQGQLLEGSELYGMKNNHVTPCKIIKILEEDTKTKFEVAWLEKDKKVPINALVSAEDLITKLPFTRGTLKSFIKESTYRSGPWVLHDKLAKKHGISTDPPQELKGIISLRDGVVVCSRKRKKVEDEHGAQAKSGEFVAITSVENADGEYKKPKKQHIRYPIDDLLVGLAGDDHKLTERPSPCRDFSVPMGCVGDLLMVWDFCTSFGRLLHLSPFSLEDFEGALGHKDSNVVLLVECHSALLRLLMKDNGKFSLSVQNRKRKPKITLITWTEYLCDFLEMIGVLELCSHITMIKRGHYGLLDIHIKLGILKELLAQALETNLLRTKLDENYDQRQAFAATKREKAIEEGKKRREEKRRLKGESEAKELTGTGSSDTANDSVEPVEVNPAEENGNVLKKLDKTVKSPSENSITLLCSLQMHDSEEEQTAHAPKKNAKNQKADLKAIPNGTNDSTKRKIHKMMKKDIKETIEKKSKEQRKEYLEREIEKRFIRHSPLGKDRDYNRYWFFRRDGRIFIENSDSTQWGYYSCKEELDAFMGSLNPKGVREWALKKQLQKHYDKICSELLKRSKDLAQKIAMEEAVLRRSTRVRAPPRDNPALAFLKYENKWKED >CDP18689 pep supercontig:AUK_PRJEB4211_v1:scaffold_269:231330:232468:-1 gene:GSCOC_T00009829001 transcript:CDP18689 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWLLKSRSGSYDPTIRNIKINPDRIRIVNFINLCRIEVGSGGSCRIVRSYDPISILQIFPDFLQHQHPKLKLQSLSPLPRLRRPTALRCPPRTRARRSENPTPLPSEGRAGQNPSTTSSSKHSNCLTMIGKRLKHLLDRRLLFRKLYCIKQPSVNLMFFP >CDP18690 pep supercontig:AUK_PRJEB4211_v1:scaffold_269:323716:335636:1 gene:GSCOC_T00009831001 transcript:CDP18690 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLVNAATSEKLSEMDWMKNIEICELVACDHRKARDVIKAVKKRLGSKNDNTQLLAVMLLEMLMNNIGEPVHKQVIDAGVLPVLVKIVKKKSDLPAREKIFLLLDAAQTSVGGASGRFPQYYSAYYELVSAGVQFPQRPNVQKSEPATCANNNNTPDIVHAAAPLEKNVAKDEPQKISDSSILQKAGAALEVLREVLDAVDTRHPEGAKDEFTLDLVEQCSFQKQRVMHLAMTSQDDKVVSQSIELNDQLDRVLKRHDALISGRPTSTSIHVYHEETEEEEEAEQLFRRIRKGKACLQHEDEGPQIDRTLCQLGSSARAEILNRPLIRPLTLGPKQDSNGSRPAVAIPPPPAKHIEREKFFQDNKVDGTALASHVRGLSLHSRNASTSSRSGSMDFSDDNCH >CDP21173 pep supercontig:AUK_PRJEB4211_v1:scaffold_2695:12377:14260:-1 gene:GSCOC_T00013489001 transcript:CDP21173 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKIGYEYLRILLQTSLLEEVKEERRTWYKMHDLVHDFAKSIFNRNSSNQDRYLAVYSSERMVDTINAKASASLRTLFLEGGIADDMLSKFKYLHVLKLFGADAKELPTSIGKLIHLHLLDISGSCITTLPESLCKLYSLQTLRIDWLEKGFPKKMSNLISMRHLHYNDYFRRHKMPSGIGRLTCLQTLKFFNIGRQEEGRGIQELGTLEDLKGSLEIRNLELVNGKDDAELANLSKKPNLHRLVFEWGNRDRESNKCDEDVLEGLQPHPNLKELQILKFMGDQFPQWFMNLTSLVELRVADCTRCRKLPALGQLPFLKRLYLCGLENTTCNGLSFYSTISRQTYFPSLKILSLESMKNLEEWKDAPEMMSTAGEVHVMDVFPVLETLYISDCPQLTTIPTPSRFPSLDVLEIKENCHVLLAEKVLSNIATLSSLELRGRGHQRIKSLKLVKRPESSLSIDGCHSLSTDMLEQLCLFPTLQHVELWSANNITTLRGMSCAACLKRLAVIGCENLRELPEDLYQFQALEHLEIQYCRRIDSFGYPNPKNSFGQKSLLKSLEQFFVHGCDALTRLPVEMFESCASLRELNLSYCRSLVSFPLDLRRTPSLESFSLYGCVMISQKIRQK >CDP21174 pep supercontig:AUK_PRJEB4211_v1:scaffold_2695:14318:15658:-1 gene:GSCOC_T00013490001 transcript:CDP21174 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPVSATIQVALQAVVSLAADHVNLVREFPTELERLNKSAEMIRGFLAGADKDMRRPGVQNWLEQLEDEVFKADNVLDELNYEILRRKVKYQNQPMKKKVFFCFSFFNKIGFRWRLGSMIREINTNLERIHRDAEGLRLAYKRPVEEAFATIAAGATTSRQTDSTIVRRDVLGRDEDESEIVRKLLTETESVISVIPITGMGGLGKTTLAKAVYNKSQIHKHFDKKIWVCVAEKVDRIEEVFKMILESLIGGKVEGDRREVIVQKIQDELKEKRYFLVLDDLWNDQEVLLHDFFSTLAGLNAKKGSWCLVTTRLQEVANILSRHPQINFTRHELGKLCDNDCWSIMKKWANVGEEVPKELEGMRRQVLRRCDGLPLAAKLIGGLLSKKGKEGWLSILEESLLNGYQGGIEQIIKVSFDHLSPVPVKKCFAYCSIFHQDTRLEQD >CDP21172 pep supercontig:AUK_PRJEB4211_v1:scaffold_2695:1295:2262:1 gene:GSCOC_T00013486001 transcript:CDP21172 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILHTKGGEDEESYAKNSTFQRSVFMNVNHALIRSIQEFCQANLAEAECITVADLGCASGLNTLLAVESIIDSINKEYS >CDP21175 pep supercontig:AUK_PRJEB4211_v1:scaffold_2696:3:1520:-1 gene:GSCOC_T00012942001 transcript:CDP21175 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPVINMKNLNGDKRASTMEHIKDACENWGFFELVNHGIPHEMMDTVERLTKGHYKKCMEQRFKELVASKALEGVQVEITDMDWESTFFLRHLPVSNISQVPDLDNEYRTIMKEFAVRLEKLAEELLDLLCENLGLEKGYLKKAFYGSKGPNFGTKVSNYPPCPKPDKWSTT >CDP21177 pep supercontig:AUK_PRJEB4211_v1:scaffold_2697:13447:15399:-1 gene:GSCOC_T00003627001 transcript:CDP21177 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKKCRGLPLALVVVAGVLATIEHDICVWEEFAESFTLTMVSGADQCKKSLELSFEHLPYHLKECLLYFAAFREDEKIGAKKLMCLWIAEGFVEIIEGEKSEDVAEKYLMDLIGRNLVMVGKNRSIGGVKTCYIHDLIFEFCKGKAKEKKFLQVLRGYDELSTFNEPPNLPRLSICSSGKDFMKSKLFCPCLSTLLFFDATPGYNKLKLLNISFLFCIYKHLKVLNLEGINLMLKELPAEVESLLCLRYLALRASEMEFIPPSIAKLSHLETFSLNSDETVSLPDSIWNMKKLRHVHVENGVVIPFSSNDNVVENLSNLDTLSTLELYLDKEGENLLRRIPNVRQLKILNCGKQNRVCCNMSRLECLESLGLGDYGFLGSREHVELSFPTNLKKLCLYRLGLPCRKMSLIEQLPNLEVLKLREEAMDGQRWELMEGGFPKLKVLTLEYERIEKWIEADPDSYDYFPCLQRLKLFGIFNLKMMPACLGSTPTLETIQVAHCGYGVKSLVWKIEEAQEDNYGDVNLKIIIID >CDP21176 pep supercontig:AUK_PRJEB4211_v1:scaffold_2697:1708:2004:-1 gene:GSCOC_T00003626001 transcript:CDP21176 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGNKYDLENIRSVSVEEGKSLAESEGLFFMETSALDSTNVITAFEMVIREIYNNVSRKVLNSDSYKAELSVNRVSLVNDGSDGTKQTQCLYSCCSR >CDP21178 pep supercontig:AUK_PRJEB4211_v1:scaffold_2697:15482:16882:-1 gene:GSCOC_T00003628001 transcript:CDP21178 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASTCSIDRVLLDLESLRNSSGISLYLLYDVREAIKHMKFLQTFLMCARKWSQSNDLYLQSDNVVKRVSLPSFLSCIEDTFHKYEEDIHSLSRDKIYVVFREIRKQIILFKQEIIQIYFALAALASNRSIQSNSCMTDDELLEFIDLILQNLADLTNDDLNWKITKSSIYAALSAQVQDLEAKLTFLKSSIPFAKMRGTADIPALLLAHFEVVALTAARLSYMCSFWDDDDENQNPGFYSRSTCSFKLLSMRAVDFHVYEIYKEVLAASNSSASLHTAVMDERILNNFNDSLIGRLWELLCCSSSFVDSMKDEMQTLYAELRFLRSILREHHAMMDEQNEKIGALLGEAGIIIFSPTLSRVIEGEVSFSGSTQVLDFCDLLANIKHFKDQISGSSTIESLPNSSHSLRAPEVSQTSSRMLSKGKMPMDHEVMVGLDDKGEQGIEPLIKHFEDQGRTVRLQGTST >CDP21179 pep supercontig:AUK_PRJEB4211_v1:scaffold_2698:982:2307:-1 gene:GSCOC_T00005868001 transcript:CDP21179 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQSRCKLPIVDLKKDNLKPGTSSWTATCDSVRHALEEYGCFLVVQDHVSAQFEKEVFDVVRELFDLPTETKSQNSLDMFLGYVGQLPHAPLHESMEIPNATTIDGVQAFTDLMWPSGNKRFCETMLTYAKLVAEVEQTVDKMVFASYGAMDHLESHIESSTYILRPLKYTPPEMHNNVDVGADVHTDKSFITMLHQNQVNALKVQARNEEWIDVDFSPASFLVLAGDAYQAWSNDRIHPPRHQVIMKENKERYCIALFAYNYGMVNVPEILIDEKHPLAFKPFDNFDLLRFFLSRTTDMSSSTAKAYCGINA >CDP21180 pep supercontig:AUK_PRJEB4211_v1:scaffold_2698:13129:15218:-1 gene:GSCOC_T00005869001 transcript:CDP21180 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPKLQKLPVINFGKEKLQHGTNGWSFARNEVRHALEECGCFLAVYDAVSFKLRDSVFSALEKLFDLPVETKKKNTSDRDLFGYFSIDGDSSNHESMGIENSTDIEEVKKLSKLVWPQGNDDNFSGIIHECANLMSELEEVVIRMVYESYGVEKLKCDSHLDSNMHLLRFNRYISHGVDEKTVSSNAHTDKTFITILTENRENGLEVKLKDGQWIPVDFLPSSFVVMAGEAAMAWSNSRIQPCFHRVLTNANAKRLSLGLFSFNKGVIHIPQELIDDEHPQRFKSFDNLALLDFFLEEKRMGLPTDSTTKKYCGL >CDP18694 pep supercontig:AUK_PRJEB4211_v1:scaffold_270:187466:191040:-1 gene:GSCOC_T00004608001 transcript:CDP18694 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIKLLFSLLVLVFVGISNDVVGVEGLGVNWGTQATHKLPPKVVVQMLKDNGITKVKLFDADASTMSALAGSNIEVMVAIPNDQLLVMNDYDRAKNWVKRNVTVYLFNGGVNIKYVAVGNEPFLASYNNSFVNTTFPALQNIQNALNDAGHGDTIKATVPLNADVYFSPEGNPVPSAGRFRADISSQMTQIVQFLNQNNAPFTVNIYPFLSLYLNSHFPVDYAFFDGAANPIVDDGVQYTNVFDANFDTLVSALKGLGYGSMNILVGEVGWPTDGDRNANVNYAIRFYRGLLPRLAANKGTPLRPGYIEVYLFGLLDEDLKSVAPGNFERHWGIFRYDGQPKFPMDLSGQLQDKYLVGAQNVQYLPAKWCQFNPNAKDLSKLAENINYACTFADCTALGYGSSCNGLDSNGNASYAFNMYFQVQNQGDLSCNFQGLAMVTEQNISQANCNFTIQIATSSSMKLLPVAGLYLAAFTFLLL >CDP18693 pep supercontig:AUK_PRJEB4211_v1:scaffold_270:703:2928:-1 gene:GSCOC_T00004606001 transcript:CDP18693 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGWQTFSALLLFIGIFNNACVEGLGVNWGTVSSHKLAPETVIQLLKDNGIQKVKLFDADPTILMALAGSGLEVMIAATNLELADLGDPTKAKDWVHKNVIPYNVNSKDGVNITIVAVGNEPFLQAYGDKFTGVTAPALKNIQDALNEAGVGKTTKASIPFNGDVYMSPLYNPVPSAGIFRPDIADKIRDILKILSANNAPFIVNIYPFLSLYFAKDFPLEYAFFDGATPLVDGKIQYTNVLDANMDTLVSALKVEGYSDMPIIVGEIGWPTDGDTNANVTLAQRFLQGLIKHLASNKGSPLRPGYLETYLFGLFDEDKKSTLPGNFERSWGIFKYDGQPKFPLDLSGKGENKTLVAAKDVQYLPKKWCVLKGDAPTDANLADNMNYACNNGGDCTPIQDGSSCNFLDAKQKASYVFNSYFQIQNQSNTSCDFKGLATVTTQDPSVPNCNFTIQIAPSTSTSPAHGAHSSSHEQGKSAASTSLPGTLATILAFATMLAQLRL >CDP21181 pep supercontig:AUK_PRJEB4211_v1:scaffold_2701:3797:4409:1 gene:GSCOC_T00001940001 transcript:CDP21181 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDNEAMYDICRRSLDIERPTYINLNRLVSQSIHLSCCIICLLHAGALNVVVTEFETNLVPYPRIHFMHSSYAPVISAEKAHHEQLSVAEITNSAFEPWFKDSVESSLERGFPIRYRDKMTPR >CDP21183 pep supercontig:AUK_PRJEB4211_v1:scaffold_2709:15340:16421:1 gene:GSCOC_T00003623001 transcript:CDP21183 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFWTSTLANVFLLLQFAMRFPAIHVSLSAAKQFQNETDRLALLEFKHQIYDDPFGVLNSWNHSQYHCQWEGVTCSTRHQRVVALTLMDRQLSGTISPHVGNLSFMRFIQLGENQFHGEIPQEFGRLFRLRVLNLLKIFIFHTNNLTGEIPSSIGNLSSLTTLALGYNNLEGNLPSEMGLLKKFAILTAGGNKLSGIIPASIFNCSAIIAISVPANSFHGNLPTNIGLTLPNLEGLYLGANKFYGNFPTSITNASGLEVLDLSRNKFEGQIPANLGDLTNLIVFDLYDNLFGNLFH >CDP21184 pep supercontig:AUK_PRJEB4211_v1:scaffold_2709:16487:17095:1 gene:GSCOC_T00003624001 transcript:CDP21184 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGANQLSGTIPEGIGNFVNLHILSLEENSLSGVIPRDIGKLQNLRRLRLDHNEFSGQIVSTLSNSTTLYHLDLSTNHFEGGNVFDNVLVNYQNLQYLDISQNNFTGIISPHFLQTQSSLTYMKLGENSFSGSLPLEIGKLIHLVDFNVSHNHLVGDIPLSLADCSNLENLSMQANFFQGTIPPNLASLKSIQQLDLSSNKL >CDP18698 pep supercontig:AUK_PRJEB4211_v1:scaffold_271:276223:278421:-1 gene:GSCOC_T00011949001 transcript:CDP18698 gene_biotype:protein_coding transcript_biotype:protein_coding MALASVGGSLLSAFLQVLLDRMASPEFLNLFRKRKADDELLKKLKINLRAVGAVLDDAENKEISSQAVKEWLEELHEIVYQADDLLDEINTEALRVKVESEYKSSTSFLVSASTYISSFSNQFFKRIMPEIEKVVISLEGFIQQINPLGLQLVEPKIRSYRLPSTSLVDEDAVYGRDVEEENIIQKLLSEDEKGDNVTVVSIVGQGGIGKTTLAQLVYNDKRVKNHFPTKAWLCVKLQQGLTDKKFLLVLDDVWNDDYDDWYKLKMLVKGGSEGSKIIVTTRDERIALMMGHKMSIHHLGLLSEEDSWVLFEKHAFGGKDNEIRPELEVIGKKIANKCEGLPLAVKTIAGLLRSRSTVEEWEEILRNDLWNQTRNPNGILPALRLSYTHLPSHLKRCFAYCAVFHKDFWFSKQEIIQLWHANGLLERPRNNESIEDIGGVYLRELRLRSLLWQSTNNTFSMHDLINDLARFVSGKYCLRLEDHYPGYGTTASVRNFTYYPSMYDTFDKLKLLREAKSLRTFYPVCRSNFAFGDEIISNKYLHDVLPRFKSLRVLSLYSRSIIKLPDSIRHLQQLRILDLSRTHIEKLPDWICTLYNLQTLLLSDCKHLEELPKDLGKLINLCFLDISGVTLKKMPMKMGRLKNLQVLTAFVAGKDYGLTIEELGKLPMLGGKLLISGLEKVSGGREASMANIKGKNQLES >CDP18702 pep supercontig:AUK_PRJEB4211_v1:scaffold_271:329280:331790:-1 gene:GSCOC_T00011954001 transcript:CDP18702 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALLLFWSTSILGLSHNAEGSLFSIREATVHDIRIALDHNRLTSRELVEFYLKEIRRLNPVLNGVIEVNPDALHLAHKADQDRKAKKPGSMTGLAGIPILLKDNIATKDKLNTTAGSYALLGSVVPQDAGVVKKLRRAGAIILGKASMTEWAAARSSGVPNGWNARRGQTVNPYLKSADPCGSSTGSAISVAANMVAVTLGTETAGSILCPSSSNSVVGIKPTVGLTSRAGVVPYSPRQDTVGPICRTVSDAVYVLDAIVGFDPDDAVATKKASKYIPHRGYLQFLKSDGLKGKRLGIPRKRGAVLVDIVDTASFDTIIISMSNDEFKAVIVEFKLALNAYLKQLITSPVRSLADAIVFNKDHSKLEKIKEYGQDIFEAAEKTNGIGIPERQLLLNLTRASKNGFEKLMKENKLDALVTPISTIVSAISAGGYPGINVPAGYDTDGIPYGISFGGLKGSEPKLIEIAYDFEQATKIRKPPPL >CDP18697 pep supercontig:AUK_PRJEB4211_v1:scaffold_271:269503:270762:-1 gene:GSCOC_T00011948001 transcript:CDP18697 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWLLFVLSMTTLGLSHNAEGSPFSFREATVHDIRIALDHNRLTSQDLVEFYLEEIRRLNPVLNGVIEVNPEALYLAHDADQDRKAKKPGSVTGLAGIPILLKDNIATKDKLNTTAGSYLAHKIFSYFNTVKVLTQYSEILAKTRQRGAVLVDIVDTASFDTVIASMYNDQFKAMNVEFKLALNTYLKQLITSPVRSLADAIVFNKKHSKLERIEEYGQDIFEAAEKTHGIGRMKGNYFLI >CDP18701 pep supercontig:AUK_PRJEB4211_v1:scaffold_271:319363:320301:-1 gene:GSCOC_T00011953001 transcript:CDP18701 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDESKALIVEFKLALNAYLKQLITSPVRSLADAIVFNKDHSKLEKIKEYGQDIFEAAEKTNGIGIPERQLLLNLTRASKNGFEKLMKENKLDALVTPISTIVSAISAGGYPGINVPAGYETDGTPYGISFGGLKGSEPKLIEIAYDFEQATKIRKPPPL >CDP18695 pep supercontig:AUK_PRJEB4211_v1:scaffold_271:259364:262126:-1 gene:GSCOC_T00011946001 transcript:CDP18695 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPLLLFLSITILGLNHNAEGSPFSFREATVHDIRIALDHNRLTSQELVKFYLNEIRRLNPVLNGVIEVNPDALYLAHKADQDRKARKPGSETGLAGIPILLKDNIATKDKLNTTAGSYVLLGSIVPQDAGVVKKLRKAGAIILGKASMTEWAAYRSNNVPNGWNARRGQSVDPYLKSADPCGSSTGSATSVAANMAMVTLGTETYGSILCPSSSNSVVGIKPTVGLTSRAGVVPISHRQDTVGPICRSVSDAVYVLDAIVGFDPDDAVATKKVSKYIPHGGYLKFLKSNGLKGKRLGVPRYSFVGFGNSSETLKAFEPHFHILRKRGAVLVDIVDTARFDTVVASMYNDQFKAMNVEFKLALNAYLKQLITSPVRSLADAIVFNKKHSKLERIEEYGQDTFEAAEKTHGIGRMERELLLNLTRASKNGFEKLMKENKLDALVTPAANILYAISAGGYPGINVPAGYNSNGTPYGISFGGLKGSEPKLIEIAYDYEQATKIRKPPPL >CDP18700 pep supercontig:AUK_PRJEB4211_v1:scaffold_271:298453:302107:-1 gene:GSCOC_T00011952001 transcript:CDP18700 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRANKLKGKKLCVLKPAILAETAFVAETVAMLAEFKLTLLHDYLEGLTESHVLSFAEIISFNKNNPDLESFCDFDGQDVLVTEASELGLVRKRTILMDIWLLFVLSMTTLGLSHNAEGSPFSFREATVHDIRIALDHNRLTSQDLVEFYLEEIRRLNPPGSVTGLAGIPILLKDNIATKDKLNTTAGSYVLLGSIVPQDAGVVKKLRKAGAIILGKASMTEWAAYRSNNVPNGWNARRGQSVNPYLKSADPCGSSTGSVTSVAANMAAVTLGTETSGSILCPSSSNSVVGIKPTVGLTSRAGVVPISPRQDTVGPICRTVSDAVYVLDAIVGFDPDDAVATKKASKYIPRGGYLQFLKSNGLKGKRLGIPRYTFVGFSNSSEELKAFEPHFHILRQRGAVLVDIVDTASFDTVIASMYNDQFKAMNVEFKLALNTYLKQLITSPVRSLADAIVFNKKHSKLVSKQ >CDP18699 pep supercontig:AUK_PRJEB4211_v1:scaffold_271:285949:286827:-1 gene:GSCOC_T00011950001 transcript:CDP18699 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPLLLFLSITILGLNHNAEGSPFSFREATVHDIRIALDHNRLTSQELVKFYLKEIRRLNPVLNGVIEVNPDALHLAHKADQDRKAKKPGSVTGLAGIPILLKDNIATKDKLNTTAGSYALLGSIVPRDAGVVKKLRRAGAIILGKASMTEWAAYRSNNVPNGWNARRGQSVDPYLKSADPCGSSTGSATSVAANMAMVTLGTETYGSILCPSSSNSVVGIKP >CDP18696 pep supercontig:AUK_PRJEB4211_v1:scaffold_271:265354:268832:-1 gene:GSCOC_T00011947001 transcript:CDP18696 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDLPSPTCFLKPLDKTDCVKKMLPINGCPNLKFSGNFSFLKLLSQVNFLWVTVTFHFRPFPSQNSSKGPRNLPPILFFVCLNLVSNEVGFLFSLLNNHKLKRRLLELDFGEWFRCSGPCRLYIVDTASFDTVIASMYNDQFKAMNVEFKLALNTYLKQLITSPVRSLADAIVFNKKHSKLERIEEYGQDTFEAAEKTHGIGRMERELLLNLTRAFKNGFEKLMKENKLDALVTPAANILYAISAGGYPGINVPAGYNPDGTPYGISFGGLKGSEPKLIEIAYDFEQATKIRKPPSL >CDP18705 pep supercontig:AUK_PRJEB4211_v1:scaffold_272:221222:229354:1 gene:GSCOC_T00006259001 transcript:CDP18705 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFLTMTKRFTGIIAILLLLSLTIASASQEFCDARMDYSVVGCGGSDSTSQRILIKGGTVVNAHHQQVADVFIDNGIVTDVKPNIKVSDEVTIVDATGKFVMPGGIDPHTHLEFEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLSSGFKAYVEKAKKSCMDYGFHMAITKWDDTVAREMEIMVKEKGINSFKFFLAYKGALMINDELLLEGLKKCKSVGALAMVHAENGDAVFEGQKRMIELGITGPEGHALSRPPLLEAEATARAIRLAGFVNTPLYVVHVMSVEAMEEIAKARQSGLSFKTIK >CDP18706 pep supercontig:AUK_PRJEB4211_v1:scaffold_272:277251:279616:1 gene:GSCOC_T00006262001 transcript:CDP18706 gene_biotype:protein_coding transcript_biotype:protein_coding MCTYECISTILLVGTDHCTFNSTQKAFGIDDFRKIPNGVNGIEERMHLVWDTMVASGKISVTDYVRITSTECARIFNIYPKKGAILPGSDADIIILNPNSSFQISSNSHHSRSDTNVYEGWIGKGKVEITIAGGRIVWENNELKVKRGSGKYIKMPPFSYVFDGIEKADASYISSLRAPVNRFKGMQ >CDP18704 pep supercontig:AUK_PRJEB4211_v1:scaffold_272:16187:19684:1 gene:GSCOC_T00006257001 transcript:CDP18704 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFVMSPPIRAPGHGRALQAAVSMGVLQLVGTDHCTFNSTQKAFGIDDFRKIPNGVNGIEERMHLVWDTMVASGKISVTDYVRITSTECARIFNIYPKKGAILPGSDADIIILNPNSSFQISSNSHHSRSDTNVYEGWIGKGKVEITIVGGRIVWENNELKVNLGSGKYIKMPPFNYLFDGIEKADVSYISSLRAPVNRFKAMQ >CDP18703 pep supercontig:AUK_PRJEB4211_v1:scaffold_272:735:16129:1 gene:GSCOC_T00006256001 transcript:CDP18703 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVNLLTMAKRFTGIIAILLLLRLTTASASHEFCDARMGYNVVGCGGSDSSSRRILIKGGTVVNAHHQEVADVYIDDGIIADVKPNIMVGDEVTVIDATGKFVMPGGIDPHTHLEMEFMGTDSTETIDDFFSGQAAALAGGTTMHIDFVMPVNGSLSLGFKAYVEKAKKSCMDYGFHMTITKWDDTVAREMEIMVKEKGINSFKFFLAYKGIVMINDELLLEGLKKCKSLGALAMVHAENGDAVFEGQKRMIELGITGPEGHALSRPPLLEAEATARAIRLAGFINTPLYIVHVMSIDAMEEIAKARKLGQRVVGEPVVSGLVLNDSGLWDPDFNIAAKQGLISFPFSSFLVLLFLSLWSLL >CDP18707 pep supercontig:AUK_PRJEB4211_v1:scaffold_272:290487:290645:-1 gene:GSCOC_T00006263001 transcript:CDP18707 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGARVIDIAVIVVATDDGIQPQIEEAIAHAKAAGVRIVIAINKVRLHLF >CDP21185 pep supercontig:AUK_PRJEB4211_v1:scaffold_2726:2525:5693:1 gene:GSCOC_T00006529001 transcript:CDP21185 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYLVFLPIDGQAEFKVSRSSKNFFCLCHYCLLHCYHFQDGDAVLRFSKPNKCWVESSQKRYVPCAGDTVLGIVVDSRSDNFLVDIKGPTLAFLPVLAFEGGTRRNIPKFEV >CDP21186 pep supercontig:AUK_PRJEB4211_v1:scaffold_2729:1:678:-1 gene:GSCOC_T00007702001 transcript:CDP21186 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNMLSNISILFLTLILPLLLLHKKRQKKSLPPSPPSLPMIGHLHLLKPPLHRTLKRLSDKYGPIFSLRFGNQLAVITSSPVIVEECLTKNGIVFANRPSGLATKYLNYDGTTMATAPYGPLWKRLRRISTMELFSGTRLNMFSANRQEETKLLVKNLYKKSSQNFARVEIRSQMMEMAINNIMTMFSGKRYYGYEVEDNKEALQFRDIVREMFELGNLSPVDYL >CDP21187 pep supercontig:AUK_PRJEB4211_v1:scaffold_2729:4016:6215:-1 gene:GSCOC_T00007703001 transcript:CDP21187 gene_biotype:protein_coding transcript_biotype:protein_coding MALISLHLGVITDDVVQLRKSIDAPGMAVLQFGFGSDAKNPHLPHKHEHNQVVYTGTHDNDTIRGWWDVLPQWERDNVIKYLGSIDQTEISWALIRAALSSVARTAIIPMQDILGLGSSARMNIPATQFGNWSWRLPSSMGFDELNGEAERLRGMIATYGRL >CDP18708 pep supercontig:AUK_PRJEB4211_v1:scaffold_273:80722:88962:1 gene:GSCOC_T00007671001 transcript:CDP18708 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFGKRPWKDDGDSKNQKRRTERDQKGNDELIVYRILCPDNVIGSVIGKSGKVINSIRQETRAKVKVVDPFPGANDRVITIYCYVKEKEDVEIDDEFNEKQPLCAAQDALLKVHFAIANAIASVGESDNKKRKDKEECQILVPSSQSANIIGKSGSTIKKLRSKTKTNIKITAKDTSDPTHSCALDFDNFILISGESEAVKKALFAISAIMYKFSPKEDIPLDTTIPEAPPSIIIPSNVPIYPAAGLYPSVDPIVPHRAVPSVLDPGHVPEFPGYTETGSTFPVYSSALPVVSGYGSDVKSEELTTRVLCPSSKIGRVIGKGGSSIKSVRQASGARIEVEDAKANRDDCLITVISTESSDDQKSIAVEAVLLLQAKINDEEDDTVTMRLLVPSKVIGCIIGKGGLIINEIRKRTKADVHISKDEMPKCADADDELVEVVGEVCSVRDALIQIVLRLRDDVLKGNEGVRHPSGGFDSVYCGAAGLPVPSMLPNVPSVAPLTYDQRAETGAGVSMLSSSSLYGYGPFPIGDNSYGSLPTYSSKVYGGLPQSSALEMVVPAHAVGKVMGKGGMNLENIRKISGATIEIPESKSSRGDRVAVISGTSEQKRAAENLIQAFIMAT >CDP18709 pep supercontig:AUK_PRJEB4211_v1:scaffold_273:146141:146901:1 gene:GSCOC_T00007673001 transcript:CDP18709 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLIFVLFTYQGLANALKFMEQLKEMEDECNEKLKFLSGCVAAFKEQIHADIFIKPGTDEPSLPAHRALLAARSIILKHMLDSDQCKAPPNGTITFRELNHAELKSLLEFLYSGDLPKDKSPRLTVQITRAILDGQN >CDP18710 pep supercontig:AUK_PRJEB4211_v1:scaffold_273:315879:324414:1 gene:GSCOC_T00007675001 transcript:CDP18710 gene_biotype:protein_coding transcript_biotype:protein_coding MGELQQLYYLPPPPLPPPTAPPPTPPPPLSSHDERSPSASHQEPPPSPRFDPSRMVGIIRRKALIKDLAAVYHAECLTYCQELLELQSKLEESHTDLKIPENSKKETIRPPKRLKKSR >CDP21188 pep supercontig:AUK_PRJEB4211_v1:scaffold_2734:833:11615:1 gene:GSCOC_T00007578001 transcript:CDP21188 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSIKFWQRRLLAGGGIWKRLYSTEIADDAGQSSKVKIFDRHLKRKQRDRAAWLMQPKDSLVDAVAENLLDRLEDCKRTFPTTLCMGGSLEAVRRLLRGRGGIEKLIMMDTSNDIVKLCKNAEMRMPNENIETSYVVGDEEFLPIKESSLDLVISCLGLHWTNDLPGAMIQARLALKPDGLFLAAILGGDTLKELRIACTVAQMEREGGISPRLSPLAQVRDAGNLLTRAGFMLPGVDVDEYTVRYKNPLELIEHLRAMGESNALIHRGKILNRETALATAAVYESMFAAEDGTVPATFQVIYMTGWREHPSQQKAKQRGSATISFKDIQKQFGQSDER >CDP21189 pep supercontig:AUK_PRJEB4211_v1:scaffold_2737:14720:14962:-1 gene:GSCOC_T00008414001 transcript:CDP21189 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIWHSSRQCIIPLHHLEKVLSCSLAAPSLSNKFQLCPQVHLLWILHLGLEDFQRLDILCVSYAAMPDVDFELLFQVH >CDP21192 pep supercontig:AUK_PRJEB4211_v1:scaffold_2742:1900:3470:1 gene:GSCOC_T00011411001 transcript:CDP21192 gene_biotype:protein_coding transcript_biotype:protein_coding MLFACAIIALIVILFSHWVYRWRNPKCNGVLPPGSMGLPIIGETIEYLTPYATDDPPPFLQKRISRYGPIFRTNILGQSVVISTDAEVNYRVFQQENNGFELCYSESFTRITGKQGLAGYHGDFHKYLRSLMLKLVSPEALREKLINDMVDNTREHLSSWSKLGKVDAKDGTDELLFKLAAEKMLGYEESKARKKLRECYSTLMDGLISIPLNFPGTAFYACLQVINCSGCSSFGILFILKDRSPLFLKKKRFSL >CDP21193 pep supercontig:AUK_PRJEB4211_v1:scaffold_2743:3:2494:1 gene:GSCOC_T00006374001 transcript:CDP21193 gene_biotype:protein_coding transcript_biotype:protein_coding ILCLYKVLLPLSLNHPRLKILTVIREMEEKQLEHFSHEKHPLILRELQKENDDGSIDQKSAVCYGCQRQILYPAAYCCFACNFFLHKRCAELPGQITHQMHTQHPLVLLRNPSYSDGSCFCNACGQDDWKFFTYHCSLCQFDLDVSCAILDQQEIKLDCHDHPLREQRPATFYCNACREDVKDSSYLCTVCPFWIHKKCALLSSSVKHKDHNHSLLLAYSLPPDYRSFEQSCPVCHDKIHPSDWVYYCGPCRYFVHVTCIVISQEDEGQLSEDTEYPISEEQDQNVVKLPSSNAAQELIARFLLKKDEISSSNDSGKSNIPEKIFMDSQHRKHPLVLSEKVQNLDERKSTNSDDQEEAKALLLVCDVCIEPICSSDDLHYYACVECGYFVHLTCSNLPPELHIPKHPQHPFSCMYNPSEIGLLNVCPSLLLGFELPTFNFVCA >CDP18712 pep supercontig:AUK_PRJEB4211_v1:scaffold_276:339041:339842:-1 gene:GSCOC_T00005672001 transcript:CDP18712 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDGQAVDIRGLSEKTLVKHLRRLFLSRNLRETGDNIFLLKSEGYPTLEVLRPVIRGYGQSSKQQLDDCTLENELLSVSPDGRNGPDANMTKSSEDAIGPRRRVIGPEMPSAELFAAVAKLTEAKVELRYC >CDP18711 pep supercontig:AUK_PRJEB4211_v1:scaffold_276:287302:289532:-1 gene:GSCOC_T00005669001 transcript:CDP18711 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESCVPPGFRFHPTEEELVGYYLNRKVNSLKIDLDVIVDIDLYRMEPWDIQDRCKLGYEEQNEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVISKEKIIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQTSEQGPSQAKGWVVCRAFKKPCPSHKQGFEAWSNAYYITENGKYQPPSFPDKSSNSMHAVNPISIHGVDFQQVPLLADHHELISNHAARFDNQLIEIPQLDSPSISTSLATKDQVFESGSLVNEDGEDVRSNIYCQQYNDWKVFDKLLVQQVIDPSASYACQNQPVLIPRDDELLLGCFTDF >CDP21194 pep supercontig:AUK_PRJEB4211_v1:scaffold_2760:3050:15161:1 gene:GSCOC_T00009141001 transcript:CDP21194 gene_biotype:protein_coding transcript_biotype:protein_coding MKETKCSPPTPLTTQPINPNPNSCKSTKNVIHIGGIQVEFPYQPYGTQLAFMNRVISTLDRARRDGHCHALLESPTGTGKSLSLLCSSLAWQQNFKSRNLQAANLSCSDSKPNPEAINDPINFGGGFVPETQPLSETGGSAAVNGKNKKKQSVPTIFYASRTHSQIRQVIQEYRKTTYRVPMAVLASRRHYCTNMNLRGTANIDEQCKLLLKDTEIGCSEFKNVHKVKGHPSLQKGGCHEVHDIEDLVKVGEIVKGCSYFAARSIAEDAELVFCPYNYIICPIIRKAMEVDIEGAIIILDEAHNIEDIARDAGSIDVEEDVLLQLQTELQQLRLTDPMTYQPLLEMIQDILNWIDRRKSTLEKREFQHYFSCWTGDKALMELEDANVTQKCFPILKECATKAIKAASDAEPELACLSGISATVLEGLFSSFTFFFSGNGLHVNDYLLALQRYVKRDVNSAGGWTHSLNLWCLNPSVVFKGIADVSLSVILTSGTLSPMNSFSSELGIQFATCLEAPHVIETETQIWAGVISRGPQDYPLNASYRTAGTYAFQDAVGMSLEEICKIAPGGCLVFFPSYKLMEKLCSRWQETGQWSKLNAQKSLFVEPRGGSQDGLEPVLEGYYNSIHQKSKPLTGRKRRCKKLDVTNGERTESSQTANGGAAFLAVCRGKISEGIDFSDDYARVVVIVGIPFPNIHDIQVAQKKKFNDMYRLSKSLLSGNEWYCNQAFRALNQATGRCIRHRYDYGAIILLGTYERLCEERNRAHISKWFRKSIRQYDNFERSMEELKSFFSDAKDRVGKVVKSPQSSDLRVEDTLMVKNKVISTKKSQNERPFKRSEQKISGHSLASENSSSLYPSVSYGINHKFSQKIPDVEGLLSTDGRDIAGCREYIDLECDTQKHCRLSMSASTMLSPVDPDITIVRETPGVIGIDAIATSEVISINEDSSLTAVPLSSEIPDNFSCSPVSLVNSSLAFKSTCLLATPERTNNDRLNVRVPEMESPYNLSANSFSLKRRKFTRSSSDRIQKVEFGSPNSRTPDSVSFMVSSITKVDPEKRNGIDSQMLNLNKENGRFFQPSSFNDTGTSCAPDPTVVKRLQIFCSVCKNPLGLPENNLCVASTLTSCSKIYLRSLLREKLESSDACSSSIPVLVTDKSSVDQQFFERNNEAAPAGPVEGIWCKADGCVFSTIFCPFCLDSTTCLGVQVMATDASNVCLQNKVLLFSDLLEIKSPTASITKELSSSNGSCTSKRAGLNSIEKYAYIPEQKNSGGWRTTKSKMQLPKRGLLSTPES >CDP21195 pep supercontig:AUK_PRJEB4211_v1:scaffold_2762:13709:16588:-1 gene:GSCOC_T00013705001 transcript:CDP21195 gene_biotype:protein_coding transcript_biotype:protein_coding TFAKDRVHFLRSYLKNATEQHSQDAKLQPQTIQEDLSFLKSFLENNLEQHVDKEKLQLQTVQDGLVFLRSFLENNRDQSNHPEELQALSCHVVEVAYKAVFVIDSLIVGDISYYSLMLFDDVTAEIKLLKTKTGEIDSIEAQKPTLGLRDVPSQAGRKWSGVFHQVPSQGSISTINKAVVDLKDQEQAIIHQLIGGSLQLDIISIVGMPGLGKTFLAERVYCDPSITSHFHIRAWCCISQAYCKKDLLLGILARIDPKAQYSEFDEDDLAHKLCNHLRKRTYLIVLDDVWDIEAWNALTISFPDHTNGSRILLTSRDHGIIGKPHHLRQLHEEESWELLQKKLSVTREEGFPPELTVLGRQIAKNCNGLPLSIVIISGILGTLDQGRWKEVAERLKSNNKIGATEQCKSILGLSYIHLPDHLKPCLLYFGAFREDQEISVKKLMWLWIAEGFVQKMESESLEKIAEGYLIALINRSLVMEGQQRSIGGVKTCRIHDSLHVFCLGKAKDQNFLHLIRGYDRFLNFDEPHYCYRLSIHSQPKHFAKSKIFCPHVRSLLHSSCGIGSRGVSYNSCFVCHLKLLQVLDLEQINLGFTFLCELGLLIQLRYLAVSGWIKYIPPSLENLLNLETFCVTTYYSDFVLSSLEDIFWKLQKLRHLQVRGALIDLRLAKDNPEGPSMLYNLRTFSTPKLYLGQSMEKMMMKFPNIRRLKCCLLQSEESSSESTRIVAMDSLSQLESLKLLLGKITANCIEFHPPLNLKKLTLEDFSWSIICTIRKLPNLEALKLIRQAAVEKEWDMGDIECMEEEEIFPKLKFLKLQSLKMVRWMGSGEHFPSLERLILEGCAELEELPSCLWETFTLQLIEVHGCLYSAGDLVRDIKKQQMDYGNMDLKILISEEVDESSSWSDGDSDGWLPEEIEESSSWAEILDA >CDP21196 pep supercontig:AUK_PRJEB4211_v1:scaffold_2768:1732:2073:-1 gene:GSCOC_T00007286001 transcript:CDP21196 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRPTPTPSLCLTSRKPQSSQTSSKTFIARHLQELSPTYAVFRSTGHQTFIESWGTGSTISRISCVPGGGTHRAGQGAYGNMCRGRMFALTKIWRRWHHKVPVNKNRYAFNF >CDP21197 pep supercontig:AUK_PRJEB4211_v1:scaffold_2771:5977:9456:-1 gene:GSCOC_T00013578001 transcript:CDP21197 gene_biotype:protein_coding transcript_biotype:protein_coding MFCQVCYPTEFFFSDSILNVCLKEKLLRYSYQLTFFLINVHNPTVFGCYLAASTEASINFDAREGAMVSNVGFVLFDIYLKKVCKTLGKLFNLYGWISTISFPVLYPVACRKF >CDP21198 pep supercontig:AUK_PRJEB4211_v1:scaffold_2773:10243:13345:1 gene:GSCOC_T00004533001 transcript:CDP21198 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQRTFVIPLECTSIPYTTRKGFFTSQLMPVQNKVGQLALARCIPFMILISYKKRHLILLHAIEGSFNIVFGLVKDLSCEPFNLLNGAWVGHSLSPSKFRFTEDYSFFMKCKDQNNCSAILFVIFFSSFSGWQEVEDCSRK >CDP21199 pep supercontig:AUK_PRJEB4211_v1:scaffold_2777:10796:13106:1 gene:GSCOC_T00010259001 transcript:CDP21199 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFLLLLLSFITFSSQPFSATSDTIYDNFAYCLTRNGIPSNQISKILYSPSNSSFSSVLDAYVRNKRLNTPTTRKPSIIVTPLQVQHIQAAILCTKGTGLQLNIRSGGHDFEGLSYVSDVPFIILDLFNLRSINVDTATETAWVQAGATLGELYYRIWEKSNILGFPAGLCPTVGVGGHISGGGYGSLLRRYGLTVDNVLDAQIIDVNGRVLDRKAMGEDLFWAIRGGGGASFGVVLAYRIRLVRVPEIHTVFNVQKTEAENATDVLYKWQNIADKIDNDLFIRVLVQPITGKVKGQKIIRLTFMGHFLGDANRLISVMNIGFPELGLKRSDCLETSWIDTMLWWYKYKIGTAKEVLLSRAYDQLIFLKRKSDYVQNPIPKDGLVSLFKKMVQLGKTGLVFNPYGGRMSEIPENETPFPHRAGIIFKIQYSVNWEDADPNLINQYVGEARNLYSFMTPFVSKNPRQAFLNYRDLDIGTTDNGKNSYNEGQVYGVKYFKNNFDRLVKVKTMVDPQNFFRNEQSIPTLNLQTSKGRKGRK >CDP21203 pep supercontig:AUK_PRJEB4211_v1:scaffold_2780:13480:14145:-1 gene:GSCOC_T00007757001 transcript:CDP21203 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRKNEETGIRVSYTFKLFSTGAAMVAIVTVYLNSDDHRAICPQCRNAMTISLKYVAPPAAQEAAAGDKGGFVKGVVTYMVMDDLVVKPMSTISSIALLNRFNVKEIEALEEKAVILGMNEALKLLKTSLESKSVLTNVFMKSVGK >CDP21201 pep supercontig:AUK_PRJEB4211_v1:scaffold_2780:8471:9285:1 gene:GSCOC_T00007755001 transcript:CDP21201 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFANKVALLTTISSRKATFYRRSRSTLWTKGETSLNFNNVSDIFLDCDRDSIIYLGKPDGPACHTGSETCYYTSIDAVISSQAEENKLALTTLYALESTINQRKEDSGSANGKPSWTKRLLLDDKLLCSRIRKSCLNLWSSLAGRRLMNYVARWRKMRRQGLPQRWHGFAGKEGS >CDP21200 pep supercontig:AUK_PRJEB4211_v1:scaffold_2780:66:6362:-1 gene:GSCOC_T00007752001 transcript:CDP21200 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSVSLKLLIDTKSRKVLFAEANKSTVDFLFHVLSLPVGTVIRLLGKQGMVGCLANLYESIESLDETYIQPNQSKDTLLKPRAPSSAAASIPHLSLNDGLTGTGKAFYRCDYGCSRYVTDDPRAVCPSCQRTMNSVMNYVVPPQTSDSKEGGFVKGATTYMITDDLEVKPMSAISSIALLNELNVKNLSALKEKEVDLSMNEALKLLKESLESKTVLTSVFLDVKSEIP >CDP21202 pep supercontig:AUK_PRJEB4211_v1:scaffold_2780:9750:10911:-1 gene:GSCOC_T00007756001 transcript:CDP21202 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKISLKLLIDTDNNKLLFAEAGKNFVDFLLHILSLPLATVTRLLRDQETIGSLENLYHSIENLDEAYIRPNQNKNILLSPKPPAGAPATLLGLEDSPKPEITEGKAFYKCGNNCSNYVSNVTDDPRAVCPNCRGTMTSVMTYVDPPQTGGSDEDSKEGGFVKGAATYMIMDDLEVKPMSAISSIALLNELNVKDLSALKEKEVDLSKNKALKLLKASLECKTVLTSVFLDVKSKTP >CDP21204 pep supercontig:AUK_PRJEB4211_v1:scaffold_2782:14464:15699:-1 gene:GSCOC_T00010588001 transcript:CDP21204 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADPHLLEALKVQVQIIGAKQVSDAIAATLHYQMVYRVQNHAQDLAIPGGENALLIRVDEKNGTSCTHVPRQILKQELIQLLPNDWITDYEDQDIIQYFDKEGLPVSWFQDPISGHVYFDVCNVCEKCQLENTLDFELPDLSRRKKSRPQQIEPRPCKLYLDPQDPDTDTFVSQRSRFNGYQILSDWVSKSSKDPLPPSKKDFHPYYQKCLDILEKEAKQLKQEWKKSFCNPEPLIPVHIPQVQECFKFSEADFPKLETFNKNGSRHTPKIQNISSTILPSGETVQPNPSEDVLNWQTENSLVQNIALTSIHRNVSEVKGKIEQIDLKIWI >CDP21205 pep supercontig:AUK_PRJEB4211_v1:scaffold_2787:7457:11830:1 gene:GSCOC_T00011328001 transcript:CDP21205 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARFLMQPILRGSLASVKSPYSYLYHPNFPAVMRGDHGNLQKWLNAIKAKVHRTVPSPYWNLIFRKYKHNFGNYCNTGGKFTVCTIFGLSLLVGSISIWPRIAYCTDGFEFSVDDHEFDKLDSSDSDEDRRALLTILRRLMVPVFFLLTVLMNWGHPGVIAAKVTLILYTTKPSPFSVYLFVEQLRHQAIRQHPFIYKIMPCYAKKVEVEDYMFLCLARVELKDQNLTLLGILGSWWVLPLSSWQEAFSMWRNSFLIR >CDP18751 pep supercontig:AUK_PRJEB4211_v1:scaffold_279:134617:139897:1 gene:GSCOC_T00004766001 transcript:CDP18751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 24 [Source:Projected from Arabidopsis thaliana (AT2G31500) UniProtKB/Swiss-Prot;Acc:Q9SIQ7] MGGCMSIPTNTSFLKRTKNQKQVHESCDQGHSVNGGNAAGLPLSQRISRPVTVLKDPDGHEIYQRYKFGKELGRGEFGVTYQCIDKETGENVACKKISKSKLRTEIDVEDVRREVEIMRHLPKNPNIVSYIAAYEDKEAIYLVMELCEGGELFDRIVARGHYTERAAALVTKSILEVVKLCHKHGVIHRDLKPENFLFANTSENSPLKAIDFGLSIFFQPGQHFCEIVGSPYYMAPEVLRRNYGSEVDVWSAGVILYILLCGVPPFWAESEEGIAHAIVRGEIDFRRDPWPKISRNAKDLVKGMLEPNPYTRLTVEEVLENEWIKNANQVANIPLGEGVRTRIKQFSLMNKFKKRVLRVVADYLPDDEVHGIKQMFYSMDTDQNGSLSFEELKDGLNKFGQDVADPDVELLIDAADLDGNGMLNCDEFVTLAVHLKRLSSDEHLLQAFHQFDKNGNGYIEFEELRDSLSDEHLGPNNDQFVQDIIFDADLDKDGKISYAEFKAMMTTGADWKMASRQYSRAMLNVLSMKLFKDKSMQLKI >CDP21206 pep supercontig:AUK_PRJEB4211_v1:scaffold_2795:9470:12123:1 gene:GSCOC_T00002062001 transcript:CDP21206 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVCSGVVLLMILASAPVAVAAAKESAVNLLEAEALLKSGWLRGSTTAPNVSAHCHWNGIVCNDAGSVTEILLPGCGIYDDLKIFSFSSFRNLVRLDLSRNGLYGAIPHQIGTLSKLAYLDLSSNELEGELPSSLVNLTQLALPIPPTLDQLPYLTSLDLSNNILNGTIPSEIGNLQNLVYLHLGSNRLTGQIPSTLGNLTALGNLDLSSNQISGSIPSEIGNLKFLAHLNLGSNRLTGQIPPTLGNLSTLFYLYLSSNQISGSIPSEIGNLKFLEHLNLGSNRLTGQIPPTLGNLSTLFYLDLSSNQISGSIPLQLSDIPSLRFLDLSYNALEGELPCELVNKFGSETFVGNPDLRLGVSLVVFSLIGGIVLYIFGKTKVKKVEIELMDNKHGDIFRIWNYDGNMAYEDIIKATNDFDVSYCIGTGGYGSVYRARLPSGKVVALKKLHRLEGENPNFDKSFRNEADMLSKIRHRNIVKLFGFCLHKRCMFLIYEYMDRGSLFCILRDETEAVELDWIKRVNLIKGIAGALSYLHHDCDPPIIHRDVSSNNILLNSKLEATLSDFGTARILELDSSNQTVIAGTFGYMAPELAYTMVVTEKSDVYSFGVVVLETLFGEHPREFLSCISSQPNEPIMLKDLLDARLPPPTNPLVVRNVALATALALDCVNANPKCRPTMQQVVNRFEAGRREPTRPLHTIAVNQPVSPPLLSLRDQTRADGTSSLSTVNEFHVDIAATLPSSNFSIHVST >CDP21208 pep supercontig:AUK_PRJEB4211_v1:scaffold_2797:5474:7618:-1 gene:GSCOC_T00008130001 transcript:CDP21208 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIVHDFAQFLTKNECHALDGTGRNSSSERPRHLTILEEGTEEEMFSSPVVDFGRLRSFLTFQRVVVPQNLFCSLKCARTLTLHYCRLAEIPAEIGRLIHLRHLNLSANRFITLPEAICDLYYLETLDISDCYELSCLPQRIEGLVHLRHLFNSCTYQLRQIPQGLGKLMSLCSLTRFIARSNSDDLAILKDLNQLESLRVEIEGEVDFGSAELEKKVNMREMSLFFSFGVHFIETPSYIESMEPPPKLERLVLGGYPGVQLPSWLVTKSHANNLTRLIISGPSNISSLPALWKLSSLRELEFVRVGKLEYLGKEFFGVTKALHENMAFRNLRKLHFEDCDNWTRWEDTSEDDEEVAVSIMPSLEKLKIRGCDKLETLPHRILGRISSLKKLNIWGCSKLRDRYSDKTGDDWKQISHIPQVHI >CDP21207 pep supercontig:AUK_PRJEB4211_v1:scaffold_2797:1248:2809:1 gene:GSCOC_T00008128001 transcript:CDP21207 gene_biotype:protein_coding transcript_biotype:protein_coding IRGDTSHYDAVANSAASGVQSAGLNSGVPCIFGVLTCDDMEQVNS >CDP21209 pep supercontig:AUK_PRJEB4211_v1:scaffold_2797:7932:9800:1 gene:GSCOC_T00008131001 transcript:CDP21209 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFSSILKDSFRDPFLVERIRYADPISRFKVPLHFIVIEEELGKCGLSTTPSPYYRDNVNPIPSVTTTLRQYLVKKLLLHNSCSTTDL >CDP18756 pep supercontig:AUK_PRJEB4211_v1:scaffold_280:179018:181336:1 gene:GSCOC_T00012079001 transcript:CDP18756 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLQPLFLAIISLLMISALTRSSEAAGIAVYWGQNGNEGSLEGACRSGNYDYVNIAFLVSFGSGQTPELNLAGHCIPSPCTFLSSEIEVCQSLGIKVFLSLGGSSPGQGRGQILASPEDARDVAAYLWNNYLGGQSDSRPLGAAVLDGIDFDIEYGSNLYWDVLAEALFNYSTAERKVYLSAAPQCPIPDDYLDTAIQTGFFDYVWVQFYNNAPCQYTSGDPSKLFASWDQWASYTGVNTLFLGLPAAEAAAPSGGYIPPEVLVEQILPVVQSYPKYGGVMLWSRYYDQNYSTAIRPYVNGDPLTYTTKSVKKSHAVA >CDP18752 pep supercontig:AUK_PRJEB4211_v1:scaffold_280:24374:25876:-1 gene:GSCOC_T00012073001 transcript:CDP18752 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRSSPELVPVLNSRLQKLRLTCLQKTQMPLLCWIECCGFWQATRCSPAPLPPTWMAIMRSKRRQECMDWRRWPSSLYRTKQREEVHLAPCWLCFKIRSSLTVGTN >CDP18760 pep supercontig:AUK_PRJEB4211_v1:scaffold_280:218699:223156:1 gene:GSCOC_T00012085001 transcript:CDP18760 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVLRKWEAKMLLADSTKERRRKMKKKKRKDITIFIARLSVRCICQIYGYRVDKKEALPPLGTLKCSQIYSQYHEACVEERGRKIDLASGSWYSCYTCQEVDLGLQGQSGLMNLLHDGA >CDP18754 pep supercontig:AUK_PRJEB4211_v1:scaffold_280:136003:137720:1 gene:GSCOC_T00012077001 transcript:CDP18754 gene_biotype:protein_coding transcript_biotype:protein_coding MISGQSFDRFGNNTFDFAFFGLRISLDFVLVDQPFEIVSEVCRILRYGGYLVVDITVKDEYGFNSFLTLFTCCGLTIFRGIKSLNSTSSIHEEVKMWAAYGLLKGHISNSILDDIVQRCDNNTVLEYKQDLIGDLEPLEDWTEHGKQGGSPVHQGW >CDP18762 pep supercontig:AUK_PRJEB4211_v1:scaffold_280:334021:338179:1 gene:GSCOC_T00012094001 transcript:CDP18762 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQHATHSVLLCFRITPAYACASGNYTFYYTSLDVKTRVDDLIKKLSLQEKIGNLVDGAAGVSRLRIPIYEWWSEILHGVSNTGPGVHFTSLVPGATSFPQVILTAASFNQSLFEINGKVVSTEARAMYNVGLGGLTNWSPNVNIFRDPKWGRSQETPGEDPTLTSIMLEVYGKEMTVIKTGLRLLLAADTIQPMIWIIGKEIKDTPSIPWLHNKIWTMHFNLHSRAVFLMEILPVSWIINDPKLVTALSFLFCFKLNCFCRVDLHCGTFLAENTESAVNKGVVIESVIDRALTNNLAALMRLGFFDGDPKKQLCGNLGPKDMCTLEIQKLAREAARQGIVLLKNTAGSLLLYPVDIKSLAVIGSNANAIHTLLGNYEAEQSNACLVLNCFIDGSKLVLFQAGCSNVSCATAQVDEAKKIGAAADAVVLVMDSDLSIEAESLDRVDITLPGQQSLLISEVVNISKGPVILVIMSGGGWKYNLQNTAPKLPAFSGLVSPGKRVELQ >CDP18757 pep supercontig:AUK_PRJEB4211_v1:scaffold_280:186491:195020:-1 gene:GSCOC_T00012081001 transcript:CDP18757 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVKDWIKADETAKEMLTRLFTERPFLPLPPPLHKLPLRPGNVVEVAGPPSSGKTHILMQAAISCILPKQWKGVQFGGMERLAVFVDLDCRFDVLRFSRLLKHKLIQANSNDMKSQTQYDKELFAECMRRFLYIRCYNSLEFLATLKTMNKQLQKQKDIQGVGVHLLVLDSIGAFYWMDRALPSLLVGGSNRKSLSLQIVMENVVQDLQKLLLVHPLLVLATKNSISGDKSTADELMRNTSSGPRPKHREYMPSVWQSFVTHRIHVAASEHNGNHQRQHTYLTEWILPSVNFSDRFVINEDGVFLIS >CDP18758 pep supercontig:AUK_PRJEB4211_v1:scaffold_280:210479:215795:1 gene:GSCOC_T00012083001 transcript:CDP18758 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGISAEDLMSNVMETLSDGVSKHKSVSFFEEQKSGSVSSQLNRLFGRQKPVHHILGGGKSADVLLWRNKKISAGFLAGATAVWVLFEWLNYHFLSLICFALIFVMVAQFVWSNASGVLNKSRPEVPRFVLSEELFVNVAKTTSIQVNQGLGFLQDTACGGDIKQFLMVILSLFAVAVISSWCNFVTILFIGFVGAHTLPVVYEKYEDEIDGFVHNAVEQLQGRYRKMDTGFLSRISRASFKGKKHE >CDP18753 pep supercontig:AUK_PRJEB4211_v1:scaffold_280:45895:46863:1 gene:GSCOC_T00012075001 transcript:CDP18753 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKRFGVCGPSRCLCCQNPQEEDLNHVFCSGEGARLVWRHFESTAGEFSGVHTVRHMVWSCWLRRGTNDRVKFLHNILPSVVCWVLWKARNEGVFEGRKMRIRPTVNRIVQFLHDFLQSRFPGVQPSAPTWEGLLLELGSHQRRMVIRPVYWVTPRRGYKLNSDGCSRGNPGRSGGGGLVRDSRGNFVFGYAEPFGVITSMQAELRALLWGVRHCVIRGCLELHLEADSLTLVHIVQGTSACPWRLQRDLDELMMFKQYFTSITHCYREANAPADRLANFGADSSTGHVFNTFSELPQLVRGAIRLDRLGFPTFRTRCLA >CDP18759 pep supercontig:AUK_PRJEB4211_v1:scaffold_280:216277:218619:1 gene:GSCOC_T00012084001 transcript:CDP18759 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLQICESWGIFLLDCIEMHFVDSFSLWQCIYVHKSNLSTISLDLYIWIIKLNLISCILFFVL >CDP18761 pep supercontig:AUK_PRJEB4211_v1:scaffold_280:332677:333120:1 gene:GSCOC_T00012093001 transcript:CDP18761 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIYPIFLIFITLFEKHFDCTPVAPMLVPHESSDDYKIGGYNILRGTILLVNAWAVHRDPNVWDDPTSFKPERFEGLQVQPSKLIPFGMGRRSWPGSGLTQRVVGLALGSLIQSYDWKRIGEEEIDLAEGTGVSMPKANPLEKNVF >CDP18755 pep supercontig:AUK_PRJEB4211_v1:scaffold_280:153554:154456:1 gene:GSCOC_T00012078001 transcript:CDP18755 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLQLLFLPITFLLMTSLIRFSQAAGIATYWGQNTTEGSLVDTCRKGTYDYVNIAFLINYGGGQTPQLNIAGHSLNSSEIETCQGLGIKVFLSLGGAASLSSSDDAQQVASYIWNEFLGGNESDSRPLGNAVLDGVDFHIQAGKADYLADLVQALSKYNTPERKLVYLSAAPECFIPDYFLDAAIKTGHFDYVWVEFFNNPPCEYTPGNTSPLFDSWDSWASYPGINTLFLGVPADPAVSPSGGYIPPQVLIDEVLPFVQNYSSYGGVMVWDHAHDLNYSETIRPYVSKSQIQYYAAI >CDP21212 pep supercontig:AUK_PRJEB4211_v1:scaffold_2810:4514:7277:1 gene:GSCOC_T00000226001 transcript:CDP21212 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARNIGDHTGELFQAQAHIWNHMFNFINSMSLKCAIQLGIPDVIHKHGQPMTLDQLIDALPIKNAKAPFVYLLMQILIHSGFFIEAKIPGNENDNQKGYLLTSAAELLLKSNPFSMTPLLLFTLDPTLTDPWHHLSQWFQNSDETPFYTCHGRSLYDLASHEPRLNQFFNEAMASDTRLVSSVVTKDCKHVFEGLNSLVDVGGNMFEAIPPADAVLMKWILIDWSDDECVQILKKCKEAIPSKEKRGKVIIVEMFCKSQQKGDDDHEAIETQLFFDMAVMVLVKGRQRNEKDWAKLFTEAGFSDYKITAVLGLRSIIEVYHN >CDP21213 pep supercontig:AUK_PRJEB4211_v1:scaffold_2810:13992:14553:1 gene:GSCOC_T00000228001 transcript:CDP21213 gene_biotype:protein_coding transcript_biotype:protein_coding MACDSQLVGSILIRDCKDVFSGLNSLVDVGGGTGTLAKEIADAFLDLNCIVTDLPHVVDGLVANNKSLAFVGGDMFVAIPPADDVIMKWILHDWNDEECVQMLRKCKEAIPSKENGGKLNFSVIR >CDP21214 pep supercontig:AUK_PRJEB4211_v1:scaffold_2814:12049:13956:1 gene:GSCOC_T00002401001 transcript:CDP21214 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSCDVSQYYNHKLKEAVVQLQCELPDAATTYVDIYSIKYDLISHARKYESDFLYLKKWSYGVKMEFNYDPNFLCSEMVTLHYIETIVGSCGDSSVRVNWDGIHYTEAVIHWFFERIIDGSYSDPPIPLEMACHSQMEMSLLAQAN >CDP21215 pep supercontig:AUK_PRJEB4211_v1:scaffold_2816:2529:3156:1 gene:GSCOC_T00008669001 transcript:CDP21215 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSQANSPKGASSSKKELKGAAASGSSEKLKASNFPATILRIGTWEYKSRYEGDLVAKCYFAKHKLVREVLDGGLKNKIEIQWSDIMALKANYPDDGPGTLDVVLAGQPLFFRETTP >CDP21217 pep supercontig:AUK_PRJEB4211_v1:scaffold_2816:8194:14211:1 gene:GSCOC_T00008672001 transcript:CDP21217 gene_biotype:protein_coding transcript_biotype:protein_coding METVVSMEVNEEAKVEDGIAKETGSEPVSLKHISDPDPDPEPDPVVYKLVRVDGEGRLVPATDEEVLVVEDLLEDEKPEHCAAECEQPIECIKTEGCSLQKNHVQSSEGISSVQLDAAVDLGKKIIQPEEIPCQMASASAGNSISQPMSAVGCPGSEGGLVENWSSRTDLATTGKPDFSKLKGEICLDNLTVKELQETFRATFGRETFVKDKRWLKRRISMGLTNSCDFSTTAFMIKDNEVLKKDKKENRKKSAVYKDLVVGVASETAGSPTNGLNRLADSNPNFDDRKTESLLLEHDSVREDPSLEQRTAKRVRKPTKRYIEEMSEEESRGSSGRMLGWPGDLWENFMPFMPSGIGLATRTVRRALGEPGPAPQDEMQNEVLKSSLSPGWNQQPIAAASENDNHHLERKEVELEKYVELKRMDSFEDNSDDNMGSVPASSGGMRRKHHRPWSLTEVVKLVEGVARYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLRASFSQLPAEKGMHNARKHASIPIPAPILLRVRELAEMQAQVPANFSSSKFTGQSGGSDRSVHETRSGYL >CDP21216 pep supercontig:AUK_PRJEB4211_v1:scaffold_2816:3399:5874:1 gene:GSCOC_T00008670001 transcript:CDP21216 gene_biotype:protein_coding transcript_biotype:protein_coding MRDCKIFCSLISSCILLFSVLNGANADNPYRFYTWKITYGDIYPLGVKQRGILINGQFPGPHIDCVTNDNLIISVYNYLNEPFLISCEVNSRKFSTILWTTVHSAHAGSPDLGHIPHFLSCPQGLLGKHFEKLIQCDPRLNFLSK >CDP18763 pep supercontig:AUK_PRJEB4211_v1:scaffold_282:80275:89629:1 gene:GSCOC_T00004279001 transcript:CDP18763 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAELELGQRISLIFVVCADDSSLAPFRIVFLDLDGKGYLARVEGNMEEKRSTVAKRTRAQTKHQLKEMIDEYYEKKQEKKGKSERAHDSHEMNATEGKKREELESGIVTRNFEEMVRISSSSNDEEQEGENVNRKMFNRKRKRTVKKIFYDDESSGSDVKILGEEEVVEEVNWKEFNRMRRRRRRRRRRRRDTVYKGKNYDGETGSSVKISQEQGKGEEVIANKMNKRRKTVAKGKNDCDDGDGGSGSDVVFVTEEDVPPNLRCNYVKGRKQFSSRGKRGSSDGNTRSERVNMESEPLGNGAQDKGKGLLIDNLNTLDSKSSSSEEDADDSDRDYSEEESRSSSTQSHHWGYCSEDKLEGGIGKDDGFIQLPSPKSRKQEPGLGTLRKCHLHVFDSESFDSSSEDSDSSDQVPKKKKEGSRITKPSKRRERDVDYMNILLGSMLKDDEQTKENPFGFEDNGPTHTLPLKFRFDDEDPAPPEKEDWQKEIDDLFTEMEMCLTLPASDFTESSKDGTHHVTATAKCQAELCRSGKHQLILEEPIGIICKCCQIVHKEMKEIFPTLKMETPRRRDWVDLRRGDCFGMHELHFDDSSFGNYYTSIDAEGSVLDLIPEHIRMSMYSHQLDGFVFLWKNIVGETWIEKLKTELSDDGRGAIISHAPGTGKTCLTIVFILSLLKMYPMCRPVIIAPTSMLLTWENEFRKWGHRIPFHNFNSKDLSGNELKTDAEFLRRVGSRMTKLYSWTKEKSVLGISYKLFEQIASGRKGKGSGERLGEIFLQLPGFVVLDEGHTPRNQQSLVWKVLTGVKTKRKIILSGTPFQNNFDELYNTLCLVNPKLSGSKERWVSLTNAIDKNSDNAVEELKAMIDPFVHVHKGSILEESLPGLKDTLVILRPTDEQKEILQLILDDWSRFDQVHLVSLISVHPSLAAFSKRFSAHKDRLGVLGCSPYAGVKTKFAIELIRLCDASHEKVLVFSEFIHPLRFIMQQLMDQLKWREGIEVLYMDGKCDEKNRQSSISSLNDASSKVKVLFASTKACSEGINLIGASRVVLLDVVWNPSVERQAISRAYRLGQKKFVYVYHLITSGTLEVEKYAQQANKDRLSELVFSSRVGQTQALAKRPLLLYLSLVVDTVSYCLGAPVTFLLGITTLCYRPMVHLVKTEKTAWFCLQLFLYVGLTV >CDP18764 pep supercontig:AUK_PRJEB4211_v1:scaffold_282:89900:92115:1 gene:GSCOC_T00004280001 transcript:CDP18764 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVINNQTHKIYVPVLKACAALEHIDNGVKIHDDVKRDWLASDVYISTALVDFYVKCGCLLEARQVFNEMPERDVAWNAMISGFLIHGMHGDLIHFALEMQEMGVSPNSSTLVTILLVIGEVNEVIAGKAVQRLSVRRGIDSDVMMGTGRLDMNGKCGWLVYARRIFHAMTFRNEVTWSAMIGDCIACDCTQESLELFEQMRVEDVGSLPPVTLATVFQGRLT >CDP21218 pep supercontig:AUK_PRJEB4211_v1:scaffold_2822:2176:2499:-1 gene:GSCOC_T00004911001 transcript:CDP21218 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRLVTAILAYFLVHMAAASDDVGFIYQGFQSSNLSLDGLAKITNNGLLQITNTIRLQTGHAFYPNPINFKSTSNSCYGTETRETRVCRKHKELSSNEGNQLMMNQ >CDP21219 pep supercontig:AUK_PRJEB4211_v1:scaffold_2827:8508:12857:-1 gene:GSCOC_T00012894001 transcript:CDP21219 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIGATIQVTLERALSLASDRIGLLVGFKKDVASMTRSLGFIKDVLADAEEKQNQSRGVQRWLKCLEEVAYDAQNVLDELHYESLRHQVESRNRHKLKVCCFFSFSNINLAFRWRMASKVRDVKLKNRQTDSVLVPIIGRADDESNIVKILLSPSKKVVSVLPIIGMGGLGKTTLAKSIYNNQQIDGHFNKKIWVCVSKKIPIVELFKLILLQVTEEKVEVEDRNVIVGKIRNHLGGERYFLVLDDVWDDDQALWDDFFTTLKGLNPTNGTWCLVTTRLGLVAHSVSGALMMENEPYALGRLPDDHCWSILKEKAVGGQEEPDVLKAIKERVIKRCDGLPLAASVIGGLLRLKRKEEWRSILENRLLSLSGDGDRVMQILQLSFDNLPSPAIKKCFAYCSIFPNDAEMEGDMLIELWMAEGFLQVDLKNRTMVNKTMEEIGEYYLEILLQSSLLEEIRRHGERYYKMHDMVHEVRCLVTDSFGEDTINLFESRSNLLHTLFLSQGSLSDDMLMKLKNLHVLNLSGAKNQNLPISIGKLIHLRYINFEDSRSETLPESVCKLYNLQTLRLNSLALKVLPTGTCDLISLRHLHYYTYNEEFQMPLEMGRLTCLQTLAFFKVGREKGRRIGELGSLKNLKGNLEIHNLHLVKDRKGAEEAKLSEKANLFSLRLKWARPWHREGHNYNDEKVLDCLRPHPNLEELVIENFMGDQFPRWLMDLPTATTLPKLASLTFNCCNRCRELLPLQNFTSLKELVITNCGGLTNLPGDMLHSCASLQKLRVTYCDNLFSFPLDLQQTPSLLELELWKCPKLKTSTTPKGFGFLTSLRKLVIGPFSDDGDDHENSSIYSEFDWSGLISSSSSSSSSALRELELFGLPHMESLPPQIQYLTTLTSLTLLVFGGIKALPDWFGNFAALEDMHLWFFKELGHLPSEDAMRSLTKLKRLQVHGSPLLKERCTPGSSGPDSQWSKVSHIQDLRIS >CDP18765 pep supercontig:AUK_PRJEB4211_v1:scaffold_283:82858:85062:-1 gene:GSCOC_T00011847001 transcript:CDP18765 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFFGLAIFLFLTLDSDFTSSPISTASAGIQITYGSVIKLMHERTKFRLHSHDVPYGFGSGQQSITGFPNIDDSNSYWIVRPVPDTNAQQGDTIKGGTIIRLQHMRTRKWLHSHLLNTTLLDYIRKSKVAASEARGITQGMGAYKVQVPFDGKPQTFVFLNTPRHEAFRAMRARGARVIDIAVIVVATDDGIRPQIEEAIAHAKAARVRIVIAINKVRLHLF >CDP18767 pep supercontig:AUK_PRJEB4211_v1:scaffold_283:159719:160429:1 gene:GSCOC_T00011851001 transcript:CDP18767 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPSSFFINAKNIFLTYPRCVFPKQQALDAIRNIQFPISPIYVRVVQETHQDGSPHLYCLLQFEGKFRTESARFFDIKSPTSNSMFHPNVQGARNSLVVRDYISKYGDFVKWGNFRPDGQSRFSSDKTDEVYAAALVGEDKGMTLNIIKKGDPRSFIIHYDKLSSNLDRIFQKPLEPYVARFQQFERIPSFLIHWATQNVTGPANRPHRPMSIIIEGPSRTGKTCWARSLNPQV >CDP18766 pep supercontig:AUK_PRJEB4211_v1:scaffold_283:139011:155745:1 gene:GSCOC_T00011849001 transcript:CDP18766 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAAASSSSENQSRPVPEDIVGRLSSSMASYEIKLKALRDLKNQIIGNRTKKLAFLKLGAVPSVVSILSSATAAASCRGGYDDNLDSIIIQSAAVIGSFACGFDAGVQAVLDAGALPILLSLISHQNEKVVDAGARSLKLIYQSKLAPKYEFFKDQNLEFLLSLLNCKNENVTGLGACVITHSCRTSIEQRALNDAGVVRKLISLLGGSVIQRDSSLESLAAILKENSEVILKFVGPENGRALNDVIELTKDKHPRTRLLACMCLVVIRNALPSYLQDLQIKTKLVLILLELLDDPGQVGEEAPFILSSLIVGKEDMQRLAFQENVIDKLCCHLEKGSLQAKRLQGIFLALADLCSRLESCRTKLLSLKALQFMVDAMSHDSAEVRAAACICLKNVSRSVENLSAGTFMNESVINPVVQLLCDTSTSVQVAALGAISNVVVDFMVQKTTFIQFGGVKQLVELSKSMDSTIRMNAVCALRNLMFLVSDRCKEAILLELTQSTLTSLICDPEVSVQEQALALVCNLVDGSTDGIRHVFADNCSLLRAVVRQIQSASKVEVVIQGMYVLTNVASGDELHKEAVMDELFPTLPGGPQSIVIKFLQSNESQLRTAAVWTLVNLTLPNSSGAFGRAVKLRRAGIISQLKNMMNDPCLDVKLRARTAFGQMMTLGDGSP >CDP21220 pep supercontig:AUK_PRJEB4211_v1:scaffold_2832:2:692:1 gene:GSCOC_T00001882001 transcript:CDP21220 gene_biotype:protein_coding transcript_biotype:protein_coding YCNALSVLFEDKKGVRKVILNRPKQLNCLTYEMFCQMLKKLGDYEEDPNTRLVILKGNGRAFCAGGDVKSVFCTKEIQCSLVGCKKLITA >CDP21221 pep supercontig:AUK_PRJEB4211_v1:scaffold_2832:4085:6136:-1 gene:GSCOC_T00001883001 transcript:CDP21221 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDFKKIEGESRKETLSLLHQACEKWGFFMIENHSIDVELMVKVKALVNQHYEQNMKGRFYNSDVAKSLVDKEITRNIDWESAFFIWHRPVSNINQFANLPMDFQKTMDEYIDQLIKLAETLSELMSENLGLEKSFIKQAFSGSKGPSVGTKVAKYPRCPRPEQVRGLREHTDAGGIILLLQDDEVPGLEFFKDGEWVEIPPSKNNRIFVNTGDQLEVLSNGIYKSALHRVMADKNGSRLSIATFYNPAGDAIISPASKLLHPGGFSFQEYLKIYAETKFADKAPRLESMKKLTNGPRDLLM >CDP21222 pep supercontig:AUK_PRJEB4211_v1:scaffold_2833:6725:9961:-1 gene:GSCOC_T00000629001 transcript:CDP21222 gene_biotype:protein_coding transcript_biotype:protein_coding YEAIQLFSWYAFKKDYPAEDYEEISIEIVHYAGCLPLALKVLGSFLYGRGMAEWRSEVERLKRIPEDEIMEKLKVSFNGLNEAEKEIFLDIACFFKGKKKEYIRRVLDSFDFYPDIGIKVLIEKSLVTVSGGRILMHCLIQEMGWHIVRQKAPDEPGKHSRLWVAEEICDVLARDKVTENVVGMWLDLSTPKDVLINNEAFEKMKKLRLLKIHNACVSRCPNCLPSEIRWLNWHGYPSKSLPVSFQPEKLVGLKLQYSRVIQLWKGIKLLDKLKYINLSHSQKLIRTPDFTGIPNLERLILEDCSSLTEIHPSAGYLKRLQLFNLRNCTNLGSLPKQIILESLEVMILSGCSKVGEFPKILGTMDHLKAVYLEATAIKELPPSIEHLTSLVLLNLSYCKSLTSLPNCLCRLKCLEALILSGCSKLDKLPEELGHVLSLKELYVDGTAISKPPSSIVLLKNLKTLSFRGCKAMASQTWRAFSSSWLLGQKSQDSMGLVLPSVSGLNSLAKLDLSDCNLLDGGFPCDLGSLSSLVELNLGTNNFTSISAASIKNLSRLQILELVGCKRLEILPELPPSIEELYADNCTSLQSATDLLTKYGKLYRVSFSNCFQLLQDEQTSSMIDATWNHMLEELLLIDDNFSICLPGGRIPSWFTYQNWGPSIRFKLPSNWYSDEFMGFAVCAVSDLITTSLSVRHFLQKLPGVRIQFNLIDQEKKICDCMFTIGYVGSENNIDSEHTCLGYLSFDNIWSLCSRRVRSPNDVTCIEVSADVLSKKYMVFKAWGISLVYEKDVRRNTELSMIPQSSELGERGLSSNVIVNGLKSIRKKRRGHEGFSRIPPIRTQTQGNEGFSRIPPK >CDP21223 pep supercontig:AUK_PRJEB4211_v1:scaffold_2842:2:3243:1 gene:GSCOC_T00002069001 transcript:CDP21223 gene_biotype:protein_coding transcript_biotype:protein_coding MMPILAAFWAPFLLPHLGGPDNITAISLKDNELWIRHLLGLIIQFSAVAYVFSQSLRNVFYVPTILLIFAGTIKYAERTRALYLACLAAQVPVEIEIVKEHDRGTQTSANPEEENLSPEDISDIDIVQNGSAFDAFRVMEVELNFIYDTLYTKMAVVHSKKGYRLRLICSILIVLSFERFASHHKPDINHFDVATTYILLSGAVLLDFVAFTKLIFSDWTIVKLKNLTVKTTVYAVREKLSCSKRWSNTLWQCNLINFCVNQRWRWLDIAAETVGIKDVLDEMYYKEDIVIPEDLKDFIFIELKVKATKAKTTNVAKEIYSARGDLVLLDYTNHYPYPIISSSVGDEVEYDESLLLWHIATELCYCTSPDDGNSNRNYCKLISDYMLYLLVMRPNLMSAVSGIGQIQFRDTCEEAKMFFSRESSSANRKRNACEKLLNVNALVKAIEVKGDRSKSILFDACRLAKDLKKLNDKKRWEIMSKVCDR >CDP21224 pep supercontig:AUK_PRJEB4211_v1:scaffold_2843:14303:14566:1 gene:GSCOC_T00010991001 transcript:CDP21224 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIQDMRIRQFILVLKRVWKAADKVVALPGQPKGLKFDQYSGYVTVDPKTGKALFYYFAESENPSNKPRVLWPNGGTFTVIIIIFG >CDP18773 pep supercontig:AUK_PRJEB4211_v1:scaffold_285:249203:250463:-1 gene:GSCOC_T00000130001 transcript:CDP18773 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLFIHCFDTKSSSMLVGMQVGLCCTKNTGEIFALKKLRKSDMLRQGQVEHVRFERNLLVEVDSLYSGTFLFFPRFRFFIPYDGIFSWWGHHDLADERGYSF >CDP18768 pep supercontig:AUK_PRJEB4211_v1:scaffold_285:31860:31937:1 gene:GSCOC_T00000117001 transcript:CDP18768 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVDHPHGGEERRAPIGRKNSQAL >CDP18771 pep supercontig:AUK_PRJEB4211_v1:scaffold_285:114522:118259:1 gene:GSCOC_T00000121001 transcript:CDP18771 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSFLLCLILATIFVVVVNGSESEVGIYELKKGDFSLKVTNFGARIISLVLPDKNGKPTDVVLGYDSVKEYLNDTQYFGAIVGRVVNRIGGAQFTLNGVHYKLDANEKPNMLHGGRKGFSLLTWNVEKYVKDRANPFIVLSYYSPDGEEGFPGNLLVRVTYALLEPYKLSVIMEAEALNKATPVNLAQHSYWNLGGHNSGDVLSDKVQIFGLHYTPANNQLIPTGEILPVKGTPYDFLKPHKIQSQMKGLPSGGAPSGYNVNYALDEGKDHKLKLAAIAYSKKTGIGMMISTSAPGLQFYTANYLNVTGKGGYVYQSHAAYCFETQGFPDAVNHPNFPSTIVNPGDLYVHNMLVEFKIKQK >CDP18772 pep supercontig:AUK_PRJEB4211_v1:scaffold_285:185011:186075:-1 gene:GSCOC_T00000129001 transcript:CDP18772 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYSFKMIFLALKFLKVQVHQDDFKLNVRLQLFCLIAKQLAFHQLRSVEQLGYITVLMQRVDFGVRGVQFIIQSTVKVFIDLSYFIQQFEAFLKIFESKLYEITPEEFKVSLTNL >CDP18770 pep supercontig:AUK_PRJEB4211_v1:scaffold_285:57933:61798:-1 gene:GSCOC_T00000120001 transcript:CDP18770 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKMISIFLLVLLFPSFHPKCGASAYAEEALALLKWKASFQNQNNSFLTSWNLQSIIAKNSSSLPCTWAGISCVVGGVNRLNLSAWSIKGSLFDFPFSSLPNLEYLDLSHNQIFGCIPKQIGSLAKLIYLDFSDNNLTGSIPASFCDLNRLVELSLFQNHLSGPIPSAIGNLTSLQFLILNQNNLIGTIPKSLGNLTNLIKLQLNDNQLSGSIPKELGNLKFLTKMEVGENQLIGSIPISIGNLSNLESLSLQTNQFSGSIPSTFGNLNRLVNLSIYQNCLSGPIPSEVGNLISLQFLLLFQNNLTGAIPNSLGNLTNLIQLYLYYNQFSGSIPKELGDLKCLINIAISENQLSGSIPVSIGNLSNLEYFLFQNHLSGPIPSEFGNLISLQFLFLSQNNLTGAIPKSLGNLTNLIQLYLYYNQLSGSIPKELGDLKFLTDMGIGENQLNGPIPVAIGNLSSLRRLQLRDNQISGTVPEELRNLKKLAFMVLAQNQFSGPLPELLCQNATLQFIAVSGNMLSGPIPRSLRNCSSLVRASGIPPEIGNLTQLRALNLSSNCLSGEIPRAVAKLASMLKLDLHDNQFLGGIPQELGGLVEFLDLSTNSLSGNLPKLLGDLKHLFHMNLSNNVLSQKIPPQIGNLQSLGMLDLSHNNLSGLIPKTLAALPGLCRINLSFNNLEGPIPSGRAFANLTLEEVKGNKGLCGNITGLQACESSPLSKKHGMDKRKELVLIIVLPLLGSFMLLGAFFGVLRLHDQRKRNSRAEVMEVKMGNLFAICAHDAKALYKEIVRSTEEFSEIFCIGKGGYGSVYRAQLPSGDVVAVKRLHNMPNVAKDRNFLNEIRALTEIKHRNIVKLFGFCSNAQHSILVYEHLERGSLAKILTIEEEAKVLDWQKRLKIIKGIAHALSYMHHDCSLAIVHRDISSKNILLDPEYEAHISDFGTSKFLKKDSSNWSSLAGTYGYVAPEFAYAMKVDEKCDVYSFGVLTMEVIKGKHPGDLIANLMSSNPEDIELKDLLDQRLLYPNQEIEKILMSILKLARECLHADPQCRPTMLLISRSMSACEPSK >CDP18774 pep supercontig:AUK_PRJEB4211_v1:scaffold_285:250516:250880:-1 gene:GSCOC_T00000131001 transcript:CDP18774 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMENLCLSSIGSMCDVLDLLADMLQVVNPSDCSAVKDEVIVILLSNVIPTRRN >CDP18775 pep supercontig:AUK_PRJEB4211_v1:scaffold_285:267229:269230:-1 gene:GSCOC_T00000133001 transcript:CDP18775 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFVIISWFIIFFIRPLLCIVFAFQCPAKNFSSFISLMEFHLFRSFPYGLPFFRFARFALFCPLDILLLYCLLLAGFTCLLRVSFVLSWPHENTSSGNFSRLLSLSLTEFHLPRARRSSDLRPLALHFLILSSFSLPLPLYRLHVLYQLLLHPPSQVSLLPPTLRFLLRLSQFQINKDMTHET >CDP18769 pep supercontig:AUK_PRJEB4211_v1:scaffold_285:48895:50016:1 gene:GSCOC_T00000119001 transcript:CDP18769 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVSKHLFDASCLKIQKNSLQNVTQYFGAIVGRVVNRIGGAQFTLNGVHYKLDTNEKPNMLHGGRKGFSLLTWKLEKYVKDKANPFIVLSYYSPDGEEGFPGNLLVRVTYALLEPYKLSVVMEAEALNKATPVNLAQHSYWNLGGHNSGEFFFFCLFFLPPPPATPLLPLPFPSLPPPPISSPLPRHPLCPPPLSSPLPSPHPPSLSRLCKRRPASEFFFFFCNFPSPPLLPFPRHPHPPSVQSGLATRSAKGEGEGWWGRSGGEGKGEKKRERGSKSGKVGGWEGRKRGRGRKEKKKRR >CDP18776 pep supercontig:AUK_PRJEB4211_v1:scaffold_285:275112:291499:1 gene:GSCOC_T00000134001 transcript:CDP18776 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVDLQFSSLANLDIFELDFASDDRQLVLTGSAPSTERFNRLSWGKGPSDSEEFSLGLIAGGLVDGNIGLWNPKRLISAQSGNRSSEAIGAFLCHLSRHRGPVRGLEFSTHTPNLIASGADEGDVCIWDVINPTEPTHFPPLRGSSSATQGEISFLSWNCITSHILASTSYNGTTVVWDLRRQKPVLSFADSARRRCSVLQWNPDAATQLIVASDEDSSPSLRLWDLRNVMSPNKELVGHTKGVIAMSWCPIDSSYVLTCAKDNRTICWDVGSGEIISELPAGTNWNFDVHWYPRIPGVISASSFDGKIGIYNVEGCGRYGTGEGDLSTAPLKAPKWYKRKAGVSFGFGGKLVSFNSTEAPAGSSEACSVYVHSLVTEHSLATRSSEFQAAIQNGERSSLRLLCEKKFQESESEDEKEIWGFLKVMFEDDGTARSNLLSHLGFSPPSEETDAVENHISEQVNALDLNESGKDKDGFTTTKETVMYANDNGEDFFNNLPSPRADTPVSTSESKFIGGDSVPVEEGSQQETEQEDIDDSSFDDALQRALVVGDYKGAVAQCISASKMADALVIAHAGGSALWESTRNKYLKTSHSPYLKVVAAMVTKDLTSLVSTRPLKSWKETIALLCSFAQPDEWTFLCDTLASRLMAAGYTLPATLCFICAGNIDKTVEIWSRILANEHDGKSYVELLQDLMEKTVVLALATGQKQFSASIYKLIEKYAEILASQGLLSTAMEYLKLLGTEELSPELKVLQDRIALSIEPDKDVQQPEPGPVHGFDQPSYGGVDASRSFYPEPTPPQLQASVPSSPYADNNYPQPLASSFSRGYSPAPTYQTTHQPSIQQPNMFMPSQVAQPSQGNFAPPPVNTQPPLRPFVPSDTPMLRNVEKYQQPTLGSQLYPGPANPNYQVGPQMVRPPPVQKMPQVVAPSPASRGFVPISNSGIQRPGMNQIQPPSPNQAAPVQTPVTPAGPPPTVQTADTSNVPAQQKPVIATLTRLFNETSEALGGSRATAGKRREIEDNSRKLGALFMKLNSGDISKNAAEKLIQLCQALDNGDFSTALQIQVQLTTSDWDECNFWLATLKRMLKTRQNFR >CDP18777 pep supercontig:AUK_PRJEB4211_v1:scaffold_285:306644:323975:1 gene:GSCOC_T00000135001 transcript:CDP18777 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQTNNLWNLVTMLKQKQRSLMYFTSAPLILVLLVLMLLQSLAVMVVARVENYTRSDFPVDFVFGSGTASYQVEGAALDEGRTPSIWDTYTHANKGLSNGATGDIACDHYHKYKEDVQHMVETGLEAYRFSISWSRLIPNGRGHVNPKGLEYYNNLINELLMHGIQPHVTLVHLDNPQVLEDEYGGWLSRKMVRDFTAYADLCFKEFGDRVLHWTTINEANVFAIGGYDNGLAPPGRCSPPFGQTCTEGDSSTEPYIAGHNMLLAHSSVVKLYYAKYKAIQRGFVGLNLYAPWFSPYSKAVADVIATKRAIDYYIGWFLHPLVFGDYPDIIKKNAGKKIPTLTPRESKLIKGSIDFIGLNHYFIFYVKDNPSNLSRNIRDITADMAVSIFLEPEDATTDQDEVESSSLFAILEYLKKVYANPPIYIQENGKGMERNGTLIDTPRVKYVHSYIGALLDAIKNGSNTKGYFLWSFLDGLELLGGYQTGYGLYYVDLDDKQLKRYPKLSAHWYSNFLKGRSIRPDEIIVDGNEAFVSSISLTHASAPLILVLVVLTLLQFQAIMVVARVKNYKRSDFPADFVFGAGASAYQVEGAALEDGRTPSIWDTFVHANKGFFNGDTGDVACDQYHKYKEDVQHMVDIGLEAYRFSISWSRLIPNGRGHVNPKGLEYYNNLINELLMHGIQPHVTLFHFDAPQVLEDEYGGWLSQKMVKDFTAYADVCFKEFGDRVLHWTTLNEANMIAIAGYDTGIIPPGRCSRPFGLMCTEGNSSIEPYIAGHNLLLAHSSVVKLYYRKYKAIQHGFVGLNIFAPWFSPYSNTTEDVFATQRAFDFYIGWFLHSLVFGDYPDIVKKNAGKRIPAFTPRESKLIKGSFDFIALNYYFTFYVRDNPGSLTMNIRDMTADMALSIFFEPEDAPPNQNVLESSDLFPLLEYIKKVYANPPIYVQENGKSTKRNGTLIDTPRVKYMRSCIGTLLDAIKNGSNTKGYFVWSFMDGLEFLGGYQTAYGLYYVDLDDKQLRRYPKLSAHWYSNFLKGRGIRPDEIIVVENNTFNPSTSEASDH >CDP21225 pep supercontig:AUK_PRJEB4211_v1:scaffold_2851:10544:11282:1 gene:GSCOC_T00012933001 transcript:CDP21225 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRKFEITLLSANDLEDVRKFFKMKVYLRVSIGRSSEEAERRTPADKHGEVNPAWNFTLKYTINESMVQHFNTMLVIKLYCKRKLGDRYIGEVHTSMKDLFDYAYPVGGSAVVSYPVQKGSVNSQGALRFSYRFGEKVWGKGMPRQTPVS >CDP21226 pep supercontig:AUK_PRJEB4211_v1:scaffold_2856:3186:3995:1 gene:GSCOC_T00001872001 transcript:CDP21226 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLGSCIFKSTLPFYTLITLSFFLPFCTRAPLCFFTCTRTLLSSLAHMHFILSFHIPYLAHSRAHFHLHIYCFL >CDP18778 pep supercontig:AUK_PRJEB4211_v1:scaffold_286:122371:128220:1 gene:GSCOC_T00004579001 transcript:CDP18778 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWAVNHGVLVKIFVLCMMVSSVICIGANWGTQSTHPLPPSTIVKLLRDNGIQKVKLFDADPSILYALGGSGIEVTVGIPNDMLYSLANSVAAAELWVQRNVSAHISSTSVDIRYVAVGNEPFLTTLNGTYTGTTYPALQNVQAALIKAGLGTRVKNNGIGNLSIIVGEVGWPTDGDLHANIQYAQRFNQGFMSHMGKGTPMRPGTVDAYLFSLIDEDAKSIKPGNFERHWGVFNYDGTPKYNLSLGSNSVGLVAASNVQYLSRQWCVMSSNASLDDPQVAPSVSYACSHADCTSLGYGTSCGNLDTGGNISYAFNSYYQENNQLLSACQFPGLSVVTTTDPSQGVCVYKVMIRTSTLGGGANVSSGFLSEPSNLLLLLFMIFLTLL >CDP21227 pep supercontig:AUK_PRJEB4211_v1:scaffold_2868:1362:8493:1 gene:GSCOC_T00006098001 transcript:CDP21227 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDNVMLNEDIEALMRRVPGADLKRLMDTGEGGEQDAQADSANHPELYRAAMKGRWKKAKVIFDQDPDAVKRKISNLGMTALHVAASCGRSEFVEQLVKILSREQLEAVDQLGRTVLHHVALAADVDAAKALVAKNPNLPYLGDVNRLTPLFYAAKWRHPSQSKKMVEYLCQVITEDENVPVLLEGRVCNAFTDDSAPDLIVAITATGSYDIALRILERYPELAYKENHNKMSILHVLAMQPKAFASGNKISAWISWIYKLVQVDKEETKHKDSTSGVAIQVDKEETKHKDSTSGVANSKNRDSAALFFKFMSKFNKGIWKMFKTMQRNLDAVRLVKFVCKELQKQEMEFVQNYFIPKDRTAILHLAVEHGVYELVQECLKHFPDLIWYAETATGGVSSRDGTGVQYTYTATGRLLLHEDQYTDTSTGHFTLDDGQDANIPTGRLLLHVAIEHRRVEIFNYLINLIGKNTKAYADLQLEGKNNSLHLAAKLAPTPQLQSVPGPAFQMQRELQWFKAVEALVYFELRTEKNSGGKTPRELLFDEHKDLLTNAKEWMKDMSNSCMVVATLVATVAFAAMITVPGGNNGNTGVPILARKKLFLAFSISNAFSMVFSAISLLMFLSMQTSRYTEDDFLDLLPKVLLRGLMSLGVAVATMMISFGTAIGLSLQTRLNWAYIPITVAACFPVIIFTWLQLPLLLQALLFKSGPGIFQGQRDRKSWRLRKIGHRLLLANDRV >CDP18780 pep supercontig:AUK_PRJEB4211_v1:scaffold_287:298631:306616:1 gene:GSCOC_T00010711001 transcript:CDP18780 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPSPMEFHYANSAPGMPYNSIGSFVDFFGGLTYDHVNFIFAEAHPYAQDSVYPLMNTSFHKFAHSEPGSFYCDYGHGYVMNDHTQTSEIGEYGRNLEDPSSMIQEQTGADHMQREENSISPSHANPVECPRSHQNTRDYEVVWQDNIDPDNMTYEELLELGEAVGTQNRGLSQELISLLPVSKFKCGLFSRKKSRHERCVICQMEYKRGDRQMTLPCKHLYHVGCGSRWLSINKACPICYKEVSLNGSKK >CDP18779 pep supercontig:AUK_PRJEB4211_v1:scaffold_287:15950:16534:-1 gene:GSCOC_T00010709001 transcript:CDP18779 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKEGIYTAKPKKVVILWDLDNKPPRGPPYQAAMALKKVAQHFGNLVDISAYANRHAFIHLPQWVVEERRERRRMDILERKGVSTPSEPYICSVCGRKCKTHLDLKKHFRQLHERERQKKLNRMRSLKGKKRQRFKERFIDGNEKYNEAARTLTSPKVGYGLASELRRAGVFVKTVEDKPQENFTNIPKPHS >CDP21228 pep supercontig:AUK_PRJEB4211_v1:scaffold_2871:3219:5888:-1 gene:GSCOC_T00009089001 transcript:CDP21228 gene_biotype:protein_coding transcript_biotype:protein_coding MERTCNYFPVGLLLATSLAAMATAKFLSDESALVALRNNIVSDPHLILAKNWSISSSICDWIGVTCDSSRQRVVVLNISNMGFAGTIPRQLGNLSFLVTLDMSNNSFHGYLPEGMSHLRRLSFMALSNNNLAGEIPSWLGVLDRLQYLSLRNNSFLSGEILSGLANCSRLESLSLSFNWFNGSMPKELGSLKMLEVLDLGYNLVEVIIFYLGRSQQTCSNAPSLRILSLEHNNLTGSIPREIRNLTMLEELYIYKNNLSGPIPNEMFNISTLRVFDLSDNHLSGILPSNMCHGLHNLEDISLAVNDFSGVIPASISNCSKLATISLGDNKFSGRIPNSIGNLRHLELMIIWVASNPLNGILPRSIGNLSISVEWLDLANCGLKGNIPDSIGNLSNLRYLNPRSNSLTGSIPTTIWGLQKLIGLGLHNNSLSGSLSGDLCGLQSLKYLYLSQNQISGSIPGCFNNLTSLRYLDIAFNRLTSTLPMSLWDHKDLVVVNLSSNFLRGPLAPEMGELKFLTTLDLSNNQFSGKIPSTIWSLESLDYLSLANNSLQGSIPNNL >CDP18816 pep supercontig:AUK_PRJEB4211_v1:scaffold_289:61035:63069:-1 gene:GSCOC_T00003126001 transcript:CDP18816 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNCNYVVFQDLFRNAIVNPGPPEDFALQTVQEAIKPQKQTKLAQDENQLLENILRTLLQELVSAAVQSGEKVMQYGQSVAEGENSPGQIPRLLDIVLYLCEKEHIEGGMIFQLLEDLTEMSTMRNCEDIFGYIESKQEILGKACQEFPDFCTTNIG >CDP18817 pep supercontig:AUK_PRJEB4211_v1:scaffold_289:300325:312847:1 gene:GSCOC_T00003127001 transcript:CDP18817 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLQFVHILSTALVIFTFSAASNPRPQPYVVYMGSSSNYNKLDSDAAKLSHLQLLSSIIPREERDRLSIQHSYQHSFSGFSAMLTEDEASILSAHSKVVSVFPDPVLKLHTTRSWDFLEQQSKIRLNYHLDQISSDIIVGVIDTGIWPELPSFSDRGVGKIPSRWKGECMEGPNFKKSNCNRKIIGARFYDDFDLSTKPLGNKPNKRQGSPRDAVGHGTHTASIVAGAAVANASYYGLARGKAKGGVPSARIAAYKACSTDGCSGSTILKAIEDALSDGVDIISISIGQSSVFQPDFLSDPIAIGAFHAAEKGVMVICSAGNEGPEPYTVVNSAPWLFTVAASTVDRDLQSIVILGNKRSYQGTAINFSPLNSSKAYPLAFGENVAARFVSPSDARNCAPGSLDRIKVAGKIVICLNDNPTISRMIKKLVVEDAKAKGLILIDEEKRSSLLDSGIFPFTEVGKLSGSPILNYLNSTKNPTATILPTFEVPNFKPAPIIADFSSRGPGSLTEDILKPDIVAPGVAILAAMIPKSNIGDLLPGMKPSSFGIRSGTSMACPHVTGAMAFVKSIHPNWSYSMIKSALMTTATTSNNLGKPITNTSNYNGNPHEMGVGEISPLGALHPGLVFETTTKDYLRFLCYYGYKEKAIRSMSNRNFCCPRNSAKELISNVNYPSISIGELDRSQGAKRVKRIVTNVGPPNATFFSSLRAPPGLTVKVIPKKLSFTTSVKKLLLKVSFDSKYASKGYNYGAILLFDGSHTVHITFVVNVV >CDP18815 pep supercontig:AUK_PRJEB4211_v1:scaffold_289:41629:59347:-1 gene:GSCOC_T00003124001 transcript:CDP18815 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSAVNIKGVFNTSNETKYETEAPDGISIDLNFYKTLWSLQEYFSNPASLSVTPSKWHKFNSSLMTVLSTFEAQPLSDDEGNAINLEDEAANFSIKYLTSPKLMGLELKDPNFRRHILVQCLILFDYLKAPGKNDKDLPSETMKEEIKNCEERVKKLLDMTPPRGKEFLKSIEHILERERNWVWWKRDGCPPFEKQPLEKKLTQDGVKKRRPRWRLGNKELSQLWKWADQNPNALTDPQRVRTPAISEYWKPLAEDMDESAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSRFTEHGIEGVVPSELLPPDVRSKYQAKPNDRSKRAKKEETKSTIQPEENQIAATDINVEGSKANLETSAAPLDTDDTIATASTSQGGTPDEIQKQSSETDGGHDVRVEADADGEADAETGMIDGETDAEADLEAVS >CDP18814 pep supercontig:AUK_PRJEB4211_v1:scaffold_289:17594:39115:1 gene:GSCOC_T00003123001 transcript:CDP18814 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPALEAFRPAQFSEDAAWLPIWLQQQNVEPFNEGINGGETPFDQRVKELQLLQQEINKEENANLSRSGEGGYKSCHLLLSEDEISPHCFTASNDNVINFHLHLSADSNSECLANPLKDSSQVQGLVSNRVVLKQPVGMSVVSEGKINRSDVGCNPLFVNHSPNSRCLNENHIPRHEGNVGFCQVDDISEAVELSIAASEALVIHEIMTGIVTKPFLATMVLEAAIQLKQARLDIWNETSQCSSMHIGEMDFHSVMHDLTVEDAYENVGLSIDPSIHENDVSQVKDTFDLENHRHEGNSEHEETIGFVKTLDDFEVQIADKGLHDEMKVEEISASEIFCGNRCKELLKNSSVCLDSASKDCSTSSPVDCSEQENLQVSASVEVDQFTSAYLFLSFLFAEKYSTAVNFLPRRFQSRWLGGWAWKEDEKPFAQMEHREIQGIPKPFVNEMSYLSESADVALDENSVLQKRSKRTNPSSQASIPSEIYCNKADREINSQDVMRCSSLSVGDPLCSVVACSFSSENICSTALLKHEHDISGNCSIAKPEYTTDNLQRTSTAVELVHAEQHIVPVTYSELLPVVHRQFTSLKPYSVMDGNPGGSLAKENSCRGTFPTETMPKLSMMENPFSNLTGIKSFNKPVENGPFTMYLWNNEKMSTPILDHRQEKSAMQGGSEVFAHNKKLPKVQSTCENQNSSQIPARKRVHFVDAGTNNFPKKKLSKYQASLKDSHTSRASKKLRTTNRHCDSGGQEQRRCQRNCFSNKWLRLLFRNMEFLLTGFSIQKEKQFEGLIKEYGGIILSDIPSPKSRGNRISRFSSHKLPIVLSSKKMETIKFLYGCAVNALVLKADWLTDSIVAGLILPPQQYSILHESFAGCFTRSRLPFSCNFRQPIFDNFGIMLHGKNNFCIQMGKIIKHGGGKVFKTLKFLVDNINTERISQGAIVVENESRASRHLKQCALEYEIPMMSSHWIIKSLHNGKLLPFNEKKNSSCLTTSKLPNCLASIELSEEI >CDP21230 pep supercontig:AUK_PRJEB4211_v1:scaffold_2892:6087:6296:-1 gene:GSCOC_T00000812001 transcript:CDP21230 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTAADPASPSPPPPQPPRSSFSCDRHLQEQFTGFCPSCLCERLTTLDQSFLLFSPPLRLLLLRCRRP >CDP21229 pep supercontig:AUK_PRJEB4211_v1:scaffold_2892:1365:2363:-1 gene:GSCOC_T00000811001 transcript:CDP21229 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIQYLVAIPVVLALFLSYIQWKPRSQITDEKRKSPPEPEGAWPVIGHLLQMNPKIHVAETLAAMADKNGPVFTIRLGMLPVLVVNNWESVKECFTTNDKAFASRPPSSFAKYLCYDYAAFGIAPYGRYWRDVRKMALRELLSAQRLEKLNQVRISEVKTSIKEIFLNISEVNNHSNEIKAPARVDLRDVFEKLTLNIVLRKIAGGKHTDSDVGIKRDAEFRRVLMEFEAFARVLVVSDIIPFRFLKWLDPQGHIKSMKRLAKELDKYMQTWVDEHKEGRMKNSDDGDDELDFIDVLLSTIKDESICGFSKEVVIKSTILVSHPCTCIFGL >CDP21231 pep supercontig:AUK_PRJEB4211_v1:scaffold_2893:3934:4143:-1 gene:GSCOC_T00001556001 transcript:CDP21231 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKSLQKGDDDHEAIETQLLFHMLMMVLFKGRQRNEKDWAKLFTETGFSDYKITAVLGLRSIIEVYYN >CDP21232 pep supercontig:AUK_PRJEB4211_v1:scaffold_2899:258:3365:-1 gene:GSCOC_T00004622001 transcript:CDP21232 gene_biotype:protein_coding transcript_biotype:protein_coding MPNICFLHCTSTKFEQNFAVMAIQDIALSILGTIAEKCVDPILRQFQYLIFYKSNVQTLSDDIKILELKEAEVQQLVRQAKDNAEEIKPTVVDWLERVEDVKKDAHTISEGMETAKVNCLNIVRLPNLKSCYLLGRRAAKRKSALEKLLGEGNFDKVGSIAPLGKMRFSESTPSLEEGLVSRMSTKKEVMEALKQEKTSLMAICGMGGIGKTTLVKQIADQVKFEKLIDEVAIAIVSQSPDMRNVQDQLAEQLGLKITEQTDLARAKRLYTRLTNSDKRILVILDDIWKEVDFEHLGIPVKGECKSLKVILTSRFSNACQDMGAEIFEVNVLPKEEAWHLFKEVAEISDDSALSGVAKQVAEECKGLPLAIVVVARALKGNHTPESWDRALRQLRKDRMGNLRGVQDLVFLRIEWSYNHLGTTEAKHLLLLCSLFPEDYSIPIEQLVRYGKGLQLFRDTENLRDARDKVDLLVDELKSSYLLLNDATKEDSVKLHDVVRDVCLSIASKDEHEFLVSNSGVGEKNSYTAISLILQHSNHDLLPFCKEYPRLRLLRLVFQSDWAFQLRELNLSEDSFVGMEALRVMELNHSQIEFPLSWPGQMLRSLRTLCLDYCVLGTGMSSMLGHMMQLETLSIFQSKILDDRFPAEIGQLSNLKLLDLRVESSLHPLPSGILSSLKKLEELYLGSGDHLQLGRDKKEEIGCLKEISSISNLACLQIALYDLSLLPLSLQEFDTQRLLRFDIAVANHERALAFHSKTYQFRKSFKLHLLGCDDEELKQVFDPNVTSIVKRTENLILRLSESSCLRNLVPDLGENGFINLKKLRLDGGQYECLVDSTANLGFLPPGCFGQLQEARLYSISALECLWKGPVESPSLCNLRSIEVGYCAQITTLFSQSALKCLVKLQKIDVAGCENLERIVLREESLTEEVLELPQLKALHLRVTNFIGFGSEDDKAVAFFDQVCFLSLSLSFSWVII >CDP18820 pep supercontig:AUK_PRJEB4211_v1:scaffold_290:60374:70524:-1 gene:GSCOC_T00010056001 transcript:CDP18820 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGTTTPPSKASNSNLVFAVNGEKFEVANIDPSTTLLQFLRYHTRFKSAKLSCGEGGCGACVVMLSKYNPELGQVEAFSVSSCLTLLCSVHNCSITTSDGLGNSKDGFHPIHQRFAGFHASQCGYCTPGMCMSFFAALAQAEKANRPEPPPGFSKLTVVEAEKAIAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWRKGEPKEVKLRRLPLYTPDGKFSIFPEFLRGRSKSAMILHLKGKSWYSPTTIEEVKSLLNSNMIEDNMQIRLVVGNTGMGYYKELDNYDRYIDLRYVPELSTIRKNLRGIEIGAAVTISKVISCLKEEGNVYYSSDSKQVFENLADHMEKIASGFIRNSASIGGNLVMAQRKSFPSDIATILLAVGSLVSITSGHKHESLTLEEFFSRPPMDSRSVLLSVHIPSLKPKGSGYSNESNSKLIFETYRAAPRPLGNALPYLNAAFLADVSPQVNGLIVNDIQLAFGVYGTKHPTRARKVEEYLSGKILTASILYEAVKLVKAGVIPEAGTSHAAYRTSLAVGLLFQFLFPYVNVGSCICDGLSNGFAGNLLKDSSENHKENSLHQSASSKLLSSGKQEVKSSKEHYPVGEPTTKSGAAIQASGEAVFVDDIPSPPNCLYGTFIYSTKPLARIKGVELIPNNRITGVAALISYKDIPERGENVGSMTKRGFEPLFADEFTRCAGEPIAFVVAESQKSADLAARSALVKYDTENLDPPILTVEEAVEKSSFFEIPAFLYPAQVGDFSKGMAEADHRILSAEIKLGSQYYFYMETQTALAVPDEDNCILVYSSTQSAEHMHITIAKCLGIPEHNVRVITRRVGGGFGGKLMRSMPVATACALAAYKLRCPVRTYLNRKTDMIMIGGRHPMKITYNVGFKSSGKVTALHLDILINAGLSAEVSPVMPLTLIATLKKYNWGALSFDIKVCKTNHSTKSTMRAPGEVQGSYIADAIMEQIASMLSMEVDSVRNINLHTFESLKVFYGEAAGEALEYTLTDMWEKLGASSRLVQRTEMIEQFNRINTWKKRGISRVPIVYEVAVVSTPGKVSILSDGSIVVEVGGIEIGQGLWTKVKQVTAYALSLIGCNGTENLVEKVRVVQSDTLSLVQGGYTGRSTKSESSCAAVRLCCNLLVERLVPLKSKLQEQMGSVNWEVLIVQAYSQSVNLAAHSYYVPASNSIHYLNYGAAVGEVEINILTGETKILQADIIYDCGKSMNPAVDLGQIEGAFAQGVGFFMLEEFVINADGLTISDGTWTYKIPAIDNIPMQLNVEVVNSGHQEKRVLSSKASGEPPLVLAASVHCATRAAIKEARKQLNTWSRLDGPDSAFDLDVPAIMPVVKKACGLDNVEKYLESLLH >CDP18818 pep supercontig:AUK_PRJEB4211_v1:scaffold_290:5086:14547:-1 gene:GSCOC_T00010052001 transcript:CDP18818 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGTTTPPAKAGNSNLVFAVNGEKFEVANIDPSTTLLQFLRYHTRFKSVKLSCGEGGCGACVVMLSKYNPELGQVEDFSVSSCLTLLCSVDNCSITTSDGLGNSKDGFHPIHQRFAGFHASQCGYCTPGMCMSFFAALMQAEKANRPEPPPGFSKLTVVEAEKAIAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWRKGEPKEVKLRRMPLYTPDGKFSRFPEFLRGRSKSARILHLKGKSWYSPTTIEEVKSLLNSNMIEDNMQIRLVVGNTGMGYYKELDNYDRYIDLRYVPELSTIRKNHRGIEIGAAVTISKVISCLKEEGNVYYSSDSKQVFEKLADHMEKIASGFIRNSASIGGNLVMAQRKSFPSDIATILLAVGSLVSITSGHNHESLTLEEFFSRPPMDSTSVLLSVHIPSLKPNGSGYSNESNSKLIFETYRAAPRPLGNALPYLNAAFLADVSPQVNGLIVNDIQLAFGVYGTKHPTRARKVEEYLSGKLLTASILYEAVKLVKAAVIPEAGTSHAAYRTSLAVGLLFQFLFPFVNVGSAICDGLSNGFAGNLLKDSSENHKENSLHQSASSKLLSSGKQEVKSSKEHYPVGEPTTKSGAAIQASGEAVFVDDIPSPPNCLYGAFIYSTKPLARIKGVELIPNNRITGVAALISYKDIPERGENVGSMTKRGFEPLFADEFTRCAGEPIAFVVAESQKSADLAARSALVKYDTENLDPPILTVEEAVERSSFFEVPSFLYPAQVGDFSKGMAEADHRILSAEIKLGSQYYFYMETQTALAVPDEDNCVLVYSSTQSAEHMHVTIAKCLGIPHHNVRVITRRVGGGFGGKLMRSMPVATACALAAYKLRCPVRTYLNRKTDMIMIGGRHPMKITYNVGFKSSGKVTALHLDILINAGLSAEVSPVMPLTLIATLKKYNWGALSFDIKVCKTNHSTKSTMRSPGEVQGSYIADAIMEQIASMLSMEVDSVRNINLHTFESLKVFYGEAAGEALEYTLTDMWEKLGASSRLVQRTEMIEQFNRINTWKKRGISRVPIVYEVAVVSTPGKVSILSDGSIVVEVGGIEIGQGLWTKVKQVTAYALSLIGCNGTENLVEKVRVVQSDTLSLVQGGYTGRSTKSESSCAAVRLCCNLLVERLVPLKSKLQEQMGSVNWEVLIVQAYSQSVNLAAHSYYVPASNSIHYLNYGAAVGEVEINILTGETKILQADIIYDCGKSMNPAVDLGQIEGAFAQGVGFFMLEEFVINADGLTISDGTWTYKIPAIDNIPMQLNVEVVNSGHQEKRVLSSKASGEPPLVLAASVHCATRAAIKEARKQLNTWSRLDGPDPAFELDVPAIMPVVKKACGLDNVEKYLESLLH >CDP18824 pep supercontig:AUK_PRJEB4211_v1:scaffold_290:136302:142191:1 gene:GSCOC_T00010062001 transcript:CDP18824 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDQCGSSLLLHLPDDVLIIVTRSLTPKDLCNLGLCCRGLCELVASEKVWLAQCDKLGVVPYKDLSEWRKGVSSYKAICRFLVGVFPLLGIWVHQNPELGNVVYVMPGFVSVVGCRIIPQEIGPLGIEDGPILWAPVFEILCDFEGSAELFLHGRERDHDYVYPGSLKAVDRTCNVLLLEDFSRKISRSDSGISKSNRIVGHNASMVPFSRLAFGDRRKLLDLVTGQVRLQVPDAKNSLLFPRLRGDDDLSEDIAILSERRLLLMQMYNLGSSPSDRTAGARLLLDPTQLEMSEIRKNMNCTHGCETSWYGDGSQTQCMKKKTLTGYFRDSFRHMLGKSNSINGSRETLKKNFSSGGNRHAQLHEFLHSGDTIGLMLHASTVKLSSYRAWPNMHDSRFALYKLPMREPVAGQEYSGLWGGTFGWPPGRPSEDKLGKALFFLLLSYEKSQGQTLLIATKILEGTHYVLHPNGSAMFIVNIDESSLEPFPWEADEDGHVLNVECAYNGEGIANGYGFRYPGSKPGSLFVIQDGLLAFVWRESKSVLTLQRLNLEKLLKKGERMHALPPVSNFAYLTRSYSNVFAAFSNSANTLSSQRN >CDP18828 pep supercontig:AUK_PRJEB4211_v1:scaffold_290:158009:160301:-1 gene:GSCOC_T00010070001 transcript:CDP18828 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSKLQELCHQKKWALPIYSCMKDGAEHSPQFKASVVVNGTNFDSPSISKSLKEAHNEAAKLAFLHFTSVMVDDKSTNAGPDIEQALKDGAINSSEDGKEVPFLKLNFISAQPLQSAHLQSHWCFMLAEVQQNYKRKLQKYAQTKKLDFPLYRTKRVEQSDALCFTAKVLVGEDIFESPGFYETTKDAEDAAAQAALIPLTMDAFRKSDDNMYKNLLQEFAQENGFFLPKYKTMKSGEDHKSTFFSTVEVGGEIFHGNPEKSKKLAEFSAAKIAHTALMQGK >CDP18821 pep supercontig:AUK_PRJEB4211_v1:scaffold_290:86161:92341:-1 gene:GSCOC_T00010057001 transcript:CDP18821 gene_biotype:protein_coding transcript_biotype:protein_coding MCTYVWWMMKQENSIGQLTNSTSLSSAKQEVTSSREYYPVGEPMLKSGATIQASGEAQYVDDIPSPPNCLHGSFIYSTKPLARVKGVDLISNNQLSGVAALISYKDIPERGENVGSVAMLGFEPLFADDLTRCAGEPIALVVAEKQKSADLAANSALVKYDTENLDPPILTVEEAVERSSFFEVPAFLYPKQVGDFSKGMAEADYTILSAEIKLRSQYHFYMETQTALAVPDEDNCIVVHTSTQCPEYAHRTIAKCLGIPEHNVRVITRRVGGGFGGKAMRAIPVATACALAAHKLRRPVRTYLNRKTDMIMIGGRHPMKITYDVGFKSNGKVTALHLDILINAGLSADFSPTMPLTIISTLKKYNWGALSFDVKVCKTNHSSKSAMRGPGEVQGSYIAEAIIEEIASVLLMEVDSVRNINLHTFESLDVFYGKAAGEEVEYTLTDMWNKLGVSSCFVQRKEMIEQFNQVNRWRKRGISRVPIVYEVVVRPTPGKVSILWDGTIVVEVGGIELGQGLWTKVRQITAYALSSIGCNGTENLVEKVRVIQSDTLSLVQGGLTAGSTTSESSCEAVRLCCNILVERLTLLKSKLQEQMGPVNWDVLILQAHSQSVNMAASSYFVPQSDFMRYLNYGTAVSEVEVNILTGETKILQSDIIYDSGQSMNPAVDLGQIEGSFVQGIGFFMLEEFLTNADGLTITDSTWTYKIPTIDTIPMQLNVEMLNSGHHKQRVLSSKASGEPPLLLAVSVHCATKAAIKEARKQLNTWSGVDGPDSAFQLDVPAIMPVVKSLCGLDNVERYLESLLH >CDP18830 pep supercontig:AUK_PRJEB4211_v1:scaffold_290:291603:293455:-1 gene:GSCOC_T00010080001 transcript:CDP18830 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLIASHIVKPAKPEVMYLSECDQCKPLTYATTVHFYKPENPELLKDATRVLKDSLSEALAAFYPLAGRLFQKDGGRVELRCNSMGALLLEAQSELKIEDFGDFCPTPQISALIPPIDYNNTPLHEVPLLLVQITRFACGGVSLGLAVSHVIVDGQSGCHFAAEWAKIARGEKSDDQPYLDRTILQQYEEYPSSIAPKLQYSDFYPLPVLIGQSSSLEERKKATTCAMFPLSKEQIEQLKNNANNHHDLVHKTNNHQPFSRFVAVSAHIWKCLSKARMHSPDQETVLYVTVDFRSRLKPPLPGRYFGNAVLPVPARAIAGDLQSRPPSYASSKIKEAIDKVTDEYVRSYLACMKNMPEVSSSRHFHTVGCAKGLFFGNPNLLITSWVGLDVHKVNFGGGEANSMTPGSLGYDGRLFLIPGPNGDGSLIIPLRLQVEHINAFKKYFYEDI >CDP18819 pep supercontig:AUK_PRJEB4211_v1:scaffold_290:49488:52990:-1 gene:GSCOC_T00010054001 transcript:CDP18819 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIGGKHPMKITYDVRFKSNGKVTALHLHILINAELSAEFSPNMPLTVISTLKRYNWGALSFDIKVCKTNHSSKSVMRGPGEVQGSYIAEAIIEEIASVLLMEVDSVRNINLHTFESLNVSIGCNGIENLVEKVRVIQSDTLSLIQGGLTAGSMTSESSCEVVRLCCNILVERLAQLKSKLQEQMVISQKKLVYFLKLCYAHSQSVNMATNSYFVPESDVVHYLNYGAAGKTKILQSNIIYDSGQSMNPAVDLGQVKGSFVQGIGFFMLEKFLINADGLTITDGTWAYKIPTIDTIPMQLNIEMLNNKNESKNLLRMEPPLLLAVSVHCATKAAIKEAKKQLNTYSGVDGTDSAFQLDVPAIMPIVISLCGLDNVERYLESLLH >CDP18827 pep supercontig:AUK_PRJEB4211_v1:scaffold_290:151550:153822:-1 gene:GSCOC_T00010068001 transcript:CDP18827 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCEENMAAWLYGVNDLRVLPYKLPALGPRDVRVSMKAVGICGSDVHYLKTMSCGHFLVKEPMVIGHESAGIIDEVGSEVTTLAPGDRVALEPGTGCWRCDRCKEGRYNLCPDMKFFATPPIHGSLANKIVHPADLCFKLPDNVSLEEGAMCEPLSVGVYACRRAQIGPETNVLVMGAGPIGLVSLLAARAFGAPRIVVVDVDRNRLSVAKELGAFATVQVSTKTEDFEKEVEQIQKAVGGAGIDVTLDCVGFSKTMSTALSATRSGGKVCLVGMGHCDMTIPLTPAAAREVDILGVFRYKNTWPQCLEFLSSGKIDVKPLITHRYGFSQEEVADAFETSARGSNAIKVMFNL >CDP18829 pep supercontig:AUK_PRJEB4211_v1:scaffold_290:164892:166166:-1 gene:GSCOC_T00010074001 transcript:CDP18829 gene_biotype:protein_coding transcript_biotype:protein_coding MASERKIVGFDLANDIFKQIELPEELITKCTWKIGTLRGCLSLFVYSGGNQVDVWLMKEYGVRESWSKVVVAPFFQDPHGTVFSKPLILSENGRLLFVTAPRPKLGVYDPNENSLHYSQFINLEYPYEADVCVESLISP >CDP18823 pep supercontig:AUK_PRJEB4211_v1:scaffold_290:107368:121919:-1 gene:GSCOC_T00010059001 transcript:CDP18823 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEANMVAALLEKLKVDDPWVQPQPWESIPSESGRRQNPSTSRSQSSHGLYPISNLSESSLVRLVLTALQGLESALIAVDQLSALFCSVSADRTFHRISSLWSWSSSTRSLGNLLKSIGQFGCIVFLLHKFVAYFSLLNADGDSGLQTTPKEVNGENKAQSEFKGSVVNQAFAVAVKKILDGYISALNTVHTSVSLRRNLKNSTGGCLTSVGDTEVTVLEVYLHTKGLRTQIEALGNICQICDTAYSFSLSSFEDLSAKANLEFANFPRGGTLLTFLYTQLKVVDPAQIVLLKFLFLQAFEPYYHFIRSWIYGGRMSDPYKEFAMEYVDYLPGYGRGYAGISIEFPLSTVRVRDGVTLPCFLEDFLIPLLRAGQQLQVVMKLLDLCYSLGTYNNAQEEILPFLDEFSNEYPFFASPLTFDKETMGRMALARSSYYQRMLEKVDNVLTRFGFRSQKESPYTIQFFFSKNHGRNPKHAEATLDDNLVPAVMEEDAGIFQNEASSTADELSCAEDLLESSESSSLKSFDEHNDSEQMPNDNMGFQPSYLSSVSFSFGLSAENSVWKPFKSEISCFSENFSKVGEKTQEACHGMDSYYEGSNMNRNSSTLQFAEQNLLLSAESKIINVEPDVCLRAGCMADSLSYLNGGNNSGTWFDMTGSALEVRKSMLGECKASMLNCSNTTLPRIAITEMTKNRHQHGDGNCASSNSLCVQPWTSKYNTSLLSMNPTLMKGYFINNSDMLEERGLKYKDPLSYFDFTSVRDPCQVCQEKLASTSGRECGFGNSIPTETTADAAIITSDYYCKDRINKDNEERMKRSLVYLSSHSVMDRGKDALCADLTGGSDWETILACFGTNTNVTEKGYRTSSLAAFDMPLDYVIEKCLWEEILLQYKYVSRLTLKLLEEGFDLQEHLLALRRYHFMELADWADLFIMSLWHHKWHVIEVDKRILEIQGILELSVQRSSCEGDFNKDRLYVYIKGDCVMPLSASAKGIRSFDFLGLGYRVDWPVSIILTPDALKIYSNIFNFLIQVKLAVFSLSDAWCSLKDIVKLTRRSKPSDRLKPTLQHISALTETRYQVFHFITTLQQYVQSKLSHVSWRKFSDSLKHKVKDIMDLEAVHMEYLTESLHICFLSDELRSIAKIIQSILQCAVDFQSCLSRCTLEVGPSGKDPELPQIDIVQVLNIKKTFAKNINDLFLCYRKSPKHGEFGLSRFWDYLNYNDYYTEGRGRQLEHGALYV >CDP18825 pep supercontig:AUK_PRJEB4211_v1:scaffold_290:145569:146053:-1 gene:GSCOC_T00010065001 transcript:CDP18825 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQTHVRTNLLLDASSFAFLDSGMANAVSQVIWPFHYQTCQAEAEHLIMELILVSTSLLLPFSFFLQLLQFCYTF >CDP18826 pep supercontig:AUK_PRJEB4211_v1:scaffold_290:148249:149940:1 gene:GSCOC_T00010066001 transcript:CDP18826 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAQAFIKDEEFAPSVETVKDKDYSTILLHLPGFRREKLNVQLTTDGKLRVSGEKEAENNKVIRFQKEFRISSDINTKKITAKFEGDILYVRLPKLIAPGGKQDSKLTIPERPTPQKPADHKPESLRPTDESGGSQKPADHKPESLRPTDESRGSQKPADEPQQSQKPADKSDISRPTDESTVSQKPADEPRQFQKPADEARPQETVQQKTADKTSPIDGPSKQTDVQDVTQKKPEKEEAKAADMKDKATSETVDGSGKTSVDNYNQSALDPAAKLKMSRRAMDVAVVVLLAVVIGLYIIYCIRCFRRTTED >CDP18822 pep supercontig:AUK_PRJEB4211_v1:scaffold_290:92985:97789:-1 gene:GSCOC_T00010058001 transcript:CDP18822 gene_biotype:protein_coding transcript_biotype:protein_coding MESAQLTQMEVNNTKIPPTEAGNRGLVFAVNGEKFEVAITDHSTTLLQFLRSHTRFKSPKLGCGEGGCGACVVVLSKYNPVLDRVEDFSVSSCLTLVCSVDGCSITTSEGLGNSKDGFHPIHERFAGFHASQCGYCTPGMCMSFFSALAKADKTNRPEPPPGFSKLTVSEAEKSIAGNLCRCTGYRPIADACKSFAADVDLEDLGINSFWRKGEPKEVKLRRLPSYTPDVRFNNYPEFLRGRSKSAKSLHFQNNSWYSPTTLEELESLLNSNATGDDMRIVVGNTGMGYYKELGNYNRYIDLRHVPELSMIRKNHQGIEIGAAVTISKVIEFLKEEGQVNSSSDGKQVFKKIADHMEKIASGFIRNSASIGGNLVMAQRKNFPSDIATVLLAVGSIVSITTGYNHERLTLEEFLARPPMDSRSLLFSVQIPFMEPKGNATSIASDSNLVFETYRAAPRPLGNALPYLNAAFLAVVSPHVKGVLINNIQLAFGAYGTKHAIRANKVEDYLFGKILSVNVLYEAVKLVKVAVVPDFGTSHAAYRTSLAATFLFQFLSPFINVGAAISGGLSDGFTGSLQEDSSRICNGSSIGQLTNSTSLSSAKQEVTSSREYYPVGEPMLKSGATIQASGEAQYVDDIPSPPNCLHGSFIYSTKPLARVKGVDLISNNQLSGVAALISYKDIPERGENVGSVAMLGFEPLFADDLTRCAGEPIALVVAEKQKSADLAANSALVKYDTENLDPPILTVEEAVERSSFFEVPAFLYPKQVGDFSKGMAEADYTILSAEIKLRSQYHFYMETQTALAVPDEDNCIVVHTSTQCPEYAHRTIAKCLGIPEHNVRVITRRVGGGFGGKAMRAIPVSIINIQIKVSVNVFVLCED >CDP21233 pep supercontig:AUK_PRJEB4211_v1:scaffold_2908:4114:5546:-1 gene:GSCOC_T00003190001 transcript:CDP21233 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTAVSIPNGLSLNKANSSKLDYLYEVSVEPEVVNPTSLPLIIPYTIFAKMSFSPSQVIKSLIQYHPKGVKEYIQASKIDQHPIPATKKEQFITLHIPDDFPLQWRQQGYTHMHFGAIRISLSYHGRKGLPVVARVALLDTRFTQYQHACIATVETTLNAGTVFVTLFPNFNMSLADPHLLDALKVQVQIVGADQVQDAIAATLHYQMVYRVQNHALDLKVLGSEDALLIQVDEKNSTSCIHVPRQISKSNLVQLLPNSWITDYEQLHTQANEPLESSNSKITKTTEGRTAISFDHSYLKSSIKTLPSIMLAELPLKLPTQEEKLWGRYEEKCQKGFLQDIIDHFNDKGEPVYHFQDPISGHIYFDTCTRCEECYWAEQLELDVSEISFKKRSKPVDPQPFEPCLCKSDPKPQNPDADNFQATRSSFDGYQIPSNWVYHVPTKKKILHPYY >CDP21234 pep supercontig:AUK_PRJEB4211_v1:scaffold_2908:10363:10809:1 gene:GSCOC_T00003191001 transcript:CDP21234 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIIEGPSRTGKTCWARSLNSQAHNYSAGHIDLAHHSDEAWYNIIDDVNPQFLKHWNEFLGAQRDWSSNCKYAKPRKIKGGIPTIVLCNPGLNSSYDIYLSAPDRQDLLNWTKQNAAFFFLQQPLFALTNQEQALIVQEVKELDSNN >CDP21235 pep supercontig:AUK_PRJEB4211_v1:scaffold_2909:971:1456:1 gene:GSCOC_T00000474001 transcript:CDP21235 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMKKAELVFIPSPGMGHLVSSVELAKLLIEREEQLSITVLIMKLPFDTNIISYRNSLSASLSSRIRFLELIKEEPSSQLTFSHSFLFQFIDSHKSCVKEVLAEISNSVSSDLSGIVIDMFCTSFIDVANEFGVSCYIFYTSGAAMLGLVFHLQSLRDDL >CDP18834 pep supercontig:AUK_PRJEB4211_v1:scaffold_291:179391:198034:1 gene:GSCOC_T00008051001 transcript:CDP18834 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKGTLESILKVAIWCIDAPEKHFAEVIRASIIGLGTDEDSLTRAIVTRAEIDMMKVRGEYFNMHKSSLDNAIIGDTSGHYKNFLMTLLGAKI >CDP18837 pep supercontig:AUK_PRJEB4211_v1:scaffold_291:265941:269263:1 gene:GSCOC_T00008056001 transcript:CDP18837 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVPDVVPSPRQDCERLRKAFQGLGTDEKAVIKVLGHRNASQRRIIGETYQQLYNKCLIDDLNAELSGDFRKAVILWIYDPPERDARLANEALNSRRKGVNELQVIVEIACASSPHHLIAVRQAYSILFDSSLEEDIISNVPMPVQKVLVSLVSSYRYDKNVVDSAIANSEAAKLHEVIKTKKLDHDDFLSILSTRNVFQLKETFLHYKKNYGNSIDEDILNCGKGNLESILKVAIWCIDSPEKHFAEVIRASIVGLGTDEDSLTRVIVTRAEIDMMKVKGEYFNTNKTNLDNAVIGDTSGDYQDFLMTLLGTNV >CDP18831 pep supercontig:AUK_PRJEB4211_v1:scaffold_291:51467:55318:1 gene:GSCOC_T00008047001 transcript:CDP18831 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVPDIVPSPAEDCKTLKKAFQGWGTDEKAIIKVLGRRNASQRKNIRETFQQLYNKSLIDELVSELSGDFRKAVILWTYDPPERDARLVNEALKSRKKGIREFQVIVEIACASSPHHLIAVRKAYFSHFECSLEEDISSNVSLPIQKILVRLISSYRFNKEVVNSSVANSEAATLHDAIKTGQLDHDDLVWILSTRNYFQLRETFKCYKNKYGSSIEQDIMASGKGTLESILKVAIWCIDAPEKHFAEVIRASIIGLGTDEDSLTRAIVTRAEIDMMKVRGEYFNMHKSSLDNAVIGDTSGALQELLNDVAGCKDLIQFVSP >CDP18836 pep supercontig:AUK_PRJEB4211_v1:scaffold_291:255473:258782:-1 gene:GSCOC_T00008055001 transcript:CDP18836 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFSMLDVLASVFKYSVLLLYLFIFVFLSELHLSNAICVQVNFLSQVRLSHEGDGPKVAKCLIEVYFALFKVLISDANREHGTNKCSKEKARKISSSKCNPKNASPESHVEMDSQLLTALLTGVNRAFPFVSRVESDKIIETQTPILFQLVHSRSFNVGVQALMLLDKISTRNQIVSDRFYRALYSKLLLPAAMNSSKVKEIFIGLLLRAMKTDINLRRVAAFSKRLLQVCDSVDLLFACGF >CDP18835 pep supercontig:AUK_PRJEB4211_v1:scaffold_291:208605:210598:-1 gene:GSCOC_T00008053001 transcript:CDP18835 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAYVTHPRILTTCYRALVPCFRILLVGLVSAYRYEGPNYSEEVAKSEAKILKNVIKDGAKKLHEEEQIVRILTTRSKLHLKAVFKHYKETSGNYLDEDLGDHLILKQTVQCLCKPETYFTEILDVSLKGIGHDFAKEALTRVIVTRADVDLKLIKEEYYHLSGFTLSHKIEEIANGNFKDFLLALIARGDQEN >CDP18833 pep supercontig:AUK_PRJEB4211_v1:scaffold_291:177355:178757:1 gene:GSCOC_T00008050001 transcript:CDP18833 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVPDIVPSPAEDCKTLKKAFQGLSLNLLYSFICECNCLMLMVIWLVGFHFSRWGTDEKAIIKVLGRRNASQRNNIRETFQQLYNKSLIDELVSKLSGDFRKAVILWTYDPPERDARLVNEALKSRKKGIREFQVIVEIACASSPHHLVAVRKAYFSFFDCSLEEDISSNVSLPIQKVSSLN >CDP18832 pep supercontig:AUK_PRJEB4211_v1:scaffold_291:130800:134303:1 gene:GSCOC_T00008048001 transcript:CDP18832 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVPDVVPSPRQDCERLRKAFQGLGTDEKAVIKVLGHRNASQRRIIGETYQQLYDKCLIDDLNAELSGDFRKAVILWIYDPPERDARLANEALNSRRKGVNELQVIVEIACASSPHHLIAVRQAYSILFDSSLEEDIISNVPMPLQKVLVSLVSSYRYDKNVVDSAIANSEAAKLHEVIKTKKLDHDDFLSILSTRNVFQLKETFLHYKKNYGNSIDEDILNCGKGNLESILKVAIWCIDAPEKHFAEVTRASIVGLGTDEDSLTRVIVTRAEIDMMKVKKEYFNTNKTSLDSAVIGDTSGDYQDFLMALLGTNV >CDP21236 pep supercontig:AUK_PRJEB4211_v1:scaffold_2916:9606:12550:-1 gene:GSCOC_T00010755001 transcript:CDP21236 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVCSGVVLLMILAVAPVAGAAAKESAVNLLEAEALRKSGWWRGSSATATNISAHCQWYGIVCNDVGSVTEILLSGYGIYEDLTNLELTNFSFSSFRNLVRLDLSGNGLHGAIPDQIGALFKLTYLDLSSNYLYGELLSSLVNLTQLAHLDVSSNWIESLIPPGIGNLTNLVALDLSQNSFGGRIPPTLGQLPYLTSLDLSNNILNGTIPSEIGNLQNLEYLHLGSNRLTGQIPPTLSNLTALGNLDLSSNQISGSIPSEIGNLTALGNLDLSSNQISGSIPSKIGNLKFLEYLNFGSNRLTGQIPPTLGNLTFGSERFDGNPDLHYTSALCGASPPVMKNHRHHPPYYIIRLGVSLLVLSLIGGLVLYIFRKTKVKKVEVELMDNKHGDIFRIWNYDGQMAYEDIIKATNDFDVSYCIGTGGYGSVYRAQLPSGKVVALKKLHRLEGENPNFDKSFRNEADMLSKIRHRNIVKLFGFCLHKRCMFLIYEYMDRGSLFCILRDETEAVELDWIKRVNLIKGIASALSYLHYDCDPPIIHRDVSSNNILLNSKLEATLSDFGTARILVLDSSNQTVIAGTFGYMAPELAYTMVVTEKSDVYSFGVVVLETLFGEHPREFLSCISSQPNGPIMLMDLLDARLPPPTNPLVVRNLVVATALALDCVNANPKCRPTMQQVVNRFEAGRREPTRPLHTIAVNQLVSPPAPLRDQTCADGTSSLSTVNEFHVDIAATLPSSNFSIHAST >CDP21237 pep supercontig:AUK_PRJEB4211_v1:scaffold_2917:14630:14876:1 gene:GSCOC_T00004599001 transcript:CDP21237 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIVYSLSLDLFYVRVGLFPLHL >CDP18840 pep supercontig:AUK_PRJEB4211_v1:scaffold_292:58176:58664:1 gene:GSCOC_T00004110001 transcript:CDP18840 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNGGFGCSSREVGKWVAFRWYRRLIQVKKSLKGPLLLVRLRSARLQIFQQLFCELGPGRFYKLSRYEGDLVARCYFAKHKLVREVRDGGLKKLGPIYVH >CDP18847 pep supercontig:AUK_PRJEB4211_v1:scaffold_292:298511:300193:1 gene:GSCOC_T00004120001 transcript:CDP18847 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFNPFASNFLNLFLLFSFLVLIVKIWKKRNTPIMNLPPGPRKLPIIGNMHNLFGGLPHVVLRDLARMAKEVLVTHDPTFANRPDRLAVKIMWYDQQDMIFIPYGDKWRQMRKICTTELLSGKHVRSFSYIRKDEISKLIESIRSSQGAAINVGEIFFMYTSLMTCRAAFGRICKEYETMIAYVKEGIALAAGFDAADMFPSLKVLPLISGLRRKLLKMHYKIDSILDDVISQHKLNHKSGKKSNAETGEEDLIDVLLREQESGNPQMPITSKDIKAVVFDIFTGGTDTASVTSEWAMAELMKHPRVMAKAQAEVRQVFKGKKPIEEDDVQKLVYLKMVVKETLRLHPPIPLIPRASRENRQVKGCMIPNKSQIMVNAWAIGRDPEYWDDPEIFLPERFEQNSIDYTGTHCQYLPFGTGRRMCPGTAFGIVNVELPLAHLLYHFDWRLPDRMNVIDLDMDEAAGINIKRKNNLNLVATAYYPSRRSEVCEMRQHNDLHMIDPSVA >CDP18846 pep supercontig:AUK_PRJEB4211_v1:scaffold_292:252521:255353:1 gene:GSCOC_T00004117001 transcript:CDP18846 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLQAQPVGRRTANCHPSVWGEYFLAYASDIKADNFSEEEGELQQLEDEVRKMLTETPDESPRKLDLIDTIRRLGVSYHFESEIEESLQNIYNAGDEMNNKDANDLHTIALRFRLLRQQGFYASSGKREFSVICPNIFNAFLFICLCLSLYFSDVFDNFKNPEGDFKESLASNVQGMLSLYEAANFGVHGENVLEEALKFSMSNLESMIPNLSNFLAAQVVQALKVPIQKSLTRIAARQYISFYQQDESHDKLLLKFAKLDFNILQKLHQKELGCLTAWWKNLDFATNTPFARDRLVECYYWILGVYFEPKYCLARTILTKVISITSIIDDIYDVYGTIDELTIFTDAIERWNIHELDQLPSYMRHCYRALLDTYTDYEEELGREAKSERLTYAKLEAMKKLVKAYFEEAKWFHNGYVPRVEEYMKVALVTGAYMMLATTSMVGMGDSVTTQAFDWITNEPLIVRAASVICRLMDDMAGHEFEQERGHVASAVECYVNEHGVTKQEAFDELNRQTAKAWKDINGECLNSNAVPMEALQRVLNLAKVICLIYKDEDGYTHSATVLKDYISLMLVDPVPT >CDP18848 pep supercontig:AUK_PRJEB4211_v1:scaffold_292:317885:320109:-1 gene:GSCOC_T00004121001 transcript:CDP18848 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFFGLAIFLFLTLNSDFTSSPISTASEGIQRTKFRLHSHDVPYGSGSGQQSITGFPNVDGSNSYWIVRPVPDTNAQQGDTIKGGTIIRLQHMRTRKWLHHHLLNTTLLDYIRKSKVAASEAGGITQGMGAYKVQVPFDGKPQTRVFLDTPGHEAFRAMRAHGARVIDIAVIVVATDNGIRPQTEEAIAHAKAAGVRIVIAINKVCLHLF >CDP18838 pep supercontig:AUK_PRJEB4211_v1:scaffold_292:11655:18102:-1 gene:GSCOC_T00004107001 transcript:CDP18838 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQVLLRHPIFCNLFLLLVYVQACSNLAMASSIVKFLPGFEGPLPFELETGYIGVGESEDVQLFYAFIKSESNPQSDPLILWLDGGPGCSSFIALFFGTGPVTFEPLSFEGTLPKLVLNPYTWTKVVSIIFLDSPVGTGFSYAKTARASQSSDFLASDQAYEFIRKWLHDHPEYKSNPFYVSGISYGGIPVPILTQLISNGNEDGIEPRIDLKGYILGNPIMKVSGILNYRVPFAYGMGLISDELYESLKVSCKGEYEIIDPSNSVCLKNMQAYNEARNHIYAIFIISSCCTTDGTDLIMLVQHWANNMSVQEALHVRRETIGQWLRCNDFLPYTQNAASVVPYHANLSSKGYRSLYFVMLQWIHEGQVAGYTRTYTNKMTFATVKARNSCHVAYEFKPAECRTMLERWISYQPL >CDP18841 pep supercontig:AUK_PRJEB4211_v1:scaffold_292:97265:98892:-1 gene:GSCOC_T00004112001 transcript:CDP18841 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPFNWIASFIFLAFILTLGKKWMKSKTVNATQKLPPGPKKIPFIGNLHNLLGSLPHHALAKLAKKHGDLMHLQLGEVSAVVVSSPHSAKEILKTHDHVFVDRPEILVGKIICYDSSSIAFSQYGDYWRQMRKICAMEVLSAKSVRSFGSIRQDEVLHLISSIRGSTIGGQPINLTEEISSFTSSMICRAACGKVFRERITLIELMKEVLSRTSGFDISDLFPSKKILHHLSSMKPTLQKLHHKIDVILESVINEHIENLARSKTVNGEFGQEDLIDVLLRIKESGDLQFPITNKTIKAIMFDMFTGGTETSATLVEWAMSEMIRNPNVMSKAQNEIRKAFLGKEKIEEMDIEGLRYLKLVIKETLRLHPPLPLLVPMECRKQCEIDGYIIPSKTRVFVNAWAIQRDPKYWDDPESFKPERFHNNPVDFTGTQFEYLPFGGGRRICPGISFGLANVEFPLAQLLYNFDWKLPGGINSNGLDMTETCGITAPRKNKLCLVATLYDPTA >CDP18843 pep supercontig:AUK_PRJEB4211_v1:scaffold_292:193184:196042:-1 gene:GSCOC_T00004114001 transcript:CDP18843 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLQAQPVGRRTANCHPSVWGEYFLAYASDIKADNFSEEEGELQQLEDEVRKMLTETPDESPRKLDLIDTIRRLGVSYHFESEIEESLQNIYNAGDEMNNKDANDLHTIALRFRLLRQQGFYASSGKNFYGLRSCLPRKFNSNSIMYYKKKKRACNFYEKQNWERLTKTQKERMLSLYEAANFGVHGENVLEEALKFSMSNLESMIPNLSNFLAAQVVQALKVPIQKSLTRIAARQYISFYQQDESHDKLLLKFAKLDFNILQKLHQKELGCLTAWWKNLDFATNTPFARDRLVECYYWILGVYFEPKYCLARTILTKVISITPIIDDIYDVYGTIDELTIFTDAIERWNIHELDQLPSYMRHCYRALLDTYTDYEEELGKEAKSERLTYAKLEAMKKLVKAYFEEAKWFHNGYVPRVEEYMKVALVTGAYMMLATTSMVGMGDSVTTQAFDWVTNEPLIVRAASVICRLMDDMTGHEFEQERGHVASAVECYVNEYGVTKQEAFDELNRQTAKAWKDINGECLNSNAVPMKALQRVLNLAKVICLIYKDEDGYTHSATVLKDYISLMLVDPVNLNKFQ >CDP18839 pep supercontig:AUK_PRJEB4211_v1:scaffold_292:39977:42792:1 gene:GSCOC_T00004108001 transcript:CDP18839 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKKKRCRHSYDLNCSALQFNSSGNGFCSNSWDRLQCLSLWIGQLFLPTAKEILSIDFEDFSANETWILDDEPSQLTSAELESFRNDIATFAISRQSDETLNLDDLDTEDEDETNNEENVERNDLNAGCDVNELGGTEFGRNTIGYAQTAPSGQMDLSQWERFYLMGTNANLGQKSEIGTSGHKDIISYLT >CDP18842 pep supercontig:AUK_PRJEB4211_v1:scaffold_292:106954:112112:1 gene:GSCOC_T00004113001 transcript:CDP18842 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEIAVPLNNQHEIVRQLADFPENIWAHRIASFTLDKQGYEMCAKEIEMLKEEVSRLLAIEKPMMEKFNLIDNIERLGISYHFGDKIEDQLQEYYDACTNFEKHAECDLSIAALQFRLFRQHGFNISCGIFDGFLDANGKFKESLCNDIKGLLSLYEAAHVRTHGDKILEEALFFTTTHLTREIPNVGSTLAKKVKHALEQPLHKGIPRYEAYCYISMYEEDESSNKLLLRLAKLDYHLLHMLYKRDLSEIIRWGKELDIISKVPYARDRIVECYFWAVGTYYEPQYSLARMTLTKATVFAGMIDDTYDAYGTLDELKIFTEAVERWDSSGIDQLSDYMKAAYTLVLNFNKELEEDLAKKKRTFAFDKYIEEWKQYARTSFTQSKWFLANELPSFSDYLSNGMVTSTYYLLSAAAFLGMDSASEDVINWMSTNPKFFVALTTHARLANDVGSHKFEKERGSGTAIECYMKDYNVSEEEAMEKFEEMCEDTWKVMNEECLRSTTIPREILKVILNLARTCEVVYKHRGDGFTDQRRIEAHINAMLMDSVSI >CDP18845 pep supercontig:AUK_PRJEB4211_v1:scaffold_292:229305:231423:-1 gene:GSCOC_T00004116001 transcript:CDP18845 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVLLVFAISVLLIFLLLPNKNIRSSTIHHPPGPPRLPIIGNFHQLDPSCVHRSLWELSQKYGPLMFIKLGSVATLVISSAKLAEEVMKNQDLEFCSRPKLTGLQKFTYNGLDIALAPYGQEWREMRKICVTHLLSAKRLLMFRPIHEDEVSRMIRKISKQAASSDPVINLSETIMSLTSTMICRIAFGKRFDEEGHERRRFDGLIREAQALLVAFFFSDYFPAVGWIDKFTGMLSRLESIFEKFDSFHQELIDEHLNPNRPNSMDGDLIDLMLQLRKDGTTSFEITMGNIKAMLMDVFFAGTDTSAVTIIWAMTAMMKKPTVMRKVQAEIRGIIGKKQMLDEDDVQNLPYLKAVVEETFRLYPALPLLVPRQTIAKCTVDGYEIQPETLVYVNAWGIARDPEYWENPDEFLPERFLNSTLDVNGQDFHLIPFGAGRRGCPGYSMGKGTVELALANILHSFDWELPPGVKKEDIDTDALPGITMCKKNDLRLVAKLHV >CDP18844 pep supercontig:AUK_PRJEB4211_v1:scaffold_292:225901:227484:-1 gene:GSCOC_T00004115001 transcript:CDP18844 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNYGGFKEKVQGLEVRKTIEALDQVKGLPAKGDVEPGEIPSLVDLGLNPSATLGQNGKPAASASLVEGETEALQRLGRFAAECQAQPNKGNKDDTNDSIYGANFSCKISPWLAMGCLSPRSMFDELKKSVSRTISSASAQKNGGNSPSDTGMNWLMYELLWRDFFRFITKKYSSARQHNAAPVTACTGAAV >CDP18851 pep supercontig:AUK_PRJEB4211_v1:scaffold_293:119407:119653:-1 gene:GSCOC_T00006044001 transcript:CDP18851 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIVYSLSLDLFYVRVGLFPLHL >CDP18850 pep supercontig:AUK_PRJEB4211_v1:scaffold_293:109965:110264:-1 gene:GSCOC_T00006043001 transcript:CDP18850 gene_biotype:protein_coding transcript_biotype:protein_coding MVHISFYRNYGKPFKKPRRPYEKEPLDAELRLVGEYGLRCKRELWRVQYALSRIRNNAIMLLTLDEKDPRRIFEGEALLRRMNRYCLLEVKTSSIMSWL >CDP18849 pep supercontig:AUK_PRJEB4211_v1:scaffold_293:108980:109911:-1 gene:GSCOC_T00006042001 transcript:CDP18849 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIHHAREENRQRHIKVGRQVVNVPSFMVRVDSQKHIDFSITSPFGGGRAGRVKRKNQKAAAKKAGGGDGDEENEE >CDP21238 pep supercontig:AUK_PRJEB4211_v1:scaffold_2930:10638:13799:1 gene:GSCOC_T00013351001 transcript:CDP21238 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVEILVDTLNSMIQKEHGLLCGVATNMQKLSSLLSTIKAVLEDAEQKQFTNKAIQLWFQKLNGVAYEIDDVLDDYVAEASRVKYKNSGRLSLMCYPVAGNLVFRHRIGTRMKEILEKFNAIANERIKLGLNEEKEQIVHILTKEKDRDDQNVSVLPIVGVGGLGKTTLAQLVFNDERIAEHFEPKLWVWVSEDFDGKRIIKALINYIQRTPTEELELAPLQRNLQELLRGKRYLIVLDDVWNENPEEWEKLKSVLQCGSKGSSIVTTTRMEKVATIMGTLQTYYLSSLSENQCWSLFRQRAFGRQEVEEYPNLVVIGKEIVKKCGGVPLAAKALGGFLRFKREETEWNFVIRLWMANGLISSNGTMEVEDVGYAVLTELHNRSLFQTVEKGVLGHAQDHTFGMHDLVHDLAQSVMEAKHGGTESNRTMMLDMPDDQLTVAFPITRMRGTDQFSSFLSKCGSLRALIVRSTRWGERFTELPHAISNLKHLRHVNLSGSDIVELPNSICDLWNLQILNLNNCGKLLSLPKGMRFLRNLRHLCLRECENLTHMPSGIGKLTCLRTLSKVVLGGKKGFQISELRDLNMLREELSIGHLERVKDKKHAEEACLTEKQSLRKLCLVWDSERMFQQYNDDEVLEALKPCPNLQSLHIAGFKGSSSFPSWISTITEVGVEKSAVEHIVGAQESTAAAAAMSPSLKQLGLRNMPNLKGMLGREVQGTPRVFSGLESLSFGECPTLTLPLPRMPSLTELHVMKCPNMAWASISNLTSLIYLGIVDIEGLSCFPEEMLQNLSLLESLDIFGIHDLRALPKSLASLTALKSLGIAICPKLESLPEEGLRGLASLQELRLVGCYNLLGTLPSSIQMMTTLQSLTISQCDLLGPLCKRGGEEWHKIKHIPDLKIR >CDP21240 pep supercontig:AUK_PRJEB4211_v1:scaffold_2935:11686:14377:1 gene:GSCOC_T00008868001 transcript:CDP21240 gene_biotype:protein_coding transcript_biotype:protein_coding MFYELSRITLRQRAFLGFLSLSSSNSAMKPAVNRGVFAPVGHQFHVLVQNFILCIKQKKSCRHEDIFEIAKEDVLFKK >CDP21239 pep supercontig:AUK_PRJEB4211_v1:scaffold_2935:7661:10768:1 gene:GSCOC_T00008867001 transcript:CDP21239 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIGATIQVTLERALSLASDRIGLLVGFKKDVASMRRSLRLIKGVLADAEAKQNQDGAVQEWLKSLEEVAYEADNLLDELHYESLRHQVKSRNRHKLKVCCFFSFSNINLAFRWRMASKVRDIKRKLNEINEEANGLLLVSRQTDSVVVPMVGRADDESEIVKMLLSLSEKVVSVLPVIGMGGLGKTTLAKSIYNNKQIDEHFNKKIWVCVSRKVPIVELFKLILLQLTGEKVEVDDRNVIVGKIGNNLGGKRYFLVLDDVWDDDQALWDDFFTTLKGLNPTNGSWCLVTTRLGPVAHSVSRVLRMMENEPYPLGKLPDDHCWSIVKEKVVGDEEEPDELKAIKERVIKKCDGLPLAASVIGGLLSLKRKEEWQSILENRLSSLSAGGDPVMQILKLSFDNLPSPYIKKCFAYCSSFPKDSEMEGDMLIELWMAEGFLHADLNSQMMMEEIGEYYLEILLQSSLLEEMRKYGRRYYKMHDMVHDVSKSIMSKFTKFINSETDAINLFESRSNLLHTLFLSQGSLSDDMLMKLKNLHVLNLSGAKNQNLPISIGKLIHLRYINFEDSKSETLPESVCKLYNLQTLWLNRFALKVLPKGMCDLISLRHLHYYRNEYQEFQMPLEMGRLTCLQILEFFNVGREKGRRIGELGSLKNLKGKLEIRNLELVKDKEGAEEAKLSEKANLFGLVLQWARDREGDDYNDEDVLDGLRPHPNLEELVIWNFMGDQFPRWLMELPTATTLPKLARLEFSKCNRCRELLPLQNFASLKELVIDACDGLTNLPGDMLHSCASLQKLEVSWCNNLISFPLDLQQTPSLLELELSHCPRLKTSTTPKGFGFLTSLRLLAIELRGLPHMESLPPQIQHLTTLTSLMLGGFGGVKALPDWFGNFAALEDVHLWCFKELGHLPSEDAMRSLTKLKRLEVYGSPLLKERCTPESSGPDSQWSKVSHIQDLRIIG >CDP21241 pep supercontig:AUK_PRJEB4211_v1:scaffold_2943:11718:14255:1 gene:GSCOC_T00004632001 transcript:CDP21241 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLSLNFQAHLTLQKTQSYRYRRPLISASVALETGVQGLSSPPNSSVLWVDQSKERIRKLLNEVDYSVSAYDPLSIN >CDP21242 pep supercontig:AUK_PRJEB4211_v1:scaffold_2946:6910:10857:-1 gene:GSCOC_T00000762001 transcript:CDP21242 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLALQSRLMVLFIQVDAIDPALRRSGRFDTEIEVITPSEAERFQILKLYTKRLSLDPDVNLQSLAAACNGYVGADLEALCREAALSALKKSSDGDLGGKICNITVDDFKHARSIVGPSITRGVTVEIPKVSWEDIGGLYELKKKLQQAVEWPLKRSSAFSRLGVSSIRGILLHGPPGCSKTTLAKAAGHAAQASFFSLSGAELFSMYVGEGEALLRNTFRRARLAAPSIIFFDEADVVAARRGGSSSGSTTVGERLLSTLLTEMDGLEQAKGILVLAATNRPHAIDAALMRPGRFDLVLYVPPPDLEARYEILRVHTRGMKVDPDVDLRQIAADTELFTGAELEGLCKEAGIVALREDISATLVCSQHFQTVRNSLKPALTREDINFYSSFMKN >CDP21244 pep supercontig:AUK_PRJEB4211_v1:scaffold_2958:9360:11074:-1 gene:GSCOC_T00009825001 transcript:CDP21244 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVANYNINVNEFAANMAVEGFQSAEVEAIMKAVGENKTWNAIEGLSDTNANLQGLCGTTTAQNVDKAVPRDVQEMAEFAVAEYNRRAGTKLVLIKVLRYVKRVVVFGTFYGLHMLTQDDKGTYKDQALALKFKNGKKVLVWYKHNEN >CDP21243 pep supercontig:AUK_PRJEB4211_v1:scaffold_2958:3796:5589:-1 gene:GSCOC_T00009824001 transcript:CDP21243 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIANYNIKLNELTADLAVEGFQSAEVDAIMKAVRDDKTWNAIERNANLQGLCGIPTAKKADVTDINVIEMAKFSVDKYNEEAGTKLVFMKVIACASWNLGVVTVYALLIQTQDSKGTYIDKAVAVDVTIIGKKLLWYKH >CDP18859 pep supercontig:AUK_PRJEB4211_v1:scaffold_296:37294:53448:-1 gene:GSCOC_T00006734001 transcript:CDP18859 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLGFILASCSKRRIRLNTSSSTPSFPLFSTLSLSLPLVLPPAAAGERLIRRVLSISLSNLLCNSATLASGSLGRSYSSYAVEQFSDDEYECDYDNQPASSSVANIDEWKWKLSMLLRNEKDQEIVSRDKRDRRDFEQISNLAKRMGLYCEIYGKVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHLDRLQLSCVKSDEASGESKSMDQVEDVNNEENPDSLLDGSVMEKVLQRRSLRMRNMQRAWQESHEGRKMLDFRKSLPAFREKERLLQSIANNQVVVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVAERVSTERGEPLGESVGFKVRLEGMKGKNTQLLFCTSGILLRRLLSDHNLNGITHVFVDEIHERGMNEDFLLIVLKDLLVQRRDLRLILMSATLNADLFSSYFGGAPIIHIPGFTYPVRTHFLEDVLEMTGYKLTSFNQIDDYGQEKVWKTQKQLAPRKKKNQITSLVEDALNNSNFENYSARARDSLSCWTPDCTGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQIKAHPLLGDPNRVLLLTCHGSMATAEQKLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPRCVFEAFAEYQLPELLRTPLNSLCLQIKSLQVRSIGEFLSSALQPPEPLAVQNAVGFLKMIGALDESENLTNLGEFLSVLPVDPKLGKMLIMGAVFRCFDPVLTIVAGLSVRDPFLLPQDKKDLAGTAKSRFSVKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSVQTLQAIHSLRKQFSFILKDAGLLDADAATNNKLSHNQSLVRAVICSGLFPGVASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFLRDSTGVSDSILILFGGALNCGTLAGQLKMLEGYIEFFMDPSLAECYLKLKEEMENLLRKKFQDPSLDIHKEGKYLMLAVQELVSGDQSEGRFVFGRESKRVKESTDNDRFTRDGMNPKSLLQTLLMRAGHSPPKYKIKHLKTNEFRALVEFKGMQFVGKPKRSKALAERDAAVEALAWLTHTDKKHDEDDKSQPDVTDNMLKLLGKRRRSKRR >CDP21245 pep supercontig:AUK_PRJEB4211_v1:scaffold_2961:2343:4572:-1 gene:GSCOC_T00010796001 transcript:CDP21245 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVYAMNGGNGPQSYNQNSSFQRGAVDVAKELIKEEIDKELDVKQLSSTSVHPFRIADFGCSTGPNTFVAMKAIREALEEKLRKEGLASEVPEFEVFFNDHISNDFNTLFASLPPERHYLAAGVPGDFHKVLLPKATLHFAHSSCSLHWLSDVPKEVTDNTSPAWNKGKIHHGGAKKKVLEAYASQFAKDLDLSSNFDYRFWLTKKKSTLNTKMHLLQGLVDELKVDMFNLPLYLPSPNEIKTLMKANEHLNVQRLEILSIPGKHVVFSNPSGIVLYLRAALEGLLEKQFGSDIMDELFELFTQKLAESSSLFNPENQDLAVIFVLLKRKLRT >CDP21246 pep supercontig:AUK_PRJEB4211_v1:scaffold_2962:13183:14271:1 gene:GSCOC_T00001794001 transcript:CDP21246 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPVINMKNLNGDKRASTMDIIKDACENWGFFELVNHGIPHEMMDTVERLTKGHYKKCMEQGFKELVASKALEGVQAEITDMDWESTFFLRHLPVSNISQVPDLDDEYR >CDP21247 pep supercontig:AUK_PRJEB4211_v1:scaffold_2969:7858:11519:1 gene:GSCOC_T00002557001 transcript:CDP21247 gene_biotype:protein_coding transcript_biotype:protein_coding MGQESNFTPQKRPGTLPTTAAAATANGGGGGGRSHSSSVVPRGRQISKTFNNIKITILCGFVTILVLRGTIGFGNLAVVVDDNSNGTYSLGPKIKNWDEQRKVWLSKNPEFPNFVNGKPRILLVTGSPPNPCDNAIGDHYLLKAVKNKIDYCRIHGIEIVYNMAQFDKEMAGYWSKLPLIRRLMVSHPEIEWIWWMDSDALFTDMAFEIPVTKYSEHNLVVHGYEDLLFEQKSWIALNTGSFLLRNSQWSLDLLDAWAPMGPKGYVRDEAGKILTANLKGRPKFEADDQSALIYLLITKKKQLMDKVFVESSYYLHGYWAGLVDRFEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPAERCLKSMERAFNFADNQVLKLYGFKHRGLVSPNIKRIRNETAIPLVSVDQFDIRHSRQHSKFIVCLCHSKRGAG >CDP21248 pep supercontig:AUK_PRJEB4211_v1:scaffold_2969:12058:13258:-1 gene:GSCOC_T00002558001 transcript:CDP21248 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGDSSVSDATQGNEDELLKPKSKNLSTSLRFKWEEEANRQGSWSMVLIKENHQEDSCSLIFPPSHHENLHVVGKSLTPSNSPPSPLLPSSTSASDLSSEVNNDSNDDDDDSSSTTLFSPSDSNRPSGPVSDSPVPTPRLRRRDAGDVPSWVNVGLEVFYSKIRGVVGTFRFPVKVPAFTVAAMVVGILYFGWRRRRRSIKQRDRDRLLRIIREKDERIDQLLNQIARMNNVLLALHRVPAASTHPS >CDP21249 pep supercontig:AUK_PRJEB4211_v1:scaffold_2971:9010:12277:-1 gene:GSCOC_T00002689001 transcript:CDP21249 gene_biotype:protein_coding transcript_biotype:protein_coding PYKERKMADAVISATIQVALETAVSLATDRIGMLVGFKKDLASMSRTLTFINALLADAEERQQNQDRGVQEWLKSLEEVAYDAGNVLDELNYESLRCKVESRNQLKSKVCCFFSCSNSLNEINQEADGLGLISRAVMTAALPAAADAGDKRNRQTDSVAVPMTGRADDESKIVKMLLSPSEKVVSCLPITGMGGLGKTTLAKSIYNNHQIDGHFQKKLWVCVSKKVPVVELFKLILVPLTGEKVEVDDRNVIVGKIQNQLEGKRYFLVLDDVWDDNQALWDDFFTTLKGLNPTDGSWCLVTTRIGQLADIVLKVLRMDDEAYALGRLPDDLCWSILKEKVVGGGEVPDELKAIKERVIKRCDGLPLAASVIGGLLSLKRKEEWRSILENRLSSLSGDEDRVMQILKLSFDNLPSPYIKKCFAYCSIFPKDTEMEGDMLIELWMAEGFLQADVNSQMMMEENGINYLRILLQKGFLQADVNSQMMMEENGINYLRILLQSSLFEEIIDESETSTSYKMHDLVHDLAELMSKSTKVIIDRDTSIVDNGNQIRYLTTDLFGGGEDREKLLESLSTSLHTLFVKGYLSGDMLMKLKNLYVLNLSHTRTRELPVSIGKLIHLRYVNLKWSAISILPDSLCKLYNLQTLTLSHSNVDRLPERMCNLISLRHLHYYAFDEAFQMPLEMGRLTCLRTLEFFNVDREKGRRIEELGSLKNLKGKLEIRNLELVKDKKGAEEAKLSEKANLFRLELEWADDREGDNYNDEDVLDSLRPHPNLEELVIWNFMELHPLQNFTSLKELEIYKCDGLTNLPGDMLHSCASLQKLEVSGCDNLISFPLDLQQMPSLSELKLLGCPKLKTSMTPKGFGFLTSLRELEIELYGLSHMESLPPQIQYLTTLMSLWLHDFGGIKALPDWFGNFATLEALRLTGFKGLGHLPSEDAMRSLTKLKRLQVHGSPLLKERCTPGSSGPDSQWSKVSHIQDLDITD >CDP21250 pep supercontig:AUK_PRJEB4211_v1:scaffold_2973:4540:6105:-1 gene:GSCOC_T00011906001 transcript:CDP21250 gene_biotype:protein_coding transcript_biotype:protein_coding MDISALASMKNVVKCDTWCELQNPANHRVFERKLRSKPLGRGHVCLGVTHRVAIPLSRGRRRLASGAPERGRPKREFSARDVTTMVVESLNSSPCRAVRLPTAIGAPTTLKRIALISTATLG >CDP21251 pep supercontig:AUK_PRJEB4211_v1:scaffold_2975:5423:8990:-1 gene:GSCOC_T00001189001 transcript:CDP21251 gene_biotype:protein_coding transcript_biotype:protein_coding MADALISAAIQVTLERALSLASDRIGLLVGFKKDVASMTRSLRLIKGVLADAEAKQNQDGAVQEWLKSLEEVAYEADNVLDKLHYEALRHQVESRNRHKLKVCCFFSFSNINLAFRWRMASKVRDINLELNKINKEANRLGLVSRLAMTAALPAAADAGDRRHRQTDSVVAPMIGRADDESNILEMLLSPSEKVVSGLPINGMGGLGKTTLAKSIYNNQQIDGQFEKKVWVCVSKKVPIVELFKLILVHLTGEKVEVDVRDVIVGKIRNQLGGKRYLLVLDDVWDDNQALWDDFFTTLKGLNPINPPKGSWCLITTRLHLVADKGYPLGRLPGDHCWSIIKGKVVEGEEVPNELDAIKDRAIQICNGLPLVASVLGGLLRLRKDKWRSILEDRLLNLNGVMQILQLSFDNLPSPAIKKCFAYCSIFPKDAEMEGDMLIELWMAEGFLHAGLENRTMVNKSMEEIGEYYLEILLHSSLLEEIRDDGERYYKMHDMVHDVATSMSKSTKVINSETGSEDNSNQVRCLVIDSFGEDAKNLLESRSNLLHTLFLSQGSLSDDMLKKLKNLHILNLSGEENQNLPISIGKLRHLRYINFENSTSATLPESVCKLYNLQTLRLNSFALKVLPKGMRDLINLRHLHYYNHNEEFQMPLEMGRLTSLQTLEFFNVGREKGRRIGEVGSLKNLKGKLEIRNLELVKDKEGAEEAKLSEKANLSGLQLWWAWAWDREGDNYNYDKEVLDGLRPHPNLEELEMWHFMGDQFPRWLMDLPTTTTLPELATTPPKLACLEFNYCHRCRELLPLQKFTSLKELVIDECDGLTNLPGDMLHSCASLQKLRVTNCNNLISFPLDLQRTPSLLELVLWGCPKLKTSMTPKGFGFLTSLRELAIGRFSDDGDDHENSSIYNEFDWSGLISSSSSSSSSALRELHLRGLPHMESLPHQIQHLTALTSLTLHDFGGIKALPDWFGNFAALEELYLSDFKELRQLPSEDVMRSFTKLKRLDVYGSPLLKERCTPESSGSDSQWSKVSHIQHLDIRG >CDP18874 pep supercontig:AUK_PRJEB4211_v1:scaffold_298:18535:19197:-1 gene:GSCOC_T00008714001 transcript:CDP18874 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVIVEVLLSNEREAQILAARELGKLATKLRQKLAERGIFLGSLRCFVSKYMKPLKRHSALLCLVFHNERNKIRIANSGAIPVLLEIIQCQKESLIDLAAAALLVLSSCSANKLAIAASGAVRILVGCLNSQLAEERGFQNLSVQAKLDIISIFHNLSTHPQIIPSIVLGWGRRRWWKWRRRWLGK >CDP21252 pep supercontig:AUK_PRJEB4211_v1:scaffold_2983:11835:14066:-1 gene:GSCOC_T00013640001 transcript:CDP21252 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAFSHTLCPFLRYLAIRVECCYVELNIYPCLPVQAARHKAGILCDSVQSISGENDLKLCITSYFKGASGKYSQKSGQAPLYIDDERELENKLAKLQKGKRAIQLDSTDDHVEVVCSKEGKSYE >CDP21256 pep supercontig:AUK_PRJEB4211_v1:scaffold_2984:12550:13500:-1 gene:GSCOC_T00006248001 transcript:CDP21256 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIFSQITMQLLMLALVFNVVFAGRVLLQENMLFQYHKGPLLTGKVSINLIWYGQFKPSQRAVISDFITSLSSPQSQVQPSVAAWIKSTDKYYSLIKSKNPVELALGTQILDEKYSLGKPLKMQQIEQLAAKNGQVNAINVVLTSSDVAVEGFCSSKCGTHGSLPSKQTTIVQKNKSNAQKFTYIWVGNSETQCPGQCAWPFHQPLYGPQSPPLVAPNNDVGMDGMVINLASLLAGTVTNPFGNGYYQGPATAPLEAASACPGIYAKGAYPGYAGNLLVDSATGASYNCNGVNGRKYLLPALFDPTSNSCSTLV >CDP21255 pep supercontig:AUK_PRJEB4211_v1:scaffold_2984:8847:9818:-1 gene:GSCOC_T00006245001 transcript:CDP21255 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHSHLFFQLAIIVSLVHFAMAGRQVPKPTQDDQNTMVFQYHNGPLLSGKISINLIWYGKFNPSERAIIADFVTSLSTSSSNPTTNEPSVATWWKATEKYYHLLRSKKASPLILSLGTQVIDESCSLGKSLTRNQIEELAAKGEQKNAINVVLTASDVAVDGFCSSTCGTHGSLLSSKIASAKGKIYKFAYIWVGNSATQCPGQCAWPFYQPMYGPQAPPLVAPNNNVGLDGMVINVATLLAGTATNPFGNGYFQGPANAPLEAASACTGVYGRGAYPGYPGKLLVDPTTGASYNAHGTNGKKYLLPAFVDPSTSSCSTLV >CDP21254 pep supercontig:AUK_PRJEB4211_v1:scaffold_2984:5958:7135:-1 gene:GSCOC_T00006243001 transcript:CDP21254 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVYSALCSLNLQDKVSITTAHSLAVLQTSYLPFAGRFHPDLTPCLTAILNFHLKTGSPFLINVYPYFAYKANPKQVPLEFVLFQPNSGILNSFRIPLFALYLDDPATNLHYDNMLFAQIDAVHFAVEFLGFKDDAVCVQISETGWPSKGDADEAGATPENAKKYNGNLINKLVCGKKGTPMRPNADLNIYVFALFNENMKSSPTSERNYGLFKPDDSSAYYTGFNSTGLLSTSSNTSSPPSSTGSSSSSSSSVPPGSSSSSSGSTTSSTAVVVS >CDP21253 pep supercontig:AUK_PRJEB4211_v1:scaffold_2984:2582:3508:-1 gene:GSCOC_T00006242001 transcript:CDP21253 gene_biotype:protein_coding transcript_biotype:protein_coding MVANVRFVTITFSLFALLAILPGSFSAIPRKLALVKPEPIVLKYHKGELLKGNTTVNLLWYGKFTPSQRAIVVDFLKSLSPTSRRGPPPQTVASWWSTTQKYLGSPSTIAVGKQVSLNYPLGKELKDSQIQALTSKFSHVNTVNLVLTASDVAVEDFCMNSCGTHGWTRGSKGQKYAYAWVGNAVSQCPGECAWPFHRPIVGPQTPPLVAPNGDVGIDGLVINLATVLAGAVTNPFDGGYFQGPPTAPLEAVSACTGIFGSGAYPGFPGTVLVDKTTGASYNARGANGREYLLPAMWDPKTSTCKPLA >CDP18884 pep supercontig:AUK_PRJEB4211_v1:scaffold_299:219062:225977:-1 gene:GSCOC_T00005837001 transcript:CDP18884 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYDLSKLLDKPDKPRLNIERQRSFDERSLSELSIGLSRALDAYETAYSPGRSALDTPVSSARNSFEPHPMVADAWEALRRSLVFFRDQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLQLQGWEKRIDRFKLGEGAMPASFKVLHDPDRKTDTIVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALVMLKHDTEGKEFIERIVKRLHALSFHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSISDWVFDFMPTRGGYFIGNVSPARMDMRWFALGNCVAILSCLATAEQAAAIMDLIEARWEELVGEMPMKICYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRIQIARRAIDLAESRLLKDSWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPLIKRSSSWTC >CDP18878 pep supercontig:AUK_PRJEB4211_v1:scaffold_299:19175:22781:1 gene:GSCOC_T00005827001 transcript:CDP18878 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTATGFYLRGATSTRALSHPAAAAGRSKAPLLPSTARTLNVPLLGNGCGLCKCAVEGGGGSVGLVRAWVVLRENSRCGNRKAWFGAVAVAEKDGGAVVESAEKGLEAPAEAAAQVRVQRKQRTAAGGGSGGGGGLPSPGSPDLLSIPGVGPRNLRKLVEKGFDGVAKLKQLYRDKFCGKSSQTMVEYLQSSVGIIHRNHAESITTYIKESVDEELKDDDTEVKLAPKKRLTFCVEGNISVGKTTFLHRIAHETLELRDLVEIVPEPIDKWQNVGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSCLPGLIPDGFIYLRASPDTCHKRMLLRKREEEGGVSLDYLRDLHEKHESWLFPFQSGNHGVLSVSEIPQRFDSSLPPDIRDRVFHLEGDHMHSSIQKIPALVLDCEPNIDFSKDVEAKRHYARQVAEFFEFVKKGKEDSPVSEDASKGNQPQVLLPHQRGLWVPDGKYFPESPLKSLDFRKAMPLTSP >CDP18882 pep supercontig:AUK_PRJEB4211_v1:scaffold_299:198851:203975:1 gene:GSCOC_T00005833001 transcript:CDP18882 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNGMRSCASKLLTTTESLLSKSTNRGFHSSGVKRMGGGHAHGHDEPYYLHAKHMYNLDRMKNQGLKMSLGVFTAFSIGVGVPVFAVIFQQKKTASG >CDP18877 pep supercontig:AUK_PRJEB4211_v1:scaffold_299:13274:13771:-1 gene:GSCOC_T00005826001 transcript:CDP18877 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGLHLLCCYSQHLRPVSARFLRLRKVRVLEFRFLSSSAPREKLPILKAKRREAEDGALDDGGGGDNGSVVLAAREKIGGGSRGGGEGRIVVSELHKEATEAYMAYAMSVLLSRALPNVRDGLKPVHRRILCVNCTVIYVFFWFRKLLCIFLGLTVDKVVHYAV >CDP18883 pep supercontig:AUK_PRJEB4211_v1:scaffold_299:206916:208142:-1 gene:GSCOC_T00005835001 transcript:CDP18883 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMEPHSKNQANTSNTSASDHHHAPQQQEPGGPGSGQAAPVGGHGPFMGSISMHSRNLLSSSSTPSSTTTTPTTTTAPSTASNNNNNSSGNKVAKKPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLHQAEPAIIAATGTGTIPANFSSLNVSLRSSGTTISAPPSKSAPLFLHGGSATAMLGFHHQLGNTGFAQDPDENYMKKRFREDTTGATSPSAAKPERAGVQDHEPGSEAKTGLAQHSGFIPAQAMWAVAPAAAASVGSTFWMLPAGTTMSAAAGSGGGGGGSQQDHQLWQYKAAPTAMQRIGGYDFSGGGRFTPVQLGSMVLQQSQPVQQLGLGVSETNMGMLASLNAYSGGGGSRVDLGMNLEQHHHQSQPQGSDSGDENPKDSQ >CDP18879 pep supercontig:AUK_PRJEB4211_v1:scaffold_299:30937:46332:-1 gene:GSCOC_T00005829001 transcript:CDP18879 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFPCEKLQEFDWEAAVREIDVACAQTTTSSTNYCANNGSNHVINCKIPTYTSSNPRIQETHKKSGQAEDEEENVGFVKIDPEAAKTWIYPVNIPLRDYQLNITRTALFSNTLVALPTGLGKTLIAAVVMYNYFRWFPEGKIVFAAPSRPLVLQQIEACHNVVGIPQEWTIDLTGQTSPTRRADHWRSKRVFFVTPQVLEKDIYSETEYIEAICLHIMLRERYLVGSCLVKHLVCLVVDEAHRATGNYSYCVAVRELMAVPVQLRVLALTATPGSKQQTIQHVIDNLQISRLEYRSESDPDVIPYVHDRKIELIEVAMGNDAVEINNLILEVIRPYVARLSAIGVLKNRDCQTLARLLILFFFSFLFGGVHELLLFHSYFVAAIVIFLLSPCDLLNSRDKFREAPPENLPHIKYGEVEGYFGVLITLYHIRKLLSSHGIKPAFEMLAEKLQQGSFARFMSWNEVLLKAKLLMQQSISHGAPSPKLSKLLEVLVDHFKTLLYGYSDLFFFWAWAEMKDPLESRVIIFSNFRGSVRDIMNALKDIGEFVKATEFVGQTSGKALKGQSQKVQQAVLQKFRTGGYNVIVATSIGEEGLDIMEVDLVICFDANISPLRMIQRMGRTGRKHEGRYYPLLVLACEGSELKGYMRKQANSKAIKKHMRNGGANSFQFHSSPRMIPHVFKPEVQFVELSIEQFVPRVKKVNDDDQPIQSPAYKAKLTDAENDLISKYFSTTRENTWKPSLIAFPHFQAFPSRVHKVLHSFRTGILIDAMQCLQGLPFSTCILLSYVELSLFVHFICIVQDSASPEPCSASEALEQCNGKIKGKDCLCSTRCHPSYDSFMVILMSHCDLYDGYVIFEVYTSGDFPIEDCIREVSLASLEPKEDLRTREDSYALGSQGSQCKNLVHSFLFSSGLISVDDLGTVQVLSVSQFPVKEVLLSKIMTTSRAAPFYHLKQNIACIDASTYIRSSQDDKDCAFKLNSCNASGEKTLGEIILETPIPKPMSDGGESINNSPEDRAPMLFAAEANDDPMDVEFSPRLTNFMESGIVPESPISSSGMYIQRDDIMVPDLVSTPMVHAQSVVKYLGQNEINVISSLTNDISAKQMKNSTPASKFRTPTVDECRSPFIKSPDIGFSKDWQLNPGGNSHGVKQRRKFKRLRKHGDLCRAKPQDCKEQTSGPTRNFTSSSVGADDGQNHHHRGNYFNFIPTCIPGLSCYQGAKFISNRAKVFVEDEAEVSLEVMVSSDEEDEQEDNSYEDSFIDDRINPTAKSTQAEESGIDMMAVYRRSLLSQSPLVGVANFSEDFTPSSDVPRNERTSTSGSENALLSRITTSPKEEKSKMENRKRKLFYKSGFLPVHNLEKEFFVGSVASGHDSMLHEQTDKIQENSKTRTSCQITWIQNGLLKTESGTYC >CDP18880 pep supercontig:AUK_PRJEB4211_v1:scaffold_299:140729:142114:-1 gene:GSCOC_T00005831001 transcript:CDP18880 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAVDSAFVQALEHRPKPTRIEDEGIPLIDLSVLNSPHTDADLARLVSEIGDASQKWGFFQVINHGVPLECQEKIELGSRKFFALPKEEKLKVRRIGAGVLGYVDAENTKNNVRDWKEVFDFVLKKPTLVAASDEPDDEEVRELTNQWPQNPPEFREVCDEFAREAEKLAFKLMELIALSLGLPKNRFHGFFEDQTTLIRVNHYPPCPSPDLALGVGQHQDAVALTILAQDDVEGLEVKRKTDGEWILVKPTPNAYIINIADIIQVWSNDKYGSVEHRVSVNSEKERFSIAFFFNPAHYTWVEPLEELINEQNPRKYKAYNSGKFFAARGKLKKLDVCHFKIDN >CDP18876 pep supercontig:AUK_PRJEB4211_v1:scaffold_299:4216:5229:1 gene:GSCOC_T00005825001 transcript:CDP18876 gene_biotype:protein_coding transcript_biotype:protein_coding MSICLEDSTCNLSLYQATLLVPWPHFIYHPKDKDMMRLILSSWETLLDSLTQSTPTFMLKEKVAGNNGFACGSIPQHPSTPIQLFGILKGSYSWWTTYQ >CDP18875 pep supercontig:AUK_PRJEB4211_v1:scaffold_299:745:2046:1 gene:GSCOC_T00005824001 transcript:CDP18875 gene_biotype:protein_coding transcript_biotype:protein_coding MISLAFFNSSFLLQFTIIASFLLAAYAGNFYQDVAQTFGDQRFKILEGGQLLTLSLDKTSGSGFQSKNEYLFGRFDMQLKLISGNSAGTVATFYDKDMMRLILSSWETLLDSLTQSTPTFMLKEKVAGNNGFACGSIPQHPSTPIQLFGILKGSYSWWITYQ >CDP18886 pep supercontig:AUK_PRJEB4211_v1:scaffold_299:246829:253544:-1 gene:GSCOC_T00005839001 transcript:CDP18886 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASGTNPFAKEMAIRRRIAGIFNKREEDFPSLKEYNDYLEEVEDMVVNLIEGIDVPAIEAKIAQYQRENAEQIMIAQARKAEEYAAALTASKGQNGQADNDTLMSQSSQAGTSTGAQGQYAPAIAGGTIPQPRPTGMAPQPVPLGSGPDIFMHDEDEEKIKLRGEKAARAGGWSLDLSKKRALEEAFGSIWI >CDP18885 pep supercontig:AUK_PRJEB4211_v1:scaffold_299:226047:227193:-1 gene:GSCOC_T00005838001 transcript:CDP18885 gene_biotype:protein_coding transcript_biotype:protein_coding MANGQYTVGISFVSFFSFIFFPFLPINTSFRQGALFFPLFFHFSLLFVSLSFPPCSSPLRQSRRPSDPFLAGISDSLLFCLAPFECRALFGLKV >CDP18881 pep supercontig:AUK_PRJEB4211_v1:scaffold_299:147534:148921:-1 gene:GSCOC_T00005832001 transcript:CDP18881 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAVDSTFEQALVHRPKPTRREDEGIPLIDLSVLNSPHTDADLARLFSETGNVINHGVPLEYREKIELGSRKFFALPKEEKLKVRRSGVGLLGCFTATNYLHGRDMNHFKESNLMCALTYTKQTLMLKIICIFIQYWRMIILLIFLLSLIREIIVTYIIILAQNQQYLLSLS >CDP18892 pep supercontig:AUK_PRJEB4211_v1:scaffold_300:182039:190394:-1 gene:GSCOC_T00007492001 transcript:CDP18892 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGKVVCVTGASGYIASWLVKLLLDRGYTVKASVRDLNDPNKTEFLMALDGAKERLHLFQADLLENGSFSALVDGCEGVFHTASPVLLSVSNPEAELLDPAVKGTLNVLRSCARVSCIKRVVLTSSMAAVANNRELKDGVVVDESWFSDPSYCEEHKMWYVLSKILAENAAWQFTKKHGIDMIVINPGMVIGPILQPFASQSVGIILNLVNGADSIKGAPFGWVDVRDVAYAHILAFEIPSASGRYCIIERSAHISQLIKLLRELYPTLHLPDMCSTSGNSQHPDYGVSNKKVKSLGMELIPLDVSLKDTIDSLKEKNLVSL >CDP18893 pep supercontig:AUK_PRJEB4211_v1:scaffold_300:191467:195028:-1 gene:GSCOC_T00007493001 transcript:CDP18893 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGKVVCVTGASGYIASWLIKMLLHRGYTVKASVRDLNDPKKTEFLMALDGAKERLRLFQANLLEEGSFDAIVDGCEGVFHTASPLLHPTSVTNPQIEQLDPALKGTLNVLRSCARVSTIKRVVLTSSMRAVTCNRELKDGVVVDESWFADPTYCEERKLWYPLSKILAENAAWEFSKEHGIDMVAIIPGMVIGPILQPYPSLTAGMVLNLVNGAASFYTARMRWVDVRDVAYAHILAFEVPSASGRYCVVEGFALWTEFIKTLNELYPTLQLSDECSTSTPLVEPNYEISNEKAKSLGIEFIPFNVCLKDTIESFKERNLVNF >CDP18887 pep supercontig:AUK_PRJEB4211_v1:scaffold_300:70:2903:-1 gene:GSCOC_T00007483001 transcript:CDP18887 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAAGEGKVVCVTGASGYIASWLVKLLLERGYTVKASVRDLNDPKKTQHLATLAGAKERLHLFSANLLEEGSFDAIVEGCEGVFHTASPVQLSVSNPEMHNPLPEGHGKKTVICFVLHYLGYLYMRSLVNDLAIATSEIQLEESNKSLLWPANLLQHLSYFLSLCFSSHDFTVLSLLMFETSRESAELLEPAVRGTVNVLRSCAKVSSIKKVVITSSMAAVTSNRELKKDVVVDESWFSDPLYCEEKKVCYHPFLSVKLCICGMLDFCSFNLLLYKI >CDP18891 pep supercontig:AUK_PRJEB4211_v1:scaffold_300:178101:179477:-1 gene:GSCOC_T00007490001 transcript:CDP18891 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTYICFLHSSTTLLDYIRKSKMAASEAGGITQGMGHTRYKYLLMASHRLVFSLTPLDMRHLEQ >CDP18894 pep supercontig:AUK_PRJEB4211_v1:scaffold_300:255588:260198:1 gene:GSCOC_T00007496001 transcript:CDP18894 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAGKVVGVTGASGYIASWLVKLLLERGYTVKASVRDLNDPKKTEFLMALHGAKERLHLFQANLLEEGSFDALVDGCEGVFHTASPVQYSVSNPQAQLLDPAVKGTLNVLQSCARVSSIKRVVLTSSMAAVVHNGELKDGVIVDESWFSDPLYCEEHKLWYQLSKILAENAAWRFSKEHDIDMIAINPGVAIGPILQPSPTSSAEVILDLANGIEPFPNAALPWVDVRNVAYAHIFAFEIPSASGRYCVNERFAHCCDLIKILTELFPTLRSPDKCSNGSSPLILPKFKASNEKVKGLGIEFIPLEVCLKDAIKSFKEKNLVSL >CDP18890 pep supercontig:AUK_PRJEB4211_v1:scaffold_300:154805:160794:-1 gene:GSCOC_T00007489001 transcript:CDP18890 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGEDKVVCVTGASGYIASWLVKQLLGRGYTVKASVRDANDPRKTEHLTSLDGAKERLKLFQANLLDDGSFDEIVQGCTGVFHTASPVNFSVSDPKKELLDPAVKGTLNLLQSCAKVSSIRRVILTSSIAAVLAKPELNKDSFVDESWFSNPSYCEEQKMWYQLSKTLAEDAAWKFSKEHGIDMVSINPGWVFGPILQPSINLSAGFVLDVVNGAQSFPDACVGWIDVRDVACAHIHAFEIPSANGRYCVVGKNVHWSEIVKILRQLFPTLQLPNKGSPNSTFGMGEFEVSMEKTKGLGINFIPLEVSLKDTVESFKEKNFITF >CDP18895 pep supercontig:AUK_PRJEB4211_v1:scaffold_300:263474:267587:-1 gene:GSCOC_T00007497001 transcript:CDP18895 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGKVVCVTGASGYIASWLVKLLLERGYTVKASVRDLNDPRKTEFLMALDGAKERLQLFQANLLEEGSFDAIVDGCEGVFHAASPVQFSVSNPQAQLLDPAVKGTLNVLQSCAKVQSIKRVILTSSIAAVIYNDELKDGVIVDESWFSVPLYCEEHKLWYQLSKILAENAAWDFSKEHGIDMIAINPGMVTGPFLQPSATLSAEVILSLVNGIDPFPNMVIPWVDVRDVAYSHIVAFEIASASGRYCVVERTAGCCELIRILTELFPTLQLPDKYSNGSPLIQLKYDVSNEKVKGLGIEFMPLEVSLKDTIESFIEMKLVSL >CDP18889 pep supercontig:AUK_PRJEB4211_v1:scaffold_300:74398:76752:-1 gene:GSCOC_T00007485001 transcript:CDP18889 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTLVLLLFYNRELKKDAVVDESWFSDPPFCEEQKVCIHAVSPTVLVEVHWSNISYDQPIINYDGCLKWPLILDLNIFISAICLSPVTETIGAPNAGAESFPDGTHRWVDVRDVAYAHILAFEVPSASGRYCLVGRSAHASQVIKILHELYPSHQFPNEQVLFSLSILSV >CDP18897 pep supercontig:AUK_PRJEB4211_v1:scaffold_300:282180:282326:1 gene:GSCOC_T00007500001 transcript:CDP18897 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKTDTPLAKKARGVMEFLLMNHLLDCPICDQGWECGSIFCWVYSWF >CDP18898 pep supercontig:AUK_PRJEB4211_v1:scaffold_300:288547:288988:-1 gene:GSCOC_T00007502001 transcript:CDP18898 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGASGYIASWLLKLPIPLTNSLFLHDDTDDPRKTEFLEALDGAKERLHLFQANLLEEGSFDAVVDGSEGVFHAASPVQLSVSNPQVHFK >CDP18888 pep supercontig:AUK_PRJEB4211_v1:scaffold_300:39269:44450:-1 gene:GSCOC_T00007484001 transcript:CDP18888 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAAGERKVVCVTGASGYIASWLVKLLLERGYTVKASVRDLNDPKKTQHLASLAGAKERLHLFSANLLEEGSFDAIVEGCEGVFHTASPAQLSVSNPEAELLEPAVRGTVNVLQSCAKVSSIKKVVITSSTAAVTINRELKKDVVVDESWFSDPSYCEEQKSWYELSKTLAEDAAWKFAKEHGIEIITIHPGFVVGPVLQPSINLTTELILSLVNGAGAFPNVTLGWVDVRDVAHAHILAFEIPSASGRYCLVERSAHASEVIKILRGHYPTHKFLDKFSDYSNLFYPALTVSNEKAKNLGVRFIPLEVSLKDMIESFREKNLVSI >CDP18896 pep supercontig:AUK_PRJEB4211_v1:scaffold_300:274594:274965:-1 gene:GSCOC_T00007498001 transcript:CDP18896 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPSPRMNMPPPLPSPRMNGPPPSLPSPRTNGPPPLFPSPTSQFLLPSPTGFLNLFSPRSPYPLLSPGFQHPPPMTPNFSFSPMAQSGILGPGPQVPPPGYGFPLSPSGFFAIPSPRWRDQ >CDP21259 pep supercontig:AUK_PRJEB4211_v1:scaffold_3002:2797:4245:-1 gene:GSCOC_T00008106001 transcript:CDP21259 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKFSSITTDSGLEIKTVHSNKLDYLYEVSIEPEVVNPTSIPTINPYSAYGKQSFSPTRVIKLIRAHPKGVKEYIQASKVDQHPIPATRKEQFITLHIPNDFPMQWKQQGYTHIHFGAIRISLSFHGRKGLPVVARVALLDTRFKQYQHACIATTETTLNAGTVFVTLFPNFNMSLADPHLLEALKVQVQIIGAEQVSDAIAATLHYQMVYRVQNHALDLAIPGGENALLIRVDEKNGASCTHVPRQISKQDFKISSSFYYVQDIIKYFDKEGLPVSWFQDPISGHIYFDVCNICEECQIENILGLDLPDLSCKKRSKSKQVEPRPCKPDLDPQNPDTDSFVSQRSQFNGYQIPTEWISKNTKTSCPVSKKDFILIIKSVLIFWKKKQESPRKNGS >CDP21260 pep supercontig:AUK_PRJEB4211_v1:scaffold_3003:8305:8802:1 gene:GSCOC_T00001004001 transcript:CDP21260 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKKAGEEVALEKIRMDNEKEGFPITAMREIKIPRKLDLCYFGTYPLVCSLCSEKNYGVCLKKFKLYCTLLLFSSLCFGLELLCCRLYQPLQLGICFLREVWDYVLLFGFLCLKNMY >CDP21261 pep supercontig:AUK_PRJEB4211_v1:scaffold_3006:5098:6653:-1 gene:GSCOC_T00003050001 transcript:CDP21261 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGALTSGLQQLQLKTCQLFGTSDLHHFDNILPKKRASLKPLVIEAKANAKTESAKTRNNRLRKKFNGTATKPRLSVFCSDKQLYAMVVDDQNKRCLFYGSTLQKSIRQDLSCTTIEAAQRVGEELVKACIDLDINEISSYDRNGLARGDRMQAFEIAISRHGFLPR >CDP21262 pep supercontig:AUK_PRJEB4211_v1:scaffold_3007:13575:13961:-1 gene:GSCOC_T00000543001 transcript:CDP21262 gene_biotype:protein_coding transcript_biotype:protein_coding AHTVGQAQCFTFQQRIYSNGADINAGFASARRRRCPRTGRNSNLAPLDLVTPNQFDNNYYKNLVRKKGLLISDQTLFNGSSTDTIVKEYSKNPRTFSSDFSAAMVKMGDLSPLTGQDGIIRRVCSSIN >CDP18901 pep supercontig:AUK_PRJEB4211_v1:scaffold_301:241680:252972:-1 gene:GSCOC_T00010255001 transcript:CDP18901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG-binding domain protein 4-like protein [Source:Projected from Arabidopsis thaliana (AT3G07930) UniProtKB/Swiss-Prot;Acc:Q0IGK1] MGEEVVAQGEEKNLENGSGNNGGIGPKKRKSCQEVGRKKAKKEVVARLGEKNLVNGNGEIASGVGKRGVKGQKKARKEIRVVSPYFFKSTDNGEVAKGEDTVEVIVLPKRSKRDKKRAFSHAQKRDEAYQRKTLENSWKPPRSPFNLLQENHAHDPWRVLVICILLNCTTGLQVRRVIDELFTLCPTAQSASHISAEDIEKIIQPLGLHRKRAVMIKRFSAEYLGESWTHVTQLHGIGKYAADAYAIFCTGKWDRVTPSDHKLNEYWDFLRAGCAT >CDP18899 pep supercontig:AUK_PRJEB4211_v1:scaffold_301:24049:26492:-1 gene:GSCOC_T00010249001 transcript:CDP18899 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKPMSEIFLGILWVEVGGSPLYRVERKIGEGSLEQVCKGRLFSCEKGSEPVEVAQKFEHKIKLVGLIKDDYPTDSLGGGGGTHGAPTVHYKGIQRNYFIMVMEHSGPILLDVWSLNNCTALHHRPRTVFDVGYELILISHSCYLRYKSFVDFGIATEWRNSCEGKHIEYTEHPWHTKGTLEFASRLPWRKSSLEEKFSTSPDLLCFSCYAPLKEYLGTVLDFNFSEEPNYCLLLYVISIFDGLIGANFATKLLNTDGAQR >CDP18900 pep supercontig:AUK_PRJEB4211_v1:scaffold_301:81370:82266:-1 gene:GSCOC_T00010252001 transcript:CDP18900 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKDSLCSQVTPNRQERSDSSSSTAAGMKDEGKIDMSSTIPQCSYSSRTKRNLSKPPSSCSCLARKPSQVTGSARKSKIATLPEGLSVSSSSSFSAMNTAEFHHSETKKDSSFWSENNVQVFVQVQPFNSVEKSTDGYSPCLKQDSAESITWSGEPETRFTFDHVACETVDQETLFRVIGVLMVENCLYGYNCCTFAYGQV >CDP21263 pep supercontig:AUK_PRJEB4211_v1:scaffold_3010:1:4956:1 gene:GSCOC_T00009031001 transcript:CDP21263 gene_biotype:protein_coding transcript_biotype:protein_coding TLFFSGRHMLYVSSAVGVNATNDLCALSGSFKHTPSSELVNAAYILSRNDLARPALTLPGASKPVVAVRFCPVRFSLRGLKSSDFFRLPYRLIFALATLNSLYIYDTEGIEPIAILAGLHYAAITDIAWSPNGKYLALSSQDGYCTLLEFQNQELGSSVPVSEERNIVDDRKTLQQAQGASFTKTEPDNSLDGAESEKAEAHNDEKQASTATLATPTANKPAKRRITPIVID >CDP21265 pep supercontig:AUK_PRJEB4211_v1:scaffold_3011:8224:13075:-1 gene:GSCOC_T00004242001 transcript:CDP21265 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNPFDLLGDDDTEDPSQLIAAQKAPVAAAKKTPAPAASQQQQSKPAAKLPSKPLPPTQAVREAKVEAAHGGGRGGGRGYGRGRGGRGFDRDSANNENVFRNRELSGGQGAPEDADAGKFTERQGGYGGPRGPFRGGRRGGFSNGEVGDGERPRRAFERRSGTGRGNEIKREGAGRGNWGTESDEVAQAAEEVNEGEKNPNAEKQPPGEEDTADGSKETPANESEEKEPENKEMTLEEYEKVLEEKRKTLQTLKTEERKVDAKAFASMQQLANKKANEDVFIKLGSDKDKKKEANEKEEKAKKSLSINEFLKPAEGEKFYNPGGRGRGRGRGSRGYGGGNSYGNVETPSIEDLVLFPSLGSK >CDP21264 pep supercontig:AUK_PRJEB4211_v1:scaffold_3011:60:5176:1 gene:GSCOC_T00004241001 transcript:CDP21264 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYSESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKILVGNKSDLTDKKVVSYETAKAFADEIGIPFLETSAKDATNVEQAFMAMSAAIKNRMASQPAMNNAKPPTVNMRGQPVAQNSGCCSS >CDP21266 pep supercontig:AUK_PRJEB4211_v1:scaffold_3013:1181:2587:1 gene:GSCOC_T00003989001 transcript:CDP21266 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGYRFCPTDEELIVHYLRKRIAKAPLPLNRIHEANVYGHNPQELTSYFHNVNGWYFFTYLTNKYPKGSRPSRSCGDGGFWKPTGKDKKIYYNGNTVGYRKSLDFLLGPGQKTAWKMHEYRIHANNPKVQASTYFLINLLYILFIYFKVFL >CDP21268 pep supercontig:AUK_PRJEB4211_v1:scaffold_3014:3040:6359:-1 gene:GSCOC_T00004770001 transcript:CDP21268 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAEVLEVGGGGNGEGGGGRKTKTKTKKRGKKRKRTERKRKRKRKRKRKKKRKKKRKGKKITLQKRKGKEKGSLGRRIHLKRNPLTGSSTSGDGTKRLSPHVWHAKRFTRSKLWGFYLPLGLHRSRGKGSRALLKKSKQGVLVHDSSYYSAVQLECPEIGISSCSTLQSSIMVPSPLENCDQTSQHIVAGVTYGSAMLHHVGTVFAQPVAPVTYMWRPQNPILTARVDKIDSKDEQQNIDSYASSRQLWIWIHPAAFREGYDVLESACETGCSLPKSTEEHHKNIHVQWKQFSYLSNFFVKHHHIYRHDFSLTLQPSRPRITKVFVLYIRITKFIEEKLFLEKRIIYEGSMFNVDHSRPRRLRLGRDVSVSAKSSNLGDTTLERILPWNPGSLSSLLLNPEEKYQFSDFLDLWDVSIGVNPPVEESFLCKKKKALSNVEFFSIGEENSNNLDPSGVRQCSQLCPIMLLKDNSGKGFTTRWTAIPPLSWVKVFWIAIISNGAQAIGLRERHWIACEVGVSNTIGSELTTRLL >CDP21267 pep supercontig:AUK_PRJEB4211_v1:scaffold_3014:2382:2904:-1 gene:GSCOC_T00004769001 transcript:CDP21267 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAGNFQAPQSLPGSYFVQQPSGRWALQVPEEPVVRESFRWPIGFITTGFIRGSKKPVAIALCEAVLLAHLRDDQWKAISVSKRRKEIYILIRNFRSTAYRLAFATIVLEAREEDMEFM >CDP21269 pep supercontig:AUK_PRJEB4211_v1:scaffold_3018:10867:12610:-1 gene:GSCOC_T00003361001 transcript:CDP21269 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKGLTTRIDRKLSGLSNSFSKQRIFRVDHNLRSQNEVAYEPQMFSIGPYHHGKENLVKAQTYKLWYLKELLLRRGESSTERYINALKDIEDEARSWYAEEDMIGLGSEEFVEMMLLDGFFIIEFLRKYAGWCLYKDYPLNYGPKQGDPIFLNRRTMGSLFRDILLFENQLPFFILVRLFEMTKSPGGDEEENLVDLAIFPDSPLHLFFPGEKPNGDLQDNCSTSGEVNEHIKCASELRQAGIKFETANKSVSWLDIAFEKGVMKIPTLDVQDVTECVFRNLIGFELYMINGLYDRRYIIDYVTFMDSLIASSRDVEKLRRQKIITKWLGDDEAISSVFNGLVKEVETYTGDNVFCYWRVFKQVNEYSSRRRNIWRAHLMRNYFNNPWSIISFAAALVLLSLTFVQTIFSILQFENE >CDP18902 pep supercontig:AUK_PRJEB4211_v1:scaffold_302:25662:27358:-1 gene:GSCOC_T00009945001 transcript:CDP18902 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIITLRLVGYSRQNKTKQNKTKRKRESESREEEKEKRKGKLALVHHFCSSILSLDLGETT >CDP21270 pep supercontig:AUK_PRJEB4211_v1:scaffold_3027:5033:5474:-1 gene:GSCOC_T00013145001 transcript:CDP21270 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRIKSLRKEILSCGSKLVEKYNRFVIFPIKSSIVKESLEKRSKKLLIKAKVASNLIIQVGEETFHLYKVPMVPRSRLKKRLAI >CDP18903 pep supercontig:AUK_PRJEB4211_v1:scaffold_303:413:5240:1 gene:GSCOC_T00002193001 transcript:CDP18903 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNELVKLIEILNPQNKLGRITIITRMGAENMRVKPPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLRYLTRPFDAIRAEVRAFFDVHEQESSHPGGVHLEMTGQNVTECIGGSRTVTL >CDP21271 pep supercontig:AUK_PRJEB4211_v1:scaffold_3030:3163:5450:1 gene:GSCOC_T00003724001 transcript:CDP21271 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVTTMRLKVDLQCPCCYKKVKKILCKFPQIRDQIYDEKQNLVTITVVCCSPEKIRDKLCCKGGKVIKSIEIVAPPKPKPPEKPKEPEKPKPPPEKPKEPEKPKPPSIETLVKR >CDP21272 pep supercontig:AUK_PRJEB4211_v1:scaffold_3030:11193:11555:1 gene:GSCOC_T00003725001 transcript:CDP21272 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQSIEPAAKQVLKVNSREVKHQAFEPYSPKLGRKLSSRTMQGTMPVSLPPPATSLNKNGGISKHVSGKNGRTATSFPASRPEIINHENASPNIPY >CDP21273 pep supercontig:AUK_PRJEB4211_v1:scaffold_3038:10407:13006:-1 gene:GSCOC_T00007289001 transcript:CDP21273 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVLAYFLLFLALNGQTSLGQVMTGAPAAAPSPQPPFLPPPPPPSLPKEEVDAVNSLLQLLSPYSPLGPAYFSALSCHDIKFSPALTCNCTLEDKTCRVVLIDLSGQDLTGSIPPEIGNLSHLESLFQTYFSKCLSW >CDP18907 pep supercontig:AUK_PRJEB4211_v1:scaffold_304:172655:174497:1 gene:GSCOC_T00007412001 transcript:CDP18907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-inducible gibberellin-responsive protein [Source: Projected from Oryza sativa (Os07g0583600)] MQASQRPRKVGMPNTFFLNQPLQKVESYFLPHIQTFEPQLSCNNSRYGPSCPIQISHDRYCTLESSSLTGNHTIYNSPSTVSFSPIGSPVSQQESLHMTDPVQSPETNYGSPLSGSCITNDVNDLRHKLRELENAMLGPDSDFFGACDNLLPINEGALENSWRQMIEVIPRGDLKQILIACAKAVADNDTLISQWLMSELRQMVSVSGEPIQRLGAYMLEGLVAKLAASGSSIYKSLRCKEPASFELLSYMHILYEVCPYFKFGYMSANGAIAEAMKDENRVHIIDFQISQGSQWVTLIQAFAARPGGPPHIRITGIDDSTSAYARGGGLSIVGQRLSRLAESFKVPFEFHAAAMSGCEVQPEDLGIQPGEALAVNFAFVLHHMPDESVSTENPRDRLLRLVKSLNPKVVTLVEQESNTNTAAFFPRFLETLDYYTAMFESIDVTLPRDHKERINVEQHCLARDVVNVIACEGPERVERHEVLGKWKSRFRMAGFSPYPLCSLVNATIKKLLENYSDKYRLEERDGALYLGWMNRDLVASCAWK >CDP18904 pep supercontig:AUK_PRJEB4211_v1:scaffold_304:5835:7638:1 gene:GSCOC_T00007408001 transcript:CDP18904 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMQGKWFKLDQKGAGPGARSSHAISIVGDKVYAFGGEFTPRIPVDNALYVFDLVERTWSVAEATGDIPPPRVGVSMTSIGDIIYVFAGRDATHKELNELYSFDTSSNRWTLLTSGDTGPENRSYHSIAADGRCLYVFGGCGVSGRLNDLWAYDVIDGKWTKFPAAGENCKPRGGPGLAVSLGKIWVVYGFSGVEIDDVHYFDLVEEKWIQVETTGEKPTPRSVFSTLGIGKYIFISGGEIDPSDLGHLGAGKFAAEVYALDTETLAWKKVEDGSNSVEHPGPRGWCAFAGGKLDGQEGLLVYGGNSPSNDRLEDIYFFCPSLFENGK >CDP18908 pep supercontig:AUK_PRJEB4211_v1:scaffold_304:175104:179069:-1 gene:GSCOC_T00007413001 transcript:CDP18908 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRDSPHNGVPVSPSNSGEVVSCVVLKIESGSLQQKQISASESCEPEAHKVPPLVKNEKDELNQWQSHGAGNHSTAYGETEVLDSGKDVAISNQVSIVPKKELVSDGQLHASVSSKQLIPENGNRASQFNQVSVQPKEELGRSEQNLSPHTGSNTPMSGEKLIPESGTHVSQFNHVSIITKMDPDGPEQEQGTDAGKDASLPCEGGGTDSSVLEKSLQHMQNTNMRVCTSSSDQEKITYSAKPEKVLYKLQPRRNPDSGVHATQSEQGSNSPRIREKALDDGYNWRKYGQKLVKGNVFVRSYYKCTYSTCRAKKQVERLHDGRLTDIKYIGKHEHPKLQSSPQCTAFVSPSEVSKADMPAIATSEAEDELVVAHNDKPQPIDPAETPRELAATASNNSTGKAVPQLHNPRDDIDNDISPTSKRQKRETCDVHNNQVKKTHCESRQVVHMMSEVDIVNDGYRWRKYGQKLVKGNPNPRSYYRCSNAGCTVKKHVERSSHDPKVVITTYEGKHDHDMPASRTVGHSATESGTNGTTMKGEAKSEIGEHNAVGMDLIVKIGAN >CDP18906 pep supercontig:AUK_PRJEB4211_v1:scaffold_304:171430:172525:1 gene:GSCOC_T00007411001 transcript:CDP18906 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPQVKTLSWNFLSSGLDSHFQPELTANGLELDLSKRNQMLF >CDP18905 pep supercontig:AUK_PRJEB4211_v1:scaffold_304:14827:16065:-1 gene:GSCOC_T00007409001 transcript:CDP18905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 2 [Source:Projected from Arabidopsis thaliana (AT4G12730) UniProtKB/Swiss-Prot;Acc:Q9SU13] MRPLFRLPLFSLPLLLLLLLSSTDAQSHNITRILAKHPEFSTFNHYLTTTHLADEINRRRTITVCVVDNAGMSDLLAKHLSLPSLKNVLSLHVFADYFGARKLHQISKGSTTTSTLFQATGEAAGTAGYVNITDMKGGKVGFATGNNEGEYGDLTSTFVKSVDEIPYVVAVIQISHVLLSPDAEAPVSGPGALNVTTLMEKQGCKAFADLLKSSGAEETFVQNVEAGLTVFCPSDGVLSSFMPSYKNLTSEGKVSLLLYHGTPTYKSLGMLRSKNGKMNTLATEGANKYDVTVQNDGEDVKLDTNVVTATITGTVIDEDPVAVFKIDKVLLPRELFKEAPPAPAPAPAPAPKGSKGAKEKDTAADAPAPAADEDSVPADQTASANGAIRIVNFRGGWMSVALSLILGCLVFI >CDP18911 pep supercontig:AUK_PRJEB4211_v1:scaffold_305:119658:121075:1 gene:GSCOC_T00001258001 transcript:CDP18911 gene_biotype:protein_coding transcript_biotype:protein_coding MILRNVLLVHTKMLKDLMKISANLVPLTAFLVMHFLSMYEGMLPNQFVPTNREYESALNRFIDEINSVAAYERWEGSVHSTCLLNLVPGPGNNGVGVIKFTIVRIMVSLSMTILVYDPADREHYTKE >CDP18912 pep supercontig:AUK_PRJEB4211_v1:scaffold_305:122086:122920:1 gene:GSCOC_T00001259001 transcript:CDP18912 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFVLFVHLWISSSAKECFKFLTRYLATFSDEDAYAMNEAKDEAVRATVDFLKAPGMFQVSLVLGLLCKPAVAQLEKDAKHALVYQLLKIFLTQG >CDP18913 pep supercontig:AUK_PRJEB4211_v1:scaffold_305:141843:144473:-1 gene:GSCOC_T00001262001 transcript:CDP18913 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPFFFFFLSFVSFFFSLGNFRYGPIFRTSIVGQPVVVSTDADFNFRVFQQEGNAFQIWYTESLFQIIGKQSVLAHHGGFHKYLKSLTFKLVSPEALREKLIYEMDASTQESLSSWSKLGKLDAKDGTSELVFKYAAKKMLGYEESKDQQKLRDSYKAFMDGLISFPLNIPGTPFHACLQGRKKAMKVIHDIFEKKRSGNDTSKDFVDHLLEQIEKEDTILNEEIARDLVFLFVLCNSSHTSTALTVALRYLDGHPRVMAELKREHENILQMRETEGSAISWKEYKSMTFTHMVINETLRLANITPGILRKVVKEVEVKGYTIPAGWTVMVCPSTVHLDPNVYENPHEFNPWRWEGKELHMGSKNFMAFAGGTRLCVGADYAKVQMSIFLHYLVTKYTWRVTNGVERIRTPTGICYPKGLHMEISENK >CDP18915 pep supercontig:AUK_PRJEB4211_v1:scaffold_305:237826:240581:-1 gene:GSCOC_T00001264001 transcript:CDP18915 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPFFFFFLSFVSFFFSWGNFRYGPIFRTSIVGQPVVVSTDADFNFRVFQQEGNAFQIWYTESLFQIIGKQSVLAHHGGFHKYLKSLTFKLVSPEALREKLIYEMDASTQESLSSWSKLGKLDAKDGTSELVFKYAAKKMLGYEESKDQQKLRDSYKAFMDGLISFPLNIPGTPFHACLQGRKKAMKVIHDIFEKKRSGNDTSKDFVDHLLEQIEKEDTILNEEIARDLVFLFLFAAHETTSTALTVALRYLDGHPRVMAELKREHENILKMRETEGSAISWKEYKSMTFTHMVINETLRLANITPGILRKVVKEVEVKGYTIPAGWTVMVCPSTVHLDPNVYENPHEFNPWRWEGKELHMGSKNFMAFAGGTRLCVGADYAKVQMSIFLHYLVTKYTWRVTNGAERIRTPTGICYPKGLHMEISENK >CDP18914 pep supercontig:AUK_PRJEB4211_v1:scaffold_305:220761:222222:1 gene:GSCOC_T00001263001 transcript:CDP18914 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWKEIIKGQSSEESPARSSWSFGKDPVSEMDKVEFLLNRAELLRQQLKARYPNLPQTFLDAIKVQYGTDVGHSILEAYSRVLGNLAFSILSRIGDILEEDHLSNPSSPVAASYFPGIRIPGISDSPLQCRIRHSLLDQMNRVDGNADRCSGSEASYSESPFGDSSISSITVTPSRSRVWCVGGDSCGTLSATSSP >CDP18910 pep supercontig:AUK_PRJEB4211_v1:scaffold_305:99170:101691:-1 gene:GSCOC_T00001257001 transcript:CDP18910 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTQESLSSWSKLGKLDAKDGTAELVFKYAAKKMLGYEESKDQQKLRDSYKAFMDGLISFPLNIPGTPFHACLQGRKKAMKVIHDIFEKKRSGNDAAANDYDFADHLLEEIKKEDTFLNEEIARDLVFLFLFAAHETTSTALTVALRYLDGHQRVMAELKREHENILKMRETEGSAVSWKEYKSMTFTHMVINETVRLANIAPGILRKVVKEVEVKGYTIPAGWTVMVCPPSVHLDPNLYEDPLEFNPWRWEGKELHAGSKSFMAFAGGTRLCVGADYAKVQMSIFLHYLVTKYTWRVINGAERIRTPTGIRFPKGLHIEISENK >CDP18909 pep supercontig:AUK_PRJEB4211_v1:scaffold_305:87414:90407:-1 gene:GSCOC_T00001256001 transcript:CDP18909 gene_biotype:protein_coding transcript_biotype:protein_coding MLFACAIIALIIVLFSHWVYRWRNPKCNGVLPPGSMGLPIIGETIQYFTPYASDDIPPFVQKRAAKYGPIFRTSIVGEPIIVSTDADVNYRVFQQEGNAFQIWYTQSLFQIIGKQSVVAHHGDFHKYLKSLTFKFVSPEALREKLIYEMDESTQESLRSWSKLGKLDAKDGTAELVFKYAAKKMLGYEESKDQQKLRDSYKAFMDGLISFPLNIPGTPFHACLQGRKKAMKVIHDIFEKKRSGNDTSKDFVDHLLEQIEKEDTILNEEIARDLVFLFLFAAHETTSTALTVALRYLDGHPRVMAELKREHENILKMRETEGSAISWKEYKSMTFTHMVINETLRLANITPGILRKVVKEVEVKGYTIPAGWTVMVCPSTVHLDPNVYENPHEFNPWRWEGKELHMGSKNFMAFAGGTRLCVGADYAKVQMSIFLHYLVTKYTWRVTNGAERIRTPTGICYPKGLHMEISENK >CDP18916 pep supercontig:AUK_PRJEB4211_v1:scaffold_306:14958:33309:-1 gene:GSCOC_T00000814001 transcript:CDP18916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MMRQPSASSTTLNAPQPHPADYHHPIAQPPPSLHPHPPYDSHGDSFAAKRMRKIGQRRTVDYTSTVVRFMQIRMWQRDSRDRTVLQPTPAAAVDMLPTAAYLDNPSTSFAAKFVHTSLNKNRCSINRVLWTPSGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMVWSYNDNWMVTGDDGGAIKYWQNNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEEYSLSGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKSGRELCSFHGHKNTVLCVKWNQNGNWVLTSSKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFNEEYFVSGSFDGSIFHWLVGHETPQVEISNAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDTAREKFNTGFGEQNSAIGGRMPGNFPGHEAPMTPGPFASGLTRNEGTIPGIGVAMPLSVPSLDSSAQADQKPPTSISTPLGAPPLPPGPHPSLLSSNQQQAYQQNAQLVQQHQQMAQQISLPVPPPNMPQLQPSAHQPLLPHPHLARPPPQLQPLNTPSSMPSSMPGSLPIPGPIGGPMGMQGNMNQMVPPMPQGHFMGMNAMPSGSGLPGNIPPGGIPNGLLNMQGPSNASGSQMFQPGGGFNRPQTGQMQMMPGLNPYQPGNPNPPAMGSLPSNFGLMSGMPPPLPPGPPPHNQTHK >CDP18917 pep supercontig:AUK_PRJEB4211_v1:scaffold_306:252763:261089:1 gene:GSCOC_T00000817001 transcript:CDP18917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ADP-ribosylation factor GTPase-activating protein AGD11 [Source:Projected from Arabidopsis thaliana (AT3G07940) UniProtKB/Swiss-Prot;Acc:Q8L7A4] METSPSYSCLSNERHNLSSSPQRRLESLLVERGNRFCADCGSPEPKWVSFNFGAFICIKCSGVHRSLGVHITKVLSMKLDEWTNEQVDTLISMGGNTAVNLKYEALLPENYKKPKLDSSIEERSDFIRRKYELQQFSNCDELMYCTLPSPSSSYCNSVSCNYTLEKRQYEKQTTSNRIHGLGQAFRNSWRRSSEQRSTKKSNSMAGMVEFIGLIKVNVVRGTNLAIRDMVTSDPYVILSLGNQSVKTRVIKNNLNPVWNEKLMLSIPENVPPLKLLVYDKDTFTTDDFMGEAEIDIQPLVSAAKAFESSILHEPMQLGKWKASRENTLVRDGIISLDEGKVKQEIALKLQNVERGVLEIELECVPLTQ >CDP21274 pep supercontig:AUK_PRJEB4211_v1:scaffold_3060:10400:13516:-1 gene:GSCOC_T00007011001 transcript:CDP21274 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISATVQVALETAVSLASDRIGMLVGFKKDVASMRRSLRLINAVLADAEAKQNQNGAVQEWLKSLEEVAYEADNVLDELHYESLRQQVESRNRHKLKVCCFFSFSNINLAFRWRMASKVRDIKLKLNGIYQDARGLGLVSREVLTAALPAAPAVGDTRGRQTDSVLVPMTGRADDESNIVKILLSLSEKVVSVLPIVGMGGLGKTTLAKSIYNNKQIDEHFDIKIWVCVSKKVPIEELFRLILVHLTGDKVEVDVRDVIVGKIGNQLGGKKYFLVLDDVWDDNQALWEDFFNTLKGLNPTNGSWCLVTTRPGPVAQCVSRVLRMMENESYRLGKLPDDHCWSIVKEKVVGGEEEPDVLKAIKERVIERCDGLPLAASVIGGLLSLKRKEEWHSILENRLLRLSAGGDHVMQILKLSFDNLPSPYIKKCFAYCSIFPKDTEMKGDMLIELWMAEGFLQADATSQMMMEEIGMNYLRILLQSSLLEEMIDELETSTSYKMHDLVHDLAESMSKSTKVINDWNTHIVDNGNQIRYLAIESSGAREDREKLLESLSTSLHTLLVNGDLSDDMLMKLKNLYVLSLCTRTTQELPVSIGELIHLRYVDLSWSSISILPDSLCKLYNLQTLTLSHSDVKDLPMGMCNLVSLRHLHYYTWDEEFQMPLNMGRLTCLQTLEFFNVGREKGRRIGELGSLKNLKGTLRIRNLELVKDKEGAEKAKLSEKANLFRLELKWAYNREGDNYNDEDVLDGLRPHPNLEELAICNFMGDQFPQWLMDLPTTLPKLECLKFYFCNRCRELLPLQNFMSLKELKIYHCDGLTNLPGDWLHSCTSLQELRVAYCDNLISFPLNLQQTPSLLELGLYSCPKLKTSMTPKGFGFLTSLRELTIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSSALRRLDLFGLPHVESLPHQIQYLTTLTSLTLVGFGGVKALPDWFGNFAALEDLYLGGFEELGHLPSEDAMRSLTKLKKLQVCGSPLLKERCTPESSGPDSQWSKVSHIQDLDITDDY >CDP21275 pep supercontig:AUK_PRJEB4211_v1:scaffold_3062:3573:4133:-1 gene:GSCOC_T00003650001 transcript:CDP21275 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIHPRPHALLLPYPLQGHVIPFIHLATKLASNGFIITFVITESIHHQITTSKNQTNHYKEGGINIFAEARKSGLDIRYATVSDGFPLGFDRSLNHDQFFEGIVHVFSAHVDEIVGKLVQSEPPVNCLVIDTFYVFASPIARKYNLVNISFWTEPALVFSLYYHMDLLRINGHYDNYGISSISLW >CDP21276 pep supercontig:AUK_PRJEB4211_v1:scaffold_3065:12875:13219:-1 gene:GSCOC_T00008894001 transcript:CDP21276 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIIESPSRTDKTCWAKSLNSQAHNYYAGHIDLAHHCDDVWYNVVDDVNPQFLKHWKEFLGAQRDWSSNCKYAKSNKIKGGIPTIVLCNASPNFSYHDYLSASDRQDLFNWTK >CDP21277 pep supercontig:AUK_PRJEB4211_v1:scaffold_3067:2340:5472:-1 gene:GSCOC_T00002040001 transcript:CDP21277 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSYSPSPPRGYSRRYRSPSPRGRYGGRGRDLPTSLLVRNLRRDCRPEDLRGPFGEFGLLKDVYLPRDYYTGEPRGFGFVQYVDPADAAEAKYQMDGQVLCGRELTVVFAEENRKKPSEMRARERYRGRSYDRRRSPPRYSRSPFSSRTYSRTADYYSPSPRRRYHSRSISPRDRRYRKRSYSRSPYGSRSRSWSRSRSLDSEGYSM >CDP21278 pep supercontig:AUK_PRJEB4211_v1:scaffold_3069:10987:12947:-1 gene:GSCOC_T00005663001 transcript:CDP21278 gene_biotype:protein_coding transcript_biotype:protein_coding MICEVSNALRMVNKEGITQINPLIITQASLQSAGPVESSSTNVVVVISKSSEFPNDALFLEISRILNPGGTVLVHLASQLLQTKSSLERKLLLAGLLDVKSSEAGQSIGVSVLKIGSSFSLKKEIKSLPAVQINDDTDLIDEDTPLSEEDLKKPQLPVGDCEVGKTRKRAKIALVGGLKRRRK >CDP21279 pep supercontig:AUK_PRJEB4211_v1:scaffold_3079:812:1036:-1 gene:GSCOC_T00002207001 transcript:CDP21279 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEDNEGIPPDQQQFIFTDKQLEDGRTLANYNILKESTLHLICRLP >CDP21280 pep supercontig:AUK_PRJEB4211_v1:scaffold_3081:2973:4022:-1 gene:GSCOC_T00004175001 transcript:CDP21280 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTLLKRYFLIAIALTTGKTSGSGSFSKLPDSKFQIYNARAQVNGHGSSFSKLPDSKFQIYNRKSQVNGHGFSFSKLPDSKFQIYNRKSQLNSHGISFSNLPDSKFQIYNRKSQVNSHGTNPTKDQLYNDKNITIFFLGKDLHRGSSMNLEFVESLKITTLFLPRQVADSIPFSSKSVPEILNKFSLKPQSEEAETIKKTIAECEMPGTKGEDKYCATSLESMIDFTTSKLGKDVRAVSTEAEKIDTKIRKYTIKDVAKLNTADKVVSCHKEKYPYAVFYCHTSQSNAYMTNLAAAEDEAKAKAVAVCHKDTSQWDPEHLAFQLLKVKPGTAPICHFLPEDHIIWVPK >CDP21281 pep supercontig:AUK_PRJEB4211_v1:scaffold_3087:3:3123:1 gene:GSCOC_T00003532001 transcript:CDP21281 gene_biotype:protein_coding transcript_biotype:protein_coding CRKFSGTILFCSWSVWFHISDSLHVFSHFRKFSVLCFFRFLNILTSDLSFSIDAGSADPHRNIDSADSSANNLFAASFPAIFYLLVAMFGFTFRIHSLVLEKELKLRQTMSIMGLYDSAYWTSWFIWEGFMVFLTSLLIVAFGTMFRDDVFMKNNIFLVFLLFFLFMISMVSFAFMISTLLSKSFSATTVGFFILAFGLVTVASVSSPEQSFIIFSSLFYNGTVKNNNYRILWSFFPPNPFAGGFTALEEAAGEGGIRWSQRAECKLLGDPCVSMVYFYLWLVSLFFFWSLVAIYFDNIIPNSAGLRKSHLYFLKPSYWIGRGDSNLTDHFTLDDEDVHEEEASVKKATIEGTVDPDVAIQLRGLTKSYSMALKIRCHWFCFCYFCCTCKITKPFVTVKLFCLLGSNGAGKSTLISCLTGITPVTHGDALIYSNSIRNSKGMSTIRRLARFDSLWNALSTKEHLHLFANIKGLPMATRKSEVKRLLADVDIDKIANVRAGSYSGGTRR >CDP18944 pep supercontig:AUK_PRJEB4211_v1:scaffold_309:256050:256736:1 gene:GSCOC_T00009936001 transcript:CDP18944 gene_biotype:protein_coding transcript_biotype:protein_coding MILHQYLIIAGLTLQLFDYGQDLLVGGTDTSALTVEWALNELLKHPRLIRKATEELDRVIGRDKWVEEADFSKLPFLEAIIKETLRLHPLATLLSPRYALEDCTVAGYNIAKGTTVFINTWSIGRSSKYWDSPEEFIPERFLGKDIDMKGQNFVLLPFGSGRRMCPGYNLGIKLIRSMLANLLHGFNWKLPRGMKPEEICMEEHYGLTTHPRIPLAMIPEPRLPVNLY >CDP18945 pep supercontig:AUK_PRJEB4211_v1:scaffold_309:263921:264743:1 gene:GSCOC_T00009937001 transcript:CDP18945 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLMISAILFSPLPCPPISTLSLPPLLFSTSGHPRIPSPHPSYFPPFLVTSEKKKNHQITPTRLHRSFMWPYHKGTRAQGKEGVWYLYLEI >CDP18946 pep supercontig:AUK_PRJEB4211_v1:scaffold_309:276603:278166:1 gene:GSCOC_T00009939001 transcript:CDP18946 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHAAGEGNKPLISMNGRNLSEKELKFEEHARRELIPGRSSFISIDCGIENGNDYTDSETGISYTSDAQYVESGVNMKISDEFLSQTQNYQKLLSTVRSFPEGNSSCYTLKPTTNGGGNKYLIRAFFMYGNYDLKSQPPLFKLYLNANEWDEVKLDNASQILIKEVIHNPPTDYIHVCLVNVGSGTPIISALEIRELNNTIYDTMSNDSLILYRRLDEGASSTISNKFQRTACLLLFFSVVWNLQCSKLH >CDP21283 pep supercontig:AUK_PRJEB4211_v1:scaffold_3092:6986:12616:-1 gene:GSCOC_T00006051001 transcript:CDP21283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MSVALLWVVLPISEVTNSIAFLEPVREGSRLLDSSRFVGRGKNCLCNGRLEKGKQQRWNSGYLNGDSRNSCLGGSRLKNRGKFSVIPNVVVSPAGEIAMSSEQKVYDVVLKQAALVNRQLRSREDWDVKPDIVLPGNLNILSEAYDRCGEVCAEYAQTFYLGTMLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPTALDRWEARLEDVFRGHPFDMLDAALSDTVSKFPVDIQPFRDMIEGMRMDLKKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESKATVESVYNAALALGIANQLTNILRDVGEDATRGRIYLPQDELAQAGLSDEDIFAGKVTDQWRNFMKQQMKRARKFFDEAEKGVTELNSASRWPVWASLLLYRQILDEIEANDYNNFDRRAYVSKPKKLLALPMAYAKSLVPPRTSSPLAKGMS >CDP21282 pep supercontig:AUK_PRJEB4211_v1:scaffold_3092:2821:5011:-1 gene:GSCOC_T00006050001 transcript:CDP21282 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYGPVTAGCPQRVLVCLVEMGVDFEVVHVDLETGDHKKPEFLPLQPFGQVPVVEDGDFRLFESRAIVRYYASKNAEHGPNLLGTTLEEKALVDQWLEVESHNFNDMIYGLVLQLEVLPRMGKSTDFKLVQKFVDNLDKVLDVYEERLSKSKYLAGDYYTIADMCHLPGITFLLTGNGFGHLIRERKSVNSWWNDISGRPAWKKVLELMK >CDP21284 pep supercontig:AUK_PRJEB4211_v1:scaffold_3102:2998:6784:-1 gene:GSCOC_T00008978001 transcript:CDP21284 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRFQLSYVFLCYGLYFLNFVALIKPTTVSSSISSSNGTDEQALLAIKFQIESDPFQCNTMDQRVTALNLSSLEIGGALSTSIGNLTFLEELILDNNLFHGTIPRELSQLVHLQHISLYNNSFHGEIPGNLTYPAIRIINLERNNLEGEIPAELGSSKNLLELYLSNNQFKGTIPRSLGDLADLRVLSVSDNFLEGSIPEELGKLSNLEFLKLSSNKLSGVVPMQLFKISSIQYLNLASNHLNGIFPSDFGLNHSRLHTFVVAENQFFGSLPLSIANASGIVILDIGANALSGPIPMNIGNLKHLQRLDFSKNPLGSTDSTGLNFLTSLTNCTNLRILHLNANNHGGALSSSVANLSTKLTSLRLDKNYITGGIPDNLENLANLDNLAMSQNMLTGRIPTFIGKLTRLEGLYLSGNKFIGEIPGSIGNITQLSVLEMQGNVLDGSIPVSLGNCTRLQGLDLSHNRLTGVIPEEVFGLSSLTYNLNLAHNLLSGPLPSVVVKLKNLGSLDISNNRLSGELPVSIGDCQFLEFLSLQGNVLNGQIPESLDGLMSIQLLDLSRNNFSGIIPQTLASAFHYILYLNLSFNFLEGEVPNEGLFRNSTAFSVVGNEKLCGGIKSLQLPECQGKISSPKNNAGFKPLSKRVLIPLCIGFLIFLMLAYIYFCHWWTRKMNISSPVTSDSSPGDQYPKLSYTELLQATDGFSPSNLIATGRYSSVYKGILKYREETVAVKVINLQQRGSRKSFVAECEALRNIRHRNILKIITSCSGTDSKGNEFKALVYEFMPNGSLESWLHPSSSNLQQPKKLNLIQRLNVAIDVATAFVYLHHCCELPVIHRDLKPSNILLDDQLCAHLGDFGSARSLLLAIDRSTHIRIRTRTIGLVGTVGYVALECGMGGPTSTLVDVYSYGILLLEMFTGKRPTDSMFKEDFCLHNYVKMALPDQVMRIADPKLSSECQNVSGMMANQTQTRSRGTYRFEKCLASILHIGVKCSAQSPRGRMDIAAALMELQAARDGFLIADEKANKDD >CDP21285 pep supercontig:AUK_PRJEB4211_v1:scaffold_3122:3236:3933:-1 gene:GSCOC_T00005760001 transcript:CDP21285 gene_biotype:protein_coding transcript_biotype:protein_coding MTNACCVNRNESMFSRADWGISFFARNSHNATDPNKFNQVLRDMMKEIASRAANDGLVWREKFAVQEANYSPSQRIYALGQCTPDLSRNDFEKCLRNAIFQISGYCSNSLGCKVMSFSCNIRYALYKFYSSVSPTPKPASNLGPTALPFPPPSNSTNSEGHFQFLLN >CDP21286 pep supercontig:AUK_PRJEB4211_v1:scaffold_3124:5293:10335:-1 gene:GSCOC_T00010475001 transcript:CDP21286 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRKCVFVFLLLLSIVLELSVTTLGDGKLNKELKEDGCGIGRRGCSGRGREGRGLFGVGGIGGGAGQGGGFGAGGGAGGGAGGGVGGGGGFGGGGGGGIGGGSGHGGGFGAGGGVGGGIGGGAGGGGGSGGGGGGGGGQGGGVGGGGRGGGGGFGGGAGGGLGGGGGVGGGGGFGGGGGGGVGGGAGKGGGFGAGGGVGGGAGGGVGGGGGFGGGGGGGVGGGSGHGGGGGGGGGGIGGGSGHGGGFGAGGGVGGGIGGGAGGGGGGGGGGGGGGGGIGGGSGHGGGFGAGGGVGGGAGGGVGGGGGFGGGGGGGIGGGSGHGGGFGAGGGVGGGAGGGAGGGLGGGHGGGIGVGVGVGIGVGAGAGAGKGVGVGSGSGSGGEGGGQ >CDP18949 pep supercontig:AUK_PRJEB4211_v1:scaffold_313:25115:30437:-1 gene:GSCOC_T00001505001 transcript:CDP18949 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQVPMYYPSEFEKQWKIHDNHPRNLQQVHRSQDIIQYFNKEGLPVSWYQDPISGHIYFDVCNECEECQLERIFDLELLDLSSMSKFNGYQIPSIWIPKSYKKPTLPSKKDLHPYYQKCLDISKKEAKQLNVEWKPKSFCKSEPLISSHPPQVQECFMFREEDFPKLETFNKNGSRHTQKIQNVASTVLPSGETVRPNPSEDVLNWQTEKSLQHLSEIGRHLFILVLSLHHHHQSQQLADKEQKKVEDERKRKEKQIVQEQQEPPSSLIFYQKTFLPQSSKPFDIAETLRQYRRNKQVQKDAERAQQLADKEQKKAEDERKRKEKQIERKANEATKVYDNPLSSMLDELHDDSVPYISTYTEHQDSSEDCSSTMNTLSESSDTDSYSTDNSSEQSFDEKEEIIPQIHMADPKVVLPDDNDNEEGETSQDTPQRATFPKSKGVPLFTIDNVTPEKWEAKFQEFHAWMLAQNLTEESHFEILSTFIAHLSGILKDWWGQLLEMHIR >CDP21287 pep supercontig:AUK_PRJEB4211_v1:scaffold_3137:330:1247:-1 gene:GSCOC_T00004642001 transcript:CDP21287 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKLLVSFLLLQFLFANYSTVHAAPNCPSKLFREYIGAEGQDLTFSDVPINANVEFHFILSFAIDYTNSSIPLPTNGYFNVYWDKENLSPYRVSCIKAEHPNVKVAMSLAGDTVGGGFNVSFDPASVESWVRNAIYSVTNIVKEYNLDGIDIDYEHFKADPDTFAKCIGRLLYYLKRNRIVTFTSIAPFEDEDVQPHYLALWRKYGHLIDYVNFQFYAYQKGTNVSQFLQYFETQRGNYEGGKILVSFGTDESGGLKPQNGFFNATSILKSQGKLEGIFIWSADDSKKDNFYYEKQAQDLLAL >CDP21288 pep supercontig:AUK_PRJEB4211_v1:scaffold_3137:10436:11634:1 gene:GSCOC_T00004644001 transcript:CDP21288 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSTRWCPTPEQLMILEEMYRGGIRTPNASQIQRITAHLSFYGKIEGKNVFYWFQNHKARERQKLRRKLSKQPYQQQLLQEQLCLHRRHNQENKFLSSNESPPPALHQLSLDKSADYLHPGGVGSAAAHMTSCTWKRDLPNMYELQNTSMMRSYGDDWMMMMLKMRDAGPTHYPSCCSSNRPLKTLELFPITTQNGKDHQATTSNPSRNSYL >CDP18950 pep supercontig:AUK_PRJEB4211_v1:scaffold_315:167757:168024:-1 gene:GSCOC_T00013308001 transcript:CDP18950 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFPPHRAQGEGRACCAYSRDWMAYIVYSLSLDLFYVRVGLFPLHL >CDP21289 pep supercontig:AUK_PRJEB4211_v1:scaffold_3159:168:4221:-1 gene:GSCOC_T00012616001 transcript:CDP21289 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPLEEKKDMVKEPLLSTASPKGGSGTMPFIIANSVLEKVATYGVSPNMTLYLLKEYHLEMATASNILFYLSAANNFTPVLGAIVADAYVGRFHMIGFGCVISFLLIFGQISDKQEERGNSFGRGKGEFGFRFPIPRTSTSTTFLHLLGTIVLWLTTMIPQARPPPCNESSNSCTSATSFQLFMLFTSFILLSVGAGGIRSASLAFGADQFEKRDGKKDAVLLQRYFSWYYVSYSLSLVLALTCMVYIQDQMGWQIGFGASVVVMFFAAFLFFLASPFYIKVKAKVTLFTELVQVIVASYKNKSRKLLSSSSTEMLYHHKNGSMLVFPSEKMRFLNKACIIHDPEQDLTPDGRAKNPWNLCTVDQVEDLKALLKVIPIWLSGIVTFININQTSFSVLQASSMDRKIIGNFEIPAGSFGIFNIISMILWVAFYDQVLLPIAARIKGKPVYLTARQRMGIGTFISFLSVVVTATVECIRLSLAFKEGISDDPEAIVHMSALWLLPQYCLIGLTLGLSAIAQNEFFISELPRSMSSMASTLCGVGISLGCLVASFIMSAIDDFTKRGGGESWISTNINKGHYDYYFWVLSGLSLANFFFFLICCWTYGPCRGEEGNVNDEEVSLR >CDP18952 pep supercontig:AUK_PRJEB4211_v1:scaffold_316:209995:217547:1 gene:GSCOC_T00012367001 transcript:CDP18952 gene_biotype:protein_coding transcript_biotype:protein_coding MYTANLIGFGLAVASSAFIGSSFIIKKKGLQRAGASGSRAGSGGHGYLREPLWWIGMIAMIFGEFANFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLKEKLRKMGILGCILCVVGSTVIVLHAPGEHDISSVDEIWELATQPAFVLYAASAIAVVLALVLYCEPRYGQTNLMVYIGVCSIFGSLTVMSIKAIGIAIKLTLEGFSQAAHYQTWVFVMIAVTCIITQLNYLNKALDTFNTAVVSPIYYAMFTSLTIFASAIMFKDWAGQSASSIVSVLCGFVTVLSGTVILHSTRDPEAPPVSDAYSSLSPQISWLVHANGEIWKHKDNDEMHPEFVAIIRQDHFK >CDP18951 pep supercontig:AUK_PRJEB4211_v1:scaffold_316:189034:189189:1 gene:GSCOC_T00012366001 transcript:CDP18951 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTKTFMIKKKLVKKQRQNNPIPYWIRMRTDNTIRYNSKRRHWRRTKLGF >CDP21291 pep supercontig:AUK_PRJEB4211_v1:scaffold_3162:2973:6982:-1 gene:GSCOC_T00007872001 transcript:CDP21291 gene_biotype:protein_coding transcript_biotype:protein_coding MADALISSTIQVTLERALSLASDRIGLLVGFKKDVASLSRTLSFINALLADAEERQQNQDRGVQEWLKSLEEVAYDAQNVLDELHYESLRHQVESRNRHKLKVRDIKDKLNEINQRAHGLLLVSRAVVTAALPAAPPAGDTRNRQTDSVVAPMVGRADDESKIVKMLLSPSEKVVSVLPINGMGGLGKTTLAKSIYNNHQIDGHFQKKIWVCVSEKVPRVELFKLILGQLNDKKDEVGDRQNIVQEVGKELGKLRYLLVLDDVWDDSQTLWDDFFNTLTGLNPINPPKGSWCLITTRLHLVAHKGYPLGRLPGDHCWSILKGKVVDGEEVPNELDAIKDRAIQICNGLPLGSLSDDMLTKLKNLHVLKLSGVQNQNLPISIGKLTHLRYINFEGCTIETLPESVCKLYNLQTLRLKRFVLKVLPKGTCDLISLRHLHFYTTKEFQMPLNMGRLTCLQTLEFFNVGREKGRRIGELGSLKNLKGKLIIHNLELVKDKEGAEEAKLSEKTNLFRLQLEWAHDLEGDDYNDKDVLDGLRPHPNLEELLIFFFYGRSISSMVNGFANNNNTPRLRVTNCNNLISFPLDLQQTPSLLLLVLTCCPKLKTSMTPKGFVFLTSLRELAIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSSALRKLGLIGLPHMEALPHQIQYLTTLTSLTLRDFGGIKALPDWFGNFAALEKLWLIGFKELRHLPSEDAMRSLTKLKLLGVYRSPLLKERCTPESSGPDFQWSKVSHIQDLIIIG >CDP21290 pep supercontig:AUK_PRJEB4211_v1:scaffold_3162:2441:2847:-1 gene:GSCOC_T00007871001 transcript:CDP21290 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGCFFFYVCSDFGHKAQQGTSSMALTYYLYFLKVLQFVAEQDPASAE >CDP21293 pep supercontig:AUK_PRJEB4211_v1:scaffold_3174:5139:8834:-1 gene:GSCOC_T00007717001 transcript:CDP21293 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIQVGLQTVVSLAADHVNLVREFPTELERLNDSAEMIRGFLAGADEEMHSHDPKLVGVQKWLKQLEEEVFKADNVLDELNYENLRRKVKYQNQLTKKKHQVEVAFATIAAGATTSRQTDSTIVRRDVLGRDEDESEIVKKLLTETESVISVIPITGMGGLGKTTLAKAIYKNEQIVGHFDQTMWVCVAEKVDRIEVVFKMILESLIGGKVEGDRREVIVQKIQHELKEKRYFLVLDDLWNDQEVLLDDFFSTLAGLNAKKGSWCLVTTRLQEVATILSRHPPINFTRHEIGRLCNDDCWSIMKKWANVAEEVPKELEDIREQVLRRCDGLPLAAKLIGGLLSKKRKEEWLSILEESLLNGDQGGIEQIIKVSFDHLSPAPVKKCFAYCSIFDQDTKLEQDLLVEHWMAEGFLQPDSQNERTMEKIGYEYLRTLLQTSLLEEVKEKWRTWYKMHDLVHDFAKSILNRNSSNQDRYLAVYSPERINEKSSASLRTLFLEGGIADDMLSKLKYLHVLKLFGADVKELPTSIGKLIHLHLLDISGSWVTTLPESLCKLYSLQTLRIDALEKGFPKKMSNLISLRHLHYYDTGREIQMPSRIGRLTCLQTLEFFSIGRQKKGRGIQELGTLEDLKGSLEIRNLELVNGKDDAELANLSKKPNMYRLVFEWGNRDRESNNCDEDVLEGLQPHPNLKELQILKFMGDQFPQWFMNLTLTSLAELRVEDCTRCRELPALGQLPFLKRLYLTRLENTTCIGLSFYSSTISRQTFFPALKILSLESMKNLEEWKDAHEMRSTADVFPVLEKLSISDCPQLTTIPTPSRFPSLDVLNIKKNCHVLLAEKVLSNIANLSSLRINGCDSLPTDMLERLCLFPTLQHVKLMIAKNITTFRGMSCAACLKRLEVTLCENLRELPDDLYQFQALEHLKIRGCPRIDSFGYPNPKNSFGQKSLLKSLKQFTVDDCDALTRLPVEMFESCTSLRKLKLFDCRSLVSFPLDLRRFPSLECFSLYGCSNLITEMPNDSVIEFDWAGLASSSSLRHVSLGGMFDTKSLPHQLQDLTTITSLSLKHFGAIEALPDWLGNLVSLEDLILSWCPKLEYLPSTAAMERLKLRRLEIRDCPLLTERCTPQSGSEWPKISNIPELKID >CDP21292 pep supercontig:AUK_PRJEB4211_v1:scaffold_3174:1836:3041:-1 gene:GSCOC_T00007715001 transcript:CDP21292 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGTGELSRYKTCLKKTGRANTLVSEVTRFDGKKLDIVDSIGDRLDDINEACDCSVSHSFGWNQFKVLLLQLRRQKFLHSRSKVPARSCKAFVNWTTGRITRTIYPNSIDFCYHYFLLVIFKQKCMDGDVVDNCSLKE >CDP21294 pep supercontig:AUK_PRJEB4211_v1:scaffold_3177:6910:7528:-1 gene:GSCOC_T00011315001 transcript:CDP21294 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQFNAGETHGKTQQAQVPLAGENALPRYDEYAYELILKTTALNIDGNSTNREMCAFTYLRMNPDLFHPDNWRRFVAFVKKMKEGKDVHRCWEQVEREAEHFVHVTQPFVQEATVALRH >CDP21295 pep supercontig:AUK_PRJEB4211_v1:scaffold_3178:10770:11066:1 gene:GSCOC_T00004165001 transcript:CDP21295 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMDTLIGVLSIALLLCFQVCFAKEAAEHITIPVNVGVVLDAQTEIGKMGMKCISMALSDLYASHGSSYKTRLALNRRDSKRSVVGAAAAGSILSIP >CDP18958 pep supercontig:AUK_PRJEB4211_v1:scaffold_318:7873:39234:1 gene:GSCOC_T00001506001 transcript:CDP18958 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGLQETLLKKQYHENCPGCVVDEMKERQEGVPIKKLLTMGTIVLSAALPIASLYPFLYFMIRDFHIAKREEDINFYAGYVGASYMLGRTLTSIFWGVVADRYGRKPVIFTGVIAVFVFNTLFGLSLNFWMAIGTRFLLGALNGLLGPMKAYASEIFHHKYQAVALSSISASWAIGLITGPALGGFLAQPAEKYPATFSPNSIFGRFPYFLPCLCISLFALIGAICCFWLPETLHMHPCNSSPSESYDALEAALPKFNGKTETKDGREAASAKSLLKNWPLMSSIIAYCIFSLHDMAYSEICSLWAESPRKFGGLSYSTQSVGEILTITGCGLLVFQLFLYPFVEKMLGPINIARISGILSIPLLTSYPYIAMLPGIALAVVLNCAILLKSVLSTSIITGLFILQNNAVEQHQRGAANGIAMTAMSLFKAVGPAGGGAILSWAQKRQHAAFFPGVHLVFFILNVIEAIGVLMTFKPFLADWSQYESQN >CDP21296 pep supercontig:AUK_PRJEB4211_v1:scaffold_3187:10836:12470:-1 gene:GSCOC_T00000263001 transcript:CDP21296 gene_biotype:protein_coding transcript_biotype:protein_coding HIHFGAIRISLSFHGRKGLLVVARVALLDTRFTQYQHACIATVETTLNAGTVFVTLFPNFNMSLVDPHLLDALKVQVQIIGVDQVQDAIAATLYYQMAYRVQNHALDLTVPGGEDALLIQVDEKNSTSCTHIPRQIFKSDLVQLLPNSWITDYENLHTQANEPLESSNSRITKTIEGRTSISFDHSHLKISSKIIPSIMLAEIPMQLPTQEGKLWGRYEENCKKGFLQDIIEHFDKNGEAVYHFQDPISGHIYFDTRTNCEECYLAEQLELDASDLSFGKKKTKPVDPQPFEPRPCKPDHKPQDPDSDNFQSARVGLMVTKFLLHGEQTKKIQKEWKPVQQPLPKSLENGTQPIPCFMFQEADFPPLESFVKNGSKHTPKIQNAAPVILPTGEYATTDISYEILNWQTENSLVQNSAFTSIHHNVSEVFQKVNHINTSEEVSKMISVLERRLASIKYDSPANSSSLANFVLNQEKETKFLQKQIATLKETGEVPKYDIGPFEPPP >CDP18959 pep supercontig:AUK_PRJEB4211_v1:scaffold_319:33786:38314:-1 gene:GSCOC_T00000785001 transcript:CDP18959 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPDAALGFILQNLKESVQYNTELIGGVKDNVKELCEDLETLRAFIREYTDKYSDNEILEKLASEIRGVVYRAEDAIETYISCASVQKLRRAISKATHFVDYISDLRAVGKEIEKVSKDVQEIYQNRAALGFAAMQIEEISNRRQKKKKTPVVEEDNVVGFDDAAKEVIELLTGESDDQSDQLEVISIIGMLGLGKTTLAKKVLNDPKIEYEFYTRAFVNVSQEYERKEMFLKILGQFTQITDQMNKMSDEQLCKELHDQLKTRKYLIVMDDVWTNEAWDQLKGAFPNNNKRSRVLITSRHKPVAVHANQSIDPYFLRFLYPEESRELLRRKVFGNNCCPSELEAYELRILQKCDGLPLAIVVVAGILVNHRDRTDWWKKVAEDVNDYVARKQEQSYDVIKLSYNHMPYYLKPCFLYLGVFREDFEIPVWKLVRLWIAEGFIPRDGCMSLEDIAEDYLEELVDRNLVMVGHRRLTGQIKTCRIHDTLRDFCKKEATKENLFQEIKRFDQAPSFSADRSSDGFRRLCVNAFVADYIKSKPSGEFVRSFLSFAKDETTLQPEHVSLIPKAFKLLRVLDARSLILTRFPTDLLYLVLLKYISVSCNFKILPEKLSNLWNLQTLIVETSSRTLEIKADIWKLPQLRHVHTNASTSLVESKKELIINAHLKTLSTISPESCRAELFVRAPKLKKLGVCGKLVNVIQPTGQSSLFANLFKLEDLENLKLLNDDITFKLHALPQENMFPRKLTRLTLLNTLLDWKHMSTLGKLEKLEVLKLKDNAFQGELWRTEGGGFRNLKVLHIGSTNLVMWKALASHFPILRSLFLRHCTKLEAVPSGLGDIATLQEIDLYCTNSMVAKSARNIQVLKLKVQANDKNKRGAAFKLSVYPPDQ >CDP18960 pep supercontig:AUK_PRJEB4211_v1:scaffold_319:129950:154020:-1 gene:GSCOC_T00000787001 transcript:CDP18960 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEVLCERLYNSQDSAERAHAENTLKCFSVNADYIPQCQFILDNALTPYALMLASSSLLKQVTEHSLSLQLRLDIRNYLVNYLANRGPELQSFVVGSLIQLLCRITKFGWFDDDKFRDVVKESISFLSQATPEHYAIGLKILNQLVCEMNQPNPGLPSTHHRRVACSFRDLSLFQIFHISITSLHQLKSDVISRLQELALSLSLKCLSFDFVGTSVDESADEFGTVQIPLSWKPVLEDFSTVQIFFDYYTIAKPPISKEALECLVRLASVRRSLFTTDVTRSKYLAHLMTGTKEILRSGTGLGDHDNYHEFCRLLGRFRINYQLSELVNMEGYSEWIRLVAEFTSKSLQSWQWASTSVYYLLGLWSRLVSSVPYLKADAPSLLDEFVPKITEGYITSRLDATQAGLPDDLSEHPLDNVELLQDQLDSFPNLCRFQYESSSLFLINIMEPILQTYTERAQLQATDGGELSVIEAKLSWIVHIIAALLRVKQCSGCSSDSQEVIDAELSARVLRLVNVSDTGLHSQRYGEPSKQRLDRAVLTFFQFFRKSYVGDQAMHSSKQLYARLSELLGLHDHLLLLDFFIRKIATNLKCYTESDEVVDHTLSLFLELASGYMTGKLLLKLETVKFIIANHTKEHFPFLEDYRSSRSRTTFYYTIGWLIFLEDSPLLFKSSMDSLLQVFVTLGATPDAMFRTDGVKYKLIGLMRDLRGIAMATNSRRTYGLLFDWIYPAHMPILLKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLLVAYGSRVLSLPNPADIYAFKYKGIWISLTILARALAGNYVNFGVFELYGDRALADALDIALKMTLAIPLADILAYRKLTKAYYAFLEVLFNSHIVFLLNLDTNTFMHIVGSLESGLKGLDGGISSQCASAIDNLASYYFNNITMGEAPTSPAAVSLARHVSECPTMFPEILKTLFEIVLFEDLGNQWSLSRPMISLILVNEQILTDLKAHILSSQATIVLCRPIDQHQRLSLCFDKLMVDVNRSLDSKNRDKFTQNLTIFRNDFRVK >CDP21297 pep supercontig:AUK_PRJEB4211_v1:scaffold_3190:9067:12384:1 gene:GSCOC_T00011918001 transcript:CDP21297 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSLLAFGIALFCFCAAMKAEAEYLPYKDPKQPINTRIKDLLSRMTLEEKIGQMVQIDRSVASGEIMKKYYIGSVLSGGGSVPAKQASPETWVDMVNDFQKGSLSTRLGIPMIYGIDAVHGHNTVYKATVFPHNIGLGATRDPALVKKIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDPKIVRAMTEIIPGLQGEIPSNGRLGVPYLAGQKNIAACAKHFVGDGGTTEGINENNTVISRHGLLSIHMTGYYNAIIKGVSTVMISYSSWNGIKMHANREMITGFLKNTLRFRGFVISDWQGIDRITSPPHANYTYSIVAGVNAGIDMVSLICLTNL >CDP18961 pep supercontig:AUK_PRJEB4211_v1:scaffold_320:75859:78852:-1 gene:GSCOC_T00003186001 transcript:CDP18961 gene_biotype:protein_coding transcript_biotype:protein_coding MIKYRLCSTNLCFLLRQSRNLASCPLQVEEVPVPSVYSAPPADHKTLCFSLVEQLLGHGLFSCAQGVIQRIISQCSSIPEALSAINFAVERGMELDSDSYSSLIQKLVCCGEAQLAESLYVDFLLSRDIKPNLSLLNSMIICYCELGKLDEAKLCIDKVVGMKSLPIHGACSALIKQFCAQDRFLEGFGYFVKISDADILLNSMCYNRLVNNLCYRGYLDEALYVFDVMCDNGVPPTVHLCKSLIFEFCKRGRVEEAELLSAEMESYGFYMDKVLYTSLIYQYCRKKKIKLAMRLFLRMIKMGCEPDNHTYNTLIDGYLNLGLFDKGWVLHNLMSESGLQPDSVTYQIMISKYCKDHKVDCALTLLNNMVRCNIKPAVHTYTVLIAALFEENRLREVNQLFNMMLDNGLVPDHVLFFTLVKNHPKGSELLLALDVVQAIARNGCHRDISAFSTSTSLKHTRDIMDEIEQVLEEISEINLSFGETAFSIYMIALCYGGKLDDALPCIDRMVSHGFLPLLSAYNSLIKCLYQEGLVEDAKYLVDIMQDHGLVPDIGTFLIMVHEHCKRGDFLSAFDLLDEMEERGLKQDVSVYDTVISHLGREFRVLEAEKLFHRMLEAGIEPDETIYATMINAYSKSGLATKAHELFEKMLQLGVQPSSHSYTALINGLIKKNMTEKGCVYIDRMLEEGIMPNAVFYTSLINQFLRKREFEFALRLVDLMERSCIDPDLITHITLASGICRNIRRIERKQPPKRWKKIKGKEKSKKEKEMLFRLLHKQIMLPSDTILKVAIRSQEDMKIFALRLNQKIKNAAFMPNLFLYNARISGFCWTQRMEEAYTYLDLMQSEGLRPNEVTFTILMDGHLRIGETDLAVGLFNRMNASGCFPDRVVYDTLIKGFCKVGRLQDALSVSHMMQKRGFSPSRASYENLLNVFCALYSSDHALKIVDDMLAHGYIPCRYNLGWLMWLLRADSKVHEAHLVHDLLLIKERAVSDNLSIGV >CDP21298 pep supercontig:AUK_PRJEB4211_v1:scaffold_3206:464:3413:-1 gene:GSCOC_T00001731001 transcript:CDP21298 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKECEKICLKNYDKIAALNFMLLLTRSWRMNLQLFIICKWYFMKSRTLGLTTYSASCSSFKVLKRQRKLAEQLSSAKSDNKNLDLSLFDLKTIAQATNNFSRDNKLGKGRFGPVYNVNIALLSSHFWGTFEEGQAIAVKQLSEYSNKGLDELKNEVKYIAELQHRNLVKLLGCCIQGEEKICAFTKKLIRRVLVKMLYDSRLTIIHRDLKTSNILLNSEMKQKISLLWNGQNFLEEVRLKQAQKEFGYMSSEYAIDGVFSTKLDVFSFGILVLEVVIGREQSIHCIIFLMSSTNHHNSLLNSQAWLLYKNGKFQELIDDHLSPSCYLSEVIRSIHVGLVCVQQSLDDRPSMLSMVLISYLSLTSLTISLIEISFLNMIRARKQTVLAIDSTSHC >CDP18966 pep supercontig:AUK_PRJEB4211_v1:scaffold_322:178187:188859:-1 gene:GSCOC_T00008957001 transcript:CDP18966 gene_biotype:protein_coding transcript_biotype:protein_coding MIISPILNSLDEDSNASVYTIQRIERQVFINRVLSECGVLLSAYPRGRLFRCTKPSLALLALELPISKTTMFLNTLLAFKATIFDPQSRPVGWDGPLGIQYGCLQSKKSIQMTENRFSINYFLFCQRNIEKSKRRKKFKLANISKLSLVEQMRIFNLSKPFLPNVTMYARVLFTFCLAFLKFYLPLKAKAMQRTYFLFVGALALHFIATSSASIIVANNQNNSVDLNALLAFKAAIFDPQRTIQTNWSTSTSVCNWIGITCNARHNRVAAIDLSYMGIAGTIPPQLGNLSFLVRLNVMNNGFHGHLPAELSRLRRLKYINLEGNNFEGELPSWLSNFTKLETIRLGFNFFTGNLSEEFSALPKLTVLEIQSNQLAGPLPWALFNLSSLQIFAFANNSLSGYLPAHICDYLPQLKGLYLSWNYFEGEIPSGIGECSGLQVLSLSYNKFRGYIPREIWNLTTLTGLYLGDNDLTGKLPTSLCFEKFLLMSRPNTQFSGEIPKKAFDNLYNLEILAMESANVTGIIPLEIGNLSKLELLNLESNRLRGPIPLKLFNSSTVRFISLTKNDLSGELPSTIGAFLPNLEELHLGGNEFSGTILTSISNASRLRILDIGENHFTGAIPHSLGNLRLLEQFAIWQNDFSEDSLSKELSFIISLSNCKHLRRLWLDESPLNGFLPKSIGNLSSSLESISARNCGIISEIPSSIGNLSNLVELFFENNSLTGLIPTTIKWFLKLQRIDLSDNQILGAIPSEFCNLLNLGELRLGQNMLSGMVPSCLGNVTTLRYVYLNSNNLSSMIPTSFWSLRYILEVDMSGNYLTGSLPAEIGNFKALVYLNLSNNQYLGGIPSTIGALQDLQELSLEHNKLQGLIPDFMKNMLQLRYLDLSFNHLEGEIPNSLQVLSDLQYFNVSYNRLRGPIPHGGPFANFTNLSFLSNEALCGAPWLQPCTSTFEHESKTKRIVMIVLLTSGSVILALVISIFVMWLKLRKKTLAPTQNLLPMATFERASFHELRQITNGFSESNLLGSGSFGSVYKGIRENGMVWAIKVFDLQLEGAFKSFDRECEVLSCLRHRNLTRVITACSSLDFKALVLEYMPNGSLEKWLHSNPHFLNIKQRLDVMIDVACGLEYLHYGYSTPIVHCDLKPSNILLDRDMVGHVCDFGIAKLLGDGEAVVQTKTLGTFGYIAPEYGREGLISTSSDVYSFGIVLMETFTKKKPKDEMFTKLNLRCWIEECSPDSVIRVIDANLLHPEDKTVQRKIECISSILQLSLSCTIDAPEERINMKEALGALQKIKLQFIKDITP >CDP18964 pep supercontig:AUK_PRJEB4211_v1:scaffold_322:99557:100548:1 gene:GSCOC_T00008955001 transcript:CDP18964 gene_biotype:protein_coding transcript_biotype:protein_coding MPELASTNDSNKKFLILDLNGVLLGSAFTRRTRNRYQNFRAHCFEFLKVCLSCFDVVVWSSKLSMSTQMNELLEQKLLFVWDQSRCTVIETRLREHPDKNVMFKELKHMCEEYKSYNSSNTILVDDSPYKSFLNSVSTPSQLILMLYMCMFSQIRRLDLERDFVRYLKKLADADNVQEFRKQNPFGQSSITEGSEDWNF >CDP18968 pep supercontig:AUK_PRJEB4211_v1:scaffold_322:226562:231438:-1 gene:GSCOC_T00008959001 transcript:CDP18968 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTYFLFVGALVLLHFIVTGSATIIVANNQNNTVDLNALLAFKAAIFDPQRIIPTNWSTSTSVCNWIGITCNARHHRVAAIDLSYMGNAGTIPPQLGNLSFLVRFNVMNNSFHGHLPTELSRLRRLKYISLEGNYLTGSLPADIGYFKALVYLNLSNNQYLGGIPSTIGALQDLQELSFERNKLQGLIPDSMKNMLQLRHLDLSFNHLEGEIPNSLQVLSNLQYFNVSYNRLRGPIPHGGPFANFTNLSFLSNEALCGTPWLQPCTSTFEHESRKKRIVMIVLLASGSIILALVISIFLMRLKLRKKILAPTQNLRPMATFERASFHELRQITNGFSESNLLGSGSFGSVYKGIRENGMVWAIKVFDLQLEGAFKSFDRECEILSCLRHRNLTRVITACSNLDFKALVLEYMPNGSLEKWLHVNHHVLSIMQRLDITIDVACGLEYLHYGYSTPIVHCDLKPSNILLDQDMVGHVCDFGIAKLLGDGESVVQTKTLATFGYIAPEYGLEGLVSTSCDVYSFGITLMETFTKRKPKDEMFTEELSLRRWVQDCLPDSVIQVIDVDLLHPEDGLVQKKINCISSVLQLGLSCTTDAPEERINMKEVLRALQKIKLQFIKDITP >CDP18967 pep supercontig:AUK_PRJEB4211_v1:scaffold_322:190169:193270:-1 gene:GSCOC_T00008958001 transcript:CDP18967 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNFWECEIPKVIDNLYNLEILGMERANVTGIIPQEVGNLSKLELLLLGSNRLRGPIPLKLFNSSTVRVISLADNDLSGELPSTIVAFLPNLEELYLWGNEFTGTILTSISNASRLRMLDLGTNHFTGTIPYSLGNLRLLEHLDISQNDFSEASLSKDSFGNLNNLVELFFDNNSLIGLIPTTIKWFLKLQRIDLSDNQLQGAIPSEFCNLLNLGEFRLGQNMLSGMVPSCLGNVTTLRYVYLNSNNLSSLPAEIGNFKALVHLNLSNNQYLGGIPSTIGALQDLQELSLERNNQQGLIPDSIKNMLQLRHLDLSFNHLEGEIPNSLQVLSDLQYFNVSYNRLRGPIPHGGPFGNFTNLSFLSNEALCGAPWLQPCTTLVISIFLMRLKLRKKTLAATQNLLPMVTFERASFHELRQITNGFSESNFLGTGSFGSVYKGIRENGMVWAIKVFDLQLEGAFKSFDRECEVLSCLRHRNLTRVITACSSLDFKALVLEYMPNGSLEKWLHVNHHVLSIMQRLVITIDVASGLEYLHYGYSTPIVHCDLKPSNILLDQDMVGHVCDFGIAKLLGDGESVVQTKTLATFGYIAPEYGLEGLVSTSCDVYSFGITLMETFTKRKPKDEMFTEELSLRRWVQECLPDSVILVVDRDLLHPKNKLVQKKINCISSILQLGLNCTTDAPEKRINMKEVLRALQKIKLQFIKDITP >CDP18962 pep supercontig:AUK_PRJEB4211_v1:scaffold_322:23460:41725:-1 gene:GSCOC_T00008951001 transcript:CDP18962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G23290) UniProtKB/Swiss-Prot;Acc:P57742] MASSKGIGIGGGAGGPKTTAPVTTELDKLSVEQLRAVKEQADLEVNLLQDSLNNIRTATARLDIASNALNDLSLRPQGKKMLVPLTASLYVPGTLDDADKVLVDVGTGYFIEKTMVEGKDYCERKINLLKSNYDQLLETFYSWLLLQQNSLRILILLRLGEKECPQAKAFLCAPSHAFEAFVLYSSHCHSRNHF >CDP18963 pep supercontig:AUK_PRJEB4211_v1:scaffold_322:68383:71694:-1 gene:GSCOC_T00008954001 transcript:CDP18963 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDGPQFTWTNGSVWQRLNRALTNVKWALAYPISRVSHLARGRSDHAPLLVKCAPRMGSPRSFRFLNVWARHPGFLPLDIKTSATEFYADLFTSESTVRGGFPDLPFAIPSVGSVQNDRIREVPSAEEIREVVFSMDLNSAPGPDGFGVGFYQKCWEIIKDDLVKSIHDFFRGVSQPQGWSSSLIVLVPKVEDLCFRTFSNSWFSVLINGESAGYFKSSRRVRQGDLLSPALFLFLFLEQYQAWSGQKVSIGKSSFCPALGASPEQLQLVLSTLGFREQRLPIRYLGVPLTKGRVSCVLFDGLLTRLRQRLFHWSSKLLSTGGKIVLIRHVLNSIPLHLLQVLQPPNAVLVALGRICNAFLWDRNTTEKRVHWAAWEKVCFPVEEGGLGVRAFGDVLRARLLEIQDFAEGRIRWCLGKGLIDFWQDRWCTALPLAQLLGLSNTPDVLVGELYLQSGWDVARLKSWLPEHYVARILELQIFPDLKDHMVWEGSPSGEFSVLSTMEALRQKRSTSMVSRYIWGTALPKKISFFVWRLVRQWVPLDEQLQRKGVHLGSRCSCCEGASETVGHLFVSGPVAESVWGHLFQQGRSIPGRRRRPRLVVWAKPPSQCLKLNTDASVTAVGAFGGGVVRSSAGKVIFAFYKEFGEVHSVVHAEALALLTGLLHCQERRLAGVKA >CDP18965 pep supercontig:AUK_PRJEB4211_v1:scaffold_322:107914:108913:-1 gene:GSCOC_T00008956001 transcript:CDP18965 gene_biotype:protein_coding transcript_biotype:protein_coding MERTYFLFFVALVLLHFIATISATIIVAKNQNNTVDLKALLAFKAAIFDPQRIIPINWSTSSTSVCYWIGITCNARHHRVAAIDLSYMGIAGTIPPQLGNLSFLVKLNLMNNSFHGHLPTELSYLRRLKYISLENKGFSGSLSGRLSNFTKLETIRLGFNFFTGNLPEEFSALPKLKLVEIQYNQLVGPLPRALFNLSSLQFIGFTNNSLSGYLPACICDHLPQLQGLYLSYNHFEGEIPSGIGDCSKLQVLVLFDNKLNGHIPKGIWNLTTLTKIYLDWTDLTGTYVFSFFLRYNRGCCCGMFISQRRGVERWG >CDP21300 pep supercontig:AUK_PRJEB4211_v1:scaffold_3223:3504:8076:1 gene:GSCOC_T00011974001 transcript:CDP21300 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPGWDIIDFFLHALPGRTLGPVTISDWELLSSIWEAIHKLVRDEHAERVLLNMGFRIEAAAQEIGRELLIIDNNNKMKLPPVDDKILRDYYKKTCNVIEEIGEAVEHLLVLSGRSSRRLESPSDVSFWFSLVFPLADTLLSKRTGLPFPVALEKLDFIRVYLANVFGALDFPAAAAAAGGGDDVNIINDFMARVASVMVRIAIHIFKYRISRRKMNFRIKKEKDITLMPLEDLQQEIDPTNPRFMEFHLHFLVALHKIGGVKPKFCSRYFNYVAPRVRIGDLGDNYYRVIYKQLKMLVFTKLEEKEEDQTIPIFFAEVHTVFTETGSLKQPKLIHLCQKAEPRLPDLLIHVCLLQTELYLKGMLHRNIQGSTFQIKDLLNRAKDAADWMTKELIKRLGENPHGKAEYNAEYGSKTAALIQQVDHKVASLHQLFVAKKITEPTVRNSTLLLLLNIVAFKAEALFEKLPLESSRAANSVAHRKDQIALLARLNLFTLLCNQLKKETQDVDVIFPQTETFDAGMTGPINNMIMSSSQLLDKQNHLRTKLRELSPQFPFSDIPKTYMPGFIDFLVRNLKELLKYDPASIEQVRNYIKEIHLHFESLGSFLMKVSESDIEDNPELKDFGVHAANIAYKIEHVIDSIEVDAQWQHFFLVYDLLEELRIVNKQASSIQLTASDAGVQSSKHIIQVPPNMISRAASNETVVDLRDEEQYAIDQLIKGSTRRGIVSIIGMPGIGKTTLAWKAFNHPNVRDHFHCRAWCTVSHVYERRELLLEILRGIVGLTDEIRQMTNEDLQLKLHQCLLRNRFLIVMDDVWDAGVWNELRNSIPDDANGSRILITSRLRDVAMQIEPDSDPHSLRLFSDDESWTLLVEKVFHGEGCPEELLLVGKEIAKKCKGLPLAVVAISGLLQRIEESSESWGKIAKSLIAEVMEDPNARCMEILELSYKHLPGYLKPCFLYLGVFLEDKDILVSKLIRFWLAEGFIHDSELKSVEGIAESYLMELISRSLVEISKKKSNGEVKTCRLHDLLRDFCQLKAKEENFFKVITRSDEPYVSFPSSDFGFEFDFGHYSDRVTYESYRLCIFLERDHFFESVPFGLGTRSIIFFPSTDSEPICPSDISFIWHYFKLLRTLDFEMINIGITFPVEIGLLVHMRYLAVSGYMRSIPQSVANLRKLETFVVKGLRGQVVLPDNIWSMASLRHLHVNMHVAFKLDDKEFGGCCELGNLVSFSRLSLSCGEDTKNIVKRLPNLRELNCIFFHPWDSSQNCGQFPKLDSLTRLVSLNVSYVGRAITSEFVLPPNLRELTLSNFHLPWSHISTIGRLPNLEVLKLLLGAFEGLIWEMEEEQFKELKFLKLDDLNICQWNATCDHLPKLERLVLQNCKDLEEVPNDFADIGTLKVIEVHWCGQSAEESAKGIREAVGDIEVIISSHLK >CDP21301 pep supercontig:AUK_PRJEB4211_v1:scaffold_3239:5536:8432:1 gene:GSCOC_T00005406001 transcript:CDP21301 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLVQKIYIYILNQGFSGIRTLKLKTTTIMDPKTRKLGGSLKVPFVQELAKENPSSVPTRYIRPGKDQYPTISNGGSFHQIPVVDMQSFPMKIESVDDLIIFYLNFTSANRLKLINHEVSSSLVEKLKSEVQDFFNLPMAEKNKYGQEPGDVEGYGQAFVKSEEQKLDWADMLYMITQPEDLRKPHLFPKLPLPLRESLQEYSIELKSLALKILNLIAKALGMKHEEIEVLLEEGLQSMRLTYFNLSWSQASAITLILLPSPFYFKSTMYKVSKSRKMKLGFLFFHFLMLL >CDP21303 pep supercontig:AUK_PRJEB4211_v1:scaffold_3241:8867:11307:-1 gene:GSCOC_T00002364001 transcript:CDP21303 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALLLFWSTTILGLSHNAEGSLFSIREATVHDIRIALDHNRLTSRELVEFYLKEIRRLNPVLNGVIEVNPDALHLAHKADQDRKAKKPGSMTGLAGIPILLKDNIATKDKLNTTAGSYALLGSVVPQDAGVVKKLRRAGAIILGKASMTEWAGARSSGVPNGWNARRGQSVNPYLKSAYPCGSSTGSAISVAANMVAVTLGTETGGSILCPSSYNSVVGIKPTVGLTSRAGVVPYSPRQDTVGPICRTVSDAVYVLDAIVGFDPDDAVATKKASKYIPHRGYLQFLKSDGLKGKRLGIPRYSLVGFRNSSVLLKAFETHFHVLRKRGAVLVDIVDTASFDTIIISMSNDEFKAVIVEFKLALNAYLKQLITSPVRSLADAIVFNKDHSKLEKIKEYGQDIFEAAEKTNGIGIPERQLLLNLTRASKNGFEKLMKENKLDALVTPISTIVSAISAGGYPGINVPAGYETDGTPYGISFGGLKGSEPKLIEIAYDFEQATKIRKPPPL >CDP21302 pep supercontig:AUK_PRJEB4211_v1:scaffold_3241:5252:6502:-1 gene:GSCOC_T00002363001 transcript:CDP21302 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTLSDELEVKAPASEAWKVYGTLLLADVVRQQLPDVLDKIDVLEGDGGPGTKLKLTFPPDNKLMSYSKEQFVVVDDQKMMKVAEVFEGGYLNLGFTLYRVTFQVLPSLNDESSCTTKCILDYELKEDAAENASLINIQPFTAIMKAAANYLETGNATSTTTTNN >CDP21304 pep supercontig:AUK_PRJEB4211_v1:scaffold_3247:256:1795:1 gene:GSCOC_T00002569001 transcript:CDP21304 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSIQIPDAPDVLHSFLPLFRVFKDGRVERFSQTPFTPPSDSEDPNAVTGGVRSKDIVISPENKVGARLFLPKTIKPDEKRPLLIYIHGGAFAIESAFSIQYHNYVSSLVAEANIIAVSVEYRLAPEHPVPACYDDSWAVINWVTAHAKDRQGPDLWINNHADFTKVFFSGDSAGGNIAHNMAVRAGQDGLGDGVKLEGVILMHPFFGDGKPNKLWELICSDFKGWEDPRLNPMAHPGSLSSLVCGKILICISEKDIIRESGQLYYEALKKNGWKGELDLVDIEEEGHVFHLLNPDCHRAGVLMRRVVSFLRG >CDP21305 pep supercontig:AUK_PRJEB4211_v1:scaffold_3249:7199:11759:-1 gene:GSCOC_T00008666001 transcript:CDP21305 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFWAARIHTAKNFSAVQAARLNNSDSHLATGDEGEDDVRAWFPCPFCYVEIEVPVLCSHLQEEHCFDLKNAVCPICAATLGKDAIGHFTMQHSQSVKRRRKSQKSGMWSNTSATVGKDLRELTSFLGSNSLSSQSDGHEPAPDPLLSPFLCSRPFLDPKDSKKDVSSSCTDSTANADSHRPSFSDAVQEQNYEERTQRAAFFQEVIMSTIF >CDP19012 pep supercontig:AUK_PRJEB4211_v1:scaffold_325:185154:185357:1 gene:GSCOC_T00009283001 transcript:CDP19012 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKFSLTPKLEHYGCMVDLLGRAGDLQEAYHLIWSMPMKPDFVIWGTMLGPCSLFFFFFFFWGGVF >CDP19009 pep supercontig:AUK_PRJEB4211_v1:scaffold_325:61062:65459:1 gene:GSCOC_T00009272001 transcript:CDP19009 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTLIFISSLLAFLLYSILKKAGNPSKSLPPGPKPWPIVGNLPHLGTKPHHSLAAMAKTYGPLMHLRLGFVHVVVAASASVAAQFLKTHDANFSSRPPNSGAKHIAYNYQDLVFAPYGPRWRLLRKICSIHLFSAKALDDFHLVRQEEVGTLARALLASAGKTPVNLGQLLNVCTTNALGRVMLGRRVFGDGSGGGDPKADEFKSMVVELMVLAGVFNLGDFIPILDRLDLQGVAGKMKKLHARFDAFLNTILEEHKINGSSGMEKHVDLLSTLISLKDNVDGEGGTLTDTEIKALLLDLFTAGTDTSSSTVEWAIAELIRNPKLLAQAQQELDAVIGSNRLVTDADLPKLTFIQAIVKEAFRLHPSTPLSLPRMATENCEINGYFIPKGSTLLVNVWAIARDPDIWADPLEFRPERFLPGGEKPNVDVRGNDFEVIPFGAGRRICAGMSLGVRMVQLLTATLIHAFDWDLPNGQGAEKLNMEEAYGLTLQRASPLMVHPKPRLAPYIY >CDP19008 pep supercontig:AUK_PRJEB4211_v1:scaffold_325:51763:55740:-1 gene:GSCOC_T00009271001 transcript:CDP19008 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTLIFISSLLAFLLYSIHKKAGNPSKSLPPGPKPWPIVGNLPHLGTKPHHSLAAMAKTYGPLMHLRLGFVHVVVAASASVAAQFLKTHDANFSSRPPNSGAKHIAYNYQDLVFAPYGPRWRLLRKICSIHLFSAKALDDFHLVRQEEVGTLARALLASAGKTPVNLGQLLNVCTTNALGRVMLGRRVFGDGSGGGDPKADEFKSMVVELMVLAGVFNLGDFIPILDRLDLQGVAGKMKKLHARFDAFLNTILEEHKINGSSGMEKHVDLLSTLISLKDNVDGEGGTLTDTEIKALLLDLFTAGTDTSSSTVEWAIAELIRNPKLLAQAQQELDAVIGSNRLVTDADLPKLTFIQAIVKEAFRLHPSTPLSLPRMATENCEINGYFIPKGSTLLVNVWAIARDPDIWADPLEFRPERFLPGGEKPNVDVRGNDFEVIPFGAGRRICAGMSLGVRMVQLLTATLIHAFDWDLPNGQGAEKLNMEEAYGLTLQRASPLMVHPKPRLAPYIY >CDP19013 pep supercontig:AUK_PRJEB4211_v1:scaffold_325:215601:217137:-1 gene:GSCOC_T00009284001 transcript:CDP19013 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTSHPDWCGTCYPLRAHELNIFMYNYQLLFMVLSRHERYLPPESVWYTLSLREPSIALSRDERYLPLESVWYMFPLRAYELNMSMNSYRSCVSM >CDP19010 pep supercontig:AUK_PRJEB4211_v1:scaffold_325:158589:159767:-1 gene:GSCOC_T00009277001 transcript:CDP19010 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYTPILPQELITDILLRLPGKPIGQFRCVSRPWLSLLSDSHFIKSHSTLLSSHDPGKFILVSDSDHTLHTITLTPSTSTSSTSSNTSSTSRQDAWETVVGSCHGLVLVRTDERSLYLINPTTLERVKIPSFPLALDPSASFSMHGFGYDDWTDDYKIVTLSYYDTDNEHEPDCADTFVDVYSVKTRTWKRFDPSPYDHAVPDLASGVFLNGGLHWLASDRSEGYPSVIAVFLLDGEDFEEVPPPSSLDRGKFVFNKLVVLEGCLGIVVDNYNDQVDVWVMKQYRVQESWTKFTINVHEDTDMLKPICKLRDEEIVLEKDDEKLVLHSLRDGISREMVVAGLPDTFEHGVMTFSETLLSPNFYSLNAEMHNSEGQIEA >CDP19011 pep supercontig:AUK_PRJEB4211_v1:scaffold_325:173054:178532:-1 gene:GSCOC_T00009279001 transcript:CDP19011 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSNDEKDIPKNLEGGNLLDQPYAMPPKDLNMLLFTPKSQLMRDLAELQGTTDVQEGPWTRKSADKSCLVRVITYTKAVTKLVKAVKATEEQTYIKANGKEFIVFVDVNTPEVPYGNTFKVDLLYKITPGPKLSCTEKSAHLVVSWAINFHQNTMMKGMIEGGARQGLKESFEQLSDLLAKILKVINPINVSDCIRISRHMDSPRCKICSIFSVEVAPENFLDNTNGAKTIRDYLNKIEKEVGKKLNLQSPHRNSAFQKISGLPPKEFLISDFSRSLKRKMPLQGQLFLSARIVGFYANLFGHKPKFFIPWENIEHIHELFPSLGTVESPSLVIILSMGRGNDTRRQAAFLFSFIHFLQLNANRTVMVVWTKRKLGPDQRAQIAEEQQDRD >CDP21306 pep supercontig:AUK_PRJEB4211_v1:scaffold_3254:11081:11791:1 gene:GSCOC_T00006238001 transcript:CDP21306 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPCVQFALAKLDAFLTGELQLPKKVDTGIRTLRSELGTITAFLSEAHQRAVQDQQILNWVRKVQDAAYDIIDILDLFDHHKAENGRAFSVTRRRAYRSIADQINDIKSTLEEINKGRERYLPLPANSSHAALTPSPTTNRVYSHLHPRIAPLFLADADVVGFEEDKDMLMAWALDMVDEHKVMFVVGMGGSGKTTLAKQVFEAVKQDFGCSAWISVSKSKKKLEILRNMLDQLCR >CDP19014 pep supercontig:AUK_PRJEB4211_v1:scaffold_326:46645:48555:-1 gene:GSCOC_T00011728001 transcript:CDP19014 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAELVFVPSPGRGHIVAIIEFAKRLLQQDERLSVSIIVIKRPYSTTVDSDTADLAASNTNIRFIYLPQVDPPVIKTCFENSMSVYIEMHKSHVKAGIIDHVLSKSTPIAGLVIDLFCSPMIDVANELDVPSYLFFTSSAAFLGLMLYLPVRHSEIGSEFFISDPDSIIPTFANPVPSRFIPSFLLDKDGYASFLSHGTQFIKTKGFIINTFAELEPHAIKYLTSAPELPPVYTVGPVLSVEDKKKPEFEKIMQWLDNQPSSSVVFLCFGSLGGFEKPQLEEIATALEWSGLRFLWSVRPPPPKDFNLKPVEYTNFSDVLPAGFLDRTENRGLVCGWAPQVEVLAHEAVGGFVSHCGWNSTLESLWNGVPIAAWPVYAEQQPNAFQLVNELKLAVELKSDYRITQAAKLVMAEEIEKSIKSLMDTENHVRKRAKEIGEKAGKALLDGGSSFISLGRFIDDILITKK >CDP21307 pep supercontig:AUK_PRJEB4211_v1:scaffold_3270:583:3619:1 gene:GSCOC_T00003953001 transcript:CDP21307 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFHPNSELLNAHSQENTNSITQSWQLSGKCPDNTIPIMRYQNARKTKKCVMKQNETVSQSYDMNDISLEMQSGIVYAIAYVQGDKYHGAKATINVDADIFVDNKPRLFTYWTRDHYGSTGCYNMFCPGFVQTSTKIALGANISPVSTYHGPQFDISLYIVKDEQFAVWWLQLGE >CDP21308 pep supercontig:AUK_PRJEB4211_v1:scaffold_3270:3738:3956:1 gene:GSCOC_T00003954001 transcript:CDP21308 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHFPEEGFKGASYFKNLQVVDVSKTLRSPGTLYTFAANPNYYRILLEKCSDAWGNYFYYGGPGRNANCP >CDP21309 pep supercontig:AUK_PRJEB4211_v1:scaffold_3273:4243:6135:-1 gene:GSCOC_T00001144001 transcript:CDP21309 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFPTIIFSIMVLVGAFPQLISSQNCGCAPNLCCSKFGYCGTSNDYCGPGCQSGPCTGAPSGGNNGASVAGIVTDAFFNGIANQAASGCAGKGFYTRSAFLQAQKSYSKFGTAGSAADSKREVAAFFAHVTHETGHLCYIEEINGPSRNYCDKSNTQYPCVPGKGYYGRGPLQISWNYNYGPAGQSIGFNGLSQPELVARDNVISFKTALWFWMNNCHSLIIAGQGFGATIRAINGRLECDGANPNTVSARVGYYTQYCRQLGVDPGPNLRC >CDP21310 pep supercontig:AUK_PRJEB4211_v1:scaffold_3273:9054:9695:-1 gene:GSCOC_T00001145001 transcript:CDP21310 gene_biotype:protein_coding transcript_biotype:protein_coding MAPITCDYEVTSSVPPARLFKAFILDDNLIPKVFPQAIKSVEIIEGDGGVGTIKLITFGEGCHIKSAKHRVDGLDKNNFTYTYTVTESDAFSAELEKITCVIKIEASADGGSICKTSSTYHIKDSVQVTEEQAEAGKEKIKSAKERALAMFKAVETYLQANPDAYN >CDP19016 pep supercontig:AUK_PRJEB4211_v1:scaffold_328:135765:136913:1 gene:GSCOC_T00004628001 transcript:CDP19016 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNFQPILHSALVYIDDILLFKILFLGMKISNGTCTPEQQVGQSVKDFPEENLTKTQVQQFLGLVNYVREFIPKAAKHISPLTKMLKKAPPSWGSSQTQAIQKLKQELVNLPTLHIPTEGKKILQTDASDKYWGAVLLEEDNKGTRHYCGFSSGKFKAFEQHYHSIFKEILAIRNGIKKFSFFLISHHFLVEMDMGSFPKMLHFKQKSVPHPQLLRWSAWFSQYSFDVKHIKGKKNIVADFFSRKEPIPQQVLYCLMFTSVQPVTAPPSPKVNQRVWRIACPTLARTRSLSSSEIRYNLETKRKIIPKLKTYIYIHIHLNRNTIPIRTKVLVLTTSNLIQALVREQ >CDP19015 pep supercontig:AUK_PRJEB4211_v1:scaffold_328:80400:101921:1 gene:GSCOC_T00004625001 transcript:CDP19015 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSRRSTDESALHGSLPHVNGHLSYSSGVVYQSRGLPVQANDYAMQSPACESMDKQNNNDSFSFPDVNNIPLGTNLDDINDGIPRLSRALSNKSRSTRSKQVAIAKVSEVSTFLGKAGSVGLGKAVDVLDTLGSSMTNLNVSGGFASGMAMKGNRISILAFEIANTIVKGANLMNSLSKESIRHLKDVVLPSEGVQRLISKDMDELLRIAAADKRDELKLFSGEVVRFGNRCKDPQWHNLDRYFEKLESDFTPHKQLKEEAEIVMQQLMTLVQHTAELYHELHALDRFEQDYRRKAQEEDNSNSTQRGDSLAILRAELKSQRKHVRSLKKKSLWSKILEEVMEKLVDIVHFLHLEICAAFGMADGDRPIKNSHQRLGPAGLALHYANIISQIDTLVTRSSSVPPNTRDTLYQGLSPCIKLALRSKLKSFQLTEEMTVQQIKAEMEKTLHWLVPMATNTTKAHHGFGWVGEWASTGSEMNRKASGQTDLLRIETLHDADKEKTEAYILDLVVWLHHLVTQSRAGTRSPVKSPIRSPNQKTKLTTYQPNCPSPTLTIEDQEMLRDVSKRKLTPGISKSQEFDTAKTRLSKHHRLSKSSSHSPTSETKKEPFPIRRPSSVPVIDFEIDRIKALDVIDRVDTVRTGQL >CDP21311 pep supercontig:AUK_PRJEB4211_v1:scaffold_3283:1905:7609:-1 gene:GSCOC_T00004550001 transcript:CDP21311 gene_biotype:protein_coding transcript_biotype:protein_coding MASKENFCQDYLLLNPKEAGFWDLLRIFYSSELEKRDFFDTPIADRLGGFPDRWLVFVSVVAQKILLKGKKPMANIGYYIEQGLNYPTANGGFGRLILNFLSGKVVRPDRLSATFTSAIGNLDTRWDLDSRIGANDERYGAALSVMAAKLSYENEAFITTVLGDRWQMEFLGYFNFWNDYEQIYTTQAIMFQDKKVDPDLIVVAFRGTSPFDADDWLTDLDLSWYDLEDVGKLHAGFMKALGLQKEKGWPKQIDQGSGTKEYAYYAIREKLRKSLSENRKAKFMVTGHSLGAALAILFPAILSLHEEEWLLDRMEGVYSFGQPRVGDEKFGNFMKEKLRLYDVKYCRYVYNNDVVPRLPYDDKTLLFKHFGPCLYFNSSYKGQILEEEPNKNYFSLLWVLPKLMSAVYELIRSFIIPFTRGNEFREGWFEIFFRAVGLIIPGLSNHGPQDYVNLTRLGTLSSLAYSPPLPDSKKD >CDP19017 pep supercontig:AUK_PRJEB4211_v1:scaffold_329:181554:186094:-1 gene:GSCOC_T00006852001 transcript:CDP19017 gene_biotype:protein_coding transcript_biotype:protein_coding MADIDVAYKYYWENMFLQTEQLASYFDESFSFHDSNSPDGAQSSAASKNIESERKRRKKLTERLHAVRAVVPNISKMDKASIIKDAIDYIQELHNQERVIQAEISELESKRSIFLDFDEERSCNSKSKRSRFEQTCDSVGSRSSPIEVLELRVSHIGQKTIVVSLACSKRTDTIVKICEVFESLNLKIITANITAFSGRLLNTVFLEANEEEKDVLKIKIQTAIAALNDPDSPTSV >CDP21312 pep supercontig:AUK_PRJEB4211_v1:scaffold_3295:4402:8264:1 gene:GSCOC_T00008104001 transcript:CDP21312 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSAAIQVALQAVVSLAADHVNLVREFPTERQKLHDSAAMIRGFLASADEEMHSPGVQKWLKQLEEEVFKADNVLDELNYENLRRKVKYQNQLTKKKVFFCFSFFNKIGFRSRLGSMIREINTNLQRIHQDAEGLGLAYKHQTDSKIVRSDVLGRDEDESKIVKKLLTESESDSISVISVTGMGGLGKTTLAKAVFNTPQFNVNGKRFDKKIWVCVAKPVNILELFKMILETSTGKKADVDNRQVIVQGIEAELKEKRYLLVLDDLWNNNQDGLLDDFFSTLAGLNAKKGSWCLVTTRLQEVAIVLSRHRQINFARHELGKLCNDDCWSIIKKWVNVGEEVPKDIKEQVLKRCDGLPLAAKLIGGLLFEKTKEEWLSILEESLLKGGIEQILKVSFDHLSPAPVKKCFAYCSIFDQDTELEQDRLVELWMAEGFLQPDPQNERMMEKIGCEYFRILLQTSLLEEVRDWRGTWYKMHDLVHDFAKSILNRNGNNQDRYLAVYSSERETINEKPSLRTLFLKGGIADEMLSKFKYLHVLKLFGADAKELPTSIGKLIHLHLLDISESSIRTLPESLCKLYSLQTLRIGMLVDGFPKKMSNLISMRHLHYHDYYIGRKIQMPSMIGRWTCLQTLRFFNIGRQEEGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELANLSKKPNLHRLVFEWGDRDEESDNCDEDVLEGLQPHPNLKELQILKFMGDQFPQWFMNLTSLVDLHLVNCRELPPLGQLSSLQHLYLCGLENTTCIGLSFYSMTLKKLSLKSIKNLEEWKDAHEMRSTADVFPVLEKLSISNCPKLTTIPTPSHFPCLDVLEITENCHVLLVEKVLSNIANLSSLELSGGGRQRVEHIRSLKLVRRPESSLSIDGCDSLPAGMLERLCLFPTLEVLEIRGNCPLLLAEKVLSNIANLSSLKLSGGSRQRIESLKLVRRPESSLTIDGCDSLPAGMLERLCLFPTLQSVELNYADNITTLRGMSCAACLERLAVLYCKNLRELPEDLYQFQALKDLMIWACPRIDSIVDYSASEFDWAGLAFSSTLQHVSLYGMRDTESLPHQLQDLTTITSLNLEDFGAIEALPDWLGNLASLDKLILYDCPKLEYLPSVDAMERLKLRRLEIDGCPLLAQRCTPESGSEWPKISNISERKIY >CDP19018 pep supercontig:AUK_PRJEB4211_v1:scaffold_330:179:614:1 gene:GSCOC_T00005526001 transcript:CDP19018 gene_biotype:protein_coding transcript_biotype:protein_coding MYQFSLTRLDLKTMENGMHVSNRVIDMFARLMNWGGRTAKIRKLERYIVEPVAVVSLKFGLHPTVVLKMLIIISELVLRSNAPLLMSSMHLSLDYVIGCRKEF >CDP19022 pep supercontig:AUK_PRJEB4211_v1:scaffold_330:160771:163644:1 gene:GSCOC_T00005531001 transcript:CDP19022 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFVPKIIDELGDVVVKQLGEKINLVMGVVEEGANIKRKLETIQNVLHDAERRRQKEKPVAKWLEELEDITYEMDDVLDEWNIKIQKPKYEGTHQKQPTLRNKVRSFIPSCCSCLKQLPVRSDIASKIKKINGKLELTLEKARQFEFISSGGIHDSQDFQRNMTTSIIDESGIYGRESDKDALLDQVLSESSSQGRDGVQIISVVGAGGSGKTTLAQLLFNNDEVKNHFDFRNWICVSDPFDQKRIAKAILESAGKGSHFMLELDSLIRLIKETFSGKRFLLVLDDVWTEDDSKWKPFQDSLKDGAPGSVILVTTRSHRVATVVGTTHTHQMTGMSDSDCWLIMQRIAFARKSGDLCKKVERIGLKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLKEAAVSLFPHLYLSYNELPPELKRCFSYCAVFPKDFEINVEELIRLWIAQGYVRPNRRGERLELVGLEYFNNLAMLHDFAQFLTKNECHVLHGIDYEQGTGRNLSTESARHLTWLGTERAFSSLVIDFGRLRSFFAFSHGRVAPQGSARHLFCSLNCVRTLTLSCCGLHEVPTEIGSLNHLRHLDLSWNLFETLPEAICDLYYLETFDISNCGDLSCLPQRIEGLVHLRHLFNLITYEVHQIPQGLEKLTSLCTLTQFNARSNSDDLAILKYLNQLERLRIDIYGEVDFGSAELGKKIYLHEMYLWFNPGVHFMETPSCIESMELPPNLQQLALDMYPRNQLPSWLVTKSLVNNLTKLIINGPHNVSSLTDLWKLSSLEELRLIRVEKLECLGKEFFGITIALHENTFPNLRKLHFQCCPNWTNWEDLSEDDEKVDVSIMPHLEELHIEYCKKFETLPHRILGKISFFKSLKVLGCNKLRDCYSDKIGDDSMKISHISQVDIS >CDP19019 pep supercontig:AUK_PRJEB4211_v1:scaffold_330:25128:28671:1 gene:GSCOC_T00005527001 transcript:CDP19019 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFVPKIIDELGDVVVKQLGEKINLVMGVEKEVANIKRKLETIQNVLHDVERRRLKDKSVGKWLEELEDLTYEMDDVLDEWNIKIQKPKNEGTQQNARLQATFDIALKIKSINEKLELTLKEADQFKFISIGGIPDSQRIMTTSIIDESEVCGREFDKDALLDQVLSKSSSQGRKGVQVISVVGAGGSGKTTLAQLLFNNDKVKVHFELRNWICVSDPFDQKRIAKAILENAGKSSQESELDPLIQRIKETFSGKRFLLVLDDVWTEDDSKWKPFQYSLKDGAPGCVILVTTRSHRVATAVGSTATHDLGMISDSDCWLIMQRLAFANKSGDLCKKVESIGQKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLKEAAVELFPHLYLSYNELSPELKRCFSYCAVFPKDHVIYVEEELIGMWIAQGYVLPRRRGERLELVGREYFNNLAMRSFFQELEKVGDEYKQCKMHDIVHDFAQFLTKNEFVDFGRLRSFLTFPEIGRVVVPQNLFSRLKCVRTLTLSRRGLAEIPAEIGRLIHLRHLGLSNNNFVALPEAICDLYYLETLDISCCRMLSCLPERIEGLVHLRHLHNYVALELRQIPQGLRKLTSLCSLTWFVARVNSDDLAILKDLNRLEILYIKIEGEVDFGSAKLGKKINMREMRLIFSDGTHFIETPSYIESMEPPPKLERLVLYGYPGAQLPSWLMTKSHANNLTRLFIDSPGNISSLLALWKLSSLEELLLSGAEKLECLGKEFLGVTKALHENTEVVAFPNLRKLHFNYLPNWTNWEDLSEDDEELEVLPHRILGRISSLKTLDIRNCFKLSDHYSNKTGDDWIKISHIPRVHISDEY >CDP19023 pep supercontig:AUK_PRJEB4211_v1:scaffold_330:192529:196149:1 gene:GSCOC_T00005533001 transcript:CDP19023 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKFVLDSTDSITKKKSQRNKKLREQYAALSAQEKEIRLAKQREAYQRRKLNKFLALPAHEQLKQAEKSVIGETFRVHCNQAKVEDEQDRLAKKREMRRQKQREAYHKKKLRLSFGTHTSKDTEEIVDTAPATALTATMSTNCLSSEDLENLQKMESATSTADNILSAKVPSPENLQKMESVLPAANSILFAEVPSNSGLVIEKPVDTNAKETNLSQSNNNDKCKKHVTVFSSYDKGCSSNTKSSDKYICFGNSATHCLDERQLCSGCYNFLSQSLQELSEQSAPLSAIPPTTAPDNAKTSNTATQDNGQQTKSQARNSSRHCSVKRSRKKAAPAKGNTVLQGIDALNCIPHKSNKLPRKEDCQYCHAKKFHSETTNFCCSDGSIVLHDNKLPSVLVELFTAQTEEAICFRTYVRTYNNMFAFTSFGVHYDKALCKRNNGIYTFKIQGQTYHFINQLIPHHGPGMYLQLYFHDTDHELQNRMATSDRLTESLVIKIMEVMKTNPYACFFRSLRNVPQLDSYQIVLKSHTDNDQRVFNQPTASQITALWVEGENSANIYSRHIQIYTKEGYTHRIQYYYGCYDPLQYPLLFPLGETG >CDP19021 pep supercontig:AUK_PRJEB4211_v1:scaffold_330:54961:56117:-1 gene:GSCOC_T00005530001 transcript:CDP19021 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALNRAGGKSENKGAEGALTAAIVLQIEMACLFEHHLKF >CDP19026 pep supercontig:AUK_PRJEB4211_v1:scaffold_330:246754:250631:1 gene:GSCOC_T00005537001 transcript:CDP19026 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFVPKIIDVLGDVAVKQLGEKVNLVMGVEEEVANIKRKLETIQNVLHDAERRRLKEEPVRKWLEELEDITYEMDDVLDEWNIKIQKPKYEGTQQKQPTLRNKVRCFIPSLCSCLKQVPVRSDIAQKIKKINEQLELTLKEADQFKFITSGGISDSHDFQRIMTTSSIDESEVYGRAADMEKVLDQILSKSSSQGRDGVQVISVVGAGGSGKTTLAQLLFNNDKVQNHFERRNWICVSDPFDQKRVAKAILENAGKSSHEAELDPLIRRIKKLFPVRDSCLVFFFFFFLNERAYSMQRRLMEFGGRDCNCLIQALFTSCECVMLNSSREYNLTLYYQFHVRKKACIGRCNVKKIHLILPVDLQPLSLLKKSHRLRHYLLYIFADLDSFFLRCFQSVCWFGCMYALYPLQHFSLTKGNSLLKERFDMELVGREYFNNLAMRSFFQEIQNVEYYYEFREYEQCKMHDIVHDFAQFLTKNECHAHDGIGRNSSSERPHHLTILEGTEEMFGSRVVDFGRLRSFLTFSEIGRVVPQNLFCRLKCVRTLTFSDCELAEIPAEIGRLIHLRHLDLSVNPFVTLPEVVRDLYYLETLDITLCRELLCLPERIEGLVHLRHLFNHGTSELRQIPQGLEKLTSLCTLTRFIARSNFDDLSILKDLNQLEILRNVIEGEVDFGNAELEKKINMREMDLCFRLGAHFIETPSCIETMEPPPNLQRLWLDGYPGAQLPSWLVTKSHANNFTRLSISGPCNISSLLALWKLSSLEELVLLRVEKLEYLGKEFFGVAKALHENSPFPNLKKLYFGYLTNWTNWEDLSKDDEEVAVSIMPSLEELKIKDCKELEALPHRILSKISSLKNLNIRCCNKLRDRYSDKTRDDWIKISRIPRVDISDKH >CDP19020 pep supercontig:AUK_PRJEB4211_v1:scaffold_330:50601:51759:-1 gene:GSCOC_T00005529001 transcript:CDP19020 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALNRAGGKSENKGAEGALTAAIVLQIEMACLFEHHLKF >CDP19025 pep supercontig:AUK_PRJEB4211_v1:scaffold_330:219230:220945:-1 gene:GSCOC_T00005536001 transcript:CDP19025 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGKTSTLLRRIKTESSNGKIGNLFSSFARLYGKKIHLQMIITGCVPNEYLNVKLLILYAKAGDFNLDRILFDKLQMKSSVTQNSLIAGYVQKGLEEVGLSMFHKMRKNVSGYGHHVKFLVVLAACSHGGFGEQRWEYFT >CDP19024 pep supercontig:AUK_PRJEB4211_v1:scaffold_330:202484:205917:1 gene:GSCOC_T00005534001 transcript:CDP19024 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFVPKIIDELGDVVVKQLGEKINLVMGVEKERIITTSFIDESEVYGRDSDKVALLDQVLSKSSSQGRKGVQVISVVGAGGSGKTTLAQLLFNNDKVKNHFELRNWICVSDPFDQKRIAKAILENAGKSSQESELDPLIQRIKETFSGKRFLLVLDDVWTEDDSKWEPFQNSLKDGAPGSVILVTTRSHRVAAVVGTTHTHQMALMSDSDCWLIMQRIAFANKSGDLCKKVERIGQKIAEKCKGLPLAAKTMGSLLRLKDTVQQWQNVLDTGERLELVGREYFNNLAMRSFFQELEKVEAYYGLTEYMHNNPFVALPEAICDLYYLETLNITFCKKLSCLPERIEGLVHLRHLFNEDTDDLRQIPQGLRKLTSLCTLGRFIARSNSDDLAILKDLNQLKRLIIEIEGEVDFGSAKLGKKINMREIMEPPPNLEQLTLIGYLGAQLPSWLVTKSHTNNLTRLIISGPRNISSLHALWKLSSLEELKLGEAEKLECLGKEFFGSSFSAEAVAFPNLRKLHFRDFENWTNWEDLSEDEEEVAVSIMPRLEELKISHCYELETLPHRILSKISSLKKLDIRSCDKLRDRYSDKTGDDWIKISHISQVHISNEY >CDP21313 pep supercontig:AUK_PRJEB4211_v1:scaffold_3315:3161:3971:1 gene:GSCOC_T00013034001 transcript:CDP21313 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKERFLENLFLHNGAAVWCIGFQKIQGQGLTILGDLVLKNKIVVYDLAGQRIGWANYDCKFPYQEHFPAVDLIFIVPSIHHLSDWIKRGNLD >CDP19036 pep supercontig:AUK_PRJEB4211_v1:scaffold_332:14586:20709:-1 gene:GSCOC_T00009770001 transcript:CDP19036 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKPKRSDRIDVNLYDVPHSTVCSDPWWSTMGYNSISTTMMRGSVSESSSLEQSMDGQSQSDSRINEEDEDSAKQSQSVVNQHPDGNYAQEDNNFQRIASAIPPKSNESLTQPQQFELVGHSIACASNPYADPYFAGMVATYGQPLVHPPLIDMHHARMPLPLEMTQEPVYVNAKQYHGILRRRESRAKAELEKKLIKARKPYLHESRHQHALRRARGSGGRFAKKTDANDSMGSCSSSAISSHGSFERPNSSQEVTQDSVNGAGGYLPGQLCGNVPSKQVDSMP >CDP21314 pep supercontig:AUK_PRJEB4211_v1:scaffold_3324:238:1635:1 gene:GSCOC_T00004430001 transcript:CDP21314 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRHVHITAACHLKNPFTVQDNLPRPFASEHLQTLYTIQFSCCTKEFFSVMPHLKKLGICETKEDYSTDSLSQVLNNLVCLQELETLECSFHTQNREVRKNLGLAALPVTLKHLSLSRSYLPWEDMTFIAMLPNLEVLKLKNYAFQGPKWEPTEEGFHSLKHLLIENTDLIHWEAIIVRHFPCLQHLVLKPCKLLEEIPFGVEELGTLQRLEAHYCSEPIENSAKEIQEQIEGIDVIIRSDRNPDSA >CDP21316 pep supercontig:AUK_PRJEB4211_v1:scaffold_3324:7102:8846:1 gene:GSCOC_T00004432001 transcript:CDP21316 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAKCLKELFYLQELELLKLCFYRESTKIRRILFWDALPSNLRKLTISWSNQPWQNMTSIASLPKLEVLKLKNYAFQGPKWEPTEGGFRVLKHLLVEKTDLVSWEATSNHFPCLEHLVLKSCKYLKEVPYGITEISTLKRIELHNCSESAEISALSIEVESLDVVIKSDRQVFICI >CDP21315 pep supercontig:AUK_PRJEB4211_v1:scaffold_3324:2550:5869:1 gene:GSCOC_T00004431001 transcript:CDP21315 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIKVRSSSAAEGKMANDEVVCTLVEEVDELTTILRKILNIKVLQAKLFIEKVVEVIRVLEMEGPPTLPDQLVEDIAPLALPIGDFARRTKLQITSPLYDEYRVNIGLGWERMLSREVPELVEQIGLNENKLEKFLDESDFYSSWEDLRHNSALLPCREAVRDLLQSCRELKDAMNFFRELATESAFLCQHLKFLLYFAELCERSNEWRCRQFVNGIMDVANKALDALECADKDSLRSEMWEFKSNVYASKMWENCIEETAKKFFDGKNGKRLVLLETLEMLHSFTEEIDTTCGKLGSEDRQSHNASFGRNGFPSLSVIREKFARGDLPSLPITYYLRAFPLIEGRKRGRVSVRSGIRRPNKQVHYLRAFGGKRRRVSNIILELQMNRIESSFDLIEPSLGLVLSNLNSNSNSMSCQSEYQVQGQTLALIRAHVLERERELVLVLERKLELVQLELELAIKLVQLELELAIKLVQLELELELECQRELELELECQRELMLELECQRQLVLKLKLERQRELVLPQRRLELVLLERQRQLKLEFELVRQRELVLELERELFESERAQASSSAS >CDP19040 pep supercontig:AUK_PRJEB4211_v1:scaffold_333:193667:194490:-1 gene:GSCOC_T00000211001 transcript:CDP19040 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRLQKISLRCVCLCVFFTFGSVFSGKSATGMKVRIMGPNYVPREKKDLYVIMLRLKNETVEDVPWGNIVAMVGLDQFITVAIVAMVAVSPVVRVAGQSAVEGCI >CDP19037 pep supercontig:AUK_PRJEB4211_v1:scaffold_333:1106:2838:-1 gene:GSCOC_T00000204001 transcript:CDP19037 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKALILVVFALAIAHADSNSEVDALHAWKITLADPNNVLQSWDPTLVNPCTWFHITCNSDNSVTRVDLGVAGLSGPLVPQLGILANLQYLEVYGNKISGSIPQELGNLTKLVSLDLYHNRLSGSIPATLGNLRSLRFLRLNSNRLSGKLPDSIIQLINYGHLQIL >CDP19038 pep supercontig:AUK_PRJEB4211_v1:scaffold_333:54375:56944:-1 gene:GSCOC_T00000206001 transcript:CDP19038 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKTIFVLVFALAIAYADCNSEGDALNAWKVSLADPNNVLQSWDPTLVNPCTWLHVTCNDENSVTRVDLGVAGLSGPLVPQLGLLANLQYLEVYGNKLSGAIPSALGNLTNLVSLDLYHNRLSGSIPAVLGNLRSLRFLRLNGNKLSGNLPDSIVQLINHGHLQILDVSNNRLAGTVRATKKTGFAVTTVIQDPKAYY >CDP19039 pep supercontig:AUK_PRJEB4211_v1:scaffold_333:103929:106420:1 gene:GSCOC_T00000210001 transcript:CDP19039 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLNPYAESWMPKAPKPSKTPIMFPHEVTCRPSPCFGTFQQPLLQPQPPLAIYGQCYYFYGFCSYYYTSRFQPQPIPTTVLTPGMLSCALDEKDIEEKRDSRVSCGENGKDWKVTDGVMPRPRPRMRKSHSLENQGTSKKTLKQVWQPRKAKSDDGVGGGNAVVCSSSSSSPSPPPTAPVKEDSAFCSYTTVMIKNIPNQYRRNDLMQLLDIYCKNYDLEYDFLYLPMDFWRKDNLGYAFVNFTSATAAKKIKDLLHGYAWCGNKIQDGIIHSKKICEMSWAHIQGRDALIKHFLDSYFTCDNIEYLPVVLSPPRNGSNSGILPIPIGTMTGLSKSCRPTKKKSSIITNLAHTTTLS >CDP19041 pep supercontig:AUK_PRJEB4211_v1:scaffold_335:146709:167007:1 gene:GSCOC_T00006803001 transcript:CDP19041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative ALA-interacting subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G46150) UniProtKB/Swiss-Prot;Acc:Q67YS6] MYQFTQQSLPACKPVLTPTSVISTLFLMGIFCIPIGLLSLHASLSVVEIVERYDSECVPHQFRLNKVAYIKDSLIPKNCSRYLKVPKYMKAPIYIYYQLDNYYQNHRRYVKSRSDKQLRYGLQYNDTRSCIPEESNDGLPIVPCGLISWSLFNDTHSFFRGTDELRINRKNIAWKSDRDHKFGKHVFPFNFQNGTLIGGAMLDPNVPLSDQEDLIVWMRTAALPSFRKLYGKIEEDLEANDVISIYLLNNYNTYSFGGRKKLVLSTASWLGGRNNFLGMAYIAIGSCLIVLALVFILLHVKNPRPYGDAMYVSWNWKGIPN >CDP21317 pep supercontig:AUK_PRJEB4211_v1:scaffold_3354:10014:10799:1 gene:GSCOC_T00003051001 transcript:CDP21317 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFCYFISALLLATSMLASFSLAKTNISTDTMALLELKDHITSDTYSILAKNWSISSSVCNWIGVTCSYTHHHRVRALNISNMGLAGSIPSNLGNLSFLVSLDMGNNSFHGHLPEGMVHLRRIRFISLSHNNFTGEIPSWFGFLEKLQHLSLRNNSFTGFLPPPLFNISGLGVIDFSENNLSGIIPVDMCNSLPSLKKLLVSSNKLNGQILTGISKCSRLEVLSLSTNEFRGRIPREVGNLQMLEELCWSNLSYIILWD >CDP19042 pep supercontig:AUK_PRJEB4211_v1:scaffold_336:171722:177451:1 gene:GSCOC_T00013746001 transcript:CDP19042 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGRPPEPLDFFIWTVEDVGLWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSVVFVKVSKRNRQSRVVSLKLEP >CDP19054 pep supercontig:AUK_PRJEB4211_v1:scaffold_338:213661:215025:-1 gene:GSCOC_T00001106001 transcript:CDP19054 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMKTLIRKTITLEVESSGTINNVKAKIQDKEGNPPDQQCLIFTDSSASSCFPNFSPINLPKFFSQLAFLLALRDSFLVNGVAFKKTFSYAGFEQQPKKFVNPKILLLNIELELKSEKENAEIRLSDPSQYQSIIDAEWNIIYDKLDKCVKSGAKIILSWLAIGDLATQYFADRDVFYAGRVIEEDLHRVAAATGGTIQTTVEEVQFCY >CDP19052 pep supercontig:AUK_PRJEB4211_v1:scaffold_338:14434:35675:1 gene:GSCOC_T00001103001 transcript:CDP19052 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENMKLLENNGQEDVGKNEFRENGELGNKELNLEKGKEEGAEEERRENKEGKVSDEGEEGKGDCDGRRRYASQRKSSVEARLKLVRMIIEEEESEEGEKSRRKWRRRPKGKKEVGSESEGHQEDVKGESKKRRQGRRPSNAAVEKGGEENGGSGGELMRGEEKEQGETEKKKGGKKRHKNKGGGAGEEGKGEKEEKLVTWDVAKPKRVLKDENGILIESNMCHQCQRSDKEEVIRCTMCKTKRYCTPCINSWYPGVPQEAFVESCPVCRKNCNCKACLRMEMPIKDKEKLELEFSAAEKVEYSKYILQLLLPYLKQLNEEQMMEKEIEAKLKYLSVSEIKVERGSCEDSERIFCNNCKTSIVDYHRSCPNCSYDLCLRCCRELRDGCLQGSDKGRTAEFIDPGPDYLHGGETCHAKGSTKSRMCVRWSQTETDTEMICDAQIENASVDDVDIVSQWKSSKDGSIPCPPSKLGGCSQGFLELKCLISEIEISELLVRAEKMIKEFKLEDAPEISKKLCSCSKSADGLNVSCGNLRKAASREDSQDNFLYCPKAVELQPEDLKHFQWHWMKGEPAIVRNVLDTTLGLSWEPMVMWRAFRQIKNVNHPILLNVNAISCLDWCEVDINVHQFFRGYSMGNFDSYGWPQILKLKDWPPSSLFEERLPRHNAEFINCLPFKVYTHPRGGYLNLAVKLPKNCLKPDMGPKTYIAYGYAEELGRADSVTKLHCDMSDAVNVLTHTEGVVLKPEELLKIEKLKQKHSAQDERELSRYGKTSHHIFDMQDEAEGKISVSNCLRIPQRVGIDVLELNSETKELKVSDQVGGGSQTMFEKGGTKNGDNGEVNHETMHIDTSASGNGVKEGGKRKRGRKKGEKNKAENIERNNLIDAENVDQENGRSYISLEVQRSHDTELEFVDVQNRVECNETSIDGKLDERKGVDVVEVLRNNVEGFADMDSGALWDIFRRQDVPKLEQYLMKHYKEFRHVYCRPLEQVVHPIHDQSIYLTMEHKRRLKEEYGIEPWTFVQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECVRMTEEFRVLPQNHRAKEDKLEVKKMTYYAMKEAIIDLENRYCHL >CDP19053 pep supercontig:AUK_PRJEB4211_v1:scaffold_338:35780:37859:1 gene:GSCOC_T00001104001 transcript:CDP19053 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFFCTGELTLRNGLNLLMTSDYRFESFRCTQKFFVDGSSIMEVDLYMVVLVQS >CDP21318 pep supercontig:AUK_PRJEB4211_v1:scaffold_3381:2060:3856:1 gene:GSCOC_T00008501001 transcript:CDP21318 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEIFSSEIPTILVEIFQILVDRPARNFIPTRKFILIYFLFMFLIYCFCLLRFDSSNPFGLMLLNACEPD >CDP21319 pep supercontig:AUK_PRJEB4211_v1:scaffold_3386:1:2907:1 gene:GSCOC_T00011740001 transcript:CDP21319 gene_biotype:protein_coding transcript_biotype:protein_coding LKEKKAIPSCTDICKRVNSLGSVPHELGRLNHLEDLGLGFNRLTGSIPAQIFNISTLQELYLANNTLSGTLPSSTGYGLINLEWLDLFWNEFDGVIPASISNASKLTILDLSGNRFSGPIPNSLGNLRLLRVLYLDDNHLTTEPSSRELSFISYLTNCKYLKNVGFSENPLHGFLPMSVGNLSTSMEGFYASVCGIKGSIPDGIGNLSSLIVLSLEGNHLSGPVPSTMEYLQNLQVLSLSANQLCGSIPDCICKLKRLYLIYLGQNQFRGSMPSCLNNISSLGAIDFAGNLLNSSIPASLWQLTDLLRFNLSYNSLSGSLPYETGNLKVVTLLDLSGNHLNGNIPSSLGGLQSLATLSLAQNKLQGPIPDSLSLMLSLEFLDLSNNNLSGPIPKSLETLLDLKHINLSFNRLRGEIPSSGPFENFTYESFMSNDNLCGAQRFHVPPCPSPRIHKSSQKKVFHMLGILSGIAATIIALTTAAILLLRCQRKDGISRDTDLLPRGLPKMISYYELVQATNGYDESNLLGKGSFGSVYKGILTDGTVVAVKVFTLLEEVTSGSFDTECEVLRNVRHRNLTKVIGSCSNLDFKALVLDYKSNGSLEKWLYSHNRWLDLLQRISIMMDVASALEYLHFGYTAPVVHCDLKPSNILLDESMVAHVSDFGMAKFLDEENSVLHTKTLATLGYLAPEYGLEGQVSTRVDVYSFGIVLMETFSRTKPSDEMFEDDLSLKSWIEESLPNGTTQVVDANLLGQQDEHFNEKLECISMIFKLGLSCCAECPRDRTNMKDVVATLQKTKRQIESFPNISA >CDP19059 pep supercontig:AUK_PRJEB4211_v1:scaffold_339:94100:97392:1 gene:GSCOC_T00008319001 transcript:CDP19059 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFNPYHHSGEALQIISACTRKAVPVSQLIKRTTMTKSTSEATCAFDVFCFGKLLLELVTGKLNFRPPNFPIMKDDKMANTLSYITSLDKKLIGNIVDRSLIIDEEILMEVWAIAFVAKACLDPKPSKRPELSFILEALHNPMTVVTGKAKIPKRLKAGSVALV >CDP19061 pep supercontig:AUK_PRJEB4211_v1:scaffold_339:116305:119054:-1 gene:GSCOC_T00008321001 transcript:CDP19061 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEDFEAHAEKAKTLPENTTNASKLILYGLYKQAIVGPVNTPRPGVFYQRDRAKWDAWKAVGGKSKEEAMNDYITKVKQLQEEAA >CDP19057 pep supercontig:AUK_PRJEB4211_v1:scaffold_339:26631:27364:1 gene:GSCOC_T00008316001 transcript:CDP19057 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLPTCVCGMKTPVGENSGKILHSGLWQGVPRESRGTITDATFPCDGIYIFASLEDGSIFILTSVELEPWCQINPTAYLPSNPSTRLHPLAIDAHPSESNQFVVGLTDGGVCIVEPPHVLVLWMWHAAFKR >CDP19062 pep supercontig:AUK_PRJEB4211_v1:scaffold_339:122596:125363:-1 gene:GSCOC_T00008322001 transcript:CDP19062 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPSSHFRKHWQNYVKTWFNQPARKTRRRNARQKKAVAIFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFSLEELKAAGIPTKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRAKKIKSGDSSPEELATATQVQGSYMPITREKPTVELVKVTQEMKSFKAYDKLRLERTNARHVGARLKKAAEAEKEEKK >CDP19056 pep supercontig:AUK_PRJEB4211_v1:scaffold_339:9302:11811:1 gene:GSCOC_T00008315001 transcript:CDP19056 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIYDNWERLVGATLLREELRLIAQRTPSDLSLASSIPSPPPSPSPSLSPSSLARSSFTYDEILQATNNFSGSNLIMYARTGLLFRGALEAGIRVVVKKVDLSLINRTSLMRELEFYNKVSHPRFVPLLGHCLENDNHKFLVYKYMPHTDLHSFWSRNVVPFYHGNNLDWLKWETRLKIARGVAEGLCYLHHKCDPPLVHRNIDASSILLDDDFEVRLGRLHEVCTQAKETNGSRFSRGFDRSISGTSDATCAYDVYRFGMVLLELVTGRMKYSLPSYRITKDSMPNTLSNVSSQDKKLILNIVDGSLTVDEVLLKDIWAVAFVAKACLDPKPSRRPQMSYVLEALHNPSTVVNGSWKGKRIGLIQFN >CDP19058 pep supercontig:AUK_PRJEB4211_v1:scaffold_339:91666:93972:1 gene:GSCOC_T00008318001 transcript:CDP19058 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMDLYSFWFKKAVQSQNDDSLDWLSWDKRLKIARGVAEGLDYLHHKCDPPLVHRNIDAGGILLDDNFEARLGRLNQVCTEVKETNRNKVARFLQLTKDSEKRNPAYTD >CDP19063 pep supercontig:AUK_PRJEB4211_v1:scaffold_339:141590:144181:1 gene:GSCOC_T00008325001 transcript:CDP19063 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYDGSEYVVIDEVPPPRAGHSSRKVSVLPLVFLIFYEVSGGPFGVEDSVNAAGPLLALLGFLVFPFIWSIPEALITAEMGTMFPENSGYVVWVSSALGPFWGFQQGWVKWLSGVIDNALYPVLFLDYLKSGFPAVGHGFPRVLAVLALTIVLTYMNYRGLTIVGWVAILLGISSLLPFAVMGLISIPKLRPGRWLVADIHHVDWNLYLNTLFWNLNYWDSISTLAGEVENPKKTLPKSLFYAVILVVVSYFIPLLVGTGAVPLQRDEWTDGYFSDIAKMLGGAWLRWWIQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFAKRSRYGTPLIGILFSASGVFLLSWMSFQEIVAAENFLYCFGMILEFIAFVRLRYKYPNASRPYKIPVGNIGSILICTPPTILICAVLAFSSLKVMIVSLIAVVIGLVMHPCLKHFEKKRWMKFSISSDLPDIQATARESTETFIA >CDP19055 pep supercontig:AUK_PRJEB4211_v1:scaffold_339:1719:2117:1 gene:GSCOC_T00008312001 transcript:CDP19055 gene_biotype:protein_coding transcript_biotype:protein_coding MYNFLILQVQNLEIFFCPFQEPLQNIAAQLNTVSSLLCVKLKRLHRTFSLVAFSLLQVFCFPFYHKFNCYSLNCSFVVTKVRVSVRRVLLVFLKLWNLKKI >CDP19065 pep supercontig:AUK_PRJEB4211_v1:scaffold_339:181525:186037:1 gene:GSCOC_T00008329001 transcript:CDP19065 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVLIRFGHDWDETCMQMDEVLASVAETIKNFAVLYLVDITEVPDFNTMYELYDPSTIMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >CDP19060 pep supercontig:AUK_PRJEB4211_v1:scaffold_339:109843:113694:1 gene:GSCOC_T00008320001 transcript:CDP19060 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQEQEQENAHQKQEQESFENLLSSYLGLSFITFLGFLPKNSVSLVSTLKSHNKDLSFKLRKAEEQLKQLHSRRKEDSKANARVVEIFASHRHAWQQEEKRLLQQIDESAEETAHLRGKVEDFEKLEAELRANIEELKRDVSERDEMLNFMNMNSYSGGGGDGGGREFYAEMGLRYGGAKGGGLSEGVDLGVEECYLASGIHGMEEMESLYGQNTGINAEVLSSASKFWAERGRLWQQDVQYESIEPVYNLKHFVARRESPWKVDGESTGVSSKLKFLEQELLNLEKIGKTDLSKMPSLLRKQAKRYQTLAGKIDDLCRRMQASDPSESTPSSEFRTQRQTEFLLEAFRLQQRASETSQKLIALQTEAGKTYQGNELEGQAKLGTKRSLDSIKNNFKEIQRNLEIWLARIIGDLEGILARDGASRVREYYISRYPFVQ >CDP19064 pep supercontig:AUK_PRJEB4211_v1:scaffold_339:174899:178074:-1 gene:GSCOC_T00008327001 transcript:CDP19064 gene_biotype:protein_coding transcript_biotype:protein_coding MENFQKQLSITMGEEKSCNSIGSAVHESDVVQAVVRKTPPADYTLKIDSFAFLLEMLENTKAKSYSSRIFEASGYDWKLHLYPRGDEKRNGEGYISFYVSIQGNATLPLGWQINANIKFFVYDQIRDEYLVFQDAREEAIRFHEMKKEWGTAQLLDLKIFHDPTNGFLLHDKCAFGVEILAKRYSGRGECLSLPVNIFSTYTWKVVKYSKTGNVIYSDVFVMGNLKWYIMLYPNGGQNQEGKNISLFLASAIEDTNFRIYAEYKLCIKNQKNDKDLERTSKLIYGFY >CDP21320 pep supercontig:AUK_PRJEB4211_v1:scaffold_3390:5648:6511:-1 gene:GSCOC_T00012231001 transcript:CDP21320 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQDVALSIVGPFVEKCVNPILRQFKYLIFYKCNVQTLSNEINGLGLQQAEVQRLIDAAENNAEEIKPTVTDWMKNVDDLKKEASTISQGMESVKVNCFNIVRLPNLKSRYLLGRRAAKRTDVAQKLIGEGKFDQVGYIAPLGRMSFSEQTQSSKEGLVSRMSKKKEVIEALKEDKTSLVAICGMPGVGKTFLVEQIADQVKFEKLFDEVAKANLSQIPNTRTVQDQLAEQLGLKVSEETDHARAERMYTRLSKGEKRILVILDDVREEVDFKSLGIPDRGKCKGL >CDP21321 pep supercontig:AUK_PRJEB4211_v1:scaffold_3399:1643:2952:-1 gene:GSCOC_T00012885001 transcript:CDP21321 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFPSKRVVGFILSFYVFVLCFLPAVFCAGHLQKLHLPDPGPDSFAFDSAGQGPYTGVADGRIFKYKGPKVGFVEYGYSKADRSARFCDGTNSTALAKSCGRPSGLGFYYKTGELYVADDGVGLVVIGPKGGAGKVLASGAEKVPFGLPDGLDVDQNTGIVYFTDATARYTINEIPQIIASGDSTGRLLKYNPRTKKVTVLLRRLAGPAGVAISKDRSYLLVTEFLKSRVWKYWLRGPKANTAHVLLNVPGSPSKIVRNNVGDFWLAMTVKRQVPTPRIKLQGLRINGYGKILETITFNPGFDSSMITEVHEHKGALYLGSLYVGYVGVYRKF >CDP21322 pep supercontig:AUK_PRJEB4211_v1:scaffold_3399:7940:9487:-1 gene:GSCOC_T00012886001 transcript:CDP21322 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDLVALRRFLAFRPKGILQYSATLSNSIPINTLVYSTVLHHLEMATLTTAFPNKRIDETILCFYVLVFCFLPTVLCAGHLKKLYLPDPGPESFAFDWAGGGPYTSVADGRVLKYEGPKVGFVDFQVLSSHMPRKFCDGTNRTDISQICGRPTGLGFYYKTGELYLADGGLGLVVIGPKGGPGKQLASAAGGLRFGFPDGLEVDQRTGIVYFTDASSRYNISQIAEVVANRDQTGRLLKYDPRTKKVTVLLRGLAGAAGVAISEDGSYLLVTEFVKARVSKYWLKGPLAHTSHVIANLSGAPDKIKRNSAGDYWIAVTVQSQKPTPTLQLQGQKINGNGKILETITFSPGFNSSLITEVQEYKRKLYLGSIYIGYVGVYRKH >CDP19066 pep supercontig:AUK_PRJEB4211_v1:scaffold_340:31274:40202:-1 gene:GSCOC_T00000516001 transcript:CDP19066 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGLQERLLSSGKEEVVNLKGRIADESKKIWKVALPGILARVSSFGCIVVTQSFVGHISELDLAGYALVQTLSVRFVNGILIGMSSATETLCGQAFGAGQLHMMGIYLQRSWIVDFITLSILLPVFIFGTSIFRLLGEKDDIAQAAGYTSLWFIPFIYNFVFSLTIQMYLQAQQKNMVIAWLSILQFIIHIPLSWLFVYQLDWGVGGAMGALSISSWFVVFGEFAYIFGGWCPDSWKGFTTAAFKDIWPVVKLSIASGVMVCLELWYNAVLVLLAGYMKNAEVAISAFSICLNINGWEFMISLGFLGAACVRIANELGRGDAKATKFSIKVLLSTSIVIGLFFWVLCLVFGSKIGYLFSEEKEVAESVSDLSLLLAFSVLLNSIYPVLSGVAVGAGLQSTVAIINLCCFYLIGIPIGALLGYAAHLQVKGIWIGMLCGVITQTIAICFMTWRTDWDVEVIRAKNRLQRWYLRSPEESNGRSDLA >CDP19068 pep supercontig:AUK_PRJEB4211_v1:scaffold_341:189696:190481:1 gene:GSCOC_T00005547001 transcript:CDP19068 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFSDQTSEELFRDEVDKCIVSALQHVISNTEAAAPPTAPAHQQQPTVMPEFEGVTSLSQSSATASSGLGSSSTGTMMEDNDDQSRNDEKQKQKNKKKKKKKREVERDGEKRVHFKGVRQRSAGKWVAEIRDPHQGRSVWLGTFTSAEEAARAYDRKCIQFRGDRAKTNFPSSDYANANDDQPSGSQQQQQQQDAPPPAAALLSVNAPVEYTSAVTTNSTTTAADAGGTSWEEDEGFWDVFQILSDTDWRMMDSPPPSGP >CDP19069 pep supercontig:AUK_PRJEB4211_v1:scaffold_341:195042:195447:-1 gene:GSCOC_T00005549001 transcript:CDP19069 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNPYCLGWPLGVDGKLLMAILTWLPTIYHWSSNWMCSMLQDQLRSCRNLVGNDCPSFSAITHTVYPCCKNKLGL >CDP19067 pep supercontig:AUK_PRJEB4211_v1:scaffold_341:4719:5965:1 gene:GSCOC_T00005544001 transcript:CDP19067 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFEGVTSLSQSSATASSGLGSSSTGTMMEDNDDQSRNDEKQKQKNNKKKKKKREVELDGEKRVHFKGVRQRSAGKWVAEIRDPHQGRSVWLGTFTSAEEAARAYDRKCIQFRGDRAKTNFPSSDYANANADQSSGSQQQQQEGDAPPPAAALLSVNAPAVYTSPLITKTTASASTTNSTTTAADAGATSWEEDEDFWDLFQILSDTDWTMLDSPPPSGP >CDP19070 pep supercontig:AUK_PRJEB4211_v1:scaffold_342:22479:46912:1 gene:GSCOC_T00011176001 transcript:CDP19070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MEEEGVVGVLQRYRRDRRVLLSFILSGSLIKKVVMPPGAVSLDDVDLDQVSVDHILNCAKKGEMLDLSEAIRVYHDSTLFPNMNKAGPADEFFLVTDPISSGSPPRREPPLLHSIMPSPILPSLATPKTLDSAEDENLLNLSKSQSLNSTQVQELTIDDIDDFDDDEDLEEVDSQRYSRRVLNDAADLELGLPSFATGIMDDDLRETAYEILLASAGASGGLIVPVKEKKKDKKSRLMRKLGRSKGEHVATQNQQTSGLVSLLETMRVQMEITEAMDVRTRIGLLNAMVGKVGKRMDALLIPLELLCCISRTEFSDKKSYIKWQKRQLNVMEEGLINHPAVGFGESGRKASELRVLLAKIEESEMLTPSAGELQRTECLRCLRDVATPLAERPARGDLTGEICHWADGYHLNVRLYEKLLLSVFDILDEGKLTEEVEEILELLKSTWRILGITETIHYTCYAWVLFRQFVLTGERGLLQHSIEQLKKIPLKEQRGSQERLHLKSLLGRVESENGVQEMTFLQSFLLPIQKWTDKHLGDYHHHYTEATAMMESVLVAAMVVRRLLCEEPEMAMYTGPVADTEQIESYVLSSIKSAYVRIIKDVEAMSDVTHEHPLASLAEQTKKLLKKDATMYMPILSQRHPNATAVSASLIHKLFGIKLKPFLDSVEHLTEDTVAVFPAANSLEQYVIEVIMSNCNEETGEMYCKKLNLYEIETISGTLVLRWVNSQLGRILSWVERAIEQERWVPVSPQQRHGSSIVEVYRIVEETVDQFFALKVPMRSSELSSLLRGIDNAFQVYARSVVNQLAKKEDVIPPVPILTRYSKEGGIKALVKKELRDPRQPDVKRSTDYSALTTPTLCVQLNTLYYAISQLNKLEDSIWERWTRKNYHDKSIRRPTEENLRSSTRKETFDGSRKDINAAINRVCEFTGTKIIFWDLRESFIDNLYKPTVSQCRFETLIDQLDLVLNQLCDKIVEQLRDRVVTGLLQASLDGLLRVILDGGPSRIFTLADAKLLEEDVEVLKEFFISGGDGLPRGGVENQVAGVRQVIKLLGYETRELIEDLKSASELELQGGRSKLGADTKTLLRILCHRGDSEASQFLKKHYKIPKSAT >CDP21323 pep supercontig:AUK_PRJEB4211_v1:scaffold_3426:8309:8617:1 gene:GSCOC_T00002194001 transcript:CDP21323 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSWFKGTKNDTNSRPATQNSEPVKTQSASEVPGMNGAVEVRRPGPPPADITVFEFGSLAASADKVTLAGFCPVSDELEPCRWEILPAQGSDAPQFRVVF >CDP19072 pep supercontig:AUK_PRJEB4211_v1:scaffold_343:194363:197765:-1 gene:GSCOC_T00010132001 transcript:CDP19072 gene_biotype:protein_coding transcript_biotype:protein_coding MMALFASLGLMFQSASRCFRVIASYRSLSFSSNGPCNQDGGSASFGNGVNQEYLGKSEGIWQEADRVCLILESGSWGPSVENALSTYDEKRHSELVIGVLRRLKDVQQALNYFRWVEKKTDEAHCPEAYNMLLMVIMKSKRFDHVEQILEEMGLAGYGPSNSTCIQLIDSYVKTRKLRGAFDCIQTMRKLKFRPAFSAYTTLIGALCTVNEPDLMRALFLQMQELGYEVSVHLFTTLIRVFAREGRVDAALSLLEEMKSNSLDADIVLYNVCINCFGKVGKVDMAWKFFHEMQANGFVPDEVTYTSMIGVLCKGNRLDEAVHLFEQMEQNRAVPCAYAYSTMIMGYGSAGKFDEAYRLLERQRLKGSIPSVISYNSLLTCLGKKGKVDDALRIFEEMKKDAAPNRTTYNIIIDMLCREGQYRAALDVRDAMKFSGLFPNVLTVNIMIDRLCKAQKLDEACFIFEEMDHKLCMPDTRTFCSLIDGLGRHGRVDDAYRLYEQMLDSDRAPDAVVYTSLIKNFFRSGRKEDGHKIYKEMVSRGVAPDLLLLNTYMDCVFKAGETEKGRALFQEIKNLGFTPDVRSYSILIHGLIKAGFARETYELFYTMKERGCILDTLAYNTVIDGFCKSGKVNKAYQLLEEMKVKGHEPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSTGVELNAVVYRSLVDGFGKVGRIDEAYLIMEELMQKGLTPNTYTWNCLIDALVKAEEVDEALVCFNSMKNLNCSPDSITYSILINGLCRVQKFNKAFVFWQEMQKQGLKPKLITYTTMISGLAKAGNILEADKLFERFKANGGVPDSACYNTMIEGLSISNRALEAYQLFEETRLRGCNIYTKTCIVLMDSLHKAECLEQAAIVGVILRETAKAQHASRSL >CDP19071 pep supercontig:AUK_PRJEB4211_v1:scaffold_343:193681:193988:-1 gene:GSCOC_T00010130001 transcript:CDP19071 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKDQTAIFTAGRSKREGTEVNQRTEHIEVLEHGSQVSILTPLGF >CDP21324 pep supercontig:AUK_PRJEB4211_v1:scaffold_3432:1:321:-1 gene:GSCOC_T00004253001 transcript:CDP21324 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKGTKHAATQTAALKQILKKCSSFGRKNAGHDHDNSLPHDVPKGHFAVYVGQNRTRYIIPISWLEHPEFQSLLQRAEEEFGFNHEMGLTIPCDEEVFCSLFSMI >CDP21326 pep supercontig:AUK_PRJEB4211_v1:scaffold_3432:7518:9425:-1 gene:GSCOC_T00004255001 transcript:CDP21326 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDVNLAGFKSQLSQTQLDWKQEMERNQAQVDALQVKLLEVKLCVQGSEEDTKKELDLLWRRVKTTATMLTYLKSKARIMAVPHLAHTSCGIKQVDGVGLVDKNGVPLSGWSRNVDLSPFEDLEEETWTKLASDNDLLDEQDGAYIGELLKGVQMVTDVMECLVKRVLVAESETALEKEKVTVGQEEIKRKAVQIENMSTKLEEMENFALGTNCILNEMRQRVEELVGETSRQRQRAAENELELARVKRDFESLKTYVSSLISVRETLLSSEKQFQTIERLFERLVAKTSQLESEKMQKEAEVQKLMEENVRLSALLDKKEAQLLAMNEQCKVMALSSSNI >CDP21325 pep supercontig:AUK_PRJEB4211_v1:scaffold_3432:4065:6635:-1 gene:GSCOC_T00004254001 transcript:CDP21325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14 [Source:Projected from Arabidopsis thaliana (AT2G18000) UniProtKB/Swiss-Prot;Acc:F4IPK2] MPQNLQGECQPDGTNESTPKIQRIKITRPSEDSEKKIAVKRVKDVEVSVPIVYGTIAFWLGRKASETLSHKWTVYVRGATNEDLGVVIKRAVFQLHPSFNNPVRVVESPPFEISECGWGEFEIAISLFFHNDVCDKQLDLYHHLKLYSEEDSGPQSTKKPVVVETYNEIVFPEPPADFLARVQNHPAVVVPRLPATLSLPPAPVQEVHESGRGDTKDHTLSPWFVNFSEADELLKLAAARQQVQAHIMKLRRQLSMMDGMPQSLKPASG >CDP21328 pep supercontig:AUK_PRJEB4211_v1:scaffold_3436:9213:10532:-1 gene:GSCOC_T00013349001 transcript:CDP21328 gene_biotype:protein_coding transcript_biotype:protein_coding VAVTHFLFPFLFSVPFPFLSFFLLQHNTATTAAPPPPPSSSSGHTVRHPLPPPPLLSPLLSSLFPLPPLPSLSPPSFSLPLPLSSPLHEFTEKRKKERKVPSLCGSLSVVAVGTFSDPVLVFAYWL >CDP21327 pep supercontig:AUK_PRJEB4211_v1:scaffold_3436:2758:7991:-1 gene:GSCOC_T00013347001 transcript:CDP21327 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSTIPPPLDHHSTSIPSPKTQPTSLHDLPSFPPASLNCHLPFPPLPSLWPLSSPLPTLLISCYGIHYTICCEYFGVIFPSEGLFWLLFFAGSTLLRFPKLNMDCSTSTAKECATCTVPDPVIKASQAVVNIFVPKVDGQEKYSNVASGIIISEKGRILTYAPVVYRVLDYKIEVHLQNGQSYYAKVEWVDSFSSLAMISVVLKHWESKLPKVQVQYGTTRSVKSGDCVYACGCPAYLKNSLSVGTVRVVLEDQCSI >CDP21329 pep supercontig:AUK_PRJEB4211_v1:scaffold_3441:3:4899:1 gene:GSCOC_T00003072001 transcript:CDP21329 gene_biotype:protein_coding transcript_biotype:protein_coding IQIVQGVPPSPRSDHVAAIHADRYFFVFGGCSHATCFNDLHVLDLQNKEWSRPAQKGEIPSPRAGHAGVTVGELWFIFGGGDHKRGVSQSVVLNMSTLVWSVIKRVQGFASLAREGLTSVLGSYNGEDVLVFFGGYDGQYTNQVK >CDP21330 pep supercontig:AUK_PRJEB4211_v1:scaffold_3443:2132:2329:-1 gene:GSCOC_T00003722001 transcript:CDP21330 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDFSPPMVRRDISSKNVSLDSQENAHISDFGTARFLSLDSSNLTSFVGTHGYAPPSTLFNSLS >CDP21331 pep supercontig:AUK_PRJEB4211_v1:scaffold_3443:2445:4221:-1 gene:GSCOC_T00003723001 transcript:CDP21331 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNLINNSFHETIPSNIGSLSMLRIRINHINGSILGEFGFLKNVVFLDLGCNELKGSIPSSVGNLRLLEMLYLHENELSGFIPSSIGNLTRLTVLKLRNGTFYGKFPSNWSNFKKLTSLKISGSNITGKITTELGEVFQLQRLDLSSNQLFGGIPRSLGNLTLMLELKLNENKLSGNIPLEIGKFSRLAKLSLSTNDLTGKIPEQLRDCMQLGDLNLSQKKLRGSIPSQLGFIPPLETVDLSNNMLIGKIPEQFGWKKEDSRRSRSKRKLLLVILAIVAAMIFLIMTVVGIFFRLNSCKKIMENKPSGSIQNLLSISSFDGKMAYQNIIEATEDLSPNHCIGRGGHGSVYKAELPDGKTVAVKKIHALESGAFNVAKSFSNEIDALNKITTSQHYEVVWFLQSFKTLILGV >CDP19096 pep supercontig:AUK_PRJEB4211_v1:scaffold_345:16915:19977:1 gene:GSCOC_T00008192001 transcript:CDP19096 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ protein JJJ1 homolog [Source:Projected from Arabidopsis thaliana (AT1G74250) UniProtKB/Swiss-Prot;Acc:Q9C911] MFRTCFRISPIPLGLGFPKEAPLLVGHMFDSVTAFYNYWLGFVTLMDFCWVDQYDVMAGPNRKSRRVMEEENKKLRKKARREYNDTVRGLAEFVKKRDKRVIDMQMKRSEEVERRKEEERERKKELERQKAERAKKYEEPEWAKVEDIEDEDTEEIVDDDKKKKGDGKELYCVACGKKFKSDKQWKNHEQSKKHKEKVAELRNTFIEEDEEYEEGKTEEVGEEYDEEDEVGAEESGFLSADDEVDELMDHFNSTMEIREEGNDEAQSSEQDGLVDRDSGIGLKELQSDMRSDDDEASNLEAMVSGQKNRKNGSLDQKPKRASNKIPVKVDSNEMDFMAYNNMKGSRRNRGGRKQRGRTLEEAAQTEIAEAHVEAENNGYHDTMKEASSDSFLETQSRGKEDDESETSHKLSRQAVNKKGTAKSDSNFKSKEAPKGRKKKANTKVISNRCEKCGEEFESRNKLHRHLGDTGHATLKSR >CDP19097 pep supercontig:AUK_PRJEB4211_v1:scaffold_345:30763:39908:-1 gene:GSCOC_T00008194001 transcript:CDP19097 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKTASDGVLLPERRRVGLLYDERMCKHFDATDHPENPNRILAIWNKLESFGIHQRCVILNAKEADDKHIALVHTRSHVDFIKNISSQKFGSKWDRIAARFNSIYFNEGSSEAAYLAAGSVVEVAEKVAKGELDSAFAIVRPPGHHAEANEPMGFCLYNNVAIATSFLLNERTELGIKKILVVDWDVHHGNGTQKMFYKDSRVLFFSVHRHEFGCFYPGGDDGSYVMIGEGPGAGYNINVPWENRSCGDADYLAVWDHILIPVAKAFDPDMVIISAGFDAAVNDPLGGCCVSPYGYSIMLSKLMEFAEGKIVMALEGGYNLKSLANSALACIQVLLGDKPIVRSLQADPFESTWRVLEAVREALSAFWPILAKKLPEELTSKRTSLILIPCSDSEDEYDNGPNTTSEDYEAAIEHVIEPLQNLEVDDGHGTELTNGPNTTSEDYEVAIEHVIEPLQNLKVENGHDQAIAFSSSWRSELSKIDIWYATYGSNMRESRFLCYIEGGQVEGMQKQCVGSVDKSPPKESCWRTFPHRLFFARDYTATWGPGGVAFLHPESNSEDKAYLRLYRITLEQFNDVLVQENILSSRTGPLFGLKDLQSIEDKKCISIEAIKRGWYHNVLYLGKEDNLPVITMTCTLYSVDNFKSGKFPMCAPGRGYANTLIKGLVEGNRLSNEEAVAYIEEASTKKL >CDP19095 pep supercontig:AUK_PRJEB4211_v1:scaffold_345:7807:12889:1 gene:GSCOC_T00008191001 transcript:CDP19095 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNSKVLYSDESPMFFKVYTDQLCSSQLKIPPEFVKKFNGDVPQTFTLEGPQGRSWQIVAGKVDEYFYFQEGWQNFVEDNSLENDDFLTFSYGGCSRFYVEIFGKHGCIKEGAFTIWNDAMHRNESTLEQLHGKLNNTTQPMGRSLRSRTRGSCSEIGLAGKVQDNMRHHSGPFRVQLTKTYTNRYVKFPRDFGNVKKHWKNGKGALLRVQAREWKVSIAKSGRYFHLCTGWSSFVKENSLKIGDECNFEVIDNNDDGIVLEVTMSRCPDKIE >CDP21333 pep supercontig:AUK_PRJEB4211_v1:scaffold_3453:3642:7720:-1 gene:GSCOC_T00002359001 transcript:CDP21333 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVISATVEVVLETVISIAADRVGMVLGVKAELGRLSKTTATIQGFLADADAKVHSPGVRDWLKQLEDEVFKADNVLDELHYNNLRREVKYRNQLTKKKVCFLFSFFNAIGFNSSLASNIREINTNLERINQQANDLGLEIKYQIEAALPADAAGFSVIPITGMGGLGKTTLAKSVYNNTKIDENFGIKSWVCVARQIKIVELFKLILESLTRTKVEVDGREAIVQEIRGKLGEKRFLLVLDDVWNREQGLWSDFFTTLLGLSTTKGSWCILTTRLQPVANAVPRHLQVNDGPYSLGKLSDDACWSIIKRRANSTLPPSEEVPKELEAIQEQILRRCDGLPLAASLIGGLLLNNGKEKWHCIVQESLLNEDQSEIDQILKVSFDHLSPPSVKKCFAYCSIFPQDAELGEDELIRHWIAVGFVLKNNRVMEETGGEYLRILLQNCLLEKSGNQKSDNCDEDVLEGLQPHPNLQELKICSFMGNKFPQWLINLPKLVELRIQFCRCGELLALGQLPSLKRLYLTGLDNIRSIGDEFYGITTNEEKEEGRSRASGSSARRRKFFPALEELHVEYMENLAEWKDADQVRSTIGETEVDVFPMLRNFHIQRCPQLTTLACSCKILDVKYCRNLTSIKTGYDTASVEEFSINSCDNLRELPEDAFGSSLRRLTIEYCPRLICLGVNGQKCALPCLERLSIYYCDGLTTISNKMFKSCPSLQSLEVKCCRNLVSFSLNLRKTPSLERFLIINCPKLIPHRFKGFAFATSLRELSIGPFSSDYFSIDGFDWSGLRSASTLRELRLEGLPHTESLSHQLQYLTTLTSLRLASFGGIEVLPDWIGNLVSLEGLQLSNCKKLRSLPSKVAMRQLTKLPLVNIFDCPLLRQRYSSQRGIYLEEISSDAVRFSYLKFTFIYMCVCV >CDP21332 pep supercontig:AUK_PRJEB4211_v1:scaffold_3453:1921:2031:-1 gene:GSCOC_T00002358001 transcript:CDP21332 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTGKPCSPQNLPVYTEIHKCMGIVRSQILALIPT >CDP19098 pep supercontig:AUK_PRJEB4211_v1:scaffold_346:147336:147949:-1 gene:GSCOC_T00006750001 transcript:CDP19098 gene_biotype:protein_coding transcript_biotype:protein_coding MHRCRSSTKISFVSFDQARKIFPPN >CDP19099 pep supercontig:AUK_PRJEB4211_v1:scaffold_346:148615:150421:-1 gene:GSCOC_T00006752001 transcript:CDP19099 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVIIMCTKYWRTGFSGLFGPSTGAVLTEFGLSIYIYIYIAMIFYSSINTTDFAQIFQQYYTLDAWIWYFVLYVVSSYKICQSQVVNRYIDDGVAELVQGVLFIDEVDMLDMECFSYLNRALESFLSPIVIFPANRGICNVRY >CDP19101 pep supercontig:AUK_PRJEB4211_v1:scaffold_346:165588:166084:1 gene:GSCOC_T00006754001 transcript:CDP19101 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVVYGLRVVFSSHGTLFCRLIFLAAILYKAFSGPFSSCQRSLVAARVRSTSLRGGKRIIDAHH >CDP19102 pep supercontig:AUK_PRJEB4211_v1:scaffold_346:172055:178638:1 gene:GSCOC_T00006756001 transcript:CDP19102 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPGTVSSILLLLLVECAARPFYPLPSRRNDRSKQPLQTFRPYNIAHRGSSGEFPEETASAYKRAIEEGADFIETDILASKDGILICFHDLTLDATTDIAQHKKFESRKRTYEVQGKNITGYFTIDFTLEELKSLRVNQRSPFRDQQYNGKFSIIIFEDFISIALGAPRVVGIYPEIKNPVFINQHVKWPIGKKFEDKFVDTLKKYGYKGTYLSKQWLKQPAFIQSFAPTSLIYISHLTDLPKILLIDDATTPTQDTNQSYWEITSNSYFDFIKDYVVGIGPWKDSIVPASNNYLQTPTDLVTRSHAYNLQVHPFTCRNENVFLHFNFSQDPYNEYEYWIKKIGVDGLFTDFTGSLHHYQEWTNPFSMGEESASKLLDKIAYMISKFRNSYN >CDP19100 pep supercontig:AUK_PRJEB4211_v1:scaffold_346:150580:151293:-1 gene:GSCOC_T00006753001 transcript:CDP19100 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISIIGIYWLYAPSSGVYVQLTHSNSNSTVYKSFFSGQIKSKSKKSNSRSEFIQFLLNQNGSYEANSWQVHRRKGSKETACHKVYMLSSSE >CDP19103 pep supercontig:AUK_PRJEB4211_v1:scaffold_346:182108:185567:-1 gene:GSCOC_T00006758001 transcript:CDP19103 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVSPDAICTILANPSPESSSDLPEIVVQVLDLKPTGNRYMFTASDGKMKLKAILQSGLSSEVVSGNIQNLGLVRILDYTLNDIPTKNEKYLIVTRCEAVSPALDAEYKAEANNAEETSIVLKPKEEVDVMKMEVKNRGPGIILKPKQEIVAKSAVQIVNEQNVNMAPAARMGMNRRIYPLLSLNPYQGMWTIKVRVTSKGSVRTYKNARGEGCVFNVELTDEDGTQIQATMFNDAARKFYDKFALGKVYYISKGTLRVANKQFNTVKNDYEMNLNENSEVEEVSNEAAFIPETKYIFVPIDELGPYVNGRELVDVIGVVQSVSPTVSIRRKSNNETIPKRDITIADETKKTVVISLWNDLATTVGQELVDMADKSPVVAIKTLKVGDFQGVSLSTLSKSVVAINPEIPEAKKLRNWFDSEGKETSLASVGAGLNPTTKGGARSMYSDRVFLSHIVSNSTLGEDKPVFFSIKAYVSYIKPDQTMWYRACKTCNKKVTEAIGSGYWCEGCQKDDNECSLRYIMVVKVSDASDEAWLSVFNEEAEKILGCSADELNKLKTEEGETSYQMKLKEATWVPHLFRVSVTPHEYNNDRRQRITVRAIVPLDYAAESRYLMEEISKMKISQ >CDP21334 pep supercontig:AUK_PRJEB4211_v1:scaffold_3462:4407:4718:-1 gene:GSCOC_T00002626001 transcript:CDP21334 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSLSGGEAPLLDIVAYAGYTFTGLSLAVVGKLIWSYSYYALIPWISLCMGIFLVKTMKRVLFAEVRSYDSSRHHYLLLFIAMAQFPLLIWLGNISLNWLF >CDP19104 pep supercontig:AUK_PRJEB4211_v1:scaffold_347:156019:177803:1 gene:GSCOC_T00003738001 transcript:CDP19104 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLDEDNPLIGLISEEQYLEENNVENGDLLCNSKDSSSSPETETASPKEEDDLNEDDRLQSSSSYESVGAASDADESVTETSSPDSSTRMALVGGVSSDQCYNGWMAAGVQEILFEPDFVAYQKVYSTVCELIFSASCIEAKGVAAHGDRENFHIYLKIDDIVEIESQWLGRFQTARVKVHAVSERLGAENNNIYSGIKKLEFAVTDVCWYQKCEAIRSLDLRYNALWKVVVDTELEEYGETLGQLTLPFRSSYFPDFKPFEDFIYPEGDVDAVSISKRDVDLLQPDTFVNDTIIDFYIKYLKDKMLPEKRQRFHFFNSFFFRKLADLDKYPSGSFDGRAAFLRVRKWTRKVNLFEKDFIFIPVNHSYHWSLLVICHPGEVASFRDEDAQKLARVPCILHMDSIRGTHVDLKDRVQSYLWEEWKERQMETSEDISSKFSNMRFVSLELPQQQNSYDCGLFLLHYVEQFLEEDPANISPFKITTLSVFLGAYWFPSSEPSLKRRDIQKLIYDLLENHSEESSPASSTDKCYPRKSPKSGNATEFLPESSGTSKGYSVNLLCTRAQKGVEMALFPASSLRASCADDSGMASRDAYNSGSAAGSLIELQYQAFDRMASFNELKSAMASLQEDGLQHLGGISTEACNYPCPSRDFKNEVSWDQEIPMHQTLQENHDSVPVASICGTETSLDGRVDENFQVTRELSFDNEGNLTNKSTENVQELTDRLASAQSNMLEIADPRSSFQSFDQHDNSDPLKACAKILYESFNGGNGVDRNGGTTSNDVDDLALESHVEHAAKKLRLTPISEEVTKGLPEDFCL >CDP19105 pep supercontig:AUK_PRJEB4211_v1:scaffold_347:181693:182838:-1 gene:GSCOC_T00003739001 transcript:CDP19105 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKVKNLMHQRDTDDFYNPIDLNHIFHQDDILDDWIRENEQPTLPEDNLDWLDKGIHQTESESSLAASSSRKQKSKTKQTSKQTVPSSSNKSDSSNDDDDNGDNGDGGNNSSRHSGYNRDSQQAGGMSWAQGQDNYYATQDTDHGYRPGIEAQRQFLNDLTQFSSEDTFSHHSGSQRYRVVNDQMQNLGIYSTYEHESNQNRSTSQLGYGYDQSYGITPDYYSGYRPFDRLGQVERSTSIHGSGYYEKEIDNDSVNYRGFGYYHQQIISNPEVLPSNDYGTSSQSSHPVNTPDNSFTLPTQGPMPLPHLFYHSSTNQDDFEPHRHSTWY >CDP19106 pep supercontig:AUK_PRJEB4211_v1:scaffold_347:220225:221559:1 gene:GSCOC_T00003742001 transcript:CDP19106 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIRAIGRTLFAAAKGETSSAAAAAASTVSSRLNPLEDFFEAERSPDDDKPVVYGRGWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLHAQNLRFPNPERISKVDSVFSR >CDP21335 pep supercontig:AUK_PRJEB4211_v1:scaffold_3474:1945:3405:1 gene:GSCOC_T00003699001 transcript:CDP21335 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLSTLIFIAYLFLLFLFCCLALIVNLLLHFLLFTSIQVILIFPGLFIFPILFFCILFLITFLLSSIFLCVFISILIVLLLRFILFFCATIFFIFSSLLTTLLFIMLSPVVFSSFLLLTIIFISIILFLLILHLISPFTLCIFIHCYFSTAPQDQKSSHQVVYLVVKEQY >CDP19107 pep supercontig:AUK_PRJEB4211_v1:scaffold_348:198037:203112:1 gene:GSCOC_T00003982001 transcript:CDP19107 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNFLVNCPLSLPSSSSSPPSPFPWNRKPPSSFLSFARPRVNFCCGFRVGAFLFDPTRDPILKDALKEPIAFMGGMFAGILRLDLNEDPLREWVARTAEAAGITEEETGNGDIQSEESPQQIQIE >CDP21336 pep supercontig:AUK_PRJEB4211_v1:scaffold_3486:985:4302:-1 gene:GSCOC_T00003035001 transcript:CDP21336 gene_biotype:protein_coding transcript_biotype:protein_coding MNLERINRQANDVGLVFRFQIEAALPAATGATTSRQTDSILVPNVVGRVDDESKIVDMLSSPSEKVLSVIPITGPGGLGKTTLAKSVYNNPKIDGHFGQKIWVCVAKEQIKIMELFKLILVQLTGEEVKVDDRNVIVKNIGEKLKGQKYFLVLDDVWDHEQGLWNDYFNTLMGLNETKGSWCLLTTRLEYVANAVPRDLQMNDRPYFLGKLSGDECWFIIKGKVMSAGEEVPEELEALKEQILRRCDGLPLAASLIGGLLRTNRREKWHSIVQESLLNKYQSQINQILKVSFDHLSSPSVKKCFAYCSIFPQDTELGEDELIEHWVAEGFVLPDRENTGMMEERGGEYLRILLQSSLLEKVEDEGSTYYKMHDLVHDFAKSILNPESSNQDRYLALDSSKGLEENTIRKIPASIRTLFLHLEGGVSTDMNMLLRFKCLNVLKLSGYDVNFLPSSIGKLLHLRLLDISSSRIRSLPESLCKLYNLQTLTIDDDELKGGFPKRMSDLISLRHLNYYDYNAKLKMPMQMGRLTCLQTLKFFNVSQEKGCGIEELGTLKYLKGSLTIRNLGLVKGKEAAKQAKLFEKPDLSYLEFKWESGDRESDNHEEDVLEGLQPHPNLEKLKIQYFMGNKFPQWLINLSKLEALRIEDCKRCSELPSLGQLPSLKRLSLIRLGNIRFIGDEFYGITANEEEEEEGRSRASGSGARRRKFFPALEELYVENMGNLVEWKGADQVRSTVGEAEADVFPMLRNFRIQRCPQLTALPCSCKRLYVENCDNLTSIKTGYGTASVEELRIHSCDNLRDLDLFGSSLQLLHISDCPRLISLGVNGQKCPLLLCLEKLSIDNCEGLTTISDKMFQSCRSLRSLSVMRCPNLVSFSLNLQETPSLEEFSLDDCPKLIPHSFKGFAFATSLRELSINIPFSSDDSSVDDFDWSGLRSASTLRELSLEGLPHTESLPHQLQYLATLTSLSLANFGGIEVLPDWIGNLVSLETLELWDCENLRSLPSEAAMRRLTKLTRVDVFDCPLLRQRYTPQRGIYLEEEISSDPVRFSYLKFTLIYMCINFLYLHLIDVYLLFSLLYSFSPSLFCKVILCNSLLLGRPWFLFKD >CDP19108 pep supercontig:AUK_PRJEB4211_v1:scaffold_349:49521:58209:1 gene:GSCOC_T00009775001 transcript:CDP19108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) UniProtKB/Swiss-Prot;Acc:P14714] MSSRSTTNKTNCSRSSSARSRHGARVVAQTPIDAKLHVDFEESERQFDYSSSVNVSSSTSNVPSSTVSAYLQKMQRGSLIQPFGCMIAVDEEKFTVLAYTENAPEMLDLAPHAPFYAILHRIDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPNGNISLLCDVLVREVSDLTGYDRVMVYKFHEDEHGEVVAECRRADLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCLAQPVKVIQDESLVQPLSLCGSTLRSPHGCHAQYMANMGTIASLVMSVTINEEDDEMDSDQQKGRKLWGLVVCHHTSPRFVPFPLRYACEFLVQVFSVQINKEVELAAQLREKHILRTQTVLCDMLLRDAPLGIVTQSPNVMDLVKCDGAALYYQNKFWLLGITPTELQIKDITEWLLEYHGQSTGLSTDSLMEAGYPGASILGDAVCGMAAIKITSKDFLFWFRSHTAKEIKWGGAKHDPGDKDDGRKMHPRSSFKAFLEVVKRRSVPWEDVEMDAIHSLQLILRGSLQDEIVDNSKLIVNVPAVENSIGRVDELRIVTNEMVRLIETASIPIFAVDAYGDINGWNKKIIELTGLVLQKAIGMPLLDLVADDSVEVVKNMLSLALQGREEKNVEIKLKTFGLEEKNGPVILVTNACCSRDVKENIVGVCFVGQDITGQRLIMDKYTRIQGDYVGIMRNPSALIPPIFMMDEHGQCMEWNDAMQKLSGVKREDAIDQMLVGEVFTVSNFGCRVKDRDTLTKLRILLNGVIAGQNTDKLLFGFFDKHGKYVEAFVSANKRADAEGRIIGVLCFLHVASPELQYAMQVQKISEQAAANTLTKLAYVRREIKSPLNGIKFVQHLMESSDLSKEQKQLLKTQTLCLEQLGKIVDDSDVESIEECYMEMNSGEFNLGEALKAVVNQVMVFSREQQVQVVSDLPAEVSSMYLYGDTLRLQQVLSAFLATALFFTPAFEGSLVLFKVVSRKECIGTKIHVVHIEFRITHPAPGVPEELIQEMFYHSQSVSREGLGLYISQKLVKIMNGTVQYLREAERSSFIILAEFPMVHPIHKPVNLCIKP >CDP19109 pep supercontig:AUK_PRJEB4211_v1:scaffold_349:150334:165896:-1 gene:GSCOC_T00009776001 transcript:CDP19109 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTITHTSHLFANPSYHPAIYFPELPLKQRKITRHHCLPPKSQPSEDVPSTADHDRRQQKLLQAVAESNEEQLPGVRTFENDSARLTLVGAVDFQQAVTAAAADGGRAANEHIIAGLPTMVIETVFPGRPDDCSTLSTRLFLPASKVKEKAKKLKATLSEDILSSTTSANILAMTFRQVVLQQLWSFELVAFRCGTERNIDDFGNLREVLATFTISSSEEHILSVLAEVICSSALESIESHTVGQSFGRASAKFIQWFHKPKRYVSKDSSIILHKLLDNEIAANAKILLDKFSSRRVKSMGIKSKYSWWTLSSDPELEKFNGPEFTAGLNEHIPSYRLQIDAGKFKDVKIEGGNLFATNIWEILLTHCQMVCLADILDIYYQDDFTLPAKQLSCDAMMNLSNLPTNKSGSSLLKILSATLAGGLLLVMISILSQLYLPNLTRWRKYHKDHSVQSSCIRCIEPESLGLTQLEACCIAVIRKLKDSFGWPGEIITKAGHCAWTGELPLYLKRMVEIDSKASDVLSTVTLPGERIEEMKGSVQDIASYQVVLSTEGEVVGFQPRSRMAVNHWASNPLTKELYARRNLSPGFLEPGLKIHHPSDVVLLELLMSANPEPHFALVRPAGI >CDP21337 pep supercontig:AUK_PRJEB4211_v1:scaffold_3490:4469:6379:-1 gene:GSCOC_T00012817001 transcript:CDP21337 gene_biotype:protein_coding transcript_biotype:protein_coding MFWPSNYFLENLLQCVCLLVSKRWLRLLCSSTVALALAFIGTPHAIPSLSSFLSHHPYLSSLSLTDSSSSSSSSFSHHLLQSLASSCPNLRHLRFLAELVSGFSLLSLSNSCPHLSSLPISLSRPLCFQWIAPLRPLKDLSVFITGSETELFSYNGFAPVLDAKLNLLSFPFLMLNSIYSLSLCGTQGVDYGLNFLWRNCKKLEKLKLKSCEFVGDNVSFSAFIKGLETLKEVEEVELRTSRTLADGVLLKLAGGSVSLSSLLVYDGSSKEGLLQFISHSRADVQKLDLRLPLDLDNDHLIAVAENFENGS >CDP21338 pep supercontig:AUK_PRJEB4211_v1:scaffold_3490:6636:10182:-1 gene:GSCOC_T00012818001 transcript:CDP21338 gene_biotype:protein_coding transcript_biotype:protein_coding SLSNNSFTSSISNMSKLEEVSLSYNYLAGNIPIGIFNISSLQIIDLTNNGLSGVLPSDMSQCSELRVLSLSYNEFGGSIPKEIGALKKLEELYLGHNHLEGQIPKEIGNSTMIKWQHFGYNNLTGTTIFSMTEIRHLYGLIYVLNIILAGVIPREIGNWYFLQQLNLQFNSLTGSIPMEIFNLSKLSAMSLLQNQLSGNLPSTFGYWLPNLEYLDLGINHLSGALPNLRFLELLELSGNLLVSDSSSPELSFITSLTKCKYLSILVLGSNPLNGIIPESVSNLSTSLEQLNATNCKIKGSIPDGIGNLTSLILLDLSNNDLTGSLPATIKDLRELQGMDLSMNKLISRCFGNLTSLRHLDLSYNKLHSAPPEEIWTLKDLLMLDLSSNLLSGSLPYVVTNMKMANWVDLSTNQFSGGIPDSIGDMQNLQNLSLAHNRLQGSIPESIGKVFSLESVDLSHNLLSGSIPMSMENLRYLKYINLSFNNLSGEIPSKGPFKNCTAESFASNQALCGARRLLVPPCPTISAHRSRTKRVHRTIFISLGVIIAVGALSFGFVYLRYRKKDEFSSGADLSLVAMLERISYFELLQATNGYNESNLLGAGSFGSVYRGTLDNGRAVAVKVFNLQVDGAFKSFDVECEVLRNLRHRNLTRVISSCSNPDFKALVLEFMPNESLEKWLYSHNYFLDLMQRLDILIDVACALQYLHCEYATPVIHCDLKPSNVLLDQDMVAHLSDFGLTKLLGEKNSITYTETLATLGYLAPGEQFSPNVIDANLLKESDEYFVEKLSCIASIMKVALGCTMESPRERSNIQDVLVALKKIKRQYMSPLCSGT >CDP21342 pep supercontig:AUK_PRJEB4211_v1:scaffold_3494:8801:9212:-1 gene:GSCOC_T00003172001 transcript:CDP21342 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNHIFEDILIRVTFLHYCLISLAALTKEILESHNYLDRDVRFTASALIPTNIPSGIKVILVTHPRTGD >CDP21340 pep supercontig:AUK_PRJEB4211_v1:scaffold_3494:5250:5919:-1 gene:GSCOC_T00003170001 transcript:CDP21340 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQETFFPAKELACLVVVLEVNQEDVEVRPGLYSFCLLIGGADGRGGGRNAIHVSSSGGCSVVWRVHYENSNSQSRVVVESELTQLHHGNQVTHAWRWIQNYSTITPHLSLSLSLSLSLSTCWYAQFPQYCTTL >CDP21341 pep supercontig:AUK_PRJEB4211_v1:scaffold_3494:5973:7526:1 gene:GSCOC_T00003171001 transcript:CDP21341 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSGSVVFLCFGSVGQFPAEQLKEIAIGLERSEQKFLWVVRSPPSEDKTNRFQTPPAPDLDLLLPHGFLDRTKGRGFVASSWAPQVDVLNHGSVGGFVTHCGWNSVLEAVCAGVPMVGWPLYAEQKLNRLFLVEEMKLALPMDESTEGGFVKAAEIEKRVRGLMDSEEGKVIRERAQAKKEEAKQAVADGGSSIVALAKLVESWRKLE >CDP21339 pep supercontig:AUK_PRJEB4211_v1:scaffold_3494:1:974:1 gene:GSCOC_T00003169001 transcript:CDP21339 gene_biotype:protein_coding transcript_biotype:protein_coding LLSVHECLKWLDMQPSGSVVFLCFGSVGQFPAEQLKEIAIGLERSEEKFLWVVRSPPSEDKTNRFQTPPAPDLDSSWAPQVDVLNHGSVGGFVTHCGWNSVLEAVRAGVPMVGWPLYAEQKLNKLFLVEEMKLALPMDESTEGGFVKAAEIEKRVRGLMDSEEGKVIRERAQAKKEEAKQAVADGGSSIVALAKLVESWRKLE >CDP21344 pep supercontig:AUK_PRJEB4211_v1:scaffold_3496:9307:9534:-1 gene:GSCOC_T00002565001 transcript:CDP21344 gene_biotype:protein_coding transcript_biotype:protein_coding LTQVINYHWTSFFLFTFSSGAGLDYAEQVGLTHYYHVCYEGCLTNFEIGDEGEEASKLYPEVKYTKIEDYLKQYV >CDP21343 pep supercontig:AUK_PRJEB4211_v1:scaffold_3496:3332:3754:-1 gene:GSCOC_T00002564001 transcript:CDP21343 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVESVKSKVLIIGGTGYLGKRLVKASLEQGHETYILHRPEIGVDIEKVQMLLSFKARGAHLVPGSFSHYQSLVDAVKLVDVVRRPSTAPLSDTYRASLNFLQRTFGQTFSHGAVPNQHGRYTIRNDPDRGVFDGCFF >CDP21345 pep supercontig:AUK_PRJEB4211_v1:scaffold_3498:1:708:-1 gene:GSCOC_T00008595001 transcript:CDP21345 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIGNQWDYTDQIIKGIILVTGTDTSSVTIECALSLLLNHPEVIEKARAELDAQVGTDRLVDEYDLSNLPYLYNIILETLQLYPAAPMLVPHESFDDYKIGGYNIPRRHIVHRDPNVWDDPTSFKPERFEGLQVQPSKLIPFWMGRRSCPGSGLAQRVVGLALESLIQSFDWKRIDEEEIDLVEGTGVSVDTKFWCNFIYYLSFSLCS >CDP21346 pep supercontig:AUK_PRJEB4211_v1:scaffold_3499:1815:6004:1 gene:GSCOC_T00009925001 transcript:CDP21346 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVVFLIKQLSALLSQESTLLGGLRPDVQFIKDELGSMKAFLRQAEAKGDNDSQLQEWVKQVREVAYDTEDVLDDFAFRFARGDADGFFGRVGKIYNSIKNLKARHRISLEIKDIKARVVEISARHQRYQSLYGTQEIGPSSSHLANADCDIRDQALLIEEAKLVGIDQPKKELISQVLDDNSHLKVVSVVGMGGLGKTTLVKKTRITDVASASCLESHDFIYKMKPLSDEESWTLFCNRTFQSNGCPSNLEKVSRKILKKCEGLPLAIVAMGGVLALKDKDRIDEWEMILHGFSGEVDGSGKLERIRRILLLSYNDLPHHLKSCLLYLSIYPEDYRIKRIDIYNILDTWIALGFIEEKEGMTATDIAKRYLKELINRSLIQVKGTRHNGILKECGIHDFLREMIVSKSKEQSFTTVATGYCTRWPGKVRHLAIHNFTDNPPQGFNSLKSLRSLRTFKYEDPLTTSFLSKFLCGGPKLLKVLNLREAELDNIPKAVFKLFHLKYLNLSDTRVKIIPKSIGQLQNLEALILTGTTITELPVEILKLRRLRCLAVGRKGDYSNNYAIWGFKSPDGIGKLTSLESLAFIEANSGKIVMEIGKLIQLRQLWITKLRREDGKELVSSLLRLTNLQELYISSIKEEETLDLQHSISSRLGFLVRILLDGRLEGVPEWVMSLQSLSTLALYNSGLSEDENAIDCLGHLPNLVDLILHRAYEGETLCFKAGRFPKLQRLQLGQLKRLKWVRVEEESLSNLQQFLIVGCKLMEGLPLGLQNLTKLKFFGFYDMSDELIHKVQNLDKQSEDYQTISHIPQICTGHWINGEWKEEFL >CDP19114 pep supercontig:AUK_PRJEB4211_v1:scaffold_351:125270:132702:1 gene:GSCOC_T00002546001 transcript:CDP19114 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGNLSSMQILNLQSSFLTGTIPWPIFNISSLRVMDLSNNSLTGSLPLDKFYNLPALKELHLSTNQLIGSIPSFIWECKTLETLDLSKNNFTGGIANRVGNLTSVQNLLLDYNMLTAGHVHPQVFNMSSLSRMSLDENNFNGSLPFSMWTTLPNLQVLNLDNNKFTGMISSSISNASKLTFLSLNFNSFTGPLPTTLGKLRFLKRLFVGANNFTRESSTPELKFISSLTNCRELEKMELSLNQFNGFLPTSIGNFSKTVTVFNAFGSHIKGTIPSEIGNISSLESINMDSNEFTGSIPSTIGKLAHLDRIYLEHNGLQGSIPAELCQLKMLGDLYLNENMLTGPIPDCLGELKSLRRVFLHLNNLTSTIPLSFWNLNDLLSLNLSSNSLSGDIPSQIQNLKVIIELDLSWNQLSGDILSSFSAAQSLVFLSLAHNTFRGHIPQSMGNLISLEYLDLSHNDFSGTIPQSLVKLGGLNYFNVSFNRLEGEVPTGGPFANFTAQSFLQNFALCGFARLDLPPCKTKSPSHSGSRNILKYILPPIVFAILIVAIVTFLLAIKRRSREISSEISPGEGSLLQQFYWRRVSYEELLEATDSFSTNYLLGTGSFGSVYKGTLLDGSEVAIKVFHLQSREVTKNFDAECEVLASIRHRNLIRIHSCCVNRDFRAVVLEYMPNGNLEKWLHSENYFLDVVQRFKIIVDVALALEYLHFNHAPAVVHCDLKPGNILLDEDMVAHVCDFGISKIFGNGETMVQTKTLATVGYMAPEYGEKGIVSTSGDVYSFGIILLETFTGKKPTDDIFGEELNLKQWVSKSVEANSVIEVVDRNLIHEEDQNFCLMEQCLLSVLHVGLLCLSDSPHKRINMRNVVTRLENIEVPLVKKL >CDP21347 pep supercontig:AUK_PRJEB4211_v1:scaffold_3511:2601:8214:1 gene:GSCOC_T00008668001 transcript:CDP21347 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAGFFAGIFLLLALYCGLDPLKHSAIYNFPDFVAHKVEMPAWSEIPVEKDAQNLLQKSEIKFLNQIQGPESIAFDPQGRGPYTGIADGRIVFWDGEKWIDFAYTSANRSGLCDPKPSPFSYLKNEHICGRPLGLRFDKKTGDLYIADAYFGLMKVGPEGGLATSLTTEAEGVPLGFTNDLDIDDEGNIYFTDSSTKYQRRNFLLLVFSGDDSGRLLKYNPETKETTVLVRGLQFPNGVSMSKDRSFFVFCEGSIGRLRKYWLKGDKAGTSEVMAVLPGFPDNIRTNEKGEFWVAVHCRRTVYAYINALYPNVRKFLLKLPIPAKIHYLLQIGGRPHAVIVKYSPEGKILQILEDRQGKVVKAASEVEEKDGKLWIGSVLMPFVAVYQLE >CDP21348 pep supercontig:AUK_PRJEB4211_v1:scaffold_3514:2503:3181:-1 gene:GSCOC_T00008376001 transcript:CDP21348 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEMGATEGSQASTQSLSSEVSPRRHNDIREGSQASTQSLSSEERQIMSLSSEVSPRRHNDIREGSQASTQSLSPEEKRRRHDRRDGSHASTQSLSMCYH >CDP21349 pep supercontig:AUK_PRJEB4211_v1:scaffold_3518:1:7446:1 gene:GSCOC_T00002322001 transcript:CDP21349 gene_biotype:protein_coding transcript_biotype:protein_coding EPLARDQNSNELVVIPPSFAGSIPEEIGNLQSFLKKLVFVHGSTGPIPNEIGNLLKLESLGLVENSLSGSIPVGIFNMSTLRTLYLGENHLSGVLPSNMCHGLHNLEVIDLGGNNFSGAIPVSISNFLYLSGNNLTSESSSPELGLFTSFTGCISLRIISVASNPLNGILPRSMGNLSISVERLIVGNCGLRGNIPDRIGNLSNLVLLILSDNSLTGSISNTIWGLQKLWDLRLANMSLTGPLSRGLCGLQSLENLYLSQNQISGSIPGCFNNLTSLRYLEIAFNRLTSTLPMSLWDLKGLEWVNLSSNLLRGPLAPEMGELKNLTRLDLSNNQFSGKIPNTIWSLKRLYLAENNFTGAIAVSIPNCSKLTFISHGYNKFSGGIPLSLGKLRHLEFLELIDNNLTNLSVRKSVERGSPNFNWNPSSSVEKFYAENCGIKGNIPWSIGSLSGLLYLQNNSMSGSLFGDLCGLQSLNIYTRLSQNQISGSIPECFGNLTSLRSFYIAFNRLTSTLPRTLRNRKDLLGINLSLNFLSGSLPVDTIGSLQYLMLLSLANNSLQGAIPSTVGNMLSLETFNVSHNNVSVLIPKSMKALRHLKYFNVSFNDLRGEIPTGGPSENFTHESFLFNKDLCGLLRPIYICSCTLGILKFNKSRKVKVPLPLLILSGIVARVGKMAWLFSFLRCQEKHEVPSERELVLVRTHGRIPYCDFMQATNGYHESNLIGMGSFGTVYKGKLDNGMLVAVKVFNLQI >CDP19115 pep supercontig:AUK_PRJEB4211_v1:scaffold_352:144474:145414:-1 gene:GSCOC_T00003351001 transcript:CDP19115 gene_biotype:protein_coding transcript_biotype:protein_coding MILPCVKTCVTTGESLISLGAKRGLIPLAVPVRKHLRCSVTTFLRWPTAPTGMPMPVIQVQKHRIWLLAKNVSLKMLRILNWGQYCVYTFCCLQVALDEATFLLDLASVEGTWDDNLDRIAECYQEAGLHEIAKFVLYRN >CDP19116 pep supercontig:AUK_PRJEB4211_v1:scaffold_352:146453:147553:-1 gene:GSCOC_T00003352001 transcript:CDP19116 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLDEFTSSSISHGIHVFHCPDELGIVAKLSKCIASIGGNILNADVFVPEDKNVFYSRRSITLPCTLFLGAFFYGKLISWFCPFFLFFSGLSGLCLRYCEVAVINIHHGLLPSFKGGHQAKQTFDVGVKLIGAPSHFVTKKLDLARFKT >CDP19117 pep supercontig:AUK_PRJEB4211_v1:scaffold_352:202567:202797:1 gene:GSCOC_T00003354001 transcript:CDP19117 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRSSFFVPSSDGYARAALCWIGYEPHCTPHWPHTLLWAFAYSLPEWILDAWCLRFCLRIRKRGQLKDSRKKE >CDP19119 pep supercontig:AUK_PRJEB4211_v1:scaffold_353:167243:173529:1 gene:GSCOC_T00013431001 transcript:CDP19119 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLTIFFVLLQIVEFSNGGVTSNYVRKPEPSVDMPLDLFPPPAGHNAPEQVHITQGDLEGRSMIISWVTPLEHHGSVIYWEAEGTDPTKHKVHSRSTAYKYYNYTSGHIHHATIKGLKHDTKYIYQLGLHSVVRRFSFTTPPKVGPDVPYTFGVIGDLGQTVDSNQTLEHYIANPKGQAMLMVGDLSYADDHPLHDNRRWDTWGRFVEKSTAYQPWIWTAGNHEIDYAPEIGETTPFKPYLHRYELPYKASKSSSPLWYSIKRASTYIIVLSSYSAYAKYTPQYDWLQKEFPKVNRKETPWLIVLLHSPWYNSNNYHYMEGESMRVMFESWFVENKVDIVFAGHVHCYERSERISNVRYNITDGLSTPIKDASAPVYITTGDGGNIEGIANNFIDPQPSYSAYREASFGHAILEIKNRTHAYYTWHRNQDNMPVAADSMWFYNRYWYPEEEQSPHILN >CDP19118 pep supercontig:AUK_PRJEB4211_v1:scaffold_353:33780:39246:-1 gene:GSCOC_T00013428001 transcript:CDP19118 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDDAKLAEQECQELLKFGLIEISHSQWACQAFYVNKRSEQVRGKRRLVINYQPLNIFLLDDKFPIPNRFTLFTQISKAKWFSKFDLKSGFWQLGIHPEDRHKTGFWKCTPEQHVGHSVKDFPEENLSKTQVQQFVGVVNYVREFIPKASPERGPLTKMLRKKPPLWGPSQTQAIQILKKELQNLPTLHIPSNGKKILQTDANDKYWGAVLLEEDEQGTKHCCGFASGKFKVSEQHFYTSFAMEILAVKNGIKKFSFFLISHHFLVEMDMGSFPKMLNFKQNLVPNPQLLRWSAWFSQYSFDVKNIKGKKNIVADFFSRKKPLPQQALSTQVLSCLMFSPVSSEPPDIHQLIHGKKKILKESVINRN >CDP21350 pep supercontig:AUK_PRJEB4211_v1:scaffold_3530:813:1776:1 gene:GSCOC_T00004515001 transcript:CDP21350 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTEQTFFIASNERTPVQHGCIALWFKLAYSSN >CDP21351 pep supercontig:AUK_PRJEB4211_v1:scaffold_3530:1941:2120:1 gene:GSCOC_T00004516001 transcript:CDP21351 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPLDPQEKKASFSLFKVHDMIRTMNLLFESHDTHDIIHTICLLFEYQ >CDP21352 pep supercontig:AUK_PRJEB4211_v1:scaffold_3531:5616:8229:1 gene:GSCOC_T00001075001 transcript:CDP21352 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPCRRPLARRSVRRRPPCERRAADRTTPPNTTEARSSGVPRRTGDEHPTAARTPQPSRYRSTTRSQFRTARRRQILSPLLHDPNPSRHAPQSQSLSRSYGSIFVDFPYLHYSMRLEAAHLGDLLRISVRTGAKLRVALSRIFTVRVGITDTAAASGALRARVPISRLADSRDVQRSQRKENSSRIPRRLLRVHSGYPDEAHDNATHETPTLRV >CDP21353 pep supercontig:AUK_PRJEB4211_v1:scaffold_3534:525:5499:-1 gene:GSCOC_T00009957001 transcript:CDP21353 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIHIAAAILALVFTVVHASDPSPLQDFCVAVPDANAGVFVNGKICKDPKLVKPEDFFFPGLNKPGSTANPLGSNVTAVNVNQIPGLNTLGVSLARIDFAPYGLNPPHIHPRATEVLFVLEGTLVVGFVTSNPGMNMKNKLFTKVLNPGDVFVFPQGLIHFQFNQGHSNAVAFAGLGSQNPGVITIANAVFGSDPPISPDVLTKAFQVGNDVIKKLQSQFWWDNNYPMAFRFHIAAAILALVFTIVHASDPSPLQDFCVAVPDANAGVFVNGKICKDPKLVKPEDFFFPGLNEPGSTSNPLGSNVTAVNVNQIPGLNTLGVSLARIDFAPYGLNPPHTHPRATEILVVLEGTLLVGFVTSNPGMNMKNKLFTKVLNPGDVFVFPEGLIHFQFNKGHSNAVAFAGLSSQNPGVITIANAVFGSKPPISRDVLTKAFQVDKNVVKYLQSQFWWDNHYYP >CDP21354 pep supercontig:AUK_PRJEB4211_v1:scaffold_3534:7267:8212:1 gene:GSCOC_T00009958001 transcript:CDP21354 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKERFLENLFLRNGAAVWCISFRKIQGQGLTILGDLVLKDKIVVYDLAGQRIGWANYDCKFPYQEHFPAVGLIFTVPSIHHYLIGLKGETWIKSEIVFSDTDTLEIQFLVQDSQNLGGLGFNMGIVTLTDWHRSNLI >CDP21355 pep supercontig:AUK_PRJEB4211_v1:scaffold_3541:3:2063:-1 gene:GSCOC_T00002583001 transcript:CDP21355 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKTVSTFLLVLLLFPSFHPKCGASASAEEAAVHLKWKASFLKKNNSFLTSWNLQSGNAKNSSILPCTWAGISCIDGSVNRLNLSDWSIKDSLYDFPFSSLSNLEYLDLSFNQIFGSIPKQIGNLSKLIYLDFWANELSGKIPPEICNLRNLSHLDFESNQLSGPIPVAMGNLISLQFLYLCRNNLTGAIPNSIFKELGDLKFLTDMELGDNQLNGSIPISIGNLEVLSLQNNQFSGPIHPTFGNLNRLVDLRLFHNHLSGPIPVSIGNLSNLENLSLGENQFSGTIPQELGDLNKLVALTLLRNQFSGPLPELLCQSGILQNISVPENMLTGPIPKSLQNCSSLVRALAKNNITGGIPPEIGNLTQLHTLNLSLNYLSVEIPREVGKLASMLKLDLHDNQLTGSIPQELGVLMEFLDMSTNSLNGTLPELLGDLKHLFHMNLSNNVLSQKIPLQIGKLTQLSELHLSQNLFTGEVPSEFQNLQSLGTLDLSQNNLSAFVNLTLEEVKGNKGLCGNITGLRACESSRLIKKHVKDKSKELVLIIVLPLLGSFTLLGALFGALRLHDRRKQNSRAEDMEVNKGGLF >CDP21356 pep supercontig:AUK_PRJEB4211_v1:scaffold_3548:3149:5878:-1 gene:GSCOC_T00007718001 transcript:CDP21356 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSIHQRQKFVYPSMHLGWYFLQEIPPDICNLRNLTFLLLARNQLTGPIPPAIGNLISLQFLSLSQNNHTGAIPKSLGNLTNLIVLYLRENQLSDSIPKELGDLNFLTDMIISQNQLNGSIPFSIGNLSNLRTLFLRENQFSGTIPQELGKLNKLVVLELDHNQFSGPLPEVLCGIPLEIGNLTQLHALDLSSNYLSGEIPRAVGKLASMLKLDLHDNQLAGGIPQELGLLMEFLDLSTNSLSGGIPFEFQNLQNLGTLNLSQNNLSGLIPKALAELPGLLHVNLSFNNLEGPIPSGRAFEDMEVKKGGLFAICAFDGKALYKEILKSTEEFSEIFSIGKGGYGSVYRAQLPSGDVVAVKRLHNMPNVAKDRSFLNEIRALTEIKHRNIVKLFGFCSNAQHSILIYEYFERGSLAKILSMEEEAKELDWQKRLKIIQGVAHALSYMHHDCSPAIVHRDISSNNILLDPEYEAHVSDFGTSKCLQKDSSNWSSLVGTYGYVAPEFAYTMKVNEKCDVYSFGVLTMEVIKGKHPADLIAHLMSSKPKEIELRDMLDQRLLYPNQEIEKILISIHKLARECLHVDPQCRPTMLFISRLAC >CDP21357 pep supercontig:AUK_PRJEB4211_v1:scaffold_3552:2274:4485:-1 gene:GSCOC_T00004004001 transcript:CDP21357 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDKVSIASLLVLVLVLAGLATSYEATNNHHCDPSSCGDIRNISYPFRLKQDPIHCGDPSYELACENNRTIVNLFLQKYYVQEIIYEKYFMRVVDPGLDKANCSSFPINTLEYDEQLMADNPKNKYYGIFETNIPVLFISCPAPVRSPFFSDIMAFCRNHMSSNFSSPTNNYPYLLVGDHKVSVLNDSCAVNMAAWVTEDFDGRKAYTSLAGLYDALAYGFLLDWHGIYCQQCSSGFCYRDVNDSIRCSPEYWLAAISRALGILIGMCLAGRFLLGVPFLFALIIYKIRRSHLSMYENIEDFLQSPNNLVPVRYAYSDIRKMTNNFNDKLGEGGYGTVFKGKLRSGPLVAVKMLDKSKANGQEFISEVATIGRIHHANVVRLIGFCFEGSKRALVYEFMPNGSLEKYIFQKETETTSLSCEKLFDIALGIAKGIDYLHCGCEMQILHFDIKPHNILLDEHFAPKLSDFGLAKLYPTENSIVSLTAARGTLGYMAPELYYKNIGGVSYKADIYSFGMLLLEMAGKRKNLNPLVEQRSQIYFPSWVYDQLSKGNSIEMGDASEDERKMLKKMILVALWCIQMKPINRPSMNKVIEMLEGDGELLEMPPKPFQNPDEMPAPEAEDGGNDAEETTDFPQLQLDRVDSSNMSMDQE >CDP21358 pep supercontig:AUK_PRJEB4211_v1:scaffold_3553:8389:9630:-1 gene:GSCOC_T00003306001 transcript:CDP21358 gene_biotype:protein_coding transcript_biotype:protein_coding TIFLLVVVVGIFIRARPHTRSLENKPQEFTRNMFSAWSFDGKMVYENIIDAIENFDPKYCIGAGGFGSVFRAELPNGQVVAVKKLHGMDDGALRRPKDFANEIRALTNIRHRNIVKLYGFCSNVQHTFLVYEYLEGGSLMHLLSNDETAAKFEWIKRVSIVKDVANALSYMHQNCSPSIIHRDISSKNILLDSEYQAHISDFGTARILRPDSSHSTSFAGTYGYAAPGDFILSTLSASSSTSTVYDVLLKDIVDPRLSSPSKQESKQVTLVAKLALSCIEPNPLLRTTMKQVCVQLLKEIPSQFNVFPIVTIGQLLDLQMTNV >CDP21359 pep supercontig:AUK_PRJEB4211_v1:scaffold_3554:94:3979:-1 gene:GSCOC_T00005551001 transcript:CDP21359 gene_biotype:protein_coding transcript_biotype:protein_coding AQIKKCFAYCSIFDQDAELEQDLLVELWMAEGFLQPDPQNERMMEKIGCEYLRILLQTSLLEEVKEERRTWNLLGEVKEKRRTWYKMHDLVHDFAKSILNRNGSNQDRYLAVYSSERMVETINAKTSASLRTLFLKGGIADDMLSKFKYLHVLKLFGADVKELPTSIGKLIHLHFLDISDSMITTLPESLCKLYCLQTLRIGMLVDSFPKKMSNLISMRHLHYDADTGRDDDDDDDDDDDDDDDTGREIQMPSGIGRWTCLQTLKFFNIGRQEEGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELANLSKKPNLYRLVFEWGNRDRKSDNCDEDVLEGLQPDPNLKELHIRNFRGEQIPQWLVNSSTLVELHLVNCRELPALGQLSSLQRLYLRGLENTTCIGLSFYSMTLKILSLESMENLEEWKDAHEMRSTAGEVHAMDVFPVLEKLSISDCPQLTTIPTPSRFPSLDVLEIKWNCHVLLAEKVLSNIANLSSLELCGGHECIESLKLVRRPESSLSIDGCDSLPTDTLERLCLFPTLQRVELMGADNITTLRGMSCAACLERLEVRYCKKLRELPEDLYQFQALEHLKIVGCPRINSFGYPNPKNSFGQKGLLKSLERFSVDGCDALTRLPVEMFESCTSLRELDLSRCRSLVSFPLDLRRTPSLESFSLYECPNLVAEMPSGFGYLTSLRKVMIGRFSDYSAIEFDWAGLASSSSLRHVALFGMRDTKSLPHQLQCLTTITSLSLIGFGAIEALPDWLGNLASLDELILCDCQKLEYLPSTADMERLKLRRLEIEVCPLLTERCTHQSGSEWPKISNIPERAIYGRDLFRR >CDP21360 pep supercontig:AUK_PRJEB4211_v1:scaffold_3555:8812:9247:1 gene:GSCOC_T00000380001 transcript:CDP21360 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSYLFKCQRKKKSIPPNRHGNAPQIRKRVVKPSKVTKDMDTDQVSLFILVVHFFICLIVLQKRKLLFFKCSIHILMLLSFIDFSASRRTIVETCNFSIIGGVVLFF >CDP21364 pep supercontig:AUK_PRJEB4211_v1:scaffold_3556:7470:8357:-1 gene:GSCOC_T00011579001 transcript:CDP21364 gene_biotype:protein_coding transcript_biotype:protein_coding MCETIIHRPGFRILELFDKVIMLSGDIVLRDGPLDCLEERLKSTGHCIPQHVNILEYAIDVSESLPMEKSDVEKGDVKQDITDHVISTSILSNIQEKHLSYSNSSRGSYRISSYAIADTLVFVAFLLQLSPLFTTPLHWLVGLRRKIDGSLFLSGGLDGALNGKFFCSCISALAPNFISGMSLIAGHHGCILLFSRGTSYLTKIPKCWLFMHYLSLMKYPLECLLLNKYGGYKGRGKCSNLVVMLAFIVGYRLLCYLTLWYRSCRTRS >CDP21362 pep supercontig:AUK_PRJEB4211_v1:scaffold_3556:2128:5481:-1 gene:GSCOC_T00011577001 transcript:CDP21362 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALSCVGVILNKILPLAADEISRVWGVKKDLQKLAKKVEMMEALIFDAKCKQSTSKAVQLWLKRLRSIARDAEIVLDDFGYEVLRQKVENRKRDKVRNFFSSSNPISFRTGMANKIKNVSASLKEAYEEANQIGLHPAQLPMTSADHKQDRSTDPFEKDLPVISIVGMGGQGKTTLAQMVLKNDRVVKHFDNTIWVCVSDDFKVERLLNEMLQSLGGKSAETTNTEALVRKLQENLKGKSYLLVLDDVWNENREKWDGMRRRLLAIGGAPGSKILATTRSDEVATAMQTSRLHHLDILSDDHSWMLFEKLAFADGGARKTQYLADIGRRILKKCGGVPLAIKVIGGLLYSKKDGSEWLKLEKSEIWNESTNTEGGVMSVLKLSYENLPSLSVKQCFASCSIFPKDTEMEKESLIQIWMAQGLINDAKGGGGHLQMEDIGSDYFNILLRSSLLQAGYKNFIYGIKGCWMHDLVHDLSLQVSNNCFLNTKDGMVVSHEDEVMHLTAILSRGKVLKNIEGIPPNLQTLYYMGGDGIMLEDILERSRCLCVLKIHCEDVTHLPNAVSDMKHLRHLDISRTGITALPDSITKLYNLMTLKVSCLEEIPKKFSNLINLRHLEFSVVFVGRPPYLIPGIGQLANLRTLPYFVVSQDKGCQLEELEHLRNLRGELKIFGLENVSSFESAAKAKLSEKSSIQSLTLKWDDTNEDCDDDNINSVMEGLQPHPDLKSLAINGFKGSRFPSWMVAKDHLMVLLRNLVHLRLEKLGKCEQVPSLGDLPCLESLWMVSLHNVNRIGAEFYGLDINARRSASCSNRDGKPVTLFPKLSRFALCDMKSLEEWSDAMVPSDSSSSIKVFPNLQDLRISGLPKLAVLPDMENLTSVTGLRIWECGSLACIRNLNSLTSLESLILGDCPALLDASLDMNNPQSLRTLSISGCDKLNLSLSNNLEKFTSLEELTIHSRDPGSWPIMGLHSLANLRSLDLGGGFSDILDLDHFPWPHSITNLVSLEHLELRGWPKITSLPDQIQHLSNLRTLYIGKFEGLEVLPEWMGSLRNLGNLLIIKCSNLRQLPSAEAMRHLTNLSHLSINRCPLLAERCTKGSGAEWPKIAHIPHIYIHP >CDP21363 pep supercontig:AUK_PRJEB4211_v1:scaffold_3556:6527:7079:-1 gene:GSCOC_T00011578001 transcript:CDP21363 gene_biotype:protein_coding transcript_biotype:protein_coding MYALVTLEEDSAFLRYGFLSVDNAAAVRKEVAKQSRPHALSLVSSFGIPDAFLSPIAFNWLETNSWSSVQH >CDP21361 pep supercontig:AUK_PRJEB4211_v1:scaffold_3556:272:1090:-1 gene:GSCOC_T00011576001 transcript:CDP21361 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSDSSSSIKVFPSLRYLEIEGLPKLAVLPDMENLTSLVLLSIVECRSLACIRNLNSLTSLECLVLSDCPALLDASLDMKNPQSLRELTISGCDKLNPSLSNNLEKFTSLQRLTIHSDDPGSWPPLVLHHLANLRELELGGFSDDLDHFPWPHSTTNLVSLERLELRGWPKITSLPDQIQHLSNLRTLYIGKFEGLEVLPEWMGSLRNLGNLLIIKCSNLRQLPSAEAMRHLTNLSHLSINRCPLLAERCTKGSGAEWPKIAHIPHIYIHP >CDP21365 pep supercontig:AUK_PRJEB4211_v1:scaffold_3559:4147:7643:-1 gene:GSCOC_T00013255001 transcript:CDP21365 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYTAYFKCHHICRKDDGVHGVLLHHMTANGLPSVTFAIAAKETDEVRVSECPCFIVFGDARGITAKEMWHEMKEHGSFDYLIFEEMSMPSKPGSIVGVAIAASLSVPAETVCSVTFSLVCMLASVVLTTQMRTYRRYTKYYGASGNAASAIARDAIIEHENWESQIEAWQRPILEEKILPEWYRITLFNELYYLNTGGSIWTNGLPAVHSSSATQDKRFSLGRSFSDLKNTISHSNQSDTATNILARMCSTFEEIHSAMSLNSASGTNLLQSGEENVGQFLYLEGIEYHMCNAYDVHFYASFALLMLFPKLELSIQRDFAAAVLMHDPSDKRLLHDGSLVSRKVLGAVPHDIGMNDPWFEVNVFSLHNTDRWKDLNPKFVLQVYRDVVVTCDKKFAEAVWPSVYVAMAYMDQFDKDGDGMIENEGFPDQTYDTWSMSGVSAYCGGLWVAALQAASALAGEVGDKGSEDTFGSNFRRQRECMENYGMDRTLTMTIVVAVPVLQFKRISWLDSGMLVLVVYYL >CDP21366 pep supercontig:AUK_PRJEB4211_v1:scaffold_3569:52:1089:-1 gene:GSCOC_T00012522001 transcript:CDP21366 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPAPYPAKTDVFPMLMDFHIQNCPQLTAFPCSCKILDVGMCHNLTSIKRGYGTASVEKLSISFCDNLRELPDLDLFGSSLQLLRISLCPRLISLGVNGQKCPLPCLEELSIDNCEGLSTISEKMFQSLRSLSVMWCPNLVSFSLNLQETPSLEEFILEDCPKLIPHSFKGFAFATSLKRLSINSPFSSDVSSVDDFDWSGLRSASTLRELRLEGLPHTESLPHQLQYLTTLTSLSLHNFGGIEALPDWIGNLVSLETLELWDWDKLQSLPSEAAMRRLTKLTSVEVHFCPLLRQRYTSQRGIYLEEEISSDPVRFSYLKFTLIYMCINFLYLHLIDVSASHNW >CDP19139 pep supercontig:AUK_PRJEB4211_v1:scaffold_357:189188:197177:-1 gene:GSCOC_T00005389001 transcript:CDP19139 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPSLTRWTFQDFKLFYDTKFGRKRIPETKEADANGRMVSDGDPSNVSSNGNGHLKSKSDLAIYEEYQNQDPRRPTYSNGVPSAVTDAPQRSLLPPFETAEMRNLAESLSRDIIRGSPDVKWETIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTWSEELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPDARRAMFEELLPSSSGEEKLPYDILVERTEGYSGSDIRLLCKEAAMQPLRQLILFLEDKQEVMPEDELPKVGPITPGDIEVALKNTKPSAHLHAHRYEKFNEDYGSQVLQ >CDP21367 pep supercontig:AUK_PRJEB4211_v1:scaffold_3575:5148:8024:1 gene:GSCOC_T00011934001 transcript:CDP21367 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAE1 [Source:Projected from Arabidopsis thaliana (AT4G30440) UniProtKB/TrEMBL;Acc:A0A384LBP5] MPSLEEELFPSTPGKFKDRGGAHSMNRQFYRCFASTSTMFLWALFLIALTASYLSFQSFVDSGSRYFSSAWGGHHWEKQVKSSAQIHRANGFSVLVTGAAGFVGSHVSLALKKRGDGVVGFDNFNNYYDPLLKKARKALLNSHNVFIVEGDVNDAKLIAKLFDIVEFTHVMHLAAQAGVRYAMENPHSYVHSNIAGLVTLLEACKNADPQPAIVWASSSSVYGLNEDVPFSESDRTDRPASLYAATKKSGEAITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTKGILQGKPITIYRGKNRVDLARDFTYIDDVVKGCVASLDTAKKSTGSGGKKRGPAQYRIFNLGNTSPVTVPMMVGILERHLKVKAKKNYVDMPGNGDVPFTHANISLARRELGYKPTTDLQTGLKKFVKWYLAYYGHNHGKSVKL >CDP19140 pep supercontig:AUK_PRJEB4211_v1:scaffold_358:134598:143021:-1 gene:GSCOC_T00012237001 transcript:CDP19140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MELIGEEDLKAALLLHPKGVGVPVSHTNRCGDEVKIRTIVFKVIGMTCSSCATSMESALMKLNGIKSVMVSPLQGQAVVKYNPESISAKLIKEAAEETGFQVDEFPEQDIAVCRLRIKGMACTSCSESVERALLMVDGVKKAVVGLALEEAKIHYDPSITNTDLITEAIEDSGFGANLISSGSDLNKVHLKLEGISSQDDLNVIRCSLEYLEGVNHVEFDIKEHVVSVSYEPDIIGPRYLIQCIQEAGKGLSSYQASLFTPPRPQDKERRHEVQMYRNQFLWSCLFSVPIFISSMVLPMLPPYGNWLEYKVLNMLSIGLLLRWILCTPLQFIIGQRFYAGSYHALRRKSANMDVLVALGTNAAYFYSIYIIIKALTSESFEGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLADLAPDTAYLLTMDGDGNVLSDVEINTQLIQKNDILKIVPGAKVPVDGVVVDGQSFVNESMITGEARPVAKGPGDKVIGGTVNENGCLVIRATHVGSESALSQIVQLVEAAQLARAPVQKLADQISRFFVPTVVVAAFTTWLGWFISGEAGIYPKWWIPKAMDAFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGEALEKAHKVKVVVFDKTGTLTVGKPAVVSAVLFSNISMEEFCDMAIAAESNSEHPIGKAVVEHAKKFCLNFGTQKECLTEVKDFEVHTGAGVSGKVREKTIFVGNKRLMRLFNVALGGEVEAYISENEKLARSCVIVAIDGTVAGAFAVTDPVKPEAARVVSFLHSMNIVSVMVTGDNWATATAIGEEVGIQKVFAETDPIGKADKIKELQLKGLTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADVVLIKSNLEDVVTAIDLSRKTMLRIRLNYVWALGYNVLAMPIAAGILFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQSYEKPLKVQAV >CDP21368 pep supercontig:AUK_PRJEB4211_v1:scaffold_3580:3514:5756:-1 gene:GSCOC_T00006600001 transcript:CDP21368 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDVLRMNGGIGDTSYANNSLVQQKVILMTRPITEAAITDLYCSLFPKCISIADLGCSSGPNTFLAVSELIKTVDNKRKILGQKSPEYHVYLNDLPSNDFNTIFKSVPRFQENLKMQMESEFGPCVFAGVPGSFYQRLFPAKTLHFVHSSYSLQWLSQVPELEEVNKGNIYMACSSPPSVIKAYIDQFKKDFSTFLSCRAEELVTGGRMVLTILGRKSEDPCSKDGCYIWDLLALALKQMISEGLVEEKKLDSFNIPQYTPSPAEVRSLVEMEGSFTVDRLEATEIHWNAHDKEVFSRYKKILSDRMSKEKTQFINVIVSLAKRA >CDP21369 pep supercontig:AUK_PRJEB4211_v1:scaffold_3583:3:4458:1 gene:GSCOC_T00005794001 transcript:CDP21369 gene_biotype:protein_coding transcript_biotype:protein_coding RSLPKSLCKLYNLQTLTIDDGGLEGGFPKRMSDLISLRHLNYDHGDAEFKMPMQMGRLTCLQTLEFFNVSQERGHGIEELGTLKYLKGSLHIRNLGLVKGKEAAKQAKLFEKPDLSRLVFEWESGDRKSDNRDEDVLEGLQPPPNLQRLRIQYFMGNKFSQWLINLSKLEALEIVDCKRCSELPSLGQLPSLKRLYLIDLDNIRFIGDEFYGSSARRRKFFPALKLLYLRGMTNLVDWKDADQVRSTVGEAEADVFPLLRYFRIQRCPQLTALPCSCKSLYVENCDNLTASVEELRIDSCDNLRELPDLDLFGSSLQRLTIASCPRLISLGVNGQKCPLPCLKELSIADCAELTTIPDKMFQSCRSLRSLEVMCCPNLVSFSLNLQETPSLEKFVLDYCPKLITHSFKGFALATSLRELSINRLPHTESLPHQLQYLTTLTSLSLANFGGIEVLPDWIRNLVSLETLWLWDCEKLQSLPPEAAMRRLTKLTRVRVYGCPLLRQRYTPQRGIYLEE >CDP21370 pep supercontig:AUK_PRJEB4211_v1:scaffold_3586:2383:4209:-1 gene:GSCOC_T00000981001 transcript:CDP21370 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGTDQWKKSLELSYEHLPYHLKACLLYFAAFREDEKIGAKSLMRLWIAEGFVEIIEGKRSEDTAEEYLMDLIGRNLVMVSKSRSIGGVKTCYIHDLIFEFCKGEAKEKKFLQVLRGYDELSTFNEPPNLPRLSICSSGEDFIKSRLFCPHLASLLFFDAIPGYHKFELFNISFLFCIYKRLEVLNLEGINLRLKELPAEVESLLCLRYLALVAERMKFIPPSIAKLSHLETFRLNSDEIVSLRDSIWNMKKLRHVYVRGGVVIRLPSNDNVVENLSTLPNLDTLSLLNMSRLECLESLTWSGNYSSGSWEHVEPPFPMNLKKLSLGNLGLPCSKMSLIERLPNLEVLKLRDRTVEGQRWELMEGGFPKLRVLTLEEVEVAEWIETDPNSDNYFPCLQQLKLQLISKLKMMPACLGSISTLETINVSYCGDGVKSFIEGHQLVQYLGVHATVVFPLEIVIGHPFF >CDP21372 pep supercontig:AUK_PRJEB4211_v1:scaffold_3595:5993:8142:-1 gene:GSCOC_T00012723001 transcript:CDP21372 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIISSFFFLLGQRSALEVVRLLAQKTKEVEFEFFKYVKEQKLVELAGLLLVAHEKVMPGLEEHMKIRNFVLKEAAFLNLQEITSLYGSGDEKSLQEVKKKKVEMEAILLLLEVFDRIGDKLSAYLQIVRKRVREEYHAKEIGWILEKAGFSVKLELFDETSRGIIEHEWYLPFLGDTGAEDTFYILDRIKCLESHKMALGYRKLPSICGTPNQQVVASSSSCSQWSSASALKSFHTFHPVRASGRCSGSATWENKACNWLWDLPCKRRLLRDSQDLPSANRVLNMQYGKVWACVVATLKRGTRLI >CDP21371 pep supercontig:AUK_PRJEB4211_v1:scaffold_3595:1880:4531:1 gene:GSCOC_T00012722001 transcript:CDP21371 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVVAWSDGSLTEIRVRHCSNRSLSLVAERCPNLQVLSIKSSPHVTDEVIAKIASGCPNLRELDISYCYEISHESMALIGRHCLKLQVFRRNLMNWLDPSQHVGIVPGEYLDACPQDGDADATAIGKFMPHLLHLELRFSKLTAEGLVLISEGCSDLEYLDLSGCANVTSRDIANAASSLKNLKTMKKPNFYIPRSVFHTERYGHWRLYDERFQTDVFRI >CDP19142 pep supercontig:AUK_PRJEB4211_v1:scaffold_360:24482:26360:1 gene:GSCOC_T00007023001 transcript:CDP19142 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSSSTHLKSMVGLLRGNSNFSTSTTPKMKAFVPTADLVLPQDVKARAKKGDFAPMFMAIGMIGLSTSLGMYIALKELRSGPNVYVKKSRRETIPEVVEPEHVLEESEKFMKRSIFRKLAHINDKAREQVIPNPIIGDISSRPPRAETLKDMGVDPIPH >CDP19141 pep supercontig:AUK_PRJEB4211_v1:scaffold_360:8116:14641:-1 gene:GSCOC_T00007022001 transcript:CDP19141 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARELRKEGHIVVVHEQNHDVGGQWLCHPNVEDEDPLGRGAILKDHSNIYASLRIFSPRKIMGFTDFPFRVTEAGDSRRFPSHKAIGFFPREFCEWFGLRDMIRFNTRVDYVGMLNYSECNGHGLKWRVKRREEEGCFDAVIVASGHYSQPCLPNIKGLEAWKGKQMHSHVYRIPEPFHNEIIVSKRLPLSYTPSSPTPVGVVVVVGNSESGQDLPMLLVHVAKDVHFTLKDRGINFYLSDIVISCMSLSKEIESLHEEGRVSFIDGSLVFANRIIHCTGVKISLSFKLHSYSFPFLDTKGAVSVDDNRVGPLYEHTFPPPPQKQNGSFSLLRWYTQKGKILEDYLLIITNDHIRVRVVI >CDP21373 pep supercontig:AUK_PRJEB4211_v1:scaffold_3603:8432:9571:1 gene:GSCOC_T00002610001 transcript:CDP21373 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIRRYSSACFDPLPSTLSSAYTASILSLLKRCKTIRSLEQVHPHIIHKGCEQNPFLIIQFLSLCIALSSDLSYPIAVFKRAIHPNIYLWNTLLKGYCRHSSLVNSFSLFNRMKKFTNASPDEYTFPPLINKCANVLALREGQVLHRSIVRCGIETDVYVGSNLVDFYGKCKEIKCSRKMFDIMPVRNEVSWTAMLVGYLNVGETLEAKNLFDEMTNRNLASWNAMISGFVRFSDLRSARELFNEMPEKNAISYTTMINGYAKAGDMVSARMLFEQSDEKDIVSWSALISGMQCRSVKPDEFTMVSLMSACSQLGYLELAKIIDSYMHQSSFDLKRVQVAAALIDMNAKCGNMDRASTLFEQM >CDP21374 pep supercontig:AUK_PRJEB4211_v1:scaffold_3610:6761:7202:1 gene:GSCOC_T00004251001 transcript:CDP21374 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNKIGAMAILFCGMILLGANVEVTAAVRPGPEQICPLYCIIGIEYVDCDGEKTYTDCTNCCFQNGCTLHFKDGTSYFCTWPAKHELGFGKGVYKI >CDP19168 pep supercontig:AUK_PRJEB4211_v1:scaffold_362:2785:5683:1 gene:GSCOC_T00009022001 transcript:CDP19168 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNSSFPWLMVISRSLKENGLSNLVKVVLTPTHLAIVMEYAAGGELFAKICSAGGFNEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRLKICDFGYSKSGLLHSQPKSVVGTPAYIAPEVLSRNVKIADVWSYGVTLYVMLVGAYHIDLIGFLLSMTIHSNSIVYACNGIFLTEIDSNAYDTFLSMQYKCY >CDP19169 pep supercontig:AUK_PRJEB4211_v1:scaffold_362:70462:81965:-1 gene:GSCOC_T00009026001 transcript:CDP19169 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLPNIFITRKKSGSRMGSRDSPAAKPKPRLERRNALKNIDYELPSTSSEENSSKTSFRIEGNGEGEYEVIFRSLGLSGPEDFAIPTDAWEAMKVRSSSDVLPLSLTNPQILGIDFGCSNSSSAISCGDQIVEKIDCRLPGNVPIDVNGGSVGAAPDVVCELLYRLGDSVRITDAISSRIDEQVKLNHARDGDGNLNGNEGVEIIGRGGGGGGIKGVRPPALAPPPAMSLPVIDNGCSTWDILRSFAPEDDRVPFRFEVSDAVTSDDEGGKGYLEEEEEEVRDVVVRRTITGENGMLSGSCSFTTTSIDDDSSSTTTEPMSNISPNGRFRRIITYWEKGDLLGRGSFGSVYEGIADDGFFFAVKEVSLLDQGEEGRQSIYQLEQEIALLSQFEHENIVQYYGTDKDDSKLYIFLELVTKGSLLSLYQKYNLRDSQVSAYTRQILHGLKYLHDRNVVHRDIKCANILVDANGSVKLADFGLAKATKLNDVKSCKGTAFWMAPEVVNRKTQGYGLAADIWSLGCTVLEMLTRKYPYSRWEPMAALFRIGRGEPPDIPETLSGDAREFILKCLQVNPIARPSAAQLLDHPFVKRALPSSCLASPYHPSRRV >CDP19170 pep supercontig:AUK_PRJEB4211_v1:scaffold_362:192665:201405:1 gene:GSCOC_T00009028001 transcript:CDP19170 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEPALVPEWLRCTGNVVGGSPSSHHFSSSLHSDVSSSSAWNRCSRSNSDKDSQRSPFLERNHSSNCRRSSGNNGSAKHPYSSFNRSHRDRNREKDKDRSTVGDFWDRDSSDPLESILTSVEKSSLRRSQSLVSRRTVELLPRKSEELKNGVNLNQYIANGSHLGGSNISGVHKVAFEKDFPSLGNEEKQGGSSIGRLSSPALSTAVQSLPVVNSGLLGGEKWTSALAEVPSVIGSNSIGNLSAQQSAIATPSASSTAMAGLNMAEALSQAPPRARLTPQVPDKTQRLEELAIKQSRQLIPMTPSMPKALVSSSSDKSKQPKAAARVNEMVVTPRNMQQQQQQPIYSPQLPNQSRAGQVRSDASNASHAGKFLVLKAAKENGANSNAKDASSPTNNSIRKVAVSQIPLSAITPIALTSPTNPKASTLDKKAAALSLNSRPTAEKKFSLSQAQSRSDFFNLMRKKTLRNTSSTISDSVSVISSPCAVKSEENSTEANSAPISPLVNENGSQMITDGDSHSSGDQAQSFVDAGERNLYLNGALYPDEEEAAFLRSLGWEENGEDVEITDEEIVAFYEEYQKFMPSLKVWRGIQPKCTMLSESHSSNSVAASSESGSVASEYEA >CDP21375 pep supercontig:AUK_PRJEB4211_v1:scaffold_3637:1:1557:-1 gene:GSCOC_T00008172001 transcript:CDP21375 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTCLQTLEFFNVGQERGRGIEELGTLKYLKGSLRIRNLGLVKGKDAAKQAKLFEKPDLSYLAFEWESGDRESDNREEDVLEGLQPHPNLQRLQIRYFMGNKFPQWLINLSKLEALWIEDCKRCSELPSLGQLPSLKRLSLTKLDNIRSIGDEFYGITANEEEGRSRGSGSSTRRRKFFPALEILWVIDMGNLVEWKGVDQVRSTTGEAEADVFPMLMDLRISGCPQLTALPCSSDIPMLMDLRISDCPQLTALPCSGKSLHVENCRNLTSIKMGYGAASVEELRIRNCNNLRELPDLDLFGSSLRRLTIKRCPRLISLGVNGQKCPLLRCLEELSIDNCEGLTTISDKMFESCRSLRSLSVWCCPNLVSFSLNLQETPSLEKIFLFKCPKLIPHSLKGFAFATSLRTLTINSPFSSDDSSVDDFDWSGLRSVSTLRELRLQGLSHTESLPHQLQYLTTLTSLSLENFGGIRVLPDWIGNLVSLETLELRDCDKLQSLPPEAAMRRLTKLTGVRVYR >CDP19171 pep supercontig:AUK_PRJEB4211_v1:scaffold_364:129804:138337:-1 gene:GSCOC_T00006761001 transcript:CDP19171 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSCSEGNHRLLVYEFVCNGSLDQHLSKAAKVPLTWEQRIKIALGAAKGLEYVHSHNIIHRDMRPNNILITHDYESLLGDFGLAKTQHGTNSDDSFVGTLGYMAPEYAQTGKVSTKTDVYSFGVVLLQLISGRRTTDKIPGGKSLIGWAKPLLKERNYPDLIDRRIIDSHDFLQLFRMIRLTEKCLCSDPSKRFTMEEVNYNESFQTSPYQNMVYLQ >CDP21376 pep supercontig:AUK_PRJEB4211_v1:scaffold_3641:2167:2755:1 gene:GSCOC_T00001693001 transcript:CDP21376 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVIVEALLSNEREAQILGSFLGSLRCFVSKFMKPLKRHSALLCVAFHNERNKIQIANSGAIPVLLEIIQCQKESLIDLAAAALLVLSSCSANKLAIAASGAVRILVGSLNSQLTEEPGFQNLSVQAKLDIISIFHNLSTHP >CDP21377 pep supercontig:AUK_PRJEB4211_v1:scaffold_3642:5513:7137:1 gene:GSCOC_T00012787001 transcript:CDP21377 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGFARKRGLKRVNSSKPFKKFKNLKLNPGAEKLVPKQPLENDSGEPVLHFSFLLRIFFVISDFFFLSFLNVLEDWSDENSEAELETKEKEEVEEDIVFNRKPTMYDNLLKRLGSSSQLIANAIKQR >CDP21378 pep supercontig:AUK_PRJEB4211_v1:scaffold_3643:5032:8827:1 gene:GSCOC_T00006099001 transcript:CDP21378 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALALGSSALGAFLQVALDRMATKEFFNLFRGRENDGELLEKLKLNLQIVGDVLDDAENKQTGSRSVKGWMDKLHDTIYEADDLLDEINTEALRLKKKMQEMEKMVDKLDWFKQQIDLMNLRVVEQKRQSCQTPSTSLVDKTTVYGRDADKEKIIDMLLSESATGVNDSVIPLVGLGGIGKTTLAQLVYNDERVREQFSTKAWVCVSEDYDTTRITKELLEGFDIRLSGASENLNSLQVQLQLGLTGKKFLLVLDDYWNRDFHDWDKLKVLFKGGLQGSKIIVTTRHKDIAMMMAKEESIHELGVIQKKDCWSLFEKYVGNQSPEHRKIGKKIVKKCKGLPLAVKTIAGSLRSKTHIEEWEGILSSDIWTQTVEKDGILPALRLSYSHLPSRLKRCFACCAVFHKDYKFSKDEIIHLWQANDLLEPPGENRGIEQIGEEYLGELRFRSLLEQSTDGLFLMHDLVNDLASAVSGRYCYRLEDNDPEHGKIGSISYISYHPSSFRDTFNKFELLRETKNLRTFLPLSKRRGGKRLSHKFLYEMLPKFRSLRFLSLLSYEIFKLPDSISDLKHLRFLNLSSTLLETLPECICTLYNLQTLLLSDCKKLEELPVSSAKLINLSYLNISGTPLKKMPLYMGRLRNLRVLTNFIEGKDSGSMIDELGKFPKLRGRLFISKLENVCSGRDASMANLKGKKHLDGLTLEWNGAINDSEVVRDVLDNLQPHSSIKHLKIIRYGGTTFPDWLGNPSLNRLELLSLFNCENCFSLPALGQLESLQSLEIVGMSYIFDLAENFYGDVSAIKPFPSLKKLRIEKLPEWERWHMPEGEVFNRLEELSIIDCPKLIGELPRQLASLQSLEISGCGNLVQEGLPASLTKLYIWDCPLLKPRLEWERGQDWHKVAHIPCVAVDGQPIP >CDP19173 pep supercontig:AUK_PRJEB4211_v1:scaffold_365:133056:136231:-1 gene:GSCOC_T00003043001 transcript:CDP19173 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGQEKPKEDREGSPTESQQTVSDDDEIDYSIKPEFYDPNLDDKDELWVQKKRKGHTSDAVLSCPACFTTLCLDCQRSTS >CDP19172 pep supercontig:AUK_PRJEB4211_v1:scaffold_365:21047:21250:1 gene:GSCOC_T00003040001 transcript:CDP19172 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIIYELKSKNVDWVSVIFGFDDHKSLFIQTKKVNTLIRSVGTKKAYVRLSPDYVALDVANKIGII >CDP21379 pep supercontig:AUK_PRJEB4211_v1:scaffold_3651:6580:6876:-1 gene:GSCOC_T00012872001 transcript:CDP21379 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKDTLIGVLSIALFLCFQVPFAEEAAENITIPVNVGVVLDADTEIGKMGMKCISMALSDLYASHGSSYRTRLVPNTRDSKNTVVGAAAAGSIRSIP >CDP21380 pep supercontig:AUK_PRJEB4211_v1:scaffold_3655:3:471:1 gene:GSCOC_T00008709001 transcript:CDP21380 gene_biotype:protein_coding transcript_biotype:protein_coding SKFLRRDSSNWSSLAGTCGYVAPEFAYTMKVNEKCDVYSFGVLSMEVIKGKHPGDLIANLMSSKLEEIELKDLLDQRLLYPNQQVEKCLLCSLKLARECLHVDPHCRPTMLIISRLISTC >CDP19175 pep supercontig:AUK_PRJEB4211_v1:scaffold_367:59429:60734:1 gene:GSCOC_T00000468001 transcript:CDP19175 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMKTLIRKTITLEVESSSTIDNVKAKIQDKEGNPPDQQCLIFTDTSASSCFPNFSPINLPNFFPQLPFLLPLRDSFLVNGVAFKKTFSYSGFEQQPKKFVNPKILLLNIELELKSEKENVEIRLSDPSQYQSIVDAEWNIIYDKLDKCVKSGAKIILSRLAIGDLATQLYFNRDVFCAGRVIEEDLHRVATATGGTI >CDP19174 pep supercontig:AUK_PRJEB4211_v1:scaffold_367:45547:45874:1 gene:GSCOC_T00000466001 transcript:CDP19174 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVPPLLRLDKNNQQDYDPLVVSLEPYHCGKEKLQSAEDFKFIALEMFVADSGHDVLFSISRYFNL >CDP21381 pep supercontig:AUK_PRJEB4211_v1:scaffold_3670:420:3124:-1 gene:GSCOC_T00000739001 transcript:CDP21381 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKTDTEGKVETEKMNKEFAMTYDVHSKMLEDLVGDHFHLGFYDSSSVIPGSDVNSAQTRMIEAALRFASVSEDPSKKPRNILDVGCGIGGSTRYLASKYGSQCKGITLSPFEAERARVLTAAQGLESQVCMNSPCYNFERVGRSYQLLNHPDRSLFLCKCTMSENFHSSIS >CDP19176 pep supercontig:AUK_PRJEB4211_v1:scaffold_368:18370:19580:-1 gene:GSCOC_T00003973001 transcript:CDP19176 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQVFLLISTFVLKTVHSLNPSAWSAAHATFYGGNDASGTMGGACGYGNLYSQGYGTSTAALSTALFNNGQACGACFEIKCVNAGKWCLSGSIMVTATNFCPPNNALPNNDGGWCNPPLKHFDLSQPIFQRIAQYEAGIVPVQYRRVPCRKSGGIRFTINGHSYFNLVLVTNVGGAGDVVSLSIKGTRTGWIAMSRNWGQNWQSNSYLDGQALSFKVTTSDGRSLVSNNVAPPKWSFGQTYSGRQF >CDP21382 pep supercontig:AUK_PRJEB4211_v1:scaffold_3689:102:446:-1 gene:GSCOC_T00005887001 transcript:CDP21382 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFGVTYEAAFICSGNETLRLCNMTSQPLSEIEGKDSSPFSDTVFDVVKNMAVPVTVLHITPLSAFRRDAHAGMWSDKPNMSDYNHRCLPGVPDVWNEILFYLLPGFKDASSE >CDP19178 pep supercontig:AUK_PRJEB4211_v1:scaffold_369:165286:174288:-1 gene:GSCOC_T00006635001 transcript:CDP19178 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSSRSCKRVFVFVVLLASSAAVALIEDGSLVNGDFETPPPGGFSPDAQFPDGPATIPSWKSNGTVELVESGQKQGGMILIVPQGTHAVRLGNDAEISQEVKVEKGSIYSVTFSAARTCAQLESLNVSVPPASQTIDLQTLYSVHGWDSYAWAFQADEDDVRVVFKNPGMEDDPTCGPIIDDIAIKKLFVPDKSKDNAVLNGDFEEGPWMFRNASLGVLLPTNLDEETSSLPGWIVESNRAVRYIDSYHFTVPEGKRAIELLSGKEGIISQMVQTKPNQHYRLTFSLGHAGDSCKQPLAVMAFAGDQAQNIHYTPDSNSTFQSANLNFTAKAERTRVAFYSIYYNTRTDDMSSLCGPVVDDVRVEKSGSRDIKLQGFRLTMCLFLHFFLLLLV >CDP19179 pep supercontig:AUK_PRJEB4211_v1:scaffold_369:176507:177199:1 gene:GSCOC_T00006637001 transcript:CDP19179 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEPITFGSHGMDEPAKGEKNKAAEVNFPKDAVDEWPAPKQIHSFYFVKYRLHEDQKLKVKLDQADTGLQKKNQARSQLIEKLRKLKADRAQKIGFLKGLNKENKQYRDLIDEKKKEREPLQQALGQLHGGRDTGSGICSSEEELNHRVSFDVLYFSSAIYMLLFPYPPTCFLLCLQSFAFHVLVFSET >CDP19177 pep supercontig:AUK_PRJEB4211_v1:scaffold_369:142619:144191:1 gene:GSCOC_T00006632001 transcript:CDP19177 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVTYCRKRKIVMASPNNYLYSKVFSQHGRELITSELAFWILSILSEEEFLPYTDRESVNDTFDNLVIKVVPMENLNGPGVALFWQGEGLLLIEIGDYDFYEENPGTAPFSEPETQFIWKLSVSFEPHVWVNVHSGMEVILNISGFCRYKFAPRFVFYKVSTYV >CDP21383 pep supercontig:AUK_PRJEB4211_v1:scaffold_3699:4986:6474:-1 gene:GSCOC_T00000230001 transcript:CDP21383 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALSKRLLLNYSSTKTGSTLSPFSSSLNSENAAVPKAPLHREYLTSPEFSKTGFFCRFLQQKRGFNQAAPTMLPEFLSLPVGEKLREKLRSINIPGDRLRFDPILPPSMAPATETQVGGISVADAKKILRSAQLEKLRLRLGEIPMNSISYSEYVKICGDACENSEQGLEFAKMLDDAGNVIVVGNVVFLRPDQVSKSVEQLISQSMARPNDPRRRELDQMEEQKAFIDQKAESLVRAELYCGLGILVLQTLGFMRLTFWELSWDVMEPICFFVTSLHFALAYGFFLRTSKEPSFEGYFQRRFRVKQRKLMKIHNFDSEKYNQLCRAFYPNNYGDQAWSKHVLAPINHARGAFFGSIHDG >CDP19183 pep supercontig:AUK_PRJEB4211_v1:scaffold_371:27644:27853:-1 gene:GSCOC_T00009227001 transcript:CDP19183 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNICGICCIACYFSLAKCEKFKPFVFNFQEIQIDELITHDLPFEDVNKAFDLMREGNCLRCVIHMPK >CDP19186 pep supercontig:AUK_PRJEB4211_v1:scaffold_371:167404:171762:1 gene:GSCOC_T00009232001 transcript:CDP19186 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 [Source:Projected from Arabidopsis thaliana (AT1G10170) TAIR;Acc:AT1G10170] MSFPVQRNRRDNRSRNRTTSPVQGARREWVLRGPAPIATTAAPTPTTAAIPPTNYSPAVAADDVIRNGNNGDQNGRSVPPANMTRNTSGARCIMRQHSNQRRERDKEKQRDHSREVKESKDLNLPLLVQEIQDKLMKGSVECMICYDMVRRSAPIWSCSSCYSIFHLACIKKWARAPTSVDLSAEKGQGCNWRCPGCQAVQLMSSKEIRYVCFCGKRQDPPPDLYLTPHSCGEPCGKALEKEVPGSGMAKEDLCPHLCVLQCHPGPCPPCKAFAPARWCPCGKQVITTRCSDRKSVLTCGQRCDKLLDCGRHRCERTCHVGPCDPCDVLVNASCFCKKKIEVVLCGDMVVKGEIRADDGVFSCSSICEKKLGCRNHFCDDICHPGPCGECDLLPSKIKTCCCGKMRLKEDRESCLDPISTCSQTCGKSLPCGVHHCKEMCHTGVCAPCPVLVTQKCRCGSTSRTVECYRTTAENENFTCDRPCGQKKNCGRHRCSERCCPLSNPNKSFSGDWDPHLCSMPCGKKLRCRQHSCHSFCHSGHCPPCLDTIFTDLTCTCGRTSIPPPLPCGTPPPSCQYPCSVPQPCGHPSTHSCHLGDCPPCTIPIAKECIGGHVVLRNIPCGSKDIRCNKLCGKTRQCGLHACARTCHPSPCDTPSGTSIGSRASCGQPCGAPRRDCRHTCTALCHPTGSCPDVRCEFPVSITCSCGRINATVPCDAGGSGGGYSSDTVLEASIVQKLPAPLQPVEGNVKVPLGQRKLMCDDECAKTERKKVLADAFGVTTPNLDALHFGENAVVSEVLSDLLRREPKWVLSVEERCKYLVLGRGRGGINAVKVHVFCPMSKEKRDIVRLIAERWKLSVNAAGWEPKRFIVLHVTPKSKAPARILGLKGCLASNMLQPPVFDPLVDMDPRLVVALFDLPRDADISALVLRFGGECELVWLNDKNALAVFSDPARAATAMRRLDQGSLYYGAVVVPHHGGASATAVAAAAAANAWGATGPSKDGGAVTALKTNPWKKAVMQEPDGRESSWGAEDWSDNSVDVHSSIRKGNEVPISATNRWSVLDSENSSSTSSGRNDDSRNKPGTPLVSSVKPSSSSSVLPGQPQGAGINEISDVVDDWEKAYD >CDP19184 pep supercontig:AUK_PRJEB4211_v1:scaffold_371:31261:31787:1 gene:GSCOC_T00009229001 transcript:CDP19184 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPHLVLSPFLSISLTFPFLLSSLSSSFLQYSIIAAPLHLVLSPLFFFFFSCKPFSHPLSVALPCSTDCKVDWWWSGGGSREIGEARILKGWE >CDP19188 pep supercontig:AUK_PRJEB4211_v1:scaffold_371:175497:191052:-1 gene:GSCOC_T00009236001 transcript:CDP19188 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLQLSNWIEIDFKGPEATCLLVHALGIIGRSSSCPTCTLCLMTAMWSATRLGKIQLTQL >CDP19185 pep supercontig:AUK_PRJEB4211_v1:scaffold_371:43087:51402:-1 gene:GSCOC_T00009230001 transcript:CDP19185 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSISTPAVITCKAAVAWGAGEALVMEEVEVSPPQPMEIRIKVVATSLCRSDVTAWLSQAHIHIFPRIFGHEASGIVESVGQGVTEFEPGDHVLTLFTGECMKCKHCTSNKSNMCQVLGLERQGLMHSDHKTRFSIKGKPIFHYCAVSSFSEYTVVHSGCAVKICSTAPLDRICLLSCGVAAGLGAAWKVADISEGSTVAIFGLGTVGLSVAQGAKLRGASRIIGIDINPEKSEKAKAFGVTDFLNSSECKEPIQQVIKRITDGGADYSFECIGDTDMITTALQSCCDGWGMTVTLGVPKVKPVITAHYAFFLTGRTLRGSLFGGWKPKSDIPTLVDMYLKKVSCAFYYIIRLSKTHLY >CDP19187 pep supercontig:AUK_PRJEB4211_v1:scaffold_371:171934:173142:1 gene:GSCOC_T00009233001 transcript:CDP19187 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRSKARTLAGPVNDPAKLPMWNYDWSSTDLLIRFFFFFNLVPKYSPQAIFNDPFRRGNNIFVRAFLSIICDAYSPAGEPILTNEKQNAAKIFSSSEAYLAVLKHGIEQEYTLLQKEVKWPIGWPVGGYPGPQGPYYCRAGADKAYHDIVDSHYKASLYAGMESSKSTPCCRRKLNGLLDGQSEGILDRRCCAFVSFDVH >CDP21384 pep supercontig:AUK_PRJEB4211_v1:scaffold_3713:4746:7684:1 gene:GSCOC_T00010306001 transcript:CDP21384 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPCVEFALAKLDAFLTGELQLPKKVDTGIRTLRSELGTITAFLSAAHQRAVQDQQILDWVRKVQDAADDIIDILDLFDHHKAENGRALSVTRRRAYRIVPLFLADADVVGFEEDKDMLMAWALDMVDEHKVMFVVGMGGSGKTTLAKQVFEAVKQDFGCSAWISVSKSKKKLEILRNMLDQLCRCSSRAETAPAPQQQSSEHYINLIREYLLDKRYVIVLDDLWADDVWRSIMLALPRRNRSRIIITTRRGDIAYSLKDRSVAVHPIQQLSLEKAKELIHRIAFPGSRICPPALATLSNEILGKCEGLPLAITEIGHLLSTKGEREPEWKKLRDSLASELTSHGRLANIAKVLILSYDDLPYHLKNCFLLMNTFPPNHPIQRTELIRLWIAEDFITEGNNGKELEDLGEEYLNELIQRNLVQVWQMDIDGRPRTCHVQNIIHEIVLSQLQDENFCEVYPDQGTFDISKERVRRISIHKGNLGQLCPNLRARALLKFGRSAPCQHSIPIGYSSLKMLRELHLEGANLDMFPADIEELLLLRYLCLRNTGIRSIPKSIGKLKHLETLDLKQTLVRTLPKEICHLSKLRYLLVYRYDIEDYVAFNTIKGFEVAGEITRSANLRKLLELRRLGIMGLRKEDGRILSETIQMLRNLHSLNVAAENEAGVLDMPEISHPPPLQRLYLNGRLERMPIWISELHDLVRLRLKWSQLDQQCNNPINILQDLPNLLELQLLDAYNGDQLEFNAGKFQKLKILELELLRQLKMVIMERNSLPCLQTLIIRRCGQLGQIPVGIDDLSRLKEIHLYDMPKNFVSMLEKNGGSLYHLVQHVPLKRSYYAQNGGRWDVKDLSD >CDP19190 pep supercontig:AUK_PRJEB4211_v1:scaffold_372:117790:117954:-1 gene:GSCOC_T00006060001 transcript:CDP19190 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDLIEMKFRLADGSDIGPSKFSPSTIVLSLKEKITSLWPKGYSLLKSTQKC >CDP19191 pep supercontig:AUK_PRJEB4211_v1:scaffold_372:165037:166860:1 gene:GSCOC_T00006062001 transcript:CDP19191 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPGFRFHPTDEEIITHYLTKKVVDKNFGAIAIAEVDMNKCEPWDLPKKAKMGEKEHFFFCQRDRKYPTGMRTNRATGSGYWKATGKDKEIYKGKGCLVGMKKTLVFYRGRAPKGEKTNWVMHEYRLEGRFSYYNFPKGAKDEWVVCRVFHKNVGIRRSPLRDLTRADSFLDHLLDSPSSLPPLMDIPNSSNRPGISSFSHEEDQEFKGATTSSGKSSDHGTLPSYFSTNLNNSTLQMQQDLNTFLMPSYNYAFKTSYEAGSSNHDPSSFFRPPISVPDANFHGYQNESYSSFSGFEATPDHQANSTTLSAPNQQGISDPGKQCKMEQFSSTNSMVSPSQDTGISNDMAPEISSVVSKINVESDKCMKDLEGISVDPNMSDLDSLWNITDFTTEE >CDP19189 pep supercontig:AUK_PRJEB4211_v1:scaffold_372:10660:11710:1 gene:GSCOC_T00006056001 transcript:CDP19189 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVGNDILEVPTKFQINRSSVSCEKTELPLLSWFTQNWRIATVIGEFGLECFRISCLGLLMKCNPVSKLSGGFGISGFGLV >CDP21385 pep supercontig:AUK_PRJEB4211_v1:scaffold_3739:4899:6995:-1 gene:GSCOC_T00007778001 transcript:CDP21385 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLCDASSKKTQVRKTLSSSKKIPVKSIKQSPDGDIIDCINIYHQPAFDHPLLKNHTILVSLSIPPKSKTREKSLQDKKPIAQLWQLGGRCHEGTIPIRRNQKARYAKKEHRNFPLLAGFSNHEHAFAYVQSNKYLGAKATINLWQPQVQGSGEFSLAQIWVLAGANSALNSVEAGWMVFPSHFGDSNTRLFNYWTRDRYQSTGCYNLDCPGFVHTSNSIALGATISPVSTYHGAQHEIILHIFKDPKKNVWWLQYGNDDVIGYWPASLFKDLADSASLIEWGGEIINNAQGGQHTTTQMGSGHFAEEQAGGASYFKNLQVVDQSNTLVPPGDITTVAEKPNCYTIVSGKSDDAGDYFYFGGPGRNPKCP >CDP21387 pep supercontig:AUK_PRJEB4211_v1:scaffold_3748:5064:6484:1 gene:GSCOC_T00006625001 transcript:CDP21387 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHYNLSEFGSIYKSTGLFGDSLQECLYIGYLKVQFEEFKNEILLIAKLQHKNLVRVLGYCLEGEKKTLIYECVPNRSRDLVVCRSRLEGLYFNFKKYFKIYLKNPSKNIYSKKFFVYTVIVQRLLYLHEDTLLRIIHRDLKRSMLYQNSPSLDANIHICI >CDP21388 pep supercontig:AUK_PRJEB4211_v1:scaffold_3752:2366:7302:-1 gene:GSCOC_T00000002001 transcript:CDP21388 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGMVQDQNLEKQFEKQIGCMAGFFQLFDRHQLITGKKAYSTKRLPPSKVIDQGSENSAASSPAISRELSKPQALKHPATPKPEQSQIASVPELRSPELLPPKSPIPLPIFELKEGTRSSWKFCKEAPRLSLDSRATVDAKGSLHPREIRTSSAISSANAAGDGDDKQRRSPSVIARLMGLERLPDSNPDPEPAKKVELRRSASESRVSRDLFQYRCIDGNSNNYFFQVKQPNQQQHSVSQNANAAAIGNKCPYSKAARPVDHNINWYSTFPSNNANAMSQQPKALSRGGMVPSPWKAPPHRRSFFDTADVFPEPKQAATVSVCGDIERKLKMRGIDEPTKDLETLKQILEALQLKGLLHSNRPFEQRNLVYDRSFPSEGSPIAVMRPASPRMGNDSPASRYGVRRNSHMTSSGEYSPTVSPRREGSSDRNRSTRSPTGVRSESNARRPNNSIVKPKPLNVETQRRAAVANESTEKRRVCGVEAPKVSSRRNGCSPDHQAVVMNRLPRNRRSTGEMYHKEKITTVVTEDESCSISESTFSTSSQTDTERWKVEEYRGGKSLLERCDKLLHSIAEMNAADMQPSPVSVLDSSSLLFYKDESSSSSPSPVMKRSIDFKEDQSSEVDEETWGSVLFPVESKLEAAPEDYNDFVYISQVLLASHCLPEDSDIFLLLEEQQYLEGKDISKVSRLQRRLIFDVVAEILERNGHLPPWKAFSWSDSRTVKSSVTKVWSEFRRIRERDTAEEDLFDIIRGALEKDLAGDAINGWGECPVELSGAVLDIERLIFKDLIGETIRDLAAFGCKSITPRRKLVF >CDP21389 pep supercontig:AUK_PRJEB4211_v1:scaffold_3757:319:1245:1 gene:GSCOC_T00001161001 transcript:CDP21389 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLQNLEFLNLFGTTITELPVEILKLRKLRSLVVGRAGDYSNNFSVWGFKSPDGIGKLTSLEILGNIEVDGGKVVREIGKLVQLRQLSITKLRREDGKELLYSLSRLTNLRELYICSIKEEETLDLQHSVSPRLGFLTRLSLTGRLERVPEWVMSLQSLGTLFLQNSELSEDENAIDCLGHLPNLVALILSGAYEGETLCFKAGGFPKLKKLDLVQLKRLKWVSVEEESMPNLQHFVIAGCKLMEGLPLGLQNLTKLKFLGLADMSDELIHEVQNLDKKSEDYQTISHIPEVCIGHWINGEWKDEFL >CDP21390 pep supercontig:AUK_PRJEB4211_v1:scaffold_3761:3:819:1 gene:GSCOC_T00003528001 transcript:CDP21390 gene_biotype:protein_coding transcript_biotype:protein_coding LGSNGAGKSTLISCLTGITPVTHGDALIYGNSIRNSKGMSTIRRLARFDSLWNALSTKEHLHLFANIKGLPMATRKSEVKRLLADVDIDKIANVRAGSYSGGTRR >CDP19211 pep supercontig:AUK_PRJEB4211_v1:scaffold_378:112102:115468:1 gene:GSCOC_T00007518001 transcript:CDP19211 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELKEETLPPGFRFHPTDEELITYYLINKISDSAFTGRAIADVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIYNSVTSELVGMKKTLVFYRGRAPRGEKTNWVMHEYRIHAKSSYRTAKQDEWVVCRVFQKSAGGKKYPSNHSRAVNPYNLEIGPGALSSQMMQADAFQIPMGRNYMSHAEVQELSRVFRGGSSSMNLQIPAQMNYAAVAGGGGCFTISGLNLNLGGAASTQPILRAAPPVPSAMNQQDINANAMSNRFVTMEHCPDLDNYWPTY >CDP21391 pep supercontig:AUK_PRJEB4211_v1:scaffold_3782:3062:5612:1 gene:GSCOC_T00010419001 transcript:CDP21391 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLCGAVESVGLKELASSEPGQLSAFNVSITISSICGMKIEEVKKLDNQVLEESEKALLKAHNLGALIHHLSLLCNKRCLMAYVYNRAETMQSLGWAVERVLPEEIEEKLSASEKEYFKKRATSLQSCMSELDLDLAVDMVPPKDPYIKVRALADIGNVTAQ >CDP21392 pep supercontig:AUK_PRJEB4211_v1:scaffold_3786:1950:2670:1 gene:GSCOC_T00000481001 transcript:CDP21392 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALSSSSSFHAPPRLGPSGMNLLQTHYSSSFFFNPIPNRNPISTANRPSLLSTQQPSRKLLCIPPAGKYVREDYLVKKLSAKEVQDLVKGERNVPLIIDFYATWCGPCILMAQELDMVWIVIGFSLYVSTMPLLFFFFFKFLLDFLDSYPDESVYCVLP >CDP21393 pep supercontig:AUK_PRJEB4211_v1:scaffold_3803:968:5308:1 gene:GSCOC_T00011971001 transcript:CDP21393 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGRPAKRLKRRVTADLNDFLTFPAASGDSSTVSASSASGPFRTCVRNFLSKHALSPPPSSLFPHLMTWQILFRVGDFTVADNDGSSPSPAVVCLDVVEEDVSRSRSIYCDQCRVVGWSSNPVCTKRYHFIIKADGNSIAGYNKTCPGCSDTLHLSEARCKSCNHVMTAEDIEDWIYNQLEDTTHLLHGVVHANGFGHLLRVNGRESGSRLLSGRHIMNFWDRLCKILGVRKVSVTDVSKKYGLEFRLLHAVTNGHPWYGDWGYEFGAGSFALTYDAYRMAVDNLSSLPLSTFLSQGRKPRTRLQDLISFYQSLSERELVNIRDLFSFLMTLIHDVHNSPSRVDGFTYKKHQSYDTRALCAWTNKDIARVEDAMFRVLRAVTGPNWVSWRALRGAVCKVGPPELLDYVLKELKGKQAAEGLVVNARRIPDSGAMEFRLEHGNIPLTVNKTLNCFPASNYPSEEHLLRDLRYLYEAMLHPQTMASYVPQAKRDVAYDSARTLLDCKQFVKDYQPEKFLLASKPYAIQLLCEVDLMEHSDEHAKNPPPELLILSSDATISDLKLEASKVFQDVYLLFKRYQAEELVGYGGVVDSTQVKLLLGPAEFVRVRGKCFGKSSLSKYRMERGVERWTVDCNCGAKDDDGERMLACDSCSVWQHTRCTGIQDSEAVPLKYVCLRCRYASQAMKTTGPCKGKTANAAVGAAGVGYGKSLANPV >CDP21394 pep supercontig:AUK_PRJEB4211_v1:scaffold_3817:877:3108:1 gene:GSCOC_T00008392001 transcript:CDP21394 gene_biotype:protein_coding transcript_biotype:protein_coding MILAIAPVAVAAKESDVNLLEAEALLQSGWWGDTTTVTNVSAHCHWYGIVCNDAGSVTEILLPGYGIYDELTNLSFSSFPNLVRLDLSENGLYGTIPHQIGAPSKLAYLNLFFNEFEGELPHEIQAVELDWNKRLNLINGIANALSYLHHDCDPPIIHRDVSSNNILLNSQLKATLSDFGTAKILKPDSSNQAVIAGTYGYIAPELAYTVAVTEKSDVYSFGVVVLETLFGKHPQDFLSCISSQPNEPIMMKDLLDARLPPPTNPLVVRNVVVATALALDCVNANPKCRPTMQQVVNRFEVGRRESTRPLHTIAVNQFIKVET >CDP21395 pep supercontig:AUK_PRJEB4211_v1:scaffold_3819:1343:8155:1 gene:GSCOC_T00007367001 transcript:CDP21395 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPDLCSILRIPFLILLLSLPAKASSFNLTLPNQHPYPEAVAHEVQRKVNASLSRRELLSTTVHDQAQCLTGNPIDDCWKCDPNWANNRQRLADCAIGFGQAAMGGKGGQIYVVTDSSDHDAANPTPGTLRHAVIQDEPLWIIFAANMQIKLKHELIVNSFKTIDGRGANVEITGGGCITLQYVSNIIIHNIHIYDCVPSGNTNIRSSPTHVGWRGKSDGDGISIFSSRNLWIDHCALSHCTDGLIDAIMGSTAITISNSFFTHHNEVMLLGHDDKYLPDSGMQVTIAFNHFGVGLVQRMPRCRRGYIHVVNNDFTEWKMYAIGGSANPTINSQGNRYIAPPDPDAKEVTKRVETDEKDWSDWNWRTDGDMMVNGAFFVPSGEGLSAQYARASSVEPKSSGLIDQLTFNSGVLGGPRDNSVSMSYGGGSTTGDSANGYGGSGSTPGGDGDFFGMIFGSGAPPPPPPPTASALVFWSLLIILISCMITNQGALL >CDP19221 pep supercontig:AUK_PRJEB4211_v1:scaffold_382:160550:164669:-1 gene:GSCOC_T00001791001 transcript:CDP19221 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPEPSVEKNNNSNNMSNSSVKLRKGLWSPEEDDKLMNYMLTNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHALLGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNSSSPNTSDSSSIQEQSMMGMFVDLSSSASSSMHSMALTNMVDPLPMLEQSLSTSGGTNAGSYFDPQSCIRQAAYDNPTFSTGNLEGQRSIFFPPLEPGEVERSAITTANFVDRNPTSNTVDNRSNMINYYGNNNYIKVENADGYGSYWEAEELRVKEWDLEELMKDASSFPLPDFQKNNW >CDP21396 pep supercontig:AUK_PRJEB4211_v1:scaffold_3825:7418:7769:-1 gene:GSCOC_T00012599001 transcript:CDP21396 gene_biotype:protein_coding transcript_biotype:protein_coding FQLGCGHGLPGIFACLMGSSSVHFQDFNAEVLQSLTIPIIENREGEALAILNYVLDWEQEGGKLTILSYVQDWRGLNLISNTLLIC >CDP19226 pep supercontig:AUK_PRJEB4211_v1:scaffold_383:134364:136541:1 gene:GSCOC_T00006945001 transcript:CDP19226 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKYVVLSNASASISSWDNVAEISSVMNESGVKKEPGHCMIEIQREAHFLFMEKTVESYQLIEDLTCVLKDMGYLPDISGLSVAVIKSNKDTRSALD >CDP19227 pep supercontig:AUK_PRJEB4211_v1:scaffold_383:140450:141895:-1 gene:GSCOC_T00006947001 transcript:CDP19227 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFFQKLAKYGHEYGECVMHDIVHDFAQFLTKNECHTLDGIGRNSSSERPRHLTILGGTEEMLIVSQNLFCSLKCVRTLTLSNCWLPKVPAEIGSLIHLRHLDLSWNPFVALPEAICDLYYLETLDITYCEKLSCLPEKIEGLVHLRHLLNAITDELRQIPQGLRMLTSLCSLIRFIARSNSDDLAILKDLNQLEILNVEIEGDVDFGSAELGKKVNIGKMSLFFRFKAHFIETPSCIETMEPPPNLEELVLIGCPGAQLPSWLVTMSRANNLKKLYIEKPCNISSLRALWKLSSLEELELMVVEKLECLGKEFFGLEALPHRILKKISSLKILEIRRCSKLRDHYSDKTGDDWIKISHISRVDISNDSSGMVIHTYFLMYLSSLKSNNCSL >CDP19229 pep supercontig:AUK_PRJEB4211_v1:scaffold_383:167676:171222:-1 gene:GSCOC_T00006950001 transcript:CDP19229 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAESLIPKIIDELGEVAKKQLEKRVNLVLGVEEGVANIKDMLETIQNVLHDAERRGLKEEPVGKWLEKLEDITCEMDDVLDEWNVCSFILSLCLCLKQLPDRLDIAQKINKINGRLELTLKEADKFKFITSGGIPDSHDFQRGMTTSIIDESKICGRDSDKVALLDQVLSKSSSQGRKGVQVISVVGAGGSGKTTLAQLLFNNDEVQRHFEPRNWICISDPFDQKRVAKAILENAGKSSQESELDPLIQRIKETFSGKRFLLVLDDVWTEDDSKWEPFQNSLKDGAPGSVILVTTRSHRVAAVVGTTHTHQMAVMSDSDCWLIMQRIAFANKSGNLCKKVERIGQKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWELEEELSQEQKLFPHLYLSYNELSPEQKRCFSYCAVFPKDHEIYVEELIWLWMAQGYVRPRRRGENLELVGLEYFNSLAMRSFFQELKKVEAYYGLTEYMKCKMHDIVHDFAQFLTKNECHALDGTGRNSSSERPRHLTILEEGTEEEILKCVRTLTLSRCELAEIPAEIGRLIHLRHLDLSHNPFKELPEAMCDLYYLEILCIGLCEKLSMEPPPNLEGLQLHGYPGAQLPSWLVTKSHPNNLTKLVIARPHNISSLLALRKLSSLEELKLVAADELECLGKKFFGSSFPNLRKLHFGKFQNWTNWEDLSEDDEEVVVSIMPCLEELKIWYCRKLETLPHRILKRISSLKKLDIRDCDKLSDRYSDKTGDDWKKISHISQVHISNFWY >CDP19224 pep supercontig:AUK_PRJEB4211_v1:scaffold_383:31824:32793:1 gene:GSCOC_T00006941001 transcript:CDP19224 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPLIGLNGYIQTKFMKGFSADAKVKEASQVASDAVRSIRTVASFRAEEKVTDKYEKKCEGRMRVGIRQGLVSGIGLGSSLALFFCEMFYSSKAKGAAASIFAILDRISKMDANDESGMTLESVKGEIELRHVSFKYPRRPNVHIFQDLSLWIQGGKVKLDNLPIVIKTKLFAGDSSSSSFSYGLKY >CDP19223 pep supercontig:AUK_PRJEB4211_v1:scaffold_383:30676:31741:-1 gene:GSCOC_T00006940001 transcript:CDP19223 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLVQSTSAAVAGLIIAFRASWQLALIILAMMIPLIGLNGYIQTKFMKGFSADAKVKEASQVASDAVRSIRTVASFRAEEKVTDKYEKKCEGRMRVGIRQGLVSGIGLGSSLALFFCGAAASIFAILDRISKMDANDESGMTLESVKGEIELRHVSFKYPRRPNVHIFQDLSLWIQGGKVKLDNLPIVIKTKLFAGDSSSSSFSYGLKY >CDP19225 pep supercontig:AUK_PRJEB4211_v1:scaffold_383:34995:36326:-1 gene:GSCOC_T00006943001 transcript:CDP19225 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLQFEIISETVIKPSSPTPPPLRYHKLSSVDQAMPPNYRIRLAFFYANIKGTRWLANEISQLLKSSFSKALAQYYPFAGRLMKNGYMIDCNDMGAQFTEGRINSRVAEIRKQLSSSSEKVQDLIFARSLFSGPASANHRSLVFVQLSSFNCGGIVLSVSINHRIADASSVSTFMNDWAAIARQTSDIPSPHLHGASLFPPVDGQQYRHPFPPKPDQGKYAKRILAFHASKIGELKAAALNSGVENPTRFEVVSALLYSCFMSAASKANSGSHIRPSIFFNAVNFRQIIVPPLPQNSVGNFVTSFLTSVDNNAEVKLPELVNKLREGKTKLRKECTENINAIPSKLKASHSASPTVAIETINSDCYGCSSWCRFPFYGVDFGWGTPSLVYPAIIPEQNANILVLIDMEDGNGIYAHLILKELDMSLLEENEVLLAYASLDA >CDP19228 pep supercontig:AUK_PRJEB4211_v1:scaffold_383:142138:143343:-1 gene:GSCOC_T00006948001 transcript:CDP19228 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIVPKIIDQLSDVLMKQFGEKVNLVMGVEEEVANISSKLATIEKVLHDAERRRLKDRSVGIWLEKLEDITYEMDDVLDEWNFKIHRAKNERTHQNARLQPTLWIKVPQKIKKINAQLELTLKEADQFKFITSGGIPDSQDFKPIMTTSIIDESEVYGRASDKDALLDQVLSKSSSQGRDGVQIISVVGAGGSGKTTLAQLLFNNDKVQKHFELRNWICVSDPFDQKRVAKAILENAGKSSHEAELDPLIRRIKETFSGKRFLLVLDDVWTEDDSKWKPFQYSLKDGAPGSVILVTTRSQRVATVMGSTDTHHLSLISDSDCWLIMQRIAFGGRSGDLCKKVEIIGQKIAEKCKGLPLAAKTMGSLYGSKIPYSSGRMF >CDP19222 pep supercontig:AUK_PRJEB4211_v1:scaffold_383:4052:7051:-1 gene:GSCOC_T00006937001 transcript:CDP19222 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKNLLPQKSSKGKNLSHDGEKTVLIAPDTPPSDKPSNRPSTSRSFSLNKILFSSATTSTHSLPVTPMTDASTYEVEESNLNGHTYLPKHEVEQQMQRSFSVPVNVKVKSLRRTDSSGCLVRVISATPRPRTDEKNVVDNATEIETACDDAAEDIPEEEAVCRICLVELGEGGETFKMDLFWYFICSPSNRLWQDIPILVMISMLAYFCFLEQLLVSDMGPRALAISLPFSCALGFISTMIASTMGKIFLLFLLLAISIFTFLVWYYFLYLLDQNRAFSGYLHRIYTLSIYAKEMDACSILSSIHKVEFSLQ >CDP21397 pep supercontig:AUK_PRJEB4211_v1:scaffold_3837:3258:4754:-1 gene:GSCOC_T00009247001 transcript:CDP21397 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHNCQVIVRPRFSYALLILALKKAHERGTDSTRTYLGRPWKVYAQAVFLTTFLESLIHPEGWLPWNTPPDVIYYGEYHKKGLGSPMH >CDP19230 pep supercontig:AUK_PRJEB4211_v1:scaffold_384:11201:21633:-1 gene:GSCOC_T00005621001 transcript:CDP19230 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYIDYQTPSDFGGYQPWNYPSEPRFGRDEVEDQKLVARFLQAVAYIGLDDSTDAFDVLYSKYSLRHSLRLYRFYKKATGRSGSDRVPESSDPDFLEMLQYYSQDALPGLTYVDGMKFFLDTMKKADPNWFSKYCEEIRMDTEVNQSGKLESATDKGYPDNQTIPAENGNSSSTWNLRFPEGLATVSLYDQWFAPPVSGPCPRGRYKHGAAVTDDKMYIYGGVYNGCRLDDLQVLDLKSWTWTRVEVKASPDGSFASHSLIAWEGDKLLAVGHHVKDPLGIMQVKVFDLQTCSWSTLKIYGKPPVSRDGYSATLMGVSLIIFGGQDAKQSFSNNLHILDLETMNWGKVDTLGVPPSPRSDHVAAIHADRYFFVFGGCSHATCFNDLHVLDLQNKEWSRPAQKGEIPSPRAGHAGVTVGELWFIFGGGDHKRGVSQSVVLNMSTLVWSVIKRVQGFASLAREGLTSVLGSYNGEDVLVFFGGYDGQYTNQVK >CDP21398 pep supercontig:AUK_PRJEB4211_v1:scaffold_3848:902:5832:-1 gene:GSCOC_T00011137001 transcript:CDP21398 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMVLGFKAELKRLSKTAATIQGFLADADGKMHSPEVRDWLKELEDEKKVCLFFSFFNAIGFSSSLASKIRDVNTNLKRINQQANDLGLVIKYQIEAALHADATTSRQTDSIVVPNVVGRAGDESKIVEMLLTPSERVVSVIPITGMGGLGKTTLAKSVYSNTKIDENFGIKSWVCVARKIDIVELFKLILESLTRTKVEVDGRDAIVQGIRGKLGEKRFLLVLDDVWNCEERLWDDFFTTLLGLSTTKGSWCILTTRLEPVANAVPRHLQMNDGPYSLGKLSDDACWSMLKEKVIAGEEVPKELEAIKEQILRRCDGLPLAASLIGGLLLNNRKEKWHCIVQESLLNEDQSEIDQILTVSFDHLSPPSVKKCFAYCSIFPQDTELGEDELIQHWVAEGFVQPDRQNQRLMEEIAGDYLRILLQNSLLEKLEESWRTYYKMHDLVHDFAKSVLNPKSSSQDRYLALHSYEEMAANVRWNKAASIRSLFLHLGGGISADTNMLSRFKHLHVLKLSGDDVMFLPSSIGKLLRLRLLDISSFGITSLPESLCKLYNLQTLTIDGYALEEGFPKRMSDLISLRHLNYWHDDAKFKMPVQMGRLTCLQTLEFFNVSQEKGCGIKELGTLKYLRGSLEIRNLGLVEGKEAAKQAKLFEKPNLSSLRLDFERKSDNCDEDVLEGLQPHPNLQKLEIRYCMGNKIPQWLINLPKLVELRIEDCQRCSELPSLGQLPSLKRLYLRSLDNIRSVGDEFYGSSTRTRKFFPALEELYVRDMRNLVDWKDADQVRSTTGEAETDVFPMLRNFHIHRCPQLANPACSCKILHELSIRTCDNLSELPEDVFGSSLQLLRISDCPRLISLGVNGQKCPLTCLERLSIDYCYGLTTISDKILRKLSIGPFSSDDSSMDGFDWSGLVNASTLRELQLRGLPHSDSLPQQLQYLTTLPSLSLFNFRGIEVLPHWIGNLVSLETLKLWFCEKLQSLPPEAAMRRLTKLTGVEVCGCPLLRQRYTPQRGIYLEE >CDP19231 pep supercontig:AUK_PRJEB4211_v1:scaffold_385:38138:43452:1 gene:GSCOC_T00000289001 transcript:CDP19231 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLLLLTFIFILLPYYLYQKLRFKLPPGPRPLPVVGNLYDIKPVRFRCFADWSRAYGPIISVWFGSTLNVVVSNAELAKEVLKENDQQLSDRHRSRSAAKFSREGQDLIWADYGPHYVKVRKVCTLELFSPKRLEALKPIREDEVTAMVESIYKDCTLREGSGQSLLVKKYLGTVAFNNITRLAFGKRFVNSEGVMDEQGKEFKEITANGLKLGASLAMAEHIPWLRWLFPLDEAAFAKHGARRDRLTRAIMEEHRLAREKSGGAKQHFVDALLTLKDKYDLSEDTIIGLLWDMITAGMDTTAISVEWAMAEVIKNPRVQQKVQEELDQVIGYERVMIETDFSNLPYLQSVAKESLRLHPPTPLMLPHRSNASVKIGGYDIPKGSNVHVNVWAVARDPAVWRNPLEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHFNWAPPHGLSPDEIDMGESPGLVTYMRTALRAVPTPRLPSHLYERVAVDM >CDP19233 pep supercontig:AUK_PRJEB4211_v1:scaffold_385:57949:65488:-1 gene:GSCOC_T00000291001 transcript:CDP19233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90-6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G07770) UniProtKB/Swiss-Prot;Acc:F4JFN3] MSSLDCFRIYNASDALDKLRFLSVTEPELLKDAVDLDIRIQTDKDNGIVTITDTGIGMTREELVDCLGTIAQSGTAKFLKALKESKDSGGDSNLIGQFGVGFYSAFLVSERVEVSTKSPKSDKQYVWEGEANSSSYTIREETDPAKLVPRGTRLTLYLKRDDKGFAHPERVQKLVKNYSQFVSFPIYTWQEKGYTKEVEVDEDPSEAKKDDQGDKTEKKKKTKTVVEKYWDWDLTNETQPIWLRNPKEVSTEEYNEFYKKTFNEYLEPLASTHFTTEGEVEFRSILYVPSIAPMGKDDIINPKTKNIRLYVKRVFISDDFDGELFPRYLSFIKGVVDSNDLPLNVSREILQESRIIRIMRKRLVRKAFDMINGIAMSENKDDYDKFWENFGKHIKLGILDDKENHKRLAPLLRFFSSQSEDVPISLDEYVDNMKPEQKNIYYIAADSVNSARNTPFLEKLLEKDLEVLFLVDPIDEVAVQNLKEFKDKQFVDISKEDLDLGEKNEEKEKEMKQEFGQICDWIKKRLGEKVAGVQISNRLSTSPCVLVSAKFGWSANMERLMKAQTMGDSSSLDFMRSRRIFEINPEHPIIKTLNAACQSNPNDEEALRAVDLLYDTAAVSSGFTPENPAQLGGKIYEMMSMALSAKWGTSAGEFKRQTTSSTYVPETIEAEVVEPAAEVQK >CDP19232 pep supercontig:AUK_PRJEB4211_v1:scaffold_385:44757:56385:-1 gene:GSCOC_T00000290001 transcript:CDP19232 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQADEDVGKIAMAVPVLVSKALELFLQDLCDRTYDITLQRGAKTVSSLHLKHCVQSYNVFDFLREVVSKVPDYGHSDAASADMSKRRKILPEEYNDSDEEFRKNRMEVCHSSSSGRGRGRGRGRGRGRSSRITDREAIRHENESESSMSIQQSSKQISSPGSTADTLAELESSKENIKSSDNDNVLQNIDLNSGVGEGGDKTAATLTEPSTSSAGPADTKGEEYPGWALSEMDRMAIDPSQIAHLSSRLDEEEEDYDEEG >CDP19235 pep supercontig:AUK_PRJEB4211_v1:scaffold_385:135303:138275:1 gene:GSCOC_T00000294001 transcript:CDP19235 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAN1 [Source:Projected from Arabidopsis thaliana (AT1G02310) UniProtKB/TrEMBL;Acc:A0A178WL81] MMSREKSLLLRCCSLSLALFILLGVGKGHGEIASNSTSSSSFSFVKTRGTEFVMNGRPLYLNGFNAYWLMYMASDPSTRTKVSTTFQQASKYGMNAARTWAFSDGGYRALQQSPGSYNEDMFKGLDFVVSEAKKYGIHLILTLVNNWEGYGGKKQYVQWARDQGHYLNNDDDFFTDPIVRGYFKNHIKTVLTRINSITGLAYKDDPTIFAWELMNEPRCQSDLSGKAIQDWISEMATHVKSIDSDHLLDIGLEGFYGESVPQKKEYNPGYQVGTDFISNNRIVQVDFATIHLYPDQWVPNSNDETQAQFVDRWIKEHIDDSKYLLEKPLLLTEFGKSSRSPGYQVAKRDAYLSHIYDTIYACAATRGGGVCGGNLFWQVMAPGMESWGDGYEIVLEENPSTVGVIAQQSNRLSSLT >CDP19234 pep supercontig:AUK_PRJEB4211_v1:scaffold_385:65544:66810:-1 gene:GSCOC_T00000292001 transcript:CDP19234 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSGRSVSTILRAGGCRRCRNATASISSSNIFHKSAEENDENVRWYSVLTTRQINCGKPIKQLNFGSSHHLLRIRYESTAAASDSSSNPPPEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELIRHALVLFSPFSVSLPAGLVIHGASFSHAAFVVVVGGKK >CDP21399 pep supercontig:AUK_PRJEB4211_v1:scaffold_3855:1373:5723:1 gene:GSCOC_T00002693001 transcript:CDP21399 gene_biotype:protein_coding transcript_biotype:protein_coding AVSTGEDRSLEMETCPLVKNILLLDSEGKRVAVKYCSDDWPTNSAKEAFEKAIFNKTQKTNARTEAEVTMFENYIAVYKFVQDLHFYVTGGEDENELILASALQGFFDAVGLLLRGNVDKKEALENLDLILLCLDEIVDGGIILETDANVIAGKVASNSIDAGAPLSEQTIGQALATAREHLTRSLLK >CDP21400 pep supercontig:AUK_PRJEB4211_v1:scaffold_3857:7321:8069:1 gene:GSCOC_T00005424001 transcript:CDP21400 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNCKFIQKFFKKRLHSLCRKVLEVGVLAWSLATALVPFLAGYMPGLVASRILVGMGEGVSPSAATDLIARHV >CDP19242 pep supercontig:AUK_PRJEB4211_v1:scaffold_386:49232:51039:-1 gene:GSCOC_T00003339001 transcript:CDP19242 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLVKKVYDDAAFRDIIKNLIQQLYNEIRRPVPRQVESMDDIMLSEFVRDFLRERRYILVLDDMWSIDAWEAIKCVLPDCNITSRVVLTTRIADVASASCLGSLDSVYKMEPLSNQDSWTLFCNRTFHSNDCPPNLEEVAKKILKKCEGLPLAIVAIGGVLALKDKEKTDEWEMILHGFGGEADGSGKLDRIKRVLLLSYNDLPHYLKSCLLYLSIYPEDYSILVDHILPKWIALGFVEEKGGIISTDIAMSYMKELINRSLIQVKSTWDDGTLDTCGLHDFVREILVSKSKDQSVAVTSRPICRRVKYLGIVSSRYRIGRDRDGDDSAETSNHDCYCLWHTHFSLREKKIANFEIKASFGIEATELYPDVKYTTLDEYLSQFVSN >CDP19237 pep supercontig:AUK_PRJEB4211_v1:scaffold_386:7641:9617:-1 gene:GSCOC_T00003330001 transcript:CDP19237 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEEFCAAAINVHHLEARESWEQIYSYMGLLREMDENTALLYMPRSPKVGLSPSVPVNVLLQDWIRHFDGKLSFLGFVRLLHGVPHEHFRRLETTNCSD >CDP19240 pep supercontig:AUK_PRJEB4211_v1:scaffold_386:32753:35487:-1 gene:GSCOC_T00003335001 transcript:CDP19240 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVDDLKKEAYSISQGMESVEVNCFNIVRLPNLKSRYLLGRRAAKRTDVAQKLIGEGKFDQVGYIAPLEKMPFSEQTQSSKEGLVSRMSKMKEVIEALKQDKTSLVAICGMLGVGKTFLVEQIADQVKFEKLFDEVAKANLSQFPNTRTVQDQLAEQLGLKISEETDRARAERMYTRLSNGEKRILVILDDVLEEVDFKSLGIPVRGECKGLKVILTSRLSHVCSRMGAEIFEVGALPKEEARHLFKEVVGISDDSTLSDVSNQVADECKGLPLAIVVVAKAFKSNHTTPESWNIALRQLKKYTVRDIEGVQDLVFSSIKWSYDHLESVDAKSLLLLCSLFPEDYSIPLECLVRYGKGLQLFQDRETLGDARDRVHMLINELKKYYLLVSDGEQEDSVKLHNVVRDVCLSIASKGEHVFLVRNARVEEQHPYTAISLTVKDYTVQLLPFGKKSPWLKLLRLVFQSDTLYLSIDSFVGMEVLRVMEINNAYIEFTVLWPAQNLTSIRTLCLDGCTLRIGTSSMIGYMTQLEILSFQSALEDDQFPRKIAQMSNLKLLDLRVRRSLQPLPRGILSSLKKLEELYLAPDYHLHLGRDKEEERECIKEIISLSNLECLQIHVYDLNLLLQLLHGFPAQRLSRFLIEGAAYNMGRRDLSRDFQFGRTSNFIFTSIVKRAENLTLDLYDVSSLRNLVSDLDKDGFANLKRHQLVSGVCQCLVDSTTNLVAPHVFGDLVCMNIVECSLQEICHGNLPPRCFSQLQEVKLQTVDTIKYLWMGPIEPPSLCNLSVIEVTYCDQITILFSQSVLKCLVKLQSLTTENCKELENIVMREESKQKEVLELPQLKVLVHKHTNLMGFGSKDDAANAFFHQVLSLSLYLYDILCILLFCQASTLSQNLLI >CDP19244 pep supercontig:AUK_PRJEB4211_v1:scaffold_386:170385:172822:-1 gene:GSCOC_T00003342001 transcript:CDP19244 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVAGLLINQLSTLLSQEITLLGGLKSDVQFIKDELESMKAFLREAEAKEDNDSQLRAWLKQVRERYQALYGTQERGFSSSRQANADFDIRAQSLFIEEAQLVGIDKPKAELISKILDDHSQLKVVSVVGMGGLGKTTLVKKVYDDAAVKKQFQSHAWITVSRNFQFRDIIKNLIQYLYNEIRQPVPPEVESMNDIMLSEFVRDFLQERRYILVLDDVWSIDAWEAIKCVLPDSTIASRVVLTTRIADVASASCLGSPDFVYKMEPLSDKESWTLFCNRTFQSNDCPPNLEEVAKKILKKCEGLPLAIVAIGGVLALKHKEKTDEWEMILHGFGGEADGSGKLDRMKRVLLLSYNDLPHYLKSCLLYLSIYPEDYPIDVDVILLKWIALGFVEEKEGITSTDIAMRYMKELINRSLIQVKSTLYDGSLDKCGLHDFVREILVSKSKEQGFTTVATKYYTRWPEKVRHLAIHNFTDNPQEISSLKCLRSVVIFEYEDPLTTTFLSEFLSGDPKLLKVLDLDGGELDNIPKQVFKLFHLRRMGDYSNEYAGWGCKCPLGIGKLICLEALYNIEADSDKLVREIGKLTQLRRLTITGLRREDGKELLSSLLRLTNLRRLSISCIKEDETLDLQHSVFPKHEFLTSLRLKGRLERVPQWVTSLQSLRTLQLFNSTLREDENVIGSLGHLPNLISLSLSCL >CDP19241 pep supercontig:AUK_PRJEB4211_v1:scaffold_386:39713:41989:-1 gene:GSCOC_T00003337001 transcript:CDP19241 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFLREAEAKEDNNDSQLQEWLKQVREVAYDTEDVLDDFTFRFARGYMDGFCGKISARHQRYQSLYGTQERGFSSSRQVNADFDIRAQSLFIEEAQLVGIDKPKAELISKILNDHSQLKVVSVVGMGGLGKTTLVKKVYDDAAVKKQFQSHAWITVSQNSQFSEIIKNLMQQLYNEIRQPVPPEVEFMDVLMLSEFVRDFLRERRYILVLDDVWSIAAWEAIKCILPDCNTASCVVLTTRIADVASASCLGSLNFIYKMKPLSDKQSWTLFCNRTFQSNDSPPNLEEVAKKILKKCEGLPLAIVAIGGVLSLKDKVKTDEWEMILHGFGGEADGSGKLDRIKRVLLLSYNDLPHYLKSCLLYLSIYPEEYPIEVDGILLKWIALGFVEEKEGMTSTDIAMGYMKELINRSLIQVKSTSDNGRLDTCGLHDFVREILVSKSKEQGFTTVATKYYTRWPEKVRHLAIHNFTDNPQEFSSLNCLRSVVIFGYEDPLTTTFLSKFLSGDPKLLKVLDLDGAELDNIPKQVFKLFHLRYLSLNGTGVKIIPKSIGKLQNLEVIDLRGTNVTELPVEILKLRKLRSLLLGGLGDYSNEYAIWGCKCPLGIGKLICLETLYNIEADSDKIVREIGKLTQLRRLAITKLRREDGKELLSSLLRLTNLRELSVSCIKEDETLDLQYSVSPKLKFLTSLRLKGRLERVPQWVTSLQSLRNLSLDNSRLRVLNSKPRR >CDP19239 pep supercontig:AUK_PRJEB4211_v1:scaffold_386:30812:32466:-1 gene:GSCOC_T00003334001 transcript:CDP19239 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLEVLVIGCCDEMHGVIGEEDEEISQEDGVGNHREIALERTNKEFVFPKLSSLSFVNLQNLGSFSGSHREDCDFKFPSLTQLEIWGCPELKKLCSGKLDAPLLKKVKVTENTYIPVDLKDRELSFLRHLRDLGFAGRSRTDGKVEGFIKLSR >CDP19238 pep supercontig:AUK_PRJEB4211_v1:scaffold_386:14935:15530:-1 gene:GSCOC_T00003332001 transcript:CDP19238 gene_biotype:protein_coding transcript_biotype:protein_coding MWYTFLPCLVIAKVLQTPFDCFGCSAAIITAYLMRTEELSHEDALESLRQSCEFVCPNDGFLDQLKMFEEMGFRVDYASPIYKRFRLKVLGRVFH >CDP19236 pep supercontig:AUK_PRJEB4211_v1:scaffold_386:835:3342:-1 gene:GSCOC_T00003329001 transcript:CDP19236 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQRTFVIPLECTSIQYTTRKGFFTSQLMPVQNKVGQLALARCIPFMVLISYKKRHL >CDP19243 pep supercontig:AUK_PRJEB4211_v1:scaffold_386:153716:155118:-1 gene:GSCOC_T00003341001 transcript:CDP19243 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSKILIIGGTGNIGKYLVEASAKAGHPTFALVRESTISDPKRAAIIESFKSLGVIFLHGDLHNHQQLVNAIKQVDIVISAVGGDLVAHQVKIIEAIKEAGNIKRFLPSEFGVDVDRAHAVEPAASLNRTKVEIRRAIEAEGIPYTYLVSNGFAGYLNYILNNFGDSFSASPPRDKIVILGDGNPKVVFTKEEDIAAYTIKAADDPRTLNKSVYITPPANTLSYNEIVSWCDSAESIRRGDVSADFSAKIANFEIKASFGMEATELYPDVKYTTLDEYLIQFVSN >CDP21401 pep supercontig:AUK_PRJEB4211_v1:scaffold_3871:2706:6330:1 gene:GSCOC_T00002320001 transcript:CDP21401 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKIAFLPLQVCFAELNGLLEILPPVEFCCVYGSTLHPNNLDKNSMIDCIIGVSDPEKWHSENLKMNRNHYASWLVRFGGAGLIAGVADDIGVGVHFNPFVSLNDKMYKYGVVRMDDLINDILGWQRFYLCGRLQKPVCFISNLDLENLNHINLRAATSAALLLLPSKFTEEDLYAKICSLSYMGDLRMLFAEDKNKVKKIVQGQFSLFHRMYNPFLEEYAAKDLLRLSSSGDAQVTISQDCGSSAASKLVSSLPPPIRSQMGIKVGEKKILDELGRVKQEVVIGSKEEAAKCMQKLLRNKVMISSARQAVAGLLTVGVFGGAQYVSKKLQKAWKS >CDP21402 pep supercontig:AUK_PRJEB4211_v1:scaffold_3873:3921:6493:1 gene:GSCOC_T00012547001 transcript:CDP21402 gene_biotype:protein_coding transcript_biotype:protein_coding MALASMGNSAPKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVELEALLANPGIKRGENQWVDKIYELMDAVDSYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRVERGTVKVGETVDIVGLKDTRNTTVTGVEMFQKILDEAMAGDNVGLLLRGMQKVDIQRGMVLAKPGTITPHTKFEAIVYVLKKEEGGRHSPFFSGYRPQFYMRTTDVTGNEVCYSRRREDCWSRGYSIYH >CDP21403 pep supercontig:AUK_PRJEB4211_v1:scaffold_3875:3777:5995:-1 gene:GSCOC_T00009990001 transcript:CDP21403 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCTRSSLKVARQKAGVLCDSVQSISGENDLKLCITNYFKGASGKYSQKSGQAPLYTDDERELENKMAKLQKGKRAIQLDSTDDHVEVVAPKRGKAMNKGKLKMPKRTRKFGNSSPGEVNTQSFEIDSITSHFSKRKESCMSPSIGIPSPPAPTDDMFHCRTALQAVEAYLAAAEGDLLEDETEDVIVLCYCAMLLYYAWILDVKRCGPGPR >CDP21404 pep supercontig:AUK_PRJEB4211_v1:scaffold_3878:7353:8079:1 gene:GSCOC_T00001308001 transcript:CDP21404 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKQFLGTSLSEKSQFINSFKVVLVDQKSGQFSSSHPTQVKPAERRSLQIRKARRTSTGSSPVAAISEKNLVKVVPEKAVQFKVRAVVTVRNKHKEDLKESIVKQLDALTDKLGRNVVLELVSTEIDPSKPLFPSFLEPK >CDP21405 pep supercontig:AUK_PRJEB4211_v1:scaffold_3879:4529:6210:1 gene:GSCOC_T00000799001 transcript:CDP21405 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLKGNLIARGRYKSVYKDSLNYNDKTLAVKVINLQNQGSKRSFTAECESLRNVRHRNLVKIITACSGTDYEGNEFKALIITACSGTDYEGNEFKALVYEFMAGGSLESWLHPSSSNLMQPKNLNLIQRLNTAIDVASALMYLHHFCAIPVIHRDIKPSNILLDNELCAHLGDFGSAGSLLLAIDRSRYEGIRARTIELVGTVGYVALECGMGAPASTLVDVYSYGVLLLEMFTGKRPTNSMFEDDFSLRNYVKMALPDQAMRIADPKLSSECETEFEKCLASIFHIGVTCPAHLPERRMHIADVLMELQAARDLYLRCG >CDP19247 pep supercontig:AUK_PRJEB4211_v1:scaffold_388:151210:151932:-1 gene:GSCOC_T00004573001 transcript:CDP19247 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIGNQKDYTDQIIKGIILVMLTAGTDTSVTIEWAFFDWKRIGEEEIDLTEGTRVSVPKAKPLEKTCFRN >CDP19245 pep supercontig:AUK_PRJEB4211_v1:scaffold_388:123184:123792:-1 gene:GSCOC_T00004569001 transcript:CDP19245 gene_biotype:protein_coding transcript_biotype:protein_coding MDKISMKMKTTQLIAILWWVVAMMALPILAHGSIDQSPAAVKKWFKELRHAKEKLTELHFYVHDRVTAESPTSVLVAQANSTSKSPTMFGATYVFDDPMTLGPEPSSKIIGHAHGITSSASKEEDASQIGIMNLVFNDGKFNGSSLTVLGDYPFFQKYKEMPIVGGSGAFRLARGIVTAIIHTYNDTTQNEIIDFHVLVLHY >CDP19246 pep supercontig:AUK_PRJEB4211_v1:scaffold_388:144747:145262:-1 gene:GSCOC_T00004570001 transcript:CDP19246 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLTRGHQKVPIVEMEKNNNLHVTFSKRRNGLFMKANELCTLTGAEVALLVFSPGKRAYSFGHSSFESVIDKFVGNKPSPSVHGGTNHHVANHHGDNIDELNNKIIDLKTQLKANKKLEEVLGQMIKEGQHKNWWQAPIGEMNLDQLLMMKKALEELKKKVQDELKRQI >CDP19249 pep supercontig:AUK_PRJEB4211_v1:scaffold_388:169879:171921:-1 gene:GSCOC_T00004576001 transcript:CDP19249 gene_biotype:protein_coding transcript_biotype:protein_coding MESVIPATNSILFAEVPPNSGLVIEKPVDTNAKETNVSQSNNNDKCKKHVTVFSSYDKGSSSNTKSSDKYICSGNSATHCSDERRLCSGCYNFLSQSLQELSEQSAPLFAISPTTAPDNAKTSNTTTQDNGQQTKSQARNSSRHCSVKRSRKKTAPAKGNTVLQGIDALNCIPHKSNKLPQKEDCQYCHAKKFHSETTNFCCSDGSVVLHDNKLPSVLVELFTAQTEEAICFRTYVRTYNNMFAFTLFGVHYDKALCKRNNGIYTFKIQG >CDP19250 pep supercontig:AUK_PRJEB4211_v1:scaffold_388:190013:190528:1 gene:GSCOC_T00004577001 transcript:CDP19250 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLTRGHQKVPIVEMEKNNNLHVTFSKRRNGLFMKANELCTLTGAEVALLVFSPGKRAYSFGHSSFESVIDKFVGNKPSPSVHGGTNQHVANHHGDNIDELNNKIIDLKTQLKANKKREEVLGQMIKEGQHKNWWQAPIGEMNLEQLLMMKKALEELKKKVQDELKCQI >CDP19248 pep supercontig:AUK_PRJEB4211_v1:scaffold_388:157715:158473:-1 gene:GSCOC_T00004575001 transcript:CDP19248 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLTKGRQKVPIVKMETMSHLQVTFSKRRNGLFKKASELCTLTEAEIALVVFSPGQKAYSFGHLSFESVVDKYLGRNPTPSIHGSIDRYVVANYQEDISEQNNKLTALEKLLEAEKRRGEVFDQMAKEAQQKYWWRAPIKELNLEELQELEKALQGLKKKIENELHKSPIEAINIPQYLIGQSNSERNIGLSNGMGVPYGNRASDPNGFSLFGAIARDNVGTTSSNARATVIGDSNMSHGNNSNRFYKFL >CDP21406 pep supercontig:AUK_PRJEB4211_v1:scaffold_3882:788:4171:1 gene:GSCOC_T00006767001 transcript:CDP21406 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLIASTIEVALKKTLSLANERIGKLFQFKEDLETLKGSVAMIQAVLADAEEKQTHDQAVRLWLQRLEAVVFDAENLLDELNYEALRRQLKLNKINKDANDFGLIRFQRESFPPSTTAKVTLNRETDSIAGHYVVGRAKDETSLVEILLSLSEKAVSVIPILGMGGLGKTTLAQSVYKNSQVHSHFEKKIWVCVSDNFDVTRLLKMILESLTRRNVEMTSRDVIVQEIREQLVGKKYFLVLDDVWTENLTLWDDFFGSLLGLNATNGNWCVVTTRKQQTASIVATHDPYVLGKLSDDDCWSILTKKAIAGGEIPKQLHVMKKEIIKKCGGLPLVASVMGGLLRMKRKEEWQLVLKNKLSNFSGDEDGVMEILKLSFDCLPSPSIKKCFAYCSIFPKDTMMKGDMLIELWMAEGLLQADVNNQMMMEEIGMNCLRILLQSSLFEETRSYRETHYYKMHDLVHDLAESMSKSTKVINNIRYLAVDLSGGREDREKLLERLSSALRTLFVKGDLSGDMLMKLKNLYVLNLSHATTQELPITIGKLIHLRYVNLLSSRIRILPDSLCKLYNLQTLALDSMYVKDLPKGMCNLISLRHLYFYTFDEKFQMPLEMGRLTCLQTLEFFNVGREKGRQIEELGCLKNLKGSLSVRNLQLVKDRKTAEEANLFEKANLFRLILAWALAWDREGNNYNYDKDVLDGLRPHPNLEELVIQHFMGDQFPRWLMDLPTTLPKLARLEFYYCHRCRELLPLENFTSLKELEIWFCHGLTNLPGDMLQSCISLQKLQVAYCNNLISFPLDLQQTPSLLELELYACPKLKTSMTPKGFELFGLPHMESLPYQIQYLTTLTSLRVHDFRGIKALPDWFGNFAALEYLCLFGFKELRHLPSEDAMRSLTKLKVLLVYGSPLLKERCTPKSSGLDSQWSKVSHIQLLLISDL >CDP21407 pep supercontig:AUK_PRJEB4211_v1:scaffold_3886:3552:4488:-1 gene:GSCOC_T00008290001 transcript:CDP21407 gene_biotype:protein_coding transcript_biotype:protein_coding MHKVYNLDWYSSASKNVALSQIVVVFSLSTKEALLAVKAIRASTRHLIGLMQKKERTTARALEYFKTTRQIVLYYEDVVRNHTVRASVPGLSTYATRNVLLKP >CDP19251 pep supercontig:AUK_PRJEB4211_v1:scaffold_389:99066:101693:-1 gene:GSCOC_T00005995001 transcript:CDP19251 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCGLSPVDFDGCPFTWTNGKIWQRLDKALINARWAAAYPVTRVSHLPRGRSDHAPLLIKAGTGSPVSPSFRYLNVWHRHHTFWETDTGDIQASAVRFFSALFQSSGYVPPPTLPQELPRVSTEEDAGLRHLPDLEEIRAVVFAMDANSAPGPDGFGAGFYQQCWEIIKADLLGAVQDFFKGVQQPRGFSSALLVLIPKTEGACQTLSNSWFSILVNGQPTGFFNATVPYMAFADDTIIFTRCSEPSLTTLHEFLLLYQKHSEQKVNAGKSTLLMPARMSEEHIRLVETTLGFHRQSFPIKYLGVPLVRGRMGTTVFDPLLAKLRARLFRWSSKLLSTGGKIVLIRHVLGSIPLYLLQAIDPPKTVVVALGRICNSFLWDSSVDSKRLHWAAWEKLCFPVQEGGLGFHSFFDSAKAFASIRDFPERRIRWCLGKGLVDFWHDTWCGDLPLALVVGSPILLMPWLQSSSQLMAGTLLGLRSGCPTLWCSESLTSVSSLNRTMPWFGYHHTMGISLWPPRGRTSAKDIIHRGLIAMSGDRLCPYECLFLHGV >CDP21411 pep supercontig:AUK_PRJEB4211_v1:scaffold_3894:7199:7659:-1 gene:GSCOC_T00005678001 transcript:CDP21411 gene_biotype:protein_coding transcript_biotype:protein_coding IWIKIFSYFFFFALLQDLFFPFYASPLDISPASHFTLLQDLSSLFFPYIFSLFVHFPPPYFSWRLKMNLIISEQVACRCTWFYSFSSEVCSTQGFFL >CDP21410 pep supercontig:AUK_PRJEB4211_v1:scaffold_3894:632:1891:1 gene:GSCOC_T00005675001 transcript:CDP21410 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSALASIQISLVLLLAIFVCSEANRLDPYYCCRGKETNITVYLQVFTGGPNTTSVAVAGAPGKPRTPSNFGTIIVNDFNITQGISNNSPTIGRAQGIEIASSRDGSRSLGIFSLIFSNFKYNGSTLEFQGAGYNLQVGSPAREDPIVGGTKTFRFARGYAFFQTVLRRPARNNTVIRGDITVISCPGGGKF >CDP19254 pep supercontig:AUK_PRJEB4211_v1:scaffold_390:49964:53187:1 gene:GSCOC_T00009636001 transcript:CDP19254 gene_biotype:protein_coding transcript_biotype:protein_coding MDETGLFGLLSENAGFLALNCVGSQRVVQISSEFILLFSILGKFGADIASIPAPALYCIFFAYVGSAASQSSWACLCPGISMTTQLSDDMVQFTATEDGQNIKLARERLCSSMLCSLMTWSMSLSIGSFCCRGDGLFAG >CDP19256 pep supercontig:AUK_PRJEB4211_v1:scaffold_390:76666:78099:-1 gene:GSCOC_T00009638001 transcript:CDP19256 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDKLLGGLFPEGFDVNTCVSRYQSALYRKEQKHQPSPNLVSRLRKYEALHKQCGPFTESYNRTLEYLKSGSHGQDTNSTGCKYVIWIPEAGLGNRMLSLSSAFLYALLTNRVLLVDPGSRVSDLFCEPFPEVSWLLPSNFPLTGKFSSFDQKSPETFGNLLRNRSHANSTSSSLPPYLYLYLVHDYDEFDKCFFCDHDHTILQNIPWLIVKSNIYFAPSLVSIPSFQQDISDLFPNLGTVFHYLGRYLFNPTNFVWGLVTRYYDINLARADEKIGVQIRVLDKDFGRFERVLNQTLGCMLRENLLPEINGTKPVVIQSGKLKTKALLITSLRSWYSEAIKSMYWKRSTVTGEVVEVHQPSHEQYQHTRRRMHNIKAWAEIYLLSLTDKLVTSGGSTFGYIAHSLGGLKPWILYKPEDHVIPNPPCQRGVSMEPCMHAPPYHDCTSKKWTGPGGKLDPHVQHCDDKWWGIKFIDRE >CDP19257 pep supercontig:AUK_PRJEB4211_v1:scaffold_390:92705:97825:-1 gene:GSCOC_T00009640001 transcript:CDP19257 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSWNPAYPPKDAIPWCREAKPFCTSVKVIGISAACLVGFFVLYSAMENKPFYGQRTSDSGPWRKDVDFDDIIRRTREMGEYFAQPVQEPKDKLLGGLLPEGLDVNSCVSRYQSALYRKEQKHQPSSYLVSRLRKYEALHKQCGPFTESYNRSLEYLKSGSHSQYTNSTGCKYVIWTHPIHGLGNRILSLASAFLYALLTNRVLLVDPESEVPDLFCEPFEVSWLLPSDFPLIGNFSGFDKNSPQSFGTLLKNISRGSSNSSSLPPYIYLHLLHDYDDDNKRFFCNQDQPVVQNVTWLIAKSNVYFAPALFSVSTFQQEISSLFPDLGTVFHHLGRYLFHPTNFVWGLITTYYDTNLARADERIGIQIRVFEKDPELKLLDQIMACAAKENLLPLVNGTEPVASQSGKLKTKAVLIASLEYGYFKAMRSMYWKHSTVTGEVIEVHQPSHEKHQYNEKKMHNIKAWAEMYLLSLTDNLVTSADSTFGYVAHSLGGLKPWILYKPENHVAPDPPCRRAVSKEPCMHAPPYYDCVTKQWTGPGAKLDPHVQHCDDKWYGIKFIDREENL >CDP19252 pep supercontig:AUK_PRJEB4211_v1:scaffold_390:17627:22537:1 gene:GSCOC_T00009633001 transcript:CDP19252 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARRPPPPPPASAAAAAAVSISSIPSILKAYSIPLILFGIALFFQLVVTPGSFPPSHYDVLGVKKYASVEEVTQAYEKLTSTWDSSVPVPSVFDAVKVQYAFELLTNELWKRDYDNFGIDEQSHVINQATEQYAGATVSEIKSPLMEPNSFDLAEHTFNVINSENFLSQFDSTKAWLIQVSLLFNMLPFYVMTKCD >CDP19255 pep supercontig:AUK_PRJEB4211_v1:scaffold_390:73555:76581:1 gene:GSCOC_T00009637001 transcript:CDP19255 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKPKDSGKGKGKQAAGGSDDGASKGKGKGGKSDGLGTCTYVKARHILCEKQGKINEAYKKLQDGWLNNGEKVPPAEFAKLAAEYSECPSGKKGGDLGWFPRGKMAGPFQDVAFSTTIGATSAPFKSTHGYHIILCEGRKN >CDP19253 pep supercontig:AUK_PRJEB4211_v1:scaffold_390:26873:47050:1 gene:GSCOC_T00009635001 transcript:CDP19253 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVYILIDQVMFLSLQHVINQATEQYAGATVSEIKSPLMEPNSFDLAEHTFNVINSENFLSQFDSTKAWLIQVFSFGSNRCANFSNNWKHIVTLLDGVANSGMVELGDVRLAAYLAEKKPSGHPFFKNGLPTLLAFPPGCSSSRCLHRYGGQLSVDAITDWLATSILGLPRILYYSKESMVQNFLAKSKPHKVRVIFFSRSGERATPFIRQAAKYYWTYAAFAFARWDEGDSSLWWNMFGVESAPAIVILKDPGVKPTIYYGMCLYLAGSINNSMFIDIMENNKYHVLPQLRSVTSMELGCDAQGYSRAGSEMRIWYCVILAGRLSQELNKMRETIRRVQETLNNNGGELNALDQDSLSTPAALAVKQKRLTFTWLDGEAQQKYCFFHVNSEDSYETCGTRRAMIDVPRLLIVRYERNETEDEVKIERQPRNMFEALHHSEPDPASQLVAKYNGPDESTEIISWISRTIEDGDSRNLPPFRTKCPELVPEDSDPLWQAGSEKIISSSKDLKYKITSFINQMHNQLGDPRIGPVLLLVALMLCGRTWLQRSQPTPKNEPNTSNESSDKDKFRQNRETRPRNNPTRPRNDLIPPSITDVEPKDAQQVQFSGSDSDN >CDP21413 pep supercontig:AUK_PRJEB4211_v1:scaffold_3902:5779:7094:1 gene:GSCOC_T00009513001 transcript:CDP21413 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRILVVGGKGLRNRQRHVWVVHRTHHILMQLKLVEAIKEDGRIKSFSPSEYGVDPKHMGDALEPGKVIFDEKVMVRRAIEEAKIPHTYVCGWLCW >CDP21412 pep supercontig:AUK_PRJEB4211_v1:scaffold_3902:4421:5303:1 gene:GSCOC_T00009512001 transcript:CDP21412 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKICFVGFFCTLLLCVLPTLTFFSCIGKIPFTYICGSYFAGYFVGNLSHMGTPVPPREKVNVYVNGNIKVAYMAEDDIATYTIKAQLIGKWEKLIGRELEKCSISPQDLRASVKGMDYAAQVVVCHVYHPFTEGCLTKFEAGKDGQEASELYPEVACTRIDSYLKRYV >CDP21414 pep supercontig:AUK_PRJEB4211_v1:scaffold_3905:2425:3975:1 gene:GSCOC_T00000948001 transcript:CDP21414 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSIRQLVFLAFMEANLTHLALARNQFSGPIPLGIGKLQYLVELYLDNNNLTGSIPASFGDLNRLAELRLFQNNLSGPIPSNLTNLIELHLFDNQLSGSVPKELGNLKFLTIMAMSKNQLNGSIPASIGNLSNLEVLYLDNNQFSGSIPATFGNLNRLVNLSLDQNHLSGPIPHSLDNLTNLIHLDLSNNQLSGSIPLGNLNKLVVLRLFRNQFSDPLPELLCQSGILQNISVAENMLTGPIAKSLQNCSSLVRAHFNGNHFQGSLSEINWGKCKILKTLVVAKNNITGGIAPEIGNLTQLHTLNLSSNYLSGEIPREVGKLASMLKLDLHDNQLTGGIPQELGVRNSV >CDP19259 pep supercontig:AUK_PRJEB4211_v1:scaffold_391:66652:76675:-1 gene:GSCOC_T00005942001 transcript:CDP19259 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSKTLPYSMKDVGYDNAKFRHRSLLKTFIQSSLTSNVKRECTKCSTGKFLVLLLIGGLTYLMITHTSTSVSVSSNAKSNNIGTEELSSLRRFWRKPPRLPPRLSPDEMSSKSVPNRTLEKLNTKSIWMARQQKVKEAFLHAWSGYKTYAMGYDELMPLSRRGVDGLGGLGATVVDALDTAMIMGVEEVIVDAGSWIEKHLSERISGKGQVNLFETTIRILGGLLSAYHLSGGQGGSSLHKGPKPVVYLDNARDLADRLLVAFTSSPSDIPFSDVVLCEHSAHPAPDGMSSTAEVSTLQLEFNYLSYLTGNPKYGLESMKVFEHLKTLSKVEGLVPIYISPHSGEFNGENIRLGSRGDSYYEYLIKVWLQQRGRNSTYLFDMYEEAMKGVRHRLVRQSVPNGLVFVGELPYGSQGSFSPKMDHLVCFLPGALALGATKGLTKDKAVKERILTFEDLENLKLAEDLTKTCVEMYSVTSTGLASEIVYFNTEGNSESGPDGGNKSSEYVHDIIIKPADRHNLLRPETVESLFILYRITEDPKYREWGWQIFEAFEKHTKVDTGGYTSLDDVTTIPPQRRDKMETFFLGETLKYLYLLFGDESVLPLDEFVFNTEAHPFPILGNLVKQ >CDP19258 pep supercontig:AUK_PRJEB4211_v1:scaffold_391:62628:66571:1 gene:GSCOC_T00005941001 transcript:CDP19258 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSYAKNFLKRFCVDDYLLNAKTDSCFFSTDLLPSLGVRINQATKLRKRIISPFNPRYRQLHAYLDSESYLLVDNPKAIAVRYISTWFIFDVCSTVPFQSISLLFTHHSGGLGFKVLSMLRLWRLRRVSSLFARLEKDIRFSYFWTRCTKLISVTLFAVHCAGCFYYMIAARYPDPKGTWIGSVYPNFKELSLWDRYVTAIYWSIVTLTTTGYGDFHAENPREMLFDIFYMLFNLGLTSYIIGNMTNLVVHWTSRTRNFRDTVRAASEFAKRNQLPPRIQDQMLAHVCLKFKTEGLKQQETLNGLPKAIRSSIAHYLFFPIVQSVYLFRGVSYDFIFQLVPEMEAEYFPPKEDVILQNETPTDLFILVSGAVDLIAKVDGHDQVLGKAVTGEIFGEIAVLCGRPQPFTVRTTEVSQLLRLNRTALMNMLQANAEDKHVTLDNLFQKLKGSGSLGYTEQQTDGLLHSDWLHGGPKGATQVHAGHENSLHANLETLNMVDCNIRSSEAMKEKQLGYCCDSSKYGENMELTDDDGQTATIKAVREGHLEMVKILLEREICVNKQDATALTPTALAEQEANKRIYGLSSSNKNREKPDEHKIELLVPEKAKITGIGPFKPTKINCPKLFHRNPIDISSSNIKCLSHSDITEFTRKRVTLYMKIQQHNTGQKLLGKLILLPDSVEELLRIAGDKFGGQNFTKVQNAEDAEIEDVNAIRDGDHLFFSS >CDP19260 pep supercontig:AUK_PRJEB4211_v1:scaffold_392:53788:58801:1 gene:GSCOC_T00000152001 transcript:CDP19260 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFALDLEELRHLQSIAKRTRVVSLISAEINNLEKLSKNAALTKSLQVPTPICTAPKGLSSPALLYVTLGSFSWDQDNEKVKIYASLEGVDQEKIEADFKPMSFDLKFHDVQGKNYRCAIPKLNKEIVPEKCKVVVRPTKVIITLVKASKGNWSDLHFKEDKLKPNLDKDHDPMAGIMDLMKNMYEEGDEEMKRTIAKAWTDARSGKAADPLKSFQ >CDP21415 pep supercontig:AUK_PRJEB4211_v1:scaffold_3923:2174:4436:1 gene:GSCOC_T00010667001 transcript:CDP21415 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVTFTVQDGGVSIFKFDHAKGRCGGTLARPASVECALSVLEMEVEQINKGKYMHYMQKEIHEQPESLTTTMRLIRGGACKSKTVLLRGLKDHLKTIRRSRRIVFVGCGTSYNAALASRPIVEELSGVPVTMEVASDLVDRQGPIYREDTAVFVSQSGETADTLQALDYALENGALCVGITNTVGSALARKTHCGVHIKAGCEIGVASTKASNWHFAYTSQIVVMAMLALAVGGDMISNETRREAIIDGLLDLPSKVKAVLKLDEEMKDLAELLISEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPQPSNCFSCLSYLFHKHLFCCSKQQSVIQQLQARKGRLIVMCTKGDSASVSVGGSCRVIEVPHVEDCLQPVLNVIPLQLLAYHLTVLRGHNVAQTRNLAKSVTTQ >CDP19262 pep supercontig:AUK_PRJEB4211_v1:scaffold_395:141932:142030:-1 gene:GSCOC_T00001089001 transcript:CDP19262 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSTVLLSDIKLIRTNTTLDLSQKAEKDML >CDP19261 pep supercontig:AUK_PRJEB4211_v1:scaffold_395:46960:47876:-1 gene:GSCOC_T00001088001 transcript:CDP19261 gene_biotype:protein_coding transcript_biotype:protein_coding MNERTYPFHVWFLVNVVINLCIFDVHTLFWIGNVYKFRYKFWIVALFILVILFQFHLKGLYE >CDP21417 pep supercontig:AUK_PRJEB4211_v1:scaffold_3952:5145:6326:-1 gene:GSCOC_T00006230001 transcript:CDP21417 gene_biotype:protein_coding transcript_biotype:protein_coding METTNMEETDQGIDEFLPEETGQFLRHIPEEVISKVLSGLPIKSLCRFKCVCKSWKSLISDPKFSLITSRGRERAIFWDERTPSFYSLDRDLVLEKLPSPLEKIPDCANQECLFLGSCDGLLLFRVSDTVLLWNPSTRCCRRLFNLNLMAKDYVVEASGLCFDESIREYVVILALFGGRRGDRRIVLSASLSTKIHKRICFPYEICYLANSGISVNGNLHWIIQERKGDPQLIIYFDAKASRFSKLPMPKYNVGDSTHIFGLGVLDGCLSMSRFGNIWNQEHANEILIMREYGVEESWTTLCCLPFKVVGGLGWLGWLPPLFYTKKNKEVLVTNGVHVSVFDLRDKSLRDIHLPKLPNFYQCHMYLESLQKVHPLNPDESMGKEKEKLVICKD >CDP21418 pep supercontig:AUK_PRJEB4211_v1:scaffold_3953:1697:4616:-1 gene:GSCOC_T00006543001 transcript:CDP21418 gene_biotype:protein_coding transcript_biotype:protein_coding MYQMSLSLWKKYWSFLLVSLLEEELIAKKVLNWWVMSYDLINYRENIKKTVSSDSSLSIASLLVLVLVLAGLATSCEATNNHHCNPSSCGDIRNISYPFRLKEDPIHCGDPSYELACENNRTIVNLFLQKYYVQEIIYEKYLMRVVDPGLDEANCSSFPINTLEYDELQMADNPQDAYDIFYTNIPVLFISCPAPVRSPFFSDIMAFCRNHMSSNFSSPTNNHSYLLFGNHEVSVLNDSCAVNMAAWITKDFGGRKAYTSPAGLYDALAYGFLLDWRGIYCQQCSSGFCDRDVNDSIRCSPKYWQCELLHPSTFRPTFECLKEIWYQKVEFLNQNTAISRELGILIGMCLAGRFLLGVPFLFALIIYKIRRSHLSMYENIEDFLQSPNNLLPVRYAYSDIRKMTNNFNDKLGEGGYGTVFKGKLRSGPLVAVKMLGKSKANGQEFISEVATIGRIHHANVVRLIGFCFEGSKRALVYEFMPNGSLEKYIFRKETETTSLSCEKLFNIALGIAKGIDYLHRGCEMQILHFDIKPHNILLDEHFAPKLSDFGLAKLYPTENSIVSLTAARGTLGYMAPELYYKNIGGVSYKADVYSFGMLLLEMAGKRKNLNPLVDQRSQIYFPSWVYDQLSKGNSIEMGDASEDERKMLKKMILVALWCIQMKPINRPSMNKVIEMLEGDGELLEMPPKPFQNPDEMPAPEAEDGGNDAEETANFPLLSLDRVDSSDMSMDQE >CDP19263 pep supercontig:AUK_PRJEB4211_v1:scaffold_398:159215:185757:1 gene:GSCOC_T00012071001 transcript:CDP19263 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSRGNNSYGQQQPQQQQQPYPSQSAYTQNLGPGYHGPPGGRPEGMLQPSVVSRHSSMLGGPQEGDMGGYRGHSHLSAGPQNYGARQYSSVYSSADQQDCASSPSQQGNGLSGPSIVLIGFSVLAVAPKISAKGSLPSLLEGRRGFNSSMADSPKFASGDYVSSSGHAFGHKVDQLYSDRVSDYTPGDRHQYGDRHSIYIGRELPSEPASRYAESVAFVHKHQPEIYERTEQPSMLRQESVLKTQSLTSTSLGGNSRQADFLAARGNTLHRSAEDHYPIQDPIAYGGRMDPDPHSLSMLSGSSYGKNHSSSILGAGPHRNVDDLKFVQGSLDPGYGVSLPPGRDYGTGKRLQGMSIDSDYPNTMLLRGAHPMINDHKDDRVAYQRELERRGKEHHRDYSREREKDREREKEWEQEWQREREQERDRERERERKRERERERDRERERQLFIERREKEREREQHRKHEITVKRERTPARLSKERRGTSLTKDGRPSRQESPRHEALHRRHSPPKEKRRDYVCKVYSSRLVEVERDYLSLAKRYPRLFVSPECSKVVVNWPKVNVKLSLYTPVSFEHDFVEDDAAIECKVLLSGLPTWDLSKSEHRSTVWNSKMILMSGLSQNALEELSSGRSYEDRIPHFCNMLRFAVLRRDNSLMAIGGRWDSTDGGDPSVDDSSLVRTVLRYAKDVAHLDLKNCKSWNRFLEIHYDRVGRDGIFSHKEVTVLYVPDLSECLPSLDAWRDQWLAHKKTFFEREQLQTLRKQKSGENKTGTQGSHSDKVEDVKDAKGQGLPHENKETSLSGEVTYVHNDELHGSNDKGNVAERDCQMTDQNVRNKEGLESVQGGSDLMKGDKQESMQTVDTIVPGKKKIVRKVVKQKVAKKDNLETADKQADLLGGKDSGEKPADPEVPGQQDSSSANVSEIKTFKRKKIIKKVVVGKAAERVDGQLMPEGIQRKSLNELECAEDKASFKPDGGNTMVAQCAGAKTAVKKKIIRRVPKKKASAKDGNNDATDAGTKKGNVKDEKLIQDNNEDQIKEAQTSGINSKQSTDMNIGNYISSTIETEAVNAEKQEKKIEMRADQEDVSESKTEIDKQKIPEGDDHAKAKEREHLKDEKERRGRDEKDDSNKLKKELKEKRSSDEAPRHPGLIIQTKGSKDLKLQSLSLSLDSLLDYNEKATEESTFELSLFAESLYEMLQYEMGSRLLTFLQKLRVRFVIKRNQRKRQREEDCTKKGEEKPTGRRQKRDGTIEDVKFNKTETDEVVSPEGKGSIVNETTTPFVTEDVKKNETNEEEDPEEVEELSDMDVDVKSRKDAVGPKDQKDTANVVAQQTKPDLVQGSEEKIGKTDISNQGRNKAFRFFDRNRVGYIRVEDMRLIIHNLGKFLSHRDVKELVQSALLESNTGRDDRILYDKLVNISDMLNQ >CDP21419 pep supercontig:AUK_PRJEB4211_v1:scaffold_3994:2:2774:-1 gene:GSCOC_T00007278001 transcript:CDP21419 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFSEITSLFSSLASNAGALDPTATNEDDEDLAITISNLNRSLNLSETQPSTRVLDTALSLMCFTAPQVFQSVFDCTVKTIVAVLSSSIECKVIKIGKNEVLRVGGSICRQDCAEIIEACVDVLEKLEGHRDLFLSMVHAALHMVVLASRFKYTLESSAVLDVKTVDGWKLSFQKLLAHVPKESCMSRDMPVRLLCWYLDPALLKHNMSQILQEVNKRPFLCLSMAFSEMTEWHSILISLTLAPSLFIEARALLHNWYLLTGLASVLRLQIQLVSLVLDIVSRPMSWGLSMETGSKMPFCDAYFPYKQKSIRILAGPLSWENFQQLVQKISRLVSQGGKDYNGSSEQGVLKMELVDHKSLWAIAINFPHWFLFACLLLFSAPDFQGISHLKYMIHSTDKSYDE >CDP21420 pep supercontig:AUK_PRJEB4211_v1:scaffold_3994:4360:5004:1 gene:GSCOC_T00007279001 transcript:CDP21420 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQNENLYCLPKTAMLCASSVCSAFVGSVNIPHYFIGDIFFF >CDP19264 pep supercontig:AUK_PRJEB4211_v1:scaffold_400:142572:146214:-1 gene:GSCOC_T00000196001 transcript:CDP19264 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKHVRLLILTDLHTTILNFFFWVGYTTILICRNSLLYSHHSLPPFGATSSYTSSAPFSPYSLPSLYYFLGGFWRGSWCLFMSRWCKRSLVCVRNRSRRNPRIAGDSRSSTTALMPEIQLLDGSYPRL >CDP21421 pep supercontig:AUK_PRJEB4211_v1:scaffold_4002:1595:2850:1 gene:GSCOC_T00007537001 transcript:CDP21421 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKALVSCVLLLHLLIVLGACDIIPKAKDSGTNAIRLQGMDANNPHRNDKTHHVAHVHEKKSMHDPSLSSSHMMHQMDPRATVFFIFDDLKLGKTLSILFPDGDPSPLSSPYLWSREQADAIPFSLAKLPQILQHFSFPQGSHQAQVMEHTLRACETKPMKGESRACATSYESLVDFARKILGLNTDIEVLSTHRLAKSNAARLQNYTITEAPKRISTLKMVGCHNMPYPFIVFGCHYQPGDNHLYRTVLSGENGDRVEAIARCHMDTSQWSHDHVSFRVLGIEPGTAPVCHFFPAEDFVLVPSTSSI >CDP21422 pep supercontig:AUK_PRJEB4211_v1:scaffold_4017:806:3337:1 gene:GSCOC_T00004619001 transcript:CDP21422 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMSKSTFSSCTTLLLLISVICSISFPHVHASSSSPSKPTSSDALCDMTPYSTFCKSQFPQNKSTNIHDHGRLSIQKSLSTSTVLLSAINRHLSSKSSLLSQTAVFALQDCQDLVTTNVDLLSNILQTIKNSDALQGSQYEDSNTWLSATITCLQTCLDGLKAVLSASPVASELSPSLTDGSMLSGVSLALLKYGWKPSTGKSRLLGDVNITKTVVVNPDGSGNYITINDAILAAPNNTKAGKGYYLIRVVAGVYQEYISIASNKKYLMMVGDGINKTIITGNHSVDDGWTTFDSPTFAVTAQGFVAMNITFRNTAGAIKHQAVALRSGADLSTFYKCSFEGYQDTLYTHSMRQFYRECDIYGTVDYIFGNAAVVLQMCNIYSRLPVQGQFNTITAQGKVDINQNTGTSIQDCNILAARDLALSNATVNTYLGRPWKNYSTTVVMESFMDKLINPAGWAPWSGDFALSTLYYAEYNNTGPGSKTTKRVTWPGYHVITKSSDVSNFTVSNFISGDSWLPATGVPYLGGFLHS >CDP21423 pep supercontig:AUK_PRJEB4211_v1:scaffold_4017:4811:5857:1 gene:GSCOC_T00004620001 transcript:CDP21423 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTFSLFLIIHSIMSPIHASSSFSKPTSSDGLCDITPYPDFCNSEMPQNKSTNIYDYGRLSIQKSLSTSQVILSAINRYLHSGSSSQSQTTVFALQDCKILLSSNVDLLSSVAGIIKDGNALQSSQYGDLETWLSATITSKQTCLEGLYAVSSAWAIANGLSPSLANGTMLCSVLLGEKFPFSGAKYARNHLPLRLSRWHPKFYESTIGRKLLQSGGGSVNITKVVVVNPDGSGNYTTINDAISAAPNNTQAGQGYYLIHVVSGVYQEYISIASNKKYLMMVGDGINQTIITGNHSVGDGWTTFNSATFGTYTSSIILRLCLVSPSNWY >CDP21425 pep supercontig:AUK_PRJEB4211_v1:scaffold_4019:4920:7389:-1 gene:GSCOC_T00000281001 transcript:CDP21425 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVFHCFKLISPTLLGEYKNTTLVLEDSGQNYSYQMLVPLYKVSEGYAGRVVSDDMKQLAQEVCESIRDNMGMQNFVQVYSQIQKDLKAKRDRRKHEEKLMAVVNPVRNAKRKLRIAAKHRANKKRKIMTLKMGRWMR >CDP21424 pep supercontig:AUK_PRJEB4211_v1:scaffold_4019:2223:2568:1 gene:GSCOC_T00000280001 transcript:CDP21424 gene_biotype:protein_coding transcript_biotype:protein_coding MATPADARAVKSLNTGEGSKKFVYKSNVQQIAEIEINVY >CDP19265 pep supercontig:AUK_PRJEB4211_v1:scaffold_402:33914:38357:-1 gene:GSCOC_T00010877001 transcript:CDP19265 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEQEGSIRRRRHLDRSAGDTDDRGWTPLHICARKGDLKEVKRLLKEGMDVNVAARGPKSHGVTPLHLAAKGGHLKVMDELLDQGADIDARTKGACGWTPLHNAAKERKKKAIRFLVENGAFLPDDINDTRFNPPLHYCPSLEWAYEEMRRLQQDNSTSSEITSSSSEN >CDP19266 pep supercontig:AUK_PRJEB4211_v1:scaffold_402:161474:161797:1 gene:GSCOC_T00010880001 transcript:CDP19266 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGQLASRCFALPQRKHFVTAPLLPRAYPRVSADRLPSSRRDLVSRYRRRQ >CDP21426 pep supercontig:AUK_PRJEB4211_v1:scaffold_4032:5744:6291:1 gene:GSCOC_T00005594001 transcript:CDP21426 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKRFRHMSNLFSGQLLTKNNFLPYPNLLPLVAFYYMKEEKLRHRFCYMWKLG >CDP21427 pep supercontig:AUK_PRJEB4211_v1:scaffold_4035:1374:1658:-1 gene:GSCOC_T00008117001 transcript:CDP21427 gene_biotype:protein_coding transcript_biotype:protein_coding MKAILDYFVSSTWQIITNGAYKSVEHRAIVNSQKERLSIGTFTFPKLDGDLGPAPSLITPENPAKFSRVLMVDYLKRLYSRELDGKSYIDTMRI >CDP21428 pep supercontig:AUK_PRJEB4211_v1:scaffold_4039:2:892:-1 gene:GSCOC_T00003716001 transcript:CDP21428 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAG >CDP19275 pep supercontig:AUK_PRJEB4211_v1:scaffold_404:36383:44184:1 gene:GSCOC_T00005637001 transcript:CDP19275 gene_biotype:protein_coding transcript_biotype:protein_coding MWPTGQGFRICPVTREVFPENLEKTVDKKDFPDLDIFICTADPYKEPPVNVVNTALSVLAYDYPTEKLSVYVSDDGGSELTLFAFMEAAKFGTHWLPFCRENKVLDRCPEAYFRSKYTLNSETDKIKIMYEQMKKRIENVVESGKVSEYITSEEEHEAFIKWNKEFTRQDHPAVIQVLLESCKDKDVTGFPMPNLIYVSREKSRTSPHQFKAGALNTLLRVSAVMTNGPIILIQDCDMISNDPRTPYNVLCYFMDASIRPKLAYVQFPQCFKGLNKNDIYSSEMKRWFHINPKGMDGLLGPDNMGSGCFFMRRAFFGGPSKAFFVQPELPQLSPDHEVNSSIKSKHILELADRLAGCNYEKGTNWGSKIGFRYGSLVEDYYTGYHLHSQGWISIFCQPKRPAFLGDIPITLLDVINQQIRWGVGLLEVAFSRYSPLTFGMQALGPLMSLCYANYAFNSIWSIPITTYSFLPQLILLNKIYIFPKVSDPWFILYAFLFLGAYGQDCLEFILAQGTLARWWSEQRIWLIRGLTNELFGSLEYLIKIMGFTTQGFHVTSKLVDDEQSKRYDRGIFEFGVASPIFLPLATAAIINLAALLHGTMHILKGENFDESFVQLFISGFGMVNCLPVFEAMVLRTDKGRMPIKTALISIISALGLYIVASFILKI >CDP19277 pep supercontig:AUK_PRJEB4211_v1:scaffold_404:57018:58997:1 gene:GSCOC_T00005640001 transcript:CDP19277 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGPIPKSLQNCSSLVRARFNGNRFQGNLSEMFGIYPSLDFIDLSNNKFYGKLSSNWGKCKMLKTLIVAKNNITGGIPPEIGNLAQLHTLNLSSNYLSGEIPREIGELTQLSELDLSQNFFTGAIPSEFQNLQNLGTLDLSQNNLSGLIPKALAELPGLLHINLSFNNLEGPIPSGRAFVNLTLEEVKGNKGLCGNVTGLPALEDMEVNKGDLFAICTYDGKALYKEIVRSTEEFSETYCIGKGGCGSVYKAQLPSGEVVAVKRLHNIPNVAKDRSFLNEIRALTEIKHRNVVKLFGFCSNAQHSILVYECLERGSLAKILSIEEAAKELDWQKRLNIIKGITHALSYMHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTAKFLRRDSSNWSSLAGTYGYVAPEFAYTLRVNEKCDVYSFGVLTMEVIKGKHPGDLIANLLSSKLEEIKLKDLLDQRLLYPNQQIEKCLISILKLARECLHVDPQCRPTMLIISRLISTC >CDP19278 pep supercontig:AUK_PRJEB4211_v1:scaffold_404:91429:92065:1 gene:GSCOC_T00005642001 transcript:CDP19278 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVEISPALLYALSKSLLYFEAQRYYSDGLEQGVDLVGGYYDAGDHVKLGLPMAFTVTMYMLLRQSNGVLIISARHTLDLMSIICFMLNECSRCSLVHSTRIRIKKKKDTK >CDP19281 pep supercontig:AUK_PRJEB4211_v1:scaffold_404:125884:126454:1 gene:GSCOC_T00005647001 transcript:CDP19281 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTSKFLRRDSSNWSSLAGTYGYVAPEFAYTMRVNEKCDVYSFGVLTMEVIKGKHPGDLIANLLSSKLEEIELKDLLDQRLLYPNQQIEKSLISVLKLARECLHVGPQCRPTMLIISRLISTC >CDP19273 pep supercontig:AUK_PRJEB4211_v1:scaffold_404:18926:20552:1 gene:GSCOC_T00005634001 transcript:CDP19273 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTMLKAPLHSIRSMPRTVFNHLFAGVYTIALLFLLYHHAFKLFSSTTFPSFFISISMFISDLLLAFFWFTAQGFRIRPVTREVFPENLEEMIDKKDFPDIDIFICTSDPYKEPPIDIVNTALSVMAYDYPTEKLSIYVSDDGGSELTLFAIMEAAKFGAHWLAFCRENKVLDRSPAEIFRLQETENSKTEKIKVKSFSLVFIAKIVANLKCLMEDGFSCLH >CDP19274 pep supercontig:AUK_PRJEB4211_v1:scaffold_404:31518:32379:1 gene:GSCOC_T00005636001 transcript:CDP19274 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVTSPWFFLYAFLFLGAYAQDCLEFLFSQATLRRWWSEQRIWLIRGLTCYLFGTLEYLMQILGIATHGFNVTSKAVDDEQSKRYHQGLFEFGVPSPIFLPLATAAIINFAAFLYGTMLVLKGGNTEDVFVQLFIAGFGVLNCLPIYEAMIIRTDKGKMPTKTTITSIIIACGLYLAAFLMLQI >CDP19280 pep supercontig:AUK_PRJEB4211_v1:scaffold_404:123611:124822:1 gene:GSCOC_T00005646001 transcript:CDP19280 gene_biotype:protein_coding transcript_biotype:protein_coding MREQMFNQKKDGGVEDGKGLHLIHSLLISASSVDENDIESAVDNLCDLYQNVCLSGDSLQRVAAYFADGLVARLLTRKSPFYDMIMKTPTPEEEFLAFTEFYKVSPFYQFAHFTANQSIIETFEKEEPNNSRVLHVIDFDISYGFQWPSLIQSLSEIATANKRVSLKITGFGRSMEELQETETRLVSFAKSFRSLNFEFQGLLRGSMLGSLRRKKSETVVVNLVLHLNTLNNVSKISETLKCVNLINPSIVVMVEHEGSRTPQNFLSRFMESLHYFAAMFDSLDDCLPVDTKLLLKIRSHCSPIQFDGGNGGFRIFEKADGKAISLGWQDRCLITASAWHCVQ >CDP19282 pep supercontig:AUK_PRJEB4211_v1:scaffold_404:130085:130711:1 gene:GSCOC_T00005648001 transcript:CDP19282 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIQNLVQHLSFPTPTVICIVLITFGEGYQIKSAKHIIVNGLDKDNFTYSYTVTESDAFPDVIEKVTYVVKFEASADGGSICKTSSTYHTKADIQFTEEQLEGGKEKIKAGKENALAMFKAVEAYLLANPDAYN >CDP19279 pep supercontig:AUK_PRJEB4211_v1:scaffold_404:105363:109417:1 gene:GSCOC_T00005643001 transcript:CDP19279 gene_biotype:protein_coding transcript_biotype:protein_coding MELGENQLNGSIPASIGNLSNLQVLYLQNNQFSGSIPTTFGNLSSLQFLYLYQNNLTGTIPKSLGNLTNLIELDLSDNQLNGSIPISIGSLSDLERLALQYNQFSGTIPQELGNLILDFIDLSNNKFYGKLSSKWGKCKMLKTLIVAKNNITGGIPPEIGNLTQLHKLDLSSNYLSGEIYRGKLGNLGVLMEFLDLSTNSLNGTLPELLGDLKHLFHMNLSNNVLSQKIPLQIGKLTQLSELDLSQNFLTGEIPFEFQNLQSLGALDLSQNNLSGLIPKALAELPGLLHINLSFNNLEGPIPSGGCNLELLYLYNNQFSGSIPVTLAISIGCSLQFLYLYQNNLTGAILKSLGNLTNLIKLVLSENQLSGAISVSIGNLSNLRDLNLLENQFSGTIPQEIGDLKKLASLELDYNQFSGPLPELLCKNGMLQTITVSENMLTEMFGIYPFLDFIDLNNNEFYGELSSNWGNCKLLQILMLAKNNITGGIPPEIGTLTQLQALDLSSNYLSGEIPKAVGKLASMLKLDLHHNQLAGGIPQEFGLAQLSELGLSQNLFTGEIPSEFQNLQSLGTLDLSQNNLSGLIPKALAELPGLLHINLSFNNLEGPIPSGRAFVNLTLEEVKGNKGLCGNITGLRASLYKEIVRSTEEFSETFCIGKGGCGSVYKAQLPSGEVVAVKRLHNVPNMAKDKSFLNEIRALTEIKHRNIVKLFGFCSNAQHSILVYELNIIKGSLMLYLTCIMIVHHQLYTETYQATTFCLIQNVRLTFQILALPSFSEETHLTGVLLQAHMDMLHQCDVYSFGVLTMEVIKGKHPGDLIANLMSSKLEEIELKDLLDQRLLYPNQQIEKSVISIVKLATECLHVDPQCRPTMLIISRLISTC >CDP19276 pep supercontig:AUK_PRJEB4211_v1:scaffold_404:44644:46504:-1 gene:GSCOC_T00005638001 transcript:CDP19276 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSHHFSFLLLPSTCNLLSISCYGNTSSNVCCNQLDSNSSLSISKNITSSTPLNWNVSADCCFREDVGCDRNGQVNCIWLASRNLEGTISTSIKSLSHLKELNFAHNKLSDLFLMIYIMCQHLQEFSLPGYGISGHVSEAIGDLSNLKIVELYGNNLTGAIPREIRRLSNLEQLFLHVNKLNGTIPSTPMNCTRLIKLNLRVNLLTRELSALNFSKLLQLRKIDLSNSHFVGPISNWAGTLPSLFYLDLSLNFLWGRLPISLSRLPLAIYLNGNNLTGTIPVGIGELHVLDLSHNNFTGSIPETVSHLINLEKLSFSDNTLFGEIPSSFKKLHFLSSFSVANNDLRLNTHWRSA >CDP21429 pep supercontig:AUK_PRJEB4211_v1:scaffold_4051:2926:4103:1 gene:GSCOC_T00007291001 transcript:CDP21429 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGKLVNQIEIKSDGDVFHEIFRHRPHHVSAMSPTHIQGCDLHDGNWGTVGSVIFWNYTHDGKKKVAKEVIQAIDEAKRSITFKVIEGDVLELYKTFIITVHVDAHGKSNLVTWTFEYEKKNPNIPDPNTLMDFCLHVTKDIETHHLK >CDP21430 pep supercontig:AUK_PRJEB4211_v1:scaffold_4065:98:2305:1 gene:GSCOC_T00000391001 transcript:CDP21430 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGKMPIAREIMVGLDDEAAKVIQRLRWGSEQVEIVPIVGMAGLGKTTLAKKVYNNSSVTCHFHIRLWCTVSQEFNMKNVLLQILCPDGKHSRKDEFQNLDEDDLVQKLRKKLLKNRYLVVFDDVWDIEVWIELRTAFPDHKNGSRIIFTSRSSNVASQVQYGGEPHKIRCLTVEESFELLQKKVFGEEECPQALHELGMEIVKKCWGLAFAVVVVAGILATIKHDILLWEKFAESLTSTMVSGADQWKKSLELSYEHLPDHLKDCLLYFAAFREDEKIGAKKLMRLWIAEEFVEIIEGKRSEDTAEEYLMDLIGRNLVMVSKSRSIGGVKTCYIHDLIFEFCKGEAKEKNFLQVLRGYDELSTFNEPPNLPRLSICSSEEDFMKSRLLCPHLASLLFFDATSGYKKFKLLNISFFFCNYKHLNVLNLQGIELRLEELPTEVESLLCLRYLDLCAWKMQIIPPSIAKLSHLETFCLYSNMVVSLPDSIWNMKKLRHIHVRWKGISIPFSSNDNGVENLSTLPNLDTLSRLCLCKEGENLLRRIPNVRRLKIFDRQTGNGVLNMSRLECLESLTWWGIYSSGSWEHVELPFPMTLKKLSLEAVGLPCSKMSLIELLPNLEVLKLRKRSMVGQKWELMEGGFPELKVLTLEEVEVVEWIEAGPDSGDGYFPYLQQLKLHRICKLEMMPASLRHISTLETINVSYCGDGVKSLVREIEEAQEDNYGDGNPKIIIRG >CDP21431 pep supercontig:AUK_PRJEB4211_v1:scaffold_4076:5521:6292:1 gene:GSCOC_T00003289001 transcript:CDP21431 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMDVVYKYYWENMILQTEQLGRNFDESFSFYDSSSPDGAQSSAASKNIESERKRRQKLTETLHALRAVVPNISKMDKASIIKDAICYIQQFHNQERMIQAEISELESKRSIFLDFDQEISYNSKPKRSRFEQTCDSAKSRSSPIEVFEVGL >CDP19290 pep supercontig:AUK_PRJEB4211_v1:scaffold_409:130497:131980:-1 gene:GSCOC_T00006698001 transcript:CDP19290 gene_biotype:protein_coding transcript_biotype:protein_coding MLAREELRSQEKLLSVEIQPSSQLFADLVSYFGNGYPLVQISVREYWICQISGSKVKKNTFSEK >CDP19289 pep supercontig:AUK_PRJEB4211_v1:scaffold_409:123101:127422:1 gene:GSCOC_T00006696001 transcript:CDP19289 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDDFNGQTLAEKLAKLNSSQQSIESLSRWCITHRKKAKQIVETWEKIFKSAPREQHVSFLYLANDILQNSRRKGSEFVNEFWKFLPAALKHVYEGGDENGKKAASRLVDIWEERKVFGSRGQSLKDEILGKNPTPATASNGKSSNPIRVVKRDAQSLRIKLAVGGLPERIISAFHLVHEESVNEEAAFSKSKSAVSWFKELGKDIESSSHAGKM >CDP19291 pep supercontig:AUK_PRJEB4211_v1:scaffold_409:134918:138561:1 gene:GSCOC_T00006700001 transcript:CDP19291 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHENALRHCVTQLENFETTRLALVSQLNEALQDQESKLELIHNELEVARSQIEQTLCVKQKLVSSSYLAPQSNAGSQLMEAARVAEASLPLTQLSSTPILPPTPPITFNSSKLNEEENKKAAAAAVVAKLAASTSSAQMLTSVLSSLVAEEVASMSNGLTSTGFSSSLPFGSPEKRPKVENPVRFSEVNHSDGSHAAFFASAQQTVSNMPVGAANGMQLMSQGNQLQATFPQPPPLPPPPPQSVTPGNSSATQLGQSAAMMIGVPYGYGSSNLPPPPLPPHMPMGFARPAPLPTQQPPPQQLQNQQSQQQQQAAAATPPVPRQ >CDP21432 pep supercontig:AUK_PRJEB4211_v1:scaffold_4090:2065:5884:1 gene:GSCOC_T00001694001 transcript:CDP21432 gene_biotype:protein_coding transcript_biotype:protein_coding MCWTSSTMKFFVGRGVNANLERIHRDAGRLGLPYLQVEEAFATIAAGATTSRQTDSTIVRRDVIGRDEDESEIVTKLLTESESVISVIPITGMGGLGKTTLAKAVYKNEQIVGHFDQTMWVCVAEKVDRIEVVFKMILESLIGGKVEGDRREEIVKKIQHELKEKRYFLVLDDVWNDQEELLNDFFSTLAGLNAQKGSWCLVTSRLQKVAIILSRHPQINFTRHELGKLCDDDCWSIMKKWANVAEEVPEDIKEQILRRCDGLPLAARLIGGLLSRKREENWQSILEESLLNGDQGGIEQILKVSFDHLSPAPVKKCFAYCSIFDQDTELEQDLLVELWMAEGFLQPDSQNERMMEKIGYEYLRILLQTSLLEEVKWGRRTWYKMHDLVHDFAKSILNHNSSNQDRYLAVYSSERMVETINAKTSASLRTLFLKGGIADDMLSKFKYLHVLKLFGANAKELPTSIGKLIHLHLLDISRSWITTLPESLCKLYCLQTLRIGKLEEGSQEEGHGIQELGPLQDLKGSLEIRNLELINGKDDAELAKLSKKPNLHRLVYEWGNRDWGSDNCDEDVLEGLQPHPNLKELQILKFMGDQFPQWFMNLTSLVELRVADCTRCRKLPALGQLPFLKRLYLCGLENTTCIGLSFYTLKILSLRSMKILEEWKDAHEMRSTAGEVHVFPVLETLSISDCPKLTTIPTPSRFPSLDVLEIKGNRHVLLAEKRLCLFPTLRRVELRHAYNITTLRGMSCAACLKGLIVSFCENLRELPEDLYQFQALEHLEIRDCPRIDSFGYPNPKISFGQKGLLKSLEQFTVGWCDALTRLPVEMFESCTSLRELNLFECRRLVSFPLDLRRFPSLESFSLSGSIEALPDWLGNLAPLEVLILSRCPKLEYLPSVDAMERLKLRRLAIYDCPLLTERCIPQSSSEWPKISNIPKRKSDYKSF >CDP21433 pep supercontig:AUK_PRJEB4211_v1:scaffold_4091:3556:6420:-1 gene:GSCOC_T00006489001 transcript:CDP21433 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFFVPKIIDELGDVVVKQPGEKINLVMGVEKEVANIKRKLETIQNVLQDAERRRLKEEPVGKWLEELEDITYEMDDILDEWNIKIQKPKNEGTQQNARLKATFDIASKIKSISEKLELTLKEADEFKFITSGGVPDSQDFKQIMTTSIIDESEIYGRESDKVALRNQVLSESSSQGRRGGQVISVVGAGGSGKTTLAQLLFNDDRVKNHFEPKKWVCVSDPFDEKRIARAILESPEKSSLGSLELEPLLQLLKETFSGKRFLLVLDDVWTEDDSKWKPFKDSLKDWAPGSVILVTTRSHRVATVVGTTVANTHQMTQMSNSDCWLIMQRLAFANKSGDLCKKVERIGQKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLDEAAVELFPHLYLSYNELSPELKRCFSYCAVFPKDHEIYVMDLILLWIAQGYVRPRRRGERLELVGREYFINLTMRSFFQELEKVGDEYTWCKMHDIVHDFAQFLTKNECTEEEMFSSRVVDFGRLRSFLTFLRFGRAVVPQNLFCRLKCVRTLTLSCCGLAEIPAEIGRLIHLRHLDLSDNYFVALPEAICDLYYLEILDISLCQMLLCLPERIEDLVHLRHLHNDNTDELRQIPQGLGKLTSLCCLTRFIARSNSDDLAILKDLNQLESLCVEIEGEVDFGSVELGKKVNMCEMALIFSSGAHLIETPSCIESMEPPPNLEELQLDGYPGAQLPSWLVTKPLVNNLTRLYIEKPRNISSLHALWKLSSLEELKLREAEKLECLGKEFFGSSFSAEAVAFPNLRKLHFRYFQNWTNWEDLSEDDEEVAVSIMPHLEELQIKYCEKLEVLPHRILSKISSLKKLDIQSCDKLRNRYSDKTGDDWKQISHIPQVHISDY >CDP21434 pep supercontig:AUK_PRJEB4211_v1:scaffold_4099:1658:1935:-1 gene:GSCOC_T00011085001 transcript:CDP21434 gene_biotype:protein_coding transcript_biotype:protein_coding RFELRILMSIAACFMQDLSVEELCPRMTIF >CDP21435 pep supercontig:AUK_PRJEB4211_v1:scaffold_4104:4145:7219:-1 gene:GSCOC_T00012603001 transcript:CDP21435 gene_biotype:protein_coding transcript_biotype:protein_coding PCGFDPIHRYIQNLYFYRVGIYYCTGSAPVIDISSCRITSLPESLCKLYNLQTLTMSDGSLARGFPKGMSDLISLRHLNYNDYHAKFKMIGRLTHLQTLKFFNVSQERGCGIEELGTLKYLKGSLEIRNLGLVKGKEAAKQAKLFEKPNLSCLVFEWESGDRESDNRDEDVLEGLQPHQNLQTLEIRHFMGNKFPQWLINLPKLVELRIEYCTRCSELPALGQLPSLKHLYLRRLDNVRYVGDEFYGRSTRTRKFFPAFEELCVFSMRNLVEWKDADQVRSTIGEAEVDVFPMLRDFHIQSCPQLITLPCSCKILQKLRIYFCDNLRELPEDVFGSSLQKLTISFCPRLISVGVNGHKCPLPCLERLSIYYCDGLTTISDKMFESCPSLRSLVVMGCSNLVLFSLNLQETPSLEEFILVECPKLIPHRFKGFAFATSLRKLQIGPFSTDDSSIDGLPHTESLPHQLQYLTTVTSLRLASFGGIEVLPDWIGNLVSLETLDLSNCENLRSLPSEAAMRRLTKLTSVEVDDYFTSSDSEQEGNDGAQPSVSCCFPSLLKKEKLGESRPHVVGQRHHPLLLHFLQDCQEAEVIFHD >CDP19295 pep supercontig:AUK_PRJEB4211_v1:scaffold_411:161714:171969:-1 gene:GSCOC_T00001497001 transcript:CDP19295 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRAIGSRAARDLINGKQDPTQAVASGIFQINTAVSTFQRLVNTLGTPRDIPELREKLHKTRLHIGQLVKDTSAKLKLASETDHRVEVSASKKISDAKLAKDFQVVLKEFQKAQRLAAERETAYTPFVPQAVLPSSYTASETDASSDKSPEQRALLVESRRQEVLFLDNEIAFNEAIVEEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIDDIGSNIENAHAATAQGKSQLAKAAKTQKSNTSLTCLLLVIFGIVLLIVIIVLAA >CDP19294 pep supercontig:AUK_PRJEB4211_v1:scaffold_411:135508:159771:1 gene:GSCOC_T00001495001 transcript:CDP19294 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEVKSSPDSKSEVKKTFLFSIVQLVQPKFHSTFCPDRCLVSNLGDVKKSENLEEAEADELKAAFERWKSKTYALSVPLRVVALRNSLPSIWFQEFIQSQGKRVKLQPEFRQNLTDIFSELCQSNNKGAVSPKSAMAADVVTLGDSWLSFAIKEGLIQPIQGVEEQDWFRDLTEDWKGYLRRSTDGNLDPQGKIWAVPYRWGSLVIAYKKSKFLKHKLAPVVDWADLWRPELAGKISMVDSPREIVGAVLKYMGASYNTHNITSQVAGGKSALKEKLALFAKQVRLFDSQYYLKAFGVGDVWVAVGWSSDILPAAKRMSNVAVVVPKSGASLWADFWAIPATSRVKTGNVGGRVRGPSPLVSQWIEFCLQSARALSFKEEAIPGALPIVFQDPVQGSGNLHKGQPKLETNLIACMPPPDILARCEFLEPLPEDTMADYQWLIANMKKPKQSVMQILQHYILLIVRSLLAIVQSKGGKTS >CDP21436 pep supercontig:AUK_PRJEB4211_v1:scaffold_4118:3126:7179:-1 gene:GSCOC_T00008393001 transcript:CDP21436 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVRDVKLKLKEINQQARDFGLDSKSVVPAALPAAPAVGGTRGRQTDSVVAPMVGRADDELNILEMLLRPSEKVVSVLPIIGMGGLGKTTLAKSIYNNQQIDGHFDKKIWVCVSKKVPIEELFKLILVQLTEEKVEVEDRNVIVGKIRNHLGGKRYFLVLDDVWDDDQALWDDFFTTLMGLNPTNGSWCLVTTRLGPVAHSVSRVLRMMENEAYPLGKLPDDHCWSILKEKVVGGEEEPDELKAIKERVIKRCDGLPLAASVIGGLLSLKRKEEWRSILEKRLSSLSASGDGVMQILQLSFDNLPSPYIKKCFAYCSIFPKDTEMEGDMLIKLWMAEGFLQADANRQMMMEEIGDYYLEILLQSSLLEEIRYNVRRYYKMHDMVHDAVKSIMSKFTKVINSETGTKNFLQSRSNLLHTLFLSQGSLSDDMLMKLKNLHVLNLSGAKNQNLPISIGKLIHLRYINVEYSTSETLPESVSKLYNLQTLRLNSLALKVLPKGMRDLISLRHLHYYTRDEAFQMPLEMGRLTCLQTLKFFNVGREKGRRIVELGSLKNLKGKLEIRNLELVKGDMLRSCASLQKLQVSWCGNLVSFPLDLQQTPSLLELGLYCCPKLKTSMTPKGFGFLTSLRKLVIGPFSDDGDDHENSSIYNEFDWSGLMSSSSSSSALRRLELYGLPHMESLPHQIQYLTTLTSLVLRDFGGIKALPDWFGNFAALEELYLIGSKRLRHLPSEAAMRSLTKLKRLRVYGSPLLKERCTPESSGPDSQWSKVSHIQRLFIRG >CDP19296 pep supercontig:AUK_PRJEB4211_v1:scaffold_412:109103:121334:-1 gene:GSCOC_T00012830001 transcript:CDP19296 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGNPQDMWGNSGNSDGNGGNMAAPPPPGIPPPLMPPGTSGGAGPTGPPPLPPPPSYTVLPTEAQLEEKARKWMQLNSKRYSDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVYTWFYDHKPLVKTKLINGPSYQKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLGVYHTPMVMYIKTEDPDLPAFYYDPLIHPITSSNKDRREKKNYEEEEDDDFSLPEGVEPLLKSTPIYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLAATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDAKVETRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMSQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCIAMPPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDLTNHARILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRANKDTGSNPHGYLPTHYEKVQMLLSDRFFGFYMIPDNGPWNYNFMGVKHTVSMKYGVKLGTPREYYHEDHRPTHFLEFSNLEEGETAEGDREDTFT >CDP19297 pep supercontig:AUK_PRJEB4211_v1:scaffold_413:11376:13972:-1 gene:GSCOC_T00006865001 transcript:CDP19297 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAIQDINNNNEVVNRHEIQAAIAKAVELRALHAALMQGSSPTNLKFSSASPGSLYASHFSAQDYPVFTPSYEEEPLPGYQQLRLDSRSYADSWDEYGLGGDEDESNLSNYTKANASSMKGFPNDLLNLETHVCPADDQRSVTGSSTTNNNALLRTSPGTDFCKSRRNSLGEFRSVSSSCNKCRPAVINTETDLSAKSLKNSNLVVPLTESHSSVNSQPKNRVLNLSRLFPRLKKKNKNGNSPNRTEPEEVSQIFKDLGMVSVETLRKELLEANERRDTALSEVAEMKSSMGDLRQKLEHLETYCEELKKALRQAAQTKSSQVIDKLGNFSKQGKSIAGNGENLMPVSEEAMVEGFLQMVSEARLSVKQFCKILLGQIDEADNNLRENLNFLLLPYKLSLNSKFSKVILYHLEAIINQSLYQDFENCVFQKNGSPKLLDPQQERHSQFSSFVALRNLSWNEVLRKGTKYYSEDFSKFCDQKMSLIITTLNWKRPWPEQLLQVFFVTAKCIWLLHLLAFSFNPPVGILRVEENRTFDPHYMEDIFMDRQKTQGPSRVKIMVMPGFYVHDKVLRCKVLCRYKSVVK >CDP21437 pep supercontig:AUK_PRJEB4211_v1:scaffold_4130:1941:3698:-1 gene:GSCOC_T00006624001 transcript:CDP21437 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFFFLGAFFLFCLMLVKIVKKSKTVKLPPGPKKLPIIGNMHQLIGSLPHRILADLAKKYGPLMHLQLGEVSTVVVSSADAAKDILNTFDALFANRPTLLTSTILFYNNTDISFSPCGDYWRQLRKICTMELLTARRVQTFGSIREDEVLNMIKSISSQKGTVVNLTAKLRSLTLSITTRAAFGKRSKYHDEFLSLMDDVVMLMSGFSIMDMYPSFKILERITGIRHKLETLHKKIDEVLENILNEHRVKRAEWKPENGEAKQNLLDVLLNVQQSGEFGAPLADNNIKAVIFDIFAAGGETSPTTMGWTMAEMIKNPTVFRRAQDEVRQIYGEMGNVDESRLHELKYLHAVIKEALRLHPAAPLLLPRECSEKCEIQGYEIPVNTRILINAWAIGRDSQHWTEPEKFFPERFLDSEIDFKGTTFNYIPFGGGRRMCPGISFALPVIELPLAQLLYHFDWKLPNNDLQQEQLDIAEVFGVVVRPKQDLLLIPIPYHHSSI >CDP21438 pep supercontig:AUK_PRJEB4211_v1:scaffold_4134:430:996:-1 gene:GSCOC_T00000766001 transcript:CDP21438 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCLMNCLSHRADNGTSSSDQVFSVPSFRRLLAINFPEWRQATAGCVSAMLFGAIQPSYACAMGSMISVYFLTDHKEIKQETEVYALCFVGLALFSVFINICQHYNFASMGEHLTKRIREMMVAKILSFEIGWFDQDENTTGVVCSRLANDTNVVSRILPWETSFNAALSIESNLVTMAYSVLALIT >CDP21439 pep supercontig:AUK_PRJEB4211_v1:scaffold_4134:4542:5328:1 gene:GSCOC_T00000767001 transcript:CDP21439 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKDNKALSGVAIGGAVSFNVIIAGPITGASMNPGRSIGAAIAAGAHKNLWVYIVVPVLGSLAAVLVYCLLRLPESEKEETSTNVNHKDFYFHPDL >CDP21440 pep supercontig:AUK_PRJEB4211_v1:scaffold_4135:2:3073:1 gene:GSCOC_T00008599001 transcript:CDP21440 gene_biotype:protein_coding transcript_biotype:protein_coding TDMQKLSRLLSTIKAVLEDAEQKQFIEKAIQLWFKELNVVACEIDDVLDDYAAEASRVKIGTRMKDILEKFNAIADERKDLGLSDQKRGSYFNASRETGSTVNEPEVLGRDEEKEQIVRILTKEKDRVDQNVSVLPIVGVGGLGKTTLAQLIFSDKCITEHFELKLWVWVSEDFDVKRIIKVLIESVEKTSIGDLALNILQGKLQELLRGKRYLIVLDDVWNENPREWEKMKSVLQCGSRGSSIVVTTRKQKVAEIMRTLETHYLSSLSEDRCWALFKQQAFDCQEKEERLEAVGKEIVKKCGGVPLAAKALGAFLRFKSEAEWNSVKCSELWNLPEDETDILPALRLSYLNLPVELRGCFAYCAVFPKGYEIEKEEVKHLWMANGLITSNGTMEVEDVGDAVLTELHNRSLFQAVEKEVFARRSVPAFKMHDLVHNLAQSVMEAKHGGTESNRTMMLDMPHDQLTTLPKGMRFLRNLRHLCLQGCGSLTHVPSGIGKLTCLRTLSKAVLGGKKGFQLSELRDLNMLGGELSIRHLERIEDKKDAEATCLIKKQSLRELNLHWDSERTLQRYNDEEVLEALKPSPNLQLLCVLGFKGSSSLPSWISTVTTVTVLGSAVEYIVGAQESTAAAAMSLSMKQLTLINMPNLKEMLGREVQGTPRVFSQLQSLRFENCPTLTLPLPRMPSLKKLSISTCPNMAWASISNLTSLNSFEIARIEGLSCFPEEMLQNLSLLESLVIRQVKDLRALPKSLASLTALKKLNIWDCPMLESLPEEGLRGLASLQKLDFVDCYNLVSLSMGTKALKSLTHLRIKGSDATALPEEVKHLPALQKLELDDFPNLTSLPNCIQMMTTLQSLTINYCELLGPRCQRGREEWHKIKHIPFLDLKIE >CDP21442 pep supercontig:AUK_PRJEB4211_v1:scaffold_4137:1903:2301:1 gene:GSCOC_T00004695001 transcript:CDP21442 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGNDSTQLTRSTGELVQVCLSHNLFRKQSTCFLDKEHQRSRVVLMRMSGGRSYFHLSVRF >CDP21443 pep supercontig:AUK_PRJEB4211_v1:scaffold_4137:2366:4699:1 gene:GSCOC_T00004696001 transcript:CDP21443 gene_biotype:protein_coding transcript_biotype:protein_coding MKHAENRVACAKLVESSMDGEELEGSWYMKKSACADTLIPEKAVVSKQKCMNGDVVDNCSLKE >CDP21441 pep supercontig:AUK_PRJEB4211_v1:scaffold_4137:1:1773:1 gene:GSCOC_T00004694001 transcript:CDP21441 gene_biotype:protein_coding transcript_biotype:protein_coding TDMLERLCLFPSLDVLEIKKNCHVLLAEKVLSNIANLSSLELRGGGRQRIESLKLVKQPESSLSIDGCNSLPTDMLERLCLFPTLQRVELIFVDNITTLRGMSCAACLKRLIVSFCKNLRELPEDIYQFQALEDLMIRSCRRIDSFGYPNPKNSFGQKSLLKSLKQFTVSWCNELTRLPVEMFESCTSLRELNLSYCRILVGFGYLTGLREVTIGPFSDDSVIEFDWAGLASSSSLRHVSLSGMRDTKSLPHQLQNSTTITSLSLLFFAAIEALPDWLGNLASLEELILFDCQKLEYLPSVDAMERLKLRRLKIRYCPLLERRCTLESGSEWPKISNIPEREIDRATSANEALSSDSAETL >CDP21444 pep supercontig:AUK_PRJEB4211_v1:scaffold_4163:1051:1182:-1 gene:GSCOC_T00005997001 transcript:CDP21444 gene_biotype:protein_coding transcript_biotype:protein_coding MACGHHIESVLQIPCVISDSAEAMEKTSNAISLLKKIGAYPDA >CDP21445 pep supercontig:AUK_PRJEB4211_v1:scaffold_4164:503:3523:1 gene:GSCOC_T00006081001 transcript:CDP21445 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVGFLIKQLSTLLSQESTLLGGLQPDVQFIKDELGSMKAFLRQAEAKEDNDSQLQEWVKQVREVAYDTEDVLNDFAFRFARGHADGFCGGVGKIYNSIKNLKARHRISLEIKDIKARVVEISARRQRYQPLHSTQERDSSSSNVANADYDIRDQALLIEEAKLVGIDQPKKELVSKILDDHSHLQLVSVVGMGGLGKTTLVKKAQRICQGLPQRKKDKDKIDEWEMILHGFGGEVDGSALGFIEEKEGMTATDIAMRYLKELINRSLIQVKDTWADGKLKECGLHDFLREIIVSKSKEQSFTAIITRYCTRWPDKVRHLAIHNFTDNPPQGFSSLKCLRSVETFGYEDPLTTSFLSKFLCGGPKFLKVLNLASAELDSIPKEVFKLFHLEYLDLSGTRVKVIPKSIGQLQNLEFLILAETTIMELPVEILKLRKLRTLTVGREGDYSNNFALWGFKSPDGIGKLTSLESLSCIEVDNGKIVREIGKLVQLRQLSITKLRREDGKELVSSLSRLTNLRELYICSIKEEETLDLQHSVSPRLALLTTLSLSGRLERVPEWLISLQSLRMLALHNSELSEDENAIDCLGHLPNLADLTLYRAYEGETLCFKAGGFRKLRQLGLVQLKRLKWVRVEEESMSSLQEFVIIGCKLMESLPLGLQNLTKLKGLGLADMSDELIHKTQNLDKQSEDYQTISHIPQVGIGHWINGEWKTEFL >CDP21446 pep supercontig:AUK_PRJEB4211_v1:scaffold_4167:1170:4046:-1 gene:GSCOC_T00000801001 transcript:CDP21446 gene_biotype:protein_coding transcript_biotype:protein_coding MALTILSSVLNQLSILLREEGQLLGGLRQEVEFIGDELGHMRAFLRVAETKEEGADPRLQEWIKQVREAAYDIEDVLDEFVARFARHPATGFHGSVRTIFNSIKTLRARHNVAEQLQSIKARVKNISEGHRRYQSDRDDALLVEEAELVGIDNPRQQLISQLLEGDDSQLKVVSVVGMGGLGKTTLVKKVHEDLDVRRHFPVRAFVTVSQTCNFQELLKDLTRQLHNELKKPVPESIEAMTAIQLKQFVKDFLQQAGRYAIVFDDVWDTEFWNAIRIALPKNGYGNRVMLTTRKADVAFASCTQSQDYVFRMVPLSFEDSWTLFCNKIFKGNGCPAHLTDVAKGILGKCQGLPLAILAISGLLALKHLTIAEEWEMVRRSLVGELEGSGMLDRVRKILSLSYNDLPCHLKTCLLYLSIYPEDFEIRCHRLVQLWSADGFVGKTEGIPMTDVGFNYLRELVNRSLIQVTKSFYEGIPYTCRIHDLVREVVLSKSREQNMITITSGQCTRWSSEKVRRLVVHSSSNNTEQQQESQYYCLNHLRSFITIECMNPLVSRALLSKALKSSRLLKVLDLSDEETLEEIPNEIFNLYHLRYLNLYRTGVKAVPKFIGKLRNLEYLDLGETQVKELPMEILKLQKLEHLIVYENVDFSRESYGFDGFKSPSKLGGLSALQTLDTIDASSGSVTVKEIGTLTQLKRLGISNLRREDGKVLCSSLATLTSLQLLNIASIRNDGVLHGPLEKMPKWIAHLQSLVRIYLKWSGLRDEEDPLEPLHYLPNLVTIHFCGSYQGEGLCFKAGGFLKLKDLYLQKLEKLKWLKVEEGALPNLHELGLDRLPLLEELPVDIQHLGHLQKLALLGLSYQLMEKLNNLNEDSEHYGKIARIPEVEIAFLTNEGWKYRQLWGKKM >CDP19310 pep supercontig:AUK_PRJEB4211_v1:scaffold_417:163386:177128:1 gene:GSCOC_T00009319001 transcript:CDP19310 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDDMHDANDAESGDDDFYSGMDSDGDYDYDYGAADYEFMGNEIDDSDDIIDSRGQKSYTILKEEDIRQRQEDDIMRISTVLSVSREVACLLLRRYNWSVNNVHEEWFAHEERVRLAVGLLEKPVVQSSNAKEVACGICFENYPLDKISAPACGHSFCNTCWQAYISTSINDGPGCLTLRCPDPSCYAAVGQEMIARLASDENKDKYYRYLLRSYIEDNRKTKWCPAPGCDHAVEYVVGSGSYDVTCSCSYSFCWNCTEEAHRPVDCETVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGVWSEHGERTGGFYACNRYEAAKQEGVYDEAERRREMAKNSLERYTHYYERWATNQSSRQKALADLHLMQTVHLEKLSEIQCQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEHEHTRRQFFEYLQGEAEAGLERLHQCAEKELQNYLQAEGPSKDFNDFRTKLAGLTSVTRNYFENLVRALENGLSDVDSQGSCSKTSSSKNATASSKGKGGGKGKGSSKTGGSSRNLDDSGNWACDQCTYSNVKSATACQMCQHRR >CDP19309 pep supercontig:AUK_PRJEB4211_v1:scaffold_417:46867:48707:1 gene:GSCOC_T00009317001 transcript:CDP19309 gene_biotype:protein_coding transcript_biotype:protein_coding MHYTLRRTPPYNLQNSKHFPHLDGHINIGNLLLRGNTRAYRKTYPKLPSYPTKPFAGSNSPLNRGFWGPVQLV >CDP19308 pep supercontig:AUK_PRJEB4211_v1:scaffold_417:10164:12011:-1 gene:GSCOC_T00009315001 transcript:CDP19308 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAELKELKLQLQDLQERGFIHESGSPWGHLGKENQELTLSRLSCVRKKNPKTRDLYTKLLLKYRESELKTAWLPFGHELVDPKEFLRSWNDSGIGACSGGWARIKCARRLVILSLNDNAIGGAIPSSLGLLPNLRGVQLFNNRFSGSIPPTLGLSPLLQSLDFCNNSLSGTIPATLANSTKLFRLNFSYSSLSGSIPTSLTQSQSLIFLALEHNNLSGSIPDSWGGNVKALYQLQSLTLGHNSFSGTIPASLGNLILNLESNHIDHQIPAAVNKLQKLSVLNLRNNQFACPIPAIVGNISFLTQVDLSQSKFSGEIPAFIGDLPNLSSFNVSYNNLSGLVPTKLAQKFNASSFVGNLELCGYSALTQCPIPPSPGPSTPPKSLAKKHHRLSTKDIILIVAGALLISREKGTHPTVGEVEAAGEAGGKIVHFDGPMVFTADDLLCATAEIMGKSTYGTEQYIRQHWRMVVLLQ >CDP21447 pep supercontig:AUK_PRJEB4211_v1:scaffold_4170:2:4476:1 gene:GSCOC_T00012253001 transcript:CDP21447 gene_biotype:protein_coding transcript_biotype:protein_coding SLYDFPFSSLPNLEYLDLSQNQIFGSIPKQIGNLSKLSYLNFYANELSQEIPPEICNLTNLTHLDFGSNQLSGPIPVATGNLILLQFLSLRQNNLTGTIPKSLGNLTDLIELYLHHNQISGSIPKELGDLKFLTNMELSVNQLNGPIPPVIGNLSSLQLLYLYQNNLTGAIPKSLGNFTNLIKLDLSDNQLNGSIPASIGNLSNLEVLDLYKNQFSGSIPVTFGNLNRLVNLSLHQNHLFGSIPPVIGNLSSLQFLYLNQTNLTGAIPKSLGNLTNLIELDLSDNQFSGSIPVSIGNLNNLEKLFLPNNQFSGTIPQELGNLSKLVFLELYRNQFSGPLPELLCQSGILQKISVRENMLTGPIPKSLQNCSSLVRAHFNGNRFEGNLSEMFGIYPVLDFIDLSNNKFYGKLSSNWGKCKMLETLIVAKNNITGDLSKNSLSGILPENLGDMKHLFHMNLSNNVLSQKIPLQIGELTQLSELDLSQNFLTGEIPSEFQNLQNLGTLDLSQNNLSGLIPKALAEMPGLLHINLSFNNLEGPIPSGRAFVNLTLEEVKGNKGLCGNITGLRACESSRLIKKHVKDKNKELVLIIVLPLLGSFTLLGALFGVLKLYDRRKQNSREEDMEVNKGGGLFAICAYDGKALYKEIVRSTEEFSETYCIGKGGCGSVYKAQLPSGEVVAVKRLHNIPNASLMLLSYMHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTSKFLRRDSSNWSSLAGTYGYVAPEFAYTMKVNEKCDVYSFGVLTMEVIKGKHPGDLIANLMCSKLEEIELKDLLDQRLLYPNQQIEKSLISVLKLARECLHVDPHCRPTMLIISRLISTIRIKKNHATVKEFRSF >CDP21448 pep supercontig:AUK_PRJEB4211_v1:scaffold_4176:47:1323:-1 gene:GSCOC_T00009995001 transcript:CDP21448 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENLKVLNALDSAKTQWYHFTAIIIAGMGFFTDAYDLFYARPLTKMLGRIYYTKPGAPKPGSLPPDVSAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLMLMCLCSIASGLSFGHEAKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILGGGVFAMIISAAFDAKYPAPPYEVDPVASTIPQADYVWRIILMAGAMPALLTFYWRLKMPETARYTALVANDLKQANADMSKVLQVDIEAEPAKVQQTAGSPSSSYGLLSKEFLTRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPAAKTMNSINEVFTIAKAQTLIALCSTVPGYWFTVALIDRMGRFAIQLVGFTMMTAFMFALAFPYDHWTQPGHHIPFVVMYSLTFFFANF >CDP21449 pep supercontig:AUK_PRJEB4211_v1:scaffold_4180:352:693:-1 gene:GSCOC_T00013497001 transcript:CDP21449 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKPSCAVRNQNHHCRPPRCHLCRPIRRSSRGFYYHLTINPLPPPANVTKKTLDKVWLDPNEGNEISMANFRMKETKRKRCYSGYGKRSFFFQISVLLQTPGTCFFLCLGL >CDP19311 pep supercontig:AUK_PRJEB4211_v1:scaffold_419:31894:49392:-1 gene:GSCOC_T00004590001 transcript:CDP19311 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVCCTTVVQCCAGSDVLRWRSFYATEGNLWKAHYQEVFDHGIREAFCCLGRVKYLTALEEDEIYSVAQLLGDLVTYRATGTGHLELLAGLALLQKCRPFTQSHEELLEIPPERVHEAAVFHQFAEAAYTFDLRFFLMFNVFQGRKFLILFFMDSGHCYHCWLIELLLSRRPAVEGDNWWRGHAAAFLKHVKSTPDALRKGRINQVSPYYCNILTLLYGLSAFSHSFKSFNKLILPFFYTIGKCKASYFMMVLHHLKSVVIAVRGTETPEDLITDGLCRECCLSKEDLDGLINDGATTTRVSSLSYYGHSGVIEAAQDLYMQIEGNSTQGSETCGYLSSLLGEGCECEGYNLRIVGHSLGGAIAAVLGFRLYRRYPKLHVYAYGPLPCLDLNAADACSNFVTSVILNNEFSARLSVASIMRLRAAAIDALSQDSIARSAIVSLAHRYFSLNDLHLKVLNVIAGRGEEDLESCWEEIAKEDSFKPEISLTDSKPNYDDLFSPPNVCHYDDQFKLAAAIPSSETRSSHNVPEMFVPGLVIHIVPVKEHVHHPLLKRFSIWEEDCSYKAYIAKRESFKDIIVSPSMFLDHLPWRCYRAIRKVLDSENLRSL >CDP19312 pep supercontig:AUK_PRJEB4211_v1:scaffold_419:74603:79422:-1 gene:GSCOC_T00004593001 transcript:CDP19312 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVRGPPLINVAAVCGSLRKGSYNRGLLRAAMEISKESVKGLNIEYVDISPLPFLNTDLEVDGTYPSEVEAFREKIQQADCYLFASPEYNYSVTGPLKNAVDWASRPPNVWADKAAAIVSAGGSFGGGRSQYHLRQSGVYLDLHFINKPEFFLNAFEKPAKFDSEGNLLDAAAKERLKEVLLSLQRFALRLRGESE >CDP21450 pep supercontig:AUK_PRJEB4211_v1:scaffold_4190:3710:5125:1 gene:GSCOC_T00010800001 transcript:CDP21450 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVMQRFEKGHSSMLGCLTSSRQLRERGITIDIALWKFETTNVTAQVPIWINLPRIHTKSNRYWIANWIMLE >CDP21451 pep supercontig:AUK_PRJEB4211_v1:scaffold_4193:1264:4458:1 gene:GSCOC_T00009904001 transcript:CDP21451 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREARNRDRDGDPVALLDFKSKIQHDPYGIMNSWNDSHHFCSWKGILCGRKHKRVTSIDLQSRGLVGFLSLFLGNLSFLRTLMLRNNTFQGGIPPQFGNLFRLRELNLSRNSLEGEIPGNLSQNLESLSLRNLESLSIHFNNLTGIIPPSMGNFTSLSVLSAADNHLEGKIPEVLGQLKTLIGLGLGGNRLNGNIPVSVYNLSQLEVLSLSANQLHGTLPSALGLMLPRLEYLQLRDNQFWGVLPASLSNASELGRIEIGDNGFSGRIAVDFGGLQNFILLSAANNSFGSGEVLDGLQFLSTMTNCSQLLGIELADNQLKGILPNSIGNLSSGFLDTMSLGGNQIYGGIPSTLGNLIGLETLSLERNQLTGTIPSTFGNLQKLQQLALISNKLSGEIPESLGNLSLLNELYLDDNNLEGSIPPALGNCKQLLLLGLSKNNLSGTIPKQIFGISSLSISLDLSQNHLSGTIPSEVGNLKILDGLDLSQNHLSGELPGTFGGCSSLEILSLAGNSFQGSFPEFISSLKGIQNLNLSRNNFSGPIPQFLVRMSIKSLNLSFNDFVGELPTHGIFGNDSAVSVAGNRRLCGGIPQLQLPKCQPLRESKKNKKLLRFIMPVAITSSFLVIVVISISIFRLRSFKRRRTQPKSPNFSGRLFLRVSYRQLVQATNGFSAENLIGAGSSGSVYKGVLTEGGNLSVAIKVFNLQHHGAFKSFIAECDAMRNIRHRNLVKIISSSSGLDFQGNDFKALIYEFMPNGSLETWLHRTDEHQQHIFPIPNLLQRINVAIDVACAVDYLHHHCHKQIVHCDLKPSNILLDSDLTAHVGDLGLAKYVHSAPNLQETSSAGIRGTIGYVAPEYGLGAEVSSNGDVYSFGILLLEMITGKKPTHPLFTGGLDLHTYVEMAIPERVMDIVDPVLLSPLGETKSNLLEQCLISLLKVSLACSMHLPEDRINMTQVVCRLKSIRGTFTMAEL >CDP19313 pep supercontig:AUK_PRJEB4211_v1:scaffold_420:124062:132509:1 gene:GSCOC_T00004909001 transcript:CDP19313 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMLEMVVKMRKIVIFTTKRCYRSFCSHPFLVGMLCFLLFLYRSSPFVFSLLVSASPVLVCTAVLLGTLLSFGQPNIPEIERDEKTNHEIASLRTGVFGDDVVVEKNESYNVEKFTDRRGDVVEQLNGVASSIANRASELHRGDGVDYGAPLIKARCQDIEFENRLGFEEKNEINDDRPVDGNAKTDDEDLELENDKSPAESFDSERVNVDSLDSPPGSPWKHVEEGEEREEEEEEEEVEDDEALDSGSDRAESSSPDASMADIIPMLDELHPLLDEDAPQPVSLSHDVSDAASERSLRSSDSSSESDDDNDEKQEDAEAADEENDDGDDEDEVQGNREGQTKSAITWTEEDQKNLMDLGTSELERNQRLESLIARRRARKTMSMMAERNLIDLDGADLPFNVAPISTARNNPFDLAYDSYDDMGLPPIPGSAPSILLPRRNPFDLPYDSSEEKPDLMGDSFQQEFTSFQPKEPLFRRHESFNVGPSIFGPSRQERQDSRLRPYFVADRMDSDGAGYSSFQRQSSELSDSKASSVPETDSVASAGDIDEKSLVEENISHQAEALKSDLISMKEYKSPTEEDISQEQEHISKIEHVSEHVGHGSQSSEETETLELDEIEHRDVEANGVKVGLLHVDSHHKVESLSIEEGSFSSSMELDQTETCSNAEAVKQVYQSTSNSSSLSDVSERISSEREVGGFSNLVEGCIDVGEEAGVSARPSEEGSDLNMARDTPQKEPVYDSSPPAVRKNCSSSSMSSDFHVETEMPTLSIKRPLSFTERESESGKEERDKNAYDDNDMSASVAFPVDENQRETWMATDTSGNHMIGVDLSGADEVSNSTSAPEAPEPHVKLACRDSKSFQDTAAKGDLTHQHGSEHQADNSFALVDADVHLVVQNVVHSTLESMATSCEDQKFVELDETPSLAVKPVLHANMPYTVGQSTEYHPEHSVGDDVHVEGKEKQIFAHDQFTAEEKPTTEYSEELVFLDKSSDETYSRANHEGQESAVIAEEIIEELRSRDNSNITSPHELVQEASTKINSPTSPVSISILSETYESRAAEAYSDIESNSVPNFGNDDRLQALEDINFAAEATISQVNVEDIENDADEIKEIDEVLLSELDAVGDFSIKELLTTSNEFEKHVGSVEEGFSISDDTIRRTSPLDGDSIKMHESISIPSVMNAWPSEEDDVAQYVEEIKCVSEPQTSVTNTTELANLSSGSSVEGVQIHIDSRSTEQEMLPRQNQIGLANPCTKQNAEEVMLDMPVIEAQSIQDVESSLKISELMSAEKVVMLGSTEIPPQSEANEASSSGMHVLEARMHLGAELTFEDTTLFGSWDHGLHEGSSPSEHKMDFPPSQLEDADLDLRQSNKIGVEREMLPDSVSDGLHEAEIKYLERKDSELSISETGSLKDPSLDLRQASEHQQDKVLESSALFEQNEAGTSRDLQSNTNELGVQEESNGKISQVKH >CDP21452 pep supercontig:AUK_PRJEB4211_v1:scaffold_4202:905:2248:-1 gene:GSCOC_T00006800001 transcript:CDP21452 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMQVKVLSRKLIQPSTPTPHDLRNFRIAFTDEMSPTANVPLILYYVNKSSKADDKTGIKQLLETSLAQVLPQFYPLAGRYVQESRLIDCRDQGVHYAEAQVLNCHLHQLLGPEMKPEQLNDLLPCPLYAADGVTDPLLSIQVSTFECGGMAIGVCISHRIADAATLGTFLCAWADACSLEEGRENVCPVFNSSHYFPGRNLPKLELRIPQTNGQGVPKILTRRFVFDGRAISKIRSKVLMNCENGTTKHRYTRVQLVSGLFIRALLGVDRAKYGRSRASLITHTVNLRNKTSPPIPKHSCGNFCTFAVANCAAEQAKSPGLQDTVNLVGDAVRKTAADCARILNSGEDGNMVIIDSFKHVTEIICNSGGDLNVIMFTSWCRFPLYEVDFGWGKPIWISPASIPAPNSCVIMDTKDGDGIEAWLSLDEKDMYMLQQDHNITTFTAA >CDP21453 pep supercontig:AUK_PRJEB4211_v1:scaffold_4202:3720:6649:-1 gene:GSCOC_T00006801001 transcript:CDP21453 gene_biotype:protein_coding transcript_biotype:protein_coding MAIINWPVPTNSSTRLWEVNKHNHLSSCLPSGRATFTTFRAAAMRNATMAAANVREQSGQKQQLINRRSGNYEAPLWEFDYIQSLKNEYAGDIYVSRANELKEQVKMMLDEGDMKLLDCMELVDGLERLGLAYHFEGRINRLLSSAYKAIHEGNHKRNKEDLYAAALEFRIFRQNGFNVPQDTFNDFITEDGEFDESLSEDIMGMLSLYEASFLSLEGEATLDLAREFTTKHLNNYLGKENTDQNLRILVYRALELPLRWRAPRIEARWYIDAYERSPNMNPTLLELAKIDFNIVQAIHQQDLKHVSWWWNNIRIAEKLTFIRDRIVENFFWTIGAVFEPQYGSCRRMLAKVFVLITMIDDIYDVYGTLDELELFTDAVDRWDVKAIDQLPDYMRVGYLGFFNSINEMAYDALKEQGVHIVEYLRKVWADLCKAYLREAKWYYAGYTPTVEEYLENAWVSISVPVMLMHVYAGVTNPMNKEAMDVLDTHDIVRWSSYLLRLADDLGTSPGEMKRGDVPKLVQCYMKEAGCSEEESREHVWFLLRETWKKMNKDSEWAESPFSKTFVTAAKNFGRVALVMYQYGDGHGLNSNPEAKDRILASLFSPLPPA >CDP21454 pep supercontig:AUK_PRJEB4211_v1:scaffold_4206:4325:6171:1 gene:GSCOC_T00007332001 transcript:CDP21454 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTDYSFTNEKNGKYLCFPDYKEVLKFLNDFARDFGLDDLIQFNTEVISVKQKNGKWVVESKINGDDQFKKEEHFEMIVVCNGHNTQPKLANVPVLPGMKKWPGKQIHSHNYRVPEPYKDQVVVVIGHGPSGFDIAFDIAKVAKEVHVSSRFPQVKVRKLEIYQNVWQHSKIEYCHENGEVAFEDGALIAADVIIHCTGYKCDFPFLETNGIVMVDENRVGPLCKHVFPPQLAPTLSFVGIPSQVLCF >CDP21455 pep supercontig:AUK_PRJEB4211_v1:scaffold_4213:2864:5632:-1 gene:GSCOC_T00009140001 transcript:CDP21455 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSSTLANIFLLLLVAMIFSVSHVSASKQFKNETDRLALLEFKKQIYDDPLGVLNSWNHSQHHCQWEGITCNTRHQRVIALTLRHKHISGIISPHVGNLSFMRLIHLEENQFHGEIPQEFGRLFRLRKLEILFLFVNNLTGEIPSSIGNLSSLTTLAITVISVAGNSFHGSLPTNIGLTLPNLKLLAVAENKFSGNLSTSITNASGLEELDLSSNKFAGQIPTNLGDLTQLNFLSLTNQLSGTIPQGFGNFVNLIQLGLELNSFSGIIPRDFGKLPNLQGLRLDHNDLSGQIVSTLCNNTNLFYLDLSFNQFEGGNIFDNVLMNCQNLQYLDISQNNFTGIISPHFLETHSSLIAMVLSENSFTGSLPPEVGKLVHLVNFSVSHNQLAGAIPISLADCSDLENLYMEANFFQGTIPPNLASWKSIQKLDLSSNNLTGPIPRELEKLQYLSNASQISLTGNNKLCGGIPELGFPPCPVIKGKNRGKLKVVRLLSIVLPTTLLVLGAMLLYFLVYHKGERRMVAGFSSMPPRIDELLRLSYHELLRATSGFSPENLIGSGNFGAVYKGRLEKHGNKLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIVSYCSSIDSRGDEFKALVYEFMENGNLDLWLHPSGTTDQATSSRSLNLSRKLNIAIDVASALQYLHEHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPVNTSSEQRTSSTIAIKGSIGYAAPGNYNLQPFYGQDVI >CDP21456 pep supercontig:AUK_PRJEB4211_v1:scaffold_4214:2954:5512:1 gene:GSCOC_T00012524001 transcript:CDP21456 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVQFEPWSHLDGKIVFVTGASSGLGREFCLDLAKAGCKVVAAARRLERLKSLCDEINQLFPSPSTSSPVELDPNSTRAVAVELDVTADASTIETSVQRAWNAFGHIDAVVNNAGVRGSISSSLDLTENEWNSTMRTNLTGAWLVSKYVGRQMREACTGGCIVNVSSISGLNRAQMRGSVAYSSSKAGMDSMTRIMALELGEYKVRVNSISPGLFRSQITEELVKKRWINNVAERTVPLRAFGTTDPALTSLVRFLIHDSSSYVSGNHFIVDAGYTLPGVPIFSSL >CDP19314 pep supercontig:AUK_PRJEB4211_v1:scaffold_422:66689:69715:1 gene:GSCOC_T00010494001 transcript:CDP19314 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLFMNRKVEKIPIILLLLTALILLAIVKPDCPLPIYEFMSRTSAVSQPPERAEVKFTKMAIYNEKCDIFTGKWIPNPKAPYYTNSTCWAIHEYQNCMKYGRPDDEFMKWRWKPDACELPIFNPNEFLDIVKGKSMAFLGDSLGRNHMQSLICLLSKVETPIDASYVEDDHYRRWNYTSRNFTLAALWSPFLVKSGKANTGPDHYNLYLDEVDWNWASKIEEFDYVILNSGNWFYRPSFYYENHQFVGCSSCQMDNVTDLSMTYGYRKALKTAFGTLKSLKNYKGITFLRTFPPSHYENGNWNAGGNCLRKVPYMKNEISLEGTQLEIYLTEVEEFKAAEKEWEEKGLRFRLFDTTQAMLLRPDGHPSKYGHWPKENVTLYNDCVHWCLPGPIDSWNDFLLHMLKMEGKESYLEMLEKIGGKFVLE >CDP21457 pep supercontig:AUK_PRJEB4211_v1:scaffold_4228:5136:6719:1 gene:GSCOC_T00010119001 transcript:CDP21457 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQLSGTMSPHVGNLSFMRFIQLGENQFHGEIPQEFGRLFRLRVLNLSSNALGGKIPANLSYCSQMITISLNRNKLEGKIPIVQLSNLKKLENFHLHTNNLTGEIPSSIGNLSSLTTLALGFNNLEGNLPSDMGLLKKFAILTAGGNKLSCIMPASIFNCSAIIAISVPANSFHGNLPTNIGLTLPNWKDYISGQTNSMETSNFNHQCFWLEVLDLSRNKFEGQIPANLGDLTNLIVFDLYDNLFGSKSTGDLDFVASLINCSNLRSLSLTNQLSGTIPEGIGNFVNLHILNLEENSLSGVIPRDIGKLQNLRRLRLGHNEFAGQIVSTLSNSTTLYHPTILTGAMYLTIGSLPPEIGKLIHLVDFNVSHNHLVGDKPL >CDP21458 pep supercontig:AUK_PRJEB4211_v1:scaffold_4234:5553:5951:1 gene:GSCOC_T00012268001 transcript:CDP21458 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLLVYLCFLFNLLLVIASTGSTPPYTPTDYILISCGSSSNSTSVDGRKWDGDVGSKFSPNDMANISSAVTATELGPSVSRAPFSSARIIRSQFSYTFPVSLGKKFLRLYFYPTSYSGGLNTTESFFNVNG >CDP21459 pep supercontig:AUK_PRJEB4211_v1:scaffold_4239:5632:5772:-1 gene:GSCOC_T00010754001 transcript:CDP21459 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSDIKLIRTDTTLDLSQKAEKGMGLKVQLISFYSFPLLSLLYK >CDP19315 pep supercontig:AUK_PRJEB4211_v1:scaffold_425:59083:62589:-1 gene:GSCOC_T00003173001 transcript:CDP19315 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSAEGGVSKNCPSVASWSVKVERAVKSTCSIVLVGFLVMVGAVGTSSSTGLETTVRVIARLISANSSAVHILRMLYLSSFCL >CDP19316 pep supercontig:AUK_PRJEB4211_v1:scaffold_425:70426:72693:-1 gene:GSCOC_T00003176001 transcript:CDP19316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g71420 [Source:Projected from Arabidopsis thaliana (AT1G71420) UniProtKB/Swiss-Prot;Acc:Q9C9H9] MFLKIRYSFSQLLKPLHSCSYGTFLRTLTTTNSPATPTFDAKFENVSQLEKAISLFYDLSFPPNFSLPYARLFQACARHNRLDLGQDLHHHLLTHETTEDIDLYTTNHLINMYAKCGDLCTAYQMFEKMPHKNIFSWTSLISGYSQHGKVDECFSMFTDMLAHCRPNDFAYTSVLSICDGFRGRQVHGVVVKTGFGAYVYVANALITMYWKSRETGFCRIYNNSEEAWRVFDGMEFRNLVTWNAMIAGFQMLGQCSNAVNFFIAMCRDGVGFDRATLVSVLSAFSENNEYEYVSSLKYCFQIHGIVIKAGFKLDVAVVTVLLSAYSILGGEVADCHKLFIETNGCRDVVLWTGIITSFADRKPGEALLLFNLLRTEGLNPDCYAFSIVLKACGGFSNDKHALAVYCLITKVGLTNNIVLQNASIHAFARCGSLSQAAVIFDEMRIRDVVSWNSIIKAYALHGQGKQALDLFKQMDVEPDATTFVALLSACSHSGMVHEGVEIFDTMSNSYGVVPQLDHYACMVDILGRAGHLVQAVKLIREMPMQPDYVVWSALVGACRKHGETQLANFAVSKLRELDPENSLGYVVMSNIHCSTGSFDVASLIRKRMKALGVQKEPGLSWTDIGNQVHEFVSGGGAHPQRETIRTNTKELVGKLKTLGYVPQTSLALHDIEEEHKEEELYYHSEKLALVFTLMSTSDLNCKDSNRFHNFMKGFCSCNDYW >CDP21460 pep supercontig:AUK_PRJEB4211_v1:scaffold_4252:1:1350:-1 gene:GSCOC_T00002639001 transcript:CDP21460 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKLPKPRLTVPATDTSAPPLAPPPLPIPDSSSTLIPTTQTQLNHLTHIVKSHLKPSFTPQDLVSFLKSHIHHHPGVTHLDFHLFRYAASLDTFRHDHSTFEWIVRSLATSHRFDSLNLLVQFIASNPCPCSDGIFSSGRFDDASFAFDSMKRLIDGKPNVAIYNIIIHGFVKFRQHDKASEFYGRMIRDRVKPDVITFNTLISGYCRNLQFGLALEMFKEMKNKGCVPNVVSFNTLIKGFFREGKVEEGIALAHEMIELGCELSSVTCEILVDELCRGGRVMEACDLLISFSRKGVFPSDFDYFELTERLCVERNVGRAVELVDELWGKGNAPSVIACIILIEGLRCTRQIGEACKFVEKMLKEGIVPDSVTFNCLLGDMCAAGRAVEANKLRLLAAGKGLDPDGMTYRILISGFSKEAKMEEGEALVEE >CDP21461 pep supercontig:AUK_PRJEB4211_v1:scaffold_4257:1795:4210:-1 gene:GSCOC_T00004299001 transcript:CDP21461 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGGSTHFSVFLLVAIILLCSSSKTVNATCYGKEKQALMDFKKDLKDPHGRLSSWIHDVDCCKWEGVVCRDRSGRVIQLHLLSPKSPLSGKISHSLQNLTHLRYLDLSLNDFSGIPIPSFFGSLRSLRYLDLSGAGFQGMVPYQLGNLSSLRTLSIGGDPSYLQVDNLQWLAGLSNLEHLDMGGVDLSLASNWLEVINTIPSLVEIHLSSCRLDLISHHLDRDTFVFHANFSSLTVLDLSRNFFGYVVPRWIFSLTALVSLHLSDNSFEGPLPRGPWNLTSLQYLDLSVNYLNGSLPDELIHLNNLISLDLGSLASLDLSVNNFATFLPSQLSTLTSLISLALGNNHFRGSIPSSIANISNLQYLDLSNNNLSSSLPKRLLLHNNALSGSIPSNLGRCTQLKELWLNDNAVFGSIPSNLGRCTQLKELWLGDNALSGSIPSNLGKLSSLEFWDVSHNKLTGTLPESLGQLSKLKELRIYDNLMEGIMSESPLDNLTVLRYFYASENSLTLKVSASWTPRAQFETLALSSWKLGPQFPAWIRSQKFLGDLNLSFTGISDTIPPWLFNSSLISIDLSHNQLHGKTKHIQWLFPLHYSIHHWSTWNFLTINFMVKVQISLKLLKGGISHILCEVKNENPVLQYLDLRENSLSGEIPDCWMNYPLMYHIDLNSNNFTGSIPRSLFHLEGLDYLGLGNNSLTGPITFDFE >CDP19318 pep supercontig:AUK_PRJEB4211_v1:scaffold_426:98294:100280:-1 gene:GSCOC_T00007633001 transcript:CDP19318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SENSITIVE TO PROTON RHIZOTOXICITY 1 [Source:Projected from Arabidopsis thaliana (AT1G34370) UniProtKB/Swiss-Prot;Acc:Q9C8N5] MDPDERLRTNPWTKSSSSASDALRQLSPDNQSFTNFSSQQQKWEDSSSMDYRTRIEQQFSEFTNKRMRETSNSNNVQDWDPRGMLNNLSFLEQKIHQLQDLVHLIVGRRCQAVGQSNELLVQQQQLITADLTSIIVQLISTAGSLLPSVKHSLSSVNPPVTQLGQFGGSITPPVTSFDNSGRVVHCDVKKVEDQPNEVDLIGNAGIEQNYVVEEHESKDEEDADEGENLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPNKESTSEPTLIKRITIRELTATRATLVAGATQRNSQS >CDP19317 pep supercontig:AUK_PRJEB4211_v1:scaffold_426:91772:96127:1 gene:GSCOC_T00007631001 transcript:CDP19317 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIEKELEKLAVEEGKEVEEKEKKIPVFTVLKNNSILKNIFLIDTPPDSLLPTAASSSSTTWMIPKDEKQEEGLLEEILVVGRHPDCNITVEHPSISRFHLRIHSIPSLESLSVIDLSSVHGTWVSGKKIEAGVQVKLNEGDTLRLGGSTRVYRLHWVPLSHAYDMKNPFVPPLDELEPVEQRAEQKPMHQDEDGLYPQDDQSYCVGDYFEGLDLLFADMNMISSIKQLTPSETVIMREEDIKPTFPFENDAENKIEIAEMQPVWQFDKEDSIRKVCLASASHEEDPNSRPVTSQRRSGMSIWERRGKSASVHIETGRDREDCIEANADLTIQFENNENIRNGSPSQDLFTAADWSEEIFTSDKENHTPDILLNRPLKAMDLGKVKHQFSVDSLLSSLDDHVEEAFTPDKENMTPKTHLFKSMKRLGKLEEINHLKSYRSSPLKNAGSIKHERLDMLVLSDDRNQVSNLLREKKSANPAPRSLARSKAAILKVREDRVPFQSLLVNSSKTKSEASDPAGRIGSSTSGKNPQMTEATHSFLNSSEENRTWTMVVDTACLLDKESRKALQLMQGLQGTSLIIPRIVIRELDSMKRHSTLFKRTAGADSALQWIEESMVKAKWWIHVQSSLEDARPIAPTPRSIPLSESNEEKEKFSIGSIPYAPFGSLLDIVSPTTEDHVLECALFFRKIGNNGQLILLSNDVTLKIKAMAEGLRCETAEEFRESLVNPFSERFLWTASSPRGPTWSCLDDVVLREKYYNGPSRKQSKLEPAKGLKLILLHNSQYKQISSVS >CDP21462 pep supercontig:AUK_PRJEB4211_v1:scaffold_4261:3182:5266:-1 gene:GSCOC_T00009521001 transcript:CDP21462 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKQKRHRKAFRFYTACFGFREPFKVLCDGTFVHHLLLNKITPADTALANALGAPVKIFTTRSNGFLLLHKKFRQNLSDDLHCFTPSFRCVLGELRSLGDSYAESLNAARNLLTARCDHEKQKSAISCITDIIGENNSEHFFVATQDAELQKKFQKVCSMFSSPQHHSI >CDP21463 pep supercontig:AUK_PRJEB4211_v1:scaffold_4262:309:939:-1 gene:GSCOC_T00004749001 transcript:CDP21463 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVIVEALLSNEREAQILAARELGKLATKLRQKLAERGIISRLVTMLPFHNERNKIRIANSGAIPVLLEIIHCQKESLIELAAAALLVLSSCSANKLAIAASGAVRILVGSLNFQLAEERGFHNLSVQAKLDIISIFHNLSTHPSIVLGWGRRRWLGK >CDP19319 pep supercontig:AUK_PRJEB4211_v1:scaffold_427:25980:31534:-1 gene:GSCOC_T00007695001 transcript:CDP19319 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRGKYVDSSDAREMGLKRQRVMDHGSSYFGAPPGPSYMYNPPPPPAPPAYSYIGQPPPFPVVRLRGLPFDCTEADIAEFFHNLDVIDVLFVHKGGKFTGEAFSVLGYPLQVDFALQRNRQNIGRRYVEVFRSRKDEYYKAIANEVSDARGGSPRRAVPRARSFDEGKDLAEHTGFLRMRGLPFSASKEDIIDFFKDFVLSEEKISITATSDGRPTGEAYVEFASPEDSRAAMSRDRMTLGSRYIELFPSSREELDEAISRGGLLPKSADGKDLTVPTGVLRMRGLPFSAGKDDIMEFFKDFVLSEDSIHVTFNFEGRPTGEAFVEFASPEDAKAALAKDRMTLGSRYIELFPSSLEELNESASRGR >CDP19322 pep supercontig:AUK_PRJEB4211_v1:scaffold_428:36428:39979:-1 gene:GSCOC_T00011514001 transcript:CDP19322 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAESLIPKIIDELGEVAKKQLEKRVNLVLGVEEEVANIEKRLATIENVLRDAERRRLKEERVGIWLEKLEDITYEMDDVLEEWNVRSFILSLCLRLKELPDRLDIAQKIEKINERLELTLKEADQFKFITSGGVPDFQRIITTSFIDESEVYGRDSDKVALLDQVLSKSSSQGRKEVQVISVVGAGGSGKTTLAQLLFNDDKVKTDFKLKKWVCVSDPFDQKRVAKAILESPEKSSLGSLELETLLQQLKETFSGKRFLLVLDDVWTEDDSKWKPFQCALKDGAPGSVILVTTRNEGVARAVGSTDTHGLGMISHSDCWLIMQKIAFARKSGDLCKKVERIGQKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLEEAAVELFPHLYLSHNELSPELKRCFSYCAVFPKDHVIDVKELIRLWIAQGYVRPRRRGESLELVGREYFDNLAMRSFFQEIEKFETYYEYMKCKMHDIVHDFAQFLTKNECHALDGTGRNSSSERPRHLTILEEGTEEEMLSSRVVDFGRLRSFLTFHSFDRVVPQNLFCILKCVRTLTLSNCELAEIPAEIRRLIHLRHLDLSWNPFITLPEVICDLYYLETLIINCCGNLSCLPERIESLVHLRHLFNEMTDDLRQIPQGLRKLTSLCSLTRFIARSNSDDLAILKDLNQLKILVIEIEGEVDFESAKLGKKINMRGMALIFRIGAHFIETPSCIETMQPPPNLKRLVLDGYPGAQLPSWLVTKSHANNLTRLIIDGPRNISSLHALWKLSSLEELVLMEVEKLECLGKEFFGLSFSAEAVAFPNLRKLHFRQFQNWTNWEDLSEDDEEVAVSIMPCLEELKISRCEKLETLPHCILRKISSLKILDIRRCNKLRDRYSDKTRDDWKKISHIPQVHISN >CDP19320 pep supercontig:AUK_PRJEB4211_v1:scaffold_428:26066:27590:1 gene:GSCOC_T00011512001 transcript:CDP19320 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDTLVTQERTISNRKEVPKKDQNQNETHHLCSAQTPAIIIYIIVCPTSTTKRICIWDFVEEEGGREGPAGKSCSCSTVDWVTHKSQLLRVSVLALWWLASMKLSQSHCWRELWTLSKSTRSKKKVSM >CDP19321 pep supercontig:AUK_PRJEB4211_v1:scaffold_428:28226:35305:1 gene:GSCOC_T00011513001 transcript:CDP19321 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLHRVVWVPGSFEIGLVAEKLGKSRKYQAILCIGAVIRGDTSHYDAVANSAASGVLSAGLNSGVPCIFGVLTYDDMEQALN >CDP19324 pep supercontig:AUK_PRJEB4211_v1:scaffold_428:60805:166612:1 gene:GSCOC_T00011516001 transcript:CDP19324 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGKTSTLLWRIRRRVVYGRSIAIIKSDKDTKYALDSIVTLDGENCHAGRWLGSEAYDKACPIEIDLMRHNFFEDLYDFCLEAADYDGKAVIDAGLDGDYLNFASGSIYVPCIFGVLTCDDMEQALNRAGGESISFC >CDP19323 pep supercontig:AUK_PRJEB4211_v1:scaffold_428:53399:53809:-1 gene:GSCOC_T00011515001 transcript:CDP19323 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKESDSVLSLFSFRIPRMSRPILTSFMRSRVTKPPPQIKMSPTLLSRQEFFYCSFFSYHVFSTAQKEETQLEKAPLSHVFNSAIFVQKMWLENAPSSHALHEPHFQLCPGGRKRASHKTWLEGTFCQVTFSLLV >CDP21464 pep supercontig:AUK_PRJEB4211_v1:scaffold_4289:1847:6541:-1 gene:GSCOC_T00004614001 transcript:CDP21464 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQENSRRNWELEQSMDFESTWKSVEWIHSSGNQSNTTSLGEVNFGWNLLNSSIPASLCNLNNLLALNLSSNALTGSLPQEIQNLQALADLDLSRNHLNGKVPSSIGGLERLASLSLAHNRLEGTIPDSLRHMLSLEILDLSNNNLSGPIPKSLETLLYLRYINLSFNHLTGEIPSSGPFKTFTYESFMCNDDLCGAQRFHVPPCSSPRIHSRKKILQISGIVSSIAAIDETSRNTDLSMGVPKWISYYDLVQATDGYDESNLLGKGSFGSVYKGTLTDGTLVAVKVFSLLVEITSKSFDTECEVLRNLRHGNLTKVIGSCSNLDFKALVVDYMSNGSLENLLYSNDHCLDLVQRISIMVDVASALEYLHFGYVTPVVHCDLKPSNLLLDENMIAHVSDFGITKFLDEGNSVLHTETLATLGYMAPEYGLEGLVSTRIDVYSFGIVLMETFSRMKPSDEMFSEDLSLKSWIVKSLPTATIISMIFNLALSCSTECRQDRMNMKDVLAALKKIKRQLDTLSDTSARKHFRVLCVCTESISRKICLEIVCLIANDPFLLNTPTRHQNTSAGQSNLLGTGGFGSVYCGTLNDGRKVAVKVFHVQLERALESLDTECEVLRNLRHRNLTKVISGCSNNDFKALVLELMPNGSLEKWLHWPSCFLDMKQRLNIMIDLASALHYLHNGYSIPVVHCDLKPSNVLLDQDMIAHFTFKILHSFFFFCQNLDWKDSYSTKCDVYSYGIMLMEVFTRTKPNDERFNGNSSLKGLVQSSMPNAPYQIIDTNLLQEDEEHFAEKLDCISFIMEIALNCSRESSRERSNMETVLREMNNIKYKLLSIDRMH >CDP21465 pep supercontig:AUK_PRJEB4211_v1:scaffold_4294:5111:6665:-1 gene:GSCOC_T00008879001 transcript:CDP21465 gene_biotype:protein_coding transcript_biotype:protein_coding QAKWVAQLLSGKRKLPSEEEMTKSIKDFYISRDVAGIPKHYTHEIGEFEYCDRYADYMEFPHLEEWRKVLCLSAVKNSYANLETYRDSYYDDYEMLQVAHQSPHFTQLGDHAIAL >CDP19325 pep supercontig:AUK_PRJEB4211_v1:scaffold_430:126410:133510:1 gene:GSCOC_T00007775001 transcript:CDP19325 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEERTTATVRVQNIPQSANAKDLLAFFQSTIGEDTVFAIEIFSEHKNWKSRGHGKVQFETLEAKIKCLSLSEKGKLFFKGSHLRLSHSIDEVIFRPVDSKLRIKNGVLRTGILLRNDCMSVLERWDGVKVWIMPERNILEFWLSHGGECYKLEVQFGDVLESCGCCLDDQNPNAVLLKLKHAPKIYQKFSGPNVAAKFSADRYHTCKEDFDFIWVRTTDFSSIKSIGYSSSLCLEIEEGLSGLDLFTNLPYCSRFFLELTLEEGEQFSTTSELVPLVKCCSDIKITYEILFQLNSLVHTQKLSLGAVNSELMEVLSGLDMDIAMPILQKMHKLETMCYDPVSFINKRLHVMGENASNLRSSSYGRPKNNNMMTCHRVLVTPSKVYCLGPELETSNYIVKNFASYASDFLRVTFVEEDWGKLSPSVVNTSFGRGLFAKNYKTNIYHRILSILKDGIVIGEKRFLFLAFSASQLRSNSVWMFASNENLRAEDIRDWMGCFNKIRSVSKCAARMGQLFSSSLQTLEVPPQQVEVIPDIEMTTDGENHCFSDGIGKISQGFARQVAQKCGLNYTPSAFQIRYGGYKGVIAVDRYSFRKLSLRSSMLKFESKNRMLNVTKWSEAMPCYLNREIITLLSTLGVEDQVFLAMQYEQLHLLDKMLTHREAALDVLASMGVNETKSIVVRMLRQGFEPEIEPYLSMILQSHRENQLSDLRSRCRIFVPKGRVLLGCLDETGILDYGQVYIRITMTKTELQIGEQCFFQKVDETTAIVKGKVVVTKNPCLHPGDVRVLEAVYEFSLQERGMVDCILFPLKGVRPHPNECSGGDLDGDLYFVSWDENLIPFQTVTPMDYIDRRKRIVDHDVTLEEIQSFFVGYMISDTLGTISTAHLIHADREPDKALSPKCLQLATLHSMAVDFAKTGTPAEMPRFLKPREFPDFMERWDKPMYTSQGALGKLYRATIASRVPGKSSFVFSAKIVQDAYDDELLIDGYEYFLGTAQCHKEMYVDKISTLLTYYGAETEEEILTGNLRNKSMYLQRDNRRYFELKDRILVAIKSLQKEARSWFESSCRAAEQMKLASAWYHVTYHPTYSEGSAKCLGFPWIVGDILLDIKSMNSRKVCS >CDP19326 pep supercontig:AUK_PRJEB4211_v1:scaffold_430:144133:144651:-1 gene:GSCOC_T00007776001 transcript:CDP19326 gene_biotype:protein_coding transcript_biotype:protein_coding MESREYSQVNAEEANDDAAADWLFQKRRCCFCFSWRSNRSSTPAVGLNWWQRARSLEINPSPENSFWARGISALKKIREWSEIAAGPRWKTFIRRFSRTGRRRNNNNFGCNYQYDPLSYSLNFDEGTGQNGDYGDGEEDYYGLRNFSVRYATVNPASAKASMDLDKDGPNFL >CDP21466 pep supercontig:AUK_PRJEB4211_v1:scaffold_4306:901:4742:-1 gene:GSCOC_T00009818001 transcript:CDP21466 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVISATIQVALETALSLASDRIGMLVGFKKDVASMTQSLGFINARLADAEEKQQNQDRVVQEWLKRLEEVAYDAQNVLDELHYESLRHQVESRNRHKLKVCCFFSFSNINLAFRWRMASKVRDVKLKLKEINQQARDFGLDSKSVVPAALPAAPAVGGTRGRQTDSVVAPMVGRADDELNILEMLLSSSEKVVSVLPIIGMGGLGKTTLAKSIYNNHQIDEQFKKKLWVCVSKKVPIEELFKLILGQLKKDKKDEVGDRQNIVQEIGKELGKLRYLLVLDDVWDDDEALWHDFFTTLKGLNPTNGSWCLVTTRLGPVAHSVSRVLMMENEPYALKGLPDDHCWSIVKEKVVGREEEPDELKAIKDRVIKRCDGLPLAASVIGGLLSLKRKEEWQSILENRLLSLSAGGDHVRQILQLSFDNLPSPYIKKCFAYWSIFPKDTEMEGDMLIELWMAEGFLQADVNRQMMMEEIGMNYLRILLQSSLLEEIIDESETSTSYKMHDLVHDLAESMSKSTKVINNGDTYTVDNDNQIRYLTIDSFGGAEDREKLLESLSASLHTLFVFGDLSDDMLMKLKNLYVLFLCTETSEELPVSIGKLIHLRYVNLSKSSISIFPDSLCKLYNLQTLTLSVSQVKDLPKGMCDLISLRHLHLNNSDKEFQMPPEMGRLTCLQTLEFFNVGREKGRRIGELGSLKNLKGKLEIRNLELVKDKEGAEEAKLSEKANLFRLVLEWAPDREGDDYNDEDVLDGLRPHPNLEELVIENFMGDQFPRWLMDLPTTTTLSESATTLSKLARLKFKWCHRCKELLPLQNFTSLKKLLIDKCDGLTNLLGDMLHSCTSLQKLQVIGCDNLISFPLDLQQTPCLLELVLFGCPKLKTSMTPKGFGFLTSLRLLVIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSSALRELQLIGLPHMESLPHQIQYLTTLTSLSLRDFGGIKALPDWFENFAALEVLYLIRFKELRHLPSEDAMRSLTKLNRLTVWNCPLLKERCTPESSGPDSQWSKVSHIQDLRIR >CDP21467 pep supercontig:AUK_PRJEB4211_v1:scaffold_4325:4451:4612:-1 gene:GSCOC_T00001314001 transcript:CDP21467 gene_biotype:protein_coding transcript_biotype:protein_coding TREPKEENVTLGPAVRDGEQVFGVANIFASFNDTFIHVTDSTRFFAGRRRRRL >CDP21468 pep supercontig:AUK_PRJEB4211_v1:scaffold_4332:3385:5621:1 gene:GSCOC_T00006879001 transcript:CDP21468 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLSKQLNGEDWMWNNLNTLCWAIGSILGSMMEEQVKTDFFKAVIASNIMQMTVNSSFPWLMVISRSLKENGLSNLVVLTPTHLAIVMEYAAGGELFAKIYSAGGFNEDEARFFFIHFVIAVYLISRLRMYGPVV >CDP19329 pep supercontig:AUK_PRJEB4211_v1:scaffold_434:66278:79340:-1 gene:GSCOC_T00007806001 transcript:CDP19329 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITGRLKPVFSNDGVTMRSVSNVGNGGGLRFSDALLSVPIQLQKRKLSSSAGACNGSRKMHASPSSSASSSSSSMDKSKQLSGSKMRKDEIFDEIMDEFESEKDDLACFRGLVLDIAYRPVNVVCWKRAICLEFMEKADVLEYYDQTVNSPSGSFNIPAVLRVPHLLQVVKRRRVKRNLSRKNIFYRDNFTCQYCSSDEYLTIDHVVPIARGGEWTWENLVTACAKCNSRKGQKMPEEANMKLMKVPKAPKEFDILAIPLTASAVKMLRSRKGMPEEWRDYLSVPSSAP >CDP19327 pep supercontig:AUK_PRJEB4211_v1:scaffold_434:6391:14492:-1 gene:GSCOC_T00007802001 transcript:CDP19327 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPNRASYSQRPSTSPFFAAQTASPFSSSTPVAGAEASSFHSYNPIPSSQIPPSSSEASGFRSVQPGRSNDPAGPPPPPSYGPPQTGPFQHLSGPQFSSPVQVPSLRTSAGEWPVVAPPVRPPAGPFSSTPVSFQMRPQPPTIPFGSPPQSMNTVQPGMNVPLSSVDSPFGASSTNLQPSSPPMRAPFPAARGTLQSAFSGYPGQQYNIVPQAPPVNSVAFPPHQGGSVTPPPAVSGPYVGQQGGYVQSPPTTAPVGMYSRDRMQHPASLPPLGTAQGLVEDFSSLSLGSVPGSLDAGIDSKALPRPLDGDVEPKSFAEMYPMNCSSRYLRLSTCAIPNSQSLASRWHLPLGAVVCPLAEAPEREEVPIVNFVTTGIIRCRRCRTYVNPYVTFTDHGRKWRCNLCSLLNDVPGEYYAHLDASGRRIDLDQRPELTKGSVEFIAPAEYMLRPPMPPLYFFLIDVSVCAVRSGMLEVVAQTIKSCLDTLPGFPRTQIGFITFDSTVHFYNIKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRTVVDAFLDSLPSMFQENTNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGAGRLRLRGDDARVYGTEKEYTLRVPEDPFYKQMAADFSKFQIAVNIYAFSDKYTDVATLGTLAKYTGGQVYYYPNFVASIHKDKLRHELGRDLTRETAWEAVMRIRCGRGVRFTSYHGNFMLRSTDLMALPVLDCDKAYAMQLCLEETLLTTDTVYFQVALLYTSSSGERRIRVHNAAAPVVADVGELYRVADIGAVVSLLSRLAFEKSLSYKLEDARTSVQNRIVKALREYRNLHAVQHRLGGRMIYPESLKLLALYGLALCKSTPLRGGYADTQLDERCAAGYTMMALPVKKLLKLLYPNLIRLDEYLLKASFADESENIWKRLPLSAESLDSRGIYIYDDGFRFVLWFGRVLSPDIARSVLGEDYAVDYSRVCLTEQDNEMSRRLMRIIKKYRESDPSYYQPCHLVWQGEQPREGLYLLANLVEDQVGGTNSYADWLLQLHRQVQQNA >CDP19328 pep supercontig:AUK_PRJEB4211_v1:scaffold_434:32077:33334:-1 gene:GSCOC_T00007803001 transcript:CDP19328 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALTEEQVAEFREAFCLIDRDSDGFITIAELAAVIQSLHERPTKEEIQEMVHEVDADGNGSIDFEDFLSIMARKTKENVAKELNEAFKVFDRDQDGFISANELRNVMINLGERLTDEEAEQMIREADLNGDGVVSYDEFVRMMVASSPSF >CDP19330 pep supercontig:AUK_PRJEB4211_v1:scaffold_434:81920:86098:-1 gene:GSCOC_T00007807001 transcript:CDP19330 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGHGLEGSQPVDISKHPSGIVPTLQNIVSTVNLDCKLDLKSIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQWYVTLSVLRCHMKLLSFPYDHCVILLFFLLFQMSA >CDP21469 pep supercontig:AUK_PRJEB4211_v1:scaffold_4348:2823:5055:-1 gene:GSCOC_T00008908001 transcript:CDP21469 gene_biotype:protein_coding transcript_biotype:protein_coding MATHSSSPAKPFLFSISFVIFLHHFLHHWAVAAGYAIGVNYGTVADNLPPPAQVASFIKDQTSIDKIKIFDANPDIIRAFANSNVSLTITVGNGDVLAVSKLPAAQSWVSTNVLPYYPQTKIHRIDVGNEVIATGDRSLIAHLVSAMKSVHEALRLAGISDIQVSTPHSMGIMSRSEPPSSGRFRRGYDRVIFAPMLEFHRRTGSPFMVCPYPFFGFTSKTLDYALFKPNDGIFDNVTGVNYTNMFDAQMDAVFSAMKRLGYDDVDIVVAETGWPSAGDPNQPGVSLDNAISYNANLVRHVNSGLGTPLMPNRTFDTYIFSLFNEDLKPGISEQNFGLFRPDFSPVYDVGILRNQQGVGPAPVTPAAPDDKKWCVPKDDASDGALQSNIDYVCGSGVDCQPIQNGGPCFEPNTVRSHAAYAMNAYYQAHGRNDYNCDFIGTAAITTANPSYQGCTYVA >CDP19331 pep supercontig:AUK_PRJEB4211_v1:scaffold_435:104055:108614:1 gene:GSCOC_T00013250001 transcript:CDP19331 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSEGINLTERDSSERLPSLIEHIAANKVAGFDNIKGKNELNYQPAAMCSHCLSPLSMGTVAAAADGQSDLSETQRLHSVSISMPSTPAGNHSSNAKKVLFNDSNEIIFSNDASNSAATTNYGGAAELKITKFHSQPMPTGSTSHQVVANGKFPSHPEGPLRNPAINGLKDKRFDNFKTWSGKLERQISNLRGKNREDTHDSNPQENIEVETLPVDRYFDALQGPELDTLRPSEEIILPEDKQWPFLLRYPISSFGICLGVSSQAIMWKALATSTSTKFLHISPDVNLALWCISVALVVIVSSIYFLKVIFYFEAVRREYYHPIRINFFFAPWIALLFLALGVPPSISEKLHAALWYILMFPIFCLELKIYGQWMSGGKRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAIGLAHYMVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWANIQGSFDYGSRIAYFIAMFLYFSLAVRINFFRGFRFSLAWWAYTFPMTGAAIATIRYSNVVTNVVTKCLTVILCVTATLTVTALLVTTIIHAFVLRNLFPNDIAIAISQGKPKTTRRWFHRRSGSSDTTTKHIEHYLKFADSEEKDIEASNESAGKV >CDP21470 pep supercontig:AUK_PRJEB4211_v1:scaffold_4353:5204:5582:-1 gene:GSCOC_T00003362001 transcript:CDP21470 gene_biotype:protein_coding transcript_biotype:protein_coding MILRNAHTKMLKDLTKISGNLVPLSAFPVMHFFIYARGDVTKSVCPYKCTSKRYRMPNSYTPFEELIILLKVPGHSPFYQCVLHVESLI >CDP19332 pep supercontig:AUK_PRJEB4211_v1:scaffold_436:44674:45171:1 gene:GSCOC_T00008674001 transcript:CDP19332 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKIELCRFSGGKIYPGKGIRFVRSDSQVFLFANSKCKRYFHNCLKPSKLTWTAMYKKQHKKDIAQEVVKKRRRTTKKPYLRSIVGATLEVIQKGRTEKAEVRDAAREAALREIKETIKKTKGEKKAKKAEVMAKAQKAGGKGNVPKGAAAPKGPKLGGGGGKR >CDP21471 pep supercontig:AUK_PRJEB4211_v1:scaffold_4370:619:2398:1 gene:GSCOC_T00005234001 transcript:CDP21471 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILHTKGGEDEESYAKNSTFQVMFMNVNHELNRSIQEFCQANLAEAECIRVADLGCASGPNTLLAVESIIDSINRECHNLNILKLPNIQVFLNDLLSNDFNSIFKLLPSFYQKLEESYGRGSRSCFIAAMPGSFYGRLFPDNSMHFIHSSYSLHWLSQVPSGLVTEEGLPLNKGSIYIGKTSPKSVHDAYLDQFDRDFTNFLSARADELVSGGHLFVTLAPKIDDPVAYNVQDLLGMTMNDMVSEGLIDEKALDTFNLPHYRPSLEEVKTIIEKNRALKIRYLDTIQLRYRSRSLRAISEPIFQAHFGDGIMNDFFTKLAANISQHQGKMKSPINSLVLSLSRT >CDP21472 pep supercontig:AUK_PRJEB4211_v1:scaffold_4372:2275:5747:-1 gene:GSCOC_T00002450001 transcript:CDP21472 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLGFCWWLSNLKSNVPYSSDVEKCGENERMKLPAFKECSLDELKVATSGFSVENFFIRTWRESSQCCLQLAA >CDP19336 pep supercontig:AUK_PRJEB4211_v1:scaffold_438:105600:109093:1 gene:GSCOC_T00010161001 transcript:CDP19336 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWEAEKDRTVCVTGAGGYLASWVVKLLLSRHYTVHATLRKPNDEKYVHLKKLDKAAENLKLFKADLLDYNSISAAIRGCDGVFHVASPVPSGSVPNPEARPFSIVELVEPAVKGTLNVLKACSEANVKRVVVVSSTAAVVMSPNLPEGEIIDEKCWSDGEYCKAINNWYCYSKMVAESEALQYAKEIGLDVLTVCPSYIFGPMLQHDANASSLILLKLLKDGCEETENKFYNTVDVRDVAEALLLVYGRPEAEGRYICSPHVTTTKDMVETLRKNYANYKYPKRLIEVKDQSRWNVSSEKLVRLGWRFRPVEETLVDSIESYRQAGILD >CDP19333 pep supercontig:AUK_PRJEB4211_v1:scaffold_438:28121:29146:1 gene:GSCOC_T00010154001 transcript:CDP19333 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWEAEKARTVCVTGAGGYLGSWLVKLLLSRHYTVHATLRNPEDEKYVHLKKLDKAAENLKLFKADLLDYNSISAAIRGCDGVFHVASPVPSGSVPNPEARPFSIFSIAMIFPSFGFPRITFLTDLLFMGDSYCHYRLYFFAFCQT >CDP19335 pep supercontig:AUK_PRJEB4211_v1:scaffold_438:37763:39509:1 gene:GSCOC_T00010159001 transcript:CDP19335 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKGLDDLDPEREGSSGLLPREIWTSGFGIGSGLPTKLGDSDAGIFGQRAPFGDETLSAAKRDRPSFAGGVVVRDESCRPENFLSLVARSQPPY >CDP19334 pep supercontig:AUK_PRJEB4211_v1:scaffold_438:29276:31669:1 gene:GSCOC_T00010155001 transcript:CDP19334 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIITDAGNQVELVEPAVKGTLNVLKACSEANVKRVVAVSSVAAVVVSPNRHKGEIIDETCWSDGEYCKTTNNWYCYSKTVAESEALQYAKETGLDVLTVCPSFVLGPMLQHDVNASSLALIKLLKEGYEEIENKFRDMVDVRDVAEALLLVYGRPEAEGRYICSSHLTTTKDTVEILRKNYPNYKYPKRFIEVKDDQGRGNVSSGKLQRLGWRYRPVEETLVDSVESYQQAGILD >CDP21473 pep supercontig:AUK_PRJEB4211_v1:scaffold_4384:419:870:1 gene:GSCOC_T00010593001 transcript:CDP21473 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKSQFQSPNWLQDSLFLVVLWGHRSIGGQDLKITWVFFFYKQTQIHIKPLKTLHFPAKLPALIANQSLFYQFSFIIHILVSRKEKELLLSV >CDP21474 pep supercontig:AUK_PRJEB4211_v1:scaffold_4384:924:2701:1 gene:GSCOC_T00010594001 transcript:CDP21474 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDSASGANNENPDRNSDENPVSSYKASLENLVQRFQNSNPFDQKHKFWETQPVGQFKDVGNSSLPEGPIELPTPSSEVKQEPYNLPNSYEWSTCDMGSDEVCNEVYVLLTNNYVEDDDNMFRFNYSKEFLRWALRSPGYYSSWHVGVRVKASKKLKLRSKRLAPVMIKEVTRRIHLENVWQAAYTAGVLLPTPLTTSQYWHRTLNPKKLIDVGFSRLGERMTMSRAIKLYKLPTSTSTPGLRKMELRDVPSVTQLLRNYLSRFSVAPEFDDNESQVTHEITDFFSFYTLPSSILHSQEYSILKAAYTFYYVSTNTPLLQLMNDALIIAKQEDFDVFNALDVMENESFLKELKFGQGDGQLYYYLYNYRLNQALKPSGLGLVLL >CDP21475 pep supercontig:AUK_PRJEB4211_v1:scaffold_4385:347:2522:-1 gene:GSCOC_T00006721001 transcript:CDP21475 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNKFFGAISALSLLLLLSSFGCEAHLSPTFYDYTCPNALTKIRSTIRSAISHERRMAASLIRLHFHDCFVQVSSLSLGRGCDGSVLLDETPTIQSEKTSKANDHSARGFNVIENAKTAVEKICPGVVSCADILAVAARDSSVAVGGPSWTVKLGRRDSTTASRSLADSDLPAPFDHLDRLITLFSNKGFTPREMVALSGNTCVSFHSLLEQYAKSDFYLFLLGGGGGCAQN >CDP19337 pep supercontig:AUK_PRJEB4211_v1:scaffold_439:110332:110981:1 gene:GSCOC_T00001518001 transcript:CDP19337 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVAPAGFSYGRPPFSASEKPRRTVVVRAEAINPGIRKTEDKVVGSVVIAELNKPLTAYCSRCWRSGTFPLCDGSHVKHNKATGDNVGPLLVKKQ >CDP19338 pep supercontig:AUK_PRJEB4211_v1:scaffold_439:118249:121823:-1 gene:GSCOC_T00001520001 transcript:CDP19338 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTKDQISIDIKPPLSSAALAPLPPPPPPPPPPPPPPQLEEHKNMEQQQPGFWVDLTAEELPKEQSERVPFPLFRHIRQGRENTWVISLIVILHFIVFVATISVNNCRHVSHHQCAFQFLGRFSFQPLSENPFLGPSASTLNKMGALQETLLTERHQLWRLFTSPWLHAGVFHLIVNLFSVIYVGVHLVQEFGPVRVGSIYLISAVTGSLLGALFVQHRPSVTSSGALFGLLGMMLSGLMRNWKVYTKKLAALLALSVIISINLLLGLIPYVDNFANFGGFLSGFLVGFVLLFKPLVGKAAHNKAGLFEFDVKQAVRVRNKLDKPLLRIISLVFFSILVAGLTIGVLHGMDANQYCRPCQYLDCVPSKWWSCGNRPMHCESIVSTEQLTITCSRNGNFRIFPSIEFSEERLQDLCYLICS >CDP21476 pep supercontig:AUK_PRJEB4211_v1:scaffold_4399:3:4759:-1 gene:GSCOC_T00010015001 transcript:CDP21476 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGTIPPQLGNLSFLVGLNVMNNSLHGHLPTELSRLHRLKVLDIQHNQLAGPLPEALFNLSSLRIISFTGNSFSGYLPAHVCDYLPQLQGLYLSRNYFEGEIPSGIGECSRLQVLSLSYNKFRGYIPKEFWNLTTLTQIALGGNDLTGIIPQEVGNLSKLEVLHMGENRLRGPIPLKLFNSSTVRLIALTENDLSGELPSTIGVFLPNLEELYLGRNEFSGTILTSISNASRLRKLDLSGNHFTGAIPHSLGNLRLLEVLSIPRNDFSEDSLSKELSFIISLSNCKHLRSLGIDENPLNGFLPKSIGNLSSSLESIIISNCGIISEIPSSIGNLSNLVELFFDNNSLTGVIPTTIKWLLKLQRIDLSHNQILGAIPSEFCNLLNIGELRLGHNMLSGMVPSCLGNVTTLRYVYLNSNNLSSMIPTSFWSLRYILVLHMSGNYLTGSLPAEIGNFKALVYLNLSNNQYLGGIPSTIGALQDLQELSLESNKLQGLIPDSIKNMLQLRHLDLSFNHLEGEIPNSLQVLSDLQYFNVSYNRLRGPIPHGGPFANFTNLSFLSNEALCGAPWLQPCTSTFEHESRKKRIVMIVLLASGSIILALVISIFLMRLKLRKKILTPTQNLIPMATFERASFHELRQITNGFSESNLLGSGCFGSVYKGIRENGMVWAIKVFDLQLEGAFKSFDRECEALSCLRHRNLTRVITACSSLDFKALVLEYMPNGSLEKWLHSNPHFLNIKQRLDVMIDVACGLEYLHYGYSTPIVHCDLKPSNILLDQDMVGHVCDFGIAKLLGDEESVVQTKTLATFGYIAPEYGLEGLVSTSCDVYSFGITLMETFTKRKPKDEMFIEELSLRRWIQECSPDSMILQVIDADLLHPEDKMIQRKIECISSILQLSLSCTTDAPEERINMKEVLRALQKIS >CDP19339 pep supercontig:AUK_PRJEB4211_v1:scaffold_440:126475:127982:1 gene:GSCOC_T00001066001 transcript:CDP19339 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDNLVAMEPWAFRPTFADSWLNDAFARDTETLTRALQKSLSSHSDNVSSEMMNPFYQSETTPAHTPTVSGGSENETAVSKRRSAGNVVGGVNGGKITKRKSRASKRSTTTFITADPANFRQMVQQVTGVRFGGNCQLPVNPVLKPEPQRPPVNRLQAGCLPTLDTSAFLLDPPNSQQQQQQVGPASALVVPPQVAVSIAQPSPLAVVADGGSSGFDFESFCSFPTLESWN >CDP21477 pep supercontig:AUK_PRJEB4211_v1:scaffold_4407:196:6373:-1 gene:GSCOC_T00008497001 transcript:CDP21477 gene_biotype:protein_coding transcript_biotype:protein_coding LNSLSGSLPVEIFNMSTLRTLYLADNYLSGVLPSNMCHGLHNLEDIYLSLNNFSGAILASISNCCISLRDIMVGDNPLNGVLPRSIGNLSISVERLNVRNSGLRGNIPESIGNLSNLVFLGLSHNSWTGSVPNTIWGLQKLQHLVLSNISLTGPLPRGLCGLQSLESLVLSQNQISGSIPGCFNNLTSLGYLNIAFNRLTSTLPMGLWDLKGLQGVNLSSNLLRGPLAPEMGELKNLTKLDLSNNQFSGKIPSTIWRLYLAENNFTGAIAVSIPNCSKLTFISRGYNKFSGGIPLSLGKLRHLEFLELIDNNLTKFEYIYIYIYTRLSQNQISGSIPGCFSNLTSLRSFYIAFNGLTSTLPRTLRNRKDLLGINLSLNFLSGSLPVDTIGSLQYLMYLSLANNSLQGAIPSTVGNMLSLETFNLSHNNLSGLIPKSMTALRHLKYFKVSFNDLRGEIPTGGPSENFTHEPFLFNKDLSGLFRFCCPPAKLFQRINQGKSRCFYLCLFFLVRAHGRIPYCDFMQATNGYHESNLIGMGSFGSVCKGILDNGMLAAVKVFNLQI >CDP19340 pep supercontig:AUK_PRJEB4211_v1:scaffold_441:17136:19283:1 gene:GSCOC_T00001619001 transcript:CDP19340 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNPGSGFLSGYTGGFLGMNRNQQNPGGLLGMSRSHQQNLSSIPSLMNMKSVAEKDSRIGLREVKDSAPRGFAMTFARERVAGPRNVMSNGAVNINEISSDEDDPSMAEGNGRDTGGGKGKKDAPWVRMKWTDSIVRLLIQVVANVGEDGPSEGAEGARRKSGIIKKGKWRKVSKVLMSKGLCVSPQQCEDKFNDLNKRYKKLNDILGRGTSCRVVENPALLNNMEHLSDKAKEDVRKLLGSKHLFYKEMCAYHNGQKITDCNDFELPVHAASDGSPVAAQSSKDNDISEGNDADENDESDHYMSEDEAAYNNASGSVDRVETSENREMWKDYGNTRSRSEAGDDFQAEIAEMFSDPTKSQWERREWIRKRMLQLHEERIGIQAEAFELEKQRLKWQRFCNKKELELETARLEKERLIIENERKALQLKQKEVEVEFRRPESTFNLTSFSIDIMGGREQIDSGRQH >CDP21478 pep supercontig:AUK_PRJEB4211_v1:scaffold_4410:3146:3796:-1 gene:GSCOC_T00003704001 transcript:CDP21478 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGLQIPDDITSNILKGLPAKSLMRFKSVCRSWYALICDPYFARRHHIHSHHRPDASYILFYALRRNSKAIALFPTNSDGILSTQLPLHKFQPNFVPCTSVVNSLICLCNASNLQLLYVLNVTTGETMLLPQGKHCHEYSYNEILRPPFYLGFDPVTVKYKLLYFHRDAGAGIIRECHILTLGSMSWREIHCPTMVKPCVPFLLTEPYTGNIRQS >CDP21479 pep supercontig:AUK_PRJEB4211_v1:scaffold_4415:5656:5811:-1 gene:GSCOC_T00012529001 transcript:CDP21479 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFVYPAYHRSTRYYDGRYWTMWKLPMFSCTDATQVLKELEECCDEPTSS >CDP21480 pep supercontig:AUK_PRJEB4211_v1:scaffold_4417:6141:6239:-1 gene:GSCOC_T00009268001 transcript:CDP21480 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSKILTIRGTKYIGKFIVEESWPFSLFFC >CDP19341 pep supercontig:AUK_PRJEB4211_v1:scaffold_443:268:3546:-1 gene:GSCOC_T00009605001 transcript:CDP19341 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGHLLLAALLVSFNLLAANAQHRGVFPSARALNKIDRSAVPLSPSFGIDVLNRSSFPKGFIFGAASSAYQVEGAWNIDGKGPSNWDVFTHKFPGKISNGSNGDVAADSYHRYKQFATLKQAMEFLGHLLLAALLVSFNLLAANAQHRGVFPSARALNKIDRSAVPLSPSFGIDVLNRSSFPKGFIFGAASSAYQVEGAWNIDGKGPSNWDVFTHKFPGKISNGSNGDVAADSYHRYKEDIKLLKEMNADSYRFSISWPRVIPYGKISKGINEKGIEYYNKLIDEILAYGLKPVVTIFHWDLPQALDEEYGSFLSPKIM >CDP19342 pep supercontig:AUK_PRJEB4211_v1:scaffold_443:27557:32133:1 gene:GSCOC_T00009606001 transcript:CDP19342 gene_biotype:protein_coding transcript_biotype:protein_coding MQANFVINLGDVAQVPIISFSATSPSLSYTRSEFFFRATLNDLSQVQAISAFIHAFGWKAAVPIYVHNEFGEGIIPFLNDALEKINTNLPCRSVIHPLASSSISLPVSTVGKDLQHALVDTSFPGISGDFRFKNRQLDTSIFEIINVVGKEKKVIGIWTTENGIPRNLTTSLDILWPGDSKSIPKGWVIPTNEKKLRIGVPVKDGISSKFVRVINDLKSNTSKVSGFSIDIFDAVMATLPYYVPYDYVPFENADGKSAGSYDDMIYQTLSLLICPLIRLYVNFDAVVGDITIIANRSNYVDFTLPYTESGVTMIVPVKDKKQKTAWVFLKPLTWDLWLTSVCFFIFFAFVIWILERKSNEEFGQTAPQQLGTSLWFSFSTMVFSQREKVVTGLARFVVIIWCFVLLILTQRYTASLTSMLTVSQLEPTLTSIDQLIQNGVKVGYPKGSFVLALLKQLNFNEANLKMYYSMADLHKAFLYGRVAAAFDELPYMKPFVAKYCSKYTMVAPTLKAGGFGFAFPKGSPLLPDVSRGILTITRARNFSLGNHVLYIMFHYKLYVPFNACEQFTKVAFFLCRHGLK >CDP19343 pep supercontig:AUK_PRJEB4211_v1:scaffold_443:93108:96395:1 gene:GSCOC_T00009609001 transcript:CDP19343 gene_biotype:protein_coding transcript_biotype:protein_coding MYEREIEMLKAEVASMLLPAGKTMTERFDFIDKIERLGVSHHFDIEIENQLQEFFNVYTNFGEYSAYDLSSAALQFRLFRQHGFNVSCGIFDQFIDAKGKFKESLCNDTRGLLSLYEASHVRTHGDEILEEALAFTTTHLTSGGPRLDSTLAKQVKYALEQPLYKGIPRYEAWHYISIYGEDESNNKLLLRLAKLDYHLLQMSYKQELCEIIRWDSSGADQLSDYIRASYTTLLKFNKEVAEKLAKKQRTYAFDNNGAITIGVYLTASAAFLDMDNASEDVINWMSTIPKLMFACSTHARLINDFGGHKFDKERGSGTALECYMKDYNVSEEEAAKKIREMCEDIWKVMNEECLRPTPIPRDILKMLLNIVRVGETTNKHRIDGFTQPHAIEEFIRAMLVDFMSV >CDP21481 pep supercontig:AUK_PRJEB4211_v1:scaffold_4435:3347:6258:1 gene:GSCOC_T00007302001 transcript:CDP21481 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTGSGNGSAVNGILLERLDFQHVVSISVLFSFGLTLSDISHFFLEIIKSPSCIALSKNDSCVMSASDGKVSLFNMMAFKVMTTFMPLPLAITYLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKTKLKGHHKQITGLAFSQNLNVLVSFGADAQASLCIWNIDGWQKKKMKAIQAPPGHTSPVIGETKVQFHNDQCHSLVSHESQVAVYDTQLECLNSASICYMTYPRDALSASISSATYSCNGLSVYTGFLDSAIGIFDADSLRLHCWIALSIFMPSSIVRYSNDDAQWFIEEAGHAPWFFKFLPWSETIKQVTTRKIKRDCWHSCHFCLGLFYAMVPI >CDP21482 pep supercontig:AUK_PRJEB4211_v1:scaffold_4458:755:1741:1 gene:GSCOC_T00004031001 transcript:CDP21482 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTEVEQKDDHFEELFQRLQPKKPVAGSLLANYQGVWFGADLLQATLTFQKHFKAIDSDIMLATMPKSGTTWLKALTFSIVNRNNHSVDESPLLFSNPHYLVPFLEIYLYKDGNIPDIDSMPCPRILATHLPYQFLPSSILDCSNCRIIYLCRNPLDVFTSVLQFLLQNGRISSPSMSIDVPFEQFCQGIHPYGPFWDHCLGYWDASLKNPQKVLFLKYEDLKKDINSSVKKIADFLGYPFSAEEEEAGLVEEIAMLCSFENLKNLDCNKEGEIKAAFRAKHSSFFRKAEVGDWVNVLTPSMANRLEKLFQEKLGESGLTLEINSK >CDP19349 pep supercontig:AUK_PRJEB4211_v1:scaffold_446:72919:78065:-1 gene:GSCOC_T00012323001 transcript:CDP19349 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDIKGFTSSASNFACERKKSDTDMNSLNVSSESQFGPLHMDANFQSKSSSTLNVQKVDLVTVSGLNPPSETCAAHGSISGTHSEHLMSTLSCGPSIMSMATKMNDHMSPQLVISWGSGSSTRSDSIESSTTHLKPHTGGDVRWDAINSVSLKDSPLGLSNFRLLKRLGYGDIGSVYLVELRGTNTYFAMKVMDKGSLASRNKLLRAQTEREILSLLDHPFLPTLYSYFETEKFYCLVMEFCSGGNLHTLRQKQPNKYFSEDAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDEGHIMLSDFDLSLRCSVSPTLVKSSSVHAGNASSNAGGILDDENAVHGCMQPSAFLPRILPSKKNRKSKSDFGLFVGGALPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGSGNRATLFNVVGQPLRFPEAPQVSFPARDLIRGLLVKEPHKRIAYKRGATEIKQHAFFEGVNWALVRSAQPPHIPEPVDFGHFANKEAAHSDKKMAEIATDKNKSSSSDPSYVEFEYF >CDP19347 pep supercontig:AUK_PRJEB4211_v1:scaffold_446:38469:40692:1 gene:GSCOC_T00012320001 transcript:CDP19347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SOMBRERO [Source:Projected from Arabidopsis thaliana (AT1G79580) UniProtKB/Swiss-Prot;Acc:Q9MA17] MMPAATGQFSVPPGFRFHPTDEELLHYYLRKKVSYEAIDLDVVREVDLNKLEPWDLKEKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATSAGFWKATGRDKAIHLGNSKRIGMRKTLVFYIGRAPHGQKTDWIMHEYRLDDENAEIQEDGWVVCRVFKKKSNTNRGFQPDHELDLRDHEEHEHLTPHMKLAGVSTSSMMEPTTRQNSQPLCDYSLVDCSMHLPQLLSPDVAESMSTVDLDCNSQNLLSLTSGSGGCGGGLLQYNEEKFSSDWTFLDKLLASHQSFDQQSKCYSFMSQPATAAHHHHHHVVPSSAQKLPLPIIPFHHVGSGPEFIVTSSCLIYRLLGIYMIGFYLVF >CDP19351 pep supercontig:AUK_PRJEB4211_v1:scaffold_446:95763:108443:-1 gene:GSCOC_T00012325001 transcript:CDP19351 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPSGPPPLIPSPPPPPPPPSLPPLPVIQAGANDAGCQVRCAGCKMILTVGAGLTEFVCPKCNLPQMLPPELLRTSQQQQQQRTAAAHGIDPTKIQLPCAHCKAILNVPHGLSRFNCPQCGVDLAVDLSKIRQFFAPPLPGAPPLPTPPPPEEVNEVAIEVEREEDEGGMVGETFTDYRPPKLSIGPPHPDPVVETSSLSAVQPPEPTYDLTIKDDLENSKALSCLQIETLVYACQRHLQHLPSGSRAGFFVGDGAGVGKGRTIAGLIWENWHHQRRKALWISVGSDLKFDARRDLDDVGASCVEVHALNKLPYSKLDSKSVGIREGVIFLTYSSLIASSEKGRTRLQQLVQWCGPDYDGLIIFDECHKAKNLVPEAGGQPTRTGEAVLEIQARLLQARVIYCSATGASEPRNMGYMVRLGLWGAGTSFLSFRDFLGAIFKGGVGALELVAMDMKARGMYVCRTLSYNGAEIEVVEVPLEAKMMEMYKKAAEFWAELRVELMSASAFLTTEKPNSSQLWRLYWANHQRFFRHVCMSAKVPAVVRLAKQALAEKKCVVIGQSLGS >CDP19344 pep supercontig:AUK_PRJEB4211_v1:scaffold_446:8884:11205:1 gene:GSCOC_T00012317001 transcript:CDP19344 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLWEKSRTWRWIVTKTRDSKPFFVAFATVCGVVPGVVGFCVMQLTNSRSPELEAKLRQNARPDSLMMGKVNKERLGEFLGELQRKEDTNDRYVAALRGETLTRNPYVRMQPVPEQSAAEVSKEQE >CDP19346 pep supercontig:AUK_PRJEB4211_v1:scaffold_446:26333:34830:1 gene:GSCOC_T00012319001 transcript:CDP19346 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVSLRHRKNSKSFATAAARQPPPPDSSPPPSSQPRLQTLARRFWKVAAPYWYSSDDKVQARWRLLAVFALTLGTTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLAGFAGGIPFFVLRDYARDTLSLRWRSWMTSYYMERYLKNQTFYKIQSQSILDNPDQRIVDDLSSFTGTALSFSLTLFNAAVDLISFSNILYGIYPPLFAVLLVYSVAGTAISIFLGKDLVTLNFLQEKKEADFRYGLVRVRENAESIAFYGGEGNEMQLLLQRFRSAFENLTQLLISSRNLEFFTNGYRILIQVLPVAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAIIDRLGEFDDVLDSQNIKGRSESMERILINFCSISAMETLETNGSFSLDKSVKLLDVEHLTLQTPMSGTTLIRDLSVEICENDHLLVAGPSGSGKTSLLRALAGLWNSGHGKITFYVKDTRDPQSSISQELASCVVASTHETDGNLHSLRQGSTRSLFFLPQKPYMVLGTLRQQLLYPTWTEDPTSALDAPKSTAMLPFLIRTPIINRQSMRPSPPTNDDLRRVVEDVGLGYLLSRCNSLDSVNEWSSVLSLGEQQRLAFARLLLSKPYLALLDEATSALDEANEANLYRKIGAAGITYVSIGHRRTLYNYHTRVLHISTAEPLSIQRNWNIEPINPERVYNLSKQ >CDP19350 pep supercontig:AUK_PRJEB4211_v1:scaffold_446:83742:95696:-1 gene:GSCOC_T00012324001 transcript:CDP19350 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTISLTMFTIFPLFKYVLIQGLELDDFISGPRELLLKFVEENYPLPEKPEPLPEESVKELQRKRHSATPGVSFRGRVRKVAKWQSASDAESEESEIDSEEESTESDDEFQICDICNSEEERKKLLQCSCCGQLVHPACLVPPVMETVSSDWSCHSCKEKTEEYLQARHAYLAELLKRYEGAVERRTKILELIRSLDLPNNPLDDIIDQLGGPDKVAEITGRRGMLIRASGGKGVTYQARNTKDVTMEMVNMHEKQLFMNGKKLVAIISEAGSAGVSLQADRRALNQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPTLSAYNYDSAYGKRALMMLYRGIMEQDSLPVVPPGCSSGKPDAIQDFVLQGKAALVSVGIIRDSVLGNGKDGAKISGRIVDSDMHDVGRFLNRLLGLPPEIQNRLFELFASILDLLVQNARVEGHLDSGIVDMKANAVELQGHPKTVHVDSMSGASTILFTFTLDRGITWESASKLLEERQQDGCGLTNNGFYESKREWLGKRHFLLALEGSASGLYKIFRPAVGEALREMPLTELKDKYRKISSSEKACTGWEDEYDVSSKQCMHGPNCKLGSFCTVGRRLQEVNVLGGLILPIWGTIEKALSKQARQSHKRIRVVRIETTTDNQRIVGLLIPNAAVESVLQDLAWVQDIDD >CDP19345 pep supercontig:AUK_PRJEB4211_v1:scaffold_446:16191:26107:1 gene:GSCOC_T00012318001 transcript:CDP19345 gene_biotype:protein_coding transcript_biotype:protein_coding description:HUA1 [Source:Projected from Arabidopsis thaliana (AT3G12680) UniProtKB/TrEMBL;Acc:A0A178VA41] MVADSFLSSSDASSLLGSSRYLTSSDPLFSSSAARLFSHSDSYSFRIPGLDAVSPTTTSKPASSSSSWPGPPGVDVADPFLLATLKRSSSQALYHQTVFGAHNPIGQTEAWFSTNPLAKRPRFESAGNLPIYPQRPGEKDCAYYMLTRTCKYGDSCKFDHPIWVPEGGIPDWKEVPLVDTSEPLPERPGEPDCPFFMKTQRCKFGTRCKFNHPIEKITPLVALETNSAVLPERPSEPPCAFYMKTGQCKFGATCKFHHPKDLQISTAGQENSFGEQSKLSTNETSGDFKAVKPPVTPAMMHNTKGLPIRLGEVDCPFYLKTGSCKYGQTCRYNHPDRNAINPPAAAIGAAYIASPAPNLSIGIVNPAASILQTFDPRLTQTTVGLAAAIYPQRPGEAACDFYMKTGECKFGQRCKFHHPIDRSAPIISGKETQQENVKLTRAGLPRREGAIHCPYYMKTGTCKYGATCRFDHPPPGEVLAMGTTAQGTWSPTGGEAEEGSSGPETGGEKQ >CDP19348 pep supercontig:AUK_PRJEB4211_v1:scaffold_446:43935:48133:-1 gene:GSCOC_T00012321001 transcript:CDP19348 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQGWSFSIHSRVVVSNSERYGEMRKHVFRPHLSGSGSSGEIQLGQYRHHFLRSNAGPTLSSTRTLSSSCFSTLCASNVEIVDIVHNKVLIAAAVSAALGQLSKPFTSAILHGNKFDLKSAFQAGGLPSTHSSAVVATATILGLERGFSDAIFGLAVIYAGIVMYDAQGVRREVGSQAKVLNRVLFRNKVESFSSCDVNDSTEYFPEEVSTNIETFDASSLRKFRSSQPRVPNAPLLLKSENRTWRMPIVKGDSESIPFGCTPLKESVGHTEIEVIAGALLGFFVSIAVNRI >CDP19352 pep supercontig:AUK_PRJEB4211_v1:scaffold_446:115382:143755:1 gene:GSCOC_T00012326001 transcript:CDP19352 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFLSSEEFQSCSNDAALVAEKADAFIQELMNQLETVKAKADAASITAEQTCSLLEQKYVSLSSEFSSLQSQHSQLNASLEERLTEITELRAQNHQIHLLSTGKDGDIERLSTEASELHKSKRQLIELLEQKELEISEKSSIIKSYLDKIVYLTENAASKEARVNELETELARSQASSTRICQEKELIERHNSWLNDELKVKVDNLIELRKAHSELEAEMSAKLADVEKNWNETSSSLKWNKDRVKELESKLASLEQELLSGKDAAATIEKQLSSEISTWKKLVDLYKESSEEWSKKAGELEGVVKALETHLVQVEDDYKQRLESEASARKEIEKEADCLKENFEKCAAELESFKRKDQLKPLPLSSFTSELWVDPREGTNTVEDNRMLLPSIPVGVSGTALAASLLRDGWSLAQLYTKYQEAVDALRHEQLGRKQSQAILERVLYEIEEKAGVILDERAEHERMVEAYSSLDQKLQHSLSEQTALQSHTQELKADLRRHEREYAAAQKEVVDLQKQVSVLLKECRDIQLRGGSVCHDYGDTFMAGSGVSTEDAYNAADVIPEQLLAFKDISGLVEQNVQLRRLVHSLSEDIASRETELKEKYEKELQRHTDEAGSKVNAVLARAEEQARMIESLHTSVAMYKRLYEEAHKPRSPNPLLQEAVPVERGKAIIGLADDSYESLKKAQEKAHKQVKYLDEELGKSRCEIISLRSECDKLALEAQLAREKLERFMAEYEHQRDEYNGLLARNVEFSQLIIDYQRKLRDSSDSQRAAEELSRKLTMEVSLVKQEKEMLLNAERRAADEIRSLSERAHRLQASLNTIESTEEVREEARCAERKKQELYINQIEREWAEAKKELNEERDRVRNLTLERESSLNSALKQVEESGKELSKALHALAAAEARASIAEARCSELEEKMKLAHFEASEKYGKGGPNSTANNEIVLDLHTAEHEIAKLREEARINKDHMLQYKNIAQASEEALKQLEVVHENSKAEAENLKKSLEAELLSLRQRVTELEEECNLKNKEVEFATVRKEEALAAALSEIAFLKEDCSVKTSQVAVLETQISSLKDDLEKEHQRARAAQANYERQVILQSDTIQELTRTSQALATLQEEASELRKLSDALKTENIELKAKWETEKSVLDVLKNDADMKYNEVNELNKVLHSKLEALHIKLAEKDRHSSCVSGSSSQDSLDDDNGLGHIVNYLRRSKEIAETEISLLKQEKLRLQSQLETALKAAESAQASLNAERANLKTSLFTEEEFKSLQLQVREISLLRESNIQLREENRHNFEECQKLREALQKISIEMEIKERSLEERQKEVEACRRDIEKQMLEKEDFKRKVDELLEKSKSFDVEDYDRLRESVQQMQVNLREKEAQLEEMKVVLSERQSVISRLEQDVSRSKIERNEKESRINEISRVEASLRSDLEKQRRVIAQLKKKSETLSKEKEDMSKENLVLSKQLEDAKQVKRSLGDAAGEHAMKEKEKEKEEKDTRIQILEKTVERLREELKKEKDEHKTEKAKRLKTQKTISDSYETVSQHRVKLLDELEKHKQALRMLVDEVEKLKQSRGNQSEGTTEINFLSGSLLEDLATAYHLAVESFHRSAQPVSVEPGASAVVSSAASDTTSGGPTIVAAMAPAISSPAPSTANVPSAKTLHEKEKKFVLVKPSLETRKTGRKLVRPRIIKPEESQPDILMSELEGSDKPSSSNDLENQGNLDIPTSAPGRKRPSALSASELCEELLVTDETGADVAEPTLKRSRNSETPQEGGEGLPPEGSDSQAAGKLEDSSEVLPASEESMEDIPDLPHVSKGISVNVDKDEGETAAKQAEEPTAEMKMQEEFQNDKGDVADACSNKLNGALLSDVPLKQQADQEIQHPAAESESEREEGELVTDVADLEGSLNMSTTLGSPEPEFLSEHGTASEIPPGVDDDPVDQGTVEAGDAEVSQALDDVKNDEGIITEDIGETSHKLNNDIEQAAAETDEVSEAATTTPEKTPPSTDVEIGVSKQGGASAINDTEEGKQASPIYRSSTTINLSERAKERASIRQGGMLSSLTSRGRGRAPRGRGGRSARGRGQTSGKQG >CDP21483 pep supercontig:AUK_PRJEB4211_v1:scaffold_4462:1213:3999:1 gene:GSCOC_T00008593001 transcript:CDP21483 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGLLINQLSTLLSQEITLLGGLKSDVQFIKDELGSMKAFLREAEAKEDNNDSQLQEWLKQVREVAYDTEDVLDDFTFRFARGYMDGFCGKAGKIYNSIKNLKARHQISLKIKDIKARVREISKRHRRYRSQYGTQERGFSSSRQVNADFDIRVQSLFIEEAQLVGIDRPKAELISKILGDHSQLKVVSVAGMGGLGKTTLVKKVYDDAAVKKQFQSHAWITVSQNFQFRDIIKNLIQQLYNEIRRPVPRRVESMDDNMLIEFVRDFLRERRYILVLDDVNDCPPNLEEVVKKILKKCEGLPLAIVAIGDEWEMILHGFGGEADGSGKLDRIKRVLLLSYNDLPHYLKSCLLYLSIYPEDYPIDVVSILLNYMKELINRSLIQVKSTGIDGQLITCGLHDFVREIIVSKSKEQDFMTVATKYYTRWPEKVRHLAIHNFTDNPQEFSSLNGDPKLLKVLDLRGAKLDSIPKQVFKLFHLRYLNLARTGVKIIPKSIGKLQNLEVINLMRTNVTELPVEILNLRKLRCLCLVREVGKLTQLRGLAITKLRREDGQELLSSLLRLTNLRELVISCIKEDEILDLQHSVSPKLEFLTYLRLKGRLERVPQWLISLQSLRTLQLFNSRLREDENVIGSLGHLPNMVSLSLYGAYEGETLCFKVGGFQKLLRLELGQLKRLKWVRVEEESMPSLIDLQLIGCKLMQELPSGIQNLTRLQFLGFLDMSDELMHKVQNLDKQSEDYQTISHIPQVSIGRWIDGRWEDTFLL >CDP21484 pep supercontig:AUK_PRJEB4211_v1:scaffold_4466:231:1994:-1 gene:GSCOC_T00000224001 transcript:CDP21484 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSPTWAAYALAWVTTVVIAHLSKHFHQQKLNLPPGPRQWPIIGNLNLIGTLPHRSLHQLSLTYGPLMHLQFGSFPVVVGSSVEMAKVFLKTMDGTFAGRPKTAAGKYTAYDCTNMTWSPYGPYWRQARKIFLTELFSAKRLESYEHIRVEEMNSLLLQLFNLNVISRMVLGKTYIDESENSIVTPEEFRQMMDEVFLLTGVFNIGDFIPWIDFLDLQGYIKRMKILSKKVDRFLEHVLDEHNARRKDETDCVSKDMADVLLDLADDPTLEVKLERRGVKALTLDLLAGGTETSATTIEWAISELLKNPEIFNKAAEELDRVIGQNRWVNEKDMPNLPYIEAVIKETMRMHPVVPLLVPRCAREDCKVAGYDIQRGTRVIVNVFCPDRFMGKDIDFKGQDCKFLPFGAGRRMCPGYSLGLKVIQSSLANLLHGYRWKLPNDMKPEDLDMEERFGITTPRKIPLVAIVEPRLPRNLY >CDP19353 pep supercontig:AUK_PRJEB4211_v1:scaffold_447:11694:11940:1 gene:GSCOC_T00004435001 transcript:CDP19353 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIVYSLSLDLFYVRVGLFPLHL >CDP21485 pep supercontig:AUK_PRJEB4211_v1:scaffold_4482:613:2446:-1 gene:GSCOC_T00004070001 transcript:CDP21485 gene_biotype:protein_coding transcript_biotype:protein_coding MPELHFGIDLLEPVGQLRVNIVCSYKMEFGVICVVNLGSFDYLILDWDKFVYLFCCVDSFCLCFGAGIFGAIQVFLFVGGYICAICTSSLQTIEDHRLKTHRQTNPFFAGSISSSGEVPLPEPISTFHPHSGSDISSLFLQQHLQHGRRLLKYFQRYDGLLLVFFPSVFL >CDP21486 pep supercontig:AUK_PRJEB4211_v1:scaffold_4487:3017:5220:1 gene:GSCOC_T00010807001 transcript:CDP21486 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVERISEALELNEEKRNSLQTLMGFVMLQCKDVEEHLKLLKSSFSESFTELQSREMLLKSAQESGRKSFQEFDSRRKWIEEMLKKVEGKEDLAIGILQEMEKKEKQFGSTVDEKLREICLKEQQVEGLIREMSIIQNEMEIRANELDLKEKKLDELENELGVREKILELTVELNHQKRELVTIQKSQKQGAKEPDSVNQVYSAEVRPECRKRFRDSLVVEPRKKNDVDAQGSDMGEKCSNRSKRTCVDEKDAEQVKALDDVGELYSDSAENSDSGSSYRPSSSEEIDNIHINLNDSESDSDLKEINGVSNSFDGAESLFVNIQKKDRLENMFEAGQTWACFDGKDSLPRSYATIAKVLKTNWNLRMQITWLKPVPWSRCEKKWINAGLPVGCGLFKRGMRKTLYPNAFSHQVSCMKLEKCMRKSKPYFIRPRKGETWAIYKDWDIAIWSSHPENQRKWEYEIVEILSYEVDLWGIRVACLEKLQGHMSSFQRSKNESVLIRPNSYFRFSHRVPSAQMTCNERVSVPDGVFELDPKCLPPGV >CDP21487 pep supercontig:AUK_PRJEB4211_v1:scaffold_4493:314:4267:1 gene:GSCOC_T00005398001 transcript:CDP21487 gene_biotype:protein_coding transcript_biotype:protein_coding MEVACSIVVLLLISAAAQAAGSARKPALGYTEYSVIGLSIFFVVYSLIGGLLCLILCKTKVKKVESEPIDNKHGDVFRMLNYDGHMAYEDIIKATRGFDVGYCIGRGNYGSVYKVQLPSGKVVAVKKLHHLEGKNPNYDKSFRNEARMLSRIRHRNIVKLFGFCLHQRSMFLIYEYMDKGSLFCILRDEIQAVELDWIKRLNLINGIANALSYLHHDCDPPIIHRDVSSNNILLNSQLKATLSDFGTAKILKPDSSNQTVIAGTYGYIAPELAYTVVVTEKSDVYSFGVVALETLFGEHPREFLSFIASQPNEPIMLKDLLDARLPPPTNPLVVRNVVVATALALDCVNANPKCRPTMQQVVNRFEVGRREPTRPLHTIAVNQLIRPPVLSLRDQTCADGTSNSSIINEFHVDISATPPSSNFSIHCWTKCAMSLAGGPVDQVHHGFDRRSGRLDQGVKDWRGPECNWMLKKSGSIYRRRGDLNFSLSAYTTVVTENSDVFSFGVVMPETLIGEHPREFLSSSSSQPNEPIMLKDLLDAPSAPSYQLLGRS >CDP21488 pep supercontig:AUK_PRJEB4211_v1:scaffold_4500:2634:5963:1 gene:GSCOC_T00003233001 transcript:CDP21488 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVGITWGRFSDTYKFRGAIAYVHTLPSSIFNWLSTIFFSGEKMASKGSQNGEFSFATSPTIARGRHGLPKIHTEKKKEEDEICHDDSAPPVKAKTLDELHSLQRKKSAPTTPITGTQGAFGATLSEEERHRQQLQSISASLASLTRETGPKVVRGDPARSGETPRVEHVTHHHYAPTFAVSDSALKFTHILYNLSPAELYEQAIKYEKGSFITSSGALATLSGAKTGRSPRDKRVVKDETTEDELWWGKGSPNIEMDEHTFLVNRERAVDYLCSLEKVNTLAL >CDP19354 pep supercontig:AUK_PRJEB4211_v1:scaffold_451:49758:53247:1 gene:GSCOC_T00011959001 transcript:CDP19354 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIQVALQTVVSLAADHVNLAREFPKELKKLDKSAAMIRGFLAGADEDKHSPVVQNWLKQLEEEVFKADNVLDELTYENLRRKVKYQNQLTKKKVFFCFSFFNKIGFRLGLAYKHQVEEAFATIAAGATTSRQTDSTIVRRDVLGRDEDESEIVKKLLIETESVISVIPITGMGGLGKTTLAKAVYNKSQIHKHFDKKIWVCVAEKVDRIEEVFKMILESLIGEKVDGDRREVIVQKIQDELKEKRYFFVLDDLWNDEEVLLNDFFSTLAGLNAKKGSWCLVTTRLQEVATILSRHPQINFIRHELGKLCDNDCWSIMKKWANVGEELPKELEDMREQVLRRCDGLPLAAKLIGGLLSKKRKEEWLSILEESFLNGDPGGIEQILKVSFDHLSPAPVKKCFAYCSIFDQDTELEQDLLVQFWMAEGFLQPDSQNERMTEKIGYEYLRILLQTSLLEEVKEERRTWYKMHDLVHDFAKSILNRNSSNQERYLAVYSSERMVENMNEKKSASLRTLFLEGGMADDMISKFKYLHVLKLFGTDAKKLPNSVGKLLHLHLLDISGSRIRTLPESLCKLYCLQTLRIGMLVDGRQEEGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELANLSEKPNLHRLVFEWGDRDEESDNCDEVVLEGLQPHPNLKELQILKFMGDQFPQWFMNLTSLVELRVKDCTRCRKLPALGQLSSLQHLYLTGLENIRSIGLSFYSTSAEEDSGSGGSSTISRQTFFPALKILSLESMKILEEWKDAPEMRSTAGEVHVMDVFPVLEKLYIWGCPQLTTIPTPSRFPSLDVLEIEKNCHVLLAEKVLSNIANLSSLDLRNDLWDRGGQRIKFLKLVRRPESSLRIDGCHSLPTDMLERLCLFPSLDVLEIKKNCHVLLAEKVLSNITTLSSLELSGVHYCKILRELPEDLYQFRGLEDLMIRGCPRIDSFGYPNPKNSFGQKSLLKSLEQFAVSWCDALTRLPAEMFESCTSLRELKLSNCRSLVSFPLDLRRTPSLESFILQGCPVRNAAQ >CDP19355 pep supercontig:AUK_PRJEB4211_v1:scaffold_451:79923:80715:-1 gene:GSCOC_T00011962001 transcript:CDP19355 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVSDQFSFYFLPTSNSSKVLEMEQILHTNGGEDEESYAKNSISTLLRSVFMNVNHELNRSIQEFCQANLAEAECIRVADLGCASGPNTLLAVESIIDSINRECHNLDILKLPNIQVFLNDLMSNDFNSIFKLLPSFYQKLEESYGRGSRSCFIAAMPGSFYGRLCPDNSMHFIHSSYSLHWLSQVRQSPALLLLTRTTIYLLP >CDP19356 pep supercontig:AUK_PRJEB4211_v1:scaffold_451:127214:132241:1 gene:GSCOC_T00011964001 transcript:CDP19356 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTAAIATIQVTLKTVVSLAADHGNLVREFPKELERLNESAEMIRGFLAGADGKMHSPGVQIWLKRLEEEVFKADNVLDELNYENLRRKVFFCFSFFNKIGFRWRLGSMIREINTNLERIHGHARGLGLPDKRQVEEAFPTGATASRQTDSKIVRSDVLGRDEDESKIVKKLLTESESDSISVISVTGMGGLGKTTLAKAVYNNSQFDNHFDKKIWVCVAKEVETMDLFKMILESSTGNKPDADVRDVIVRGIQNEIKGKRYLLVLDDLWNHQQRLLDDFFTTLKALEEKKGSWCLVTTRLEHVATTLSGLPQINFTRHELGKLCNDDCWSIINKWATVGEEVPKELEDIRGRVLRRCDGLPLAAKLIGGLLSKKRKEEWLSILEESLLNGDQGGIEQIVKVSFDHLSPAPVKKCFAYCSIFHQDTILEQDPLVELWMAEGFLQPDSQNERMMEKIGYEYFRILLQTSLLEEVRDWRGTWYKMHDLVHDFAKSILNHNSSNQDRYLAVYSSKSMVETINEKSSASLRTLFLKGGIADDMLSKFKYLHVLKLFGADVKELPTSIGKLIHLHLLDISDSMIRTLPESLSKLYCLQTLRIDMLVDGFPKEMSNLISMRHLHYLISMRHLHYDDGRTRREIQMPSGIGRWTCLQTLEFFNIGRQEEGRGIQELGTLQDLRGSLEIRNLELINGKGDAELANLSKKPNLHRLVLEWGNRDRESDNCDEDVLEGLQPHPKLTELHIWNFRGDQVPQWLVKSSTLVELHLVDCRELPTLGQLSSLQHLHLTGLENTTCIGLSFYTLKILSLESMKNLEEWKDAHEMMSTAGEVHVFPVLETLSIRDCPQLTTIPTPSHFPSLDVLEITKNCHVLLAEKLPEDFYQFQALEHLEISYCDRIDSFGHPNPKNSFGQKGLLKSLERFTVEWCHALTRLPVEMFESCTSLRELNLSGCGSLVSFPLDLRRTPSLESFSLRWCPKLIAEMPSGFGYLTSLRRVSIGPFSNYSVIEFDWAGLASSSSLQHVSLYGMPIKALPDWLGNLASLEKLRLWDFPKLEYLPSTADMERLKLRLLKIRDCPLLERRCTPESGSKWPKISNIPERDIIDPDTSDSEAASSDSAETMVRHRGSRSRRGLSCSISVAAYPV >CDP21489 pep supercontig:AUK_PRJEB4211_v1:scaffold_4512:4330:4928:-1 gene:GSCOC_T00010938001 transcript:CDP21489 gene_biotype:protein_coding transcript_biotype:protein_coding DEKMKGSSPLSQYATVLRERAQQRNDSITQSSVIVGERASIFGSKITDNDEQDDSVNEEGVTAFNSGWLPGSDSTTSHAEKVKEKRKRKRPQEEAAFDEDVVEELILSSDEDEDSMNSSILKKSR >CDP21491 pep supercontig:AUK_PRJEB4211_v1:scaffold_4513:5217:5681:-1 gene:GSCOC_T00005957001 transcript:CDP21491 gene_biotype:protein_coding transcript_biotype:protein_coding LCLSAETFKVDISNTKNLLQGERGRIIRERGIIVVGESGLFTPADIAYVQEAGVKAVCYECFVGKLCTFSTSTIISHSLECMRRGRKSCKQASILVVNNNYYGSPI >CDP21490 pep supercontig:AUK_PRJEB4211_v1:scaffold_4513:2:1662:1 gene:GSCOC_T00005956001 transcript:CDP21490 gene_biotype:protein_coding transcript_biotype:protein_coding DNELPPELKRCFSYCAVFPKDFEINVEELIRLWIAQGYVRPNRRGERLELVGLEYFNNLAMRSFFQELQERAFSSLVIDFGRLRSFFAFSHGRVAPQGSARHLFCSLNCVRTLTLSCCGLHEVPAEIGSLNHLRHLDLSWNLFETLPEAICDLYYLETFEISNCGDLSCLPQRIEGLVHLRHLFNLINYEVHQIPQGLGKLTSLCTLTQFNARSNSDDLAILKYLNQLERLRIDIYGEVDFGRAELGKKIYLHEMYLWFNPGVHFMETPSCIESMELPPNLQQLALDMYPRNQLPSWLVTKSLVNNLTKLIINGPRNVSSLADLWKLSSLEELRLIRVEKLECLGKEFFGITIALHENTFPNLRKLHFQCCPNWTNWEDLSEDNEKVDVSIMPHLEELHIEYCKKFETLPHRILGKISFFKSLKVLGCNKLRDCVRTRKTLIFFLGLLPLNCVIFAFSGLEIFFW >CDP21492 pep supercontig:AUK_PRJEB4211_v1:scaffold_4515:504:2711:1 gene:GSCOC_T00001122001 transcript:CDP21492 gene_biotype:protein_coding transcript_biotype:protein_coding MELPFFTTTAATSSSSSATTRAEALRWLSIAEKLLAGRDLVGSKSFAARARESDPTLLYADQIIAVADTLLSGDKRINNLHDWYSVLQLTPQQSRDAELIAGQYRKLALLLNPQKNKLPFADQAFTLVVNAWQVLSNPSRKNMYDSELMAHLRVNPMSPVPPGFNPASPREHVNYQPFEINFQVMPQHAMSNREQVMQPTQPQHGTVREPTRSQILPPQNLTRQPISQNLPQQNLSREQGRQPQPPPQPQAQLPPQPQPQPQPQPQPQQQQRKDNLMGNNATHDFSSSIGNASNTNDNNNVHDDDVDVGNNSDVNRRDEERGKDGSSDGGALSFWTACPYCYYMYEYPSVYADCTLKCQNCRKAFQGVELPSPPPIVDGQDAYFCCWGLMPLGVSMEILERNRGKGGKWTPFSPMFTCPKGMGSNGNAGNWNVNNGKNNAAGPRSGNVGSKKKSSGPRVYVDDEDVFLEYSDPSEESDDDWRTEMRKKKAKGEKKMKVVKNKGTGTPGRRGRPPKVDKGKNPKGESENVREGLVVQEGVEVPNAPSAESSKKVAATCGRRHSARVAKDFGKLDLNVEFNNEVEEPAPRVVQGNGTGRGEEDIIEGSGFFEGLDEFLSTLPILNVVGDDKVKAA >CDP21494 pep supercontig:AUK_PRJEB4211_v1:scaffold_4516:2497:3839:1 gene:GSCOC_T00004699001 transcript:CDP21494 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRTNIEVAIEEMQRANYFTFVMLLRMAPPDLMMILEGGNLTFFMPNDKTLSQDSNIVNLAAPANHTTTPSSLAHFLLRHSIPSPLLFEYLQHFPAGSTVPTSDPDLVLRITNHGRRSFFLNNVRVSSPDICTRGSSIRCHGIDGVIQPNSVPPLPAADHDCRRPIPNANNNNATSPPPALQPAPPSTAPPPGEADSRNSAPSLPGLEQMFEFATKCVALLVLNLIVV >CDP21493 pep supercontig:AUK_PRJEB4211_v1:scaffold_4516:138:2290:1 gene:GSCOC_T00004698001 transcript:CDP21493 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKQGKEIDVVEVNKKRRRSCESSANLQLLSEVFFFLFFWQIALLVSSADALQPGWLISFLRSISPSQVWEFQSNLAKYSRHFLYSHPAQPLGAEDLAWRMIAGKLVNIKLHIRRSQRVVKDSRSLCTCECRRPNITMPSSLA >CDP21495 pep supercontig:AUK_PRJEB4211_v1:scaffold_4519:2825:5947:-1 gene:GSCOC_T00001479001 transcript:CDP21495 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIPAVLDAIRESQTTIVTEKELEVLGYCLMDLAKKGLVDELKLDMFNLPLYYPSPNEMETLMKTNEHLNVQRMEILSHVVFSNPSGIALYLRAALEGLLEKQFGSDIMDELFELFTQKLAESSSLFNPENQDLVVIFVLLKRKLRT >CDP21496 pep supercontig:AUK_PRJEB4211_v1:scaffold_4521:908:5519:1 gene:GSCOC_T00002218001 transcript:CDP21496 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNVQVLPWGTAVAVGGPAVALGGLSLLFLKAYADDQRRKSSSNLPLVPEVPGLPLIGNLLQLKEKKPHRTFARWAETYGPIYSIQTGANKIVVLNSNDVAKEAMVTRYSSISTRKLSKALTILTAGKNIIAMSDYDEFYKTAKKHILISTLGTHAQRRHRAHRDALTENICNQLHASLNENPLEAVNFRDIYLPELFRLGLKEVLGEDVESIYVEEFGTTFSKEELLKVLVHDLMVGAIEVDWRDFFPYLSWIPNKSFEDKIHQMDLRRGAATKALIKQQRKHFKPGQEINCYLDSLLSDEKAFTEEQIMMLIWEGIIETSDTTLVTAEWAMYELAKDPAKQDRLFREIKNVCGPNKVTEENMCKLPYLSAIFHETLRRHSPVPVVPLRYVHEDTVIGGYHIPAGTEIAINLYGCNMDKERWENPEQWIPERFLGGMHDYMELHKTTAFGGGKRVCAGALQAMLIACITIARLVQEFEWRLADGEEDNVDIVGLTNLKLQPLRAIIKPRT >CDP21497 pep supercontig:AUK_PRJEB4211_v1:scaffold_4530:260:1821:1 gene:GSCOC_T00010422001 transcript:CDP21497 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKHQHFLVTAIPAQGHINPTLQLAKNLARAGAQVTFATTVYGLSRIKNRPASNGLSFASFSDGYDDEKSMKNRDFACFLSDVKCFGSKDLTKLIQASSNEGRPVTFAIYTILLPWVAELASEMNVPSAFLVIQCATSFALYHRYFNSHDGIYDGVREVDYSSISIKLPDLSLFQKEDLPTFFFPNDPLFPSVVPSFHEHIKILEQESTACVLVNTFNELEEASIKAVDGMNLIPIGPLIPSAFCDGYDSSDKSVGGNLFDIPENDYLQWLDSKPESSVVYASFGSLLSLKKEEKMEILHGLKEAGRSYLLVLRADNEQEEEVKAVVENISSEEGMIVPWCSQMEVLCHRSIGCFLTHCGWNSTLESIVAGVPIVGCPHFSDQTTNAKLIEEVWGIGVRAKANEEGVVERAEIRRCLDIVMGGGEKGEEIRRNSAKWSCMAIEAVKENGSSHNNFRNFLQNLE >CDP21498 pep supercontig:AUK_PRJEB4211_v1:scaffold_4532:1491:6115:-1 gene:GSCOC_T00000756001 transcript:CDP21498 gene_biotype:protein_coding transcript_biotype:protein_coding ACKEWGFFQLINHGVSSSLVDKLKLEMQKFFNLTIEEKKRFAQEPGDVEGYGQVFVVSEEQKLDWADMFFMVTLPTHLRKPHLLPNLPLPFRETLDQYSRELKILAIKVLEQMTKALGMKLEDMTMLFQEGLQSMRMNNYPPCPQPELVIGPCPHSDATGLTILLQVNEVEGLQIKKAGAWVPVVQLPNAFTVNVGDILEIVTNGIYKSVEHRATVNLHNERLSIATFLAPKLDGGMGPTPSLITPENPAIFKRISMIDYSKAFFSRELDGKSFIDAMRTQIEDF >CDP21499 pep supercontig:AUK_PRJEB4211_v1:scaffold_4536:182:4216:-1 gene:GSCOC_T00006931001 transcript:CDP21499 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKSSVVTTDSGLQIKTVHSNKLDYLYEVSIEPEVVNPTSIPTINPYSAYGKQSFSPTRVIKSLIRAHPKGVKEYIQASKVDQHPIPATRKEQFITLHIPNDFPMLWKQQGYTHIHFGAIRISLSFHGRKGLPIVARIALLDTRFKQYQHACIATTETTLNAGTVFVTLFPNFNMSLADPHLLEALKVQVQIIGAEQVSDSIAATLHYQMVYCVQNHALDLAIPGGENALLIRVDEKNGASCSHVPRQISKQELIQLLPTDWITDYEDLHTQANEPLESSNSRINHTKEGRTSISFDHSHLKSLNSKSHIPSIICVQVPMYYPTEFEKQWDIHNDHPHNLQQVHRSQDIIKYFDKEGLPVSWFQDPISGHIYFDVCNICEECQIENILGFELSDLPCKKKSKPKEVEPRPCKPDLDPEGPDSDTGGFISQRSKFNGYQIPSIWISKIPKTLQNSSKKNLHPYYQKCLDILAKEETSWKPKPFCKTEPLVQIPTSQVQECFMFSEADFPKLETFNKNGSRHTPKIQNISSTVLPSGETVRPNPTEDVLNWQTENSLVQNTALISIHKNISETKDKIEQIDTTVSNQQSQVSHMIEVFEKRLQELKYIMPTDPSTLVDFILNKEKETKFIQDQLHILKTTGQVPVYDVGPSTPLSKVSSMYGAVPLRNWPTPFYFGGVSTPSPSLYFSDQQPQTSKPFDIAATLREYRKNKQIQKDAEIAKRMAEKEQRKAEAERERKAKQPAIEQPSQQNPPTSLMISTYTNPTFSVEQERKANEATRIFDNPLSTALEEFHDNSVPYISTYTEIHDNSSEVETDSSEESSDKETIPHINMAEPEPEIVEPDEYQEEQEQSPQYDQHQRASFPKSKGVPLFTIDNIPSEMWEARFQEFHAWMLAQNLTEESHFEILSVFTAHLAGILKDWWTSIGDADKMTFLTRQDFMENVHILHLTFLGNVREFQETKRKEFFQMKCLSYDRHDLNKHFKKMLQLFYSLGADINLKQPFVSSLPKPLADGAEMYMHNKYGSILNLTIGQIKQAVFLSLDDLCHKRKVIREYREGDVCLDQACRKPELITKGKCQACTPSHKRKGSRRFKKFKSFTKSYKNFPRKSFRKKWRYFRRKCKKFRGNKGNKCFICGKVGHFAKNCPQNQKGVKLISEIQNELHFTISDLESEFSEQEEPTDTTLLALQVPEEILSISPIASINKEKIGKDVYPQTLIHILLDKYSKHIPLIAFFDTGAYISIMRKDILPDSYWIPEYELEIFSSYGGSILNPFGTNPEYPFCQIFIARHDDFPKPLLWYFWCLCHQYHILMEFQSPFFNQPLNPNLQAFLQWFRPLTFWSIVRS >CDP19357 pep supercontig:AUK_PRJEB4211_v1:scaffold_454:37566:37853:-1 gene:GSCOC_T00012168001 transcript:CDP19357 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQYMGKLLVGFLSCDDNCCK >CDP19358 pep supercontig:AUK_PRJEB4211_v1:scaffold_454:48545:49799:-1 gene:GSCOC_T00012170001 transcript:CDP19358 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVKNRAMDKPIHGKATGWIFESWYVLPLIIMDILSGSATSIFCSLSTIALQLVEIEFPRGLLETKFVSIQMTNAANEACLSFYRDIA >CDP19359 pep supercontig:AUK_PRJEB4211_v1:scaffold_454:76951:79737:-1 gene:GSCOC_T00012173001 transcript:CDP19359 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDRGLFKLNIFFRQPCGRSSYNVSPVVDDETLEIMYDVWNEIAPYIAELYIEKEKIFQNPRVTGTFISPNTNCGPMMSLVHACMDPTRFRPYFSTAIPETASVSQFPYGDCVRDSIAESCCSYGFNFTAGPSHCNSPLANDSEHDVEDVEESESVDVIGSNSDGEIEQRGVHQDRENQQSFYASSILSYAPRGLKFFFNIETEKWCLTHDGEHRWGILTTNISESYNNVLRGARHLPIRACIDLTFHRTVELFKTRREDARHYRNLFPPKIWRKFKNSDQKAGSHRVVEFDGSSEVYKVVTNRRVDGKGGNTQTVKYFEKTCSCGKWQCYRLPCSHVLAVCRHRRDSLTSSFLAIPFLFVFFLFPSAESYGAKSDGRSDSTNSFLSAWPAACASAKPATIFVPRGRFLVGGASFLGQNCKNNAITICINGTLVAPSDYNVLGYTGNWLKFERTNGLSIYGGTLDGQGTGIWACKNSGKNCPQGARVVLDDSKIKFLFQHAVMVNVQNPIIIDQNYCPNRATCPGQGSGVRISGVTYQDVHGTSATKVAVNFDCSKKYPCSRISLEDVNLTYKDQPAMASCVNAGGSSSGLVQPKACL >CDP19363 pep supercontig:AUK_PRJEB4211_v1:scaffold_455:43904:46993:-1 gene:GSCOC_T00012305001 transcript:CDP19363 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATEAQQEHKGSSEGSTAVEKKRWTLNDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHSNILRLYGYFYDQKRVYLILEYAAKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLVGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDANVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIVQVDLKFPPKPVVSASAKDLISQMLVKDSSQRLPLHKLLEHPWIVQNAEPSGIYRG >CDP19365 pep supercontig:AUK_PRJEB4211_v1:scaffold_455:57263:61856:-1 gene:GSCOC_T00012309001 transcript:CDP19365 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQSSLQHFCLFLLILAAPAFSQSSVVNTKVLHVGKELLKETLPLQSGSCLYQLQGLKSNMWYEVKISYPASIPASFSIELKNASSDLELNFGRKLLNTEKLIFKTDNLESLADQGGLYVLVSVMPEGVVAIPGAKERKYILFNIVCDELFLGIPHKAWYVAILVLLCLVIAFLIPSFLPPYLLSKNQESRGAKDS >CDP19367 pep supercontig:AUK_PRJEB4211_v1:scaffold_455:70470:70649:1 gene:GSCOC_T00012313001 transcript:CDP19367 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFFFSFLSFLFKNDMNLGTCAFFFYSIDDEFYLAL >CDP19368 pep supercontig:AUK_PRJEB4211_v1:scaffold_455:76747:79512:-1 gene:GSCOC_T00012314001 transcript:CDP19368 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLINQLSTLLSQEITLLGGLKSDVQFIKDELESMKAFLREAEAKEDNSQLQVWLKQVREVAYDTEDVLDDFTFRFARGYMDGFYGKAGKIYNSIKNLKARHQISLEIKDIRARVGEISERYRRYQSQYGTQDRGFSSSGQANPDFDIRAQSLFIEEAQLVGIGKPKAELMSKILDDHSQLKVVSVVGMGGLGKTTLVKKVYDDVAVKKQFQSHAWITVSQNFQFRDIIKNLIQQLYDEIRLPVPRRVESMDDKMLIEFVRDFLQKRRYILVLDDVWSIAAWEAIKCVLPDCDITGRVVLTTRIADVASAPCLGSLHFIYKMKPLSDEESWTLFCNRTFQSNDCPPNLEEVAKKILKKCEGLPLAIVAIGGVLALKDKEKTDEWEMTLHDFGGEADGNRIKRVLLLSYNDLPCYLKSCLLYLSIYPEDYPIDVDVIFLKWIALGFVEEKGGITSTDIAMRYMKELINRSLIQVKSTLDDGTLNTCGIHDFVREIIASKSKEQSFTTVATRYYTRWPEKVRHLAIHNFTDNPQEFSGLKCLRSVVIFGYEDPLKTTFLSKFLRGGPKLLKVLDLDGANLDSIPKHVFKLFHLRYLNLARTGVKIIPKSIGKLQNLEVINLGGTNVTELPVEILNLRKLRSLHLGGVGDYSNEYAIGGCKCPLGIGKLISLEELYGIEADSDKIVMEVGKLTQLRGLAITKLRREDGKELLSSLSRLTNLRDLIISCIEEDETLDLQHSVSPKLGFLTRLMLKGRLERVPQWVTSLQSLRHLWLDNSRLREDENVIGSLGHLPNLVSLGLYGAYEGETLCFEVGGFQKLQNLELVQLTRLKWVRVEEESMPSLRDVHLVGCKLMQELPSGIQNLTGLQFLGFFDMSDELMQKVQNLDQQSEDYQTISHIPQVFTGHWIDGHWEGTFL >CDP19362 pep supercontig:AUK_PRJEB4211_v1:scaffold_455:26267:27136:-1 gene:GSCOC_T00012302001 transcript:CDP19362 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQAISFHLRHREGPCQPPFLLQDCAWCYGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQPIEPRKIQEHFEDFYEDIFEELGKFDEIESLNICDNLADHMISNVYVQFKEEDQAAAALQALQGHFYSGRPIIADFSPVTDFREATCRQFEENNCNRGGYCNFMHVKMIGRDLKRKLFGSHILKYRRSRSRRDRHARHESDSSGGRRRHAARPRRSKSPVREGSEERRARIEQWNRQRKEN >CDP19366 pep supercontig:AUK_PRJEB4211_v1:scaffold_455:67631:70393:-1 gene:GSCOC_T00012312001 transcript:CDP19366 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGILIKQLSTLLSQEITLLGGLKSDVQFIKDELESMKAFLREAEAKEDNDSQLQAWLKQVREVAYDTEDVLDDFTFRFAREAQLVGIDKPKAELISKILDDHSQLKVVSVAGMGGLGKTTLVKKMEPLSDKESWTLFCNRTFQSNDCGVLALKDKENTDEWEMILHGFGGEADGSGKLDRIKRVLLLSYNDLPHYLKSCLLYISIYPEDYPIDVVDILPKWIALGFVLDLHGAELDNIPKHVFKLFHLKYLSLNGTGVKIIPKSIGKLQNLEVIDLRGTNVTELPVEILKLRKLRSLWLGGWEDETLDLQHSVSPKLGFLTLLRFNGRLERVPQWVTSLQSLRTLRLLNSRLREDENVISSLGHLPNLVSLTLYRAYEGETLCFEVGGFQKLQCLELGQLKRLKWVRVEEESMPSLRIMRLGDCKLMQELPSGIQNLTRLESLGFYEMSNELMHKVRNLDYQTISHIPQVFVGHWIDGQWEGTFL >CDP19361 pep supercontig:AUK_PRJEB4211_v1:scaffold_455:23805:24521:1 gene:GSCOC_T00012301001 transcript:CDP19361 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHFGSKPVLVASSSDAASQLMKTHDLVFSNRPKSSVINRLFYGSRDVAFTPYGEYWRQAKSICVLHLLSNKRVQSYQHVREEETSLMIEKIGQMCSSSPVNLTEIFLMGVFDVGDYIPWLAWVNRFNGLDLKVEKFVKLTDEFLDGVIEEHINKRKGEAENDHSVEARCLDFVDILIEVNKESTIGFALGPDDMKAIILVN >CDP19364 pep supercontig:AUK_PRJEB4211_v1:scaffold_455:49471:49878:-1 gene:GSCOC_T00012307001 transcript:CDP19364 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQQKPTDQQDPTSQRLPEPTQTSKQVVRLITATTIGAALLGLSALILTGTVLALILATPVLVIFSPILVPAAALLFLFTVGFLFSGGCCVAAIAALTWIYKYVARNNAPRSNQLQLDHGRMKIASPATDNAEG >CDP19360 pep supercontig:AUK_PRJEB4211_v1:scaffold_455:2162:3896:1 gene:GSCOC_T00012300001 transcript:CDP19360 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSGLVSELKIDNQPPSPPGLPIIGNLHQLSSLPHYPLHSLAQNYGPIMFLKFGSVPTVVVSSADGASLIMKTHDLIFSDRPFSSTANKLLYNMKDISVAPYGEYWRQLKSICVLQLLSNKKVQAFRNIREEETSIMVQKIKEASLDSTPVNLSEMFVSLTNDIVCRSAFGRKYGGGETGKKFKLLLGEFLKLLNGGSLVRFVPCLSWINRVNGYDARVDRVAREVDEFLEGVVQESADTIDDESREDFLDILLKIYKENATGVTMDRDSVKAIILDVFSAGTDTTATVAEWAMAEILRHPIVLKKLQTEIRGVVGGKEQISEDDLAEMHYLKAVIKETLRLHPPIPLLVPREAREDVKIMGYDIAAGTMVIINAWAIGRDPAYWDEPVNFMPERFMDSSIDFKGHDFQLIPFGAGRRGCPGIAFAVACNELVLANLVSKFDWQFPDGAKGEELDVTECPGVAVRRKIPLLVIPTPLS >CDP21500 pep supercontig:AUK_PRJEB4211_v1:scaffold_4551:4978:6063:-1 gene:GSCOC_T00005953001 transcript:CDP21500 gene_biotype:protein_coding transcript_biotype:protein_coding LEIKENCHVSLAEKVLSNIANLSSLELRRGRRQLAEHIRSLKLVRRPESSLSIVGCDSLPTDTLERLCLFPTLQHVELRDADNITTLRGMSCAACLKTLAVRYCFNLRELPEDLYQFQALEYLEISCCPRIDSFGYPNPKNSFGQKGLLKSLERFTVDMCNALTRLPAEMFESCTSLRELSLSCCRSLVSFPLDFRRTPSLESFSLQWCPNWIAEMPSGFGYLTSLRTVSIGPFSDYSVIEFDWAGLASSSSLRHVSLFGMRDTKSLPHQLQDLTTITSLSLLLFGAIEALPDWLGNLASLDELILRGCPKLEYLPSAAAMERLKLRRLAIDGCPLLERRCTPESGSEWSKISNISERQIY >CDP19369 pep supercontig:AUK_PRJEB4211_v1:scaffold_456:98221:98415:1 gene:GSCOC_T00004563001 transcript:CDP19369 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVPKCGRGKVWLDPNEVNEISMADFHQNTRKLVKDGFIIRKPTKIHSRSRAR >CDP19370 pep supercontig:AUK_PRJEB4211_v1:scaffold_456:109161:109364:1 gene:GSCOC_T00004564001 transcript:CDP19370 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASAPKCGRGKVWLDPNEVNEISMADFHQNTRKLVKDGFIIRKPTKIHSRSRAPWMK >CDP21502 pep supercontig:AUK_PRJEB4211_v1:scaffold_4589:1739:3882:-1 gene:GSCOC_T00005346001 transcript:CDP21502 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIRDINKKLNKINKEANDFGLIRFHRASFPPSTTAKVTLNRETDSIASHYIVGRAKDETRLVEILLSLSGKAVSVIPILGMGGLGKTTLAQSIYKNSQVHSHFEKKIWVCVSDNFDVTRLLKMILESLTRRNVEMTSRDVIVQEIREQLVGKKFFLVLDDVWTENLTLWDDFFGKLSDDDCWSILTKKAIAGGEIPKQLHVMKKEIIKKCGGLPLAASVMGGLLRMKRKEEWQLVLKNKLSNFSGDEDGVMEILKLSFDCLPSPSIKKCFAYCSIFPRDTMMKGDMLIELWMSEGLLQANVNNQMMMEEIGMNCLRILLQSSLFEETKSYQEIDYYKMHDLVHDLAESMSKSTKVVKNIRYLAVDLSGGREEREKLLERLSTSLRTLFVKGDLSGDMLMKLKNLYVLNLSHATTQELPITIGKLTHLRYVNLSSSRIRILPDSLCKLYNLQTLALDSMYVKDLPKGMCNLISLRHLYFYTFDEKFQMPLEMGRLSCLQTLEFFNVGREKGRQIEELGCLKNLKGSLSVRNLQLVKDRKAAEEANLFGKANLFRLILVWALAWDREGDNYNYDKDVLDGLRPHPNLEELVIQRFMGDQFPRWSMDLPITLPKLARLEFYYCHRCGELLPLQNFTFLKELVIWFCPSGGLLRQAYLLSA >CDP21503 pep supercontig:AUK_PRJEB4211_v1:scaffold_4590:2016:4868:-1 gene:GSCOC_T00009751001 transcript:CDP21503 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRNPIGHLNFLDTTPSLPFLFLFITILVEFGCTRQAHSSNFTCIESERQALLRFKNSLADESNRLSSWIGEGCCSWDGISCHKTTGHVVTLDLRNLEQPELYELSPSLVNLTNLRYLDLSLNNFSGIQIPTFLGLLKDLRYLNLSDAGFVGEVPHHLGYLSHLRYLDIGSASSNYIPIHNNLTNLRSCELVVPHLLHVNFTSLSSLKLGSNQFLNPTLPPWLRNLTSLQDLGLFSNNLDDKVHDTFRQMTSLVNLVLRGNHFDTSTLRSICNISSLTSLDMRDNELQGSIPSEIGQFPQLTVLKLSNNRLNDTIPSSLWQLTKLQGLYIGANALTGELSEHHFAKLRELKRLDISHNLFSLHVSSSWVPPFQLQYIGMGSIKIGPRFPNWLRTQKEIEELNMRNASISDAIPSWFGVHSNDTRGLFLSRNKLEGSLNSFISAADADKKVVQMLILLLNHNHFTGNIPEDLCKLRTLIYLDLSNNHLSGRISLCLGNLRYLRILHLGSNSLYGQIPGSLGNLGELISLQLSKNRFDGKLPPSMQNLKRLQLLDLGENRIADTIPAWIGERLSYVDFLTLQSNNFHGGISNTLCQLPNLQVLNLEHNDLSGSIPHCFKNFTAMESTEPGTFPYSNISYLDPSVKSISLSGNHLVGEIPDEITGLVGLQTLNLSKNHLNGRIPKNIGNLKQLETLDLSMNELRGEIPPSLSSIYSLSSLNLSYNKLSGPIPSGNQLQTLNDPSIYEENIGLCGKPLLNSCPADESPTENGPVLDDKGHSEFDFSWFYAGFGPGFSVGVVGVVGILQFKQSWRYALFKCVENAYDRIWVMIGLKTSRLRRNFH >CDP21505 pep supercontig:AUK_PRJEB4211_v1:scaffold_4594:4498:5967:1 gene:GSCOC_T00010408001 transcript:CDP21505 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKHQHFLITALPAQGHINPTLQLAKNLARAGAQVTFATTVYGLSRIKNPPASIGLSFASFSDGYDDAESMKNRDFACFLSDVKCFGSKDLTKFIQASSNEGRPVTFAIYTVLLPWVAEVASEMNIHSALLAIQCAASFAIYHRYFNSHDGIYDEIREVDCSSISIKLPDLSLFQKEDLPTFLLPNDPFFASIVPFVHENIKILEQDSKACVLVNTFNELEEASIKAVHGMNLIPIGPLIPSAFCDGYDSSDKSVGGNLFDIPENDCLQWLDSKPERSVVYASFGSLLSLKKEEKMEILHGLKEAGRSYLLVLRADNEQEEDVKAVVENISSEEGMIVPWCSQMEVLCHRSIGCFLTHCGWNSTLESIVAGVPIVGCPHLSDQTTNAKLIEEVWGIGVRAKANEEGVVERAEIGRCLDTVMGGGEEGEEIRRNSAKWRCMA >CDP21504 pep supercontig:AUK_PRJEB4211_v1:scaffold_4594:1565:3129:1 gene:GSCOC_T00010407001 transcript:CDP21504 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQHFLITALPSQGHINPTLQLAKNLARTGAQVTFATTVYGFSRIRNLPASGCLSFASFSDGYDDEKSQKNRDFTSFSSDTKRFGYKDLTKLIQTTSKEGRPVTFLIYTVMLPWVAEVAREMHIPSAFLAIQSATTFAIYHRYFNSHDGFYDGVREVECSSISIKLPDLPLFEKEDLPTFLLPNDQFFAFTVPFFHEHIKILEQDSKPCVLVNTFNELEESSIKAVDGMNLISIGPLIPSAFSDRNDLTDKSIGGDLFDTPSKGFLQWLDPKPERSVIYVSFGSLVALKKAEKIEILHGLEEAGRAYLLVLQSDNEEEEVKAMIENASSEEGMIVPWCSQLEVLCHRSIGCFITHCGWNSTLESIVAGVPIVGCPHLSDQTTNAKLIEEVWGIGVRAKANEEGVVERAEIRRCLDIVMGGDENGEEIRRNSAKWRCMAIEAVKENGSSHNNFRNFLQKLE >CDP21506 pep supercontig:AUK_PRJEB4211_v1:scaffold_4598:2457:3727:-1 gene:GSCOC_T00005876001 transcript:CDP21506 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFPSCFGENGVQVADFSSSSSSTANKAAQNLVTSVYQCRLRGKSCSITVTWSKNLMGQGLSVGIDDNTNQSLCKVDIKPWLFSKKKGSKSLEASSSKLDVYWDLSSAKFGSGPEPLEGFYLGIVCERQIILLLGDMRKEALKKTGATPASSGSVLIAKREHIFGRKMFGTKAQFCDNGPVHDLMIECDTTGISDPCLVIRVDTKPLLQVKRLTWKFRGNHTILVDGIGIEVFWDVHNWLFATSLGNAVFMFKTCAPPEKLWASQPLCDPQTLHWSWSQRFRDTQSHNLGFSLILYAWKNE >CDP21507 pep supercontig:AUK_PRJEB4211_v1:scaffold_4602:1042:3255:1 gene:GSCOC_T00005593001 transcript:CDP21507 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSFB2A [Source:Projected from Arabidopsis thaliana (AT5G62020) UniProtKB/TrEMBL;Acc:A0A384KDZ5] MAPPPVERNGGESTTTPPPPGDGPRSLPTPFLTKTYQLVDDRTIDDVISWNEDGSTFIVWNPTEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNDCFRRGEKGLLCDIQRRKLAAPPVAGATISPVTVPTPTAAVSAIPPPRTVSPTDSGEEQVVSSTSSPSGFRETATAGGSTAELIGENERLRKENMQLNKELSHMKSMCSNVYVLMSNYSNHSNGATANSKAEGSSSQALKALDLLPPKPIFDESGATTECGGEDRMAVDEAGARIFGVSIGVKRGRENGAAAAAEHDKELQLQQPGTADVKSEPIDDENSNGDDQQTHWLRQCRIQNQRCVIK >CDP21508 pep supercontig:AUK_PRJEB4211_v1:scaffold_4608:2:5141:-1 gene:GSCOC_T00003975001 transcript:CDP21508 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVENSLRKDVLEKVKDNHGNRALWISKRLWRYRPKLPYMYFLQKLDSSEVAAIVFTEDLKRLYVTMKEGFPVEYIVDIPLDPYLFEMISSSGAEVDLLQKRQIHYFLKVVFALLPGILILWFIRESLMLLHVTSRRFLYKKYNQLFDMAYAENFILPVGEVGETKSMYKEVVLGGDVWDLLDELMIFMGNPMHYYEKEVKFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAARINEMFSIARRNAPAFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGEKERTGVDRFSLRQAVIFICATNRPDELDLEFVRPGRIDRRLYIGLPDAKQRVQIFGVHSAGKRLAEDVDFEKLVFRTVGYSGADIRNLVNEAGIMSVRKGHTNIYQQDIVDVLDKQLLEGMGVLLTEEEQQKCEQNVSFEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAERIVFGDDITDGGQDDLEKITKIAREMVISPGNPRLGLTALTRRLGLVERPDNPDRELITYKWDDPHVIPADMTVEVSELFTRELARVSEVSLCDCFMFLGG >CDP19388 pep supercontig:AUK_PRJEB4211_v1:scaffold_461:44502:46862:1 gene:GSCOC_T00008203001 transcript:CDP19388 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLAQMVYNDDRVNEFFDSKAWACVSDDFDIFGVTKTILKAITRGGCDYEDLNMVQVKLSEALTRKRFLIVLDDVWNEKYEDWDILRRPFLVGSSGSKIIVTTRHHRVASVMSSTAGYSLKELTDDESLCLLARHALGRTNFDRYPNLEGIGRSIVRKCKNLPLAVKTLGGLLRARSTPDEWTDILNSEIWEIKEDQSDILPALRLSYYHLPAHLKPCFAYCSIFPKDYEFDKYELVLLWMADGFLEESKASDLMEDIGENYFKELLIRSFFQQSSSTSSRFVMHDLINDLARYVAGDFCSRLTDDLEENIKCTILDKVRYTSFTSSMYGASQKFKTLQKAKHLRSFLPVSGKYVGNFYIAKKVITELLLELRYSRVLSFSGYAISDLPNSIGELIHLRYLNLSGTSLKVLSESLSNLCNLQTLRLRDCWGLINLPVCIRKLINLRHLENSNTSQLHEMPSGIDQLTSLQTLSKVVVSKNGGFRLNDLGNLSLLAGSLAILELQHVTNVQEARDANLKNKRDLDKIVLAWNSEYDGSLSKVLQQDLLEALRPHTNLTSLEIEFYKGDKFSSWVGDSSFTKLVKVSLRGCTHCKCLPSLGQLPALKDLSIQTMLEVKAVGTELCGKDCFPSLESLTFDDMPEWEEWTCLSSAGENECHFPLLQKLCISGCPKLKSIPVLHLPSLSELNLKKCSVGIAKCFHNLTSLNQLQFGQIIGLASLEDVFKQFPSGLEGIALHECHQLKNLWGSSKTVNLVQLKSLDVSECSQLSSLEELCDDPTSP >CDP19389 pep supercontig:AUK_PRJEB4211_v1:scaffold_461:109927:110130:1 gene:GSCOC_T00008207001 transcript:CDP19389 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKVKEVHLRFWLTFSRIVFLFFIAMKADTSADNNLSLQLLAYHLIVLRGHNVDQPRNLAKSVTTQ >CDP19387 pep supercontig:AUK_PRJEB4211_v1:scaffold_461:31724:33021:-1 gene:GSCOC_T00008201001 transcript:CDP19387 gene_biotype:protein_coding transcript_biotype:protein_coding MVILNVVRLIFLATLTALGSCKIHDNCTVRRCSDQGPAIRFPFRLKDRQPQHCGFPGFELSCTQSQETVLENPFRVKASLNQTKPPFSVKFVINKIDYESRLLYVAKLDGCLPGLLPKLDLSASLFQIPDFNDYDFSFFKCSSKKWYMIPIPCLSNSSYQVYATQSTDSILFASLVSCTKMFEIPSVSYALIEPQIPLVLSWSAPNCGYCEAEDKFCRLRKSSSSAGIQCLENGTGRSSILLPSLVVLL >CDP19390 pep supercontig:AUK_PRJEB4211_v1:scaffold_461:117372:117722:1 gene:GSCOC_T00008208001 transcript:CDP19390 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEVFLGAIIKVIFDKLASVDLKKFARSEGLDTRLKRWSQVLSLIQAVLDDAEDKQNMRIAVKQWLDDLQDLAYDMDDVIDEFSTEACRRKLMEAQGSTNDRCRTCAIIITKKS >CDP21509 pep supercontig:AUK_PRJEB4211_v1:scaffold_4615:4235:5794:1 gene:GSCOC_T00007303001 transcript:CDP21509 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASALRKQVLTLIETAASRIRQLLEQRQRSFLKLGVKARGCNGLSYTLNYADEKEKFDELVEDKGVKILVDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKG >CDP21510 pep supercontig:AUK_PRJEB4211_v1:scaffold_4619:3768:5894:1 gene:GSCOC_T00013634001 transcript:CDP21510 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKMRFLAIPFLFFSFLLSSAKSVTYNVQSYGAKSDGRSDSTNSFLSAWTAACASVAPATIYVPPGRFLVGGASFWGQNCKNNAITIRINGTLVAPSDYNVLGHSGNWLKFERVNGLSIYDGTLDGQGTGLWACKNSGKHCPQGATTSHFLFLYRKPKIITLRFTNSNNIAIAGLTFLNSQLFHLVFNRCNTVKLQGVKVSAAGNSPNTDGIHVQYSSGVAILNSKISTGDDCVSIGPGTTSLWIENVLCGPGHGI >CDP19392 pep supercontig:AUK_PRJEB4211_v1:scaffold_462:20906:25647:-1 gene:GSCOC_T00008790001 transcript:CDP19392 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAVVRGKPGMASVKDMPLVQDGPPPGGFAPVRYARRIPNSGPSAMAIFLAAFGVFSYGMYQVGKGNKIRRALKEEKYAARSAILPLLQAEEDERFVEEWKKYLEEEARIMKDVPGWKVGENVYNSGRWMPPATGELRPDVW >CDP19391 pep supercontig:AUK_PRJEB4211_v1:scaffold_462:9846:18848:1 gene:GSCOC_T00008789001 transcript:CDP19391 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTEALPLYERKHLTKIIPRLIELTILFLCFSLLGYRLLHLKNHGFIWLVALLCESWFTFIWIVVVNIKWNPIAIKTYPERLLQRNLELPPLDMFVTTADPVLEPPIITVNTVISLLSVDYPANKLACYLSDDAASPLTYFCLVEASEFAKLWIPFCKKYNVPVRAPFRYFSDQSLFTGNGSSEFQEDWNIMKEEYTRLCQKIEEAAQKSVPCELTGDFAAFVDIDRRSHPTIIKVISENKEGLPDGLPPIVYISREKRPNHAHHFKAGAMNVLARVSGVMTNAPFMLNVDCDMFANNPQVVLHAMCLLLGVKDETDCGFVQFPQQFYDGLKDDPFGNQMVVMIKYLGRGLAGHQGPLYGGTGCFHRRKVIYGSSPEDKSGHGKMNTGEAFGKSTKFNKFVAQALSSSSRTAECPESISKSIDEACQVASCGYEYGTAWGKEIGWIYGSTTEDVLTGLNIHWRGWRTMWLRTNPNGFLGSAPSCGPNTLIQQKRWSTGLLEVLFAPKSPFFGTLFGKLEFRQCLNYLFFLLWGPRSIFEICYAALPACCIFTNTNFLPKVNEPAILIPISIFVVFNTYCVSEYIQTNQSIKSWWNNHRMARIIAMTAWLCGFLSAIFKILRLSVTVFEVTKKEQSSSTDESEEKLGRFTFDNSPVFVPGTTILMVNLTALGIGFLDFMQGNGGKIIEWGIGELVCSIWVVLCFWSFLKGLLGKGKYGIPSSTVCKSAALAFVFVQICRSSWGI >CDP21511 pep supercontig:AUK_PRJEB4211_v1:scaffold_4625:771:1192:-1 gene:GSCOC_T00000197001 transcript:CDP21511 gene_biotype:protein_coding transcript_biotype:protein_coding MDFENYYEISLVADFINAHNFSRVALQFPDELLKDSRKVVSALRQELHRPLQNSDESRATAKLYVMADTTYGSFCVDEVGAAHVKADCVIHYGHSCLSP >CDP21512 pep supercontig:AUK_PRJEB4211_v1:scaffold_4628:328:2457:-1 gene:GSCOC_T00003307001 transcript:CDP21512 gene_biotype:protein_coding transcript_biotype:protein_coding MATCQTTVNDLPDVILTNIIAAISDVRSRNAAALVCRKWLLQERSTRTSLTLRGKIRDLFFLPTCFRSVTDLDLSLLSPWGHPLLPPHIPTTTATLIAHVLHQAFPAVTSLTLYARNPSTIQLLAPQWPCLKHIKLVRWHQRSPLLSGEELLALFQKNTSIISLDLSSFYCWTDDVPAALVETSNLTILDLLNPSFSEGFKANEIMDITKSYPNLKVLRAACMFDPRYIGYVGDESLVSISVNCPKLSVLHLADTSALVNSRADPESEGLTPEDAKITVAALIELFSGLPSLEELALDVCNNVRESGPALEMLKVKCPKLRSLKLGQFHGISVPIELKLDGVALCSGLESLSIRSVADLTDMGLIAIGRGCWRLAKFEVQGCNKITVNGMWTLASLLRRTLVDVKISCCKNLKASTSLKALEPVQDRIRRLHIDCIWDGVDQFDGIQYDVDLNGLDQGGASNQLDGSVNYFGDYDSEIMCSKKKRCRHSFDLNCSPLQFNSSGNGFCSNSWDRLQCLSLWIGVGELLTPLTAAGLEDCPNLEEIQIKVEGDCREWSKHTQYPFGLSTLVQYPRLTKMHLDCGDTIGYAQTAPSGQMDLSQWERFYLMGIGDLSLNELDYWPPQDRDVNRRSLSLPAAGLLNQCFTLRKLFIHGTAHEHFMNFFFRIPNLRDIQLREDYYPAPENDMSAEMRAYSLSRFEASLNRRQISD >CDP19395 pep supercontig:AUK_PRJEB4211_v1:scaffold_463:43659:44319:1 gene:GSCOC_T00008941001 transcript:CDP19395 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLQLSNWIEMDFKGPKATCLLVHALGIIGRSSSWYHFRHSCSLSCQLFAV >CDP19397 pep supercontig:AUK_PRJEB4211_v1:scaffold_463:89893:93750:-1 gene:GSCOC_T00008946001 transcript:CDP19397 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEVFLGAIIKVIFDKLASVDLKKLARSEGLDTQLKRWSQVLSLIQAVLDDAEDKQNMRIAVKQWLDDLQDLAYDMDDVIDEFSTEACRRKLMEAQGSTSKVRKVKIPSCCTNFSVKDYKFNRKMAPKVDEITRRLESLKEQIKILHLVETVAKRPNKTRDRLPSTSLVESYVYGRENDKEELLKLLLSNESSDDQVAVIPIVGMGGVGKTTLAQMVYNDDRVNEFFDSKAWACVSDDFDIFGVTKTILKAITAGGCDYEDLNMVQVKLSEALTRKRFLIVLDDVWNEKYEDWDILRRPFLVGSSGSKIIVTTRHHRVASVMSSTAGYSLKELTDDESLWLLARHALGRTNFDRHPNLEGIGRSIVRKCKNLPLAVKTLGGLLRARSTPDEWTDILNSEIWEIKEDQSDILPALRLSYYHLPAHLKPCFAYCSIFPKDYEFDKYELALLWMAEGFLEESKASELMEDMGENYFKELLMRSFFQQSSSTSTSSRFVMHDLINDLARYVAGDFCSRLTDGLEENIKCTILDKVRYASFTSSWYEATQNFKTLQKAKHLRSFLPLYGKSECSGFWIAKKVIAELLPELQYSRVLSFSGYAISDLPNSIGELIHLRYLNLSGTCLKLLPESLSNLCNLQTLRLRNCRKLINLPVGIRKLINLRHLENSNTSQLHEMPSGIDQLTSLQTLSKVVVSKHGGFRLNDLGNLSLLAGSLAILELQNVTNVQEARDANLKNKRGLDKIVLAWNSEYDGSLSKVLQQDLLEALRPHTNLTSLEIEFYKGDKFSSWVGDSSFTKLVKVSLRGCTHCNCLPSLGQLPALKDLSIQSMREVKAVGTELRGKDCSWEFSFPSLESLTIDDMPEWEEWTCHLSSAGENECHFPLLQKLRINRCPKLKSIPVLHLPSLSELKLQKCSVGIAKCFYNLTSLYNLDFRQIIGLASLEDAFMHNTVNLVQLKSLVVSECSQLSSLEELAVLPMLRYLQIHSCSALQSLPTLSGLNGLGINRCSALSCLPTDKLLLPQLRHLGIRHCQKLNLTPEIVIEDTSTSIESLQIVGCPCLNLRTMLGSVYSFASLRSLSISDSLRYLRIFECPKLGSLPKESLRNPLLTLQIDKCPLLEKRCLMERGD >CDP19394 pep supercontig:AUK_PRJEB4211_v1:scaffold_463:13562:13687:-1 gene:GSCOC_T00008940001 transcript:CDP19394 gene_biotype:protein_coding transcript_biotype:protein_coding MKADTSADNHLSLQLLAYHLTVLRGHNVDQPRNLAKIVTTQ >CDP19393 pep supercontig:AUK_PRJEB4211_v1:scaffold_463:2348:4431:-1 gene:GSCOC_T00008939001 transcript:CDP19393 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLAQMVYNDDRVNEVFDSKAWACVSDDFDIIGVTKTILKAIKAVLPESLSNLCNLQTLRLRDCWGLINLPVGIRKLINLRHLENSNTSQLHEMPSGIDQLTSLQTLSKVVVSNNGGFRLNDLGNLSLLAGSLAILELQNVTNVQEARDANLKNKRDLDKIVLTWNSEYDDSLSKVLQQDLLEALRPHTNLTRLEIEFYKGDQFSSWVGDSSFIKLVKVRLRGCTYCKCLPSLGQLPVLKDLSIQSMLEVKAVGTELCGKDCSWEFPFPSLESLSFDDMPEGGMDLLKFSRRERMPLPCAPKALYKSLPKVEKHSCFAASFTL >CDP19396 pep supercontig:AUK_PRJEB4211_v1:scaffold_463:44568:47022:1 gene:GSCOC_T00008942001 transcript:CDP19396 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNIRFRKRGKVGLGAYKWHSMVNGWFGLLEIAQVPDEHVNEFKSIEKFKIFNPNNLWVNLKAIKRLVQESALKMEIIPNPKEVDGVKVLQLETAAGATIRSPLYQQPKVRSKIIYFQPPPPNHYELGPEFKKVGNFLSRIKSIPSIVELDSLKMSGDGGESDNNCKTWNQIGNSKQSCNC >CDP21513 pep supercontig:AUK_PRJEB4211_v1:scaffold_4632:1123:1371:-1 gene:GSCOC_T00010125001 transcript:CDP21513 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSGTWIAVLVRIVPVVGWAMWWWSYLCFVLPVKFRCSGWDTKLPAGNMGFPLVGEMLHFLWYFRVVKRPDDFINNKRNK >CDP21515 pep supercontig:AUK_PRJEB4211_v1:scaffold_4633:2990:5748:1 gene:GSCOC_T00003033001 transcript:CDP21515 gene_biotype:protein_coding transcript_biotype:protein_coding MPETQNNVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRTYYYVEGAEKPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGITLMIMVLASIASGHSFGHGPKFVMGTLCFWRFWLGFGIGGDYPLSATIMSEYANKKTRGGFIAAVFAMQGFGILSGGIFAIIISAIFNARFKAPSFALDPAGSTVPQADYVWRIILMAGAIPAALTYYWRMKMPETARYTALVAKNGKQAAADMSKVLQIAKAQTLIALCGTVPGYWFTVFLIDKMGRFAIQLMGFCMMTIFMLALAIPYNHWAKGNHVGFVVLYSLTFFFANFGPNATTFIVPAEIFPARLRATCHGISAASGKSGAIVGAFGFLYLAQNQDKTKTDAGYPPGIGMRNSLIVLAVINLLGALFTFLVPESKGKSLEEISGEDQQAQQPTQS >CDP21514 pep supercontig:AUK_PRJEB4211_v1:scaffold_4633:3:573:1 gene:GSCOC_T00003032001 transcript:CDP21514 gene_biotype:protein_coding transcript_biotype:protein_coding RFRSTCHGISAASGKLGAMVGAFGFLYLAQSPDKAKTDAGYPPGIGVKNSLIVLGAVNFLGLLFTFLVPESKGKSLEEMSGEIGDMKEGGEELDRPASYNNRTVPVI >CDP21517 pep supercontig:AUK_PRJEB4211_v1:scaffold_4639:4533:5112:-1 gene:GSCOC_T00008180001 transcript:CDP21517 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQGIKSMEIVEPDKIKPPEKPEEAEKPKRPEKPEETQKPPVIVIEKSKMPWVPPGDDRCPDVDKRGNVKYFP >CDP21516 pep supercontig:AUK_PRJEB4211_v1:scaffold_4639:2347:4197:1 gene:GSCOC_T00008179001 transcript:CDP21516 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVKHLNEHKLLNDPDKSRPVISSAEKPRLPPGRSGWPLAGETLDFFSRANQGCLHKFVADRRKKFSSNIFRTSLIGFPVAILCDAEGNKFLFSNENKLFKHWLPSAFDKLFPKSNNKLNTEHSKSLRKLPAFILKGDVLREYVGEMDEVMKHHLQTDWNCQRVKVSDVARKFIFKLECHIFLGIENQGKIDKLLKGIEEVTDGMHSVPLDVPGSAFRRAIKASKLMREEFEEMVRQRKIDRLDSSSGKDFISHALQATDDNGQLFNEADIASHLLGALQAAYGTLHHTITNIMMYLTDHPDVYNLVLREQKEIARLKKSKERLSWEDLRKMKYSWNVACEALRLKSPALGGFKEAITDVDYGGYTIPQGWMMHWNAHATHMNPEYFPNPEKFDPSRFQGDGPSSYTFVPFGGGAHMCPGYEYARLAICIFLHYPITRPAQGLPVLLYPL >CDP21518 pep supercontig:AUK_PRJEB4211_v1:scaffold_4645:1:2515:1 gene:GSCOC_T00003696001 transcript:CDP21518 gene_biotype:protein_coding transcript_biotype:protein_coding DPINTSVFFKIKLEDPYQYTNFNGETHEIKETIETEIELTGNAKGRCGGTLARPASVECALSVLEMEVEQINKGKYKHYMQKEIHEQPESLTTTMRGRLIRGGACKSKTVLLGGLKDHLKTIRRSRRIVFVGCGTSYNAALASRPIVEELSGVPVTMEVANDLVDRQGPIYREDTAVFVSQSGETADTLQALDYALENGALCVGITNTVGSALARKTHCGEHINAGCEIGVASTKASNWHFASTSQIVVMAMLALAVGGDMTSNEASREAIIDGLLDLPSKVKEVLKLDEEMKDLAELLINEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDHKLPIVVIVTRDACFSYKQQSVIQQLHARKGRLIVMCTKGDSASVSVGGSCRVIEFPYVEDCLEPVLITMKSLQALQLLAYHLTVLGGHNVDQPRNLAKSVTTQ >CDP21519 pep supercontig:AUK_PRJEB4211_v1:scaffold_4647:689:4640:-1 gene:GSCOC_T00000979001 transcript:CDP21519 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVEKGGDRDIEKGLITPTYSQNPLAELSLSPSPTPSLSPSSATAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQRGDLVAVKQILDDIDTQMVGTLSGVDFDQEVAEIRASVVNDVNELGETALYTAADRGHLDVVKELLKYSNKETLTKKNRSGFDPLHIAASQGHHAIVQGLLDHDPGLSETVGTSNATPLITAATRGHIAVVNELLSKDCSLLDISKSNGKNALHLAARQGHVEIVKALLDKDHQLARRTDKKGQTALHMAVKGVNCEVVKLLLDADAAIVMLPDKFGNTALHVATRKKRAEIVNELIQLPDTNVNALTRDHKTALDIAEGLPLSEESTVIKECLYRAGAVKANELNQPRDELRKTVTQIKKDVHTQLEQTKRTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDTDSGMAVVVSRASFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERKVVEVINKLMWLASVCTSVAFIASSYIVVGRKYEWAAILVTVVGGLIMAGVLGTMTYYVVKSKRIRSMRKKEKSARSGSNSWYPSEFSNSDIDRIFAL >CDP21520 pep supercontig:AUK_PRJEB4211_v1:scaffold_4653:4602:5818:1 gene:GSCOC_T00010458001 transcript:CDP21520 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTYHPPCPQPELVTGLCHHSDPVGLTILLQINDVQGLQIKENEAWVPVLPLHNAFIVNVGDILEASIRINIRLYCELPH >CDP21521 pep supercontig:AUK_PRJEB4211_v1:scaffold_4654:2200:3189:-1 gene:GSCOC_T00004706001 transcript:CDP21521 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEEMQFLGLFGIYAEAYKVVFRWRKIFSKIALSLILPLSLIFLAHIELSHLLKIKVIHTENQLHRAQSEPQKSKKLTDLNWYNITYLTFLLIFSLLSTAAVVYTIACIYTGREITFKKVMSVVPKVWQRLVGTFLCASLAFFAYNLIALLVLMILTMTLGETLIGAVLLILLLIVYILVFVYMTIIWQLACVVSVLEDSYGIKAMMKSQELIKGKMPISIVIFFKLNLSLAAIQLLFYAHVVHGGWRFGVLHRLGLGMLCLLLLFKLILFGLVIQTIVYFVCKSYHHENIEKSALSDHLEAYLGEHVPLKSKDVQLEQQNMMLDG >CDP19400 pep supercontig:AUK_PRJEB4211_v1:scaffold_466:83660:92591:1 gene:GSCOC_T00009856001 transcript:CDP19400 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGFEVEEWDADFLDQLVQAEELALLTTSATQQQQQQQQSPPPQQRQQQLLYHHPQPPLQPSPHPVPPYGNISYSPPRELSQRVHDTSAVGGRGHRSLASSSISVSIPGGPESATEREIYGLKRELGHVSEQLKVLEEECIDLKKQRDKKEEQLKFISARIEAREAEDCPANSISLCHSVGKDHDDDGVLPECQKNNSSNELFGISVHPRTSPSKAVGVQTEDAGDCDNSCCRNDVVVGCHHHKKLLGVWNLSDDRLGKNLALNLFGSCEADLKVLFGYLYLSLHSNTMTEHPMHKSNVPLKDHHHLSHSTDAAIILHLYLMLTKIGNQMVRLEDLLEALVDCCRLKNGVIVYRSLRVLHVVIKHASHMQKKIGRRYISLCLSPPFCPPLCHACLSALI >CDP19401 pep supercontig:AUK_PRJEB4211_v1:scaffold_466:94367:98158:1 gene:GSCOC_T00009857001 transcript:CDP19401 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIAMTNSEEHVKLEAVSIMNMILISSTVYSEREKFAADPVFQCISQLLRKETGLCLRKEAVNLLYQLFNCPKVTAAFCMENGEAAVSAELDAKTYPSFREFNAIMDGLVECVTCTGNSTKELKLRRCAVTVLAFIASLGKPGLGIILNHRLPERTNILASILESLASDVNLGPLDSAQSVEVFRERTLVIREALILLNRLVSHPQFSTAVLQALTSGREVTSLTIDVAKRLSHKGKFLWQDDSITKQIRESEIVELARVFKRRVFTFLGDGVS >CDP21522 pep supercontig:AUK_PRJEB4211_v1:scaffold_4664:2448:4904:1 gene:GSCOC_T00011755001 transcript:CDP21522 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIERIANVALAGLTFAPLILKVDPNLNVILTACLTVFVGCYRSVKPTPPSETMSNEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIVALSATLLPAIKRFLPKHWNEDVIIWRFPYIRCMYSLPFLFRAYMCSLWF >CDP21523 pep supercontig:AUK_PRJEB4211_v1:scaffold_4666:790:5843:1 gene:GSCOC_T00009183001 transcript:CDP21523 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVENNLRKDVLEKVKNNHGNRALWISKRWWRYRPKLPYMYFLQKLDSSEVAAIVFTEDLKRLYVTMKEGFPMEYIVDIPLDPYLFEMISSSGAEVDLLQKRQIHYFLKVVFALLPGILILWFIKESLMLLHITSKRFLYKKYNQLFDMAYAENFILPVGEVGETKSMYKEVVLGGDVWDLLDELMIYMGNPMHYYEKEVKFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAARINEMFSIARRNAPAFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGEKERTGVDRFSLRQVVIFICATNRPDELDLEFVRPGRIDRRLYIGLPDAKQRVQIFGVHSAGKRLAEDVDFEKLVFRTVGYSGADIRNLVNEAGIMSVRNGHPKIYQQDIVDVLDKQLLEGMGVLLTEEEQQKCEQNVSFEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAERIVFGDDITDGGQDDLEKITKIAREMVISPGNPRLGLTALTRRLGLVERPDNPDRELITYKWDDPHVIPADMTV >CDP21524 pep supercontig:AUK_PRJEB4211_v1:scaffold_4676:1498:2246:1 gene:GSCOC_T00000591001 transcript:CDP21524 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGPTKTLFMDEISTGLDSSTTFQIVKCVEQIVHSTEATVLMSLLEPAPETYDLFDDIILLSEGQIQYWADRSKPYRYISVAEFANMFKRFHVGQLLENEFSVPYNKVRSHKAALVFKKYSVPRKELLKVNFDKEWILIKKNSFYTFSGLFVLKAMSNV >CDP21525 pep supercontig:AUK_PRJEB4211_v1:scaffold_4678:712:3381:-1 gene:GSCOC_T00010333001 transcript:CDP21525 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISVSRITAWNSDQLPIYEPGLEEVVKQCRGKNLFFSNDVEKHVSEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPQGQKAIQALKDVYAQWVPEERILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHAIGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLSEVASYWKQVIKVNDYQKNRFVTRAVSSMFNTVAGKKIAILGFAFKKDTGDTRETPAIDVCKGLLTDKAHLSIYDPQVNEEQIQRDLSLNKFDWDHPVHLQPLSPTTVKQVSVAWDAYEAIKDAHGLCILTEWDEFKTLDYKKIYDNMQKPAFVFDGRNVVNVEKLREIGFIVYSIGKPLDPWLKDLPAVA >CDP19403 pep supercontig:AUK_PRJEB4211_v1:scaffold_469:68572:71451:1 gene:GSCOC_T00008031001 transcript:CDP19403 gene_biotype:protein_coding transcript_biotype:protein_coding MALTILSSVLNQLSILLREEGQLLGGLRQEVELIRDELGRMRAFLRVAETKEEDADPGLQEWIKQVREAAYDIEDVLDEFVSRFARHRATGFHGFVRKIFNSIKTLRARHKVAEQIQSIKARVKFISEGHRRYQLEFGVTTQTAESLATVNNTTWRYSRDDALLVEEAELIGIDNPRQQLISQLLQGDDSQLKVVSVVGMGGLGKTTLVKILKQFVKDFLQQAGRYAIVFDDVWDVKFWNAIRIALPKNGYGNRVMLTTRQADVAFASCTQSQDYVFKMEFLSFEDSWTLFCNKIFKGNGCPAHLIDVAKGILGKCEGLPLAILAISGLWALKDFSIAEWEMVRHSLGGELEGSGMLDRVRKILLLSYNDLPCDLKTCLLYLSIYPEGFKIRCHRLVQLWSAEGFVGKTEGMTMKDVGFSYLTELVNRSLIQVTQSFYEGIPYTCRIHDLVREVVLSKSREQNMIAIANEQCTRWFSEKVRRLIVHSSSNNTEQHQESQCYSFNHLRSFITIESMNPPISRALTGVKAVPKFIGKLRNLEYLDLGETQVKELPMEIQKLKKLEHLIVHQKVDFSEARLLALQSLTTIDASSGSVIVKEIGTLTQLSRLGISNLRREDGKVLCSSLATLTSLQLLDIASIRNEEKMPQWIARLQSLVRIDLDWSGLTDEEDPLEPLHHLPNLVTIQFCGSYQGEGLCFKTGGFLKLKDLYLKKLEKLKWLNVEEGALASLHELCLDRLPLLEELPLDIQHLSQLRKLGLHELSSQLMEKLNSLNEDSEDYRKIGHIPEVEIVFLTNEGWKYRLLWGKKM >CDP19405 pep supercontig:AUK_PRJEB4211_v1:scaffold_469:87718:90606:-1 gene:GSCOC_T00008035001 transcript:CDP19405 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSVFEVAEEFELNSRYVESTISYVVLGTLLAALVPVLGFNPVMASEHFASFLVFIIIHVVALVYYIKGILSLRMLKVAVTLVFSVGLVICCAMVTVLIALVASSPTKGWSGRSLSLLDPSFKAKEFSLVEWLLISNLSCLQLQACFLPLSDASSFSILYIVTLVYFSGVMVPLMLVLGPAVCKMPGISLSGAFDVLIIRSNFSCLVHQKFLHLIREGLWFYFLLLMTEKNPIVAVMNVVAFFPIIKRSFWLYFLVLMLDFHVVHCVWAAAEACSIPFIVLTSQSHDGLRIFYDFKEAYAWLSHNTDVDHKSNFIF >CDP19402 pep supercontig:AUK_PRJEB4211_v1:scaffold_469:1286:10944:1 gene:GSCOC_T00008030001 transcript:CDP19402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) UniProtKB/Swiss-Prot;Acc:Q56WM6] MKRKLACWSRGREACSMDFDEERIITYNGLESCILSSQSYNNATRQDGCATDSGDEDASSCCSNHVATGPFSSHRTMMRMDDCGPDESEFSGSPQHFYQREEPGYITNSTDLETMKEKFAKLLLGEDVTGGNKGVSSALALSNSITNLAVSVFGELWKLEPLPEERKRKWRKEMNWLLSPTNYMVELVPAKKSGTNGQIMEIMTAKARSDIHMNLPALEKLDSMLIEILDSMVDTEFWYVEVGSRAEGRSRSAGESKRWWLPSPRVPATGLSDAERKKLLNQAKRVHQVLKAAKSTNESVLLEMPVPDIVKDALNKSGKGLGEELYKVLAAEIIPAGVMINSLYLESDHSALQAINKLEAAMFAWKEIIKGQSSEESPARSSWSFGKDPVSEMDKVEFLLNRAELLRQQLKARYPNLPQTFLDAIKVQYGTDVGHSILEAYSRVLGNLAFSILSRIGDILEEDHLSNPSSPVAASYFPGIRIPGISDSPLQCRIRHSLLDQMNRVDGNADRCSGSEASYSESPFGDSSISSITVTPSRSRVWCVGGDSCGTLSATSSP >CDP19404 pep supercontig:AUK_PRJEB4211_v1:scaffold_469:78221:81338:1 gene:GSCOC_T00008033001 transcript:CDP19404 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTILSSVLNQLSILLLEEGQLLGGLRQEVELIRDELGHMRAFLRVAETKEEDADPRLQEWIKQVREAAYDIEDVLDEFVARFALHPATGFHGSVRRIFNSIKTLRGRHKVAEQIQSIKARVKNISEGHRRYQLEFGVTTRVSGSPPAVNNTTWRQSRDDAILVEEAELVGIDKPKQQLVSQLLGGDESQLKVVSVVGMGGLGKTTLVKKVHEDLDVRRHFPVRAFVTVSQTCNFQELLKDLTRQLHNELKKAVPESIEAMTAIQLKQCVKDFLQQAGRYAIVFDDVWDTEFWNAIRIALPKNGYGNRVMLTTRKADVAFASCTQSQDYVFKMVPLSFEDSWTLFCNKIFKGNGCPAHLTDFAKGILGKCEGLPLAILAISGLLALKDLNIAEEWKMVRCSLGGELEGSGMLDKVRKVLSLSYNDLPCHLKTCLLYLSIYPEDFEIGCHRLVQLWTAERYVEKREEMTLEDVGYNYLKELANRSLIQVSESFYEGIPYSCRIHDLVREVILSKSREQNTVAITTGQYTRWPSSKVRRLVVHNSNNTQQHQESQYYCFDHLRSFIAIESMNPLVSKNLLPKVLRSGRLLKVLDLRGEKTLEEIPNEIFHLYRLRYLNLYGTGIKAIPKSIGKLQNLEYLNLSDTQVRELPMEILKLQKLQHLRVFKLVDPLDHNYGFRGFMAPSKLGGLFALQSLFGIDASESIIVKEIGKLTELRELGISNLRREDGKELCSSLANLTSLRELTVSSIRKDDDDYEVMNLNHHHQQHSNSSSVSSSFLVSLRMLVLSGRLEKMPWWIAHLQSLVRVDLNWSSLSDEEDPLESLHHLPNLGAVQFCGSYQGEGLCFKAGGFLRLKTLYLKKIDKLRWMKMEEGALPSLQEITLVELPLMQELPWGIEHLSHLQKLRLYLLSSQLTRNLVDQNEESEDYTRIAHIPEIVIGFLSNEGWNEHRLWGKNM >CDP21527 pep supercontig:AUK_PRJEB4211_v1:scaffold_4695:3890:4981:1 gene:GSCOC_T00006005001 transcript:CDP21527 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFGQVMQIVSNVLNSRFAFQSRMQCELKPLKGSWQKLFAVVKEIYVKWCKLWRGVETRFQC >CDP21526 pep supercontig:AUK_PRJEB4211_v1:scaffold_4695:184:1603:1 gene:GSCOC_T00006004001 transcript:CDP21526 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQPPDIIPPITISSADPAPPISAPPAPSSSAASPPPPPPPPPPPSHLPDIPATIAPPPTVLAPKRQRRPSVRLGEIGHQSFENHSHHPRRPSKTWRFHRDPSLAAKTSKTRPLTNLVNGAAPPAPAPDRTYHHDNTNSYAFDFGTKRTKTKKPPTKRVRSNWASAPKFEAAAPNGGLTLPQNEDCAFRDENQENDDFPDFQPEEGSDSPIKDHSPVHSQDINHSMGLHFWDRRAGVRARRLSRSDSLDPDLDRRDNDLNFDHPFNGIHNDFNSGDHNSSCGVRDWLIGLGLGRYAPVFEIHEVDDEVLPMLTLEDLKDMGINAVGSRRKMFSSIVKLRKRFS >CDP19406 pep supercontig:AUK_PRJEB4211_v1:scaffold_470:53518:56160:-1 gene:GSCOC_T00013010001 transcript:CDP19406 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGKLMEALGNLAFDRGSKYFYLVDNLRSLETKLQRLRNRKIDFESKVKVAERSGTKKRKREVENWFDEVAKIENEFVALKTSIQEGGFLENAISSGKRVEKMDEIVEQLMVQSDSGHFGELCLEASESRGEPRETTELFGEMFRKGLETIPAWLDTNEILRIGIWGMGGVGKTTLAEHIHNHLLENSQFKVYWISVSQDFSIKRLQGDVAKRLRLDLSHVDDEKVRARRLRDAFEEMEEMVVLMLDDVWEEFRLNSLRIDAKNCRLILTTRSEEVCNQMQCHRTFELKTLDTKKAWGLFKRILGSETSLDGGLKDIAKSVAKRCDGLPLGIVTVAGSMRGVRDICEWRNALEDLKACSVGHDKMEKRVFRILEWSFNRLNKCERNCFLYCCLYPEDWKIKRKELIGLFIGAELMSKRESWSKAFDEGQTMLNKLIRVCLLEKTKDFEGVDCVKMHDLVRDMALRITHGNSKPESSRDDVPRFLVKSFGQEDSKVTLEQEEWTQDLRAVSFYSQNSKGIEIPPDWSPNCPKLSTLRLSRVSIKEILDSFFRHMCGLKVLNLSECYGIKKLPNCVSDMVNLTALILRDCRGLRFVPPLGKLKQLRDLDLSITRIQDFPEGWESLVSLERLNLSECPTLKRKIIPKGTFSQLHRLQLLLLPPYGRVQVNDPEVLNQLESFIGCLSFTDFYKITRWPKCYHVYVNDILTEYPSFNIYCGDPKELYFHQCKLGRESNYLPDDMESLIIEDCEGMGIRCLSDVFKNFINLSHLSKLEIMNLVGIEFLCQLSSASPRHQLEVSSFSPLRDLEALSLRRLPNLVGLFYGESEPSYLLPAGTFSSLKILWIDGCHDMKQLFTVQLLQNLQNLEELIVEVTGRHPVQ >CDP19407 pep supercontig:AUK_PRJEB4211_v1:scaffold_470:101520:105387:-1 gene:GSCOC_T00013014001 transcript:CDP19407 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGASVAEKTLNWTLDKVQNYWNLDNNLKSLEKELQRLSDRKSDIELEVINTERSGAKKRKREVNSWFEEVEEMKNDFNALKNSVQEGGFRENAIRNGERVEKIGARVKDLLEQSLHFDKLCVNAFGNRNKPRVTEKLFGEKFDEGLKRILAWLEIDNISNIGIYGMGGVSKTTLARHIHDHLLKNRNFKIYWVTVSQEFNIKKLQDDIAKCLGLDPWNEDDEHTRAAILSEALVQGSILILDDVWKEIPLKEIGIFHGANKCRLIFTTRSRQVCTGMDCQRELEVKTLDKNESWDLFKTTLRLKMELPADVEKVAQSVTERCAGLPLGIVAVAGSMKGVTDIREWRNALEQLKACSVGHDEMERDVFPILEWSFNRLNKCERNCFLYCCLYPEDSKLKRKGLIDLFIWAELMQKRNSWSQEFDQGHAILNKLIKVCLLEETRDSKGDDCVKMHDLVRDMALRITHGNSKPNNSIAILDHREWTEDLRAVSFYSDMFQPIKIEIPPAWSPNCPKLSTLLLSYCFIEEIPDSFFRHMCGLKVLNLEGCKGITELPNSVSDMVNLTAFILRDCTRLQFVPPLGKLKQLRDLDLSWTDIEDLPQGWESLVNLESLNLGDFNDPEVLNQLESFTGCLSFADFYKITRWPKYYNNVYINDILTKYPSYDNDDYGYQGKQLYFHQCKLGRGLNNLPDDMESLVIEDCKGIGITCLSDVFRNFTNLSDLSELFIEDLIGIEFLWQLSSTSPRHQLEVSSFSPLHDLQVLSLRRLPNLVGLFYGESEPYLLPAGTFSSLKDLWIHECHNMKQLFTLQLLQNLQNLETLGVEDCEGLEEIAADGNGEGQGGREGIQLTSSGGAITMISLPNLRCLSLDMLPQLNNICKAAMICDSIEKIEIFGCPKVKRLPLFLPTINGLPSLPSTLRKIKGDKEWWKSLEWDYPSAKNALDPFLTAQP >CDP21528 pep supercontig:AUK_PRJEB4211_v1:scaffold_4703:148:5133:-1 gene:GSCOC_T00006766001 transcript:CDP21528 gene_biotype:protein_coding transcript_biotype:protein_coding VASVPPAEPISTTPYRHPHISPLDFVPRFCSSSSSLLIVDWITSGHYGRGEKWDFELLLTAFF >CDP21529 pep supercontig:AUK_PRJEB4211_v1:scaffold_4706:2157:2703:1 gene:GSCOC_T00012500001 transcript:CDP21529 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAHSHLVSHTPSSLCKSLRYPPNLFSLKSILAIKPTSRTFSLRALLSTTASEPETQKFQHCFTKSDDGFLYCEGLRVQDVMDFVERRPFYLYSKPQITRNVEAYKDALEGLSSIIGYAIKANNNLKILEHLRHLGCGAVLVSGNELKLALHAGFDPTK >CDP19408 pep supercontig:AUK_PRJEB4211_v1:scaffold_471:30843:34255:-1 gene:GSCOC_T00012929001 transcript:CDP19408 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKAAIADGLLTFMWVFCASSLGVLTSLIAAALGVAKTQWLTSLFITTVLVFVFLFVFSIIGDALGGATFNPTATAAFHAVGLGGSNSLFSAALRFPAQAAGAVGGALAIKEFMPVQYKHMLGGPSLKVDLHTGAIAEGVLTFTITFLVLLIVLRGPSSPLLKNWLLSMSTVALVVAGSSYTGPSMNPANAFGWAYINNRHNTWEQFYVYWICPFAGAILAAWVFKALFPPPTKEKKT >CDP19409 pep supercontig:AUK_PRJEB4211_v1:scaffold_471:68001:73144:1 gene:GSCOC_T00012931001 transcript:CDP19409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ricin B-like lectin EULS3 [Source:Projected from Arabidopsis thaliana (AT2G39050) UniProtKB/Swiss-Prot;Acc:Q945P1] MDFPHGHNTHHHRHRPGPEEEVYPPPARPHPPPYYENEPPPPPPPVVHHPHPAPYYANEPPPPPQVTHVHHGGVAGGPHPPDFRNDPAPSPPPVVEHVSHHSFHPHMPSVFHHHTHHSKIADKPSVRVYTKAEPNYSLTIRDGKVILAPSNTSDPFQHWIKDEKYSTKVKDEEGFPSFALVNKATGQAMKHSIGATQPVQLIPYNPDVLDESILWTESRDLGDTFRTIRMVNNIRLNVDAFNGDKNHGGVHDGTVIVLWEWKKGDNQRWKIVRYSPYVACRIFGPAE >CDP21530 pep supercontig:AUK_PRJEB4211_v1:scaffold_4710:1085:5153:1 gene:GSCOC_T00005418001 transcript:CDP21530 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLKLVDWRPATMLKQILAKLPRKPLKSDSLTSVGSDSCNTSPNSDNGIQFTNTCSVISSRLNVVKRKSWAIFPASIIAGGDLVEPHVPFKDVPNADKQSLFVSKLNLCCLVYDFSDPGKNTKEKDLKHQILLELGDFVASGSAKFTESAIAAICKMCAVNLFRDFPPRYHSHVPRGESEEEEPLFDPAWSHLQLVYDLLLRVLNQNSLDAKLAKKYIDHSFIIRILDLFDSEDPRERDCLKSILHRLYGKFMMHRPFIRKAVSNIFYRFVFETERHNGIAELLEVFGSVISGFALPLKEEHKVFLSRALIPLHKPKSLGVYHQQLAYCVVQFVEKEQKLAVMVIQGLLKYWPVTSSQKELMFLSELEELLEMISMVDFEKIMVPLFRRMSCCLNSSHFQVAERAHFLWNNDHVLNLIAHNRHVIMPMTFSALEWNSRNHWNKTVLNLTQNLRKVLSEMDQELALACQNKVEEENSKSSLAAEKRKMTWERLETAASCQSIVSSISGVRESASCVVSY >CDP21531 pep supercontig:AUK_PRJEB4211_v1:scaffold_4714:2:3782:1 gene:GSCOC_T00000395001 transcript:CDP21531 gene_biotype:protein_coding transcript_biotype:protein_coding KNNFKVDKILIDRTIVSFTVVLNLINQSPFLGIKVKNPIHMAAAETDWSQLPGELLDLISKHLPSEVDLLRFRSVCATWRSSVPRPSPSPSRFPILPNAGISDTTWGFYLSKRTIYCLQNPETPLTKAWVIKLERDHPDRTHLLNPLTRSQFRPLPHNFPRRFDFSNMRVRELGCEFALQYINYRPSASSLLGDAGNLYMEKVAFSANRQGEGFVLLTIHVSGKLVLHKSGDTKWTVIPDLPSPYDDVIFHQGNFYAVDNTGRLVMVDLNPGSIPGVSAVAGSIFGGDKKFLVESCGDLVLVDMYLSVGPEDDLGYNEALEFYEEFDCFMSERTVKFKVFRLDRGRERWVEVSHLGDTILCLGDNCTFAASASELNFPGQGNCILFTDQFFHDREDDGGSKGNGIGVFDLESGSIGPITSYQGYSESFWPPPAWVYPPSAVEDWLEELSV >CDP21532 pep supercontig:AUK_PRJEB4211_v1:scaffold_4719:798:1739:-1 gene:GSCOC_T00011392001 transcript:CDP21532 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTYFLFVAALVLLHFVTTGSASIIVANNHNNSASDHNALLAFKAAIFDPQRIIPTNWSTSTSVCNWIGITCNARHHRVAAIDLSYMRIAGTMPPQLGNLSFLVRLNVMNNSFHGHLPTELSRLRRLKYISLEGNAFEGELPSWLGGLTALRYLSFRDNGFSGSLSGRLSNFTKLETIRLGFNFFTGNLSEEFSALPKLKLLEIQYNQLAGPLPLALFNLSSLQVFGFTSNSLSGYLPAHICDYLPQLQGFYLSLNNIEGEIPSGIGECSGLQVLSLSYNKFRGYIPKEFWNLTTLTQIALGGNDLTGKLPT >CDP21533 pep supercontig:AUK_PRJEB4211_v1:scaffold_4725:2831:5575:1 gene:GSCOC_T00006896001 transcript:CDP21533 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLYTNFFTSLLDIKSCKRSRSSIFQHPLSKHEVPRSLPKNGSLQYLGTSLEIAPINRRSNKDFAVYCTPPEAGGIFPFWPLTENFWPPTEHSWTWLLGLVALVPVAAQRLLTLTKEVETVAETVEKIAESVENVAEDVEKMAEDVAEKLPEGSKLKQVVAFVENAAKEMEKDAQLAQDLMDKVEEVDEQVESMLTNGSKGTVKAAANDQK >CDP21535 pep supercontig:AUK_PRJEB4211_v1:scaffold_4726:4459:5685:-1 gene:GSCOC_T00013032001 transcript:CDP21535 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSIAHRQVSLPDFISGLAYNAKKNLLYVADTENHALRVIDFVNDTVRTLAGNGTKGSDYDGGKTGDAQARCEEQFFITCIYRVHRFWSWT >CDP21534 pep supercontig:AUK_PRJEB4211_v1:scaffold_4726:59:4280:-1 gene:GSCOC_T00013031001 transcript:CDP21534 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQHQIWEHNTTNGVTRAFSGNGYERNLNGSSSINTSFAQPSGISLSPDLKEAYIADSESSSIRALDLKTGGSKLLAGGDPVFSENLFRFGDHDGVGSEVLLQHPLGVYCGKDGQIYLADSYNHKIKKLDPASRRVSTLAGTGEAGFRDGGALAAQVGRSFFYVSTDV >CDP19415 pep supercontig:AUK_PRJEB4211_v1:scaffold_473:87850:88020:-1 gene:GSCOC_T00004413001 transcript:CDP19415 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLDPFTYFTQFFWSCLFLLTFYIGLKFFLPQKNLTFSMFEIVVFNGNVFFFFLC >CDP19416 pep supercontig:AUK_PRJEB4211_v1:scaffold_473:107302:108051:1 gene:GSCOC_T00004420001 transcript:CDP19416 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVKLNTDASVVGSRASGGGLLRDHSGKLIFSFSKEFGDVQVLQAEASALSYGLQICISKRFRKVLVEVDSKVLVSLIHSSDMSNWPLCKVLLLL >CDP19414 pep supercontig:AUK_PRJEB4211_v1:scaffold_473:114:1748:1 gene:GSCOC_T00004381001 transcript:CDP19414 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHFIHLTPPVLDERKDSGNRLISLSPLLHLLGQLLNAIKGTMSESSRRDARRCHGKRTRRCGLKTLTDRSVST >CDP21536 pep supercontig:AUK_PRJEB4211_v1:scaffold_4730:2942:5255:-1 gene:GSCOC_T00010586001 transcript:CDP21536 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTSQSQAASGPTSRPGMVAPRGSAAATAVQRRRRLGGGSSNAGGSGTVGAGSSSNMLRFYTDDAPGLKISPTVVLVMSVCFIGFVTALHVFGKLYRYRSAPGA >CDP21538 pep supercontig:AUK_PRJEB4211_v1:scaffold_4733:3681:5696:-1 gene:GSCOC_T00009489001 transcript:CDP21538 gene_biotype:protein_coding transcript_biotype:protein_coding LPLEPVYSKALILSSQLNCLEEMLIVVAMLSVESIFYAPREKLEESRAALRCFSSPEGDHLTLLNVFHASNEFVVKNKLTHSKEKAEKNLRKWCKDNFINSRSLRHARDVHSQIQRNVEQMGLRITSCGDDMLVFRRCLAASFFLNAALKQPDGMYRILSSGLMVQIHPSSILFRSKPECIIFDKLVRTNNNYIRNICRIDYLWLPELAPQCYGLQ >CDP21537 pep supercontig:AUK_PRJEB4211_v1:scaffold_4733:2926:3627:1 gene:GSCOC_T00009488001 transcript:CDP21537 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIFPVTQTFMLNEHKMKPQITIEPNLPAHLSATNNFIVSTLNPRYKHSQFHGNKCNNAQAVVV >CDP21539 pep supercontig:AUK_PRJEB4211_v1:scaffold_4736:4184:4367:1 gene:GSCOC_T00009524001 transcript:CDP21539 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVKISLEEVKSYYLSLIEKYFPAKLKW >CDP19417 pep supercontig:AUK_PRJEB4211_v1:scaffold_474:25031:29404:1 gene:GSCOC_T00007792001 transcript:CDP19417 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEADYGLCDLLCLGETWVLLKFQHSKCSVVEEQAGWKLRGLRVLNTYWIHEDGGGGKLRCVLCPGATGEHATGLVIGMY >CDP21540 pep supercontig:AUK_PRJEB4211_v1:scaffold_4748:3420:4043:1 gene:GSCOC_T00011580001 transcript:CDP21540 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLLFLISFLPFFFSTVSSFASAAEPEPVLDVAGNVLRTDLYYYILPANVRGRFRGGGLTLSSIGNDTCPVGVFQELSAQRNGIPVTFSPVKPRNGVVRISTDLNIEFAYPETCGESPVWRVDNYVDPSADSFVSIGGVVGNPGPATLGSWFKIQKFGYDYKLVYCPTVCSYCDVICKDVGILYQNGERRLFLIDYPLRVVFKQA >CDP21541 pep supercontig:AUK_PRJEB4211_v1:scaffold_4749:83:1008:1 gene:GSCOC_T00007394001 transcript:CDP21541 gene_biotype:protein_coding transcript_biotype:protein_coding MVGARELIIAWARDPWYWHWISRPDSRFREVAKLKAVCWLDIRGRIESQMLSTGTTYAAFLVFKIAEEYYGIEKATSLIRFVNHESDGEAKRRAAPVHLVSREGMNHPAEFGGKFPKMRTDGWMELELGKFYTDRGDDGQVEARLIEIISLHGKSGLIVDGIEFRPV >CDP19418 pep supercontig:AUK_PRJEB4211_v1:scaffold_475:77554:90587:-1 gene:GSCOC_T00007305001 transcript:CDP19418 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MRLPCCTVCQNRYNEDERCPLLLQCGHGFCKDCLSKMFSASLETTLSCPRCRHISTIGNSVTALKKNYAILALINDSSASVTAASNYFSEEEEEDEDDDDMDERVAAARRRRRGAHAATSCGSGRIEVGMHQGLKLLRRIEGNANRINGVSTSRRSAVVETWAAVMVGSNGKCRHKVAVKKLAVGEEMDIVWVQGKLDGLRKTSMWCRNVCAFHGATRMEDGSLGLVMDRCKGSVQTEMQRNEGRLTLEQILRYGADIARGVAELHAAGIVCMNIKSSNLLLDSNGHAVVSDYGLPAILKMPACRKARSEGESARVHSCMDCTMLSPNYTAPEAWEPVKKSLNPFWDDAIGISPESDAWSFGCTLVEMCTGSIPWAGLSAEEIYRAVVKARKQPPQYASVVGVGIPRELWKMIGECLQFRPSRRPTFSAMLATFLHHLQEIPRSPPASPENDLAKYPMANGMKSSNLIDLDLHQDNTNLLHRLVSEGDLNGVRELLARSASGQNGSLLCSLLEAQNSDGQTALHLACRRGSVELVEAILGYKEANVDVLDKDGDPPLVFALAAGSPECVHALIQKNANVKSVLREGLGPSVAHVCAYHGQPQCMRELLLAGANPNAVDDEGESVLHRAVAKKYTECAIVILENGGCKSMSFQNSKDLTPLHLCIMTWNVAIVKRWVELASREEIADAIDIPSRVGTALCMAAALKKEHEAAGRELVWILLAAGADPTAEDNQHGRTALHTAAMINDVQLVKVILDDGVDVNIRNMHNTIPLHVALARGAKSCVGLLLSAGANCNLQDDDGDNAFHIAADVAKMIRENLEWIIVMLRYPDAAVEARNHSGKTLCDYLEALPREWISEDLMEALMEKGVHLSPTIYQVGDWVKFKRSVTAPTYGWQGAKHKSVGFVQNVPDKDNLIVSFCSGEARVLANEVVKVIPLDRGQHVQLKQEVKEPRFGWRGHSRDTIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPSLTTAKHGLGSVTPGSVGVVYCIRPDNSLLLELSYLPTPWHCEPEEVEPVEPFRIGDRVCVKRSVAEPRYAWGGETHHSVGKISDIESDGLLIIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVSSPKYGWEDINRTSIGVIHSLEEDGDVGIAFCFRSKPFCCSVTDVEKVLPFEVGQDIHVMPSVTQPRLGWSNETPATVGKIARIDMDGTLNVKVTGRHDLWKVSPGDAERLSGFEVGDWVRSKPTLGVRPSYDWNSIGKDSLAVVHSVQETGYLELACCFRKGKWITHYSDVEKVQGFKVGQHVRFRVGLVEPRWGWRGAQIDSRGVITCVNADGEVRVVFFGLQGLWRGDPADLEIEQMFDVGEWVKLREQASSWKSIVPGSIGVVQGIGCEGNEWDGNVFVGFCGEQDQWVGHITDLERVGKLLVGQRIRVKNTVKQPRFGWSGHNHSSVGTITSIDADGKLRIYAPAGSKSWALDPSEVDLVEEDELRIGNWVRVKATVASPTHHWGEVCHSSIGVVHRIEDGDLWVAFCFMERLWLCKVWEMEKVKPFKVGDKARIKEGLVTPRWGWGMETHASRGEVVGVDANGKLRIKFKWREGRPWIGDPADIILDDNSSDSGTTTS >CDP21542 pep supercontig:AUK_PRJEB4211_v1:scaffold_4752:379:956:1 gene:GSCOC_T00011510001 transcript:CDP21542 gene_biotype:protein_coding transcript_biotype:protein_coding MKENSPTTTVKFPPISTGCYHSLSTILLQIPQISQDNSRFQRKPFTLSAQTTTSPHPPMLMSAIATLQLPLPSLPP >CDP21544 pep supercontig:AUK_PRJEB4211_v1:scaffold_4764:4229:4852:-1 gene:GSCOC_T00011727001 transcript:CDP21544 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLLFLISFLPFFISTVSSFASAAEPEPVLDVAGNVLRTDLYYYILPANVRGRFRGGGLTLSSIGNDTCPVGVFQELSEQRNGIPLTFSPLKPKDGVVRISTDLNIQFAYPETCGESPVWRVDNYLDPSADSIVSIGGVVGNPGPATLGSWFKIQKLGYDYKLVYCPAVCSYCDVICKDVGILYQNGERRLFLIDYPLRVVFKQA >CDP21543 pep supercontig:AUK_PRJEB4211_v1:scaffold_4764:2225:2869:-1 gene:GSCOC_T00011726001 transcript:CDP21543 gene_biotype:protein_coding transcript_biotype:protein_coding MMKASLLFILSFLVFSISVSTNSSFTSAAEAPEPVRDVAGKILRTDRHYYILPAANVFDKFRGGGLTLSGIGKNTCPAAVFQETSEQKNGIPLAFLPVNPKKGVVRVSTDLNIKFAYPETCGQSPVWSIDNYVYPSGDSFVNIGGVVGNPGPKTLSSWFKIEKFGYQDYKLVYCPAVCSYCRVICKDVGIEYQNGKRRLHLTTDYPLRVVFKQA >CDP21545 pep supercontig:AUK_PRJEB4211_v1:scaffold_4765:158:3208:-1 gene:GSCOC_T00006626001 transcript:CDP21545 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIGAAIQVTLETALSLASDRIGMLVGFKKDVASMTRSLRLIKGVLADAEAKQNQDGAVQEWLKSLEEVAYEADNVLDKLHYEALRHQVESRNRHKLKVCCFFSFSNINLAFRWRMASKVRDIKDKLKGINQEARDLGLVDRAVVTAALPPAAGDTRNRQTDSVVAPMVGRADDESKIVKMMLSPSEKVVSVLPINGMGGLGKTTLAKSIYNNLQIDGQFEKKVWVCVSKKVPIVELFKLILVSLTGEKVEVDVRDVIVGKIRNQLGGKRYFLVLDDVWDYGQALWDDFFTTLNGLNPINPPKGSWCLITTRLHLVADKGYPLGRLPGDHCWSIIKGKVVEGEEVPNELDAIKDRAIQICNGLPLVASVLGGLLRLRKDKWRSILEDRLLNLNEAMQILQLSFDNLPSPAIKKCFAYCSIFPEDAEMKGDVLIELWMAEGFLHAGLENKTMEEIGEYYLEILLQSSLLEEIRNFWGRRYKMHDMVHEVSKSIMSKSTKFINSETAPGDNSNQVRCLVIDSFGDSTINLFESRSNLLHTLFLSWSSLSDDMLMKLKNLHVLNLSGAKNQNLPISIGKLIHLRYINFEDSTSETLPESVCKLYNLQTLWLNRFALKVLPKGMCDLISLRHLHFDKRDEEFQMPLEMGRLTCLQTLEFFKVGREKGRRIGELESLKNLKGKLSIRNLELVKDKKGAEEAKLSEKANLFRLELEWADDREGDNYNDEDVLDGLLPHPNLEELVIWNFMGDQFPRWLMDLPTTLSKLALLEFIRCNRCRELLPLQNFTSLKQLKIYHCDMLTNLPRDWLHSCTSLQKLSVADCDNLISFPLDLQQTPSLSELILFGCPKLKTSMTPKGFGFLTSLRELTIGHFSDDGDDHENSSIYNEFDWSELISSSSSSSSSALRRLELYGLPHMESLPHQIQHLTTLTSLFLVDFGGIKALPDWFGNLAALEELHLWNFKELGHLPSEDAMRSLTKLKRLWVYGCPLLKERCTPESSGPDSQWSKVSHIQHLRIR >CDP21546 pep supercontig:AUK_PRJEB4211_v1:scaffold_4766:327:3417:-1 gene:GSCOC_T00009574001 transcript:CDP21546 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNNPQVCDSQTQKSKTIPVIYFTTENLKLGTSSWLSTCKAVREALEDFGCFIAVYDKAESECKIDVFASLKEFFNLPMETKLLNVHPDKDAFGYFGPKPNFPLLEAISIEDSTTIEAVQSFANLAWPSGNDHFCETICACTRQLSEVNRMVSKMIFESYGVGRYSDSHIESTSYLFRANAYRVSQEKEPNLGISPHTDTSFVSVLKQDSVQGLQIQSKDGTWIPVDFPPSSVAIMAGDAMLAWSNGRVRPCFHRVMVTEKARTSIGLFSFHTGIVQVPKELADDNYPSQFTSFDHWGLLKFRSKNPALSSNERVKAYCGRKDI >CDP21547 pep supercontig:AUK_PRJEB4211_v1:scaffold_4770:538:2704:-1 gene:GSCOC_T00010697001 transcript:CDP21547 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAKKKFAILGIASLVLVAMVVAVTLGTQNSDTDNYNHQAVSDSQKAIQTICEPTDYKETCIKTLQAEAGNSTDPKELIQAAFKATTNYINEAAKNSTVLQELQKDPRTKLALENCKELAHQAVEDLERSFAKFQTFDFSNIDDMLADIKVWLSGALTYQQTCLDGFEGAEGDAGEKMRQSLETSMELTSNGLAMINDISSVLTSLELPAFTNRRLLSDDILGHGMDILPSWIDEGRRRLLRAKPRKVKPDLIVAKDGSGNFTTINEALLNITKNEEKEFVLYIKEGVYEEKVTFHKNLLHLTVIGDGPTKTRITGKLNFIDGVPTYHTATVAVLGDFFIAKDIGFENAAGPEKHQAVAVRVGADKTIFYNCHFDGFQDTLYAHTYRQFYRNCKISGTIDFVFGDSAAVLQNCTLEVRKPLDNQQCIVTAQGRKDVRQPTGLVLQNCSFVADPAYFPYRFQLKSYLGRPWKEFSRTIIMESFIDDLIQPEGWLAWNGSFALDTLFYAEFNNRGPSSNKMLRAQWNGVKELPSSRVERFLPSKFIDGNHWIKSARVPYNSGFIYPPPQEDPSVKYSPVAPEETKDLGSDRSKEAFYFRQPAATDNADIGSASIAAPPLTSYGLPPALSPVAAPAPAPIPTPIPTPTPTRKRRSGSRLRRLIAKIW >CDP21549 pep supercontig:AUK_PRJEB4211_v1:scaffold_4774:3159:5695:-1 gene:GSCOC_T00004514001 transcript:CDP21549 gene_biotype:protein_coding transcript_biotype:protein_coding TLFSEAPSPRLCFLFSFLLHLSFLLFYLVFLSSFFSPIVDGLKLFSPPFSSSSHRRSLSQIELEVKRQLLLPLYCFRMQLECRSVELSIYALLFFV >CDP21548 pep supercontig:AUK_PRJEB4211_v1:scaffold_4774:345:2944:-1 gene:GSCOC_T00004513001 transcript:CDP21548 gene_biotype:protein_coding transcript_biotype:protein_coding MFSILVKIYNGLFQVVIALKATIKKQVMIALKATIKRQVHLDYHEVGANIIISVSYQATLQGFVAKGISREEGEALLRKIVEIACDVRDIYYDKASKGSWDVIGDPKALNVHSLLLLLLEAMELILLMVLNIETFPLEHLRYSHALQWHIWGYNNFKQIGCYGIDGINVVSGGPISNCTAIADLCDRVVAVGINCTAPRYIDGLAQSIKMIMGKVNKERLGEFLGELQRKEHTNDRYVAVLRGETLIRNPFVRMQPFPDQSTTEVSKA >CDP21550 pep supercontig:AUK_PRJEB4211_v1:scaffold_4775:2336:4493:-1 gene:GSCOC_T00012906001 transcript:CDP21550 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSKILIIGGTGNIGKYLVEASAKAGHPTFALVRESTISDPKGAAIIESFKSLGVIFLHVCCYFFLPHQVKIIEAIKEAGNIKRFLPSEFGVDVDRANAVEPAASLNRTKVEIRRAIEAEGIPYTYLVSNGFAGYLNYILNNFGDSFSAS >CDP19420 pep supercontig:AUK_PRJEB4211_v1:scaffold_478:5419:5880:-1 gene:GSCOC_T00007380001 transcript:CDP19420 gene_biotype:protein_coding transcript_biotype:protein_coding MATPADARAIKSLSTGEGSKKFVYKSIVQQIAKIDINVYRSLNPVKAEPSEGSTFTLHSTRQSRKNVDPSEGSALTGFKFRYTLSIKVSLVI >CDP19425 pep supercontig:AUK_PRJEB4211_v1:scaffold_478:68920:71049:-1 gene:GSCOC_T00007389001 transcript:CDP19425 gene_biotype:protein_coding transcript_biotype:protein_coding MVISKAESFLMELLSKKSAANFVDCTEDRIYLLLEQLNVFTLIVTNDLVKGRKDGNMILADIEAFARWLTCFASLVMVKKKPILSFYELLEKVKLVKEELKEIGPQFPLSALPQTYKLGFIDFLSNNLGELLKYDFQAIAQVKQHIEEIQLHLKSLSSFLTRISDSDIDEHPELKDLGDHAANVAYKLEYVIDSIELDPDWKHCFWFYYLLDEVRVLDKQASQGHEIISGAKVQNATQVSAINELVVDLSDEEAFIVDRLTGGSSQLGVVSIVGIPGVGKTTIARKLFNNQNVIYHFHRLAWCTVSQVYDTRELLLEILSGIHGLTNEIHQLSKEELKSKLRQCLMKNKYLIVMDNVWNVEAWSELKNSFPDSINGSRILITSCRSDVALQIEPDCDPNFLRPFSDYESWKLLVEKIFRGEGCPEELLLVGEQIAQQCKGLPLSVVVVADLEARCLKILEPSYRYLPGYLKACFLYLAVFIEDGDIPVDKLIKFWLAEGLVQGTQSNNLEDVAQDYLKDLISRSLMTISKRKSNGDVKACRLHDQLHDLCLSKAKEENFLQLVTTYDEPYASFPDSNYGFDLYFDHQLQPVTYEAHRLSIFLKRNHFVESRPSGLGTRSLIFFASADSEPRCPHDISFICYNFKFLRVLDLECINMGISFPFEIGLLVGLRYLAVSGYVRSIP >CDP19423 pep supercontig:AUK_PRJEB4211_v1:scaffold_478:44242:47178:1 gene:GSCOC_T00007384001 transcript:CDP19423 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTRLFHIAASLCYQLKTQPPDDAVSTLITHNLVFTSCHLHSLLRQLEYVDFPKFWSQLEDKEQGCFLKAFHMLDSRKGRGTLAYLTSDLGVPHSEQKNKPQQYFIVSHLLKRMGRISLAMETIQMKVVFHCFKLISPTLLGEYKNTTLVLEDSGQNYSYQLLVPLYKVCEGYAGRVVSVPVIQLAQEVCESIRDNMGMQNFVQVYNQIQKDLKAKRDRRKHEEKLMAVVNPVRNAKRKLRIAAKHRANKKRKIMTLKIGRWKR >CDP19422 pep supercontig:AUK_PRJEB4211_v1:scaffold_478:30105:44163:1 gene:GSCOC_T00007383001 transcript:CDP19422 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWFPCGRKLIIHWFLLEKILNQFQKLCFEKNLEEIWEMICEFLLHPHL >CDP19424 pep supercontig:AUK_PRJEB4211_v1:scaffold_478:60061:61011:-1 gene:GSCOC_T00007388001 transcript:CDP19424 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGTRPEIDEILVDLRDEEEIIVNRLIRGSTKRDVASIVGMPGMGKTTLARKVYNSPNVTHYFHCRAWCTVSQVYDKREILLEILRDVHRLSAENHHMSEEDLESKLRQCLLRNRYLIVMDDVWDIGAWSDLTHSLPDDYTKSRILVTSRRRDLALEIEPNSDPHSIPPFTVAESWILLEQKVFQGDACPEELLLVGKEIAQKCKGLPLSVVAISGLLKRTEKRKDWWKKIAESLSSEIFKDPEARCLEILELSYRHLPGNLKASFLYLGVFLEDKDIPIHKLIEFWLAEGFIQVTESKSLEDIAENYLMDLIN >CDP19419 pep supercontig:AUK_PRJEB4211_v1:scaffold_478:212:3157:1 gene:GSCOC_T00007379001 transcript:CDP19419 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVFYCFKLISPTLLGEYKNTTLVLEDSGQNYSYQMLVPLYKVCEGYAGRVVSDDMKQLAQEVCESIRDNMGMQNFVQVYSQIQKDLKAKRDRRKHEEKLMAVVNPVRNAKRKLRIAAKHRANKKRKIMTLKMGRWMR >CDP19421 pep supercontig:AUK_PRJEB4211_v1:scaffold_478:10609:16804:1 gene:GSCOC_T00007381001 transcript:CDP19421 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVRVLESCTAALESSKCKEPSLTDSGFNVAAAYDIVDREIDIVTSTAVKQFKELRSLCLKIVSSALAKYENHDFHSEFWDLFFTAASPLIGSFKQEGASSEKPSSLFSCFLAMSRSIKFVPLLGRKKNLVPDIFSMFTITTASDAIISCVFKFVENLLNLDSQLGTEDGSVKRVLLPHLNVLVDSLHCLFTIASGTKRHPADNELFVFKLLSKYITEPLTAKKFVDILLPLLAKRLRNSDSCVVILQILQSVVEVVGSENNSKILSSVSPLLTFAGLDVRKSICDVLNALAKDDSSVFVVAKLLNEMNATSAMDIGSLDYDTIIGAYEKINGEFFHTVGKEHALIILSQSAYDMSSEELIFRQSAYRLLLCFVEFASEIVESKDKSDQGCWTEALIQHIVTSFLLKHMGNAMNRETSVQKLWIDLLREMVLKLPKVANLESYGTLYSQDPEQDFFNNVIHLQVIHEFVLTGKYMHVRVYSWCWVLASFLFFYEHRKIHKMNTIEGVLSCYSLCIHFNCSTMFFPSLVSKITQVIKGKGLVKQERKKDTVFEQFRLNVQFFFQQLHSNTYILLTEAIASIASCMTWKQYYELLMNCFREMTKREKQKVILRLTCCILDHFRFSETPAEAKFYDIQTCNQKTMLPKIQKLLSDSDNVNVNISLVALKLLKLLPGEIMNLQLSNIVHRISNFLKHRLESVRDEARLALAACLKELGLEHLQFMVKVLRGTLKSGSKLHTLAYTLSFILSKFLINPIRGKFDSCLEDLFSVIEIDILGDVSEQKEVDKIA >CDP19426 pep supercontig:AUK_PRJEB4211_v1:scaffold_479:3867:4094:1 gene:GSCOC_T00007340001 transcript:CDP19426 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPFSQSEVKCLMLQLLEGVKYFHDNWVLHRDLKTSNILLNNCGELKLCDFGLARQYGSPLKPYTHLVVTLWYR >CDP19428 pep supercontig:AUK_PRJEB4211_v1:scaffold_479:38618:45002:1 gene:GSCOC_T00007342001 transcript:CDP19428 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLIWLILLCLLFLAEVHAIDRIAEPFSPTYRIHQFNRSSFPTGFLFGASSSAYQIEGAWNVDGKGPSIWDTFTHKYPEKIQDHSNGDVATDSYHLYKEDVRLLKEMNMDTYRFSISWPRVLPRGKLSGGVNEKGIQYYNNLIDYLLINGKFPYILPTVTLFHWDLPQALEDEYGGFLNPQIVNDFHDFANLCFERFGNRVKHWITFNEPSMYSIYGYDYGQSAPGRCSSWRNSNCTSGDSSTEPYIVSHHQLLAHAYAVKLYQTKYQGYQKGKIGITHAVIWLVPYSHSLDDHRATVRGLDFSFGCSNLLLLTANVTLVGDRLPKFTKEQSELVKGSYDFIGLNYYSSLYVVDSSFSINIKNKSYTTDSKTNTSVERNGKLPGEQIGSGRVVYYPRGLWKMLLYVKRKYQNPIIYITENGIDQRNNSTMPSEAINDNFRIKYYHGHLSFLHKAIRDGVQVKGFYGWSILDNFEWGFGFTVRFGLNYVDFENGLKRTPKLSSKWFERFLQK >CDP19427 pep supercontig:AUK_PRJEB4211_v1:scaffold_479:22072:23154:-1 gene:GSCOC_T00007341001 transcript:CDP19427 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPPSFFIKAKNIFLTYPRCVLSKQQALDAIRNLQFPISLIYIRVAQETHEDGSPHLHCLIQFEGKFRTASARFFDIKSPISNSMFHPNVQGARNSSAVRDYISKYGDFVEWGQFRPDGRSRGMALNIIKRGDPRSFIIHYDKLSSNLDRIFQKPPEPYVTRFQQFQRVPSFLTHWADTNVTGPGNRPHRPMCIIIEGPSRTGKTCWARSLNSQAHNYYAGHIDLAHHSDDAWYNVIDDVNPQFLKHWKEFLGAQRDWSSNCKYAKPRKIKGGIPSIVLCNPGLNSSYDVYLSAPDRQDLFNWTKQNAAFFFLQQPLFALTNQEQALIVQEVEELDSSN >CDP21551 pep supercontig:AUK_PRJEB4211_v1:scaffold_4795:1107:3100:1 gene:GSCOC_T00011418001 transcript:CDP21551 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKCAIQLGIPDIIHMHGQPMALAQLIDALPINNAKAPFVYRLMRILIHSGFFIKAKIPDNEGQEGYALTPASKLLLANDPFSLTHTMVIKNCKDVFMGLNSLIDVGGGTGTVAKAIADAFPHLECSVLDLPHVVDGWESSKNLAYVGGDMFEAIPPADAVLLKWILHDWSDEECVQILRKCKEAIPSKEKGGKVIIIDMLLKSQQNGDDDAEAIETQLFFDILMMVHAKGRERNEKDWEKLFLEAGFNGYKITPVLGLRSIIEVYYY >CDP19429 pep supercontig:AUK_PRJEB4211_v1:scaffold_480:27586:38888:1 gene:GSCOC_T00003148001 transcript:CDP19429 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSWRAPQGQMAQGPPQGGQAGGGGEVSAVPNAPPPATIDSGDWRTQLQADSRQRIVNKICAHMCRMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLTMETKSQNPMANPLQANAATASKNPPDQAVHGMQSQIQNQQHPMPVVSSQSQSRQQLLPQNMQTNMTSTGVQNSAILASTLPTAGNLQQAPMPNIGQNSNLQNMQSVPSVSQNPVGSSMGQVMPSNVFTNSQRQMQARQQQVVPLQQQQQTQNPQHYLYQQQLQHQYMKQKLQQGGAMAQPLMQSHIQQQQNLLQPTQIQTSQQAVMQPSVMQSAPLSGLQQNQQSSMQQVTQPVIQQQSQAVLRQQQQQQQQQQQQSQQASMLHQQQTSMAQQPLLPAAQQPQQQQQQLIGQQPGATNIQHNQLIGQQNSMPDMQQQQQRLIGQQNNIQHQQLIGQQNSLSSMHQQQLAPQSSVSGLHQQSMRGTQPGNSAMPTSQHSVVMLQQSKVAVQQQMQQNATALLPSQNQQPQQPQQQMVSQIQAQPGGLQHMQQQSNALQRDMQQKIQPTGSLLQQQNVVEQQKQLFQPQRAHPEASSTSLDSTAQTGNASGGDWQEEVYQKIKSMKDMYFLELNDMYMKIAGKLQQHDSLPQQPRNEQIEKLKFFKLMLERLIGFLRCTKNDIQISHKEKLASIEKQIINILSTNRPRRPVSLQQVQLAQQQMSNMQHSQPQTQIPQMQPQENQMNQQMQPMNVQSSITPMQPNSLTSLQQNTLSSVPPVSNLQQNMMSTLQPASTLDPGQSNTHPLQQVAISSLQQNTASGPQTMNINSLSSQSGMTALQSNLINALQPNSTMIHNQQLKQQEQQMLHTQQLKQQLHPRQLQQQLLQRQQLMQQQQQQQQQQQLQQQPQQQQQQHQQMKPQQQPSQLPGHQMSPLHQVTDSSDLKVRPQISVKTGVFQQHHTNSQRAAYHHQQLKSGSPFPISSPQVLQAASPQVPPHASPQIDQQSMQTSIAKTGTPLQAANSPFVVPSPSTPLAPSPMPSESEKLNSGISSLSNAGNIGPSHATTVSAAAQSLAIGTPGISASPLLAEFTSLDGAHVNTSTAMPCKPHTVEKPHERLIKAVQSISNKALVASVDDISSVVSMVDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFFTQDGPTGTKKMRRSTSAMPSNVVSSVGSVNDSMRHLNSSDAFELESTATSSIRRPRNEANHALVEEIHEINRCLIDTVVDISDEDVDPIAVAAADGGEGTIVKCSFSAVALSPNLKSQYASARTSPIQPLRLLIPTNYPDSSPILLDKYPVEVSKEYEDLSIKARSKFSISLRSLSQPMSLSEMARTWDICARAVISEFAQQSGGGTFSSKYGTWENCVSAV >CDP21552 pep supercontig:AUK_PRJEB4211_v1:scaffold_4803:2419:2667:1 gene:GSCOC_T00009179001 transcript:CDP21552 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEALAMAGADYIKCSISLEVWEGLGTVETPAYLLAEEDLQSPVMKSKVDDLVSDNENFAAQILAVAKAVVSTETKTSEMQ >CDP21553 pep supercontig:AUK_PRJEB4211_v1:scaffold_4815:3751:4140:-1 gene:GSCOC_T00013456001 transcript:CDP21553 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVVVIFAGYSEPMKRVISSNEGLCRRVTKFFPFNDFSSEDLAKILHLKMTNQVESSWLYGFKLHPSCSKETTEKQRREMNGGLVDPMLVNARENLDLRLSFDCIDTDELLTLRLEDLEAGLVLLSQ >CDP21554 pep supercontig:AUK_PRJEB4211_v1:scaffold_4818:1:4865:1 gene:GSCOC_T00007344001 transcript:CDP21554 gene_biotype:protein_coding transcript_biotype:protein_coding LTFLLLSYGFFFFFFLLGTGVNVFYVYPRGLREILVYTKHKYNNPVINILEQNGLLNPICKVLKVNLIYLALGCKIIYIHINFIYKINFNGVRVKGFFPWTFMDTFEWGSGFTQRFGITFVDYNNNLTRAPKKSGLWYKKFLEG >CDP21555 pep supercontig:AUK_PRJEB4211_v1:scaffold_4823:55:3460:-1 gene:GSCOC_T00011248001 transcript:CDP21555 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRIENVIENGKVAQYITSQQEHEAFSPWTKTFTHRDHPTVIQVLLESGKDIDVSGHSMPNLIYVTREKSITSPHHYKAGALNTLLRVSALMTNAPIILTLDCDMFSNNPRTPYNVLCYFMDNSIRPKLAYVQFPQCFHGVNKNDIYSSEMQRGFHINPKGMDGLTGPHCMGTGCFFMRRALFGGPSAMLQPEMPQLSPDHVVTNPIRSRHILELANTVAGCNYEFQTNWGEQVCAFLNDTTTEFN >CDP21556 pep supercontig:AUK_PRJEB4211_v1:scaffold_4827:231:1856:1 gene:GSCOC_T00005982001 transcript:CDP21556 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAETTKNQVVLKEEEEEEEEHFSYAMQLVTSAGLPMMLLAAIRLNVFEIIARAGPGAQLSPSEIAANVSSENPNAAAMLDRMLRLLASYSVLTCSVATDVDGDHAIQTPTRVYGLAPVGQVLCTEQNKGRRFTKLLLALLQDKVFIDEDAVREGGDPFHRAHGTHAFEFLGSDPRFNEVFNKTMIHHTAIVINRMLERYKGFEHLKTLVDVGGGLGMNLNIITTKYPSLKVIILICHMLYNMHQPILV >CDP19433 pep supercontig:AUK_PRJEB4211_v1:scaffold_483:85045:86415:-1 gene:GSCOC_T00012535001 transcript:CDP19433 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMKTLIRKTITLEVESSDTIDNVKAKIQDKEGNPPDQQCLIFTDSSASSWILFSYASFEQQPKKFVNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVKSGAKIILSRLAIGDLATQYFADRDLFGAGRVTEEDLHRVAAATGGTIQTTVNNEVQFYCSVLDIIYALHLFSELVKYAIFIALFLFKKFRKL >CDP21557 pep supercontig:AUK_PRJEB4211_v1:scaffold_4832:3931:4455:-1 gene:GSCOC_T00003284001 transcript:CDP21557 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAHAIRKLPLAKAKRYLEDVLAHKQAIPFTRFCGGVGRTAQAKNRHSNGQGRWPVKSAGFILDLLKNAESNAEVKGLDVDSLFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEESVKKEPESQLATSKSRKA >CDP21558 pep supercontig:AUK_PRJEB4211_v1:scaffold_4833:1377:2057:-1 gene:GSCOC_T00000485001 transcript:CDP21558 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLEIRFFSPLGHDEYMYLDVKGNNCTLPPTALFFIIRFHYMIFTAMCKVKINLEEVKSYYLSLIEKYFPAKLKW >CDP21559 pep supercontig:AUK_PRJEB4211_v1:scaffold_4837:755:3759:-1 gene:GSCOC_T00002612001 transcript:CDP21559 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSATVSGLLSAIASAAFGVDRAYCDGPFNFSPFSTSSTTSTAVPPPQTQSPGAKTPQPPPPEPTRVRNDYPRTTSAGFDPEALERGAKALREITTSSQAKKVFEVIKKQEETRQSELAAKAAEFKALQAQSETERQRVVYDEQKKLAQQQAQIKSQMARYEDELARKRMQACESSDIFLSLLQAENEHQRARNQELVKMQEESSMRQEAARRATEEQIQAQRRQTEREKAEIERETIRVRSMAEAEGRAHEAKLAEDVNRRMLVERANAEREKWVAAINTTFDHIGGGLRAILTDQNKLVVVVGGVTALAAGVYTTRY >CDP19435 pep supercontig:AUK_PRJEB4211_v1:scaffold_484:56105:66438:-1 gene:GSCOC_T00003086001 transcript:CDP19435 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISTSASLRSSESGLSSCSDFSIDANSDGRVYLEECSTDSSQEDSLCSAKNQLNDHSSEFQTNGVQRPSTSVKNDLQGINVVNDTWINRDIENAGTLHDKEASHHNLERFGSVIDEGSASLPGNDEMDAQFWLPPEPEDYIDDIEGSVINYDEDDDESVDGINWGSPSSLSSFGDERSGAHKFKEVKRKAMEEVMNGKFKALVDQLLKSVGVVSSAKDGNSWVDIITLLSWEAAKFVKPDAAEGKAMDPDGYVKIKCISSGFPCQSRMIKGLVFKKHAAHKHMPTKYTIPRLMLIQGALGLSSNGLSSFESMQQEKDSMRAIIDVIDMYQPNVVLVEKTVSRDIQESILAKGMTLVLDMKLHRLERVARCTGSPILSPDSLVGQKLRQCDSFHFEKFVEEHAVIAETGKKPSKTLMFLEGCPTRLGCTILLMGADSDQLKRIKCVVRCAVVMAYHFILETYFLLDQRAMFSSIPISEVVNLALTNQELSPHGASDPTSCNGDPVIDSGASSTIPISDEFGEEVTQYLNSEPEANLSFSFESYNPLILSGLSSLSASLKKVMGDNFPLISSSSHSMSTYFGFDGRTSDDQGQTDVQIPISQKTIDHCDTEIKIAADDGKGHDRPQSHPLPMPFETQMSSGDYEEHIPSKDDIKAVLDSESILVLMSSRNSSRGTMCEHSHFSHIKFYRNFDVPLGKFLHENLLNQRLQCKTCGQLPEAHFYYYAHHNKQLTIHVRRLPTDKILPGQSDGKLWMWSCCGKCVSHNGSSKSTKRVLISTAARGLSFGKFLELSFSNPSSFRRKSSCGHSLHQDYLFFFGLGPLVAMFKYSKVATYSVSLTPEKLEFGNSVRGEVLKEEFEDVYEKGISLFLEIEKSLKEIGSRYLGMTLNLQATIKDFSDIEDMLKEERSQFEDDIRNVLKNGNGDGAIYKFLRLNKLRLELLLGSRIWDRRLNYLLSSELSVAGSKTIDSRVAKQICTETSQAKADGLDITIEDPENVSNIIPSLEIKLVTSEEANSFQKEVPIEGLVQGTIGNGALHDSLNKPDIFDGSHDGDDNLQDNIPKFVQVQEDKVVPITADVEGSVLDSNNCQTVRSHFRSSFNIENEKGWMWAPFREIQHEYMNDLWRGYFPKFDSVSSFAQDTTGYKLINDEGSKLQIPLGLDDYVVSDYEDEFSSIIACALALLKDLPIVSQELDEDFRKGKGMMMSNDNSQSLTRIFSLASPHWSSTGYLDADGIYSSEESHFSSFDSLDLLDSVVSLAAVHPEVSLGIGKVPGKRKYSVMCLYSSQFRHLRNRCCPSEIDYIASLSRCRNWDAKGGKSKSFFAKTLDDRFIIKEIKRTEFEAFLKFASNYFEYMNECYELGNQTCLAKILGIYQVTIRQTKNGKETRHDLMVMENLSFGRNIKRQYDLKGALHARFNSTGNADDVLLDQNFVNDMNVSPLYVSRKSKRILQRAVWNDTNFLQSINVMDYSLLVGVDAQKRELVCGIIDYLRQYTWDKQLENWVKSSLVPKNQQPTIISPKEYKKRFRKFIDTHFLSVPDHWCSQRSSNPCRLCGMGDDDDCLHSNSQELGENNDKICHFEQQGEQDGSSHGKSQEGKENGNVS >CDP19434 pep supercontig:AUK_PRJEB4211_v1:scaffold_484:53100:53450:1 gene:GSCOC_T00003085001 transcript:CDP19434 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIWEFGAHCQSHLNIHIGFLSTRIN >CDP19441 pep supercontig:AUK_PRJEB4211_v1:scaffold_485:91087:94182:-1 gene:GSCOC_T00013510001 transcript:CDP19441 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFISSTIQVTLEMALSVASDRIGMLVGFKKDVASMTRSLRLLKGVLADAEAKQNQDGAVQEWLKSLEEVAYEADNVLDKLHYEALRHQVESRNRHKLKVCCFFSFSNINLAFRWRMASKVRDIKDKLKGINQEARDLGLVDRAVVMAALPAALPAGDTGNRQTDSVVAPMVGRADDESKIVKMLLSPSEKVVSVLPIIGMGGLGKTTLAKSIYNKKQIDGQFEKKIWVCVSKKVPVVELFKLILGQLKKDKKDEVGDRQNIVQEIGKELGKLRYLLVLDDVWDDDEALWHDFFTTLKGLNPTNGSWCLVTTRLGPVAHSVSRVLMMENEPYALKGLPDDHCWSIVKEKVVGGEEELKAIKERVIKRCNGLPLAASVIGGLLSLKRKEEWQSILENRLLSLSAGGDHVMQILKFSFDNLPSPYIKKCFAYCSIFPKDSEIERNVLIELWMAEGFLQADINSQMMMEEIGMNYLRILLQSSLFEEIRYDWKTCYMMHDLVHDLVESMSKSTKVINDWDAHVVDNGNHIRYLATDSFGGGEDREKLLESLSTSLHTLFVKRDLSGDMLMKLKNLYVLNLSSATSQELPVSIGKLIHLRYVNLEWYAISILPDSLCKLYNLQTLTLSNSKVEDLPKGMRDLISLRHLHYNTFDEAFQMPLEMGRLTCLQTLEFFNVGREKGRRIGELGSLKNLKGRLIIRNLELVKDKEGAEEAKLSEKANLLRLVLWWASVREGDDYNDGDVLDGLRPHPNLEELLIKNFLGDQFPRWLMDLPTATTLPKLARLNFLGCNRCKELLPLQNFTSLKELEIYECNGLTNLPGDMLRSCTSLQKLTVSWCGNLISFPLDLQQTPSLSELALLGCLKLKTSMTPKGFGFLTSLRKLKIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSALRELQLIGLPHMESLPHQIQYLTTLTSLVLNEFGGIKALPDWFGNFAALEELQLFGFKELGHLPSADAMRSLTKLKSLVVWRSTLLKERCTPESSGPDSQWSKVSHIQHLDIR >CDP19439 pep supercontig:AUK_PRJEB4211_v1:scaffold_485:50192:50388:1 gene:GSCOC_T00013506001 transcript:CDP19439 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFWCCASLTNWIGCFCNGIVAGILHLLLIHIPQAWGPWSWKIPLKKDKKLC >CDP19440 pep supercontig:AUK_PRJEB4211_v1:scaffold_485:81576:84659:-1 gene:GSCOC_T00013508001 transcript:CDP19440 gene_biotype:protein_coding transcript_biotype:protein_coding MADALIASTIKVALEKTLSLANERIGKLFQFKEDLETLSGSVAMIQAVLADAEEKQTHDQAVQLWLRRLEVVAFDAENLLDELNYEALHRQLVGKKLNKINKEANDFGLIRFQRATFPPSTTAKVTLNRETASIAGHYVVGRAKDETRLVEILLSLSENAVSVIPILGMGGLGKTTLAQSVYNNSQVDSHFEKKIWVCVSDNFEVTRLLKMILESLTRRNVEMTSRDVIVQEIRQQLVGKKYLLVLDDVWTESQILWDDFLRLLRGLNATNGNWCVVTTRKQQTASILATHDPYVLGKLSDDDCWSILTEKANAGGEIPEQLQVMKKEIIKKCGGLPLAASVMGGLLRMKRKEEWKLILMNKLSNLSGDEDSVMEILQLSFDNLPSPAIKKCFAYCSMFPKDAKMKRDMLIELWMAEDFLQVDLKNKTMEEIGEYYLEILLQSSLLEEIGKYGRRYYKMHDMVHDVSKSIMSKSTKVINSETASEDDSNQVRCLVIDSFGEGTKNLFQSRSNMLHTLFLSQGSLSDDMLMKLKNLHVLNLSLVKNQNLPISIGKQIHLRYINFEGSRSETLPESVCKLYNLQTLRLNGFVLKVLPKGMRDLISLRHLHYYNFDEKFQMPLEMGRLTCLQTLEFFNVGPEKGRRIGELGSLKNLKGKLEIRNLELVKDKEGAEEAKLYEKANLFSLRFEWARVREGNNYNDEDVLDGLRSHPNLEELVIRCFMGDQFPRWLMDLPTTTTLPESATTLPKLAHLEFNCCYRCRELLPLQNFTSLKELQIWGCNGLTNLPGDMLHSCTSLQELRVRWCKNLISFPLDLQQTPSLLELVLRGCPKLKTSMTPKGFGFLTSLRELSLPHQIQHLTTLTSLWLYNFGGIKALPDWFGNFAALEGLYLYDFKELRHLPSEDAMRSLTKLKRLHVYGCPLLKERCTPESSGPDSQWSKVSHIQDLDIR >CDP19438 pep supercontig:AUK_PRJEB4211_v1:scaffold_485:47104:50073:-1 gene:GSCOC_T00013505001 transcript:CDP19438 gene_biotype:protein_coding transcript_biotype:protein_coding MADALIASTIKVALEKTLSLANERIGKLFQFKEDLETLRGSVAMIQAVLADAEEKQTHDQAVQLWLQRLEVVAFDAENLLDELNYEVLRHQLVGKIWDINKKLNKINKEANDFGLIRRATFPSSTTAKVTLNRETDSIAGHYVVGRAKDETRLVETLLSLSEQPVSVIPILGMGGLGKTTLAQSIYNNRQVDSHFEKKIWVCVSDNFEVTRLLKMILESLTERNDGMTSRDVIVRKIREQLVGKKYLLVLDDVWTENLTLWEDFLHSLRGLNATNGNWCVVTTRKQQTTSILATHDPYVLGKLSDDDCWSILTEKANAGGEIPEKLQVMKKEIIKKCGGLPLAASAMGGLLRMKRKEEWKLILMNKLSNLSGDEDSVMEILKLSFDCLPSPAVKKCFAYCSMFPKDTVMKRDKLIELWMAEGFLQVDLKNKTTEEIGEYYLEILLQSSLLEETRKYGRRRCYKMHDMVHDVSKSIMSKSTKVINSETGSEDNSNQIRCLVIDSFGEGTKNLFESRSNLLHTLFLSQGSLSDDMLMKLKNLHVLNLSGAKNQNLPISIGKLIHLRYINFEDSTSETLPESVCKLYNLQTLRLRSFFLKVLPKEMCDLISLRHLHYDNAFFNVGREKGRQIGELGCLKNLKGKLKIRNLQLVKDKEGAEEAKLYEKANLFSLQFDWACVREGNNYNDEDVLDGLRPHPNLEELVIWDFMGDQFPRWLMDLPTTLPELVRLEFNHCNRCRELLPLQNFTSLKELVIYHCDGLTNLPGDMLHLCASLQKLWVSYCDNLISFPLDLQQTPSLLELGLYYCPKLKTSMTPKGFGFLTSLRQLVIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSSALCQLYLHGLPRMESLPHQIQYLTTLTSLLLYDFGGIKALPDWLGNFAALEELWLWTFKELRHLPSEDAMRSLTKLKRLQVYGLLC >CDP19437 pep supercontig:AUK_PRJEB4211_v1:scaffold_485:12536:13923:1 gene:GSCOC_T00013504001 transcript:CDP19437 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFYINAQEVYSIYPRGSSVEIMEWWKRLPRKVAAKVVSAGFGDFLSHLPVADRNRKLPVALAERWWDSTNSFHLPFGEMTLNPLDFTCITGVAVGGLPIPWDYNVSENANYINEQLGWVPAFASIGAIRVTDILSFYKDKTIDDNDDVQLAHLTRAFFLYMLGRTLFSNTAETIHLCCLPALEDVDRIGDYNWGGAGMATLYRFMSAVSRRRTKSLGGYSFVWEVWAYEILQLSPYKLKQDERDVLPTMWRWRSCNRASRQSPSTVKHFRRAIDTINPKNVNWLLFPAMALSSRYLKSKELTATRLLLDGPMGRFYYLGKRVIRQVYVQSNLPIGHQICIALIQFQETRYMICFMDCPLQAYTQIPLHMLCMTSLCAAG >CDP19436 pep supercontig:AUK_PRJEB4211_v1:scaffold_485:3857:5135:-1 gene:GSCOC_T00013503001 transcript:CDP19436 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLQEKSPLSHLCSFIATELTGHGQRKKRSSGTSILSLPTEVLSEVLARVASSSSTDLFWAKLCCKLLYEVSDADIVYQRVSLAKFEIVPWQKNHKVSSFLKKCRESKNPEALYRKGVVDFFTDKHGDSALECLEEAANSGHADAAYALGIIYIFVGGDELKRKGMRLLSGMKKSGILKGRVKLCRDNLRALLRMI >CDP21560 pep supercontig:AUK_PRJEB4211_v1:scaffold_4851:1143:4492:-1 gene:GSCOC_T00013745001 transcript:CDP21560 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLVLAAILVACVTMLIKVAYETISCYWLTPRRIKKIMDKQGVRGPKPRFLVGNIMDMASFVSKSTSQDMDSINHDIVGRLLPHYVAWSKIYGKRFIYWNGTEPRMCLSETDLIKELFFKHSTSSGKSWLQQQGSKHFIGRGLLMANGDDWYHQRHIVAPAFMGDKIKSYAGYMVECTKEMLQSLENAIDMGQTEVEIGEYMARLTADIISRTEFDSNYEKGKQIFRLLQLLQRHCSQASRHLCFPGSRFFPSKYNRDIKSLKMEVERLLMEIIQSRKDCVEIGRSNSYGNDLLGLLLNEMQTKKGSGFSLNLQLIMDECKTFFFAGHDTTALLLTWTVMLLASNPSWQDKVRAQVNEVCNGSPPTVDQLSKLTTLNMVINESLRLYPPASVLPRMAFEDIKLGDLHIPKGLSVWIPVLAIHHSEELWGEDANEFKPDRFASKSYAPGRHFLPFAAGPRNCVGQSFAVMEAKIILAMLVSKFSFTISENYRHAPVIVLTIKPKYGVQIRLKPLKP >CDP19442 pep supercontig:AUK_PRJEB4211_v1:scaffold_486:26754:27785:-1 gene:GSCOC_T00006089001 transcript:CDP19442 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNETAGRDLLSRMLLVTDEDGQFMSEMEISNNIIGLLVASYETTSTAVTFVLKHLAELPRIYNEVYREMMAIAKTKGPNDLLSWEDIEKMKYSWNVARESLRVTPPAQEAFRESVTDFTYAGFTIPKGWKTFWTVHTTHKNPKYFPDPEKFDPSRFEGSGPAPYTFVPFGGGPRMCPAKEYARLEVLAFMYNVVRSFKLEKLIPDEKTVIHSSPVPVKGLPVCLQPHGN >CDP21561 pep supercontig:AUK_PRJEB4211_v1:scaffold_4864:2636:3429:1 gene:GSCOC_T00006001001 transcript:CDP21561 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIIKKKTKQNLQYSYVTRNFDALHKILLGFFFLAVSSWRRKRFVEFEEGWNLIQEGITKVKNFLKTENPEKQFIGAEDYMKLYTAIVDMCTQNPPNCYANLLYAKYGESFEEYITSTVLPSLREKDGEF >CDP21562 pep supercontig:AUK_PRJEB4211_v1:scaffold_4866:1714:3618:-1 gene:GSCOC_T00001447001 transcript:CDP21562 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSTLASIFLLLSVAMNFSVSHVSAAKHFQKETDRLALLEFKKQIYDDPFGVLNSWNHSQHHCQFLSLSSNALSGKIPANLSYCSELIAISLHDNNLEGKIPIDQLSSLKKLETFYLYTNNLTGEIPSPIGNLSSLTALNLDFNHLEGSLPMEMGLLERLAKLGIAANKLSGIIPASIFNSSAITVISVADNSFHGNLPTNIGLTLPNLQLLYVGGNNFSGNFPTSITNASGLEVLDLSNNKFAGQIPTNLGDLTNLQFLNLQGNLFGGTIPQGFGNFVNLYILALEENFLSGVIPRDFGKLQKLQLLSLSQNEFSGRIVSTLCNATALYYLNLSFNQFEGGNIFDNVLMNCQNLQYLDISQNNFTGIISPHFLETHSSPIAMELSENSFRGPLPPEVGKLIHLVDFNVSHNQFSGDIPMSLADCSNLENLFMQANFFQGTIPPNLASWKSIQQLDLSRNNLTGPIPKELEKLQYLIGYNFIIYFIINFPYYLIQGELIAGFYSLLIFCIYFRE >CDP21563 pep supercontig:AUK_PRJEB4211_v1:scaffold_4871:2671:4086:1 gene:GSCOC_T00005937001 transcript:CDP21563 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFFQEIQNIEYYCGFHVYMNCKMHDIVHDFAQFLTKNECHALGGIGRNSSSERARHLIILECTEEEMFSSRVVDFGRLRSVLTFPEIGRVVLQNLFYRLKCVRTLALCNCELAEIPAEIGSLIHLRHLDLSFNPFVTLPEAICDLYYLETFDIRLRKLTSLCSLTWFILRSNYDDLAILKDLDQLERLDVDIEGEVDFGIAKLGKKIYMCEMSLFFSFGAHFIETPSCIESMEPPPNLEQLALIGCPGTQLPSWLVMKSHTNNLTKLIISKACNISSLLALWKLSSLDELRLMGVDKLECLGKEFFGSSSSSEAVAFLNLRKLRFSCFKNWTNWEDLSEDDEEIAVSVMPRLEKLEIQDCEKLEILPHHILRKISSLKNLEIICCDKLRDRYSDKTRDDWIKISHISLVHISDE >CDP21565 pep supercontig:AUK_PRJEB4211_v1:scaffold_4894:3:1203:-1 gene:GSCOC_T00008415001 transcript:CDP21565 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKGLTTRIDRKLSGLSNSFSKQRIFRVDHNLRSQNEVAYEPHMFSIGPYHHGKENLVKAQTYKLWYLKELLLRRGESSTERYINALKDIEDEARSWYAEEDMIGLGSDEFVEMMLLDGFFIIEFLRKYAGWCLYKDYPLNYGPKQGDPIFLNRRTMGSLFRDILLFENQLPFFILVRLFEMTKSPGGDEEENLVDLAIFPDSPLHFFFPGEKPVSLPNPTTNIAGNVGDVVHLLHLVHEYWCWSFAGKLAGGNRSPENGDPHGFRSTSGWDLEKSVSATQLPKITSSLHCFPFASKPAADHQSQENGDSQDNCSTSGEVNEHIKCASEL >CDP21566 pep supercontig:AUK_PRJEB4211_v1:scaffold_4896:179:370:1 gene:GSCOC_T00013584001 transcript:CDP21566 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAEKQRVEAKREKLAKKRSPMTKEETSAVKAAGKSWYKTMISDSDYTEFENFSKWLGVSQ >CDP19443 pep supercontig:AUK_PRJEB4211_v1:scaffold_491:7037:9103:1 gene:GSCOC_T00012506001 transcript:CDP19443 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLGKTTLANKVYHDPMIVCHFHIRAWCCISQVYGKKDVLFQILACIDPLCSDEYSRMDADDLAIALYHCLKEHRYLLVLDDVWDIEAWNALKVSFPNDANGSRILLTSQISKLALEVKPASKPLHLRQLTDDECLELLQKKLVERGGYPPALLLHGKHIAKGCKGLPLTVVITAGILANLEQDGWEEIAESLSSDTVCGTEHCKSILELRYKHLPHHLKPCLLYFGAFREDQEIPIWTLMRLWIAEGFVQKTEAKRIEDIAEDYIMDLIGRSLVMVDKQKSTGGIKTCRIHDLLHEFYNMDSSSDLCNLDTISALELDLGQSMDKIMQKFPNIRKLKCSLRETEESFGDWNKIVAMDFLRRLESLKLSFYRVKEKDYEFSFPMNLKKLTLEAFPWSMISSIGSLPNLEVLKLLGTKAGGEKIWNTEEGEFPKLKFLKLELLCIVRWTCSGDHLPCLQKLVLKSCWNLEELPSCLGEIPTLELIQVHRCPGHVGSLVQEIKEEQMNWGNVNLKILVLDQRIL >CDP19444 pep supercontig:AUK_PRJEB4211_v1:scaffold_491:23902:28466:1 gene:GSCOC_T00012508001 transcript:CDP19444 gene_biotype:protein_coding transcript_biotype:protein_coding MADALIASTIKVALEKTLSFANERIGKLFQFKEDLETLRGSVAMVQAVLADAEEKQTHDQAVQLWLRRLEVVAFDAENLLDELNYEVLRRQLVGKVRSFILSSDINIAFRRRMASKIRDINKKLNTINREANDFGLIRFQRASFYPSTTARVTLNRETDSIAGHSVVGRAKDETRLVEILLSLSKNAVSVIPILGMGGLGKTTLAQSVYNNSQVDSHFEKKIWVCVSDNFEVTRLLKMILESLTRRNVEITSRDVIVQEIRQQLVGKKYLLVLDDVWTESQIFQILWDDFLRLLRGLNATNGNWCVVTTRKQQTASIVATHDPYVLGKLSDDDCWSILTEKANAGGEIPEQMQVMKKEIIKKCGGLPLAASVMGGLLRMKRKEEWKLILMNKLSNLSGDEDAVMEVLKLSFDCLPSPSIKKCFAYCSIVPKDIEMKGDVLIELWMAEGFLEADVNSQMMMEEIGMNYLRILVQSSLFEETRNYRGTCYKMHDLVHDVAESMSKSTKVINNGDTHIVDNSNQIRYLAIDSFGGGEDREKLLDSLSTSLHTLFVGGDLSGDMLMKLKNLYVLNLFDATTQELPASIGKLIHLRYVNISYSPISILPESLCKLYNLQTLTLSWSHVKDLPKGMYNLISLRHLHYYSSDKKFQMPLQMGRLTCLQTLEFFNVGREKGRQIGELGCLKNLTGGLEIRNLQLVKDVLDGLRPHPNLEDLEIRNFMGDQFPRWLMELPTTKTLPKSATTLPKLARLKFDSCDKCKELLPLQNFTSLKTLVIENCDRLTNLPGDMLHSCISLQKLRVESCVCLISFPLDLQRMPSLLKLKLYKCPKLKTRMTPKGFGFLTSLRRLAIGPFSDDDHENSLIYNEFDWSGLISSSSSSSSSALRQLELFGLPHMKSLPHQLQYMTTLTSLRLLDFGGIKALPDWFGNFAALEDLCLVRFKELQHLPSEDAMRSLTKLKHLWVVRYQIQLYSQHLISLSSDLSHYQSAVSVTRSSESF >CDP21567 pep supercontig:AUK_PRJEB4211_v1:scaffold_4917:1270:2206:1 gene:GSCOC_T00002191001 transcript:CDP21567 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKETEFLCKLTANHLFLAQFEPLRATLRSLRVRNPELARSILQTIVPKGGRFDSVLRSHQNFANNFGKCRGF >CDP19445 pep supercontig:AUK_PRJEB4211_v1:scaffold_492:81710:85577:1 gene:GSCOC_T00004629001 transcript:CDP19445 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAALSANSRTITTPSSGRLFFNSYDKGQKKRQINFLKIRASDDSECNTEECAPDKEVGKVSVEWLAGEKTKVVGTFPPRTQGWTGYVEKDTAGQKNIYSVEPAVYVAESAISSGTAGSSADGSENTILVAGGLALISIAAASAILLQVGKSPPPIQTVEYSGPSLSYYINKFKPTEIMEAAAPLVTVMSTSLQSESSNIEVPQVRVLSEFLQDTSISSSNIS >CDP21568 pep supercontig:AUK_PRJEB4211_v1:scaffold_4927:348:902:1 gene:GSCOC_T00002176001 transcript:CDP21568 gene_biotype:protein_coding transcript_biotype:protein_coding MTEILRHPIVLKKLQTEIRGVVGRKEEISEADLEKMHYLKAVTKETLRLHPPIPLLVPREAREDVKIMGYDIAAGTMVIINAWAIGRDPAYWDEPENFKPERFLDSSVDFKGHDFQLIPFGAGRRGCPGIAFAVASNELVLANLVSKFDWQFPGAQGKELDIKECPGVAVRRKIPLLVIPSPLP >CDP19446 pep supercontig:AUK_PRJEB4211_v1:scaffold_493:70271:73651:-1 gene:GSCOC_T00000032001 transcript:CDP19446 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKFSSITTDSGLEIKTVHSNKLDYLYEVSIEPEVVNPTSIPTINPYSAYGKQSFSPNCVIKSLKRAHPKGVKEYIQASKVDQHPIPTTKREQFITLHIPNDFPMQWKQQGYTHIHFGAIRISLSFHGRKGLPVVARIALLDTRFKQYQHACIATTETTLNAGTVFVTLFPNFNMSLADPHLLEALKVQVQIIGAEQVSDAIAATLHYQMMVYRVQNYALDLAIPGGENALLIRVDEKNGASCPHVPRQISKQELIQLLPNDWITDYEDLHTQANEPLESSNSRITHTKEGRTSISIDHSHFKGLISKSYPPSIMCAQQVHRSQDIIKYFDKEGLPVSWFQDPISGHIYFDVCNVCEDCQIENILEKWNPKPFCKPEPLVPIHTPQIQACFMFNEADFPKLETFNKNGSRHTPKIQNISSTVLPSGETARAHPTEDVLNWQTENSLVQNTALVSIHKNISETKDKIEQIDTTVSTQQSQVSHMIEVFEKRLQELKYIMPSDPSTLADFILNKEKETKFIQDQLHVLKTTGQVPTYDVGPSTPLSKVSSMYGAVPLRNWPTPFYFGGVSTPSPSLYFPEPQPQATKPFDIAATLREYHRNKQIQKDAEIAKRMADKEQRKDEAERERKAKQATIEQPVYDNPLSSALDELHDDSVPYISTYTEFHDDSSKNTNSEENTSSESSDDELVSTNDSSEQSSEEETIPQIHMAEPEPEVVEPDDNEEEGETLFDRPQRATFPKSKGVPLFTIDNIPPEKWEARFQEFHAWMLAQNLTEESHFEILSIFTAHLAGILKDWWTSIGDADKMTFLTKQDFMENIHILHLTFLGNVREFQETKRKEFFQMRCLSYDRRDLNKHFKKMIKLFYSLGADINLKQPFISSLPKPLADGAEMYIHNKYGSILNLTIGQIKQAVFLSLDDLCHKRKVIREYLKGDVCLDQACKKPELIIKGKCQACTSSQKRK >CDP21569 pep supercontig:AUK_PRJEB4211_v1:scaffold_4932:768:2706:1 gene:GSCOC_T00006497001 transcript:CDP21569 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWLTWPIGLKGLNHGVNLFLKTIMLPTFDPRDTEAGSRILEDITSNAGHIQEQVLEKILTKNASSDYLKGFLNGHSDKGLFKNKVPVVDYEDIKIYIDRIALDGEPSRILTNESITELLKSSGTSGGTQKWIPKTAEEGERRAFFSCLCDTVLNRYLQGLSDGKALLFVLINPDIHTPGGLVLRTTSESEIKNRKDRYPQFILCEDTNQSLYSQLLCGLVQRDAIASVGTYSPRVC >CDP21570 pep supercontig:AUK_PRJEB4211_v1:scaffold_4932:2769:4729:1 gene:GSCOC_T00006498001 transcript:CDP21570 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWITDPNCKRAVSLILSKQMPDLADSIDLVCQEKSWEGIIKKIWPRTKYVLAIITGSMAQYIPALEFYTGGLPVVSPLYGSSEAFFGINMNPLCSPYDVSYTFIPNMAYYEFLPIDNHQDPNCTYRKDAHLKDHILDLNNVKIGQHYELLVTTFTGLYRYRMGDILLVTGFHNSTPHFKFVQRTNVVLSIHTDKTTEQDLQKAVAIAMQILEPLGFFLLDYSSYADTSSIPGHYVLFWELQLRSNDDIPELDQVKMEKCCSLVEQSLDQKYKLLRNQSISTIGPLEIRVVKQGTFNVLMDFYVSQGTSLNQYKTPKNIKSEKVIEILDSRVVGKFYSREVPNQDS >CDP21571 pep supercontig:AUK_PRJEB4211_v1:scaffold_4941:3:437:-1 gene:GSCOC_T00011722001 transcript:CDP21571 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEEKGRPVEKIKGGMVTMPFIFANEVCEKLAVVGVGSNMQSYLTKQLHMSLTKAANTTTNFSGTASLTPLIGAFIADSFAGRFWTITVASIFYQIVKSLSLP >CDP21572 pep supercontig:AUK_PRJEB4211_v1:scaffold_4946:2818:5411:1 gene:GSCOC_T00006627001 transcript:CDP21572 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSVKNKACWLLLLFVSEFLAGIALAAEGTQKQNLGTVIGIDLGTTYSCVGVYRNGNVEIIANDQGNRITPSWVAFTDTERLIGEAAKNQAALNPESTVFDVKRFIGRKFDDPEVQRDMKLLPYKVVNKDGKPYIDVKMKNGEMKLLSPEEVSAMVLQRMKQTAESYLGKEVKNAVVTVPAYFNDAQRQATKDAGTIAGLNVVRIINEPTAAAIAYALDGGVFEVLSTNGNTHLGGEDFDQRVMDYFVKLIKKKYNKDISNDKKALGKLRKECERAKRALSNQ >CDP21573 pep supercontig:AUK_PRJEB4211_v1:scaffold_4947:3:887:-1 gene:GSCOC_T00011240001 transcript:CDP21573 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKPENILITGAAGFVASHVANRLIRNYPSYKIVVLDKLDYCSNEKNLNPSRSSPNFKFVKGDIASADLVNHILLTESIDTLMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVAGNIKRFIHVSTDDVYGGTDGDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNKVYGPNQFPEKLIPKFILLAMKGQNLPIHGDGSNARSYLYCEDFFNNRPFNDQRYFLNHE >CDP21574 pep supercontig:AUK_PRJEB4211_v1:scaffold_4952:3029:3475:-1 gene:GSCOC_T00007326001 transcript:CDP21574 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLFQEVLNVGDVPKSIRCYIEKAREHLRFLITEAWKQMEEAQTLDSPFSSTFNGIAVNLARMGLCMYQHGDGHGHQNSEPRDRIFALLFEPLCCLA >CDP21575 pep supercontig:AUK_PRJEB4211_v1:scaffold_4954:4000:4937:-1 gene:GSCOC_T00013515001 transcript:CDP21575 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGENKVVLHGMWASPYVKRVELALKIKGIPFEYVEENLRNKSPQLLKYNPVHKKVPVLVHNGKPVCESLVILEYLDEVWSTGPQLLPKEPYQRANFRFWAAYIQQLLESIFKLFNADKEAHEKPLQEVHEKLRNLEDGVKEFYFPEGSPNHISAENLGILDVMLVSVLGPFRAKEEAFSVKILDPGKNPLLFSWIQALIELPLVKEVVPPHDKMVGVLQFLKQSGFKF >CDP21576 pep supercontig:AUK_PRJEB4211_v1:scaffold_4978:1237:4347:1 gene:GSCOC_T00010308001 transcript:CDP21576 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKQKRHRKAVRFYAACFSFREPFKVLCDGTFVHHLLLNKITPADIALANALGAPVKIFTTRCVLGELRSLGDSYAESLNAAQWRSIKLLITLFLESKKDIFSLELASSTKFYLFLPFHFP >CDP19455 pep supercontig:AUK_PRJEB4211_v1:scaffold_500:83161:86034:-1 gene:GSCOC_T00002173001 transcript:CDP19455 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTILSSVLNQLSILLLEEGQLLGGLRQEVELIRDELGHMRAFLRVAEIEEEDADPRLQEWTKQVREAAYDIEDVLDEFLACFARHPATGFHGSVRRIFNSIKTLRARHKVAEQIQSIKARVKNISEGHQRYRLEFGVATQVSGSPAAVNNTTWRHSRDEAILVEEAELVGINKPKQQLVSQLLEGDESQLKVVSVVGMGGLGKTTLVKKVHEDLDVRRHFPVRAFVTVSQTCNFQELLKDLIRQLHNELKKPVPESIEAMTAIQLKQCVKDFLQQAGRYAIVFDDVWDTKFWNAIRIALPKNGYGNRVMLTTRKADVAFASCTQSQDYVFKMVPLSFEDSWTLFCNKIFKGNGCPAHLTDVAKGILGKCEGLPLAILAISGLLALKDLNIAEEWKMVRCSLGGELEGSGMLDKVRKILSLSYNDLPCHLKTCLLYLSIYPEDFEIGCHRLVQLWTAERFVEKREEMTLEDVGYNYLKELVNRSLIQVSESFYEGIPYSCRIHDLVREVILSKSREQYMVAITTGQYTRWPSSKARRLVVHNSNHTQQHQESQYYCFDHLRSFIAIGSMNPLVSKNLLPKVLRSGRLLKVLDLRGEKTLEDIPNEIFHLCRLRYLNLYGTGIKAIPKSIGKLRNLEYLNLSDTQVRELPMEILKLQKLQHLRVFKLVDPLDHNYGFRGFMAPSKLGGLFALQSLFGIDASESIIVKEIGKLTELRELGISNLRREDGKELCSSLANLTSLRELTVSSIRKDDDDYEVMNLNHHHQQHSNSSSVSSSFLVSLRMLVLSGCLEKMPWWIAHLQSLVRIDLNWSSLSEEEDPLESLHHLPNLGAVQFCGSYQGEGLCFKAGGFLRLKTLYLKKIDKLRWMKMEEGALPSLQEITLVELPLMQALPWGIEHLSHLQKLRLYQLSSQLTRNLVDQNEESEDYTRIAHIPEIVIGFLSNKGWNEHRLWGKNI >CDP19454 pep supercontig:AUK_PRJEB4211_v1:scaffold_500:12938:15802:-1 gene:GSCOC_T00002169001 transcript:CDP19454 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLDQLSIFLREEGRLLGGLRHEVQLISDELGHMRAFLRVAETKEEDADPRLQEWIKQVREAAYDTEDVLDEFVARFAHHHATGFYGSVRKIFNSVKTLRARRKVAEQIQSIKARVKNISEGHQRYQSEFGGATQAAESLATVNNTTWRYSRDDALLVEEAELVGIDHPKQQLISQLLERDDSQLKVVSVVGMGGLGKTTLVKKVHEDLGIRRHFPVRAFVTVSQPCNFQELLKDLTRQLHNDLKKPVPESIETMTAIQLKQCVKDFLQQAGRYAIVFDDVWDVEFWNAIRFALPENGYGNRVMLTTRKADVASASCNKSQDYVYKMVPLSFEDSWTLFCNKIFKGNGCPAHLTDVAKGILGKCQGLPLAILAISGLLALKDLNIAEEWEIVRRSLVGELEGSGMLDRVKKILSLSYNDLPSHLKSCLLYLSIYPEDFEIPCGRLVQLWSAERFVGKREGMTVEDVGYNYLRELVNRSLIQVTQIFYEGIPYACRIHDLVREIVLSKAREQNMIAITTGQYTKWWSEKVRRLVVHSSSNNTEQHQESQCYSFNHLRSFITIESMNPLISRTLLSEVLKNSRLLKVLDLSDEETLEEIPNEIFNLYHLRHLNLCRTGVKVVPNFIGKLRNLENLDLRETQVKELPVEILKLQKLEHLVVYQKVDVSDTTYGYHGFKAPSKLGGLLALQSLTTIDASSGSVVVKEIGALTQLRRLGISNLRREDGKVLCSSLATLTSLRQLNIASIRNDGGDYEVMDLNHSLSSSMRSSFLQSLRMLVLRGRLEMMPQWIAHLQSLARIDLYWSGLRDEEDPLAPLHHLPNLVTIQFCGSYQGEGLCFKAGGFLKLKDLYLKKLEKLRWMEVEKGALPSLQELSLDTLPLLEELPLDIQHLSCLRKLGLYELGSQLMEKLENLNEETEDYRKIAHISEVVIELRTDEGWKRCRLWGKKM >CDP21577 pep supercontig:AUK_PRJEB4211_v1:scaffold_5000:766:2423:-1 gene:GSCOC_T00009641001 transcript:CDP21577 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNFRRPGLVAHAPPPGYFVRLENKSAEDDLYLRKKARMRRWLCCTCQVEESYPSNENEPFKSPKNHPDGMFL >CDP19456 pep supercontig:AUK_PRJEB4211_v1:scaffold_502:56450:70080:-1 gene:GSCOC_T00002321001 transcript:CDP19456 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSASRDEARSESEPQSSVLGRIEQLGEGDGESQQEERGEDENEVLILKTHELMDRITANAENPSPSILHALASILETQEAKYMEDVGHSSANNGRSSHNIGRLGNLVRENDEFFELLSAKFLSESRYSVSVQAAAARLLFSCSLTFVYPHVFEETVMENIKGWVMDETIRLSGDDHNWKDESGARKCSDSEMLKTYSTGLLAVCLAGGGQVVEDVLTSGLSAKLMRYLRLRVLGEAGTSQKDTTSQIESKSFPTTACMRGREDVRGRVRQALENSHFDVPRVLEDGSNSDIYDAETEGDEKWHARDLRDGRTKAGGRSSREEESDDSVRDELSRRRTNRGTSRLRGKGRASEGNLDNEQSLTSPGSAIRIGGLNRNIRDRSVPRNQDLKKNSDSKKSQGRTVTDGFTLGRDESDDCFQGCVIGSKNITDLVRKAVVAAESEARAVNAPAEAVKAAGDAAAELVKSAALEEYKKTNNEEAAVLAASTAASTVVDAANAVEVSRTTTAADGDSAPSKIKETETDEDVNEFFLLDSDSLAKLREKFCIQCLVILGEYVEVLGPVLHEKGVDVCLALLQRSYKHTEASKIALLLPDVLKLICALAAHRKFAALFVDRGGIQKLLVAPRVPQTYFGLSSCLFTIGSIQGIMERVCALPSNVVHQVVELALQLLECSQDQARKNAALFFAAAFVFRAVIDTFDAQEGLLKMINLLQDAASVRSGVPSGAINNAGSLRSDRPATEVLTSSEKQIAYHTCVALRQYVRAHLILLVDSIRPNKNMRGAARSIPSTRAVYKPLDISNEALDAVFRQIQKDRKLGPALVRARWPVVDKFLSASGHITMLELCQAPPVERYLHDLLQYALGVLHIVTLVPYSRKLIVNATLSNNRVGIAVILDAANGAGYVEPEIIQAALNVLVNLVCPPPSISNKPSAATQGHQSAPVQSLNGPETRDRNLERSILDRALSVASQNEPRDRSGESTLVDRGSTAIVGTSSGSNTSQAPVPTVASGLVGDRRISLGAGSGCAGLAAQLEQGYRLTREAVRANNGIKVLLQLLQPRIVTPPGALDCLRALACRVLLGLARDDTIAHILTKLQVGRKLSELIRDSGNQAPSSEQSRWQVELSQVAIELIGVVTNSGRANALAATDAATPTLRRIERAAIAAATPITYHSRELLLLIHEHLQASGLAETAAVLLKEAQLTPLPSLATPASLVHQASVQESSSILTQWPSARVHCGFMSDKLKLTYREEHLGLKTDSAVSCLKKRPTTLSSPHGLHSKAQVSAEDSPILSSAKITLTSKRSSTAVSAPGTPSVSAVKSSGDVDIQCKTPIVLPMKRKLTDLKESGLMSPGKRLNTGDYALRSPICITSGMLRKSSQLTDGTMFSPPSSSLKDHGRSLPNCGPAEGDETQFSGAQFRQMVPTTQYGLTNEPQPSSLERLTLDSLVVQYLKHQHRQCPAPITTLPPLSLLHPHMCPEPRRSLDAPSNMTARLSMREFRSMYGGIHGSRRDRQFVYSRFRPWRTCRDDAGALLTCVTFLGDSSQIAVGSHSGELKIFDTNSNCVLDSCPSHQYPLTLAQSYISGDTQLILSSSAHDVRLWDVSSVSAGPKHSFEGCKAARFSNSGTAFAALSTESSHREILLYDIQTSQLDLKLTDTSNNPSGRGHLYSLIHFSPSDTMLLWNGVLWDRRGSGPVHRFDQFSDYGGGGFHPAGNEVIINSEVWDLRNFRLLRSVPSLDQTVITFNASGDVIYAILRRNLEDVTSAFQTRRVKHPLFAAFRTVDAVNYSDIATIPVDRCVLDFATEPTDSFVGLVTMDDQDEMYSSARVYEIGRRKPTDDDSDPDDAESEDEDDDVDEDEDEILGPDIDEDGDSDADDMSNDDESVSELEDDEEEDGDFIMDDGDFEGAGGILEIVAEGDEEDDDSEVLESLSSGDEEDML >CDP21579 pep supercontig:AUK_PRJEB4211_v1:scaffold_5022:2823:5266:-1 gene:GSCOC_T00003049001 transcript:CDP21579 gene_biotype:protein_coding transcript_biotype:protein_coding FYGSVRRIFSSIKNLRARHRVASEIQGIKSRIKSISEAHQRYQSEYGISAQASNSLSAVNNTTWRYSRDDALLVEEAKLVGIDQPKNHLISELLEGDDHQLKVVSVVGMGGLGKTTLVKKVHEDPEVRRHFPVRAWVTVSETCDFQFLLKDLIRQLHEEGKKPDPRSIESMTTTELKKFVKDFLQQAGRYAIVFDDVWDVEFWNTIKFALPESGHGNRVMLTTRKADVASDCCIESRGYIYRMKPLSFEDSWTLFCNKIFMGGNCPGHLMDVAKGILGKCEGLPLAILAISGLLALKDVNRTEEWEMVRRSLGGELEGAGKLDRVKKILSLSYSDLPWHLKICLLYTSIYPEDYKIACETLVNLWIAERFVEWREGMSIADVAWGYLSELVSRSLIQVTNVFYEGMPGYCRIHDLLREVIVLKSREQNMVTATTGQPMMWPSEKVRRLVVHISSSNNTQHHQQMQNYCFDHLRSFVTIGSTNPSLSRKLLSKVSGSSKLLKVLDLRGQETQEEIPNEIFKMLHLKHLDLYGTGVERVPKAIGKLQHLEYLNLGKTGVRELPMEILKLQNLRFLKVYQQVDPSDDDYGFHGFKAPSNMGGLLALQTLSYIDASSGSIIIKEIGKLTELRELGITKLRREDGKELCSSLANLISLQRLSADSIGKGDDHDTIDLNHHHPSLSSCSRAVFQGWRVPEIEEVALKENGRVEMDESGGGCIASSPKTNSATTSITGGVTIGHSALEPSSRAVFV >CDP21578 pep supercontig:AUK_PRJEB4211_v1:scaffold_5022:2327:2733:1 gene:GSCOC_T00003048001 transcript:CDP21578 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLSPKSESYYLDILMKIFFKLTTSRIKTEKMTSQGRKIKKKIKNKRRGSSIIMFSSSSPPTGCGVSTSYHLYSNQ >CDP19458 pep supercontig:AUK_PRJEB4211_v1:scaffold_503:47707:48459:-1 gene:GSCOC_T00005786001 transcript:CDP19458 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRSTSIASGLKIETIHSNKLDYLYEVSIEPEVVNPTSIPVINPYSASEKQSFSPTRLIKSLIRAHPKGVKEYIQALKVDQHPILATRKEQFITLHIPNDFPIQWKQQGYTHIHFGAIRISLSFHGRKGLPVVARVALLDTRFRQYQHTCIATTETTLNAGTVFVTLFPNFNMSLADLHLLDALKVQLQIIGAEQVSDAIAATLHYQMVYRVQNHALNLTIPGGENALLIRVDEKNSASCTHVPRQV >CDP19457 pep supercontig:AUK_PRJEB4211_v1:scaffold_503:9511:15939:1 gene:GSCOC_T00005782001 transcript:CDP19457 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGIGYALLALGPSLSLFVAAISQKPFLILTVLSSTLLWLMSLIVLAGVWRAFLPFKTTPSSSAWLPYSILILSSVVFQEGLRVLFWRIYKKLEDILDAFADRVSKPRLFLTDKMQIALAGGMGHGVAHAVFFCLSLLTPAFGPATFYVEKCSQMPFFLVSSMIALAFVTIHTFSMVIAFNGYAEGNRVDQLIVPVVHLVAGMLTLVNLSSGGCIIGIPLLYCMALFTLLHCGKMVWKRLADSQNR >CDP21580 pep supercontig:AUK_PRJEB4211_v1:scaffold_5034:2880:5086:-1 gene:GSCOC_T00008842001 transcript:CDP21580 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVGGKLSWQSYTDETPSADDSDVLVMNGLWEQLNVTRDSSDYLWYLTDVNIASNEGFLKSGQDPLFTVMSAGHALHVFINGQLSGTVYGSLDNPKLTYSSNVKLRAGVNKISLLSVAVGLANVGVHFETWNTGVLGPITLKGLNEGTRDLTKQRWTYKVGLKGEAQSLHTVTGSASVEWAEGSLLANKQPLTWYKTTFDVPPGDDPIALDMGSMGKGEVWVNGQSIGRHWPANIANGNCGGCNYAGTFSEKKCQMHCGKPSQRWYHIPRSWLQPSGNLLVVFEEWGGDSTWLYLVKRTSPPPPIWFSDKTATSAYLL >CDP19459 pep supercontig:AUK_PRJEB4211_v1:scaffold_504:12749:14206:1 gene:GSCOC_T00008964001 transcript:CDP19459 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSQPVLDNFSTIKTCKFPTSSFYCGIPVIDLLNRDAKTRIIKACKEFGFFKLVNHGVPLEFITGLEAEATKFFNLSQMEKEKAGKANPFGYGNKRIGSNGDVGWVEYLLLNTNPDDIHQKAITIPGDAEKFWSLVNDYVSAVRNLACEVLEMIADGLKIEPRYALSRLVRDEKSDTFFRLNHYPPCPELQVLCDRNLIGFGEHTDPQIISVLRSNNTSGLQISLRDGTWVSVPPDQYSFFFNVGDSLQVMTNGRFSSVRHRVVADGVKSRVSMIYLGGPPLTEKISPLPSLMEQGEESLYKEFTWSEYKKSAYNTRLGDNRLAFFEKSAGQ >CDP19460 pep supercontig:AUK_PRJEB4211_v1:scaffold_506:287:1729:-1 gene:GSCOC_T00012997001 transcript:CDP19460 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLRLLWCVNDLFLIAADCGCHRSRERHLLGQQIDVNCWWSFCTSSCAQTPISVSVQILFSFLMLDLGRVFEPINTRDWLVEKLKDVKVADALKHPNWNMGKKITVDSATLFN >CDP19465 pep supercontig:AUK_PRJEB4211_v1:scaffold_506:69566:70551:1 gene:GSCOC_T00013003001 transcript:CDP19465 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNLPEEIGKVRLLRYLGLRRTSIGRLPHSFGQLRNLQTLDVRNFHRVRVSNFIWMLESLRHLYAYKVECDVPLKIEGLRNLQTLSRIRFDDIMLNNMITLTSLQKLGIWVDDKSDIVKLCMHLSEVGSLKALRLYFDGRIEWPSLGGLSKLQHVTALKLFGLGLRSLPPDFPPNLSRLSLSFTRLEDNPMPALEKLGQLSFLKMEFSYWDRGWGAQLVISRHGFHQLKFLELNFQDGLKEIQVEKGALQQLQCLRIRKCLSLEKLPEELKHISTLELVDMPEDLISRLDADMISSIPNLTIFSL >CDP19462 pep supercontig:AUK_PRJEB4211_v1:scaffold_506:14635:17289:1 gene:GSCOC_T00012999001 transcript:CDP19462 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISLVIERTSDLLIQKIVFLKGVRRQVERLQNDLVRMRCFLKDADQRQVEDARIRNWVSEIRAAAYDAEDIIEIFACKVESFTKDKGLVTKLTYYPLKIVNLYKIGKEIESLRMRLKEIADSREEYGIKNLGEGMTTHGEELQRIRRSSPLSEDKDIDRNRHVVSIIGMGGAGKTTLAKKVYNHADVRARFNCRAWVCVSSSYDHKKMLRAIIKQLNEMSKEQLEMLEMMEEEELERRLYQDLQDKCYLVVLDDVWKEEAWDCLARRAFPDVNTSSRVLLTSRNRDVAVHADALSPPHELKTLREEDSWQLFLKKALGHGANGVCPPDLEVVGKKIAGRCAGLPLAITVIGGLLLGKKKLKSEWEKVLNNFSAYLSRSQSEAGAISEAGAILELSYADLPANLKFCFLYLGLFPEDFVISVRKLIHMWVAEGIIQKRDAKNLEETAAYDDVERLCSRNMVQVAEMTVDERIKSCRVHDLLRELAIRKAEDENFFQIHDTRHDEISAKSSLQKLGIWVDDWSDIDILCMHLSEVESLKTLHLYHAAGSGWPSLAGLSKLHHVIELELFGPGLRTLPPDFPPNLSCLSLKHTRLRDDPMPTLEKLGQLSFLKMKYEAYWGPQLVISRHGFHQLKLLELSALRRLDEIEMEKGALPQLQCLRITDCPKLEKLPEELKHISTLDMLELEDMPEDFISRLDADYRGANLRIF >CDP19466 pep supercontig:AUK_PRJEB4211_v1:scaffold_506:72366:72866:-1 gene:GSCOC_T00013004001 transcript:CDP19466 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLLPKPIHATASTVTTSPQIRLINPRFTRGSWSFMTGSDSIFIHFFPSLLDLEVYFLSLWEYHGGTNLGRIAGGQFIATSYDYDAPLHEYGM >CDP19461 pep supercontig:AUK_PRJEB4211_v1:scaffold_506:3074:4674:-1 gene:GSCOC_T00012998001 transcript:CDP19461 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQLSDGTVIAVKQLSSKSKQGNLEFVTEIGMISALQHPNLIKLYGCCVEGNHLMLIYEFMANNCVSRALFNKLSSIQRTSASKFERDAHNFELVDPDLGSSKKTTLMLNVALLYTNASPTLRLTMSQVVSMLEGQTNVQDILSEPGFSTAPSKFKNIRNHFWQNPSPSQTQSISSSGPHSYSSVSNADIEENRTFQNILSKK >CDP19463 pep supercontig:AUK_PRJEB4211_v1:scaffold_506:44617:47032:-1 gene:GSCOC_T00013000001 transcript:CDP19463 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSLLQPTVAAIEAGKDICLANKETLIAGGPFVLPPAHKHQVKILPADSEHSAIFQVCTYVFCCCIQGLPEGALRRIILTASGGAFRDWPVEKLKDVKVADAVKHPNWNMGKKITVDSATLFNEVIFWTFFSLIEAHYLYGATYDNIEIVIHPQSIIHSMVETQDSSILAQLGWPDMLLPILYTMSWPDRIYCSEITWPRLDLCKLGSLTFKAPDNVKYPSMELAYAAGRAGGTMTGVLSAANEKAVEMFINDQIGYLDIFKVVELTCNKHQAESVSSPSLEEIVHYDLWARDYAETLQFSAGLKPALV >CDP19464 pep supercontig:AUK_PRJEB4211_v1:scaffold_506:66774:69403:1 gene:GSCOC_T00013002001 transcript:CDP19464 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISFVIERTGDLLIQKLVFLKGVRRQVERLQYDLVRMRCFLKDGDQRQDEDARIRNWVSEIRAAAYDAEDIIEIFASKVEFIKDKGLVTQLTHYPLKIVNVYKIGKEIESLQMRINDIADSREKYGIKNLGEGTSTQGEELQRLRRSSPISEDTDIVGFEKITKSLVKELLKGDKNRRVVSIIGMGGAGKTTLAKKVYNHADVRARFNCRVWVCVSSIYNHKETLRTIIKQLNPITNELLDMLEKMQEQDLEERLYKDLKDKCYLVVLDDVWKEEAWDCLARRAFPDVNTSSRVLLTSRNQDVAVHADALSKPHELKTLGQEDSWQLFLKKAFGHGANAGCPTDLEVIGRKIAGRCAGLPLAIMVIGGLLLGKKRLESEWEKVLDNFSAYLSRSQSDAGAILELSYADLPANPKFCFLYLGLFPEDSVISVRKLIHMWVAEGIMQKRDAKNLEETAAYEVVERLCSRNMVQVVEMTVDEGLKAVESMIYCESLQSER >CDP19468 pep supercontig:AUK_PRJEB4211_v1:scaffold_507:87699:89412:1 gene:GSCOC_T00013628001 transcript:CDP19468 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVISATIRVALETAASLATDRIGMLCGFKKDVASMTRTLSFINALLADAEERQQNQDRGVQEWLKSLEEVAYDAGNVLDELNYESLRLPITGMGGLGKTTLAKSIYNNHQIDGHFQKKIWVCVSKKVPIVELFKLILVHLTGEKVEVDVRDVIVGKIRNHLEGKRYFLVLDDVWDDDQALWDDFFTTLKGLHPTNGSWCLVTTRLRMMENEAYPLGKLPDDLCWSILKEKVVGGEEEPDELKAIKERVIKRCDGLPLAASVIGGLLSLKRKEEWQPILENRLLSLSAGGDHVMQILKLSFDNLPSPYIKKCFAYCSIFPKDSEIERNMLIELWMAEGFLQADLNSQMIMEEIGMNYLRILLQSSLLEETRNYQGTCYKMHDLVHDLAESMSKSTKVIIDRDTSILDNGNQIRYLATVSFAVDLSGDMLMKLKNLYVLNLSPTRTRELPVSIGKLIHLRYVNLERSSISILPDSLCKLYNLQTLTLSESSVKHLPKGMCNLISLRHLHYYIDD >CDP19467 pep supercontig:AUK_PRJEB4211_v1:scaffold_507:2:2530:1 gene:GSCOC_T00013623001 transcript:CDP19467 gene_biotype:protein_coding transcript_biotype:protein_coding DEDSVMQILQLSFDNLPSPAIKKCFAYCSIFPKDTEMEGDMLIELWMAEGFLQVDLKNKKMEEIGEYYLEILLQSSLLEEIRKYRRRCYKMHDMVHDVSKSIMAKSTKVINSETGTKNLFKSQSNLLHTLFLSQGSLSDDMLMKLKNLHVLNLSSVENQNLPISIGKLIHLRYINFERSRSQTLPESVCKLYNLETLSLNSIYVKDLPKKMCDLISLRHLHYYIHDDKEFQMPLQMGRLTCLQTLEFFNVGREKGRQIGELGSLKNLKGKLEIRNLELVKGKEGAEEAKLSEKANLFRLELQWAYNREGDNYNDEDVLDGLRPHPNLEELVIGNFMSDQFPRWLMNLPTTTTLPESATTLSKLACLEFNHCHRCRELLPLQNFTSLKELEIWDCDGLTNLPGDMLHSCISLQKLWVTFCSNLISFPLDLQQTPSLSELVVFWCPKLKTSMTPKGFGFLTSLRLLVIGHFSDDGDDHENSSIYNEFDWSGLISSSSSSSSALRELYLYGLPHMESLPPQIQYLTTLTSLTLRDFEGIKALPDWFGNCAALENLRLLNFKELRHLPSEDAMRSLTKLKRLLIDGSPLLKERCTFKT >CDP21581 pep supercontig:AUK_PRJEB4211_v1:scaffold_5072:4897:5130:1 gene:GSCOC_T00008664001 transcript:CDP21581 gene_biotype:protein_coding transcript_biotype:protein_coding MAPICYTCSTSVLQSDTIIESIHCKCFMIQAGGYVVGYENLTFVTVRGAGHLVPRYQPARGLAIFSSFLEGKLPPSS >CDP19469 pep supercontig:AUK_PRJEB4211_v1:scaffold_508:8332:16315:1 gene:GSCOC_T00006382001 transcript:CDP19469 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSTERFRFLLNNDDCRRDNGLRDPYVNCIQPTKSPPLPGCLSQNEDCQESPPEKLSPIAGGIVALGKFDALHIGHRELAIQASKVGIPFLLSFVGMAEVLGWEPRAPIVAKCDRKRVLSSWAPNCGNLTPREFHIEFSKVRYLTPRQFVEKLSLELGVRGVVAGENYRFGYKAAGDASDLVRLCEEYGLGAYIISSVMDKKQDSKEINASDSMERGQVSSTRVRHALAKGDMNYASELLGRHHRLMLMMEENDGVMIDTTHIHLELDVLATCIPLTSQDFRLLGIDFAGSGTF >CDP19470 pep supercontig:AUK_PRJEB4211_v1:scaffold_508:21900:26766:1 gene:GSCOC_T00006383001 transcript:CDP19470 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSGNLQRPIIAASAVCIASISSDFREKFWPNKSSETCSSSEQSSSSSSLLSVSIDAQKSSWVSKVSVSKLANLAFATRIRVPVPDIYSRIPVSSSCSFPGWLYSSVASSPALINSYQSAELAKAAKPASYVYDVNSSPSEVLYRWHLPEPKAVDVSGSSDCSSVKSRTVVVLLGWLGAKQKHLNRYAEWYTSRGFHAITFTFPMSEILSYQVGGKAEQDIELLVNHLADWLEEDGKNLVFHTFSNTGWLTYGVVLEKLQRSDPNLMGRIKGCIVDSAPVAAPDPQVWASGFSAAFLKKNSVATKGATSEQSANMSKTNKTIVGETKPELTETALLVVLKKFFEVVLNLPTVNRRLSDVLSLLTSQQPSCPQLYIYSSADRVIPAGSVESFIDGQRKNGRKVRACNFISTPHVDHFRNDPKLYSSQLTQFLDDCVLTCCRNS >CDP21582 pep supercontig:AUK_PRJEB4211_v1:scaffold_5086:336:1778:-1 gene:GSCOC_T00010868001 transcript:CDP21582 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCYSQEGKQNRQLIKKMDLSRNGDANELLQAQAHIWNRIFNFINSMSLKCAIQLGIPDIIHKHCKPMTLDELTNALPIGNAKAPFVYRLMRILIHSGFFIEAKISQHDEEEGYMLTSSSKLLLKDEPLSLTAFLLSMLDPTLMDPWYHLSQWFQNYSDVNPFKTCHGKVAWELAGQDQKLNNFFNEGMASDSRLVGSILIRDCKDVFSGLNSLVDVGANNKNLAFVGGDMFVAIPPADAVIMKWILHDWNDEECIQILKKCKEVIPSKQNGGRAIIIDMVLNDQQKGADDDEAIETQLFFNMLMMVLVTGKQRNEKERAKLFSEVGFNDYKITSVLGLRSLIKVYY >CDP21583 pep supercontig:AUK_PRJEB4211_v1:scaffold_5086:2236:3679:-1 gene:GSCOC_T00010869001 transcript:CDP21583 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARNLGDHGGEFFQAQAHIWNHIFNFINSMSLKCAIQLGIPDIIHKHGQPMTLDQLIDALPIKNAKAPFIYRLMRILIHSGFFNSDESPFYTCHGRPLWELAGHEPRLNQFFNEAMASDARLVSSLVIKDYKHVFEGLNSLVDVGGGTGTFAEAIADAFPRLKCTVLDLPHVVNGLESKNLAYVGGDMFEAIPPADTVLLKWILHDWSDEECVQILRKCKEAIPSKEKGGKVIIIDILLKSQQKGDDDHEAIETQLLFDMLMMVLLKGRERNEKDWAKLFFGAGFNDYKITAALGLRSIIEVYYY >CDP19472 pep supercontig:AUK_PRJEB4211_v1:scaffold_509:83080:86306:1 gene:GSCOC_T00005176001 transcript:CDP19472 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLQFWSAFLCYCLYFLNSVAVIKPTTASSLFSSSNETDRQALLAIKFQIESDPFQFLTSWNNSSHFCSWHGVRCNTMDQRVTALNLSSLEIKGAFASDNVLEGRIPEELGKLSNLEFLKLSSNKLSGEVPLQLFKISSIHYLNLASNHLNGSFPSDFGLNHSKLHTFVVAENQFFGPLPVSITNASGLNPLGTSDSTGLNFLTSLTNCTILRILHLYANNHGGALPNSVANLSTKLTSLRLDRNYISGEIPDNLENLVNLGNLAMSQNMLTGRIPKSIGKLTKLEGLYLSGNKFIGNIPGSIGNITQLSILEMRGNKLQGLDLSHNRLTGPIPKDIFGLSSLTYILNLAHNVLSGPLPSEVGNLTNLGSLDVSNNRLSGELPDSIGFCLFLEFLSLRGNILDGQIPESLHSLISIQLLDLSRNNFSGEVPNEGLFLNFTAFSVIGNEKLCGGIELLQLPKCQTEISTAERKISFFAVLIAVSVGLPTFLLLAYILNKCKKGLSSVNCLYLPYSPLGDQFPKISYTELFQATDGFSEGNLIAMGRHSSVYKGSLHYSEQTVAVKVINLQHRGSRRSFMAECEALRNIRHRNLVKIITLCSSTDFRGNEFKALRLNIAVDVALALEYLHHCCEIPLIHRDIKPSNILLDEQLCARLGDFGSARSLLLAVDIRSRTIGLVGTVGYVALECGMGEPASTLADVYSYGILLLEIFTGMRPTNSLFKDDFCLHNYVKMALPNQVMRIADPRLLSECESEIVKCLASVFHVGVSCSARMPRERMGIADALMNLQAARNLILKRE >CDP19471 pep supercontig:AUK_PRJEB4211_v1:scaffold_509:30833:33619:1 gene:GSCOC_T00005173001 transcript:CDP19471 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLIKQLSTLLSQESTLLGGFRPDVQYIKDELGSMKAFLRQAEAKEDNDSQLQEWVKQVREVAYDTEDVLDDFAFFFARGHADGFVGHVEKIYNSTKNLKARHRICLEIKDIKARVVEISARHQRYQSLYVVSVVGMGGLGKTTVVKKVYDDAIVKKQFQSHAWITVSQNFHFNVIIKDLIQQLDFLKERRYILVLDDVWSLDAWEAIKYSNGCPLNLEEDRIDEWEMILHGFSGEVDGSGKLERIRRILLLSYNDLPHHLKSCLLYLSIYPEDYPIRNFLKVLNLAGAEMDNIPKEVFKLFHLTFLDLSGTRVKVIPKSIGQLQNLEVLILAGTTITELPVEILKLRKLCVLIIGRVEEETLDLQHSISPKLGFLARLWLNGRLERVPEWIISLQSLSTLVLLNSELSEDENAIGCLGHLPNLVELTLHGAYEGETLCFKAGRFQKLQRLELMQLKRLKWVRVEEESMSSLQQFFIIGCKLMEGLPLGLQNLTGLKLLGLLDMSDELINKVQNLDKQSDDYQTISRFPEVCTGHWINDEWKTEIL >CDP21584 pep supercontig:AUK_PRJEB4211_v1:scaffold_5097:3176:4492:1 gene:GSCOC_T00003530001 transcript:CDP21584 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIKVALQAVVSLAADHVNLAREFPTERQKLHDSAAMIRGFLASADEEMHSPGVQIWLKQLEEEVFKADNVLDELNYENLRRKVKYQNQLTKKKVFFCFSFFNEIDFRWRLGSMIREINTNLERIHRDAERLGLPSKLQVEEAFPNIAAGATTSRQTDSKIVRSDVLGRDEDESKIVKKLLTESESDSISVISVTGMGGLGKTTLAKAVFNTPQFDNHFDKKIWVCVAKEVEIMELFKMILESSTGKKAEVDNRQVIVDGIETELREKRYLLVLDDLWNDQEGLLDDFFTTLKALKPKKGSWCLVTTRLQEAAVILSRHPQINFTRHELGKLCDNDCWSIMKKWANVGEELPKELEDMREQVLRRCDGLPLAAKLIGGLLSKKRKEEWLSILEESFLNGDQGGIEQIVKVSFDHLSPAPVKKCFAYCSIFY >CDP19475 pep supercontig:AUK_PRJEB4211_v1:scaffold_510:81640:84889:1 gene:GSCOC_T00010581001 transcript:CDP19475 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKCKMPIAHEVMVGLDDEAAKVIERLIWGPEQVEIVPIVGMAGLGKTTLAKKVYNDSSVICNFHTRLWCTVSQEFNMKSLLIQILCPDAKHSRVDDEFQNLDEHVLLEMLHKKLMKNRYLVVFDDVWDIGAWHELGIAFPNDKNGSRIIFTSRSSNVASQVQYGGEPHYLRPLSEKESFELLQKKVFGKEDCPQALHGLGMEIAKKCRGLPLALVVVAGVLATIEHDICVWEEFAESLTSTMVSGTDQCKKSLELSYEHLPYHLKACLLYFAAFREDEKIGAKNLMRLWIAEGFVEKVEGKRSEDTAEEYLMDLIGRNLVMASESRSIGGVKTCYIHDLIFEFCKTEAKAKNFLQVLRGYDELSTFNVPPYLHRLSICSSGEDFIKSKLFCPHLGTLLFFDATPGDEFELRNISFLFCIYKHLEVLNLEDINLRLKELPTEVESLLCLRYLALKGRTMEFIPPSIAKLSHLETFILNSCMTVSLPDSIWNMKNLRHVYVRGDVAIGLSSNDNNVVENLSNLDTLSTLCIYFDQGGENILRRIPNVRRLKIFCLALNALNRACRNMSQLECLESLTLGSFNFSGSREHVELSFPMNLKKLCLSYLGLPCRKMSLIEQLPNLEVLKLRVQSMEGQKWELMEGGFPKLRVLTLSELDFVEWTETDPHSDDYFPCLQQLKLLKNSNLEMVPACLGRISTLETIKVRFCRDGVDSLVRKIEEAQKNYGNENLKVIIIG >CDP19474 pep supercontig:AUK_PRJEB4211_v1:scaffold_510:27718:46590:1 gene:GSCOC_T00010577001 transcript:CDP19474 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSTALIRFDNNEAGKEEGKELETENEPVELLNLGDHEPLQGRKGSEIEIAGAEEEEDGGNTPLRYVPLCDVYSATSPCVSSASGGSKKVKPPRKSMMRGGDGSDNSRVNVAGDGKGKPPITKVYTRRNKGKRKEREDGWQNSRSRGVNLGNSKEKGEENEGIEVENGELIAKKGKRRKVGGYELANLGLDSIALSTLDRLQLRESRHGNDVNSGNRSRNPSGEMGDEIRDFGALRTKRWVWLSFDGTDPYKFVGLLCKVFWPLDADWYKGRVVAYDLEMGRHSVEYEDGDEEKLILSNERIKFHISPEEMQRLKLRASDKCLEGDAIDVNEMVVLAASLDDCEELEPADIIWAKLTGHAMWPALVLDGSLFGEHKGLNRNSGEKSVLVQFFGTHDFARVKRKQVMSFLRGLLSSFHLKCKKPNFVRSLEEAKMYLSAQKLPKRMVRLRNGFEANAYNVESGEDEGSDDSGKEGKADEDIQRRIEAVKSFPFEVGDLQIITLGKVVRDWENIQDERYIWPEGYTALRRFPSITEPNVHTTYKMEVLRDDGLRNRPLFRVTSENGEQFSGTTPSACWNKIYRRMRKIQSEGLQPSVSEKFCESGADMFGFSHPEISKLIQELSTSSSRMPSKASKIARARYQDFPVGYRPVDVKWKDLDKCNVCHMDEEYENNLFLQCDKCRIMVHARCYGELEPLDGVLWLCNLCRPGAPEQPPPCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLSDITKMEPIDGLSRINKDRWKLLCSICGVSYGACIQCSNHNCRVAYHPLCARAAGFCLELEDEDRLNLAPPDEEDDDQCIRLLSFCKRHSPLSSERLATEDRTAQKPFKFSDYTPPLNTSGCARTEPYNYFGRRGRKEPEALAAASLKRLYVENRPHLVGGFSQHTSFGNDVSSSSAAGSRFSLDLLNLKSSQLDASGSILSMAEKYTYMRETFRKRLVFGKSRIHGFGIFAKQPYRAGDMVIEYIGELVRPSIADRREHLIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVNGDEHIIIFAKRDIKQWEELTYDYRFFAKDEQLACYCGFPRCRGVVNDTEAEERASKLYAPRGELVDWKGE >CDP19473 pep supercontig:AUK_PRJEB4211_v1:scaffold_510:10440:12416:1 gene:GSCOC_T00010575001 transcript:CDP19473 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVREFKIKCLNGQNSQLLLQQFFEVKLGRFSVIKEMVTKDYQNFKFQQPYQQAMYYCSLILHDQALPWTEQLEVLPHLQVDNLLKFYPQMLSRTFLECYIAGNIEPKEAESIIQHIEDVFYKGPQPLSLALFASQHLSTRVVKLVRGLNYSYNAEGLNPSDENSALLHYIQVHVLKSLGNSNEYHNAL >CDP19479 pep supercontig:AUK_PRJEB4211_v1:scaffold_511:54762:55595:-1 gene:GSCOC_T00003818001 transcript:CDP19479 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCCILFSRCSCSLSFFCHFFSSFLFLFSFCPHQCCSVTNRRALSIWILCRPFAFPPPSSRAFSFFLSGELRSSLGAVSVVGCWFRILCRSPEFSSSSFAFLVHCSFVLCFALCSGTLSFSCILALCWVLCACWLKTASKGLFYVFVPLVLICYAQPGRIMAIMGPSGSGKSTLLDSLAGPSLSFSLSLSVQSLCA >CDP19480 pep supercontig:AUK_PRJEB4211_v1:scaffold_511:67780:71178:1 gene:GSCOC_T00003819001 transcript:CDP19480 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFMSSTIQVTLERALSLASDRIGLLVGFKKDVASMTLSLGFIKDVLADAEERQNQSSGVQRWLKCLDEVAYDAQNVLDELHYVSLRHQVESRNRHKLKVCCFFSFCNINLAFRWRMASKVQDINLELNKINQEANRLGLVSRLVMTAAPPATAGDRGSRQADSVVAPMLGRTDDESKIVKMLLSPSEKVVSGLPIIGMGGLGKTTLAKSTYNNQQIDAHFNKKIWVCVSKKVPIVELFKLILLQVTEEKVEVEDRNVIVGKIRNHLGEKRYFLVLDDVWDDDQELWDDFFTTLNGLNPTTGNWCLVTTRLGPVAHSVSRVLMMENEGYSLGRLPDDHCWSILKEKAVGGQEEPDVLKAIKERVIKRCDGLPLAASVIGGLLRLKRKEEWRSILENRLLSAGGDGDRVMQILQLSFDNLPSPAIKKCFAYCSIFPKDTEMEGDMLIELWMAEGFLQSSLLEEIRYGGRRRFYKMHDMVHDVSKSIMSKSTKFINSETGSGDNSNQVRYLVIDSFGEGTINLFESRSNLLHTLFLSQGSLSDDMLMKLKNLHVLNLSGAKNQNLPISIGKLIHLRYINFEDSTSETLPESVCKLYNLQTLWLNRFALKVLPKGMCDLISLRHLHFYIYDDEEFQMPLEMGRLTCLQTLEFFSVGREKGRRIGELGSLKNLKGSLEIRNLELVKGKEGAEEAKLSEKANLFRLELRWAREREGNDYNDEDVLDGLRPHPNLEQLLILYFMGDQFPRWLMDLPTTTTLSKLASLAFRCCNRCRELLSLQNFTSLKELVITNCGGLTNLPRDMLHSCTSLQKLTVSWCGNLVSFPLDLQQTPSLLEPWFENIKYFKICVSPRPRPICRDRCGTFRAATVTATTTLNHGFGFLTSLRELGIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSSALRKLRLDGLLHMESLPPQIQHLTTLTSLSFDIYPLRMP >CDP19477 pep supercontig:AUK_PRJEB4211_v1:scaffold_511:17715:26415:-1 gene:GSCOC_T00003814001 transcript:CDP19477 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKTLLGLFAAIIVAIVVSKLRGKKFKLPPGPIPVPIFGNWLQVGDDLNHRNLTDYAKKFGEIFLLRMGQRNLVVVSSPELAKDVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRHGWEAEVARVVEDVKKNPESSTNGIVLRRRLQLMMYNNMYRIMFDYRFESEDDPLFNKLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICKEVKERRLQLFKDHFVDERKKLASTTSMDSNSLKCAIDHILEAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPQVQRKLRQEIDTVLGPGVQVTEPDTHKLPYLQAVVKETLRLRMAVPLLVPHMNLNEAKLGGYDIPAESKILVNAWWLANNPENWRKPEEFRPERFLEEESKVDANGNDFRYLPFGVGRRSCPGIILALPILAITLGSLVQNFELLPPPGQSKIDTAEKGGQFSLHILKHSTIVLKPRSL >CDP19478 pep supercontig:AUK_PRJEB4211_v1:scaffold_511:52569:54664:-1 gene:GSCOC_T00003817001 transcript:CDP19478 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRCKNSVVPKAWLRDGRLSGNLVMTGNILLNGRKRRLDYGGVVSSSGKLQNKFFVLPSTCHPTKSKILIENLIDKIFFKIFDNFLFLFLLSWKNKS >CDP19476 pep supercontig:AUK_PRJEB4211_v1:scaffold_511:6276:8928:1 gene:GSCOC_T00003812001 transcript:CDP19476 gene_biotype:protein_coding transcript_biotype:protein_coding MHDMVHDVAKSIMSKSTRFINSETGSGDYSNQVRCLVIDSFGEGTINLLDSRSNLLHTLFLSQGSLSDDMLMKLKNLHVLNLSGEENQNLPISIGKLRHLRYINFEDSTSETLPESVCKLYNLQTLCLSYFLKVLPNGMCDLISLRHLHYYNDDKEFQMPLEMGRLTCLQTLRFFNVGREKGRQIGELGSLKNLKGRLEIRNLELVKGKEGVEEAKLSEKENLFGLELKWAREREGDNYNDEDVLDGLRPHPNLEELVIQHFMGDQFPRWLMDLPTTLPKSAITLPKLARLKFYSCDRCKELLPLQNFTSLKKLVIDECDGLTNLPGDMLYSCTSLQKLRVAYCDNLISFPLNLQQTPSLLELELYRCPKLKTCMMPKGFGFLTSLRELSIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSSALRLLDLYGLPHMESLPHQIQHLTTLTSLLLRDFGGVKALPDWFGNFAALEYLCLLNFKELRHLPSEDAMRSLTKLKRLEVYGSPLLKERCTPESSGPDSQWSKVSHIQHLRIRG >CDP21585 pep supercontig:AUK_PRJEB4211_v1:scaffold_5117:2241:4073:1 gene:GSCOC_T00010957001 transcript:CDP21585 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKFCTPRKVNLVFVLRDKNKCPLHKLEEQLKAGMYKIWEEMKKPEAQMNASLEDFFNIKVEALSNFEDKPEQFENEVAGLREQIISISTSGEGAAGSTPASGFRDYAKKIWDQIKENKDLDSPHYRVKPLIN >CDP19482 pep supercontig:AUK_PRJEB4211_v1:scaffold_512:56733:57146:1 gene:GSCOC_T00001153001 transcript:CDP19482 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSLLEESVAKTHKYGWWQWVPLESRSISTDATYSCDGNLLYASFRDGNIFLFTAAALELRCQISPSAYLPSNRSLHPLVIAAHPSEPGQFAVGLTDGGVYIVKPPVRTP >CDP19483 pep supercontig:AUK_PRJEB4211_v1:scaffold_512:61469:62287:-1 gene:GSCOC_T00001154001 transcript:CDP19483 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNSDRSVNRRVGVGTGGGGRPPPNLGGPPPPNHSRAFFRRKIYPINQNHPLLNQNPHSLVPFMEFFFSPEKMNPDFSCPLGRLYSSHCPLALLPESVLKSGCKIVYLCRNIKDTFVSYWHFSKKLGAEASLEEFFDMFCEGVSLSGPVWDHLLGYWRESLENPEKVLFLKYEALQEKPSFHLKLLAEFMGCPISPEEETCGFVDEVLGLCSFDNLSNLEVNKSGTFWTVRNEMFFRKGKVGDWKNYLTSEMAERIDHITAQKFFGSGLSL >CDP19481 pep supercontig:AUK_PRJEB4211_v1:scaffold_512:32223:35503:-1 gene:GSCOC_T00001151001 transcript:CDP19481 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNSVEEEATRILELLMEQNVSTIVLSGKSGTGKTRMAKKVGLLAVTNERVDIMLWISLSVRHDEMSLYEHIAHQLSLLSTSEELEIDDIEQVQNDNGKEETLDDLKEKVQKKLSASNVLRGILVILDDEGNKMREGDLEQSIQVADGDGQQKLKVLITSKNEDMRHQTQGDKKVIGMMRLTPEMSISLLKQGAVAKVFEISGVEILVKNFIDKKEGLTPGEVALLANLLSYHQQDSKVQDLQQALEEAWGGDDYNYTLLLSSGYERVSDHILVDFSWQGSHFFRDHGSVHYCELISYWILEGYLSPFNSLEDAYEEGHRVLMQLMYCQMLKEVSDDFLQMVSGTVLINYYHQGYGGIANLGLANVLVNSNYCHGIGKFTLMDANDQLRSLHFCDLQIKVLPKSFYDLTELRWLILKGLSHLTKLKSLKKCQKLMVVDLSGAASLPTFPEKNWKSLLKLQTLNLSNTKIKSLPILHETKELTHLSVSGCRSMDRLPSIRSLTNLQVLDISWSAIMDFQDKSFEINSSLKILDLSGTAIPWVPFNVSKPCEFYLSCCSEIKYMNCVESPKQLEILDFLGACNLVKIEAKFFDCLEKLRVLNLSKTKVKDLPCLSALKNLHQLLLSGCLNLEKLPSLASRKLEELDLSNCKALTMIEDVSFQHLPCLRRLILSNAKIERLPDVNSLSNLEELNLSGVISIERVDFIEHMSKLQYLNLYETLLEQLPSLSNLKGLKHLFLRACQQLEALPPLEVHHNLETLDLSQTAITQLPFLGNLSNLRTLLLNDCSSLEDFENLEMLHISRVENLPCGISNLTQPQCLALPSKKKNIQAADSNKVTGWHQKPSELLWFFSIADGMVSNTSKALISYNDSLFVEFLDSNPSLLDTTSNHLLISVHPIEVQNGAEDLLFHKDELNFRDIYRVSRHFSKSSRQVMEIHHHSTCPWGSETILVMLSMSSCLIICFANYYLIWVLTTSG >CDP19484 pep supercontig:AUK_PRJEB4211_v1:scaffold_512:63945:64922:-1 gene:GSCOC_T00001155001 transcript:CDP19484 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTASLVPCSKDQNQEELKQEYCKTLLSTLPKERWFGSSYLYKYNGFWLSPEMLPGLIACQNNFQAQDTDVLLISTPKSGTTWLKALTFTLANRKIYPINQNHPLLKQNPHSLMPIMEFFFSPEKLNPDLSCPLGRLYSSHCPLALLPESVLKSGCKIVYLCRNIKDTFVSYWHFSKKLGAEASLEEFFYMFCEGMSLSGPVWDHLLGYWRESLENPEKVLFLKYEALQEKPSFHLKLLAEFMGCPISPEEETCGFVDEVLGLCSFDNLSNLEVNKSGTFWTVRNEMFFRKGKVGDWKNYLTSEMAERIDHITAQKFFGSGLSL >CDP21586 pep supercontig:AUK_PRJEB4211_v1:scaffold_5122:2:3235:-1 gene:GSCOC_T00009019001 transcript:CDP21586 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLIGVLSIALLLCFQVCFAKEAAEHITIPVNVGVVLDAHTEIGKMGMKCISMALSDLYASHGSSYKTRLVLNRRDSKGTVVGAAAAALDLLKNVEVQAILGPMTSMQANFVINLGDVAQVPIISFSATSPSLS >CDP21587 pep supercontig:AUK_PRJEB4211_v1:scaffold_5127:77:5077:-1 gene:GSCOC_T00012285001 transcript:CDP21587 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHRAFYHAEDVPQDMVDRRAEVVARLKALEEGASPLVNFLQNENAYQELRAEKQYNLQMLNERYQIGPEQIEALYQYAKFQFECGNYSGAADYLYLYRTLCTNSERSLSALWGKLAAEILMQNWDIALEELNRVKEIIDSKSFSSPLNQVQNRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTSAPHLLRYLATAFVVNKRRRPQFKDFIKVIQQEQYSYEDPITEFLACVYVKYDFDAAQQKMRECEEVILNDPFLGKRAEEGNFASVPLRDEFLENARLFIFETYCRIHQRIDMGVLAEKLNLNYEEAERWIVNLIRTSKLDAKIDSKTGTVLMEPNQPNVYEQLIDHTKALSGRTYKLV >CDP19485 pep supercontig:AUK_PRJEB4211_v1:scaffold_513:38221:53200:-1 gene:GSCOC_T00009187001 transcript:CDP19485 gene_biotype:protein_coding transcript_biotype:protein_coding MFMHILKRTIFPVSNSVDLEHNQYRSFQGLTCLMQISTRSEDFVIDTLKLRIHVGPYLREAFKDPNKKKVMHGADRDIMWLQRDFGIYVCNLFDTGQASRVLKLERNSLEYLLHHFCGVTANKEYQNADWRVRPLPHEMLRYAREDAHYLLYIYDLMRMKLLSASSETEDVNSPLEEVYKRSYDVCMQLYEKELLTDRSYLHIYGLQGADLNAQQLAVVAGLCEWKDVVARAEDESTGYVLPNKTLIEIAKQMPLTTSKLKRSLKAKHPYIERNLGSVLSIIRHSMQNAAAFEVAAQQLKEQHVERAGASVQVLKKPSRGFGALLGGSTKRKLHPDIKVICNSLLSPNLSDVMACQTFQCHRLHQEDQKLEEIKSSVNLPFHAFPSSGELLQRAAQEPAARVDTLHHGQPVSNSSNLEDFILLGAGSDVVESGDDGTEAVNVVVDNKEDNAVGSTMDMEEGEGEDTMSLSDLSSSFQKCLPSINRVRDDKLVEKPQECAGFLQFKPFDYQAAKKQVIFREDPSPKAEDSGGRLTKGDQKSQKEDGTRDLPQVRRHQAFPASGNRTATFR >CDP21588 pep supercontig:AUK_PRJEB4211_v1:scaffold_5143:187:4880:-1 gene:GSCOC_T00009139001 transcript:CDP21588 gene_biotype:protein_coding transcript_biotype:protein_coding RVSGSQRVDGRKNWKKRGEEKGKSFGKETEQRKTKNQRNQQGIVACCRLIESTTITCAISPSKSTTNSSYAEFRKENSCYWYDRLIPILLGKHAIGSRAQSASKIVPPEPSRCQRANTSARSRKEKGKASNSRNDHPRDMGE >CDP21589 pep supercontig:AUK_PRJEB4211_v1:scaffold_5145:178:656:1 gene:GSCOC_T00000483001 transcript:CDP21589 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVARAIDYFHNGYLIPVIHCDLKPSNVLLDAEMVAHVTDFSIAKLMDKDDSIVYTRTLATFGYIAPEYGLEGLVSRMCDVYSFGIMLMETFTRTKPSDEKFTGNSSLKLWIKDSMPHSV >CDP21590 pep supercontig:AUK_PRJEB4211_v1:scaffold_5145:3172:5075:-1 gene:GSCOC_T00000484001 transcript:CDP21590 gene_biotype:protein_coding transcript_biotype:protein_coding GSLPLEIGNLKATYSLDLSSNQLSGIIPTTIGMLQVLQNLSLARNNLQGSIPESFSHMVSLEFLDLSHNNLSGVIPKSMEALKSLKECNVSFNRLSGEIPRDGPFRNFTGQLFMNNEGLCGDPRLSVPPCQSNSIRRSSKRKVLLLVISVSGIAAILIIAIGALLNLWWLKKPKSSGGTELMSMAKYDRFSYYDLLRSTDNYNESNLLGEGSYGSVYKGILSDGTVVAIKVFNLLAEDSLKSFDRECEALKSLRHRNLTKVLGCCSNPDFKALVLKYMPNGSLEKWLYSHNHFLDMFQRINIMIDVACALEYLHYGCHTPVVHCDLKPSNILLDEDMAAHVSDFGIAKMFGQGESILYTNTLATLGYIAPEYGSEGMVSTRIDVYSFGIVLIETFSRMKPSDEMFSGDLSLKSWVEDCLPDALQVVDANLIRPEDEHFTHKLKCVLLIMNLALNCCRESPGERMNMKDVLANLKKIKHQLLMTVSA >CDP21591 pep supercontig:AUK_PRJEB4211_v1:scaffold_5148:2584:5061:1 gene:GSCOC_T00006226001 transcript:CDP21591 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVVDALLGSTVKVLVEKTINLASEQIGLFVGFKKDLEKLKDTLTLIQAVFRDAEEQQETQEFMKRWLENLEAAAFDAGNLLDDINYEMIRRKVKMQNQMKRKVCFFFSLSNPIAFRCKMACKIQKINKDLKRINEEARSFGLQSQIAPAHKYNNNHAVLISENRETDSVTVGASFVGRDDDVSSIVTQLTATSNNETLSVHPIVGLGGIGKTTVAQKVFNGLNIKNHFDKRMWVCVSDVGKHFDANKLFGLMLEKLEVPMAEVAGMDSREAKVQKLKEMLDGEERNGKKPRKYLLVLDDVWNEDPAPWNRFLDSLRGISSAKGSWILVTTRKEQVATMTAISSRPCSLEKLSYHNCWLILEKPAFGSRETPDDLKELGLELAKKCQGLPLAATVLGGMLCNKGSDVWRSILETGLQNIGGDGESYITKILKLSFDHLPDPALKKCFAYCSIFPQDFQMERNQLIQLWAAEGFLHSDPRKNICMEEVGNRYFTILLESKLFQDAMKDGYGNVLNCTMHDLVHDMVQSISECRTLRLKEPTEADFHGKTFRPIDDGLITFLAWLRVLNIASSDAEDLPESIGKLSHLRYLHLSNTSMETLPDSLCKLYNLQTLRLGDCKSLTKFPNNFKNLVNLRHFDFFHKDKSSDLTPLDIGQLHSLQTLPFFNIGKEAGRQIGQLGSLKNLSGSFEIRNLELVSNKEEAKSAKLIEKPNIDALKLLWNEIENPRENDSECNQVLEGLQAHQNLKGLIIESFFGDKLSTWIGELGKLVKFELRNCKSCKELPTLGNMPLL >CDP19487 pep supercontig:AUK_PRJEB4211_v1:scaffold_515:35388:37299:1 gene:GSCOC_T00000010001 transcript:CDP19487 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGMTTLANRVCNDALILSHFHILARCTVSQVYNMHSLLVQFLCSISSRSPGEYLEMDENDLAHMLYKLLKRNRYLIFLDDVWEIKAWNLLERALLDDANGSRILFTSRIQLQFKPDTKAHHLRHLTDEESWELLQKKLFEKEGFPPTLGKVGSRIAKFCRGLPLTIVLLSYSHLSDDLKPCLLYFSAYKEDQNVPVRRLLWLWISEGFVRKTEEKSLEDVADDYLKNLIDRSLVMVSEQRTMGGAKACQLHDLVHEFCVKKAKEENFLHVLHGRNDRFILTSPSNPLRVCDQSARNLMIWELMLEFPNTLSLAIDPSSQSFQKILTKLPNIRRLRCSKTASREKCTRDGILVFDYFSQLESLTLRFLYGYGFKFPLNLKKLTLQVTTQPWSEISKIGKLPKLEVLKLLDDSVVGEEWKMKEGLWDFCSWTASFDNFARLEKLVVHSCRNLEELPSCLRECPTLEMIEVKRCRKSVDMV >CDP19486 pep supercontig:AUK_PRJEB4211_v1:scaffold_515:1075:2993:1 gene:GSCOC_T00000008001 transcript:CDP19486 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGRQNENGPSKLDLEHLSVFRSLCEFWQQGSGEVGGFPVALPAQYNPLDEPRPLGLRLRKSPSLLELIQMRLSQANSPKGASSSKKELKGAAASGSSEKLKASNFPATILRIGTREYKSRYGGDLVAKCYFAKHKLVREVLDGGHKNKIEIQWSDIMALKANYPDDGPGTLDVVVLATLTVSLLITFPYLTSYLSFSTLLEQDRKSPKFIERAKEDIEAFLHKKKPSNTHHKETHGASDDIDENTPITEIKGPNVFERAKEEVEALVHAIQPKKDSRTDTSSSKKEGGFRMSIGKGLEKMCSPRSHNKD >CDP19489 pep supercontig:AUK_PRJEB4211_v1:scaffold_515:81202:85062:1 gene:GSCOC_T00000014001 transcript:CDP19489 gene_biotype:protein_coding transcript_biotype:protein_coding MEICCSCSPSCFELALDFLGLINKKTVYCLGTINELEIDVRLLQSFDLYLTKCRRRRRNHKTCLEQDQEEKDVTSFRIQNLIIRRMQDLEFACSQSELTRFRECLITSHLVGLAQIQSELTRFREAIKLFFETHVKESYINFLLEYYWLRDPELVIDFIDSVSKTLAKMNGFHFKRLGKKLLLWKSFICFAMLRCVKSQQLIDLLIHAEVMAMNALRLASVWCFHTDKEVQNETELQMTRLIREKINPGDAQVRESYIHVLTAAKLSRSSDTSALEKNKHLVVDFMDRLVHNIQELLKSCTNTPVPIMNQMLKFVEGLRFLTILLRHREKFKELCHEMKNLIGVVACDAAVVIFSLSVNQIEEGLAKETDLALFHLLKVLKLIRAEFTQVYPLTSVSGFGFPRTNELGSIDFFLTNLKELARHDEINDSIAFPVDKIETIQKDFEFLRSFLEKIKEQRNQNEKLQVFWSRVMEIAYKAEFVIDSTLVGDRREYCLDDVARDINVLKIEAQEIYDSISYVGETTKGVTKTFTRMPSQFTVAAYNEELVPLDDEVKTITDSLTRGGSRQLDVVCIVGMPGLGKTTLANRVYNSPSVMLHFHKCAWCTVSQAYSMHNMLVQILDSIDSGKLEQCRKMDEHDLAEKLKQVLLRNKYLLVLDDLWDAKVWNLLERSLPDDANGSRILITSRLQNLSLQFKPHSKVHHLRCLTDEESWNLLQKKLFCKAGCPPRLSGVASRIAKSCRGLPLMVVLVAGILANTAEDCWEEVTKSLTSSIVLDDEYCMKTLELSYGHLPDDLKPCLLYFGAFQEDKNVPVRRLLWLWISEGFVQRTEGKSLEDVADDYLKDLVDRSLVMVSKQRTVGGAKACRVHDLVHEFCVKKAKEENFLHVFHSWNDHTGPSNPLRVCNRSVGNLRIWELVLKFPNVRSLLLFKKDDLGFGLPELLRVLDLGELEFVAYFPMEVFLLVHLRYLALRTRGVKFIPAAIANLSRLQTFLLRGNDIDCLLPNTIWSIKTLRHLLTPNFGFISGFIFPVENLEVSPGLFHLDTLSLAIDPSSPSQSLQKILTKLPSIRRLRCRVTASREKCTGNGILGFDCLSRLESLALRSFVGYGFKFPLNLKKLSLSCNKQPWVEISTIGKLPKLEVLKLLTDSVVGKEWEMTEGEFPTLRILKLRDLDFRRWTASSDNFPRLEKLVVHWCRELEEVPFCLGECQALEMIEVRGCRESVANSVKQIQQEQRDMGNEALILRY >CDP19488 pep supercontig:AUK_PRJEB4211_v1:scaffold_515:57158:60675:1 gene:GSCOC_T00000011001 transcript:CDP19488 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLEFAQSEHLIHPRSPDSTGIESELTISLEAVKLFFETDINESCINFLLDCYWLRDPELVIDFIDSVSKNLVEIDKSHFERLDEKLIFLKSFIRFAMLRCVEGQQLIDLLIHAEVVAIKVLRLASKWWFNKGIDNDEVCEEMELQISQLMQEKINPGDPQVRETYIHVLTAAKLSRSSDISDLEKNKDLVADFMDCLVHNIKQLLKSCTNILVPIMNQMLKLHEGLRFLTILLRHQEKFKELCHEMKNLIGVVACDAAVVIFSLSVNQIKEGLAKETDLALFHLLKVLKFIRAEVTDPVTLLFSPFDFPRTNELGSMDFLLENLKELENCSETDDSVAFPKDQIHTVLEDLIFLRSFLAKIVDQRNWNGKLQSLWSRVMEVAHRAEFVIDSIVVGDKHKYLERVARDIQLLRTEALETYDSTRHDCGAQRTNQKSFRIESKCRTPVLNEVLVGLDDEVKAIIHSLTRGSKLLDFVSIVGMAGLGKTTLANRVCNDPLILSHFHILARCIVSQVYSMHSLLVQLLCSISSRSPGEYLEMDENDLVPKLYKLLKRNRYLVFLDDVWEIEAWNLLERSLPDDANGSRILFTSRIQLQFKPDTKAHHLRHLTDEESWKLLQKKVFGKEGFPPTLGKVGSQIANLCRGLPLTVVLVAGILSNTMEDCWEEVAQSLNSSIVLDDEYCMKTLDLSYSHLLDDLKPCLLYFGAFKEDEYISVRRLSWLWISEGFVRKTEEKSLEDVADDYLKDLVDRSLVMVSEQRTMGGAKACRLHDLVHEFCVKKAKEENFLHVLHSRNDRFVLTGPSNPLRVCDQNARNLVIWELMLEFPNVRSLLLFKEVDLGFWLPKVLRVLDLGELVFHAYFPTEVFLLAHLRYLALRLHLIDSIPAAIANLSRLLFCYEETALIVYLLDSLSLAIDPSSQSLQKILTKLPSIRRLRCKMTESREEPTRIGIPVFDCFSKLESLTLLFYDGYGFKFPLNLKKLTLFFSGQPWSEISTIGKLPKLEVLKLLEGFCAEEEWEMKEGEFPSLRVLKLRGLWDFRSWTASSDNFPRLQKLVVHWCRELEEVPPCLGECPTLEMIEVRECRESVANSVKQIQQEQIDMGNEALKISIEDCVGASSSSKEEEEESNPSQGV >CDP19490 pep supercontig:AUK_PRJEB4211_v1:scaffold_516:50452:51229:1 gene:GSCOC_T00005690001 transcript:CDP19490 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGRKYDYLVTHYPGDDVLQKYQILVEQLFEKAVDHLKRNMRKYPEDVWVTLCAYNKQAIMGSCNLPQPTSPIDYKVWRRWSWLLNMPPHQALHHFFLTLEEEDPDWAHKHREERKQIVVINFIPFFLLQRSIHLHF >CDP19491 pep supercontig:AUK_PRJEB4211_v1:scaffold_516:51997:58048:1 gene:GSCOC_T00005691001 transcript:CDP19491 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLLDGGVLELLVHYDMQSGKLESGTDRGGPDKKTIPAGNRKSSSTKNLGLLEGFATASLSDQWFAPPVSGPSPRGRYKHGAAVVGDKMYINGGVHNGRHLDDLQVLDLKSWAWTRIEVKAGTDGTFASHSLIAWEGNKLLAVGRHVKDPLEIMQVKVFDLQTCSWSTLKIYGKPPVSRDGYSATLMGVSLIIFGGQDAKQSFSNNLHILDLETMNWGKVDTLGVPPSPRSDHVAAIHADRYFFVFGGCSHATCFNDLHVLDLQNKEWSRPAQKGEIPSPRAGHAGVTVGELWFIFGGGDHKRGVSQSVVLNMSTLVWSVIKRVQGFASLAREGLTSVLGSYNGEDVLVFFGGYDGQYTNQVDLAKL >CDP21592 pep supercontig:AUK_PRJEB4211_v1:scaffold_5161:963:4064:1 gene:GSCOC_T00012261001 transcript:CDP21592 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYSLTLQRATGMACAINGSFSGGKSQEIVVARGKILDLLRPDDNGKLQTLLSVEIFGVIRSLAQFRLTGAQKDYIVVGSDSGRVVILEYNKEKNYFDKIHQETFGKSGCRRIVPGQYLAIDPKGRAAMIGACEKQKLVYVLNRDTATRLTISSPLEAHKSHTITYSICGVDCGFDNPIFAAIELDYSEADQDPTGVAASEAQKHLTFYELDLGLNHVSRKWSDQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSAAMHKQKSMFFFLLQTEYGDIFKVTLDHDNDRVKELKIKYFDTIPVTSSLCVLKSGFLFAASEFGNHALYQFQAIGDDPDVEASSATLMETEEGFQPVFFQPRKLKNLLRIDQVESLMPIMDMKVINLFEEETPQIFSLCGRGPRSTLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDEFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPSGIRHIREDGRINEWRTPGKRTIAKVGSNRLQVVIALNGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSLQSVSSPPESLLFLEVQASFGGEDGADHPANLFLNAGLQNGVLFRTVVDMVTGQVSDARSRFLGLRAPKLFSIIVRGRRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETAIPLRYTPRKFVVQPKRKSLIIIESDQGAFTAEEREASKKECFDAAGIGENGNAEQMENGGEDEENNDPLSDEQYGYPKAESERWVSCIRVLDPRTTQTTCLLELQDNEAAFSICTVNFHDKEYGTLLAVGTAKGLQYWPKRSFDAGYIHIYRFKEDGKVLELLHKTQVEGIPLALCQFQGRLLAGIGSVLRLYDLGKRRLLRKCENKLFPNTITSIHTYRDRIYVGDIQEVQFQSAFSFLCCFPTFLKPFEQIINASTHA >CDP21593 pep supercontig:AUK_PRJEB4211_v1:scaffold_5176:1:579:-1 gene:GSCOC_T00010258001 transcript:CDP21593 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSEEESWTLFCNRTFQSNGCPLNLEKVSRKILKKCEGLPLAIVAMGGVLALKDKDRIDEWEMILHGFSGEVDGSGKLERIRRILLLSYNDLPHHLKSCLLYLSIYPEDYRIKRIDIYNILDTWIALGFIEEKEGMTATDIAKRYLKELINRSLIQVKGTRHNGILKECGIHDFLREMIVSKSKEQSFTTV >CDP19493 pep supercontig:AUK_PRJEB4211_v1:scaffold_518:80522:84127:-1 gene:GSCOC_T00003161001 transcript:CDP19493 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRNASSLGCSLFLQPLSQTYRKGLLGASTQLSYFSSKRYKKIQVRCQRKIWHSSRQCIISLHHLEKVLSCGLAALPLSNKFQLCLQVILLNWILQTTMGLAEDIISWAALDTALSSSFQS >CDP19492 pep supercontig:AUK_PRJEB4211_v1:scaffold_518:12933:28373:1 gene:GSCOC_T00003160001 transcript:CDP19492 gene_biotype:protein_coding transcript_biotype:protein_coding MSACCRLMACRAVSTIATHHIPSPQIPIHLCQLSRFPTIHQHYIQRKIVLTSRQWVIEAVGSGGTGPDIGAADGEIHKNGKRCCSYPVEEVEKGSKEEEKFANGKKDGMVKVVVAAVATVVLGVGNRVLYKLALVPLKQYPFFLAQLATFGYVIVYFSILFLRFRAGKVTVQMLALPKAPYLAVGLLEALGAVCGMAAGAILSGAAIPMLSQSFLVWQLLLSFIFLGRRYRFNQLLGCSLVAAGVIITVASGSSAGSLMEAGIFWSLLMILSFLFQAADTVLKEIIFLESAKRLKGGSVDLFVVNSFGSAFQAVFICLLLPFLSKLWGIPFDQLPLYVKDGAACFLNIGTGLGGCDGAPLLPLLFVIVNMGYNVSLLHLLKISSAVVSCLASTVSVPISVFLFTLPLPYLDAAASLPPGFIAGAITLVAGMFIYCCKPSIRPTK >CDP19494 pep supercontig:AUK_PRJEB4211_v1:scaffold_518:84465:85366:-1 gene:GSCOC_T00003162001 transcript:CDP19494 gene_biotype:protein_coding transcript_biotype:protein_coding VALGFALPPFTQAPSSLCLSRSLSFGGPDQLPSVPPTPLPGRCHLCPFSLSGRRPSVFSIESGAINAFSYPFQID >CDP21594 pep supercontig:AUK_PRJEB4211_v1:scaffold_5180:1970:4045:-1 gene:GSCOC_T00013019001 transcript:CDP21594 gene_biotype:protein_coding transcript_biotype:protein_coding MDDREVELSHPVLVPNSDSSSSVQASISVDSFLDELLRNAQTCTHTHTCNPPGPDAAHTHTCYHTHTQVIPSERVENPSEKVDGPCDSRKSTSKTRRSSGNREAVRKYREKKKAQTAYLEEEAKKLRLVNQQLIRKVQRQAILEAEISRLRSLLLDVRGKIDTELGAYPLQKQCISSFKVKEGDSGMQYSGLAMELQCENDLTCFHPQGDSSIEDGGFGGCDKMGASSWEGNCQSATVACKANGPAERNGMDSVETRLSSASQAE >CDP21595 pep supercontig:AUK_PRJEB4211_v1:scaffold_5181:1676:4262:-1 gene:GSCOC_T00010570001 transcript:CDP21595 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVRATIKVGLNAVVSLAADHVNLAREFPEELERLDKSAAMIRGFLAGADKDMHRPGVQNWLEQLEDEVFKADNVLDELNYENLRRKVKYQNQNQLKTVFFCFSFFNEIAFRWRLGSMIREINTNLERIHGHARGLGLECKGQSEEASGATASRQTDSKIVRSEVIGRDEDESKIVKKLLAESESDSISVISVTGMGGLGKTTLAEAVFNTPQFDNHFDKKIWVCVAKEVEIMELFKMILESSTGRKAEVDNRQVIVDGIETELEEKRYLLVLDDLWNDQEGLLDDFFTTLEALKPKKGSWCLVTSRLQEVANVLSRHRRINFTRHELGKLCYDDCWSIVKNWANVGEEVPKDIKEQVLRRCDGLPLAARLIGGLLSRKREENWQSILEESLLNGDQGGIEQILKVSFDHLSPAPVKECFAYCSIFHQDTILEQDPLVELWMAEGFLQPDSQNERMMEKIGYEYLRILLQTSLLEEVKGEWRTWYKMHDLVHDFAKSILNRNSSNQERYLAVYSSERETINEKSSASLRTLFLKGGIADDMLSKFKYLHVLKFDNCDEDVLEGLQPHPNLKELQILKFMGDQFPQWFMNLALTSLVELRVSDCTRCRKLPALGQLPFLKRLYLTGLENTTCIGLSFYSISQPLTCFPCLKSCLLVTTPS >CDP21596 pep supercontig:AUK_PRJEB4211_v1:scaffold_5187:208:429:-1 gene:GSCOC_T00001585001 transcript:CDP21596 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPDGVKIKMKAKQIEVEGPRRKLTRNFKHLNLDFQLITDEATGKRKLKVDTWFGSRKTTVAI >CDP21597 pep supercontig:AUK_PRJEB4211_v1:scaffold_5194:2638:3964:-1 gene:GSCOC_T00006495001 transcript:CDP21597 gene_biotype:protein_coding transcript_biotype:protein_coding YIKICVGFFLVFFACKEPASVFAQLSLKEGLTVFRDPEFSSDVGSSTVKRIADVLKLRIYQYPLVYKAVLVAYDLAPETAILLTLDHEGSVINEEEIDSRLIQKNDVIKIFPGAKVACDGFVIWGQSHVNESMITGESRPAAKRKDDVVIGGTVNENGVLHIKATKVGSESALSQIVRLVIVLSFSTWLAWFLAGKFSGYPKSWIPSAMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGHALESAHKVNCIVFDKTGTLTKGKPVVVSTRLLKNMVLREFCELVAAAEVNSRQI >CDP21598 pep supercontig:AUK_PRJEB4211_v1:scaffold_5195:128:2456:-1 gene:GSCOC_T00011966001 transcript:CDP21598 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIVYPKFEHEYEPIKEIGSGSFGVVFECKVKLDDTYCAVKLIRFPASEDEEEVQRTESRKKVQRVINEVKMLSLAQHPNVVRYSQAWIEDYREQNRVRGYYSGSASYGPRERMMYIHMELCKGSLKSKLTEEEELRTDMAWSYFRQILEALQFIHGKDIIHRDLKPDNIFIDNSGTVKIGDFGLALREVVRSTTKDVSSTTSSTTKDVSSTTNSSPVGAYLYRAPEMKERDPNKPTNKVDMYALGLIVFQLFCPRRCSELELLKLTELPGQVCEEYKVDETAKPLILKLLQKKPSERPSAADLLQQLDTLEGKKQEAQLQKLS >CDP21599 pep supercontig:AUK_PRJEB4211_v1:scaffold_5197:3445:4314:1 gene:GSCOC_T00002467001 transcript:CDP21599 gene_biotype:protein_coding transcript_biotype:protein_coding MYAYNRFPSSSITYNASTSKYSVTCDGKTIETTLTDKAAIADEWVREILSLYANKPTVVGLDIEWRPHPIRSMSNKSATLQLCINDKCLILQLFYMDEIPQSLKNFLADSNFTYVGIEVADDIAKLKNEYGLECSSSADIRTLAMRRWPGRFRRPGLKDLASDVVGLYMKKPKHVCMSNWEARVLNENQVEYACIDAYASYKIGHKLIMEN >CDP19496 pep supercontig:AUK_PRJEB4211_v1:scaffold_520:49404:50626:-1 gene:GSCOC_T00006744001 transcript:CDP19496 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIYIMYFTTFPFLSLACVLTVEQVGEPLKDCKTSISVSVGGIKCSVGSQYVSNQGFLEDELKVWWSRTLRNGGKIPSTEDLETKLRQRIKLGF >CDP19498 pep supercontig:AUK_PRJEB4211_v1:scaffold_520:53488:54371:-1 gene:GSCOC_T00006746001 transcript:CDP19498 gene_biotype:protein_coding transcript_biotype:protein_coding PLPPGPPSVRSFLAAPNRPARSLLLSSAAYSSISSSLSSPSSGSDDDSLCLWLYDTFLSADSELCLVVLCYIPLLSSFYLSRIHSSSSTSAISPNPNLAGFEAVLLVLYSSEVKAHSGIPVLISIPDLSQPSLYHSSRNPPSNKSNPINNNPSSRPLVGVLSPPLEPQMAVKSTKRASIVGIALDCYYKQISQMPSWSKLDFCKFSADWASQDCPCKSDFDDFTTQKPDNFTENSHGLSGGENSAAMGVITAGIEELSCSSLC >CDP19497 pep supercontig:AUK_PRJEB4211_v1:scaffold_520:52153:53282:-1 gene:GSCOC_T00006745001 transcript:CDP19497 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVEAEELSLDAAELVITSTKQEIDEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMAVIPPGMDFSNVIAQEDTAEVDGELVALTNGDGASPKALPPIWSEVMRFLTNPHKPMILALSRPDPKKNITTLAAHYADAIGPCYGIPNYCDVWVSIYSFLSMLDFESMYSVHY >CDP19495 pep supercontig:AUK_PRJEB4211_v1:scaffold_520:14603:17119:-1 gene:GSCOC_T00006743001 transcript:CDP19495 gene_biotype:protein_coding transcript_biotype:protein_coding MECASNIRLPVINLTEEILRSGKDSWTEARNIVTRAFEEYGCFIAVHDKYPSEVRDSIFSELQDLFNLPLEIKVRNTSQTPLIGYARPRPNVDLYESMSIEDATNLEAVEKFANQMWPSKNNHFCELFHWYANQVAELDKMVSKLVFESYGVEKYHESHVGSVTYTVRLIRYRVPEQNEMNVGVPPHTDKNFITILQQNEADGLEVQLKNGSWIPIDFPPSSVVIMAGDVFSAWSNGRVHSPFHRVTMNGKERHSIAQFAYCKKLVETPTELVDDEHPLLYKPLDNFGYLRFLSTDDNFNTPNPLKAYCGV >CDP21600 pep supercontig:AUK_PRJEB4211_v1:scaffold_5201:948:2732:-1 gene:GSCOC_T00011749001 transcript:CDP21600 gene_biotype:protein_coding transcript_biotype:protein_coding MTKALGMKLEDMTMLFEDGMQTMRMNYYPPCPQPELVMGLCPHSDADGLTILLQVNEVEGLQIKKAGAWVPVVPLPNAFTVNVGDILEIVTNGIYKSVEHRATVNLHNERLSIAAFFFPKVDGDMGPAPSLTPPENPAIFRRISTIDYLKAFFSRELDGKSFIDAMRTQSEDF >CDP21601 pep supercontig:AUK_PRJEB4211_v1:scaffold_5202:2597:4019:1 gene:GSCOC_T00004235001 transcript:CDP21601 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNLPILPLPAPPSDGNLGPLPLAQITEEDEKQNGSQEDLSKADKSNSAPISVATHTRTIGIIYPPPDIRNIVDKTSQFVAKNGPEFEKRIINAGNPKFNFLNASDPYHAYYQHRLSEARAQNQSAAAAPAADGKDAIAKPDPSVQFRPVRKILEPPEAEQYTVRLPEGITGEELYIIKLTAQFVARNGKSFLTGLTSREINNPQFLFLKPTHSMFMFFTSLADAYSKVLMPPKALTDKLRKSVTDMTTVLERCLHRLEWERLQEQARQKAEDEIEQERLHMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKMTGMEEEEIIEPGKEVEIEMDEEEVQLVEEGMRAVISD >CDP21602 pep supercontig:AUK_PRJEB4211_v1:scaffold_5206:2568:2840:-1 gene:GSCOC_T00000004001 transcript:CDP21602 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFWYTLLFSCVALVYVLPETVGADKSQREREADKVVYLPGQPLEQFGFQHFSGYIKLRPNDEKALFYWFFEAQNDVSHRPLVLWLNGG >CDP19499 pep supercontig:AUK_PRJEB4211_v1:scaffold_521:15466:21279:1 gene:GSCOC_T00007013001 transcript:CDP19499 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRSVVVDAGSVGVGVGGGRVRDEEKSFGDYTAAMAGDSPTGKKGKIGEGVIFPLSRWEVMAGLGVFLLFSVGLGCIYLTMPVADYSKLKLPRTLSDLRMLKDHLATYASVYPAQFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVIRGLFLVVFNATAGASSCYFLSKLVGRPIVNWLWPEKLRFFQAEIAKRRDKLLNYMLFLRVTPTLPNLFINLASPIVDIPFHIFFLATVVGLIPASYITVRAGLALGELKSVKDLYDFKTLSVLFLIGSVAIIPTFLKRKRVYE >CDP21603 pep supercontig:AUK_PRJEB4211_v1:scaffold_5210:3482:4834:1 gene:GSCOC_T00009203001 transcript:CDP21603 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLLTKISTLRSQTGKLTYSHTSTIFILLFYSLFFHILTKLTCVDVFDWSGLRSASTLRELQLRGLPHTESLPHQLQYLTTLTSLSLHNFGGIEVLPDWIGNLVSLETLKLWFCQKLQSLPPEAAMRRLVKLTSVEVSWCPLLRQRYTSQRGIYLEEEISRTVNKKGNDGTQTSVSCCFPSLLKKEKPGGMMVLKHKLLSPRTLFNLPPIY >CDP21604 pep supercontig:AUK_PRJEB4211_v1:scaffold_5211:3129:4728:-1 gene:GSCOC_T00001281001 transcript:CDP21604 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFSLLKFRRSAGTDPNSDTDDQEESDEYDDISDNDDRDSQSDSFFDLVFTAPDCYNKQAIANDANCILPHDSSKGDRREGSIHSLIELESPLKSKVFPVDVVDPNSKPLSPISLLKSAPKFRVLLLGFRKSKSDKPQSNPASSEPKRRQFHKPAQNTNRESKRLAAVKYKVEQVPIGSLFSRDNSLRSKLQRERTFDFSIDDSSKPMPKDVPMYLKLIRPLYIRASKRYSDKMRFPWEHQSSRVSPMSSPAGESRRGVDRGGGGFRAVSKHLGRSRSAASSTAGMTASPANRRDDSLLLHNDGIQSAILHCKRSYNSPAKECSG >CDP21605 pep supercontig:AUK_PRJEB4211_v1:scaffold_5218:56:1013:-1 gene:GSCOC_T00011480001 transcript:CDP21605 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILLHSSPDHQQLSPYKSHIKDTTPKRGTNRPPFISRQISENFAPIINLQDTGLFQHPPPFHHHLSYSYPPFSFQRRQPPLLPLPISATTKPNNTTNTTTQAPLARGLSCPPTSRKANNKNVRTRDSSLTPKKSKTIHKKEQNLKPLGPDPQNLPKSVPKILTSLSGDITAISVSSTNEFSSFDALKDQVDQFSGSVAFSAISPPPSSLPLPTFSLRPKLSCNAEAAGIDAGATDNLRRLLRLP >CDP21606 pep supercontig:AUK_PRJEB4211_v1:scaffold_5219:1252:3831:1 gene:GSCOC_T00005432001 transcript:CDP21606 gene_biotype:protein_coding transcript_biotype:protein_coding MWFYLYDWKVQFLMYFLGGLTVAAGKWGSGWLFVKKSLKGPLLSGSFEKLKASNFPATILRIGTWEYKSRYERDLVAKCYFAKHKLVWETNYPDDGPGTLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASIQRRHFLQCPQGLLGKHFEKLIQCDPRLNFLSKQGEITLDSPYFEPRICSPTFFNLRDAASPSGGQSSSSRNEQDTISRPRESIRHETPSPSSVMDTHVIEEIKN >CDP19501 pep supercontig:AUK_PRJEB4211_v1:scaffold_522:15971:19382:1 gene:GSCOC_T00012102001 transcript:CDP19501 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSTLANIFLLLLVAMNFPGNHVSAAKQFQNETDRLALLEFKKQIYDDPLGVLKSWNHSQHHCQWEGVTCGARHQRVIALILRHKQLSGTISPHVGNLSFMRFIQLTDNQFHGEIPQEFGCLFRLRVLNLSSNAISGKIPANLSYCSELVTISLHDNKLEGKIPIDQLSNLKKLEKIFLYTNNLTGEIPSSIGNLSSLTQLDFDFNNLEGNLPMEMGLLKRLSIFSAAENKLSGIIPASIFNSSAITVISVADNSFHGSLPTNIGLTLPNLEAIGVSGNKFYGNFPSSITNASELEVLDLSRNKFAGQIPTNLGDLTNLQLLNLAVNLFGSHSMADLDFIVSLTNCSNLSLICVSVNKFGGNIPKVMGNLSNQLTKLYLGANQLSGTIPEGFGNFVNLYLLGLEENSLSGAIPRDFGKLQNLQQLRFDNNQFSGQIVPTLCNASALYYLGLSFNQFEGGNILDNVLMNCQYLQYLDISQNNFTGIISAHFLQTHSSLMYMRLGENSFSGSLPPEVGKLIHLADFNVSHNQFSGDIPISLADCSDLENLFMQANFFQGTIPPNLASWKSIQQLDLSSNNLTGPIPKELEKLQYLRYLNLSYNDIKGEIPNTGIFSNASQISLIGNNKLCGGIPELEFPPCPVIKGKNRGKLKVVILLSIVLPATLLLLGALLLYFLVYRKRERRMVAGFSSMPSRTDELLRLSYHELLRATSGFSPENLIGSGNFGSVYKGRLEKHGNKLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIVSYCSSIDSKGDEFKALIYEFMENGNLDLWLHPAETTDQATSSRSLNLSQKLNIAIDVGSALQYLHNHCEAEIVHCDLKPGNILLDNDLVAHVGDFGLARLLPKPIDISSEQGTGSTIAIKGSIGYAAPEYGMGLVAPTQGDVYSYGILLLEMIAGRRPIDDIFVGDLDLHNYVNGALHERVPKIVDLEIDCIISLLKIGLKCSARLPNDRMHMNEVVRKLHLIKDVFLGVRVHQENFEA >CDP19502 pep supercontig:AUK_PRJEB4211_v1:scaffold_522:25154:29020:1 gene:GSCOC_T00012103001 transcript:CDP19502 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSSTLASIFLLLSVAVNLSVNHVSAAKQSQNETDRLALLEFKKQIYDDPFGALNSWNHSQHHCQWEGVTCGTRHQRVIALILRHKQLSGTISPHVGNLSFMRSIQLAENQFQGEIPQEFDRLLRLRVLSLSSNAISGKIPANLSYCSELVTISLKRNKLEGKIPIDQLSSLKKLEIFYLLGNNLTGDIPSSIGNLSSLTQLTVDFNNLEGNLPMEMGLLKRFAGLGAAENKLSGIIPASIFNSSAITVISVGGNSFHGSLPTNIGLTLPNLEGLYLGANEFYGNFPTSITNASGLKILDLSRNKFEGQVPANFGDLTQLEIVNLYNNLIGNNSTGDLDFIASLTNCTDLRILSLSYNKFGGNIPKVMANLSNQLTELTSSTIALKGSIGYAPPEYGMGLAASTQGDVYSYGILLLEMIIGRRPTDDIFVGDLDLHNYVNGVLHERVSEIVDPLLLLEGDENRNMTLGGETIYGGREMECIISLLKIGLKCSARLPNDRMHMNEVVRKLHLIKDVFLGVRVHQENLEA >CDP19503 pep supercontig:AUK_PRJEB4211_v1:scaffold_522:31080:35463:1 gene:GSCOC_T00012104001 transcript:CDP19503 gene_biotype:protein_coding transcript_biotype:protein_coding MYASIPVNLSIDYPWKTKKQSSSANSQQTIFFSPIRATSGDFKYSNCVGYTQLIFYNSSNIIVPIPQKLLSFNHQVMALTLREKHLSGTISPHVGNLSFMRFIQLMDNQFHGEIPQEFGRLFRLRALNLSINALVGEIPANLSYCSEMVTGGLKRNKLEGKIPIDQLSNLKKLGRLTLFSNNLTGEIPSSIRNLSSLIKLTLDFNNLEGNLPTEMGLLEKLAMLTVAANKLSGKIPASILNSLTLPNLQLLYVGGNNFSGNFPTSITNASGLEVLDLPDNQFAGQIPTNLGDLTNLQYLNLAGNLFGSNLTGDLDFIASLTNCSNLRILSLSYNKFGGNIPRAMANLSNQLTKLFVGGNQLSGTIPQGFGNFVNLIQLGLELNSFSGIIPRDFGKLPNLQGLRLDHNDLSGQIVSTLCNNTNLYYLDLSFNHGSLPPEVGKLIHLVDFNVSHNQFAGDIPISLADCSNLENLFMQTNFFQGTIPPNLASWKSIQQLDLSSNNLTGPIPKELEKLQYLRYLNLSYNYGGTTLTSTMEGTGRLISCHKN >CDP19500 pep supercontig:AUK_PRJEB4211_v1:scaffold_522:128:2121:1 gene:GSCOC_T00012101001 transcript:CDP19500 gene_biotype:protein_coding transcript_biotype:protein_coding MNCQNLQYLDVSQNNFSGIISPHFLQTHSSLIYMKIGENSFSGSLPLEVGKLIHLADFNVSLNQLAGGTIPPNLASWKSIQKLDLSSNNLSGPIPKQLEKLRFLRYINLSYNDIEGEVPNIGIFSNASQISLIGNNKLCGGIPELGFPTCPPIKGKNRGKLKVIILLSIVLPSTVLVLSTVLLYFLVYRNRERRLVAGFSSMPARIDELLRLSYPDLLRATSGFSPEKLIGSGNFGAVYKGRLEKHGNKLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIVSYCSSIDSKGNEFKALVYEFMENGNLDLWLHPSETTDQATSSRSLNLLQKLNIAIDVASALQYLHDHCEAEIVHCDIKPSNLLLDNDLVAHVGDFGLARLLPKPVNTSSEQRTSSTIALKGSIGYAAPEYGMGLAASTQGDVYSYGILLLEMITGRRPTDDTFVGDLDLHNYVNGVLHERVPDIMECIIFLLKIGLKCSARLPNDRMHMNEVVRKLHLIKDVFLGGRVHQENLEA >CDP21608 pep supercontig:AUK_PRJEB4211_v1:scaffold_5220:3643:4498:-1 gene:GSCOC_T00010479001 transcript:CDP21608 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSVILPVFCALLLLSASWAASDSIEEEFYHCVCSNTDISIPISEAFFIQNNSAFTSILESTAQNLRCLVQSRHKPELIFKPLDEFQVQAAVICARKLGIQLRVRSGGHDYEGLSYTSETKSSFFLIDLANLRSVNVSIAENSAWVQAGATLGEVY >CDP21607 pep supercontig:AUK_PRJEB4211_v1:scaffold_5220:1164:3096:-1 gene:GSCOC_T00010477001 transcript:CDP21607 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIESVLYIAKYPRNIQPKFLLQGKPLLNKVYFKAKSDFVKEPIKAHALEGIWKMFLEQDSPLTIWNPHGGMMSRISESETPYPHRNGTKFMIQWLTKWESGDDEETIKEHIDWIRKLYKFMTPYVPRSPRAAYVNYRDLDLGVNSIDGGTSLAEASSWGTKYFKNNWKRLVLVKTKVDPENFFRHEQSIPITDAFSTGKKKRKEDWHGFI >CDP21609 pep supercontig:AUK_PRJEB4211_v1:scaffold_5226:55:1516:1 gene:GSCOC_T00012746001 transcript:CDP21609 gene_biotype:protein_coding transcript_biotype:protein_coding FNLFHSHLFEVELTCLYCLQCSQITGKGKRIRSNRKDEEKPKEVVHVRAKRGQATDSHSLAERVRRGKINERLRCLQDIVPGCHKTMGMAVMLDEIINYVQSLQNQVEFLSMKLTAASNFYDFNRETDTIDTLQRAKAFEAMKMQKLMKEEFDGVPSTQVGPLDQTFGCYPSLPFNT >CDP19506 pep supercontig:AUK_PRJEB4211_v1:scaffold_523:80936:81088:1 gene:GSCOC_T00008235001 transcript:CDP19506 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGAYFTPSGAGAWASYAKASSLGAQSSSMVASMTSGAGVLNCRRVHTC >CDP19505 pep supercontig:AUK_PRJEB4211_v1:scaffold_523:79037:80883:1 gene:GSCOC_T00008234001 transcript:CDP19505 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRSEIVTSPRSILLQRSHFLRGNFVRTIFSLKLLHNQWFHIRQRHKGDGRSKWQHRQDLKLLPRPAQSFCKGGNKEG >CDP19504 pep supercontig:AUK_PRJEB4211_v1:scaffold_523:67429:72027:-1 gene:GSCOC_T00008233001 transcript:CDP19504 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSITFFNFMISTYLILSQISVTFMKGHALERSLAAESNYHTVHVSSILPSSACKPSAKGPSRKSSLKVVHRHGPCHQLNQESSNRETLTQILSEDQTRVKSIQARHAFRADTDKIRGSKADLPAKSGIAIGTGNYVVSVGLGTPAKSYTLAFDTGSDLTWTQCEPCVGFCHKQEDPIYDPVKSSSYSNISCNAAQCSALSSATGKPPGCSASNCLYLIQYGDLSFSVGFFAKERLTLTPTDVFDEFFFGCGQNNQGIFGQTSGLLGLGRDPLSIVSQTARKYGKYFSYCLPTKSGSNGHLTFGKGSVPNTVKFAPFSSSSSQSNSFYFLDIQSISVGGQLLSISASVFLTARNVVDSGTVITRLPPAAYSALRSAFRQQMSQYKTAPAASILDTCYDFSSQSTVKIPKISIIFSGNVKVDLAIEGTLLLPSSLSQVCLAFAGNSAATDMGIYGNTQQQTFDVVYDVAGGKLGFAPGGCS >CDP21610 pep supercontig:AUK_PRJEB4211_v1:scaffold_5233:216:4673:-1 gene:GSCOC_T00004275001 transcript:CDP21610 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQYPVLYDRPINKWKVAELKEELKRRNLMTKGLKDDLVRRLDEAIRNERASFGTETYKDFEPSNNEPNIPILQPHSGQISGFAENKYVNEVKVDNFVSGIPIVDETSEADQAKATGGSISSAESGNEELEVVPIAGSTIAPISTSTKNESAEAGNEDLEVVPIADSEQNSHNVGLKLENDSLKPSQMDAESLVSYSSNQVHEISPNLGFQVQCESIKTDSLSNYEKNEIKENLNANNIQLEPEVVRQEMVQPSSSKDPSGGSFYSLDDQVPDVKPGSVVEADDDKCSVKNIEKIDNSGEGSAMDHILENRTKDELADDNKFTEEPSSEKKELDDVFGANLPTQNMESSYEEKFEIAASAEQRESQDDQSSDAKFIEMTDMTDGEPLEKINLDQSSADDSMEDDSLEARQADSDSDPKKVGDKTKPNEETVAKSAGDIEAPQADIPSHTLKSSHANSDQMAESAEKRKFEVEAAPDNKEPRKRQRRWNNESVKIPQAQIPDTSLSATSKTVVQSATTPFVDGSNSATGRDATNERIVPPSAITPTNSLRIDHFVRPFTLKAVQELLSKTGTICNFWMDHIKTHCYVTVASLAFSLCLWLLMW >CDP21611 pep supercontig:AUK_PRJEB4211_v1:scaffold_5239:445:1485:-1 gene:GSCOC_T00008189001 transcript:CDP21611 gene_biotype:protein_coding transcript_biotype:protein_coding MYTADASFQLIYFAKGSGVIRISGMTGGKALDARVQSGQLLVVPKFFSAAKVADGDGLEYFSVVTSLEPSFVQLAGKCQFGRQWLPRFYKLLSMLSGVFVELFKNKIAKGQIIAPPKN >CDP21612 pep supercontig:AUK_PRJEB4211_v1:scaffold_5239:1733:2359:-1 gene:GSCOC_T00008190001 transcript:CDP21612 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSFSSSSHVPRMWVGSVVLFARCALLVLRQYPMCLLLRCLPRSLYVAVSHAPSSHCLLSLSSFWAMLLSCLSGCSEPWGRILLRYPVPFLPRKKHQASSSRVLWVAAVQTFGSPPLPPCRTMPIEPLSLAFSGFQSSKSAAVRSLLLLSSVVSFLVLPLWLGSSSL >CDP21613 pep supercontig:AUK_PRJEB4211_v1:scaffold_5248:664:3020:1 gene:GSCOC_T00005692001 transcript:CDP21613 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKLLYFLTFVSLGVVATHADLDGYWKSKLPNTPMPKVVRELVHNGKTSGSGSFSKLPDAGFQVYTRKSQVNGHSSSFSKLPDAAFQVYNRKSQVNSHGTNPTKDQLYNDKTITIFFLGKDLHSGSSMNLEFVESLKITTLFLPRQVADSIPFSSKSVPEILNKFSLKPQSEEAETIKKTIAECEMPGTKGEDKYCATSLESMIDFTTSKLGKDVRAVSTEAEKIDTKIRKYTIKDVAKLNTADKVVSCHKEKYPYAVFYCHTSQSNAYMTNLVAAEDEAKAKAVAVCHKDTSQWDPEHLAFQLLKVKPGTAPICHFLPEDHIIWVPK >CDP19518 pep supercontig:AUK_PRJEB4211_v1:scaffold_525:25736:30321:1 gene:GSCOC_T00012635001 transcript:CDP19518 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKKKNNDECEDDYQRYINCLRFDGENFVLKYKNASPIVYESDEYPPDAQTNNCTYNMEESTKLPATAGGSHASVMGDDNCHYIATSSSHSPFREQVMRILRKPYDLKEHQMLGQLILARKPIARNMDLRNGRDMTYSTNKDGKSYLDHHYDLSRKLHAAKTPHEELNLLRGFFFWLQASGYWLVLHCFSYVFGVHLAENLFPLV >CDP19519 pep supercontig:AUK_PRJEB4211_v1:scaffold_526:23934:27316:1 gene:GSCOC_T00008386001 transcript:CDP19519 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTAALIAIAGVALGWITIEIACKPCLEKGREAIDRNLNPDYDPDDHDEAIRAPLEPKSMQHSEHPSSTSTPVKAI >CDP21614 pep supercontig:AUK_PRJEB4211_v1:scaffold_5261:501:4659:1 gene:GSCOC_T00000521001 transcript:CDP21614 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAKAIDGKLSRWRSFVPIKYFASLPAFERPISAHEDLTSGANALMGSFSDTFCGRNDAMEAENFKNQGVNGFNSLVKYRNDENIRRPSPWNGQKAVASSYCFPNRVHDMLYMPLRNFSSQSSATSFSDVKTKFLGSIPKFVKIVEVGPRDGLQNEKAIVPTAVKVDLIKMLVSSGLSVIEATSFVSPKWVPQLADAKDVLEAIQCVQGAKFPVLTPNLKGFAAAVAAGAKEVAIFAAASESFSRSNINCSIKDSLYRYREVAHAARNSSIPVRGYISCVVGCPVEGAVSPSQVVYVAKALLDMGCTEISLGDTIGVGTPGNA >CDP21615 pep supercontig:AUK_PRJEB4211_v1:scaffold_5270:2564:4864:1 gene:GSCOC_T00002041001 transcript:CDP21615 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAISATVKVVLGTVICIAADRVGMVLGVKAELKRLSKTAATIQGFLADADGKMHSPEVRDWLKELEDEVFKADNVLDELHYDNLRREEKYRNQLTKKKVCFFFSFFNAIGFSSSLASRIRDINTNLKRINQQANDLGLVIKYQIEAALPADAAGATASRQTDSIIVPNVVGRSGDESKIVEMLLTPSERVVSVIPITGMGGLGKTTLAKSVYNKTEIDENFGIKSWVCVARKIDIVELFKLILKSLTRTKVDVDGREDIVQEIRGKLGEKRLLLVLDDVWNCEQGLWSDFFTTLSGLNAAKGSRCLLTTRLETVANAVPRHLQMNDGPYPLGKLSEAACWSMLKEKVIAGEDVPKELEAIKEQILRRCDGLPLAASLIGGLLLNNRKEKWHCIVQESLLNEDQSEIDQILKVSFDHLSPPSVKKCFAYCSIFPQDAELGEDELIQHWVTEGFVQPDRQNQRLMEEIAGDYLRILLQNSLLEKVEETWRTHYKMHDLVHDFAKSVLNPKSSSQDRYLALHSYEEMAENVRWNKASSIRSLFLHLGGGISADTDMLSRFKHLHVLKLSGYDVMFLPSSIGKLLHLRLLDISSSGITSLPESLCKLYNLQILTIDGYALEGGFPKQMSDLISLRHLNYWHDDAKFKMPVQMGRLTCLQTLEFFNVSQEKGCGIEELGTLKYLRGSLEIRNLGLVEGKEAAKQAKLFEKPNLSSLRLDFERKNDNCDEDVLEGLQPHPNLQKLEIRYCMGNKIPQWLINLPKLVELG >CDP21616 pep supercontig:AUK_PRJEB4211_v1:scaffold_5279:2583:4511:1 gene:GSCOC_T00003346001 transcript:CDP21616 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEPALLDLSCNDFYLSAHFGEADELDSEGDQIFPVSDVKCAQGLQLQEALVASMLPEPSQGYSTFNIAANAAASSSSLSFKVEQAEEAVTAESGESSLIFCEICVDRKERDQMFTIQSCGHVFCNECISKHVAARLEYNVHGIRCPAVNCGSAIEFDSCGSFMPKDVLEKWDEMLCDALVDASQKFYCPFKDCSAMLVRDSDEVIRESECPICRRLFCAQCYVPWHPGVDCEEFHRLNEDERGREDLMLRELAKAKSWNRCPRCKYYVERNEGCIHMTCRCGFQFCYTCGEQWSSAHGGC >CDP21617 pep supercontig:AUK_PRJEB4211_v1:scaffold_5290:2105:2440:-1 gene:GSCOC_T00005383001 transcript:CDP21617 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKIIIAFLPYLFLLLGPFTRVFSSNRQLSAALLSAYLQLFRSQPILPPELSFNFQQPKIPSHKTFMIKKKLVKKQRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >CDP21618 pep supercontig:AUK_PRJEB4211_v1:scaffold_5295:759:1808:-1 gene:GSCOC_T00011720001 transcript:CDP21618 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQGCVGAVNRVLSKMEGVESFDIDLKEQKVTVKGNVQPEAVLQTVSKTGKKTSFWEEGASAAPESKPAETVAETESKPAETVAETESKPAETVAETESKPAETVAAA >CDP21619 pep supercontig:AUK_PRJEB4211_v1:scaffold_5296:55:2670:-1 gene:GSCOC_T00005798001 transcript:CDP21619 gene_biotype:protein_coding transcript_biotype:protein_coding MADAILSFAVENIGKLVITEGKFLQGVGEQVRLLHDDLKRIQRFLRYADTKQTARDSIQQWVPEFRAVAYEASDLVEDYALRLSISSNGGFTITLKRIACIAIEGYARHNLGVEIQSLRTRISNLTKNFGEYGHVMTRTGEGESSDTSRQQQLRHNYSFVADEDVVELPNDVQVLVKYLLSEVETEHKISVASIFGMGGIGKTTLARKVYHHGRLKDYFKGFAWVCVSQQWQPKDLFQRILLKLTPENRKQIMKSKQDELASQLQQHLQDNKCLIVLDDLWSTEAWDCLKDVIPVSEDGSKILLTTRNEDVAAYVGPNGYHHKLRCLTEEESWELLQKKSLWESNGAGCEDLGKMEDLGKKMLNNCGGLPLAVVVLGGILRTKKTLKEWNEVHENIKSYLDRGEKIGKEGEVQKVLAYSYYDLPWQLKPCFLYLGKFREDSDIGVESLYQMWIGEGMIFENDRREQETMMNVAERYLKELAIRCMVEIKAYEEGKHAVTELESCRLHDLMRDLCLAKAKEENLYKLVDRSTSRDSPPATEAQYGLVLRLLREDISRYNLPPKEQTKHLSSFLCEAADWRYFNLGVRIMSQVKNLKMLRVLAILSFNMASQSCHLKSPLGYVGNLIHLRCLRLRGRDINLPYSLGNLKFLETLDLSDSDYDCKIPNVLWKLERLRYLYLPYWWKGPQPKWIPQPKL >CDP21620 pep supercontig:AUK_PRJEB4211_v1:scaffold_5300:179:1173:1 gene:GSCOC_T00006071001 transcript:CDP21620 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNKFRWLARMSLDPSLETPGSIQDPVAMAENKVAQAIGMHLYFPCGIIRGALSNLGIPCAVSADISNLPACSFVIRIKA >CDP21621 pep supercontig:AUK_PRJEB4211_v1:scaffold_5308:608:1464:1 gene:GSCOC_T00013451001 transcript:CDP21621 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVEEIVYDELKTEKNLDDKTPRELFFNEHKDLLKDAKEWMKDTSNSCMVVATLVATVAFAAMITVPGGNNSNTGLPILAREKLFVAFSISNALSMVSSAVSLLMFLSIQTSRYTEGDFLDSLPKKLLRGLLSLFIAIATMMVSFGTAIGLSLQTRLNWSYIPITIIACVPVIIFTWLQLPLLLQAIRVESGPGIFQGQRDLKLWSIEKIGCSLLAYDRV >CDP21622 pep supercontig:AUK_PRJEB4211_v1:scaffold_5310:3:4358:1 gene:GSCOC_T00011319001 transcript:CDP21622 gene_biotype:protein_coding transcript_biotype:protein_coding HKTRRRQRKRKKKKKKKKKKRGFGGLCYLIVMAFSALLHKGTTVTREPQTYIHVLTAAKLSRSSDISDLKKNKHLVADFMEYLVPNIMALLESCTSTPVPIMNQMLKFVEELRFLTILLRHQEKFKELCHEMKNLIGVVACDAAVVIFSLSVNQIKGGLAKETDLALFHLLKVLKFIRAEVTDPVTLLFSPFGFSRTNELGSMDFLLENLKELENCSETDDSIAFPKDQIHTVLEDLIFLRSFLVKIADQRNRNGKLQALWSRVMEVAHRAEFVIDSIVVGDKHEYLERVARDIQLLRTEALETYDSTMHDCGAQRTTQKSFRIESKCRTPMLNEVLVGLDDEVKAIIHSLIRGSKLLDFVSIVGMAGLGKTTLANRVYNDPLILSHFYIRAWCTVSQVYSMHSLLVELLRSISSKSLDEYQEKDENDLAHMLYKLLKRNRYLIFLDDVWEIKAWNLLERALPDDGNGSRILFTSRIQLQFKPDTKAHHLRHLTEEESWKLLQKKLFEKEAFPSTLGKVGSRIAKLCRGLPFTVVLVAGILANTAEDCWEEVTESLTSSIVLDDEYCMKTLELSYSHLSDDLKPCLLYFVCNPMYGELMLEFPNVRRLLLFKGDDLGFWLPKLLRVLDLGELVFRAYFPMEVFLLVHLRYLALRTKEVNFVPAAIANLSRLQTFLLRGNGIDCLLPKTIWSIKTLRHLWITDPDAGFMFPVENLEVSPGLDHLDTLSLAIDPSSQNLQKMLTKLPNIRRLRCEMTESTRIGNGILGFDCLSQLESLTLCLFHGYGFKFPLNLKKLTLFCNKQPWSEISTIGKLPKLEVLKLLHDSFVGEEWEMKEGEFPSLRVLKLRALWDFRSWTASSDNFPHLEKLVVEWCRELEEVPFCLGECPTLEMIEVRGCRESVASSVKQIQQEQIDMGNEVLKILIEDPWSSSEEEE >CDP21623 pep supercontig:AUK_PRJEB4211_v1:scaffold_5317:1264:4374:1 gene:GSCOC_T00011855001 transcript:CDP21623 gene_biotype:protein_coding transcript_biotype:protein_coding MADALISSTIQVTLERALSLASDRIGLLVGFKKDVASMTRSLSLINAVLANAEEKQNQDGAVQEWLKSLEEVAYEANNVLDELHYESLRHQVESRNRHKLKIRDIKLKLNEINQEANGLGLVSRLGMTAALPAAVGDTRSRQTDSVVAPMIGRVDDESNILEMLLRPSEKVVSVLPINGMGGLGKTTLAKSIYNKQQIDGQFEKKLWVCVSKKVPVVELFKLILGQLKKDKKDEVGDRQNIVQEIGKELGKLRYLLVLDDVWDDDEALWHDFFTTLKGLNPTNGSWCLVTTRLGPVAHSVSRVLMMENEPYALKGLPDDHCWSIVKEKVVGREEEPDELKAIKERVIKRCDGLPLAASVIGGLLSLKRKEEWQSILENRLLSLSAGGDHVMQILKLSFDNLPSPYIKKCFAYCSIFPKDSEIERNMLIELWMAEGFLHAGLENRTMEEIGEYYLEILLQSSLLEEIRDDGRRCYKMHDMVHDVSKSIMSKSTKFINLETGSGDNSNQVRCLVIDSFGEGTINLFESRSNLLHTLFLSQGSLSDDMLTKLKNLHVLKLSGVENQNLPISIGKLIHLRYINFEGCTIETLPESVCKLYNLQTLRLKRFILKVLPKGTCDLISLRHLHFYTTNKEFQMPLNMGRLTCLQTLEFFNVGREKGRRIGELGSLKNLKGKLIIHNLELVKDKEGAEEAKLSEKTNLFRLQLEWAHDREGDDYNDKDVLDGLRPHPNLEELAIWDFMDDQFPRWLMDLSTTTLPELATPLPKLAHLAFNGCNRCRKLLPLQNFASLKELWINNCDGLRNLPGDMLHSCTSLQKLWVIYCDNLISFPLDLQQTPALLELVLTCCPKLKTSMTPKGFGFLTSLRKLAIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSALRELALIGLPHMESLPPQIQYLTALASLDLDGFGELGHLPSEDAMRSLTKLKHLRVYGSPLLTERCTPESSGPDSQWSKVSHIQDLRIS >CDP21624 pep supercontig:AUK_PRJEB4211_v1:scaffold_5327:619:3384:1 gene:GSCOC_T00011425001 transcript:CDP21624 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLINQLSTLLSQEITLLGGLKSDVQFIKDELESMKAFLREAEAKEDNSQLQVWLKQVREVAYDTEDVLDDFTFRFARGYKDGFYGKAGKIYNSIKNLKARHQISSEIKAIKARVGAISERYQRYQSQYGTQERGFSSSRQANADFDSRAQSLFIEEARLVGIDKPKADLISEILVDHSQLKVVSVVGMGGLGKTTLVKKVYDDAAVKKQFQSHAWITVSQTFQFSDIIKNLIQQLYNEIRRPVPRRVESMDDKMLIEFVKDFLQERRYILVLDDCNDCPPNLEEVAKKILKKCEGLPIAIVVIGDEWEMILHGFGGEADGSGKLDRIKRVLLLSYNDLPHYLKSCLLYLSIYPEDYPIYVEGITSTDIAMSYMKELINRSLIQVKSTWDDGRLNECGVHDFVREILVSKSKEQSFTTVATRYYTRWPEKVRHLAIHNFTDNPQEFSGLKCLRSLLKVLDLDGANLDSIPKHVFKLFHLRYLNLARTGVKIIPKSIGKLQNLEVINLGGTNVTELPVEILNLRKLRSLCDKMVREIGNLTQLRRLCITKLRREDGKELLSSLLRLTNLRKLVISCIKEDEILDLQHSVSPKLGSLTSLLLKGRLERVPQWVTSLQSLRTLRLDNSRLREDENIIGSLGHLPNLVSLTLYRAYEGETICFKVGGFQKLQHLELVQLTRLKWVTVEEESMPSLRSLLVGACKLMQELPSGIQNLTRLESLGFYEMSDELMHKVQNLDKRSEDYQTISHIPQVFTGYWIDGRWEG >CDP19520 pep supercontig:AUK_PRJEB4211_v1:scaffold_533:75286:78058:-1 gene:GSCOC_T00004475001 transcript:CDP19520 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIEAQKRKAERNQKPKGSQLEARAVALKVVCPICKVQLANENQLNDHYGSKHPKEKPPSNSG >CDP21625 pep supercontig:AUK_PRJEB4211_v1:scaffold_5330:1223:2977:1 gene:GSCOC_T00013614001 transcript:CDP21625 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSLFSSFDALCAESFGQKSWAPGASKSHHQQQEEGGSGSPLVVSSERKAAGLVSLDDAGSKNKGKQGNMPSSPEANKSGDHHHRPLQQKRRPRFAVELDGLHCFETIIPY >CDP21626 pep supercontig:AUK_PRJEB4211_v1:scaffold_5331:2694:3146:-1 gene:GSCOC_T00010302001 transcript:CDP21626 gene_biotype:protein_coding transcript_biotype:protein_coding MACEIGNKTVLKFDTEDGVAVALARYIADLSERFIKEKGSFNVVLSGGSLIDTMRYLAQAPYKESVDWPKWSIFWLDGRVVPLDSKGSNYRLAWDGLLKYVTSY >CDP21627 pep supercontig:AUK_PRJEB4211_v1:scaffold_5338:1460:3826:1 gene:GSCOC_T00009506001 transcript:CDP21627 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNSLTGSIPAGIFNISTLGRLSLQSNKLSGLIPASISNASKLTFLYLDTNRFSGPIPSSLGNLRLLRWLDLSENHFTTEPSSRELSFINYLTNCEYLEILSFNDNPLHGILPISVGNLSTSMERFYAYDCGIKESIPDAFGNLSNLVVLSLFVNHLSGPVPATVKQLQKLQVLNLYDNRLSGSIPDSFCELKSLYGLYLGKNQLRGSIPSCISNVSSLRKISFDGNFLNSSIPASLWNLSDLFYLGLSSNSLNGSLPQEIENLKMITILDLSGNHLSGNIPTSLGHMLRLELLDLSNNNLSGSIPKSLETLLQLDYINLSFNHFRGEIPSSGPFKNFTYESFMFNDDLCGDERFHVPPCNSRQIHRSSRKKLFHMLGIISGIAATIIAGTTVVILLLRCRRKDGVSRNVDLLPVVVPRRISYYELVQATIAYDESNLIGKGSFGSVYKGILADGTVVAVKVFTFLTEVTSRSFDAECQVLRNLRHRNLTKVIGSCSNLEFKALVLAYMPNGSLEKWLYSHNLCLDLLQRTSIMTDVASALEYLHFGYTTPVVHCDLKPSNILLDENMVAHVSDFGIAKILDEENSAMHTQTLATLGYMAPEYGVEGRVSIRIDAYSFGILLMETFSRMKPSDEMFKDDLSLKSWIEESLPNATIQIIDANLLRQQDEHFNEKLQCVSMIFKLALSCCTECPQDRTNMKDVVAVLKKIRRHLATLSDNSRSN >CDP19521 pep supercontig:AUK_PRJEB4211_v1:scaffold_535:49215:52140:1 gene:GSCOC_T00011274001 transcript:CDP19521 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQDIGVSIVGKIAEKFIDPIMRQFQYLFCYRSNIETLRNGIKKLELTKTEVQRSVDEARNNGEEIKPIVTDWLRQANGLEKEADTIFEGMENVKVNCFKIVRLPNLKSRYLIGRHAAKRGNDAEKHLRERWFDEVGYLPPLGKMPFSESTPSFEESLITRMSMKREVIEALKQDKRSLLAICGMAGVGKTFLLEQIADQVKSEKLFDGVAFATVSQNPDIRNVQNQLAEQLRMTLISEHSGRARAEQIYTRLTNSDERNLVMLDDIWEEVDLRSLGIPIRLGECKGLKVVLTSRFSHVCRNMEAEIFEVNALPKEEAWHLFKKVVGISDDSALSDVVKQVAEECKGLPLAIVVVARAFRTNYTTPESWKLALGQLKKYTMRDLERVQDFVFSRIEWSYDRLKSVEAKLLLLFCSLFPEDYSIPVECLVRYGKGLEIQLQEMKLQRINFIEYLWKGPIEPPSLCNLRVIEVSNCQRITTLFSQSVLKCLVNLQKIVVHSCKNLESIVMREENMKDQVLELLQLKVVTLQYTGLEGFGCEGDTYSKAFLNQVSLSLCISI >CDP21628 pep supercontig:AUK_PRJEB4211_v1:scaffold_5352:371:553:1 gene:GSCOC_T00013694001 transcript:CDP21628 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNKYGQEPRDVEGYGQAFVKLEEQKLDWADVLYMITQPEDLRKPHLFPKLPLPLRYI >CDP21629 pep supercontig:AUK_PRJEB4211_v1:scaffold_5361:293:1294:-1 gene:GSCOC_T00008662001 transcript:CDP21629 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYLLQFFQFPFLHWSLTKILSYTSSTKNNLIGVHTDVPAPDMGTNAQVTDNGLDEYSKFHGYSPAIVTGKPVILKFLGDTILVDPSAEMQQQGGEYSLQLKRYLMNMERVSLGNDLLYKDLVMSDPGLLNLSVNKGKR >CDP21631 pep supercontig:AUK_PRJEB4211_v1:scaffold_5366:4580:4900:1 gene:GSCOC_T00011766001 transcript:CDP21631 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLIKQLSTLLSQESTLLAGLRSDVQFIKDELGSMKAFLRQAEAKEASDHELQEWVKQVREVAYDTEDVLDDFAIRFAHGDADGFFGRVGKIYNSIKNLKA >CDP19522 pep supercontig:AUK_PRJEB4211_v1:scaffold_537:59204:65687:1 gene:GSCOC_T00011005001 transcript:CDP19522 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRRAVESYWRSRMIDGATSDEDKVTPVYKLEEICELLRSSHIGIVKEVSEFILKRLQHKSPVVKQKALRLIKYAVGKSGAEFRREMQRNSVAVRQLIHYKGQPDPLKGDALNKAVRETAQEALSALFASEDSKPSPTESLGSRIEGFGNTNFEMATEDKKSFLTEVVNIGSSTIKQGFSSLTQSPSLKKNDTGTYRSPNLRRSLTTETDFEDRYEGVRSHSESQNTSRLSSNAGSGNWSQDVRSSQLDTINADSSPSYEKTREHRLLETIVTSGGVRLQPTRDAIQIFLVEASKLDALALCHALESKLQSPLWQVRMKAVCVLEAILRKKNEEHFSTMALYFSENRDVVDKCSESPQASLREKANKVLCLLDGEQTGGLGHQEKPLKAEATAVQMPDLIDTGDADDLFATDDSTKIQNASSTTNISTSSTPLIDNLFGEGMGTDFGVSEQKNDDDPFADVSFHNSSNKEHEADIFSGMALDSSRIAETHVAVNEIRPELLDIFGPNSEVSQVIDIPNKDVNDIMSTLSISGINSTNVKQNGTSWGGQSENKFSDSTVNPSHQVSSDAFNSILASQTTGANSDPMFALGATPYNMPPGFVMNPSFTPQQINYTAMASLLAQQQFLASMSNFQQLGKLQPNPGAGSNGSYSSPLPDIFNPAVPNQPTSMMSTSKKEETKAFDFISDHVAAARDQKRVV >CDP19524 pep supercontig:AUK_PRJEB4211_v1:scaffold_537:73754:75175:1 gene:GSCOC_T00011007001 transcript:CDP19524 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYERQLVRENIYSLYWKAINFLLLSWMYAYYCFKWWYFNLYLLCPRLDFFVSNWAFFLLVLIIFFYFIFPHTFFLHLELDALMLLLCKLEQVGFCSLLFTFRNTQLSFILLSSIQSVYYTYVWLNLAF >CDP19523 pep supercontig:AUK_PRJEB4211_v1:scaffold_537:67692:69452:-1 gene:GSCOC_T00011006001 transcript:CDP19523 gene_biotype:protein_coding transcript_biotype:protein_coding MVLETLGSLLNHCSKTKAFHNGISLHAVAIKAGMLCIINSNHTINMYSKCGKLILAHQLFDEMPKRNLVSWSALISGYDQAGKHLMAIDLFKKMRTELWPNEFIFSSALSSCASLLEARLGQQIHAQAVRLGCSSISFVSNSLISMYMKCGECSDAMLVFSAGASWLSSVSYNAVIAGLVENKQPEKGFEMYKIMCQKGLIPDRFTFMGVLGVCSSPRDMWRGMQLHCQIIKNKLDSMATTGNILITMYAKFNLIEASEKVFRLLEEKDIISWNTMITAFSHCEEHVKALSVFQEMQTRVALPDEFSYATALAASAGLASMRLGKQIHAHLIRKRPDEDTGIGNALLNMYAKCGCIEYAYNVFNQMASRNLVTWNSIIAGLASHGLGERALDTFECMKDAGMTPDSVTFVGLLSACNHAGLVDVGRGFFNCMDTIYAIPPDIEHFSCLIDLLGRAGRLSEAEEYVQKYQFGHDPVVLGCLLSACRLHGDVVTGKRVASLLLGLQPITTSPYILLSNLYASDGMWTDVAEARTMLKGSGLKKEPGWSLIDVDGYLEKFTIGDFSHSRIEEMVNTLKTLKWTGDEDLL >CDP21632 pep supercontig:AUK_PRJEB4211_v1:scaffold_5374:899:3016:-1 gene:GSCOC_T00006885001 transcript:CDP21632 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFWGKALAEKTGEKVIEKTVEFALENCTGRSHMDNLQSLKRNWQELSCKAFDVEQEVNREEMSGKKKRKSEVDNWLKDVKKLSPEIDALETRGSSWRLPLKEDPVGKLQFQVKDLVDQSRHFDGLVLDTCDNIGEPCLPTKLFGVKFDEALKRIWPCLVTDDISSIGIYGMGGVGKTTLARHIEYHLLEKNNYRVLWVTVSQDFSVTSLQDKIANVLGINLSSRDEEDARARILRDAFRKMLKLIVLILDDVWEEFCLDRVGIPLHPNKCRLILTTRSLEVCNRIQCQRKFALQTLDTGEAWDLFKYKLGSEPLLQGDLESIAKSIVEECDGLPLGIITVAGSMRGVRDICEWRNALEQLKTCSIGYHEMERDVFRILEWSFNRLNECQRNCFLYCSLYPEDSKLKIKELIDLFIWAELMQERNSWSQEFDQGHTILNKLIKVCLLEETRDYEGEDCVKMHDLVRDMALRITDGKSNLQMNGDVPQFLVKSIGKGNTKVTLDPKKWTEDLHAVSFHSFSYPQLEIKVPPAWSPNCPKLSTLLLSDVSIEEIPDLFFRHMCGLKVLNLSRCEGITELPNSVSDLVNLTALILKDCRRLRSVPPLGKLKQLRDLDLSDTKIEDLPEGWESLVNLERLDLNQCPNLKRLPLFLSTINGSPSLPSTLHKIRGDKEWWESLEWDNPSAKNALDPLFSTLWWDNWRPLV >CDP21633 pep supercontig:AUK_PRJEB4211_v1:scaffold_5375:184:2266:-1 gene:GSCOC_T00002220001 transcript:CDP21633 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTEALPLYERKHLKNTIPRLIELTILFLCFSLLGYRLLHLKNHGFIWLVALLCESWFTFIWILVVNIKWNPIAIKTYPERLLQRNLELPPLDMFVTTADPVLEPPIITVNTVISLLSMDYPANKLACYLSDDAASPLTYFCLVEASEFAKLWIPFCKKYNVPVRAPFRYFSDQSLFTGNSSSEFQEDWNIMKDEYRRLCQKIEEAAQKSVPCELTGDFAAFVDIDRRSHPTIIKVAFLYILSPVLFSWRPKPLQKQFTRECKFQAYICN >CDP21634 pep supercontig:AUK_PRJEB4211_v1:scaffold_5378:89:646:-1 gene:GSCOC_T00009507001 transcript:CDP21634 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKHGWKENIFSSLPKCLPLCLAICIYYKDFYLYTFIVATVLFILSLDLFSSNTSMRGLQFTKYMPIRKTGEEMNFTYVECLLYTFHHLAYKAPNATNSLCGYKIVTGQPSDRLGEDFSEYHKEFIER >CDP21635 pep supercontig:AUK_PRJEB4211_v1:scaffold_5378:705:3760:-1 gene:GSCOC_T00009508001 transcript:CDP21635 gene_biotype:protein_coding transcript_biotype:protein_coding MTDENLRERTLSFIRDKVFPLKTELLKPPELMERHMTDLIKKSLQDVTGAEFKMFMDFLKSLSIFGEKAPPERVQELIEIIEGQADLDAQFDVSDGDHIARLIACLFMAIPFFERGASNGKFLNYLNKHIFPVFDKLPEEWKVDLLKDLAESSPYTTPQDSRQILPSVVQLLKASI >CDP19531 pep supercontig:AUK_PRJEB4211_v1:scaffold_538:58235:63305:-1 gene:GSCOC_T00005161001 transcript:CDP19531 gene_biotype:protein_coding transcript_biotype:protein_coding MIWDDHQARCIGELSFRSEVKSVRLRRDRIVVVLLQKIFVYNFADLKLIHQIETVMNPKGLCEVSHVSGSMVLVCPGLQKGQVRVEHYASKRTKFIVAHDSRIACFALTNDGRLLATSSSKGTLVRVFNTLDGSLLQEVRRGADRAEIYSLAFSSSAQWLAVSSDKGTVHVFSLKVDSGSLGSDRSRGAAEPNNASPTAVSHLSFIKGVLPKYFSSEWSVAQFRLAEGLQHIVAFGHQKNTVVILGMDGSFYRCEFDPVTGGEMTQLEHHNFLKPVESF >CDP19525 pep supercontig:AUK_PRJEB4211_v1:scaffold_538:5159:7343:-1 gene:GSCOC_T00005153001 transcript:CDP19525 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKKKVFSGGPWCFDDNLLVISDYIGNVQPTNIKLDTCSFWVRVYNLPLSWMNVKTAEYLGNKLGVYEGFESKGYLFAWGKFHRIRVQIHLESSLKRVMHLFIEGKVHKVLFQYERLPILCFYCGRIGHGKRDCELKLDAAIYEIAFPSKLLQHIQTSDRSPTLLKASELFLVDAPLAEQKTHSDIHKLRAMASIFKRRKSTGSPKSSLMSSTAQSSHKRLLGSKVKHLDISLNRFASNFIDAKVHMPNYTWRFTGFYGHPDASKRKYSWDSLRQLSTQSRLPWLCIGDYNEVLSQTEFQGSGP >CDP19528 pep supercontig:AUK_PRJEB4211_v1:scaffold_538:43606:44542:1 gene:GSCOC_T00005157001 transcript:CDP19528 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKPTKGAGGRKGGERKKAVTKSVKAGLQFPVGRIARYLKKGRYAQRTGIGAPIYMAAVLEYLAAEVLELAGNAARDNKKNRINPRHVLLAVRNDEELGKLLQGVTIASGGVLPNINPVLLPKKTAASEEKAATKQSKSPKKA >CDP19532 pep supercontig:AUK_PRJEB4211_v1:scaffold_538:67886:68752:-1 gene:GSCOC_T00005162001 transcript:CDP19532 gene_biotype:protein_coding transcript_biotype:protein_coding MECYPLDLTIISAEGLKNVNVFSRMDVYAKVKILGYPKNKQKTHVDKNGGTAPKWNHHMKFIIDEPSLNNPGVSLLIKLKSDRTFGSDKEIGEVNLPISELFNGGVDADTKDSGERVLEYQVRTSSGKPKGTIKFSYKFGEKFKQEAEAKKKNVGEPVTAYPAPQHAAGASMAYPPHYDQGYAMPPPGYQQPYGGYAPPPPGYGGYPPPSGYPPAGAPGYGYPPPPGYGYPPQPYQQVQPPKKEKNKMGGLGLGLGAGLLGGLLVGDMMSDVGEMAAYDAGYDDAMGF >CDP19527 pep supercontig:AUK_PRJEB4211_v1:scaffold_538:25276:37405:-1 gene:GSCOC_T00005156001 transcript:CDP19527 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDQVVAELIGMGFEFDDVKEAIKAVGPSLDDAVEFILNGCCRKSRNVLGSSTSSLGGSTSSKKSAGKMRQLSIIEHLQCGRKPNKSSKFTNEPHLVMPSSVRLLGAALGSKESLPETSNAIIPCYKDEDDIGRSDWEMEVKNILNKHFGHSFLKPFQKEALAAWIAHKDSLVLAATGSGKSLCFQIPALLTGKVVVVISPLISLMHDQCLMLAKHGVSACFLGSGQIDKSVEEKAMNGIYGIIYVCPETVLRLIGSLQRLAESRGIALFAIDEVHCVSKWGHDFRPDYRRLSILRESFSTENLKFLKFDIPLMALTATATIRVRDDILKSLCMSMDSKIIVTSFFRPNLRFSVKHSRTSSLSSYEKDFHELIEIYTRKKKFGKAHQLMSKNLEHAPDNTSRTATCNMFEPDTIDDDDAFSESDSEVGMSNECRSASSKDKKLSVEYLEDECDVFQDTDDLDGTCCYILLEERLKLQLGPLEEGPTIIYVPTRKETLSLAKFLSRFGVKSAAYNAKLPKAHLRQVHKEFHDNILQVVVATIAFGMGINKLNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCVLYANLTRIPTLLPSQRSEEQTKQAYKMLSDCFRYGMHTSSCRAKMLVEYFGQEFVQKKCFLCDICTKGPPEAHNLKKEVTILMQAIISHNVSGQNRFQDVSYNDAIEPGVSCRRFVEKSNLKRLISTIREQHHEFISSDLLWWRGLARVLEDKGFIKDGDDKAHVQIKFPEPTESGLQLLRSENRPFYVYPEADMMLSMRNRKPYSSFAEWGKGWADPEIRRQRLKRKREGSWKRPKERKMVKPDMKTVRGRLVAKILKRR >CDP19530 pep supercontig:AUK_PRJEB4211_v1:scaffold_538:52331:55409:-1 gene:GSCOC_T00005159001 transcript:CDP19530 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVYQQLPALKSLRDSSPVGLPRPIVYKRRNARWRSPEAAVISSFHLPMRSFEVKNRTFAEDIKSLRLITAIKTPYLPDGRFDLEAYDSLVNMQIENGVEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSIEGMISHFDSVLPMGPTIIYNVPSRTGQDIPPSVIFAAAESPNLAGVKECVGNDRVEHYTSKGIVVWSGNDDQCHDSRWDHDATGVVSVASNLVPGLMRELMFAGKNPALNSKLMPLIEWLFKEPNPIGLNTALAQLGVVRPVFRLPYLPLPLSERLEFVNMVKEIGRQHFVGERDVQALDDDDFILVGRY >CDP19526 pep supercontig:AUK_PRJEB4211_v1:scaffold_538:16327:21290:1 gene:GSCOC_T00005154001 transcript:CDP19526 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEGFRDATPHRILNLHTTQSHNFLGLHRDYGLWQELGYGQGLIIGILDDGIKPDHPRFSDVDVPPPPPTWKGKCDFKGFTCNNKIIGARKFVGNETGEPVDEGEHGDHTASIAAGNFVENANVFGFANGRAAGMAPHAHLAIYQVCVGSVCSNEDFLAGMEAALQDGVHLLSLSLGGMAGTRIPFYDDAIGVGAFHAIENGTFVSCSAGNSGPKNGRLSNEAPWILTVGASSIDRNLRATAILGSNKEFDGESLFQPKDFPSKHGSPTRNDSADRYRLCSKKMTKFSDSTRICTGQNHKQQLGSQTKPKRNRERKATKGCAPQLYGCCKAFSKTKQKTNREQKATKVQLYKIWSDYLEKQTEGFFNFFIVRLRLKTEVTARGEENNSGKGKAKERIKKTGRNTRLNLGAVAALKYGLLYRDRGKKLKEKLKAHKIQLWPNAAVALRFSCVFPSIFSSFFFSNYPFALFSPPSRLDNEEIEEPFGLFLQIITPESGVKLNNSDGSRRNCWYVLWPFVLCSFFVWFCKKLCNSRIAVVHSLLWPFVLFLFGLFANHAYTSSTFNIVSGTSMSCPHLIGIAALLKSMHCDWSPAEIKSAIMTTADILDRNNDSIPDEMRLPADVFAVGAGHVNPLRAADPGLVYDIKPDDYIPYLCGLGYTDKNIKKILNYPSFAIQLGNTTQTYSRTLTSVGETPSTYEVKIDSVVQPRVLYFTQEKQQLSYEISFTRILPFSDHLYRHGAITWISNKYNVRSPISVNFV >CDP19529 pep supercontig:AUK_PRJEB4211_v1:scaffold_538:45983:52134:1 gene:GSCOC_T00005158001 transcript:CDP19529 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNEPCTFFFFFFRITRNSNQRWVLCQGFSFQAQSFIKPTTATVMRYLLRKVPFSDRNRRSLLRILALGSVGSGLFFLKDGTDSRASVSISVPVHESLSWYWRNLQEQTPNPAFVSSDQNVQHRILPLCLSRTGADPSWDVKKEFWKGTGDGEKGFNQSSDIKKEATGDVGDVPKHSCNCLGQDTIANAAAKIGPAVVNLSVLQGFHGIAVGKSIGSGTIIDADGTILTCAHVVVDFQGLRSLSKGKVDVTLQDGRTYEGTVVNADLQSDIAIVKIKSKTPLPTAKLGSSNKLRPGDWVVALGCPLTLQNTVTAGIVSCVDRKSSDLGLGGMRRDYLQTDCAINEGNSGGPLVNVNGEVVGVNIMKVSGAHGLSFAVPVDAVCKIIEHFKTKGRVVRPWLGLKMIDLNEMIVAQLKEKDAALPNVTRGILVPMVTPGSPADRAGFLPGDIVVEFDGKSVTMIKEIIEIMGDKVGKPLEVVVKRAKDKTVTLTVIPEEANPDM >CDP19533 pep supercontig:AUK_PRJEB4211_v1:scaffold_538:70515:78825:-1 gene:GSCOC_T00005164001 transcript:CDP19533 gene_biotype:protein_coding transcript_biotype:protein_coding MISVMMSSSSNNPFESDRKNDPGEEAKPRVLVPGDEKVNFGLNLNSIVSGEDSMSRASMHVDENARVGVGGGGVSLVVDYKVSEEGRVSGGVDEVSEFRVCELRNVDEDLKNVSGSGFSEVGSEMKKMQQFDSGGGVDVKVELVRKEIDDKRDGGNGNFEAKDQRWSGSGADYDSMLSMFDQYAANGKSEAVGYGYEIGDMVWGKVKSHPWWPGHIFNEAFASASVRRTKREGHVLVAFFGDSSYGWFDPAELIPFEPNLADKSRQTNSRTFMKSVEEAVDEVNRRQGLGLACKCRNQFNFRKTNVEGYFAVDVCDYDSGFYSASQIKKARDSFQPGGMLNFVKQLALTPMGDDFGSINFIKNRATVSAYRKAAFEEFDETYAQAFGAQPVRPAPPKAPPEPSRVPLSGRLVIAEALGKGKTSLKSNKSKDQLEKDKYLFKRREEPNEFKTHIISHGQGGSSSLPSQGVGSVHLLEGMHSSVVDHAGQTSVSRVTGGFEQSASQPAGVEQFRGQEHTHNSVGGNFLSDINDIKPVAQGSKLQTDSGTKKGKHHKRPVGEVNSEKSGPVEKIKKRKKEGSRENSSHNVVIPGINVKEAAFAGKVIGKPAEKFSGRGDDSQVKHLGNDDAVKGSLLPDMGTKPSMVNNDTQLELPRLLDDLRALALNPFYGAERSCHAIVRQVILRFRSLVYQKSLSSLVPGENESKDAHERSSVKPPKPPTRLDDPTKGGRKRAPSDRQEELTLKKKKKINDLKLLTTEKKAAHKAPEAQRGDPKDTSTKTVAQAPEKKAAQKPPETRGLPARAADPTMLVMKFPAGATLPSSAELRAKFARFGPLDHSGTRIFWKSSTIRLVYHHKIDAQAALRFATSGATLFGNSNVRCHLRDVEAPETDSTKVQEDPNPGISQSRDSPVLQQRLAAAGVSQPVQLKSCLKKPSGDDGASTGGGNGTVRGRVKFMLGDEGSVRTSSDDAATSHGLNYNSEKIHTVIPPPPPPPPPSILPVAPNKFHHTELVPRNVQSFSMPAVQPMPTHIDISQQMISLLAKCKDVVNNVTGTLGYVPYHPL >CDP21636 pep supercontig:AUK_PRJEB4211_v1:scaffold_5380:432:1126:-1 gene:GSCOC_T00001110001 transcript:CDP21636 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSPSFLDNVALFLPLVHERVEIAGPLLTKQGVSSLVLSRSLGYSGPDVWFSSSSPPFRARSIGPLLLGFSWFQLSRSAAIRSVLLLLLHRKFSSSSFQARCGLCCEFSFVIFNLGTDVFCSFFHCFPFRVAPVLLSGEVRSCQPFGSSPPRS >CDP21637 pep supercontig:AUK_PRJEB4211_v1:scaffold_5380:2680:4180:1 gene:GSCOC_T00001111001 transcript:CDP21637 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLIKQLSTLLSQESTLLGGLRPDVQFIKDELGSMKAFLRQAEAKEDDDSQLQEWVKQVREVAYDTEDVLDDFAFRFASGHADGFFGRVGKIYNSIKNLKARHRISLEIKDIKARVVEISARHQRYQLLYGTQERGPSSSHVASADCDIRDQALLIEEAKLVGIDQPKKELISKILDDHSHLKLVSVVGMGGLGKTTLVKKVYDDAAVKKQFQSHAWITVSQNFHLQQFLIAGCKLMEGLPLGLQNLTELKALGLGDMSDEPIHEVQNLDKQSDDYQTIYHIPEVLIGHWINGEWKAEFL >CDP21638 pep supercontig:AUK_PRJEB4211_v1:scaffold_5393:3181:4788:-1 gene:GSCOC_T00004721001 transcript:CDP21638 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRGFGSEIDGSGKLDRIRKILLFSCSDLPHHLKNCLLYLSIYPEDYPIDVQDILGKWIALGFIEEEEGMIATDIAMRYLKELINRSLIQVKEMWADGKLVNCGLHDFLREIIVSKSKEQSFTAIITGYCTRWPDKVRHLAIHNFTGNPPQGFSSLKCLRSVETFGNEDSLTTSFLSKFLCGGPKFLKVLNLASAELDSIPKEVFKLFHLEYLDLSGTRVKIIPKSIGQLQNLEFLILLETTITELPVEILKLRKLRSLVVGRADDYSNNFALWGFKSLDGIGKLTSLEILGNIEVDGGKVVREIGKLVQLRQLSITKLRREDGKELLYSLSRLTNLRELYIYSIKEEETLDLQHSVSPRLGFLTRLSLTGRLERVPEWVMSLQSLGTLILQNSELSEDENAIDCLGHLPNLAALILSRACEGETLCFKAGGFPKLKELDLVQLKRLKWVSVEEESMPNLQRFAILGCKLMEGLPLGLQNLTELKFLGFYDMFDDLIDKVQNLDNQSDDYQTISHIPQVCIGHWINGEWKEEFL >CDP21639 pep supercontig:AUK_PRJEB4211_v1:scaffold_5396:2:1344:1 gene:GSCOC_T00004442001 transcript:CDP21639 gene_biotype:protein_coding transcript_biotype:protein_coding SPILVQREHENILKLRETKDSAVSWKEYKSMTFTHMVINETVRLANIAPGILRKVVKEVEVKGYTIPAGWTIMVCPPSVHLDPNLYENPLEFNPWRWEGKELHAGSKSFMAFAGGTRLCVGADYAKVQMSIFLHYLVTKYTWRVINGAERIRTPTGIRFPKGLNIEISENK >CDP19541 pep supercontig:AUK_PRJEB4211_v1:scaffold_540:57106:59029:-1 gene:GSCOC_T00001819001 transcript:CDP19541 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAIRRAAGRIGSTKVDTTPSQLKESVEVRPPPEKFKVDNAGSASTGSMPKVNSENVLEERDPQYDSMLGHMVGRIRTKPGGKLEMGEAFVAEKYDRPLPKVRNTTPESGRYEERPALPGTLNVAQLRHIMLLYQGKADDHNGPMSITQIAERFRIDVAQVEKILQFVSLPPDDSSRKKNDQY >CDP19540 pep supercontig:AUK_PRJEB4211_v1:scaffold_540:51505:57053:1 gene:GSCOC_T00001818001 transcript:CDP19540 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGQLKRALIDATAGAISGAVSRTVTSPLDVIKIRFQVQLEPTAQWALLRKDSYRPSKYTSMWQATKDIFREERLPGFWRGNVPALLMVMPYTAIQFTVLHKLKTLASGSSKSEDHIHLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPTMRTAFVSILQRRGIRGLYAGLTPTLIEIVPYAGLQFGTYDTFKRWTMGWNRYRSSDPNESDLFLSSFQLFLCGLAAGTCAKAVCHPLDVVKKRFQIEGLPRDLRYGARVEDRAYKNIFDALFRILQTEGWAGLYKGIVPSIVKAAPAGAVTFVAYEFTSDWLESVST >CDP19536 pep supercontig:AUK_PRJEB4211_v1:scaffold_540:20240:20542:-1 gene:GSCOC_T00001811001 transcript:CDP19536 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFRNGVLRLVENPGDSRKALVHVPSNEVITSYAVLERKLSALGWERYHDDPELLQFHKRSTIHLISLPKDFSKFKSMHMYDIVVKNRNEFEVRDM >CDP19537 pep supercontig:AUK_PRJEB4211_v1:scaffold_540:29196:29759:-1 gene:GSCOC_T00001814001 transcript:CDP19537 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDENETTQVFEKLFKFVGSNLKNIVENPSHEGPDPNPGRYCFRLQKNRVYYVSESLVKRATNIKRENLVSLGTQIGKFTKTGKFQLTIQSLNLLAANAKHKVWLKPTSEMSFLYGNNVLKGGLGRITENINPNDGVVVFSMSDMPLGFGVAAKSTQDCRKMDPNGIVVIHQADIGEYLRMEDEL >CDP19539 pep supercontig:AUK_PRJEB4211_v1:scaffold_540:44348:47067:-1 gene:GSCOC_T00001817001 transcript:CDP19539 gene_biotype:protein_coding transcript_biotype:protein_coding MACGDTANVDLDLMDLYSRVKLRALTRKARGACSNIVVVKDDKSANAGLRKSSSRCRKRRFSVNLENSVPANAVAVENFKLTSLAEVTEEETETGKNYYQDVSNSYANAQAFDQLDLLLDEGTAVEMLAKLGQRRTEEHFNRFDFGDLVWGKIQSHPWWPGQIFNQALAITSVFSTKREGCLLVAFYGDYTYGWLRPEDLIPFEKHYAEKSKQSNAPLFSTAVKEAKKEIKRRAVLGLACHCRIPANFFPSKVRGYFEVNVSGYSSGVIYSAKQIQKAREDFQPDDALSFLKQLALSPTVKRQNLFWMKNLTRALAYQRARQDKFDKTFSEVFEVQQRSSESPAGMDNAPQGITQNFVLQNLFTSASKCPDISFVQIAEVQEKRILTRAAGDNYLQKSDGILLPLCEVNVSWGCFSGQTSGDHEMEIGFERSICSVSEGTCYTQSEKQLRPQGAPDAGNISGRHENFSKKKITRLNRIKSLARIGANQKVLELQGGQIIAASSIKFEKDFEMETHFLGNYAEPTMLIITFPPQAMLPSISELKDKFACFGPMDESSLRICWKSSACQIGFLHKTNAEAAYRYAIQNRTLFSSEVNYHLRAFSLGASELYKSGETMNHHVEEAHGEIQSQTSLSSYIFSNEMKPALRQLPVNPENILEGCQEEGPISPSAFPEHFSVSAEDYSDTSQNTLPLSSPSACPLISTAPSITEQIGINHHVDAIEKKPCQFDTMKNHKHQGTTSANSPHQLINLLTSCSKILGDFMPSSESMAYT >CDP19534 pep supercontig:AUK_PRJEB4211_v1:scaffold_540:2214:6183:1 gene:GSCOC_T00001808001 transcript:CDP19534 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 724 [Source:Projected from Arabidopsis thaliana (AT4G15780) TAIR;Acc:AT4G15780] MGGQESFIYSFVARGTMVLAEFTEFTGNFPAIAAQCLQRLPSTNNKFTYNCDHHTFNFLVEDAYCVVAKESVGKQISIAYLERVRADFKKRYGGGKADTAAAKSLNKEFGPIMKEHMQYIIDHADEIEKLLKVKAQVSEVKSIMLENIDTAIQRGENLTILSNKAEDLRDSAQEFKSKGTQIRRKMWYQNMKIKLIVLGIILFFVLVIWLSICHGFDCTN >CDP19543 pep supercontig:AUK_PRJEB4211_v1:scaffold_540:78297:78749:1 gene:GSCOC_T00001822001 transcript:CDP19543 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNLGASASSEEGGIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFIGFVTSEASEKCRKERRKTVNGDDICWSLGTLGFDDYAGPLKRYLDRYRELEGDRSGNQDKVSNSAEEMEEPSSYRSNIVQPRNQAEPSYRRN >CDP19538 pep supercontig:AUK_PRJEB4211_v1:scaffold_540:39539:40555:-1 gene:GSCOC_T00001816001 transcript:CDP19538 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQTLEINQLSAKDLNKVNLITKMDVYVVVSISGGGDKTKQKTKTPVDHDGDTSPSWNFPIKFTVDETAARQNRLTLVFQLRCERALGDKDIGEVHVPMKELLESAGGAAADGKQQFVSYQVRKPSGKPKGQLTFSYKFVDKISGPATFKADHASATAYPATVKADHAPATAYAATVKADHAPVTAYPAPVGTSSPYPPPSYYPPPAAAAQPYPPPKEGASSGYAPPPTGYPAPAAAGYPPPPPAGYGYGYPPPQPYGGYPPQPPSAGYGYPPPQAGYGYAPPVQQPPKKNNKFGMGLGAGLLGGALGGLLIGDAISDASAYDAGYDAGFSDGGFDF >CDP19535 pep supercontig:AUK_PRJEB4211_v1:scaffold_540:15931:16254:-1 gene:GSCOC_T00001810001 transcript:CDP19535 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFSNGVVRLVENPGEYQGSSSRRKVLVHLASKEVITSYSVLESKLLSLGWERYYDDPDLLQFHKRSTIDLISLPKDFRKFKSMHMYDIVVKNRDEFEVRDMQ >CDP19542 pep supercontig:AUK_PRJEB4211_v1:scaffold_540:62016:67439:-1 gene:GSCOC_T00001820001 transcript:CDP19542 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDASLPSGDSIGCDLFREQEIFPRVGANYQVEVPILVQKSEYLSLVKNVANTENGPVELNWGLPIPVFMVSQDRAKENAKVSQSLEVFSTDDSNAVLDNGVPVGESCGAVLEDRRDQCIFPGCSIVPGCANGSWIDLEKKCFLLGLYIFEKNFVQLRRFIESKNMGDILSFYYGEFYRSHDYCRWSECRKMRGRRGVFGQKIFTGLRQQELLSRLFPRVSGKCKNALLEVSKTFVEGKMSLEEYVFSLKAMVGLSLLVEVVGIGKGKQDLTGMALEPVRSNHAIPMRPEIPTGKACSSLTSNEIVKFLTGDYRLSKARSSDLFWEAVWPRLLARGWHSEEPKDPGYAAGSKNSLVFLVPGIKKFSRRRLVKGNHYFDSVSDVLSKVASEPGLIELENEVDESKRKEEEYECSRKRKLEGDDMPNQRRRSYLQPRTPYRGSDGMKFTIVDTGLEDARKVKELRRLLREFSSEFNSGNSYDIIDDDSSEVSTEESDSPDMTLHNKGDNDTSNASNHLSNGEILPDRKDLQIHAPTCENHASYDMNPAFKRARGLTACNHLETSNVLTDRAILPKSDSELSSRGSDVRDFAENVPPLVATPPDKLSLSNSSKGSPTESVEHDTVSCLVASDPQQSSQNPTLIDLNIPQVPVDFETGSLRTDATTENPVDHDELERAPDKVNPEHQANMNLQRRGTRVRPPTTRALEALAHGYLTVNRRRKGSEARSRENMRSRPSRRARGAGQGVAFQSVHQLNLGSVDPRSEPGSNSVDSTVQGGENVGKLQVQHAGNVTPIPGNVSVEGTSRNI >CDP21640 pep supercontig:AUK_PRJEB4211_v1:scaffold_5400:1110:3324:-1 gene:GSCOC_T00005066001 transcript:CDP21640 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNKALISVLFFLFLLSTQSIVPATARKILGTSEFGSNDNVQATRKLEGQIDPPWNPVGPYPSDGPRFETVKPIP >CDP21641 pep supercontig:AUK_PRJEB4211_v1:scaffold_5403:3642:4498:1 gene:GSCOC_T00006763001 transcript:CDP21641 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKIFFSTLISLPINGTFSSFPFATHHVPQTTSASIRTFPHPFSTQAQPITQNSENAEKDNQEEEDNDDEREEEEFSKTRILAQNVPWTSTVDGLHPFFEKYGTVVDIELSMYNKTRNRGLAFVRMASHKEVLATFKNLESYVSIFDKSRLFLDIVFFMALCKILVML >CDP21642 pep supercontig:AUK_PRJEB4211_v1:scaffold_5411:1579:4800:1 gene:GSCOC_T00010530001 transcript:CDP21642 gene_biotype:protein_coding transcript_biotype:protein_coding MDINPEEESLLGHSPPRRTKGGLKTMPFIIVNEAFERLASQGLMPNMILYLTRIYHFQTVTASSILFIWSALSNGLALFGAFLSDSYLGRFRVIFLGSFSSLLGMILLWLTAVVPQLQPLSCDQLKHKCSAPNGAQIIPLLSSFLLMSVGAGCIRPCSIAFGADQFDNKQNPNNERVLDTYFNWYYASTGISTVLAMTVIVYIQDHLGWSVGFGIPAILMVFSALMFLIGSSLYVKAKPSESLFTGFFQVLVAAFRKRGIQYPLDEEYKCYHRTRQSKLLSPTEEFRCLNKACVVQDPDTELTSDGLASNPWRLCSVEQLESLKALLKVIPMWSTGLVLIVTMDQGFLTLQANSMDRHLFSNFEIPAGSFSLFMIITLTIWVAFYDRVLAPLLARYTGNPQGLSPIVRMGMGLIMSFIAMVLAGVVESIRLKKAVEEGVEDDPDAVLDMSAMWLVPQTVCIGLAEALNAIGQIQFFYMLFPKSMSSVGVAMYTFGMALASLIGSLLVNIINSITSHGGKASWLANNLNEGHLDYYYWLLGFLNLINFFYFLFCCRFYKSHHNSNMLSKKVPEKES >CDP21643 pep supercontig:AUK_PRJEB4211_v1:scaffold_5413:1297:2274:-1 gene:GSCOC_T00006352001 transcript:CDP21643 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRPSFSLVLFAILLLSAASPGVLGICVYSIFGSPPDDCGDCTEGSMCDPPFEGAISQPNDPCTYCNSGLYCMPVAGGSYGFCVPPGR >CDP21644 pep supercontig:AUK_PRJEB4211_v1:scaffold_5414:1632:1973:-1 gene:GSCOC_T00013341001 transcript:CDP21644 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVTAYLLALLGGNTYPSAKDIKAILASVGADVDDEKIDVLLSQVDGKDITKLIAAGREKLASVPAGGGAGVAVAAAAAGGAAAAPAAEDKKEEKVEEKEESDDDMGFSLFD >CDP21645 pep supercontig:AUK_PRJEB4211_v1:scaffold_5416:3:2266:1 gene:GSCOC_T00004528001 transcript:CDP21645 gene_biotype:protein_coding transcript_biotype:protein_coding VIQQRNHRSFIFLSNVVIMLYHFTFFCQYRTLFFFQAYNDVMKAFAECNKFGNLPYGFRANTWLIPPVEPTEGITADENTAAHDIATLGFVIIRFCGHIVTVKVQGREDENLGPPLQSLELLAQPEGGANALNINRLRLMVLLSRIPTQSSELAQNSSITGFLGKSLMHLTSVCWCSIKKG >CDP19544 pep supercontig:AUK_PRJEB4211_v1:scaffold_542:56167:57638:-1 gene:GSCOC_T00004633001 transcript:CDP19544 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGNFTFHPPPPPPPMGSGKIAPPPPPPPMGASNGGVPAPPPPMQPGMRGPPPPPPGLGGAKDPRLRKAATKLKRSSQMGNLYRLLKLKVEGGSNLDAKSSRKGKISSTSGGQQGMADALAEMTKRSAYFQQIEEDFKNHEKSIRELKVSINSFQCSDMTELHKFHKHVESILEKLTDETQVLARFEDFPTKKLEALRMAAALYSKLDTIITTLKNWQIESPVGPVIDKIEKYFSKIKQELDALERTKDEESKKFQSHKINFDFGILVRIKELMVDVSSSCMEQALKERRDAKAMENAQKGPKTECPKKRSGKMLWKAFQFAYRVYTFAGGHDDRADQLTRELASEIQTDPNH >CDP19545 pep supercontig:AUK_PRJEB4211_v1:scaffold_542:57698:60960:1 gene:GSCOC_T00004634001 transcript:CDP19545 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEEEEEEERKLCSLNNWRRYRWRWWWCWTYCFQFFAAEFAKLSLIPPYLQTGYHEFLTNGVNFASGGAGALAETNTGLVIDLKMQFKNFRKAKKHLRLNIGKRAARRVVKNAVYLFGIGNNDYLSPLTNNSSIFKLYAPQDYVAMVVGNITSVVQKIHGEGGRKFGILNLGPLGCLPRLRAANVAAGGNGECVEQVTALAKLHNVLLSQKLQLLQKRLKDFKYSYFDVFTASMATVQNPSKFGFKEVKSACCGSGPFRGYFSCGGKRGMKEYELCDNPKDYLFFDANHPTEAANLQSAEAMWGGPPNITGPYNLQSLFLL >CDP21646 pep supercontig:AUK_PRJEB4211_v1:scaffold_5428:4097:4400:1 gene:GSCOC_T00002543001 transcript:CDP21646 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPKIAPSMLSSDFANLASEAERMLHCGADWLHMDIMDG >CDP21647 pep supercontig:AUK_PRJEB4211_v1:scaffold_5430:3211:3873:1 gene:GSCOC_T00013761001 transcript:CDP21647 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKKGFLAVEVGLEDEGNKGFQRFVIPISYLYHPLFQRLLDKAHEVYGYQVNGPLRLPCSVDDFLYLQRQVERETNKQHHHQQSHHLHYHHLLSSLPFH >CDP21648 pep supercontig:AUK_PRJEB4211_v1:scaffold_5431:909:1223:1 gene:GSCOC_T00003206001 transcript:CDP21648 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAKVVVLDIRFPTFPVVELQRHQASVNAIAWTPHSSCHICTANDDSQAIIWDLSSLGQPIEGGLDPILAYTAGAKIEQPQWSSSQPDWVAIAFSNKLQILRV >CDP21650 pep supercontig:AUK_PRJEB4211_v1:scaffold_5440:2752:4575:-1 gene:GSCOC_T00007170001 transcript:CDP21650 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGFSGAHAAMTKDAAGSLIIPQPVTADGAVIKDQIIRFLRHLTQILYLQCLVQFWGLVKNEDKTYLTTRDQPFALLYYCLDGQALKRLCEYRKHCLEYSIPVAVDEDDDDHEIGPPGRVFRSGLPEHVWDVGDYTSREYPQRDYAVGRVKEYWALPIYHHPTQHLPIGVLEFVFPYRFNGLPRPNLILVDIISFVFLFRIIAPPPTRILMRVTNKLHVSALRSLTVSFAIYQQLIFQSLITVKTKYQCSLFKLFLSETS >CDP21649 pep supercontig:AUK_PRJEB4211_v1:scaffold_5440:547:2531:-1 gene:GSCOC_T00007169001 transcript:CDP21649 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTTACVNLAQVNLCQRGEIAKIYKALSKVRKIHGLDDDDIITWTISGEILSSHGGEDFIRKGQGVVGRAFSSKSACFCRDIRQLSITDYPLVVQARYLKRSACFAVCLQSSCSNNCIYVLEFFLPTNEKDSGDSRTLLNSIMETLKEHLGRSFKIASGQELGQKLTVEVIKVSPEDKSDFFEICNTTSSESTPGLVEVQEGAGMAQLDFSSQQVDAANGSMNEPSDSEVINTKKHKLNYTLKSELGITREVLEQNSWRKLKDAAKVLQVSRSTLKRICREYDIRRWPPRKARKVNQASAEQRVVQPSTENTEERHWPDTTRLGDDSSIWVKAEYQGCTMKFRLPLSAHKFNLEEKVAQRLNLPIGSFKIEYQDEENEWIWIACDEDLSTCMSTLSSLGRTTIKMLVR >CDP19548 pep supercontig:AUK_PRJEB4211_v1:scaffold_545:63170:65008:-1 gene:GSCOC_T00003950001 transcript:CDP19548 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIAFARKSGDLCKKVERIGLKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLKEADVSLFPHLYLSDNELPPELKRCFSYCAVFPKDFEINVEKLIRLWIAQGYVRPNRRGERLELVGLEYFNNLAMRSFFQELQECKMHDIVHDFAQFLTKNECHVLHGIDYEQGTGRNLSTKRARHLTWLGTERAFSSLVVDFGRLRSFFAFSHGRVAPQGSARHLFCSLNCVRTLTLSCCGLHEVPAEIGSLNHLRHLDLSWNLFETLPEAICDLYYLETFEISNCGDLSCLPQRIEGLVHLRHLFNLINYEVHQIPQGLGKLTSLCTLTQFNARSNSDDLAILKYLNQLERLRIDIYGEVDFGRAELGKKIYLHEMYLWFNPGVHFMETPSCIESMELPPNLQQLALDMYPRNQLPSWLVTKSLVNNLTKLIINGPHNVSSLTDLWKLSSLEELRLIRVEKLECLGKEFFGITIALHENTAFPNLRKLHFQCCPNWTNWEDLSEDDEKVDVSIMPHLEELHIEYCKKFETLPHRILGKISFFKSLKVLGCNKLRDCYSDKIGDDSMKISHISQVDIS >CDP19546 pep supercontig:AUK_PRJEB4211_v1:scaffold_545:18510:21531:1 gene:GSCOC_T00003947001 transcript:CDP19546 gene_biotype:protein_coding transcript_biotype:protein_coding MISRIKWVLHNTLFCHHHPIKSPSFLLKTPVKNPRFWLLNQQPSHQHCQIRSLKSEATSAAAYSSSGEIHVIVGPMFAGKTSILLRRIRPRVQKVLMYVGFVLYYSAWVRAVLIICHIKTKKKISFRLYNFCKYMWVLHEGWIQVYNCRGSSRIRNLNLAHTLFFNKLQMKSLVSWNLMIAGYVQKGLEEVGLSMFHKLRKNGLTPDHYTFAPIFRACVSLAILEEGRQAHALRIRCQICGNLVVNSALMDMYFKCSRLSVGHLVFGKFLDRNVVTWSALISGYGQHGRVVEVMESLHRMLDEGFSPNHVTFLAVLAACNHGGLVNRGWEYFTSVTRDCGIQPKGKHYVAMVDLLGRAGRLVDAYEFVMNSPFKELPFVWGALLGACKIHGNMDSAKLAAKNFFELEPKIAGKYVVLSNAYASFGSWDNVAELRSVMNESEMKTEGGSLFLCVVKNCYLIPCWNNMKRKLTCSVGFLSKEIRKFLTLHLIVVHVREIEINMIEIELSFGLSKNF >CDP19547 pep supercontig:AUK_PRJEB4211_v1:scaffold_545:35757:38988:1 gene:GSCOC_T00003948001 transcript:CDP19547 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEELKFNLVNKDGSIEPIALVSNMPRNERTNQEMNEEVKSINFFGTNFYGIGAREARLRTEIDLISSAFHESIREKSSQLEKGEQMLLQGAVEPLHDKSNTPPWHLRKLDDGDKCMAHHTVEWLGSFDPWEENHFPMS >CDP19549 pep supercontig:AUK_PRJEB4211_v1:scaffold_545:75411:76138:1 gene:GSCOC_T00003951001 transcript:CDP19549 gene_biotype:protein_coding transcript_biotype:protein_coding MFSITIMIGAVIKSNKDTRSALDCFVTHDGEKLPCWPLANLSSFRQKLGTEAYEKLEVIDIDEAQFFEDLYGFCCEAPDRDGKTVIVAGLDGEYLRYILYVNPSSHLK >CDP21652 pep supercontig:AUK_PRJEB4211_v1:scaffold_5451:3946:4632:1 gene:GSCOC_T00004717001 transcript:CDP21652 gene_biotype:protein_coding transcript_biotype:protein_coding MEINVDNYLLHLVLYNICIVYCKQHVPCFFIFGESMLHNGNNNFLNTSFKAKYPPYGVDYPDGRVGRFSNAELVGLERGIPPFANTKRLSIMKGVNYYSSSGSGILDATGHELVRVLVIPSYLSSLFLFS >CDP21651 pep supercontig:AUK_PRJEB4211_v1:scaffold_5451:252:2390:1 gene:GSCOC_T00004716001 transcript:CDP21651 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHRTTISRIASLIGNETSARKHLSKCLYSVMIGSNDYINNYYLPQNYNSSSKYTPDQYAAALIQQYLDQLMTLYNYGARKLVLFGLGPLGCIPTEISMFPTPTCVDSINDAVQLFNDRLKQLVEDLNTRLSQAKLIYINVSSIQFGAPASIGLQIFNQPCCQVSSTTGLCIPGQKPCITRALNLFWDDFHPCETLNLYTAARAYKKLLPWDAYPTDISHLVLES >CDP21654 pep supercontig:AUK_PRJEB4211_v1:scaffold_5455:3333:4331:-1 gene:GSCOC_T00010430001 transcript:CDP21654 gene_biotype:protein_coding transcript_biotype:protein_coding SHYLLNAGAGAFPNVTLGWVDVRDVAHAHILAFEIPSASGRYCLVERSAHASEVIKILRGHYPTHKFLDKLSDYSNLFYPAHTVSNEKAKNLGVRFIPLEVSLKDMIESFREKNLVSI >CDP21653 pep supercontig:AUK_PRJEB4211_v1:scaffold_5455:142:1420:1 gene:GSCOC_T00010428001 transcript:CDP21653 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVTVIWSEKVKDELVLDGNDSELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGQIVEEDTHSLNGKGSTGGGKLSQNGEVEKGLLTLISSDAPGLQVWYLADSGFVLGDLLFLTGKALIHATAGLRPAVEEISTDQYTLPCRTSLVFRLMSQGNAIRDCSPIAAAGHVIPQSYVPISVTQFMDAIIVLVMCQSL >CDP21655 pep supercontig:AUK_PRJEB4211_v1:scaffold_5458:2129:4405:-1 gene:GSCOC_T00013372001 transcript:CDP21655 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLVKKVHEDLEVRRQFPVRAWVTVSETCDFQFLLKDLIRQLHEEGKKPVPRSIESMTTTELKKFVKDFLQQAGRYAIVFDDVWDVEFWNTIKFALPESSYGNRVLLTTRKADVASASCIESRGFVYRMEPLSVEDSRTLFCNKIFNGGNCPGHLMDVAKGILDKCEGLPLAILAISGLLASKDVNRIDEWEMVRRSLGGELEGTGKLDRVKKILYLSYSDLPWHLKTCLLYTSIYPEDHKIGCNRLINLWIAERFVEWREGMSIEDVAWGYLGELVNRSLIQVTDAFYEGIPNSCRIHDLMREVILLKSREQNMVTVTTGQPTTWPSEKVRRLVVHGSSNNNTQHHQQRRSYCFDHIRSFITVGSTNPLPYKTLLSEVLGSSKLLKVLDLAGQETQEEIPNEIFKMFHLKHLDLWGTRVERVPKAIGKLQQLEFLDLGNTAVRELPMEILKLQKLRFLKVYQLVDSSDDDCGYHGFKAPSNMGGLLALEILNFIDASSGSTIVKEIGKLTQLRVLYITKLRREDGKELCSSLANLTSLRELSVASIGKGDDHEIIDLNHPALSSSSSCSFPQSLRLLILRGRLEKMPQWVARLHGLVRIDLDWSGLRGEEDPLESLQHLPNLDSINFCGSYQGEGLCFKTGGFLNVKWMHLKRMEGLRWMRVEEGALPRLQKLILDLLPLLEELPLGIQHLSHLQRLVLYEMSSEMREKLLENQKEESEDYTRIAHIPEIVIGCYTDDGEWVQYKRIQTES >CDP19550 pep supercontig:AUK_PRJEB4211_v1:scaffold_546:62674:63336:-1 gene:GSCOC_T00003014001 transcript:CDP19550 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGYNYNHHLHQQSFYNKNSFLPLLCRVSIKDVKLREYKDRSCSFSDDPSSPKVSCMGKVKKTNRLIGFSTPYRLTAATATTATTTAKTNTNHQGHFKYTKLRRLFSGKNLITSLPATTSSSNSNNTRSSKINNDDGGIDHVNISELDPPLPVIKKVQQPCEVNLWKRRSGGPALKSLQIEQIHVPRNNHLPLPAQTV >CDP21657 pep supercontig:AUK_PRJEB4211_v1:scaffold_5466:2557:3687:-1 gene:GSCOC_T00007720001 transcript:CDP21657 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVGGSLLSAFLQVLFDRMARPEFLNLFRNRTADDDLLWKLKRNLHTVGAVLDDAENKEIRNQSVKKWLEELQDTFYEAEDLVDRINTETLRTKVETEYQSTTSSWKSALRRLCFERILPCTSSGDKFLRKIMPEIETIVDGLEGYIEQINPLGLQVIQSRIQSHQQYETPLVDETAIFGRDADKEKIIQMLLSEDANGDNIIVVPIVGMGGLGKTTLARIVYKDLRVEVSFPTRAWVSISEEYDATRITKELLRELDISFVDSDNLSSLQWKLRAGLTEKKFLLVLDDVWNSNYNQWDNLRSPFYGGSRGSKIIVTTRDQNVARMMAKERSIYHLDLIEEEDCRSLFKKHAFENRDANENAELELIGNKIVK >CDP21656 pep supercontig:AUK_PRJEB4211_v1:scaffold_5466:148:1482:-1 gene:GSCOC_T00007719001 transcript:CDP21656 gene_biotype:protein_coding transcript_biotype:protein_coding MHRISALTQDFYGDSRWHIPEGEVFNRLEELSIIDCSKLIGELPQQLSSLQSLEISGCSNLVTLPLQLNQLSRLEKLTIDDCESLLPLRVSRLPSSLKSLECYNYNLELESESLEEDGTLEYLRLENCDSLKVEWLASFPKLKGLSIIDCKSIEVLSIPAASGIVSKNCPEIECFREWGLPSSLQSLKILRCKKLTSRRREWGLEKLPCLTDLWIVGIKDGVESFPEEDWQLPCTLEALLLQSLQNLKVLNYSGLRHLISLQHLVIGFCHRLQSLPEEGLPASLTTLDISDCPMLKPRLEWDKGQDWPKIAHIPCLVIDDELVP >CDP21658 pep supercontig:AUK_PRJEB4211_v1:scaffold_5475:2:889:-1 gene:GSCOC_T00004690001 transcript:CDP21658 gene_biotype:protein_coding transcript_biotype:protein_coding MLFACAISALIVILFSHWVYRWRNPKCNGVLPPGSMGLPIIGETIEYLTPYATDDPPPFLQKRISRYGPIFRTNILGQSVVISTDAEVNYRVFQQENNGFELCYSESFTR >CDP21659 pep supercontig:AUK_PRJEB4211_v1:scaffold_5481:2596:2802:-1 gene:GSCOC_T00006999001 transcript:CDP21659 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQTRKVRRSKPAAGICSRCGGGASVADMKTATRFCHVPFYWKTWRAIICTFCGAILRSYH >CDP21660 pep supercontig:AUK_PRJEB4211_v1:scaffold_5487:447:3617:-1 gene:GSCOC_T00010606001 transcript:CDP21660 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTSGLRVDSRLRFTSMSWIPYFSGFMALVLLVFTMGKWIMICLKNNKPRFPLPPGPKPLPFFGCIFQMLRNRPTHRWIYKVMDDMNTEIACFGIFGVHIIPVTSPELAREFFKKHDSIFSNRPVCMSAELSSEGFLTTGLSPLGDQYKKMKRMIVSMDIRLATRHYLGNVIRKMIFNKRFFGKGMEDGGPGAEEVEHVNALFKLLAYMYAFSLSDYMPWMKIFDFDGHRKVLTMAIACVRRHHDPEIEKRIKTWESGLKNEEEDLLDVLIRLKDNKGRPLLTTEEIRAQITELMFATVDNPSNAVEWALAEMLNQPEMLQKATEEIDTVVGKDRLVQESDLARLKYVKACAKEALRLHPYAPFNVPHVSTQDTVVGGYFIPKGSHVILCRPGLGRNPRIWGDSLKFKPERHMNDMDDARMDLNDPELNMFSFSTGRRGCPGVLLGSTLTVMLLARLLQCFNWKIPSGLSQIDLAEGMDAGFLAKPLFAVAEPRFPQFN >CDP21661 pep supercontig:AUK_PRJEB4211_v1:scaffold_5489:1323:2770:-1 gene:GSCOC_T00006934001 transcript:CDP21661 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARNIGDHTDELFQAQAHIWNHIFNFINSMSLKCAIQLDIPDVIHKHGRPMTLDQLIDALPIKNEKAPFVYRLMQILIHSGFFIEAKIPGNENDNQKGYLLTSASELLLKSNPFSVTPFLLAMLDPALTDPWHHLSQWFQNSYESPFYTCHGRSLYDFASHESQLNQFFNEAMASDARMVSSVVTKDCKHVFESLNSLVDVGGGTGTFAKAIADAFPRLKCTVLDLPHVVDGLESTKNLGYVGGNMFEAIPPADAVLMKWILIDWSDDECVQILKKCKEAIPSKEKGGKVIIVDTFCKSLQKGDDDHEAIETQLFYDMGAMVLVKGRQRNEKDWAKLFSEAGFCDYKITAVLGLRSIIEVYY >CDP19551 pep supercontig:AUK_PRJEB4211_v1:scaffold_549:29342:30924:-1 gene:GSCOC_T00001166001 transcript:CDP19551 gene_biotype:protein_coding transcript_biotype:protein_coding MKQITYQTNKASLVENIAHLVENKKLEGISDIRDESDRSGMRIVIELKRGSAPSIVLNNLYRMTALQSSFNCNMVG >CDP19554 pep supercontig:AUK_PRJEB4211_v1:scaffold_549:49746:51588:-1 gene:GSCOC_T00001169001 transcript:CDP19554 gene_biotype:protein_coding transcript_biotype:protein_coding MHELSLPSRKPNKKCARVVGEVLGKFHPHGDTAVYDSLVRMALDFSLRCPLIRGHGNFGSIDADPPAAMRYTECQLEFLCIFLEVSISGSELIFFPNFYNSQKEPSLLPARIPNLLLNGSSGIAVGMATNIPPHNLAELVDALFVLIHNPEATVCL >CDP19552 pep supercontig:AUK_PRJEB4211_v1:scaffold_549:31412:31540:-1 gene:GSCOC_T00001167001 transcript:CDP19552 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFEHKVKERAKELKIIFQRGVKIVGDSCKKGWYKVKHIRK >CDP19553 pep supercontig:AUK_PRJEB4211_v1:scaffold_549:31660:34220:-1 gene:GSCOC_T00001168001 transcript:CDP19553 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYERMVRRRLQELLEYIPGPDFPTGGTIMGNIGVPRTAARGTATTRRKATVTDNNNIGKKREHLSEIPAIVPATRQRGLKHTHSCYLRINRGVEL >CDP19555 pep supercontig:AUK_PRJEB4211_v1:scaffold_550:6998:7156:-1 gene:GSCOC_T00003001001 transcript:CDP19555 gene_biotype:protein_coding transcript_biotype:protein_coding MINGVFLKVNKATLNTLHRVEPYVAYGYPNLLSVMELIYKRGYGKVNKQNFL >CDP19556 pep supercontig:AUK_PRJEB4211_v1:scaffold_550:7749:36381:1 gene:GSCOC_T00003002001 transcript:CDP19556 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPNVELEAAKFLHKLIQDSTDEPTKLATKLYVILQHMKSSGKENSMPYQVISRAMETVIKQNGLDIEALMSSRLPLAAGPQAGESGSSHVAGSSQRTGVIKDPKSSLTANEMSKTDSYSSGVSLVGPTAAGHDIYQGSANMMGGAGKVRGLTPGATASYQPVEAGMSVPMQFASSSFANQGFAAKMNKDGMEAFAAAPSMDLYAGKNIAGKIMEHEGTSLPIPNKLNQGAIPSNVPETSMIPSSALRDTGKSPVAQAPVSGLPFKEHHLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNFFPKEEGARKEMIDHKGKELSVNEPTTGVLDNTRGALSTGPQAGGNFLKDADNNASMKEDKSGYHAMPSEHAEDSRQHSALRRRLEAEMPKHETSESQASSLRGIQSDSNSRSIPVSIHEDDSGNNHQQIVISHHAPLVTGTSKTMKHDVSFWNGNGCQMEASGLTHASQQQRKENFANQCQNAAESNGLGHRDTDSDLPSVPLREQWKPISGMDGQNNILMPVKDSDIVLRNVLPAQETDTEEEDAPANADRPPSPKYTTSEKWILDRQKRKLLNEKMWVLKQQKTEQKKIAVCSAKLKESVSSSEDIFAKTKSVIELKKLQLLELQRRLRSDILNDFFKPIAPEMDRLKSIKKHRIGRRSKQLERYEQKMKEERQKRIRERQKEFFSEVEVHRERLEDVFKMKRERWKGFNKYVREFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGTKLQEAKSMARRFETDVDESRTATTVEKNEISVENEDETDQAKHYLESNEKYYMIAHSVKENVLEQPTILVGGKLREYQMNGLRWLVSLYNNQLNGILADEMGLGKTVQVISLMCYLMETKYDRGPFLVVVPSSVLPGWESEISFWAPGIHKIVYSGPPEERRRLFKEQIVHQKFNVLLTTYEYLMNKHDKPKLSKIQWRYIIIDEGHRIKNASCKLNADLKHYRSNHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSPDEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLIRCEASAYQKLLMKRVEENLGAIGTSKARSVHNSVMELRNICNHPYLSQLHVEEVHDWIPKHYLPTIIRLCGKLEMLDRLLPKLKATDHRVLLFSTMTRLLDVMEDYLCWKQYKYLRLDGHTSGGDRGALIEQFNQPGSPFFIFLLSIRAGGNLLMQLLASIFPSFELQSQARAHRIGQKRDVLVLRLETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEASPVLGDDALNDLIARSESEIDIFESVDKKRREEEMGAWRKLFIESGAEDRECLPPLPSRLLTDDDLKLFYEAMKISEAPPQVVASNSGMKRKSDYLGGLDTRQYGRGKRAREVRSYEEQWTEEEFEKMCQADSPGSPQVKEEIIEKKLSAVISDCVMLTGETQAQMPQQPLNPIVQPAAEPSKEATPPSKRGRGRPRRTPTTTELLPSPGALLASSGVQPMNAMPKTENVSCSQVVSLSEGLQDLAPENTFTVTVQQIVVGSDPGVQSVSLPPVTPAVPPTTLPCPSTPVQGRGRGRKAQSAGEAPRRRGKRLNTVVVPSPTPTAIGKPEFETLVEGASSSLRAYLGSQEVSVLNSTMPVSDAFSGSLVMAAPNSSSIPTDAFPSSLVTAGVTQQDPLARTALAVNPVPAPPFPSVISGSQSTALAHPAPARGRGRGRKAQSGAEAPRRRGKRQNLQTPASFEVSIDQDPRSVEPPEKKSRVSVGRRPTTRNKQEHDGLKQANVSVPSQSITDSVIGKFDTRPENGAQKPTDIAQLDASKNFVDSVGPLVDNKQDNGVLKPVNIVQTVASQGSAEPSSDEMNKDHENQTQDKTNSILSNASQNMKYLPMGQVCDGKPESEARLINSGNSDASRVFTDPPTIKESKDETESEGRRLKDIQLDLSTGFGDSSVASISQYKQGNTAEKEMNIIQSDPCQSREDGTPAQASEAEDNRTDKSACDVEADASQVIADPSRGHRQESEAEGQANTGQMVASQSVLDPAGVQVNTSVQTNDAPIVDNVVELDSSQNIAYPPAVEVQDNQGTEAQKDIDIQLETAAILSGSVPIIESADDRCCCEHKKEVEDEMEEENRTDTSACDVESHASQFIADPSRGRGQEREAERQTNIGQMDASQSVLDPAVVQVNASLQKNDSPIVDNVVESDSPRNIADPLDVEFQDNQGTEVQKDTDIQSDTTAILFGSVPIIESAGDRCRSERGKEVGYEMEEEESSFLDLHPTISASVTRVSGLLHRSVDQAKTEEQVAKDSFLDPGSVSALPTKENAARDKNVALKKSDDFCAELETKKEEIEACVNDFLALKPEVDVKILLQENSHSLVVVEPIVVASSSSVCNPENKSGEEQAKSKFLDDSVVSDPGLTENTIYSDKGADNPLLLMSSDSDLLTLNDQRCDGKTCATVPTFASMGSSGAVVMSENPSGKLSDGEVEQTSDLLEEGTTAPDSEILEDISEIHSKKTFPEENKKQDNLLSQDSVSVLFSAENVASVLDNAQNKSQDICQNVDGNDPESKGSGLDEVVVFKHEFTRAGEIKQKDSAFDGPQTSDLLNEAIAATDSGALTEISHCDKIATDQYEKQEKQDTVPCQDSISVVSSIENVVSVVDQAPENSQDTCQKEDGNDLKRKATGLVEVSVLKNELTMTLETSSQTLRASEVAPVLDTTVKETRNQATEEDANGWGSKDSSVMESVVPKPEVSEVEACPGKADAEGLQSRGCFVESANAPVSMYGIANSQSLVQNTSSTRSSLEIEELVADLPNEECVKEADDQFQVGQVGTTITEAFVPAPDSGDFVSKSSSMIVTELREDFEVKKSSITCDGTTEEKSDFQASEDYATEVLETRKMEVEPDASLVFETFKGIEERVVSNAEINVTSHSPNEGKSENLVDSKIIEEKPVKDIDREVTEFTVQTSNLKDDLPEMAEVVCDSRTDDSGKHESQDSILEKDSATTAVVSGAQTLEEMTSSTCLVLETNRLNGNNERSENEADLQHFEEIIPINISKEVSVSSMKRLILRYLQPLTTF >CDP19560 pep supercontig:AUK_PRJEB4211_v1:scaffold_551:59719:64966:-1 gene:GSCOC_T00009012001 transcript:CDP19560 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRLISQTLIYHPKSSSTLPLSPRILAQRHRSGKPRVTAQLIEIELDSSSSSASSSSSSSSSSSSSPSSPPSSADVETISGGIRKLEEVIQNIIVRRSAPDWLPFRPGSSYWVPPSNLRNHPYGGVVEVIGKLSTAARLHSEGHLGPSLSQGKSTSTTFEFVTDDETAAFPSSRGWPSTSYFIEGSAPTYPVPMEVEMEAEVKVLDNSKGGSTDEDEEG >CDP19557 pep supercontig:AUK_PRJEB4211_v1:scaffold_551:4895:6151:1 gene:GSCOC_T00009008001 transcript:CDP19557 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLDSSSQQVDAANAYINGVHGQQSEIVGCPPRPEHTQGFDNISYQELNLAGVDVAHNSTNGIYEQQNGIVRSSTGQVLMQNMVSIEHDEPIVEDPQRDGASIEQGDNEVTNLKVQKPSCTLKSDLGITREVLEQNSTRKLEDAAKNIGVSRSTLKRICREYGIHRWPPRKARKINQAFAEQKIVQHSTEDTHEPHQSGAARLEDDNGMWVKVEYQGFMIKFRLPFSARKIDLEEKVAQRLNLPVGSFKIEYQDEDDDRIRITCDEDLRTFMSSLSSLGRTTIKMYIVEDSPNRR >CDP19559 pep supercontig:AUK_PRJEB4211_v1:scaffold_551:46320:56529:-1 gene:GSCOC_T00009011001 transcript:CDP19559 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQHQQQQQLHSSPSSSSSAAEETLNKQTQAQFSSLPSLNGDFQILPIMYPSYFPGFYPPQQNQEQMNHGRGLYAVPAFPFMGPTAAFAPNTLIPFTYNIPSGPSPPETGAVGENQGQQQHEQQQPQQMQPGHRQVVVRRFQIAFQLDLLLILKLVAVIFLFNQDGSRQRLVLLVFFASLVYLYQTGALAPLVRWLSQGMHRAAAPPQPPRPAVRADNAHAPEGAGNENDAAAADGQPVGENEHQPLNENGAIENEPGVEPGRAEGGNRLWVIVKEIQLIVFGFITSLLPGFHNID >CDP19558 pep supercontig:AUK_PRJEB4211_v1:scaffold_551:26500:31947:1 gene:GSCOC_T00009009001 transcript:CDP19558 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPVPMEPTGGALAETTLNSEDSHRFGNLRGVQWRIDLGILPSSPSSSVDDLRRVTANSRRRYAALRRQLLVDPHLPKDGSSSPDLVIDNPLSQNPDSMWGRFFKNAELERMVDQDLTRLYPEHGSYFQTSGCQGMLRRILLLWCLRHQEYGYRQGMHELLAPLLYVLHVDVEHLSEVRNTYEDYFTDKFDGFSFHESDLTYKFDFKKFSELMEDGNGSGKIAANASSLSQLDPNIQTIVLLSDAYGAEGELGVVLSEKFMEHDAYCMFDALMNGSGGAVAMAEFFAPSPFGSSHIGFPPVIEASAALYHLLSLVDSSLHTHLVELGVEPQYFSLRWLRVLFGREFALEELLIVWDEIFACENCKLNKLAENDVDASSGVLDSSRGAFISAFAVSMILYLRSSLLATENATSCLKRLLNFPDDVKLEKLIRKAKSLQALAVDANNSNPLLTQTGMFERSESGAVRGHSLSFDATSPRTPLTLVPDSYWEEKWRNLHKEEERKKDALEKQAPSIRKGWSEKVKLGLSRTESAPSSSSVDKRKKDPKLSVRRNLLEDLARQLGADEDTQSIMDDERADVKDQGVEDGQDFNDKFACTTEQTCLSGNAGSEENSSIFSDPPSPINGVNDHGNESGRSSVASNSSIDEHDGGTNTAELCAHNPEGSPLPVADSPDDVSLEASPNDQTAAEKSTVSLKERKLLSNSKFQWLWKFGRGGGEGIPEKGQVSEANKGCSNRFDHDSVTRCSASNGGVILSSESSKGETVDQNVMVTLRNLGQSMLENIQVIESVFQQDRAQAAPAKNVLVGKGQVTAMSALKELRKISNLLSEM >CDP21662 pep supercontig:AUK_PRJEB4211_v1:scaffold_5512:951:2420:1 gene:GSCOC_T00005407001 transcript:CDP21662 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPPIPHADPALIAQDFVPFFRVYKDGRVEKFLQAPFVPPSDSDDPQSTGGVRSKDVIISPETQVGARLYLPATVKPDEKLPVLIYIHGGAFVIGSAFSVVYHNYLTSVAAEANVVAVSIEYRLAPEHPIPACFDDSWAVTKWVASHANRQGPEPWFNNHADFSRVFLAGDSAGGNIAHYMAVKASREGLGDGVKLVGLILAHPYFGKGGREELWEYITSDFKGWDDPRLNPMASSGLLSGLLCEKILLCTSETDFIRDRSLHYSEALKKSGWRGELEVVDVEKEGHVFHILNPSGDNAGILMKRLVSFLGN >CDP21663 pep supercontig:AUK_PRJEB4211_v1:scaffold_5517:4255:4659:-1 gene:GSCOC_T00007323001 transcript:CDP21663 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELLTNWTIYSNKVAALFTLVVIIVINLALGILPHVDNFAHIGGFISGFLLGFVLLFRPQFGYLESRQIPPGARLKSKYAVYPVLMLVALVLLIIGFTVGLVMLFRGENGNDHCSWCHYLSCVPTSKWSCNN >CDP19567 pep supercontig:AUK_PRJEB4211_v1:scaffold_552:76138:76633:1 gene:GSCOC_T00000628001 transcript:CDP19567 gene_biotype:protein_coding transcript_biotype:protein_coding MKYISASFYCIVFLLSTFAILQSSAKVHVHIQNRQGKNINIHCKSKDDDLGYHNIENGTEYSWSFNPNFWYTTLFYCAVLMDPDPVWYHFDAYRQSRDSYRCESQCLWAILKHHSLIGYNQKTGNWERFFFRSDRLL >CDP19564 pep supercontig:AUK_PRJEB4211_v1:scaffold_552:45835:46499:1 gene:GSCOC_T00000622001 transcript:CDP19564 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSPPLQPQSRPNPLGPFGFKLEHASADKVSGRFQVTPKCCQPIGTLHGGVSALIAEDLGSMGAYLASGRRKVTGIEVCISHLKRAQVGDIVQAEATPLNPGTTIQVRRFVWIVKILKKINFLGCMINIFFNYHFHSYASIFF >CDP19565 pep supercontig:AUK_PRJEB4211_v1:scaffold_552:54746:57277:1 gene:GSCOC_T00000624001 transcript:CDP19565 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPKPLPPPPPPSSASEASENEDSGGPLGVFGFQDEHVSPEKVSGWFQVTPKCCQPFMVLHGGVSALIAEDLAPGGGEPPEYNSALTISRVHKLVISCMLRPLLSMLAPLFRYGKCGSGRLIPQIL >CDP19561 pep supercontig:AUK_PRJEB4211_v1:scaffold_552:5217:7889:-1 gene:GSCOC_T00000618001 transcript:CDP19561 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISFVIERTGDLLIQKIVFLKGVRRQVERLKDDLVRMRCFLKDADQRQDEEATIRNWVSEIRAAAYDAEDIIEIFASKVELFTKDKGLVTKLTYYPLKIVNRYKMGKEIESLQMRLNDMEKSRDKYGIKNLGVGTITHGEELQRVRRLVEDKDIVGFEEKTISLVAELLKEDKNRRVVSIVGMGGAGKTTLAKKVYNHAEVRTRFDCRAWVCVSSSYNHKETLRTIIKQLNPITNELLDMLEKMQEQDLEERLRQDLQDKRYLVVLDDVWKEVAWDCLAGAFPDVNASSRLLLTSRNREVAVHADALSKPEELKTLGEKDSWQLFLRKALVHGDNAGCPRDLEKVGREIVRRCAGLPLAITVVGGLLLGKKELKSEWEKVLNNFSTHLSRGQSGVSAILELSYADLPANLKFCFLYLGLFPEDSVISVRKLIHLWVAEGIMQKGDAENLEEAAAYDDVERLCSRNMVQVAEMTVDKRIKSCRVHDLLRELAVRKAKDENFFQIHDTRDDQISAKSRYLAVHSLPLDKNYFRSSTPSLRSLLFFNIRENISLSFKSFRKLRILDLENVKMGYNLPKEIGEVRLLRYLNLRGTYIRRLPLSVGCLRNLQTLDIRTISVDPVKLSNFIWKLESLRHLYAYNMECDVPLKIEGLRNLQTLSRISYHDIMQNNMITLTSLQKPMILVDDKSDIDKLCMHLSEVGSLKTLRLYYSPLPQSLGGLSKLHHVTELRLSGLFLRKLPPDFPPNLSRLSLKFTGLRDDPMPVLEKLGQLSILKIKDDVYGGLYGEPQQVMIISRHGFHQLKFLELSRLFHVHEIKVEEGALPQLQCLRIRGCFYLKKLPEELKDISTLDTLELVDMREDFISGLDADLVSSVPNLRIFDLPKERMQ >CDP19562 pep supercontig:AUK_PRJEB4211_v1:scaffold_552:9140:14896:-1 gene:GSCOC_T00000619001 transcript:CDP19562 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISLVVERTGDLLVQKFVFLKGVRRQVERLKNDLVRMRCFLGDAGQRQHEDERIRNWVSEIRAAAYDAEDVIEIFASKVELFTKDKGLVTKLTYYPFEIVNLYKIGKEIESLRMRLKEIADSRVEYGIKNLGEGMTTHGEELQRLRRFNCRAWVCVSSSYDHKKILRSIIKQLNPKDDKLYEMLEKMEEEELEERLYQDLQDKCYLVVLDDVWKEVAWDCLSRRAFPDVGTSSRLLLTSRNREVAVHADALSIPHELKSLGQEDSWQLFLKEALGHGANAGWPPDLEGVGREIARRCAGLPLAITVIGGLLLRKKKSKTEWEKVLNNFSAYISRSQSEAGAISEAGAILELSYADLPANLKFCFLYLGLFPEDSVISVRKLIHMWVAEGIMQKRDAKNLEETAAYDEVERLCSRNMVQVAEMTVDDRIKSCRVHDLLRDLAIRKAEDENFFQIHGTRDDKISAKSRYLAVHSLPLDKNYFGSSTPHLRSLLFFNIRENISLIFKSFRKLRILDFENVRMYSNLPKGIGEVRLLRYLNLRGTAIRRLPHSVGCLRNLQTLDIRRNLQTLDIRSFDCLRNREVKVSNFIWKLESLRHLYADEMECGGRCIATAPVPENQIDVGSGAMPELRCLRISYCCVLEMLLEELKSVKVLRGWRQQPCQKASRNRFMLGRPCPQQHFLQYISIEDLVMDMHKKLNGFQSINAKKTLNFDQIRAKNNSVMFC >CDP19563 pep supercontig:AUK_PRJEB4211_v1:scaffold_552:32219:34858:-1 gene:GSCOC_T00000621001 transcript:CDP19563 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIISLVIERTVDLLIKKSVFLKDVGRQVERVRNDLDWMRCFLKDADQRQDEDERIHHWVSQIRAAAYDAEDVIEIFAGKIEFMKDKGFVLKLAYYPWRIVSLNKIGKDVESLQMRLNDIADSREKYGIKNLGERTNTHGEELQRLRRSSPISEDMDIVGFEKMVKSLVAELLKEDRNRLYNHADVRTRFNCRAWVCVSSSYDHKKMLRAIIKQLNEMSKELLEVLEKMEEEDLERRLYKDLQDKCYLVVLDDLWKEEAWDCLARAFPDVNTSSRLLLTSRNRDVAQHADALSKPHELKTLGEEDSWQLFLRKALDHGANVGCPRDLEEVGREIVRRCAGLPLAITVVGGLLLGKKKLKSEWEKILNNFSTHLSRSQSGVSAILELSYADLPANLKFCFLYLGLFPEDSVISVRKLIHMWVAEGIMQKRDAKKLEETAYDDVERLCSRNMVQVAEMTVDERIKSCRVHDLLRDLAIRKAEDENFFQIHDTRDDEISAESRILDLEKVDMYTNLPKGIGEVRLLRYLNLRGTYISRLPRSVGCLRYLQTLDIWSFNGIVEVSNFIWKLESLRHLYALQKLGIVVDERSEIDKLCMHLSEVGSLKALGLYRTPQSLGGLSKLHHVTELKLSTSPSAWTMLPSDFPPNLSRLSLKGRYRWDDPMPVLEKLGQLSFLKMKFQYGGPRHMVISRHGFHQLKFLELSRLDDLEEIKVEEGALPQLRCLRIRNCRSLRKLPEELKHISSLDALELVDMPKDFISRLDADLVSSVPNLRTF >CDP19566 pep supercontig:AUK_PRJEB4211_v1:scaffold_552:64094:67681:-1 gene:GSCOC_T00000627001 transcript:CDP19566 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSKGAPEVMGVEDDQKPLSIALEGTQFLANGHPILTEVPANIVATPSPFFSKDLTRKMVGSFIGFDAIEPKSRHVVPLGKLKNIRFMSIFRFKVWWTTHWVGNCGKDVEHETQMMILDKSDNARPYVLLLPILEGPFRASLQPGTEDYLDISLESGSSKVCRSRFCSCLYMHVGDDPFQLVKEAMKVIKVHLGTFKLLEEKRPPGIVDKFGWCTWDAFYLKVSPKGVWEGVKTLVEGGCPPGMVLIDDGWQSICHDDDDVNDQKGMNRTSAGEQMPCRLVKFEENYKFRDYTSSREPYDKGMGAFISDLKEEFKSVEHVYVWHALCGYWGGIRPNVPNMPESRVISPKLSQGLQMTMEDLAVDKIVNNGVGLVPPEKFQEMYEGLHSHLESAGIDGVKVDVIHLLEMLCEEFGGRVELAKAYYKALTASVRKHFKGNGVIASMEHCNDFFYLGTEAIALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHPCAEFHAASRAISGGPIYVSDSVGKHNFQLLKRLVLPDGSILRCRHYALPTRDCLFEDPLHDGKTMLKIWNLNKFTGVLGAFNCQGGGWCPVTRRNKSASEFSVPVTCLASPEDIEWSNGRNPIPLKGVELFAIYMQREKRLKLLKLSDNMGISLKPFEYELLIVSPVTVLTKKLVQFAPIGLVNMLNSGGAVESLIYDDDDDKSSVSIGVRGSGEMRVFASEKPISCMIDGIDVEFSYNDQMVIIQVPWPNSSRLSIVKYIF >CDP21664 pep supercontig:AUK_PRJEB4211_v1:scaffold_5529:788:3214:1 gene:GSCOC_T00009086001 transcript:CDP21664 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCTRSSLKAARHKAGVLCDSVQSISGENDLKLCITNYFKGTSGKYSQKFGQAPLYTDDEREQENKMAKLQKGKRAILLDSTDDHVEVVAPKRGKAMNKGKLKRPKCTRKFGNSSPGEVNTQSFEIDSISSHCSERKESSMSPSIGIPSPPAPTDDMYHFWTALQALGAYLAAAKGDLLEDETEDVFVLCYCAMLLYYVWILDVKRCGPGPR >CDP19568 pep supercontig:AUK_PRJEB4211_v1:scaffold_554:29155:29328:-1 gene:GSCOC_T00000550001 transcript:CDP19568 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVGEARNPPPSSKPVEGFGWGTEEGDIVVGEKEEEEDKYEKQVREIYESGEFHIN >CDP21665 pep supercontig:AUK_PRJEB4211_v1:scaffold_5555:1365:2749:-1 gene:GSCOC_T00012873001 transcript:CDP21665 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLAEILGKFALSSKELGGADLDRAEINLGVKECQLSLIGKIKGEKIVNYTGVKSFVTAAWSYPKDLRVVELGPNSFQFFIPGEQERERILGGGPSIIDSQILVLNRWREGSEEDERAFNEAPLWVQVWNLPVHWMSKDVGSKIGAVFNRVKEVIIPQTGSKEGRHIKLLVIADISQPLLRGTIVKMEGSTKWVSFKYECLTIIRLHCLLAVIMYVVLPMPSIFLAGSDTSSLFSESQSGWADVTKFLTGASA >CDP19583 pep supercontig:AUK_PRJEB4211_v1:scaffold_557:57233:59266:-1 gene:GSCOC_T00006583001 transcript:CDP19583 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLLTLILAYFLVHTAAGAADSDDVGFIYQGFQSSNLSLDGVAKITSNGLLRITNTTSLQTGHGFYPNPIKFKNTSNSSAFSFSTQFVFAMVAGLPGMPGEGMAFVIAPTRGLAEGASTRFLGLFETNTDGNSTNHVFAVELDTIQNPDFDDINDNHVGIDINSMRSKVSQPASYRANNKNSFDNLTLASGQPMQLWVEYDGVDGRIDVTLAPIAAAKPHTPLLSLRYDLSPILRQTMYVGFSAATSPIDKGIAFTVLGWSFKMNGDAQALDLSRLPKLPRLGPKEVSKFFTVGLPLLSILLLSIVFFGVAYYLRRKWKFAEVLEEWELAYGPHRFKYKDLYIATKGFTQKQLLGEGGFGQVYKGMLPTNRVEVAVKKVSHRARQGMRAFIAEIVSIGRLRHRNLVPLLGYCRRKGELLLVYEFMSNGSLDKFLYNQPNCALNWSQRFRVIKGVASGLLYLHEEWEQVVIHRDVKASNVLLDDELNGRLGDFGLARLYDHGTLPQSTHVAGSLGYLAPEHNRTGMATTSTDVYAYGAFLLEVACGRRPIEPRAEPAESIVLVDRVFSCWKAGCILQAVDHNLGNEYVKEEAELVLKLGLLCSHSEPKIRPSMRQVLLYLEGSVALPDLSSLAMGVSAVGLGFTHPDFEDIRSSFATSTDRCYTHSVTDSFLSGGR >CDP19582 pep supercontig:AUK_PRJEB4211_v1:scaffold_557:39383:40612:1 gene:GSCOC_T00006577001 transcript:CDP19582 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGAATGDVLQHTHHHHPPRVRPSCDAWVSHVFPVAPWIANGCSEYELDCNTGRIQVTRSKLIEFLVNLQHEIHPSNPRFTSFHLNFLVALYCNCTQKAAWKYILEFLQQSLQS >CDP21666 pep supercontig:AUK_PRJEB4211_v1:scaffold_5573:1673:4658:1 gene:GSCOC_T00001116001 transcript:CDP21666 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDMVHHQEQLPLEEISSPINAQIFDFCESELFPETLQNSEVASNSNCCYEEHSSYSTNLSLTPDMNRYANNLLVKDEPPETATTTHAVTSTMTTATTNNNSNLSVIFDSTDDIENDISVSIDFSNSTAYNIPQYVHNQLDQFDYSSLNARSSVTDVADGSLAQYPGEPPAVPLMAPPMPPVYEEECLSAMPPYMRLSTSSPSCSLLDPTIGPYLPGNLNAALAAENPGIFSGGCLFLGTELPPQELEFQGENGGIFCPDAMPRVYNCSTELQAISNESQQFVNGAGNSTPLASEISNLDDPTFKVGKLTVEERREKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELEMNRTACSISNQEEDTDED >CDP21667 pep supercontig:AUK_PRJEB4211_v1:scaffold_5581:3:2586:1 gene:GSCOC_T00006232001 transcript:CDP21667 gene_biotype:protein_coding transcript_biotype:protein_coding QRLFSSITTPVYGLLQVNSPSSEPLMTPVGGKLSWQSYTDETPSADDSDVLVMNGLWEQLNVTRDSSDYLWYLTDVNIASNEGFLKSGQDPLFTVMSAGHALHVFINGQLSGTVYGSLDNPKLTYSSNVKLRAGVNKISLLSVAVGLANVGVHFETWNTGVLGPITLKGLNEGTRDLTKQRWTYKVGLKGEAQSLHTVTGSASVEWAEGSLLANKQPLTWYKTTFDAPPGNDPIALDMGSMGKGEVWVNGQSIGRHWPAYIANGNCGGCNYAGTFSEKKCQMYCGKPSQRWYHIPRSWLQPSGNLLVVFEEWGGDSTWLYLVKRTR >CDP21668 pep supercontig:AUK_PRJEB4211_v1:scaffold_5583:3717:4028:-1 gene:GSCOC_T00000219001 transcript:CDP21668 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSCAIACRPFECRSGPDFSGRLPRPEFPTFGAARFSAKANSVTQGFSAGSLFYSLISRFPLNFGRQRSTKARRNCSNIGIAQIVAASWSDNQQGVPLLPL >CDP21669 pep supercontig:AUK_PRJEB4211_v1:scaffold_5585:1:1614:1 gene:GSCOC_T00012067001 transcript:CDP21669 gene_biotype:protein_coding transcript_biotype:protein_coding TSSGCIATERCGLLISHFAISFRKKQVVIKKRRKEAFFSVLGRTPSQKTGSIEKIGASAGSGSVDAPAWAPLRDNYMLTNSKLKDWDKMPDTAAVDDFGLRPDADSSSDDE >CDP21670 pep supercontig:AUK_PRJEB4211_v1:scaffold_5592:119:2340:1 gene:GSCOC_T00006084001 transcript:CDP21670 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSNNRKPLQKGRNLSIEAIQTVQALKRARATSSCPLEPALETTFRRLLKLDMIAVLRELIRQNQCYLALKVFEEIQEEYWYRPQISLYAELVSLLGSNGLLEEVELLFIKLKKEPCLEPDINGFNALLEILANFNLTGLAVECFYLMKSIGCDLDKLSFKILINALESNEEASLSAFLRLEAQKYFGQSLNFQEKGEGEGYSLSSN >CDP19590 pep supercontig:AUK_PRJEB4211_v1:scaffold_560:20025:24364:-1 gene:GSCOC_T00001637001 transcript:CDP19590 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDIHLDDSTTKDPKCNGSDADDDVVDPDEISPVEQVRLTVPTHDDPSIPVWTFRMWFLGILSCGLLAFLNTFFGYRTEPLIITMISAQVATLPVGKFMAKVLPEKKFKIPGFGSREFSLNPGPFNIKEHALISIFANTGSGFGSGAAYAISIVDIVKVFYHRKISFLASWILVVTTQVLGYGWAGIIRKYVVEAAEMWWPASLVQVSLLRALHEKDSRRMSRGKFFLIAVTCSFSWYTFPGLLFPTLSSLSVLCLVFPRSITAQQIGSGLKGLGIGAFTFDWSVIASFLGSPLVSPFFATVNILVGYVAAIYVLIPIAYWGLNLYNANKYPFFSPHLFDSQGQPYNVSAIVNDNFELDLPTYEKQGRIHITMFFAIAYALNFATVIATIVHVACFNGKDIYRRFMASNKGKPDIHTKLMRQYEDVPSWWFGLLLSFSLALSLLLCIFLNDQVQLPWWGLLFAAGIALLFTLPISTITATTNQTPGLNVITEYVFGIIHPGRPVANVCFKTYGYISMSQAVAFLNDFKLGHYMKIPPRSMFIVQFLGTIIAGTINISTAWYMLTSIENICHPELLPPDSPWTCPGDNTFYSASVIWGLIGPMRIFGRLGDYSALNWGFVIGGLAPILVWLTHKTFPSQDWIKLVNFPVLFGATSLMFPATSVNYNGWFVVGIVFNYFVYRYKKKWWQRYNYILSAGLDAGLAFMGVLLYFCLQYENKTISWWGSEGDHCNLASCPTAMGIEVDGCPLQH >CDP19591 pep supercontig:AUK_PRJEB4211_v1:scaffold_560:29165:35252:-1 gene:GSCOC_T00001638001 transcript:CDP19591 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLGGQVLGYGWAGLLRKYVVEPAHMWWPSNLVQISLFRVLHFEEDADGNENGERNGNKRQTSRTKFFVVALVCSFIWYLVPGFLFQALSSISWVCWAYPNSVTAQQLGSGLNGLGLGAFTLDWSTVASFLFSPLISPFFAIANVFVGYVLVVYVVIPISYWGLNVYNAKNFPIFSSDLFTAQGQEYNILAIVNQKFELDKVQYEQQGRINLSTFFALAYGFGFATIASTLTHVALFYGREIYDRYRASSNARPDIHTRLMRKYKDIPNMWFIVLLSATLIVSLALCIFLKKEVQMPYWGLLLAAAVSFLFTLPISIITATTNQTPGLNIITEYLMGVMYPGKPTATVAFKTYGYMSMTQAISFLSDFKLGHYMKIPPRSMFLVQFLGTIIAGTVNLGVAWWLLHTVEDICHPDMASNSPWTCPGDRVFFDASVIWGLVGPKRIFGPHGNYSALNWFFLGGLLGPLAIWLLHKQFPKQSWIPLINLPVILGATASMPPATALNYNSWIVVGTIFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAFLLYFTVGMENRSISWWGNNNPEHCDLATCPTAKGISVDGCPTFH >CDP19594 pep supercontig:AUK_PRJEB4211_v1:scaffold_560:59547:65410:-1 gene:GSCOC_T00001641001 transcript:CDP19594 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine hydroxymethyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G26780) TAIR;Acc:AT5G26780] MAMAIALRRLCSSSSSSSSLRPLSSRVSSVYRMSSVANQAVGEKENSRITWIKQLNAPLEEIDPEIADIIELEKARQWKGLELIPSENFTSTSVMQAVGSIMTNKYSEGYPGARYYGGNEYIDMAERLCQTRALEAFNLDPTKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYQRIRKVCDKQKAVMLADMAHISGLVAAGVIPSPFEFADVVTTTTHKSLRGPRGAMIFFRKGVKEINKQGQEVMYDYEDKINQAVFPGLQGGPHNHTISALAVALKQAMTPEYKAYQEQVLSNCSKFAETLLASGYELVSGGTDNHLVLVNLRNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFAEEDFVEVAKFFDAAVKLALKIKAETKGTKLKDFVAAMKDANLQSEITKLRHEVEEYAKQFPTIGFEKETMKHKD >CDP19592 pep supercontig:AUK_PRJEB4211_v1:scaffold_560:46938:52337:-1 gene:GSCOC_T00001639001 transcript:CDP19592 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLGIISCMLLSFLNQFFSYRREPLIITQITVVVATLPIGRFMAAALPTTQFQLPGFGSGKFSLNPGPFNMKEHVLISIFANAGTAFGNGPAYAVGIVDIIIAFYRRKISFFAAWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPSNLVQISLFRALHEKEDADGNENGESNGNKRQTSRTKFFVLALVCSFIWYLVPGFLFQALSSISWVCWAYPNSVTAQQLGSGLNGLGLGAFTLDWSTVASFLFSPLISPFFAIANVFVGYVLVMYFVIPISYWGLNVYNAKNFPIFSSDLFTAQGQEYNISTIVNRKFELDKVQYEQQGRINLSTFFALTYGFGFATIASTLTHVALFYGREIYDRYRASSNAKPDIHTRLMRKYKDIPNMWFIVLLSATLIVSLALCIFLKKEVQMPYWGLLLAAAVSFLFTLPVSIITATTNQTPGLNIITEYLMGVIYPGKPIANVAFKTYGYMSTTQAISFLSDFKLGHYMKIPPRSMFLVQFLGTIIAGTVNLGVAWWLLHTVKDICHQDRTSNSPWTCPGDRVFFDASVIWGLVGPKRIFGPHGNYSALNWFFLGGLLGPLAIWLLHKQFPKQTWIPLINLPVILGATAYMPPATALNYNSWILVGTIFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAVLLHFTVGMENRSISWWGNNNPEHCDLATCPTAKGISVDGCPTFH >CDP19593 pep supercontig:AUK_PRJEB4211_v1:scaffold_560:55935:58466:-1 gene:GSCOC_T00001640001 transcript:CDP19593 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSAVVGNTLKPDPDFKRHIPPSLTFDKKNYGNNAQLDKALDPFSMEFNEAIAIVKECSLKVESAAYLPLLQECIDKNSVSEAQVIHAHIIKTGTHQDWFLMTFLVNVYAKFGTVGNARKVFDSLPRRNVVSWTSLMSGYIKSSEPERAIGVFEEMLEAGVYPTNYTLGLVLNACSSLSDIELGKQIHGFILKYRIADDTSIGNALCSLYSKNGILDSAVKVFQSIEEKNVISWTGVISACGDNGNPAKGLDFFVEMLLEGAEPNEFTLTSVLSLCCVIQALEVGMQVQSLSIKLGYGSNLRVMNAVMYLYLKNGCISEANQLFDAMDIVSLVSWNAMIAGHAQMIDLAEDGVSAHQIGIQALKMFLRLHRSGLKPDLFTFSSVLDVCSSLAAPEQGEQVHAQTIKSGFLSDVVVGTALVDMYGKCGSIQGASKAFLEMSTRTMISWTAMITAFARHGQSRQALQLFEDMRFVGVKPNKITFVGVLAACSHAGMVDEGLAYFNMMKNECKIKPVMDHYGCLIDMFVRLGRLEEAFDLIKKMDFEPNEVIWSMLIAGCRSHGKLDLAFQAAEQLLNLNPKDSETYLSLLNLYFVAERWKDVSRVRKMMKAGKIGKLKDWSWMSIKDKVYSFKPDDQQGLMKEVEEYLADLHECVKTLGYEFQANFEAINSEEQETTSSTVHHSEKMAVAFGLLNTPNAAPMRIKKSISMCKDCHNFVKYISISTSRTIIIRDSKKLHKFVNGKCSCGDFGSLL >CDP19589 pep supercontig:AUK_PRJEB4211_v1:scaffold_560:4783:8801:-1 gene:GSCOC_T00001636001 transcript:CDP19589 gene_biotype:protein_coding transcript_biotype:protein_coding MASFEINVDDSTMNAPKTKGHGYEAEGIDPEEESPIEQVRLTVLNHDDPSLPVWTFRMWFLGLLSCGVLSFLNTFFSYRSEPLVITMISAQVATLPMGHFMAKVLPTKKFQIGSWEFSLNPGPFNIKEHALISIFANTGSAFGGGTAYAISIVDIVKAFYHRKISFLASWILVVTTQVLGYGWAGIMRKYVIEPAEMWWPSSLVQVSLFRALHEKDDSRMSRGKFFLIALICSFSWYTFPGYLMNTLSNLSLLCLLFPRSVTMQQLGSGIRGLGIGSFTFDWTVIASFLSSPLVSPFFATVNVLVGYVLVVYVLIPVGYWGLDIYNAKTYPLFSSDLFDIHGQKYNVSAIVNDKFEIDMTAYENQGQVHITMFFAVSYALTFAAVVATITHVACFNGKEIYNLFRASSKGKPDIHTKLMRKYKGIPGWWFVLMLALSLALSLGLSIFMKDQVQLPWWALLLSAGLALFFTLPTSIIAATTNQTPGLNVITEYVFGILYPGRPVANVCFKTYGYMSMSQAVSFLNDFKLGHYMKIPPRSMFMVQLIGTIIAGTINIGVAWYMLTSIKNICHSELLPANSPWTCPGDSVFYSASVLWGLVGPLRVFGPQGKYSALNWCFLGGALAPILVWLLHKIFPSQKWIKLINFPVILGSTGNMPPATSLNFNSWIFIGTIFNFFVYRFRKSWWQKYNYVLSAGLDAGLAFMCVLLYFCLQYEGIGISWWGTKTHMAEHCELPSCPTAKGIEVDGCPVQ >CDP21671 pep supercontig:AUK_PRJEB4211_v1:scaffold_5603:2360:4115:1 gene:GSCOC_T00012904001 transcript:CDP21671 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVAALLLIILYSCVLISMDNFRDAIFMCQHGICRPMRKVMGLLTWMGALGVIIKLPPSLVANGYGVCELYPYVVGFGFMFSIGIVFRVCLSLLRIWPTVSLSTSYKPRSMEENNFETYGCFTSYSDVAKRLRDKNYLTSHARHMIDIGSLSVRLAPVVLMLGKQGFYCFTLRLLLSNFRKIWILNFFNSL >CDP21672 pep supercontig:AUK_PRJEB4211_v1:scaffold_5610:1296:3941:-1 gene:GSCOC_T00003155001 transcript:CDP21672 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYHKYHDYWRKGEVYGAIQDEESPRHGLFCRNSSYSKRARPKLLYFMFITFLYCCLILAPHYLTFSSTFSLLYSFGVDDARLFLEADANASLCSFVHNGTICCDRHSVRSDICIMKGDVRIDANTSSVFLYRGNNLSDYVLGPSDSDDDNEVLQHEKISPYTRKWEPYAMGTVTQLNLVVKKGNPGSSRHCDVQHNVPAMFFSTSGYTGNLYHEFNDGIIPLFITTQHLNKQVVFVILDYHKWWIMKYGDIVAELSDYPVVDFSGDNRTHCFPEAIVGLRIHDELTVDPSLMKGNKTIRDFRNLLDRAYWPRIRGLIQDEERQAQLSPLPAASVDILKEKRDLEKPKLVIVSRNGSREILNQDSLVNLAEEIGFSVEIVRPVRTSELARIYRVLNASDVLVGVHGAALTHYLFMKPGSVFIQIIPLGTDWASDTYFGESSFKFGLKYVAYKILPSESSLSDSYEKNDPVLLDPDTVNQRGWEVTKEVYLDNQNVRLNLRRFEKRLLRAYYYTIAKKKGHFPIQSQ >CDP21673 pep supercontig:AUK_PRJEB4211_v1:scaffold_5621:942:1471:1 gene:GSCOC_T00010533001 transcript:CDP21673 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLQYSLTEIQIATNNFSVDNKIGEGGFGHVYKGVLGNGQEVAAKRLSRSSGQGAEEFKNEILVVAKLQHRNLVRLLGFCLEGEEKILIYEFVPNKSLDYFLFGEFETRYVFPFFMSFLFFLLILCTFLQIFPWKIVPKKNNI >CDP21674 pep supercontig:AUK_PRJEB4211_v1:scaffold_5623:2924:4104:1 gene:GSCOC_T00001095001 transcript:CDP21674 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGTLEYLADLISSRTAKKKKITNTVALKVRMDCEGCARKVKKALKGVKGAKKVDVDLKQQKATVTGFVDAKKVLEAARATKKKVEPWPYVPYTLIAHPYAAGVYDKKAPPNFVRKTDEPGVATLNPVEEQFLMFNDENPNACSIM >CDP19595 pep supercontig:AUK_PRJEB4211_v1:scaffold_563:39441:39770:-1 gene:GSCOC_T00001514001 transcript:CDP19595 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIDMDSAKVVVLDIRFPTFPVVELQRHQASVNAIAWTPHSSCHICTANDDSQAIIWDLSSMGQPIEGGLDPILAYTAGAEIEQPQWSSSQPDWVAIAFSNKLQILRV >CDP21675 pep supercontig:AUK_PRJEB4211_v1:scaffold_5634:502:1881:1 gene:GSCOC_T00005341001 transcript:CDP21675 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFNKSTPEDQMDLMLLMQMDKLSELTGGFADIHELPMMDFSTNQGSNNNNSSSGAVDHNSHAPSSAFLNLPPSVSFTCSPGQLPQEPSSALPFLSNSSSGRWRNELAGGNGFATESQKRNSVAAMREMIFRIAAMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKNQVQSLERAAANRPTGIGFPVPMSSGSYLPMATKGYHHQAAHQNVQHYADA >CDP19596 pep supercontig:AUK_PRJEB4211_v1:scaffold_564:46284:50359:1 gene:GSCOC_T00002319001 transcript:CDP19596 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSGPLDKWRDYFLTANSDIFCIIERAITIASIDSPKEFKLRRDRIAELLFTCKVTKCFGCDKLELAVPNDGCDGEEEEEEEEEDKCSSEFGRRTEDEEVRTKESKVNCNEIDNHGDGDAEELERNLNHQVSTYSYGDAEALSDEIEEESQNFGEVKRIKEILENSEDEPDSVIFDSLRRLQIMALSVEVLKSTEIGKSVNALRRHGSKQIRQLAKTLVDGWVTMVDEWMNATAAIANEGTPESMKKSDLVNEEEGLPSPPLDEGFLFASQNISMELSQFFDGMDDDGNPQNSGEFNKNRDNGRKPSLENHTVPRQKQQIRGNLISPPKDRKGEQMKKQEAVTKKQEAVMKKQAAVAKPNKPSFGESGPGRPAKPSVDQYHMKPQQKSDKGTSQKRELPSQPNKLRSSDDAAVRLKLEASKRKLQERYQEAENAKRQRTVQVMELHDIPKQNLGHRNPNMRPGNFNRHWGRR >CDP21676 pep supercontig:AUK_PRJEB4211_v1:scaffold_5645:1768:3800:-1 gene:GSCOC_T00009749001 transcript:CDP21676 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPKPSSPSSFVCNTRKNHFTKPQKHMDICTTHDDYDYDDDDQLHRWPTPTEALQEIKAIGKISGPTALTGLLLYSRAMISMLFLGYLGELELAGGSLAIGFANITGYSVISGLAMGMEPICGQAYGARQMKLLGITLQRTVLLLLATSIPISLTWLNMKRILLWCGQDEEISSMSHTFLVYAIPDLFFLSLLHPLRIYLRTQSITLPLTYCSAISVLLHVPLNFLLVRYFNMGIAGVALAMVWTNLNLFLLLCSFLYFSGVYKDSWVAPSMDCLRGWSSLLALAVPTCVSVCLEWWWYEFMIMLCGLLLNPKATVASMGILIQTTSLVYVFPSALSLGVSTRVGNELGANRPASARISMIVSLICAVALGLAAMLFTILMRHQWGRFFTSDAEILELTSVALPIVGLCELGNCPQTTGCGVLRGSARPTTGANINLGSFYLVGMPVAILIGFVLKMGFAGLWLGLLAAQASCALLMLYVLCRTDWIVQVERAKELTKSSSSSSSTTTSTKTTTSAGAGAGKIVNLEQILCTNDELVKSASLETDPLISNHIVH >CDP19598 pep supercontig:AUK_PRJEB4211_v1:scaffold_565:56033:58961:-1 gene:GSCOC_T00006920001 transcript:CDP19598 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFLREAEAKEEDAQPRLQEWIKQVREAAYDTEDILDEFVARFARHRTTEFYGSVWRIFSSIKNLRARDDYQLKVVSVVGMGGLGKTTLVKKVHEDLEVRRHFPVRAWVTVSETCDFQYLLKDLIRQLHEEGKKPVPQSIESMTTTELKKFVKDFLQQAERYAIVFDDVWDVEFWNTIKFALPESSHGNRVMLTTRKADVASASCTESLGYIYRMKPLFFEDSWTLFCNKIFKGGSCPGHLMDVAKGILDKCEGLPLAILAIGGLLALKDVNRTEEWEMVRCSLGGELEGTGKLDRVKKILTLSYGDLPWHLKTCLLYTSIYPEDYEIECSRLINLWIAERFVEWREGTSIADVALGYLSELVNRSLIQVTDVFYDGLPDTCRIHDLLREVLLLKSREQNLVTVTTGQPMMWPSDKVRRLVVHSSSNNNTQHHQQSYSFDHLRSFVTFGSMSPLLSKTLLSEISRSSKLLKVLDLAGQETEEEIPNEIFKMFHLKYLQLGGTRVERVPKAIGKLQHLEYLNLADTRVRELPMEILKLQKLRFLKVYQQVDSSDDDYGYHGFKAPSNMGGLLALEILTCIDASSGSIIIKEIGRLAQLRELSITKLRRDDGKELCSSLANLTSLQKLSVGSIGKGDDHEFIDLNHHPPSLSSSSFLQSLRMLLLCGRLEKMPQWVAHLHSLVRIDLDWSRLRSEEDPLESLQYLPNLGEIHFCGSYQGEELCFKAGGFLNLKWMHLKRLEGLRWMKKMKITQESHTFLKLSLVTIQMMGNGETATCGLRRRKHIIFPSSSSRCGFPFSSLWTTRLSLLLFLFFISNFFPFNIRERSSSSFVSYLLICCMYCV >CDP19597 pep supercontig:AUK_PRJEB4211_v1:scaffold_565:9219:12095:-1 gene:GSCOC_T00006918001 transcript:CDP19597 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLDQLSTFLSEEGRLLGGLRQEVQSIRDELGHMRAFLREAEAKEEDAQPRLQEWIKQVREAAYDTEDILDEFVARFARHRATGFYGSVRRIFGSIKNLRARHRVASEIQSIKSRTKSISEGHQRYQSEYGVSAQASSSHSAVNNTTWRYSRDDALLVEEAKLVGIDQPKNHLISELLQGDDHQLKVVSVVGMGGLGKTTLVKKVHEDTDVRRHFPVRAWVTVSETCDFQFLLKDLIRQLYKEGKKPVPQSIESLNTTELKEFIKDFLQQAGRYAIVFDDVWDVEFWNTIKFALPESSHGNRVMLTTRKADVATASCIESRGYIYRMEPLSFEDSWTLFCNKIFKGGNCPGHLMDAAKGILDKCEGLPLAILAISGLLALKDVNGTDEWEMVRRSLGDELEGTGKLDRIRKILFLSYGDLPWHLKTCLLYLSIYPEDHEIRCIRLVNLWIAERFVERREGMRIEDIAWDYLGELINRSLIQVTHVFYEGIPQRCRIHDLLREVILLKSREQNMVTVTTGQPMLWPSDKVRRLVVHSCSSSNNTQQRQSYCFDHLRSFVTVGSTDPLLSKRLLSEISRSSKLLKVLDLGGQEAQEEIPNEIFNLFHLKHLDLYDTRVKTVPKAIGKLQHLEFLDLSNTRVRELPMEILKLQKLRVLKVYQQVDSSDDDYGYHGFKAPSNMGGLLALEIIDLNHHHHHPSSSSSSSSSSFLQSLHLLILCGRLEKMPQWVAHLHSLVRIDLNLSRLRGEEDPLESLQHLPNLGEINFCGSYQGEGLCFKAGGFLKLKELHLKRMEGLRWMRVEEGALPILHQLVLEQLPLLDELPLGIQHLSHLQELYLYEMSSEMIEKVENQKEESEDYTRIAHIPEIVIGCYTDDGEWRNRQLWEKK >CDP21677 pep supercontig:AUK_PRJEB4211_v1:scaffold_5656:920:1675:-1 gene:GSCOC_T00012856001 transcript:CDP21677 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTIVMGGSEFSIDVEPQETVLNIKQKIERLLSIPVASQTLAIWGWELIDGLDMEDYPIINESTKIHLNINSMPALIEEHSKIQITVKFSARKTTFEVEKTETVRSLKEKIHIIDGTPIKRMALYFSGQEMDEEFRYLTNYGISDKAEIIVFLKSTARMIADPPTRRLSLAVQTSSTLLNAATIPLDISDSSTLTELRRLLVTNKILPPDDYIFIHKQRIMRENCSLRWHGVENGDFLYVFKGTVGPGRF >CDP19605 pep supercontig:AUK_PRJEB4211_v1:scaffold_566:31183:34179:1 gene:GSCOC_T00000142001 transcript:CDP19605 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGQNFALLGAKMALALILQRFSFELSPLYTHAPYLFLTLLQPQYGARLIMKKLSYKPANCFDYCRKKKAGTMLFGNFGQKLQAVSQQPLFYFFKSAGLTLRFLIKNFCSRSWGSPAPLAQKSCQEISFQAMGFTCFFPLLSFSFLFFSFFPDRIMEDTRALICSPLSSPLLGGPQESGSA >CDP19602 pep supercontig:AUK_PRJEB4211_v1:scaffold_566:24438:25714:1 gene:GSCOC_T00000139001 transcript:CDP19602 gene_biotype:protein_coding transcript_biotype:protein_coding MFIKFSKFSVLENYLQLKVFSTCALNVTSSTFTPIRKGWYLKSLKKLIRKRWYLNMRDYELNYIK >CDP19604 pep supercontig:AUK_PRJEB4211_v1:scaffold_566:30302:31067:1 gene:GSCOC_T00000141001 transcript:CDP19604 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEETGNWQARARDEVLQVFGNNIPDFEDLNWLKIVTMILNEVPRLYTPGVMISRRVNQDTKLGELISTCWNDCLDAFNLATS >CDP19607 pep supercontig:AUK_PRJEB4211_v1:scaffold_566:57423:61124:1 gene:GSCOC_T00000145001 transcript:CDP19607 gene_biotype:protein_coding transcript_biotype:protein_coding METPYGVSMFTVYSSCLLLLLVVAVSWKALNWVWFRPKKLEKLLKEQGFRGNPYKLLHGDFKEMSTLYTEAQSKNLNLSDDIVPRVIPQYLGAVKKYGKNTYLWFGPAPAVVIMDPNLIKAVTQKIDDFRKLPVNPLGRLVAQGLVSYEGEKWAKQRKLLNPAFHVEKLKLMLPAFYKSASEMVTKWENVVSPKGLAEVDVWPNLKALTSDAISRTAFGSNYEEGRRIFELQREQTEDVMEAARSVYINIPGFRFLPTKRNRRMKQIAIEVNGSVREMINTRRKAMRAGEAGSDDLLGLMLQSNSQEIEKHGNKDFGMTTEEIARAREEVLQQFGTKDPDFDGLNHLKIVTMILHEVLRLWPPLATMSRRTIEETKLGNLTLPAGVQLTLPILLMHHDPDMWGEDVKEFKPERFAEGVSHATKGQALAYFPFGWGPRTCIGQNFAMLQAKLAMSMILQRFSFELSPSYTHAPRTALLALVQPQHGAHLILQKI >CDP19600 pep supercontig:AUK_PRJEB4211_v1:scaffold_566:15118:18611:1 gene:GSCOC_T00000137001 transcript:CDP19600 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFYSIIGVLCSVFLTIWAWIILNWVWFKPKKLEKYLRQQGLGGSHYRLLFGDMKETAQMVKQAYSKPINFTNDIVPRILPFVDKLIRSSGTNTLTWMGPSPALLLIDPELVKEVLTKNYVYQKPRNNPLGKLLAEGFATYDTDKWAKNRRIISPAFHLEKLKHMVPAFCLSCSEMLSKWENGALADGSLELDVWPDIRTLAGDMISRTAFGSNYEEGRKIFELQMQQSELFEQAIQSIYVPGWRFLPTKRNKKMKKIFKDVRSLILGIINKRVRAMQEGEATHSDLLGLLLESNFKEIQEHGNERFGMTSEEVIQECKLFYFAGHETTAILLVWTLILLSKHSDWQARARDEVLQVFGNNIPDFEELNRLKIVTMILNEVLRLYTPGVMISRRVNEDTKLGELSLPSGMFVLMPSILLHHDPSLWGDDAKEFNPERFSEGVAKATKGQPCFLPFGGGPRICIGQNFALLEAKMALALILQRFSFELSPLYTHAPYLFLTLLQPQYGAQLIMKKL >CDP19610 pep supercontig:AUK_PRJEB4211_v1:scaffold_566:73233:74055:1 gene:GSCOC_T00000148001 transcript:CDP19610 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGVIQECKLFFFAGHETTAILLVWTLILLSKHSDWQARARDEVLQVFGNNMPDFEDLNWLKIVTMILNEVPRLYTPGVMIVGKMAIFIVE >CDP19603 pep supercontig:AUK_PRJEB4211_v1:scaffold_566:25929:29332:1 gene:GSCOC_T00000140001 transcript:CDP19603 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNLIKAVTQKVDVFQKLRVSPLGRLLAQGLVSHEGEKWAKQRKLLNPAFHVEKLKLMLPAFYKSASEMVTKWENVVSPKGLAEVDVWPNLQALSSDAISRTAFGSNYEEGRRIFELQREQTEHLMQAARSVYINIPGFRFLPTKRSRRMKQIAREVNGSVREMINTRRKAMRAGEAGSDDLLGLMLQSNSQEIEKHGNKDFGMTTEEIVDECKLFYLAGQETTSALLVWTMVLLCRYPEWQARAREEVLQQFGAKDPDFEGLNHLKIVTMILHEVLRLYPPVAIMSRRTTQETKLGNLTLPAGVQITLPIMLMHHDLDIWGEDVKEFKPERFAEGVSHATKGQVAYFPFGWGPRICIGQNFAMLEAKLAMSMILQRFSLELSPSYTHAPGTATALVQPQYGAHLILQKI >CDP19606 pep supercontig:AUK_PRJEB4211_v1:scaffold_566:34347:35641:1 gene:GSCOC_T00000143001 transcript:CDP19606 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEAKSKPVSLTDDIFPRIMPLINETMQHKGKNSFFWLGPRPAMVILDPEQVKEVFAKNFTYQKPQPNPISKLLALGLASLDTEKWAKHRRLFNPAFHVEKLKTWDWLVFGICIIQMYLFGYCYFAYSFVPTKRSKRMQKIFKEVNSLVMGIISERLKEFQTGEATSDDLLGILLESNLNKIRQHGNKNGMSLEEVIEECKLFYLAGQETTSGLLVGTLILLSQHF >CDP19609 pep supercontig:AUK_PRJEB4211_v1:scaffold_566:68680:72282:1 gene:GSCOC_T00000147001 transcript:CDP19609 gene_biotype:protein_coding transcript_biotype:protein_coding METPYGVSMFTVYSSCLLLLLVVAVSWKALNWVWFRPKKLEKRLKEQRFRGNPYKLLHGDFKEMSTLYTEAQSKNLNLSDDIVPRVIPQYLGAVKKYGKNTYLWFGPRPALVIMDPNLIKAVTQKVDVFQKPRVNPLGRLLAQGLVSYEGEKWAKQRKLLNPAFHVEKLKLMLPAFYKSASEMVTKWENVVSPKGLAEVDVWPNLQALTSDAISRTAFGSNYEEGRRIFELQREQTEHLMQLARSVYINIPGFRFLPTKRNRRMKQIAREVNGSVREMINTRRKAMRAGEAGSDDLLGLMLQSNSQEIEKHGNKDSGMTTEEIVDECKLFYFAGQETTSALLVWTMVLLCRYPEWQARAREEVLQQFGTKDPDFDGLNHLKIVTMILHEVLRLYPPVATMSRRTIEETKLGNLTLPAGVQLTLPILLMHHDPDIWGEDVKEFKPERFAEGVSHATKGHVAYFPFGWGPRICIGQNFAMLEAKLAMSMILQRFSFELSPSYTHAPGTATALVQPQYGAHLILQKI >CDP19601 pep supercontig:AUK_PRJEB4211_v1:scaffold_566:19819:21946:1 gene:GSCOC_T00000138001 transcript:CDP19601 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFYSLIGVSSVIVLLIGAWRFLNWAWITPKKMEKRLRRQGLQGNSYRFLFGDTRDMGRMLEEAKSKPISLTDDIFPRIMPLINETMQHKGKNSFFWLGPRPAMVILDPEQVKEVFTKNFTYQKPRANPISKLLALGLASLDTEKWAKHRRLLNPAFHVEKLKYMVPAFYLSCNEMLSKWENLAPAEGSFELDVWPYLQIFTSDVISRTAFGSNYEKGRRIFELQSEQALYFEQVMQSIYLPGWSFVPTKRNKRMQKIFKEVNSLVMGIINERLKEIQTGEATSDDLLGILLESNLNEIRQHGNKNGLSLEEVIEECKLFYLAGQETTSGLLVWTLILLSQHFDWQARARDEVLQVFDNNEPDISKLNHLKIVTMILNEVLRLYPPAANFFRMNPEETKLGDLSLPEGMFIFVAPILLQQDKDLWGDDAKEFKPERFSEGVSKATKGNLSFFPFGWGPRTCIGQNFAMLEAKMALALILRRFSWELSPSYAHAPQVVLTLQPQYGAQLILKKL >CDP19599 pep supercontig:AUK_PRJEB4211_v1:scaffold_566:10564:13231:1 gene:GSCOC_T00000136001 transcript:CDP19599 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYSLVAVLSASFLVILSWRILNWAWFKPKKREKCLRQQGLRGNSYNLVLGDMKETVRMTQEAKSKPINFTNDIVSRVMPFIDKTIKTYGENSYAWAGPMPAVLLMDPEHIKEVMNKSFNYLKPPGNPLSKLLATGLVSYETDKWSKHRKLINPAFHLEKVKLMLPAFRLSCFEMVSKWEQLISEKGSCELDVWPELQALTSDVISRTAFGSNYEEGQKIFELQKEQAELILLAARSPYVPGWRFVPTKRNKRMKGIAKEVRSLVMDMINNRVKAMKAGEAKNDDLLAILLESNFKEIQEHEDKKFGMTLDEVIEECKLFYFAGQETTSSLLVWTLILLSKHQDWQDRARDEVQQVFGSKKPEFEDLNHLKVITMILNEVLRLYPPVVMLGRMTPETTKLGELTLPAGVQLLLPAILLHHDSKIWGDDAKEFKPERFSEGILKATKGQLTYFPFGWGPRICIGQNFAMVESKLALAMILQRFSFELSPLYAHAPHTIITLQPQHGAQLILRKL >CDP19608 pep supercontig:AUK_PRJEB4211_v1:scaffold_566:62910:64960:1 gene:GSCOC_T00000146001 transcript:CDP19608 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFYSLIGVSSVIVLLIGAWRFLNWAWITPKKMEKRLRRQGLQGNSYRFLFGDTRDMGRMLEEAKSKPISLTDDIFPRIVPFINETMQHKGKNSFFWLGPRPAMVILDPEQVKEVFTKNFTYQKPRANPISKLLALGLASLDTEKWAKHRRLLNPAFHVEKLKYMVPAFYLSCNEINYEKGRRIFELQREQALYFEQVMQSIYLPGFVPTKRSKRMQKIFKEVNSIVMGIINERLKEIQTGEATSDDLLGILLESNLNEIRQRGNKNGMSLEEVIEECKLFYLAGQETTSGLVVWTLILLSQHFDWQARARDEVLQVFDNNEAYISKLNHLKIVTMILNEVLRLYPPAAMLFRMIPEELSLPEGMFIFVAPVLLQQDKDLWSDDAKEFNPERFSEGVSKATKGNLSFFPFGWGPRTCIGQNFATLEAKMALALILRRFSWELSPSYAHAPQVVLTLQPQYGAQLILKKL >CDP21678 pep supercontig:AUK_PRJEB4211_v1:scaffold_5667:214:1377:-1 gene:GSCOC_T00012869001 transcript:CDP21678 gene_biotype:protein_coding transcript_biotype:protein_coding MYTCARICIKVHFSFYFLLKMVKIHVLIACLLMANYFMLHQAIIPPQNLTTDLSALLEFKSHISLDPFGFLDNWSSTTFVCNWTGISCAFKSQRVTALNLSNWSLRGIIPPHLGNLTFLTSLDFSHNNFSGFIPLQLANLISLKQMNVGYNNLSGEIPSWFGNLQEIRFLLLNNNAFSGAIPLSLGNISNLEKLNLGYNLLEGNIPKGIGNLSNLRTLTFRGNQLTGSIPSGIFNISLEEIDFAENSLSGTLPIDICNHQLKQIKGLNLSVNQFQGEIPSELYNCRDLEHVSLSYNQFNGRIPRTLGYLVKLKDLSLGGNIFTGVNSISTSNLCSYLGVMRKFANSVFVHLQSYLHFYSFLAIPKLHCNLCYVKILCDALLSIFSPR >CDP21679 pep supercontig:AUK_PRJEB4211_v1:scaffold_5669:2:3308:1 gene:GSCOC_T00009178001 transcript:CDP21679 gene_biotype:protein_coding transcript_biotype:protein_coding FFRARARLDLIRPRLDSISSKLDSARLVCSPSSELSILDLGGNRLIGRIRDDLSTLSKLRALSLSRNNFSGSIPSSLGNISSLQILSISRNNLGGNIPAEISRLSNLHVLELSSNKLLGAVPPQLYNISTLQIFSITNNLLTGQFPATVGLTLPNLTLFLADLNQFFGSIPTTLANASGLIKISIGDNSLTGPIPQNLGSLKELQVLHFGHNPLGTDKANDISFISSLSNCTNLQILSLSRIQIGGILPTAIANLSTKLTSLWLNDNIISGIIPDSVGKLVKMQELYLSENSFTGEIPSTIGDISELQILVLEQNMLTGNIPVSLSNCSNLQGFTVTQNRLSGALPKELLGLSSLSLGLLLAQNQFTGSLPSEVGNLKNLVSLDISENKLSGSVPSTLGELKSIQVIDLSQNNLSGQIPASLAKLNFISTLNLSYNMLEGEVPMDGIFANYSAFSALGNGKLCGGIKALNLSSCPKPTKKKAKLSTPIVIRSYAELYDSTNGFSPENLIGEGKYGSVYKGVLKPGEQMVAVKVLKLHQHGAHKSFLAECAALRNIRHRNLIKIITSCSSLDIKHNDFKALIFEYVPNGSLENWLHPSSAEEEGESLMKLQLIQRLNIAIDIASALDYLHNHCGTPIIHCDLKPSNILLGDDFRALVSDFGLAKFLSSIEGKSHQHQSSSVAIRGTVGYVAPEDGHLGCGRSTRGRTCAHFGAVC >CDP19611 pep supercontig:AUK_PRJEB4211_v1:scaffold_567:40838:43946:-1 gene:GSCOC_T00009123001 transcript:CDP19611 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLAVFFSLVIDMCLFLFRKFLVLLLSRILVPYALRNPGLAGAKELSRDSSGCWMEDYSRNSACKLGNCYQYFG >CDP19613 pep supercontig:AUK_PRJEB4211_v1:scaffold_567:53812:60909:1 gene:GSCOC_T00009125001 transcript:CDP19613 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEEVRATNKRAFLKFFDQSELSIELKKDINTMINRQERRLIIKLSHLYNHREGADLARRLLQNPSEYMQPLCDAMTEMVRSCDAKYLKEGEQVLVGLDGPFVSRRVTPRDLLSGFIGSIVCVEGIVTKCSLVRPKVVKSVHFCPETGKFTTREYRDITSNMGLPTGSVYPTRDDQGKLLVTEYGLCTYKDHQTLSMQEVPENSAPGQLPRTVDVILEDDLVDKCKPGDRVAIVGIYKALAGKSKGNVNGVFRTVLIANSVFPLNKSTTATQFGNKDRDNILKISKRDDVFDLLANSLAPSIYGHTWIKKSLVLLMLGGSEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAILNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPGIDRQISEHVLRMHRFRSTMDGDADVGTQYEREDEGDANSTVFVKYNRMLHGRKTSRKRETFTIDFLKKYIHYAKHRIQPELTDEASDQIATAYAELRSASSNAKTGAGTLPITARTLETIIRLSTAHAKLKLRRQVLKADVDAALQILNFAIYHQELTEMEEREQERERENERKRRSENNAGDTGRPRHQGARNDRENGDASGRTGEAMEVEGSAGTDVNISPERLEAFSAALGRYRHAQHVEQISVAEIEGVVNSGASVPFSTAEIMTLLEMMEVENKLFFNRDANVVYFV >CDP19612 pep supercontig:AUK_PRJEB4211_v1:scaffold_567:44012:45062:-1 gene:GSCOC_T00009124001 transcript:CDP19612 gene_biotype:protein_coding transcript_biotype:protein_coding MCECQSLQLNPIADVARCDWPIDGRFLKLLPRVSSAPPYLILLLLLGFFFLSSLIFTSFLSLIRCCPDLPLCGSPAPLLSIDQDLSLRGSPAPLLSVDQDWKATVVRLIFYEKKVEASFFSYRLIRDHLFLSWRVGYFLLPSLRSDKYIFYY >CDP21680 pep supercontig:AUK_PRJEB4211_v1:scaffold_5673:2:682:-1 gene:GSCOC_T00012164001 transcript:CDP21680 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQNRVDILSEALPYIQKFHGKTIVVKYGGAATTSEALQVPVISNLVLLQNFGLRIVIVHSAGPEINQWLGRLGLKPKFGNGLHVTDATTMEVVAMVLGGKVNKHLVTLINKAGASAAGLSVTGLTAVGLSGMGATAAGLSATGEPAVLRPLIDNGYIPVVASVAADKTGRLYIINADTVAGELAAALAAEKLILLTDVAG >CDP21681 pep supercontig:AUK_PRJEB4211_v1:scaffold_5684:91:3729:-1 gene:GSCOC_T00013320001 transcript:CDP21681 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCFRSLSKFTACAIVVLLSLSCFSFTTTEAYDALDPNGNITIKWDVITWTPDGYVAVVTMFNFQQYRHIQAPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSRFKLNTPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVINSWVQDPATAVSAFQVSVGSSGTTNRTVRMPKNFTLKAPGPGYTCGPAKVGKPTKFSTADGRRTTQAMMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVPCPTCTCGCQNNGSHPGSCVDADAPHLASVVSDHGKSNNLAPLIQCTSHMCPIRVHWHVKVNYKEYWRVKVTITNFNYRMNYSQWNLVVQHPNFDNLTQIFSFNFKPLTPYQAINDTAMLFGIKFYNDFLMEAGPYGNVQSELLFRKDKSTFTFDKGWAFPRRIYFNGDNCVMPPPDSYPYLPNGGSRRDISIVVLVTALLSSIAFFFTCL >CDP21682 pep supercontig:AUK_PRJEB4211_v1:scaffold_5694:2859:3482:-1 gene:GSCOC_T00013332001 transcript:CDP21682 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVKKKPDLNVREKMLSLQSDPSGLSLPVIQNAEGLSDVLMEMLGALDPENREGIKNEVIIDLVEQCRNYQKRVMVLVNNTADEELLLQGLTLNDKLQQVLRRHDDIAKGNPTAAVRTTETPVAVLMNVNHEDDEPEDDFSQLAHRSSRDTSQGQGQGRKPTNANGEPSRINPILPPPPPSTKFSTPDTGMVDYLSGDAYQSERS >CDP19618 pep supercontig:AUK_PRJEB4211_v1:scaffold_570:19247:22393:-1 gene:GSCOC_T00009397001 transcript:CDP19618 gene_biotype:protein_coding transcript_biotype:protein_coding MADALISSTIQVTLERALSLVSDRIGMLVGFKKDVASMTRSLRLIKGVLADAEAKQNQDEAVQEWLNSLEEVAYEADNVLDKLHYEALRHQVESRNRHKLKVCCFFSFSNINLAFRWRMASKVRDINLELNKINEEANRLGLVSRAVVTAALPAAPPAGDTRNRQTDSVVAPMVGRADDESKIVKMLLRPSEKVVSVLPIVGMGGLGKTTLAKSIYNNHQIDEQFKKKLWVCVSKKVPVLELFKLILGQLKDKKDEVGDRQNIVQEIGKELGKLRYLLVLDDVWNDDQALWEDFFNTLKGLNPTNGSWCLVTTRLDPVAHSVSRVLRMMENEAYPLGKLPDDHCWSIVKEKAARGGEVPNELKAIRERVIERCDGLPLAASVIGGLLSLHRKEEWRSILENRLLSMSGDGDRVMQILKFSFDNLPSRYIKKCFAHWSIFPKDSAIERNMLIELWMAEGFLQADATSQMMMEEIGMNYLRILLQSSLLEEARNYHGTCYKMHDLVHDLAGSMSKSTKVFNSGDAQIIDNGNQIRYLATDSFGGGEDREKLLESLSTSLHTLFVKGDLSGDMLMKLKNLYVLNLSHTRTRELPVSIGKLIHLRYVNLEWSAISILPDSLCKLYNLQTLTLSGSKVKDLPKGMRDLISLRHLHYYNDDKDFQMPPDMGRLTCLQTLEFFNVGREKGRRIGELGSLKNLKGRLIIRNLELVKDKEGAEEAKLSEKANLFGLKLEWARDREGDDYNDEGVLDGLLPHPNLEKLVIWYFLGDQFPRWLMDLPTTTPLPESATTLPKLARLKFKWCHRCRELLPLQNFTSLKELKIYHCDGLTNLPGDLLHSCASLQKLLVADCDNLISFPLDLQQTPSLLELGLYRCPKLKTSMTPKGFGFLTSLRELTIGPFSDDDDDDENSSIDHENSSIYNEFDWSGLISSSSSSSSTLRRLELCGLPHMESLPPQIQYLTTLTSLMLYQFGGIKALPDWFGNFAALEELYLFDLKELGHLPSEDAMRSLTKLKRLWVYRCPLLKERCTPASSGPDSQWSKVSHIQDLDIW >CDP19619 pep supercontig:AUK_PRJEB4211_v1:scaffold_570:66123:70170:-1 gene:GSCOC_T00009400001 transcript:CDP19619 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIGAASQVTLERALSLASDRIGLLVGFKKDVASMTDSLGFIKDVLADAEEWQNQSRTVQRWLNSLEEVAYDADNVLDELHYESLRHQVESRNRHKHKVCRFFSFSNINLAFRWRMASKVRDIKIKLNKINQQARDFGLDSRLVRTAAVGDTGSRQTDSVFVPMVGRADDESNIVNILLKPSEKVVSVLPIIGMGGLGKTTLAKSIYNKQQIDGQFERVIERCDGLPLAAIVIGGLLSLKKKEEWRLILENRLLSLSAGGDHVMQILKFSFDNLPSPAVKKCFAYCSIFPQDTEMEGDMLIELWMAEGFLHAGLENKTMEEIGEDYLEILLQSSLFEEIRYGGRRRRYKMHDMVHEVSKLIMSKSTKFINSETGLGDNSNQVRCLVIDSFGEGAKNLLESRSNLLHTLFLSQGSLSDDMLKKLKNLHVLNLSGEENQNLPISIGKLRHLRYINFEDSRSATLPESVCKLYNLQTLRLQSLILQVLPKGMCDLISLRHLHYYNNDEEFQMPLEMGRLTCLQTLEFFKVGREKGRQIGELGSLKNLKGKLRIRNLELVKGKEGAEEAKLSEKANLFRLELQWAYNREGDNYNDEDVLDGLRPHPNLEELAICNFMGDQFPRWLMDLPTTLPKLARLAFKQCNRCRELPPLQNFTSLKELEIDECDGLTNLPGGMLHSCTSLQKLRVAYCDNLISFPLNLRQTPSLLELRLYYCPKLKTSTTPKGFGFLTSLRKLRIGPFSDGGDDHENSSIYNEFDWSGLISSSSSSSSSALDLLFLYGLPHMESLPPQIQYLTTLTSLALVEFGGIKALPDWFGNFAALEELQLLDLKELRHLPSEDVMRSLTKLKRLRVGGSPLLKERCTPESSGPDSQWSKVSHIQHLHIS >CDP19620 pep supercontig:AUK_PRJEB4211_v1:scaffold_572:1263:1934:-1 gene:GSCOC_T00008682001 transcript:CDP19620 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYMYGSHEPVNCGTFDAYAFWSVVFLRQQPNPSAFSEAEFLDFTVVFSAFVIFCWMKIYESV >CDP19621 pep supercontig:AUK_PRJEB4211_v1:scaffold_572:8899:10852:1 gene:GSCOC_T00008683001 transcript:CDP19621 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLELLLFWDKWVNCLWPAKEFYYYRLGWLADVLLQLARLNRYAKKTSKSNQSITLHTF >CDP19622 pep supercontig:AUK_PRJEB4211_v1:scaffold_572:11100:13128:-1 gene:GSCOC_T00008684001 transcript:CDP19622 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGTNKVLNLFIKLYSNISLCNSVKVVAGRHQATWIQGHLFHHLQLKFRPDFPKPELGCCRKTSDQTTIKGHLFHQLQLKFHLDFPIPQLCKLKFVYC >CDP21683 pep supercontig:AUK_PRJEB4211_v1:scaffold_5724:64:2562:-1 gene:GSCOC_T00010014001 transcript:CDP21683 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRHKHLFGTVSPQVGNLSFMRFIHLEENQFHGEIPQEFGRLFRLRVLNLSNNALGGKIPANLSYCSELITINLAGNNLEGKIPMDELSNLKKLENFNIYSNNLTGEIPSSIGNFSAITVISVPGNSFHGNLTTNIGLTLPNLKRLYVGGNKFYGNFPTSITNASGLELIAIPSNGFKGQIPTNLGDLTNLRFLNLERNLFGSNIPKVMANLSHQLTELYMGGNQLSGTIPEGFGHLVNLYILTLEANSLFGLIPRDFGKLQNLQLLSLAQNELSGQIVPTLCNATTHSSLMYMKMGENSFSGSLPSEIGKLIHLVDFTVSHNQLAGDIPISLADCSNLANLSMQANFFQGTIPPNLASLKSIQQIDLSSNNLTGPIPRELEKLQFLRYLNLSYNDIEGEVPNTGIFSNASQISLIGNNKLSLLYLLVYQKRERRLVAGFSSMPTRVNKLLRISYHELHRATSGFSPENLIGSGHFGAVYKGTLEKHGNKLVAVKVLDLQKNGAKKTFKAECKTLRNIRHRNLVYIVSYCSSIDSKGDEFKALVYEFMEYGNLDLWLHPAETTNQATSSRSLNLSQKLNIAIDVASALQYLHNHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPVNRSSEQEGSSTIAIKGTIGYAAPANCAQTTVWHL >CDP21684 pep supercontig:AUK_PRJEB4211_v1:scaffold_5728:366:1245:-1 gene:GSCOC_T00004838001 transcript:CDP21684 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTTEIFTEICYLGLLQGLQIISLIVCIAASYLDGGLAAVFNVTGFGAIGDGIHDDTEAFSQAWEAACSNGGGSSSVIVPAGRTFLLSPVNFEGPCYSSNVHFQVLGKIVAPNETDAWKGCVSNSWLHFSDIPGLVLEGSGVIDGRGSPWWKNVSLGILKNVCFTNPFH >CDP21685 pep supercontig:AUK_PRJEB4211_v1:scaffold_5730:1361:2894:-1 gene:GSCOC_T00010047001 transcript:CDP21685 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDHLSDMFDCAGGGSSKLKKKKQLQASLLDSTVEIKVKMDCEGCERKVRRSVEGMKGVSSVQIEPKQHKLTVVGYVDPNKVVARVAHRTGKKAELWPYVPYDVVEHPYAPGVYDRKAPPGYVRSVEDPQLSQLARATSSEVRYTTAFSDENPSACIVM >CDP21686 pep supercontig:AUK_PRJEB4211_v1:scaffold_5731:2:2594:1 gene:GSCOC_T00007280001 transcript:CDP21686 gene_biotype:protein_coding transcript_biotype:protein_coding YNCIFLDQKAVMSEARSQQAASMTEFHWLGHRFPISNAKTRVSILKAQELEKDIHGPKADSLPADKRLATFDKIFAAYNEARSCIRNDLASAGNSESMKDDLSGLDKAIGAVLGQRTIERNQLLVSIAISKLNKVRDDKNEKVTKPEELVRLYDLLLQNAADLSDLVSSGRDRKPEELAFAEDCELKSLVFRAERCFYLAKSYSLAGKRTEAYALYCKVRFLADTALKELQNLKTADQAVIKELQTLQKESRSNSCIEHAIAIMEEEQAPEKLSQKISTISLTGKDKKVCAPFNFRSWTCSSRRKLNASIIVGACKV >CDP21688 pep supercontig:AUK_PRJEB4211_v1:scaffold_5739:3067:3971:-1 gene:GSCOC_T00004672001 transcript:CDP21688 gene_biotype:protein_coding transcript_biotype:protein_coding KWSGDGGKKFTLALKRGGRWGNIHPSHPLSRPSHKKLYIQNIYIIHKSNKTLLLRSFLLSHCRPLLSPQFLLRSLLFWSGLLYSLIIQTADLFDLESYPFNLNYSWHFYSELIACDLGSGSMEFVVPPADPASFFPISVRFTAASTFSDLKVANILPLGGEPAPKFSQRTLLTTETYQVG >CDP19633 pep supercontig:AUK_PRJEB4211_v1:scaffold_575:51043:54390:-1 gene:GSCOC_T00005335001 transcript:CDP19633 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIGATIQVTLERALSLASDRIGLLVGFKKDVASMTHSLGFIKDVLADAEERQNQSSGVQRWLNSLEEVAYDADNVLDELHYESLRHQVESRNRHKLKVCCFFSCSNINLAFRWRMASKVRDIKLKLNEINQKANGLGLVSRAVMTTALPAVPAVGDMRGRQTDSVVVPMVGRADDESEIVKMLLSLSEKVVSVLPVIGMGGLGKTTLAKSIYNNKQIDAHFNKKIWVCVSRKVQIKELFKLILVQLTEEKVEVDDRNVIVGKIGNHLGGKRYFLVLDDVWDDNQVLWDDFFTTLKGLNPTNGSWCLVTTRLGPVAHSVSRVLMMETELVYALGRLPGDHCWSILKEKAVGGGELPDELQAIRERAIKRCDGLPLAASVIGGLLRLKRKEEWQSILENRLLSLSAGGDPVMQILKLSFDNLPSPYIKKCFAYCSIFPKDAEMKGDKLIELWMAEGFLQADLENKTKEEIGEYYLEILLQSSLLEEMRKYGRRYYKMHDMVHDVSKSIMSKSTKFINSETGSGDNSNQVRCLVIDSFGEDAINLFESLSNLLHTLFLSQGSLSDDMLMKLKNLHVLNLSGAKNQNLPISIGKLIHLRYINFEDSRSETLPESVCKLYILQTLWLNRFALKVLPKGMCDLISLRHLHLNNSDKEFQMPLNMGRLTCLQMLEFFNVGREKGGRIGELGSLKNLKGRLIIRKLELVKDKEGAEEAKLSEKANLFGLKLKWARDRDREGDDYNDEDVLDGLLPHPNLEELVIWYFLGDQFPRWLMDLPTTTTLPEFATTLPKLTSLEFNRCHRCRELLPLQNFMSLKELVIEECDGLTNLPGDLLHSCASLQKLQVSWCRNLVSFLLDLQQTPSLLELGLYCCPKLKTSMTPKGFGFLTSLRKLVIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSALRELHLRGLPHMESLPHQIQYLTTLTSLALGEFGGIKALPDWFGNFAALEGLYLYDFKELGRLPSEDAMRSLTKLKHLRFYHSPLLKERCTPESSGPDSQWSKVSHIQDLDISCFITNFHLWIKFNHVRLPSITTFLICFTINLPFSSPPSLINLLVRKSKKSPWLFSQISLLPFYRPIYYLSKLRH >CDP21689 pep supercontig:AUK_PRJEB4211_v1:scaffold_5754:53:4156:1 gene:GSCOC_T00013096001 transcript:CDP21689 gene_biotype:protein_coding transcript_biotype:protein_coding RILWVSSLPLIFTYPLMKRITYWPQAHLGLTANWGALYSWAAVKGSLDPAIVFPVLVACFFWTLEVDTIYAHQDKEDDVKVGVKSTALLLGDSTKLWTTGFGVASIASLALAGFNAHIGWPFFVLLAAASGQIAWQIWDVDLSNPADCFRKFASNRYFGAIVFSAILFGRLLS >CDP19634 pep supercontig:AUK_PRJEB4211_v1:scaffold_576:16028:19771:1 gene:GSCOC_T00009795001 transcript:CDP19634 gene_biotype:protein_coding transcript_biotype:protein_coding MEISYSSCFEFALDYLPRLRITTIECGDLISKLKTGVSLLQSFDLYLTKCRRRRNHETCLEQDEEEKDVTSSRIQDLIIRRMQDLEFVCSECLIHSLSLNSTGVKSELTIFLEAIKLFFETDINESCINYLLDCYWLRDPELVIDFIDSFSETVVACYMFRSNGLDEKLMFLKSFIRFAMHRGVEDQQLMHLLIHTEVVAINALHLFSILWFNKVIDNDEVCEEMELQISQLMHEKINPGDPQVRETYIHVLTAAKLSRSLNISDLEKNKHLVADFMDRLVPNIMELLRSCTNSVVPIMNQMLKFLEGLRFLAILLKHQEKFKELCHEMKNLIGVVACDAAVVIFSLSVNQIEEGLAKETDLALFHLLKVLKFIRAEITDPVTLFSPFGFPRTNELGSMDFLLENLKELESCNEIDDSIAFPKDQIHTVLEDLVFLRSFLVKIADQRSRNGKLQALWSRVMEVAHRAEFVIDSIVVGDKHEYLERVARDIQLLRTEALETYDSTRHDCGAQRTNQICFRIESKCRTPVLNEVLVGLDDEVKAIIHSLTKGSKLLDFVSIVGMTGLGKTTLANRVCNDPLILSHFHILARCTISQVYSMHSLLVQLLCSISSRSPDEYLEQDENDLALKLYKLLKRNRYLIFLDDVWEIKAWNLLERSLPDDANGSRILFTSRIQLQFKPDSKAHHLRHLTDKESWQLLQKKLFGKEGFPPTLGKVGSQIANLCRGLPLTVVLVAGILANTAEDCWKQVAKSLPSSIVLDDEYGMTTLELSYKDENVPVQSLLWLWISEGFVRKTEEKRLEDVADDYLKDLVGRSLVMVSERRTMGGAKACRVHDLVHEFCVKKAKEENFLHVLHRRNDRFILTGPSNPLRVCNRSAKNLMIWELMPEFPNVRSLLLFKEEDLGFWFPKLLRVLDLGELEFGAYFPEEVLLLAHLRYLAIRTTEVSFIPAAIANLSRLQTLLLRGNTCDCLLPKTIWNIKTLRHLWITDPYPGFIFPVENLEVSPGLDHLDSLNLAIDPSSQSLQKILTKLPNIRRLRCNMREPREEPARIGDRILGFDCLSKLESLALLFFDGYGFKFPLNLKKLTLEENAQPWSEISTIGKLPKLEVLKLFCYSFVGDEWEMKEGEFPKLRVLKLSNLMDLRSWTASSDNFPRLEKLVVHGCVHLEEVPSCLGDCPTLEMIEVRGCRESVASSVKQIQQEQIDMGNEVLKILIEDPWSSSEEEETEEE >CDP19635 pep supercontig:AUK_PRJEB4211_v1:scaffold_579:61856:62158:-1 gene:GSCOC_T00010561001 transcript:CDP19635 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTEKCDVYSFGVLTMEVIKGTHPSHLIAYLMSSKPEKIELKDLLDQRLPYPNQEIESILASVLKLARACLHVDPQSRPTMLFISRLLSTGAPSVEYHC >CDP19636 pep supercontig:AUK_PRJEB4211_v1:scaffold_579:62220:64916:-1 gene:GSCOC_T00010562001 transcript:CDP19636 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFEFISIFIMVLLFPSSHPKAKGVASDSASEAAGLLKWKASLQNQNNSLLASWNLQSISGKNSSNLPCTWAGISCINGSVNRLNLSEYSIKGSLYDFPFSSLPNLEYLELSLNQIFGSIPREIGNLNLTHLNLGSNQFSGPIPSGIGTLHNLIKLFLEDNNLTGPIPSTFGNLSRLVNLYLFHNHLSGPIHPVVGNLSSLQFLILYQNNLTGAIPNSLGNLANLIALILYDNQLLGPIPKELGDLKLLSNMELYGNQLNGSIPVSIGNLSNLEILHLAKNQFSGSIPQELGNLKKLEVLVLDQNQFSGPLPELLCQNGTLQNITVSENMFTGPIPRSLKNCSSIIRARFNGNHFHGNLSEMFGIYPFLDFIDLSNNAFYNNITGGISPEIGNLTQLHVLDLSSNYLSGEIPRVVGKLASMLNLYLHDNKLTGGIPQELGMLTELLYLDLSTNSLNGSIPEHWEDLKHLYHMNLSNNVFTQKIPIQIGKLTQLSELDLSRNFFTGEIPSEFQSLQSLGTLDLSHNNLSGLIPKAFAKLPGSLHINISFNNLEGPIPSGRAFMNLTIEEVQGNKGLCGNVTGLPAYMDIKKGDLFSICTYDGKALYKEIVKATEEFSDIFCIGKGGYGSVYRAQLLSGDVVAVKKLHNMPEMASRRSFLNEIRALIEIKHRNIVKLFGFCSNSQHSFLVYEYLERGSLAKILSVAHALSYMHHDCSLAIVHRDISSNNILLDPEHEAHVSDFGTSKFLKEDSSNWSSLAGTYGYVAPGNNDLPPFFYLLTSNF >CDP21690 pep supercontig:AUK_PRJEB4211_v1:scaffold_5792:493:1131:1 gene:GSCOC_T00003219001 transcript:CDP21690 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMQFADAKHLKSRDNSLRKLFMYFGCRPVEGGRMHYYLKQLSLEFLVAICKHDNLTE >CDP21691 pep supercontig:AUK_PRJEB4211_v1:scaffold_5795:1061:4420:1 gene:GSCOC_T00012543001 transcript:CDP21691 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAKQALGALDKESFVTLLGKLIGESKFVQNNPPELIPEEDRIVRHVLDTLLPYSTSTGGGPLVINHVTYKPNRGNLIVEYPGTEPNKILSFVGMHMDVVTANPSDWDFDPFSLSIDGDKLRGRGTTDCLGHVALVAELMKKLGETKPQLKSSVVAVFIASEENSSIPGVGVDALVKDGLLDKLKQGPLFWIDTADKQPCIGTGGMIPWKLHVTGKLFHSGLPHKAINPFELAMEAVKEIQLRFYKDFPPHPKEQVYGFATPSTMKPTQWSYPGGGINQIPAECTVSGDVRLTPFYSVLDVLKKLQEYVEDINENIEKLDTRGPVSKYVLPDENLRGRYAFVD >CDP21692 pep supercontig:AUK_PRJEB4211_v1:scaffold_5829:1140:3986:1 gene:GSCOC_T00006926001 transcript:CDP21692 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFRSSTSCFEFAIEFLGWMYDTILAFDSLISNLKKGVRLLQSFDLYLTKCRRRNHETCLEQDEEEKDVTSSRIQDLIIRRMEGLEFACSEYLIHSRSPDWTHIEGELTIFLEAIKLFFETDINESCINFLLDCYWLGDPELVIDFIDSVSENLAEIDESHFKRLDKKLMFLKSFIRFAIFCGIEGQKSIDLLIHAEVVAINALHVFSIWWFDSGTYNGEVWKKTELQICRLISEKINLGNPQVRETYNHVLTLAKLSRSSDILALEKNKHLVADFMDDLITNIMELVESCTNTLVPIMNQMLKLLEGLKFLAILLRHQEKFKELCHEMKNLIVVVACDAAVVIISLSVNQIEEGLSKETDLALFHLLKVLKFVRAEFTQVDPLTPVSGFGFPRISELGSMDFLLRNLKELARSNEINGSNAFPVDTLQTIQEDFEFLRSFLEKIKEQRNQNEKLQAFWSRVMEVAYKAELVIDWTLVGDGREYFLDDVARDINVMKIEAQEIYDRINYVGETKRVTKTFTRMPSQVTAATYNEGLVRLDNEVETITQRLTKGARQLDVVPIVGMPGLGKTTLATIIYSSSSVMLHFHIRAWCIVSQAYSMHNLLVQILGSIESGKLEQYQNMDEHDLAIKLKQVLLRNRYLLVLDDLWDAKAWNLLERLLPDDANGSRIVITSRLQNVSLQFKPDSKVHHLRHLTNEESWNLLQKKLFGQEGCPPRLSGVVSQIAKSCRGLPLTVVLVAGILANSAEDSWEEVAKGLTSSIVLDDEHCMKTLELSYSYLPNDLKSCLLYFGAFQEDKNVHIRRLLWLWISEGFIRKTEGKSLEDVADNYLKDLVDRSLVMASKQRTSGGAKACRLHDLVHEFCVKKAKEENFLHILRSQNDGFVLTGQSNPLRVCDQNARNLMIWELMLEFPNVRSLLLFREDDLGYWLPKVLRVLDLGELVFGA >CDP21693 pep supercontig:AUK_PRJEB4211_v1:scaffold_5838:3004:4418:-1 gene:GSCOC_T00005084001 transcript:CDP21693 gene_biotype:protein_coding transcript_biotype:protein_coding STQNTFFKLISFIDLSTGTPCITDRVMAELEKLGQKYRVALRIAKDPRFERLPCTHKGTYADDCIVERVNQHKCYIRRIRKVPGVPIMYITQHKYSIERLPEATIGGAPRF >CDP19647 pep supercontig:AUK_PRJEB4211_v1:scaffold_584:35183:36725:1 gene:GSCOC_T00002694001 transcript:CDP19647 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHQFQPKNGAAVWCIGFQKIQGQGLTILGDLVLKDKIVVYDLASLRIGWANYDCKFPYQEHFPAVDLIFTVPSIHHLSDWIKRGNLD >CDP21694 pep supercontig:AUK_PRJEB4211_v1:scaffold_5841:735:4255:-1 gene:GSCOC_T00008095001 transcript:CDP21694 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRDKQLSGTISPHVGNLSFMRFIQLAENQLHGGIPQEFGRLFRLRVLNLSSNALGGKIPANLSYCSDLINISLAGNNLERKIPMDLLSNLKKLEIFYLYTNNFTGESPSSIGNLSSLIRIGFDFNNLEGNLPMEMGLLKRLSFFSAAENKLSGIIPASVFNSSAITVISVAGNSFHGNLPTNIGLTLPNLQVLYVRGNNLYGNFPTSITNASGLEILDLPYNKILSLSANTFGGNVPKIMANLSNQLTELFVGGNQLSGTIPQGFANFVNLIQLGLELNSFSGIIPRDFGKLPNLQGLRLDHNDLSGQIVSALCNNTNLYYLDLSFNHGSLPPEVGKLIHLVDFNVSHNQFAGDIPISLADCSNLENLFMQANFFKGTFPPNLASWKSIQQVDLSSNNLTGPIPKELEKLQYLRYLNLSYNNIEGEIPNTGIFSNARNFGAVYKGRLEKHGNMLVAVKVLDLQKNGASTSFKAECKALRNIRHRNLVSIVSYCSSIDSKDDEFKALLKKLNIAIDVASALQYLHNHCEAEIVHCDLKPSNILLDNGLVAHVGDFGLARLLPKPVNRSSEQEGSSTIAIKGTIGYAAPENGGMSTRRSKKKKKEKYGMGLTASTLGDVYSYGILLLEMITRRRPTDDMFMDELDLHNYVNRALPEQVCEIMKCVISLLKLGLKCSQRLPNDRMHMNEVVSKLHLIKDVFLCVRVHQENLEV >CDP21695 pep supercontig:AUK_PRJEB4211_v1:scaffold_5847:1469:3779:1 gene:GSCOC_T00007759001 transcript:CDP21695 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAADPSERHKVSEVLRDASAAGLSVCRTWAFGDGGDRALQISPGIYDERVFQALDFAISEAKKHGIRLILSFVNNYNDFGGRRQYAQWARNAGAHVNGDDDFYTNPTIKGYYKDHIRRVVTRFNTITRISYRDDPTIMAWELMNEPRCQADYSGRTVNEWTREMASFVKSLDRKHLLEIGMEGFYGDTKPEKKQFNPGYQVGTDFISSNLLRDVDFATIHAYPDQWLSGKDDKAQLVFMQRWMSSHWEDSRTILKKPLVIAEFGKSSRDPGYSLRARDDYMSNVYRITYGYARSGGTMSGSLIWQLMAQGMDSYDDGYAVVLGRNPSTTAIMSRQAHAMSALSHLVAGADDAHGHGQEAHPRLMNHRHPSRRALLHHAKQHGHHRSSSLL >CDP21696 pep supercontig:AUK_PRJEB4211_v1:scaffold_5857:322:2445:-1 gene:GSCOC_T00010013001 transcript:CDP21696 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSLCILFIMCIYQSLSFAAVAPFLDGLLENGNFEEGPKVSNLKKRQIIGKYSLPKWEIHGIVEYVSSGRQPGGFYFAIPRGAQAARLGNEASISQYVKVKPGAIYLLAFAVTRTCAQDEKLRVSVPGFSTELPIQTLLSSDGGDTYAWAVKAASDVVKVTFHNPGIQEDPTCGPLLDAIAIKEILPLRYAKGKERSNLVKNGDFETGLHVFKNFSTGVLLLPKRTDIYSSLPGWIVESLKPVKYVDSKHFSVPQGLAAIELVGGIETAIAQIIRTVPNKFYFLSFAFGDARNGCHGSMTIEAFAARKTIKVSFTSTGIGGSKTAILKFQALSNRTRITFYSPNYHTKLHDYGHICGPVLDDVIVFPLK >CDP19648 pep supercontig:AUK_PRJEB4211_v1:scaffold_586:35561:36605:-1 gene:GSCOC_T00010859001 transcript:CDP19648 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLNKISTIGNRSDLPSSFQPQDILQQLTSLPSLFNTLQARRAIIPAANGHCSARALARYYAALVDGGVVPAPHSSSGPPLGSHLHTPKFPTKNICKKNRAANIKNLLTASKKIGNFHQRQEHDSSGGGNSRRTARGNSYTRVPIDSSSSKPCASEGDSFDGRNVSKLFCSPRIHDAFMGVGEYENLALPDGQFGLGFKRSYTMSGDIVGFGHSGMGGSTGYCNIEHRFAIAVTINKMSMGTVTAKVIDLVCTELNIPLPKELRRFVEGSPDDVLNSGTPIIN >CDP21697 pep supercontig:AUK_PRJEB4211_v1:scaffold_5870:3012:3320:-1 gene:GSCOC_T00006914001 transcript:CDP21697 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSWFKGTKNDTNSKPATQKSEPVKTQSASEVPGMNGAVEVRRQGPPPADITVFEFGSVAASADKVTLAGFCPVSDELEPCRWEILPAKGSDAPQFRVVF >CDP19649 pep supercontig:AUK_PRJEB4211_v1:scaffold_588:28713:34731:1 gene:GSCOC_T00011609001 transcript:CDP19649 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVSSIDKEERVRICKERKRILKRLLVLRKQYADSQLEYLRALRNTGVTLRQFTESESLEIEDGALGHALPPSPPPPLPPSPPPPPSFSPDLRNSHNNHHMKTSEEEIIDIDEDNSHTPPPPVLSTSWEYWDPFGSTSPNCQQKSKIEEEQIEEENWAEANTEFEEEDQVDVVAVNNTVDITPVKKQTASVVDENSSVMSWHTKDTADLSMVIWRRKKTLSGIIRDIDDYFVKASAGGKDVAVFLDFNVADSPLYQSINENKRKRSNSAKVFNALTWSWSSKSLQSTRDAGDTCGPSDPCKPGAHCVTLAKLYDAERKLHREVKEEELTKLEHERKSLMLQKLEEEDHDWSKTDKARSVVESLQSDILSLQQSNSSTCSTILKLIDEELHPQLIVLISGLMCMWRRMYECHQVQNHIAQQLNHLVNQQNMEPTSEYDRQAAAQLKTEVNSWYNSLCKLVKSQREYVSALCRWVQLTNCLVDNGQGSVSSSAVCTLSKQWLVALDKLPDKRALEAINSLLSAVRSIVLQQEEEVNLYKRSDKLERRLERELNLLSEMEMKFEGSFTNEEMQTVLGPKHPFSVKRAKIEVLKKRVDDEKSKYINSIQNTRAMILNNLQTSLPNVFQALMAFSNSYTESFDMVLSYGRQAENDEAFPNPAC >CDP19650 pep supercontig:AUK_PRJEB4211_v1:scaffold_588:34894:60093:-1 gene:GSCOC_T00011610001 transcript:CDP19650 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPWIWYCRPVENGVWANQTESAFGSYTPCALDSVVGCVSHLVLLGLCLYRIWLTKMDYRIQRYRLRSNLYNYFLALLAGFCAAEPMFRLIMGISLFNLDEQSGLAPFEMLSLGIEALAWFSLVFMLGLETKIYIREFRWYVRFGLVYVLVADAVMFNLIFSLRDFYTRSILYLYCSTLVFQVLLGGLLLVYVPHLESYPGYIPLSGESADENKHEAFLGGDICPERHAKWLDNQNINLSGIQDIATRAQGYKRPITDKDVWKLDSWDQTETLIKKFHKCWAEESQRPKPLLLRALNCSLGGRFWYGGFFRIGNDISQFIGPMILNHLLTSLERGDPAWVGYAYAFSIFVGVSFGVLCEAQYFQNVMRVGFRLRSTLVAAIFRKTLRLTHESRKSFPSGRITNMITTDANGLQQICQQLHGLWSAPFRITIAMVLLYQQLGVASLLGSLMLVLMFPIQTFIISKMRKLSKEGLQRTDKRVGLTNEILMAMDAIKCYAWEKSFQSKILSMRNDELSWFKKTQFLAACNTFILNSIPVLVTVVSFGMFTLLGGDLTPARAFTSLSLFSVLRSPLNMLPNLITQVVNVNVSLQRLEELFLAEERILSSNPPGELGLPAISIKDGYFSWDPKAEMPTLSNINLDIPVGSLVAVVGGTGEGKTSLISAMLGELPASGDTNVVIRGTVAYVPQISWIFNATVRENILFGSSLQPSQYWKAIDVTALQHDLDSLPGHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVGRQVFNKCIKEQLQGKTRVLVTNQLHFLPQVDRIILISEGTVKEQGTFEELSRDGTLFQKLMENAGKMEEHIEENGDVTITSCESSQSFGILHEQPKDTDSMSKRKEGKSVLIKQEERETGIVSWKVITRYEKALGGIWVVMVLFTCYTLTEVLRVLGSTWLSKWTKESAAKNYGVGFYVLVYAFLSSGQVLVALANSFWLIKSSLNAAKRLHDSMLHSILKAPMVFFHTNPTGRIINRFSKDIGDIDRNVANFVNMFLNQLWQLLSTFVLIGVVSTVSLWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRLANINGKTMDNNLRFTLVNISSNRWLTIRLESLGGIMIWLTATFAVLQNGRAENQVEFASTMGLLLSYSLNITNLLGNVLRQASRAENSLNSVERVGTYIDLPAEAPDIIESNRSPPGWPSAGLIKFEDVVLRYRPGLPAVLRGLSFTISPTQKVGIVGRTGAGKSSMLNALFRIVELERGRILIDGCDILKFGLTDLRRVLSIIPQSPVLFSGTVRFNLDPFNEHSDLDLWEALERAHLKDVIRKSVFGLDAEVSEGGENFSVGQRQLLSLARSLLRRSKILVLDEATAAVDVRTDAIIQKTIREEFKSCTMLIIAHRLNTIIDSDGILVLDAGQVVEYDAPEKLLLNEGSAFSKMVQSTGAANAEYLRSLVLRDEQENKLKRESRHIIGQGNWLVSSHWTAAAKHAVAINLVSALKDLRVAEFDESNNIVHETRDAIVTLQGVLEGKHDEQIEETLNNYAVPRDRWWSALYRVIEALAVMSRLARNGIQHFENAFEATSANWDQVEM >CDP21698 pep supercontig:AUK_PRJEB4211_v1:scaffold_5882:754:1802:-1 gene:GSCOC_T00008667001 transcript:CDP21698 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAANFPVAVTAKCQKTELANNYVKQFQSAEVDAILKQAGETKLIVPGGWTPVNPADPHIQELGRFAVDEHNKQTGDKLVFVAVVAGLKKPVELATLYWLIIEAKDSDGNQNIYKALVQETDLEMKKLLYFGEVVPPVN >CDP21699 pep supercontig:AUK_PRJEB4211_v1:scaffold_5885:2627:4086:1 gene:GSCOC_T00011526001 transcript:CDP21699 gene_biotype:protein_coding transcript_biotype:protein_coding MAHILEAFNLHSLLLISVTGWVVEYQENLIGLGVDESLAQVCSESGSMDPLMNAYVERMQATTRKWYLNILEADKVQAPKKTDDGKLYTPAAVDLFRILGEQVQIVRENSTDVMLYRISLAIIQVMIDFQAAERQRLEEPASEIGLEPLCAMLTEFCKLRLV >CDP21700 pep supercontig:AUK_PRJEB4211_v1:scaffold_5886:1:2528:-1 gene:GSCOC_T00002196001 transcript:CDP21700 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNSVELQAERILELLKEKHVSTIVLSGKSGSGKTWMARKVGLLAVKKKVVDITLWISLSIRHDETSLYEHIAHQLSLLSTSVELENYDIQEVKNNVGKEETLESLKEKVRARLSTDNVLRGVLVILDDEGNKMREGDGGLEQVLHSIQQNSHHHSTTAADGDGQQKLKVLITSRNEDGRHQTQGGKKVVEMMPLTPEMSISLLKQGAVAKVFEIPGVESVVAKFINRKTDLAPGEVSLLAKLLSYHQQVSELQGLEHTLEEAWYGDNYNCTQLLLSGYEKVSDGILVDFSWQGSHFFRDNGSVHYSELISYWILEGYLGPVNSVEEAYEEGHRILMQLMGCQMLKEVNDDFVHMVRVTGDVSYRHRRGYGGTANLGFEEVIFGCNDWQGIGKLTKVDGMIRTLGSYKRVQQPLTLLLDGNCLSRENPNNLLLSNQELRILGLFSLEIVSFPHSFNNFKKLNVLVLRDCDFLEKIDDIQELMALTHLKKLRSLHFSDFQIEVMPDSFYHLTELSWLILKRFSHLTKLQSLKECQNLMVVDLSGAASLPTFPEKNLKSLPKLQTLNLSNSKIKSLPIFHETGELTHLSVSGCSNMDRVPSIRSLTNLQVLDLSWSTIVEFQDKSFQ >CDP19651 pep supercontig:AUK_PRJEB4211_v1:scaffold_589:5229:7152:1 gene:GSCOC_T00009249001 transcript:CDP19651 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLREKHLSGTIPPHVGNLSFMRFIQLPGNQFHGEIPQEFGRLFRLRALNLSINALVGEIPANLSYCTELINISIHDNKLEGKIPIDQLSNLKKLENFYLYTNNFTGDIPSSIGNLSSLIRIGFSLNNLEGNLPVEMGLLKRLSFFSAAENKLSGIIPASIFNSSAIISFSVAANSFHGNLPTNIGHTLPNLQKLYLGGNKFYGNFPTSITNASGLDVLDLPTNNFKGQIPTNLGDLTQLKLLNLAANFFGNNSTGDLDFIASLTNCSNLRILSLSINTFGGNVPKVMANLSHQLTELYMGWNQLSGTIPEGFGHLVNLHILTLSANSLFGLIPRDFGKLQNLQLLSLDQNELSGQIVPTLCNATALYFLELSTNQFEGGNIFDNVLMNCQNLQYLDLSHNNFTGIISPQFLQTHSSLMHIKIEENSFNIPISLADCSNLENLFMQANFFQGTIPPNLASWKSIQQLDLSSNNLTGPIPKELEKLQYLRYLNLSYNNIEGEIPNTGIFSNASQISLIGNNKLCGGVPELEFPPCPVIKGKNRGKLKVIILLEELWPDSLACPQESMSSYGFLTMNFIVQLQDFLQKT >CDP19652 pep supercontig:AUK_PRJEB4211_v1:scaffold_589:32944:37492:1 gene:GSCOC_T00009252001 transcript:CDP19652 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSSTLASIFLLLSVAMSFSAAKPFQNETDRLALLEFKKQIYDDPFGVLNSWNHSQHHCQWEGVTCSTRHRRVMALTLRDKQLSGTISPHVGNLSFMRFIHLEENQFHGEIPQEFGRLFRLRVLNLSSNAISGKIPENLSYCAEMIAISLFENKLEGKIPIDQLSNLKKLKGINFTKNNLTGEIPSSIGNLSSLTALSLGFNNLEGNLPMEMGLLKRFFFFSAPENKLSGIIPASIFNSSAITVISVGGNSFHGSLPTNIGLTLPNLEGLYLGANKFYGNFPTSITNASGLNILDLSRNKFEGQVPANLGDLTQLEKVNLYHNLIGNNSTGDLDFIGSLTNCSNLRILALSDNKFGGNIPKVMANLSKQLTELWLGGNQLSGSIPEGFGKFCSDLANLYMEANFFQGTIPPNLASWKSIQQLDLSSNNLTGPIPKELEKLQFLSYLNLSYNDIEGEVPNTGVFSNASQISLIGNNKLCGGIPELEFPPCPLIKGKNRGKLKVIILLSIVLPATLLVLGALLLYFLLYQKRERRIVAGFSSMPARIDELLRLSYHELLRATSGFSPENLIGSGNFGSVYKGRLEKHGNKLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIVSYCSSVDSKGDEFKALVYEFMENGNLDLWLHPSETTAQATSSRSLNLLQKLNIAIDVASALQYLHDHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPVNTSSEQRTSSTIALKGSIGYAAPEYGMGLVASTQGDVYSYGILLLEMITGRRPTDDIFVGDLDLHNYVNGALHERVPEIVDPLLLLEGDENRNMTPGGETINGGTEIDCIISLLKFGLKCSARLPNDRMHMNEVVRKLHLIKDVFLGVRVHQENFDA >CDP19653 pep supercontig:AUK_PRJEB4211_v1:scaffold_589:53525:57163:1 gene:GSCOC_T00009254001 transcript:CDP19653 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPNTMWGFRSSSTLANIFLLLLVAMIFSVSHVSASKQFKNETDHLALLEFKKQIYDDPSGVLNSWNHSQHHCRWEGVTCSTRHQRVMALILRHKQLSGTISPHVGNLSFMRFIQLGENQFHGEIPQEFGRLLRLRVLNLSINALNGKIPANLSYCSELINISLARNKLEGKIPVDHLSNLKMLEIFYLGKNNLTGEIPSSIGNLSSLTHLAFDFNNLEGNLPMEMGLLKRFAGLGAAENNLSGIIPASIFNSSAITVISVADNSFHGSLPTNIGLTLPNLQVLYVGGNNFSGNFPTSITNASGLEELDLSSNKFAGQVPANLGDLTNLQLLNLEGNLFGGNSNGDLDFIASLTNCSDLSIFSLSTNNFGYNIPKVMANLSNQLTELFLGGNQLSGTIPEGFGNFVNLYLLGLELNSFSGLIPRDFGKLQNLQFVRLDNNQFSGQISIQQLDLSSNNLTGPIPKELEKLQFLRYLNLSYNDIEGEIPNTGVFSIASQISLTGNNKLCGGIPELEFPPCPVIKGKNRGKLKVVILLSIVLPATLLVLGALLLYFLVHRKRERRMVTGFSSMPARVTKLLRISYHELLRATSGFSPENLIGSGNFGAVYKGRLEKHGNKLVAVKVLDLRKNGASKSFKAECKALRNIRHRNLVSVVSHCSRIDSKGDEFKALVYEFMENGNLDLWLHPAETTDQAASSRSLNLSQKLNIAIDVASALQYLHNHCEAEIVHCDLKPSNILLENDLVAHVGDFGFARLLPKPINRSSEQGTSTRGFKPRISHLHFLYGMGLAASTQGDVYSYGIFLLEMITGRRPTDDTFVGDLDLHNYVNGALHERVSEIVDPLLFLEGDENSNMTPGGETINGGREMECIISLLKIGLKCSARLPNDRMHMNEVVRKLHLIKDVFLGVRVHQENLEA >CDP21701 pep supercontig:AUK_PRJEB4211_v1:scaffold_5890:3028:3999:-1 gene:GSCOC_T00009191001 transcript:CDP21701 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLQRKWNFTPKSDTVAITNYQNMLFNRHSIISTLVLYIEIDSVQIEYDPKVITFKQLLEVFWTSHDSRQVFGQGPDVGNQYSRSIVFTNGTEESRLASVSKEREQTRSKSGIVTTQIQQLGTFYPAEPDHQKFELKRNPFLLQLMGNLPEEELEKSSLAAKLNGYAAELCPPRLQKRIHAKINDILRKGWPILREV >CDP21702 pep supercontig:AUK_PRJEB4211_v1:scaffold_5894:380:1078:-1 gene:GSCOC_T00008663001 transcript:CDP21702 gene_biotype:protein_coding transcript_biotype:protein_coding MASETEEFSESSSVIERLINSRNRDLALFLPFILAMTNSPNTPNPVQDSSTPDQEAQQSPPNMRESTDRIILINPLTQGMVVIEGSRGSGSSSSSLESLLRDLFSKDGQPPASKASIEAMPMVEVKEDSEECVICLEEWEAGALAKEMPCKHRFHGECIEKWLRIHGSCPVCRHKMPVEENDDKSLKNGDAGGTRREIWVSFAYSNSNLDRRSEGINQGGSNDSGDSSQTID >CDP21703 pep supercontig:AUK_PRJEB4211_v1:scaffold_5902:1764:4198:1 gene:GSCOC_T00005391001 transcript:CDP21703 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDLLLILAVVSAAMLMVVNGGGGGFPASALTLERAFPVNQKVDLEELKARDRARHARILQSFAGGIVDFPVLGTSDPYLVGLYFTRVKLGSPPREFNVQIDTGSDILWVSCDSCNDCPQSSGLGVQLNSFSATGSSTASLISCSDAICASIVQTSSAECSTQGNQCGYSFQYGDGSGTAGYYVSDLLYFDTILGASFIANSSAPIVFGCSTTLSGGLTRSDRAIDGIFGFGQQEISVIAQLSSRGITPKVFSHCLKGEGNGGGILVLGEILDPSIVYTPLVPSQYVFFTVCRYPFLFYFL >CDP21704 pep supercontig:AUK_PRJEB4211_v1:scaffold_5905:328:2528:-1 gene:GSCOC_T00000842001 transcript:CDP21704 gene_biotype:protein_coding transcript_biotype:protein_coding MILMTFRQYYVCDHKRYLAEHEYKALKERIAGTWLGFKKVSCINATLPWSHVATISDDICKSCKFSKGQEERVVVGTLDLNQCIMLPDEITGMKPKGIGADFARAYLSNVCVARELRRNGLGYDVIAKAKIVARNWGNLCLSPIDLYSLVLNFAYNDWFLSMVTLSLKS >CDP19655 pep supercontig:AUK_PRJEB4211_v1:scaffold_591:7864:10562:-1 gene:GSCOC_T00007149001 transcript:CDP19655 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSLIQISPTWICRRFHIGTIGSNSVIIVGCGTGLVNAAATTQLLLDIFPIRAVIHYGSAGGADSSLSLGDVLIPTQFSQTGLWDWLKSERAPQPDNGVADLEFRRYHIPSFGFNLLGRVAYMKERFFSVTGEPDVPERKFWFPASENLLQIASTLEGIELDLCLAGVCLPKQPKLVLGVNGTTANFFVENAAYSNFLHSTFNVSSIDMESAAVFMTSLSNGNSEVIAIRGLANLAGAEEGEDSSDRYDALLAVNVEKIVAALVNAIPSPRLGSICGNRKCSA >CDP19658 pep supercontig:AUK_PRJEB4211_v1:scaffold_591:37015:39412:-1 gene:GSCOC_T00007152001 transcript:CDP19658 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQMKLLLLVSVVISSLAVNVLTAPLPRAIKELSVRPPFLGLISAHSYKQATPFSDDGRRFHIGTIGSNSVIIVGCGTGLVNAAATTQLLLDFFPIRAVIHFGTAGGADSSLSVGDVVIPMQFSQTGIWDWLKSEAAPQPDNGVADLEFRRYHIPIGGYNLLGRVAYMKEYFFSQTGEPDVPVRKFWFEASEDLLQIASTLEGIELDQCLNGVCLPKQPKLVLGVNGTTANFFVENAAYRDFLHSTFHVASIDMESAAVIMTSLSSGQQVIAIRGLANSAGAEEGEDSSESYDAIVAANVEKTVVALVNNIPSPRLGRICGKNRKCSA >CDP19657 pep supercontig:AUK_PRJEB4211_v1:scaffold_591:20584:22281:-1 gene:GSCOC_T00007151001 transcript:CDP19657 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYLGLITVYSPEEDAFFATGAFKHNPKHPFVNLSGRRFRVGSIEGSKVIYVRCGVGMVNAAAATQQMLDVFRMIGIVNFGIAGNVNCSMSMGDVIIPKQFAQTGLWDWVKFKATIPTNDVAELDFGSCDVPNGGDNELGSIGTLWFQTSQNWLEVSSSLEGMALEQCVNSSLCLPEKPKVVVGLNGATANIFVDNAAYREFLYTTFHVSSLDMESAVIVMTCLSNGFKVIVIRGLSDLAGAQDGDNTIRLFGPLAASNVAKAVVQFVKTLRGFHFQQSI >CDP19654 pep supercontig:AUK_PRJEB4211_v1:scaffold_591:1116:2827:-1 gene:GSCOC_T00007148001 transcript:CDP19654 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLPLVFVAQEDAFFATGAFKPNPRHPYVDLSGRRFRVGSIGGSKVIYARCGVGMVNAAAATQQMLDVFRMIGIVHFGIAGNANSSMSIGDVIIPKQFAQTGLWDWVKFKATIPANDVTELDFGSYDVPNGGDNELGSIGYSTEFFYSKSGRPNSPERTLWFQTSQNWLEVSSSLEGMALEQCVNASLCLPEKPKVVVGLNGATANIFVDNAAYREFLYTTFHVSSLDMESAAIVMTCLSNGFKVIVIRALSDLAGAQDGDNTIRLFGPLAASNVAKAVVQFVKTLRGFHFQLSI >CDP19656 pep supercontig:AUK_PRJEB4211_v1:scaffold_591:15943:16068:-1 gene:GSCOC_T00007150001 transcript:CDP19656 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLRNPVISRAPSLLRARLFSSTCRSLPRQNSHHLLPL >CDP21705 pep supercontig:AUK_PRJEB4211_v1:scaffold_5914:265:1498:-1 gene:GSCOC_T00004162001 transcript:CDP21705 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEPLLWIIFFLMNMGLIALNLYQIVSLSDLEADYLNPYESSSRINHVVIPEYLLHGAFSILFLLSGHWFFFLATLPAAYINLRK >CDP19659 pep supercontig:AUK_PRJEB4211_v1:scaffold_592:26851:32771:-1 gene:GSCOC_T00007941001 transcript:CDP19659 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQKQRSLMDSSGPLIILLMLLMLLQLLAVMTIARVENFTRSDFPADFIFGAGTSSFQVEGAAREDGRTPSIWDTFVYANKGLSNGASGDIACDQYHKYKEDVQHMVDTGLEAYRFSISWSRLIPDGRGRVNPKGLEYYNNLINELLMHGIQPHVTLFHFDTPQVLEDEYGGWLSRKIVKDFTAYADVCFKEFGDRVLYWTTINEGNIFAMGGYDNGNAPPGRCSFPFGLNCSEGNSITEPYIAGHNLLLAHSSAVKLYYKKHKATQHGFVGLNIYAPWFSPYSNATEDIIATQRAIDFYIGWFLHPMVFGDYPDIIKKNAGTKIPALTPRESRLLKGSFDFIGLNHYLTLYVKDSPSSLNVNIRDIAADMGLSTLGMCRTCANDDTSSSLSGILEYLRNVYANPPTYVHENGKGTERNGTLNDTSRVKYMYSYIRTLLDAIKNGSNTKGYFLWSLLDGLELMGGYTTSFGLFYVDLDDKQLRRYPKLSARWYSNFLKGRTIKPDEINEVVNEIFVSSTSKASDQ >CDP19660 pep supercontig:AUK_PRJEB4211_v1:scaffold_592:65779:71011:-1 gene:GSCOC_T00007943001 transcript:CDP19660 gene_biotype:protein_coding transcript_biotype:protein_coding FSKGASGDIACDQYHKYKEDVQHMVDTGLEAYRFSVSWSRLIPNGRGHVNPKGLEYYNNLINELLKRGIQPHVTLLHLDTPQVLEDEYGGWLSRKIVKDFTAYADVCFKEFGDRVLYWTTINEGNVFAMGGYDNGVTPPGRCSFPFGQMCTEGDSVTEPYIAGHNMLLAHSSAVKLYYKKYKAVQHGFVGLNIYSSWFSPYSNATEDVTATQRVIDFYIGWFMHPMVFGDYPDIVKKNAGSKIPVLTPRESKLIQGAFDFIGLNHYNLVYVKDNPSSFEMNVRDITADVAASFFLEPEDAPQNQNDDPSSSLSGILEYLKTAYGNPPTYIHENGQRTERNGTLYDIPRVKYLHSYIGTLLEAIKNGSNTKGYFQWSFLDGLELFGGYETGSGLYYVDLDDKQLRRYRKLSADWYYNFLTGRTIRPDEITEVETGVFGSSTSKASD >CDP21706 pep supercontig:AUK_PRJEB4211_v1:scaffold_5920:1015:4223:1 gene:GSCOC_T00001961001 transcript:CDP21706 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISATVQVALETAVSLASDRIGMLVGFKKDVASMRRSLRLIKGVLADAEAKQNQDEAVQEWLNSLEEVAYEADNVLDELHYEALRHQVESRNRHKLKVCCFFSFSNINLAFRWRMASKVRDINLELNKINEEANRLGLVSRAVVTAALPAAPPAGDTRNRQTDSVVAPMVGRANDESKIVKMLLSPSEKVVSVLPIIGMGGLGKTTLAKSIYNKKQIDGQFEKKLWVCVSKKVPVVELFKLILGQLKEDKKDEVGDRQNIVQEIGKKLGKLRYLLVLDDVWDDDQALWEDFFNTLKGLNPTKGSWCLVTTRPGPVAQCVSRVLRMMEIESYPLGKLSDDHCWSIVKEKVVGGEEELDELKAIKERVIERCDGLPLAASVIGSLLSLKRKEEWHSILENRLLSLSAGGDHVMQILKLSFDNLPSPAVKKCFAYCSIFPDDAEMKGDVLIELWMAEGFLHAGLENKTMEEIGEYYLEILLQSSLFEETRDYRGTHYKMHDLVHDLAESMSKSTKVIIDRDTHTVDNGNQIRYLATDSFGGGEDREKLLESLSTSLHTLFIRKDHFSGDMLMKLKNLYVLNLSYYTTTRKLPVSIGKLIHLRYVNLERSAISILPDSLCKLYNLQTLTLSESRVKDLPKGMRDLISLRHLHYYTKDEEFQMPLEMGRLTCLQTLEFFNMGREKGRRIGELGSLKNLKGKLVIRNLELIKGKEGAEEAKLSEKANLLSLNLEWARDREGDNYNDKDVLDGLQPHPNLKELVIWNFMGDQFPRWLMDLPTTTTHPKSATTPPKLARLEFNHCNRCRELLPLQNFASLKQLEIYACDGLTNLPGDMLHSCASLQKLEVSWCDNLITFPLDLQQTPSLLELVLYCCPKLKTSMTPKGFGFLTSLRELKIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSCALRRLELIGLPHVASLPHQIQYLTTLTSLSLLDFGGLKALPDWFGNFAALEYLYLWGFKELRHLPSEDAMRSLTKLKRLEVYGSPLLKERCTPESSGPDSQWSKVSHIQHLDIS >CDP21707 pep supercontig:AUK_PRJEB4211_v1:scaffold_5924:2705:3493:-1 gene:GSCOC_T00007336001 transcript:CDP21707 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTAHSTQSLPLQDRVAIVTGSSRGIGKAIALHLASLGARLVINYSSNPTQANIVASQINGNSTNRAITVKADISDPTQVKTLFDSAESAFNSPVHILVNSAGITDPKYPTVANTTIEDFDNIFNVNARGAFLCCKESANRIKRGGGGRIICLTTTLVAALYPGYAAYVGSKAAVESMVKILAKELKGTGITANCVAPGPIATELFFEGKTEEMVKRVVDMNPFGRLGQTEDVAPLVGFLASDAGEWVNGQIIRVNGGFV >CDP21708 pep supercontig:AUK_PRJEB4211_v1:scaffold_5927:2379:4154:1 gene:GSCOC_T00012532001 transcript:CDP21708 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSNWKCDSVNLNNGGNRPLLRTVFEVMIRKFCTPRKVNLVFVLRDKNECPLDKLEEQLKEGMYKIWKEMKKPEAQLNASLKDFFNIKVVALSSFQHMREQFKNEVAGLREWIISISTSGEGAAGSTPASGFADYAKEIWDKIKEDKDLDLPRYRIMVAEIRCNAIAEEKYQSFCKNRSWLQIEKNAISVQGFGAEVSRIIDIYLSQ >CDP21709 pep supercontig:AUK_PRJEB4211_v1:scaffold_5929:140:2498:-1 gene:GSCOC_T00012664001 transcript:CDP21709 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTQLVFVPAPGIGHLVSTVEFSKRLTERDDRLSIVVLVISSVSAKKMESYTERVAASNTAIQFINIPQADPPSAEFLKSLENYHALFMENHKSHVKKAIVDLVSQPYTSLAGIVVDLFCSSMIELANELGVSSYVFFTCSAAILGFVFYLPIHYNQIGREFETSDSDSIIPTYSHPVPTNVVPSFAFNKYGGYASSLKHATRFKETKGIIVNTFAELEPHAVNQLKSDSETPPIYTAGPLLDLEGKRQDSDCERIMKWLDDQPPSSVVFLCFGSMGSFEPDQLAEMAIAIERSGYRFLWAVRSPPSKDDTTKRMGEYSNLSEVLPEGFLERTENRGLLCGWAPQMEVLAHEAVGGFVSHCGWNSTLESLWSGVPVATWPLYAEQQINAFELVRELELALELKLDYRTENAKNLVMAEEIEKAIRCLMDSENPIRGRVKEMKEMSRKAIQNGGSSFISVGRFIEDIHINKANKA >CDP21710 pep supercontig:AUK_PRJEB4211_v1:scaffold_5950:1:4315:-1 gene:GSCOC_T00012871001 transcript:CDP21710 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIRAIGRALFAAVKADTSSSSSAAAAAASTARTKHNPLEDFFEADRSSDDDKPVVYGRGWKASELCLKSWDDPQKLWFVLLKEKNMLMTQRQMLHAQNLRFANPEHISKVSVYPFVYQRRMHHTSDFSTIDDHKELFVYPPHDRNNTLSEDQIPLEKIKELYSPLIHEYESRSKVAKK >CDP21712 pep supercontig:AUK_PRJEB4211_v1:scaffold_5951:2953:3694:-1 gene:GSCOC_T00007444001 transcript:CDP21712 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEKMQFARLDDSPMFRQQIQCLEESAENLRERSIKFFKGCRKYT >CDP21711 pep supercontig:AUK_PRJEB4211_v1:scaffold_5951:507:2045:-1 gene:GSCOC_T00007443001 transcript:CDP21711 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTLDICLFKFSREGLGEAYDRDIAFASALETFGGGHNDPISVAFGGPDMAKFAIALREIGMYKEVLRSQSYICFNSSPYFPIFQVEHILNDRLLHFANVDLQDVKEARKRFDKANVTYDQVLHDYTSAVC >CDP21713 pep supercontig:AUK_PRJEB4211_v1:scaffold_5956:3399:3554:1 gene:GSCOC_T00004757001 transcript:CDP21713 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSKSEFEEHGTSYVQRKCP >CDP21714 pep supercontig:AUK_PRJEB4211_v1:scaffold_5966:1904:4060:1 gene:GSCOC_T00009411001 transcript:CDP21714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OsGA2ox1 [Source: Projected from Oryza sativa (Os05g0158600)] MVVAAPTPDGNEKARATELPVIDLSDRRSEVSKLMVKACEDFGFFKLINHGVADDIIAKMEEQSYQFFAKPSSEKQLAGPSKPYGYGCRNIGCNGDVGEVEYLILDGNPASIARSSETISADAIEFRCAVRSYVEAVRALACEILEVMAEGMGVPHTSVFSALIRDADADSLLRLNHYPPVPDDWDTSPSDPRHQNPRIGFGEHTDPQILTLLRSNDVRGLQISLHDGVWVPVDPHPQAFFVNVGDVLQVLLTLLS >CDP19661 pep supercontig:AUK_PRJEB4211_v1:scaffold_597:50526:52300:1 gene:GSCOC_T00010395001 transcript:CDP19661 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLNFNPSFIKLLMEDDFTREIRIPYAFVRNFKEKLFSRCTIESEAKNSVRNSWPVRIRKKGRYYYICKLSWPKFVKDHHLKLGDYLLFHLIDKTTFKVKPYGADCCPKKFNVYKSSSSSSTDDESDDGSDDDSDETEFYGDDGIGPSKVHPARKKVYTKYQIDRDNFRSNKFRKLKKTRKSGVGKRVLEIESEDGEEVEANEMDGYFDLNAENPYFIFRLKQHHMGRLNIPRPFSRATKLAMEKEVVLRGEDQREWPVKINERSEIGKGWTEFRKAYKLEKGEICRFTLLARFGDLFHIQIKRASNMLRFFFFSFQNLCFFKLSFVRKIQQVIRRIFVQN >CDP21715 pep supercontig:AUK_PRJEB4211_v1:scaffold_5974:2408:4178:-1 gene:GSCOC_T00011523001 transcript:CDP21715 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLNLKSFGKQSFQAFFLNMPSCSFLQELGINCIELMPCHEFNELEYYSHNFILGDYNFASSSDFVFRMNFWGYSTVNFFSPMTRYSSAGALNCGLGAIDEFKCLVKEAHKCGIEVIMDVVFNHSAEGNENGPIFSFRGVDNSVFYMLAPKFYNYSGCGNTFNCNHPLVHQFILDCLR >CDP21716 pep supercontig:AUK_PRJEB4211_v1:scaffold_5976:1:370:1 gene:GSCOC_T00004531001 transcript:CDP21716 gene_biotype:protein_coding transcript_biotype:protein_coding DTVETLRKNYANYKYPKRLIEVKDQSRWNISSEKLERLGWRYRPVEETLVDSIESYKQAGILD >CDP19662 pep supercontig:AUK_PRJEB4211_v1:scaffold_598:22262:28164:1 gene:GSCOC_T00008717001 transcript:CDP19662 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEAALAAAALPADSPTIFDKIINKEIPANVVYEDDKVLAFRDINPQAPIHILLIPKVKDGLSGVSKAEERHIEILGRLLYTAKLVAKQEGLEDGFRLVINDGPSGCQSVYHLHIHLLGGRQMNWPPG >CDP19663 pep supercontig:AUK_PRJEB4211_v1:scaffold_598:52580:52726:1 gene:GSCOC_T00008719001 transcript:CDP19663 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKVLTAKTITLQVESCNTIDNVKAKIQDKEGILPDQQRLIFVDK >CDP21717 pep supercontig:AUK_PRJEB4211_v1:scaffold_5984:1776:2003:-1 gene:GSCOC_T00002272001 transcript:CDP21717 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLARMYDVKDPNAIFVFKSRTHFGGGKSTRFG >CDP21718 pep supercontig:AUK_PRJEB4211_v1:scaffold_5986:215:871:-1 gene:GSCOC_T00004201001 transcript:CDP21718 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLQPIMKSSQEFGFFQVIIHGAFEGLMEETMNVIQEFFRLPGFYSNDSGISQICRIFSSTLNYHKEDFHYWSDNITHSCHPVEDYIQSLPEKPTRYRTTIHTTFFEARKFLLRILDLICEGLGLIGYIEGELTKVQVFLDWECQNTMILIS >CDP21719 pep supercontig:AUK_PRJEB4211_v1:scaffold_5998:2:4253:1 gene:GSCOC_T00013101001 transcript:CDP21719 gene_biotype:protein_coding transcript_biotype:protein_coding DPCYYTLWSPTLYNISYSLAAGHALSLAPPTTQFTPLAATQVSLMEGKKFLRHLFFLCGIIILLFFTISNLPYPPSNSLGCTTNSPWCTSSKNRFQFKTPHVIQFPSDSKLRRHSTAVPHHPLDPLTIPELNKVKKVIQSHDLFRNSNYALHSVVLDEPDKQLVLTWQKGDPLPPRKASVVARVSRVSHVLTVELETSKVILHETGSHSGYPTMTVEDMTSSTWAPLANADFNRTVIERGVDLADLACLPISSGWFGKSEEKRRLIKVQCYSMKDTANFYMRPIEGLTVLLDLDTKEVVEITDKGRNIPIPKAANTEYRFSAQNYHQSLINPISIEQPKGPSYTIEDDHLVKWANWEFHLKPDPRAGVIVSRAKVRDPGTGVMRDVMYKGFSSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFPAADGTPYVRSNMVCVFESYGGDIGWRHSESPITGMGVIISYVFFFFSFLYALKLLSLYMYFFFSVPALKHVIVPK >CDP21720 pep supercontig:AUK_PRJEB4211_v1:scaffold_6008:1003:3342:-1 gene:GSCOC_T00012255001 transcript:CDP21720 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEPALFDLSCDDFYLSALFRETDELDSEDDQIFPLSDVKYAQGLQLQEALVASMLPEPAQGYSTLNIAANAASSSSSSSFKVEQAEEAVTAESGESSLSFCEICVDRKEIDQMFTIQSCGHVFCNECISKHVAARLQFNVHGIRCPEVNCGRAIEFDSCRSFMPKDVLEKWDEMLCDAMVDASQKFYCPFKDCSAMLERDSDEVIRESECPVCRRLFCARCHVPWHPGVDCEELLRLNQDERGREDLMLRELAKAKSWNRCPRCKYYVEKNQGCIHMTCRCGFQFCYACGEPWSSTHGGCQQT >CDP21721 pep supercontig:AUK_PRJEB4211_v1:scaffold_6011:2769:3515:-1 gene:GSCOC_T00004236001 transcript:CDP21721 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGNQWDYTDQMIKGIILVMLTARTDTSSPSKLIPFGMGRRSCPGSGLTQRVVGLALGSLIQSYDWKRIGEEEIDLAEGTGVSMPKAKLLEKMCFRNKKLKKGF >CDP21722 pep supercontig:AUK_PRJEB4211_v1:scaffold_6017:798:4226:-1 gene:GSCOC_T00003185001 transcript:CDP21722 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVKAELERLSNTAATIRGFLADADGKMHSPGVRDWLKKLEDEVFKADNVLDELHYDSLRREVKYRNQLTKRKVCFFFSFFNAIGFSSSLASRIRDINTNLKRINQQANDLGLTDSIIVPNVVGRSGDESKIVEMLLTPSERVVSVIPITGMGGLGKTTLAKSVYNNTKIDENFGIKSWVCVARKIDIVELFKLILKSLTRTKVEVDDREAIVQEIRGKLGEKRFLLVLDDVWNCEPGLWSDFFTTLLGLSTTKGSWCILTTRLQPVANAVLRHLQMNDGPYFLGKLSGDECWSIITRKVLAGEEVTKELEAIQEQILRRCDGLPLAASLIGGLLLNNRREKWHSIVQESLLNEDQSEIDQILKVSFDHLSPPSVKKCFAYCSIFAQDTELGEDELIEYWVAEGFVLPNQENTRMMEERGGEYLRILLQSSLLEKVADKRRTYYKMHDLVHDFAKSVLNPKCSSQDRYLALHSYEEMEENVRRNKAASIRSLFLGLRGGISADMLSRFKHLHVFKLFGYFVMFLPSSIGELLHLRLLDISSSQITSLPESLCKLYNLQTLTMRDRALEGGFPKRMSDLISLRHLNYYYYGAKFKMPMQMGRLTCLQTLKFFNVSQERGRGIEELGTLKYLKGSLEIRNLGLVKGKEAAKQAKLFEKPDLSRLEFEWKRRNPKSDNHDEDVLEGLQPHPNLEKLRIYSFMGNKFPQWLINLPKLVELRIRDCQRCSELPALGQLPSLKFLHLQSLDNIRYFGDEFYGSSIRRRKFFPALEELDVKHMENLVEWKDADQVRSTIGEAEVDAFPMLRDFRIESCPQLTTFPCSGKSLDELSIKFCDNLRELPEDVFGSSLQRLEIYKCPRLISLGVNGQKCPLPRLEELTIQYCDELTTIPDKMFESCPSLQYLHVEDCPNLVSFSLNLQETPSLKHFVLIGCPKLIPNWFKGFAFATSLRKLISLSINSPFSSDDSSIDDFDWSGLRSISTLRVLELQGLPHTESLAHQLQYFTTLTSLRLANFGGIEVLPDWIGNLVSLETLRLQNCEKLRSLPSKVAMRRLTKLTRIEVYRCPLLRQRYTPHRGIYLEE >CDP21723 pep supercontig:AUK_PRJEB4211_v1:scaffold_6024:2901:3884:1 gene:GSCOC_T00007453001 transcript:CDP21723 gene_biotype:protein_coding transcript_biotype:protein_coding MANEPKLHVVMFPWSAFGHIIPFLELAKFIAQRGHEITFIFTPRNIDRLPEIPPIFASSITFVKIPLPRVEGLPENAEATMDIGNEDIPHLKKAYDGLEPELTRFLESSLPDWIIFDFAPYWLPTIAAKRGISKAFFSFINSWFLAFLGPSDVMINDADPRSTVEDFIVPPKWVPFETKVAYEPYEINWILGAGQENVTGVSDSSRSGMLMKGSDVIAVRQSYEFEGQWLKLLEELHQRKVIPLGLMPPQAEKISNDGNDSWDSIREWLGVRNKGSVLYVALGSEVPLSQTDVTELALGLELSGVPFFWGATEAVWINRVNSGARWA >CDP21724 pep supercontig:AUK_PRJEB4211_v1:scaffold_6037:2:2717:1 gene:GSCOC_T00006722001 transcript:CDP21724 gene_biotype:protein_coding transcript_biotype:protein_coding PEFNEQVRDAAYDTEDILDEFVARFARHPATGFYRSVRRIFSSIKNLRARHRVASEIQGIKSRIKSISEAHQRYQSEYGISAQASNSLSAVNNTTWRYSRDDALLVEEAKLVGIDQPKNHLISELLEGDDHQLKVVSVVGMGGLGKTTLVKKVHEDLEVRRQFPVRVWVTVSETCDFQFLLKDLIRQLHEEGKKPDPRSIESMTTTELKNFVKDFLQQAGRYAIVFDDVWDVEFWNTIKFALPESSRGGNRVMLTTRKADVATASSIESRGLVYRMEPLSIEDSWTLFRNKIFDGGNCPGHLMDVAKGILDKCEGLPLAILAIGGLLSLKDVNRIDEWEMVQRSLGGELEGTGKLDRVKKILSLSYSDLPWHLKTCLLYRSIYPEDHKIACTRLVNLWIAERFVEWREGMSIEDVAWGYLGELVNRSLIQVTDAFYEGLPDRCRIHDLMREVILIKSREQNMVTVTTGQPTTWPSEKVRRLVVHSSSSNNAQHHQQRPSYCFDHLRSFITEIPNEIFKMFHLKHLDLWGTRVERVPKAIGKLQHLEFLDLGNTAVRELPMEILKLQKLRFLKVYQLVDSSDDDYGYHGFKAPSNIGGFLP >CDP21725 pep supercontig:AUK_PRJEB4211_v1:scaffold_6064:474:4166:-1 gene:GSCOC_T00012747001 transcript:CDP21725 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGFLAGADEQMHSPVVQNWLKQLEEEVFKADNVLDELNYENLRGKVKYQNQLTKKKVFFCFSFFNKIGFRSRLASTIREINTNLERIHRDADGLGLAYKHQVEEAFPTIAAGATTSRQTDSTIVRRDVLGRDEDESEIVKKLLTETESVISVIPITGMGGLGKTTLAKAVYNKSQIHKHFDKKIWVCVAEKVDRIEQVFKMILESLIGGKVEGDRREVIVQKIQDELKEKRYFLVLDDLWNDQEVLLNDFFSTLAGLNAKKGSWCLVTTRLQEVAIILSRHPQINFARHELGKLRDNDCWSIIKKWATVGEEVPKELRTIKERVLRRCDGLPLAATLIGGLLSKKRKEEWLSILEESLLNGDQGGIEQIIKVSFDHLSPASVKKCFAFCSIFHQDTRLEQDLLVELWMAEGFLQPDSQNERVMEKIGCEYLRILLQTSLLEEVKEERRTWYKMHDLVHDFAKSILNRSGSNQDRYLAVYSPERMVEIINEKKSASLRTLFMKGSIADDMLSKFKYLHVLKLFGADAKELPTSIGKLIHLHLLDISESSIRTLPESLCKLYSLQTLRIGMLREGFPKKMSNLISMRHLHCDDYYTRHVIQMPSRIGRLTCLQTLEFFNIGRQEEGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELANLSKKPNLHRLVFEWGNRDRESDNGDEDALEGLQPHPNLKELQILKFMGDQFPQWFMNLTSLVELRVKDCTRCRKLPALGQLSSLQHLYLTGLENIRSIGLSFYSMSAEEDGGSGGSSTISRQTFFPALKILSLKSMKNLEEWKDAHEMRSTAGEVHVMDVFPVLETLYISDCPQLTTIPTPSRFPSLDVLEIKENCHVLLVEKVLSNITTLSSLELCGGRQRIESLNFVKRPESIDGCDSLPTDMLERLCLFPILQHLELRRAANITTLRGMSCAACLKILTVEFCGNLRELPEDLYQFQALEHLEIRYCRRIDSFGYPNPKNSFGQKSLLKSLEQFTVSFCDELTRLPAEMFESCTSLRKLNLSYCRSLVSFPLDLRRTPSLESFFLCWCPNLIAEMPSGFGYLTGLREVMIGPFSDYSAIEFDWAGLASSSSLQHVYLDGMRDTKSLPHQLQDLTTITLLSLRYFGAIEALPNWLGKLVSLEELILYDCQKLEYLPSTADMERLKLRRLEIHRCPLLERRCTPQSGSEWPKISNIIDWVRSHLKILINSFSLLDHLILPVFAPHSFSP >CDP21726 pep supercontig:AUK_PRJEB4211_v1:scaffold_6071:1874:2521:-1 gene:GSCOC_T00013512001 transcript:CDP21726 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLLFLSFLLFNSFLSFATEEPNPVLDINGEEIRPGVEYHIESAIFGGGGGGVTYGKGPGNEICPLAVVQALSDVNRGHPVTFTPVNPEEGVIRVSTDLNIKFSPFINFCRGSNVWKVHFNEALEQHFVLTDGVEGNSGCETEANWFKIEGNYPFYRLVFCPAVCDSSSEAICKDVGIYYDDDGTRRLALSDRPFGVYFSKKNEDILKSVTST >CDP21727 pep supercontig:AUK_PRJEB4211_v1:scaffold_6077:1011:3141:1 gene:GSCOC_T00007195001 transcript:CDP21727 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFQPPQENLPPEVMEIVLIIALLSALPIIFLILLQKNSRKSSTKSHPPLPGPPGIPIIGNMHQFDPSAPHSYLGELSRKYGPLMSLKLGSLPVLVVSSARMAEEVMKNHDLIFCSRPPMLGQRKLSYNGLDIAFAQYNEQWRELRKICVLHLLSSKRVQSFRPIREDEVYRMIQKISRESASSQVTDLTHTLLSLTSTMICQIGFGKRYDEEGQERKRFHFLLQEAQAMFVAFYFSDYFPTIGWLDKYTGMLSRLEKVFNKLDLFYQELIDEHLDPNRPTSMDGDIIDLLIQLQKDRSTTFDLTVNHIKATLMNVFFAGSETSAGTVIWAMTALIKNPTALEKAQNEIQEVLGEKKMIDEDDIQKLPYLKAIIKETMRLYPVAPLLVPRYTMESCILDGYEIQPKTTVYVNAWAIGRDPEYWENPHEFLPERFLNSTIDATGKHFQLIPFGAGRRGCPGYSLGIAAVELALANLLNSFNWGLPSGVKKEDIDTDVLPGLAMLKKNALRLVAKKRVSS >CDP21728 pep supercontig:AUK_PRJEB4211_v1:scaffold_6083:1261:3378:1 gene:GSCOC_T00011249001 transcript:CDP21728 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIGKTTMAWKLFNCQKVLSHFHCRAWCTVSQEYDKRELLLEILRGILGLTDEIRQMTDEDLQLKLRQCLLRNRFLIVMDDVWNAGVWNELRNAFPDDANGSRILITSRLRDVALQIEPDSDPHSLRLFSDDESWNLLAEKVFHGEGCPKELLLVGKEIAKKCKGLPLTVVAMSGLLQKTEKSRASWGEIAKGLVAEVMEEPKAQCMEILELSYKHLPGYLKPCFLYLGVFLEDKDIPVSKLIRFWLAEGFIHDSELKSLEVIAEGYLMELISRSLVEVSKRRSNGMVKSCRLHDLLRDFCQLKARAENFFRPLTRFDEPYISFPRSDFGFEFDFGHHSDPVTYEAYRLCIFLKRVHFVVSRPFGLGTRSLIFFPSADSEPRSPYDISFICHNFKLLRVLDFECINLGITFPADISLLVHLRYLAVAGYMQTIPQSIANLQKLETFVVKVLRGLVVLPDTIWHMTRLRHLHVNMHVAFKLDDEGLEGCSQLGNLVSFSRLSLSCGEDTESITTRLPNLQKLRCIFFHPKGYSKNSYQFPRLDCLTHLVSLNVFYYGSAITREFILPSNLRKLTLSNFHLPWSHISTIGRLLNLEVLKLLLDAFEGLIWDMEEDQFKELKFLKLDNLNVSQWNATCDHLPKLEWLVLQNCKDLEEIPYDFADIGTLEVIEAHWCRQTVEESAQRIAEATGDTKVLISSSYMRSSV >CDP21729 pep supercontig:AUK_PRJEB4211_v1:scaffold_6089:896:4210:-1 gene:GSCOC_T00000760001 transcript:CDP21729 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNDDRVNEAFDSKAWACVSDDFDIFGVTKTILRAITAGGCDYEDLNMVQVKLSEALTRKRFLIVLDDVWNEKYEDWDTLRRPFLVGSSGSKIIVTTRHHRVASVMSSTAGYSLEELTDDESLCLLARHALGMTNFGRHPNLEGIGRSIVRKCKNLPLAVKTLGGMLRARSTPDEWTDILNSEIWEIKEDQSDILPALRLSYYHLPAHLKPCFAYCSIFPKDYEFDKYELVLLWMAEGFLEESKASDLMEDIGDNYFKELLMRSFFQQSSCTSTSSRFVMHDLINDLARYVAGDFCSRLTDGLEENIKCTILDKVRYASFTSSWYEATQKFKTLQKAKHLRSFLPLYGKYECSGFWIANKVIAELLPELQYSRVLSFSGNAISDLPNSIGELIHLRYLNLSGTCLKLLPESLSNLCNLQTLRLRNCMELINLPVGIRKLINLRHLENSNTSQLHEMPSGIDQLTSLQTLSKVVVSKHGGFRLNDLGNLSVLAGSLAILELQNVTNVQEARDANLKNKRGLDEIVLAWNSEYDGSLSKVLQQDLLEALRPHTNLTSLEIEFYKGDKFSSWVGDSSFTKLVKVSLRGCTHCKCLPSLGQLPALKHLSIRSMLEVKAMGTELCGKDCSWEFSFPSLESLTFDDMPEWEEWTCLSSAGENECHFPLLQNLCISRCPKLKSIPVLQLPSLSELELEECSVGIAKYLYNLTSLNNLKFRQIIGLASLEDVFKQFPSGLEGITLHECHELKNLWGSSNTVNLVHLKSLVVSECSQLSSLEELGVLPTLEYLNIEGCSALQSLPTFSGLNTLRIGRCSALSCLPMDKLLLPQLRSLEIRQCQKLNLTPEIVIEDTSTSIERLQIAGCPCLNLRTMLGSVYSFASLRSLDISDCDYHLDQLPTPSLELLSLCRCKNISYLPSGLGRLRSLVLLSCSSPLLFPPGDFPPGLEILHIEAGENLQLKPLSEWGLNSLTSLVYFGIHGLTALRHLQITNCPELGSLPRESLSNPLRALEIYECPLLEKRCLMGRGDYWPMIEEIPLVRTGCDPIRYSEIFTLIYCSIFLLIFT >CDP19672 pep supercontig:AUK_PRJEB4211_v1:scaffold_609:50568:55598:1 gene:GSCOC_T00004898001 transcript:CDP19672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 9 [Source:Projected from Arabidopsis thaliana (AT5G24800) UniProtKB/Swiss-Prot;Acc:Q9FUD3] MDNPHWSHNLASRHSSLSATIDSQSSICVGSPTSATKSKGKDKRAMVATSGSSPEQSDDDEVETEAGQCEESTDPMDLKRIKRMVSNRESARRSRRRKQAHLADLEQQVEQLRGENGSLFKQLGDASQQFKDASTNNRVLKSDVEALRAKVKLAEDMVTRGSLTSSLSHLLQNYLSTPESLNNANMCRGENVSTIVTVRGVDPTYPDVGVSVQNSAARMGNVDNFNGDLKNGVTNDAMICMADLWPWESHAGSVTK >CDP21730 pep supercontig:AUK_PRJEB4211_v1:scaffold_6091:1936:4262:1 gene:GSCOC_T00008218001 transcript:CDP21730 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLGPIVEVLVEKAIHLASEQIGRLVTFKKDLEKLKDTLTLIQALLHEAEERQVTQPLVKLWLEKLERAAFDAGNLLDDINYEMIRRKVEIQNQMKRKIGARDAPRVTPKDRETAAATVDTGFVGRDNDVSAIVKMLTAPNNNDTISVLPIVGMGGIGKTALARKVYNDPKIKEHFEKSMWVCVSDDFNDNTLFRRMLESLEDSGLWNDFLKSLRGTSQAMGSWILVTTREQQVATITRISSPQDYSLKELSHDQCWLILKENAFGAGKVPNRRQEDIGLKIAEKCQGLPLAASVLGGMLRNKGTAEWETLESGLQRGENNGIHEILKLSFYNLPYPSLKKCLAYCSIFPKDFEMERNQLIQLWAAEGFLYSNPRNNNMCMEEVGNMYFTILLDSNLF >CDP19674 pep supercontig:AUK_PRJEB4211_v1:scaffold_610:37875:39276:1 gene:GSCOC_T00002628001 transcript:CDP19674 gene_biotype:protein_coding transcript_biotype:protein_coding MKISNFGHLRHIAEENAILDVTIQLKQDLFQQGIIGLSKCKDSKSEPSMLFPVELCDIKDKSNSHQ >CDP19673 pep supercontig:AUK_PRJEB4211_v1:scaffold_610:29677:32025:-1 gene:GSCOC_T00002627001 transcript:CDP19673 gene_biotype:protein_coding transcript_biotype:protein_coding WVCVSKKVPIVELFKLILVHLTGEKVEVDVRDVIVGKIRNQLGGKRYLLVLDDVWDDNQALWDDFFTTLKGLNPINPPKGSWCLITTRLHLVADKGYPLGRLPGDHCWSIIKGKVVEGEEVPNELDAIKDRAIQICNGLPLVASVLGGLLRLRKDKWRSILEDRLLNLNEAMQILQLSFDNLPSPAIKKCFAYCSIFPQDTEMEGDMLIELWMAEGFLHAGLENKTMEEIGEYYLEILLQSSLFEETRNYQGTCYKMYDLVHDLAESMSKSTKVIIDRDTHTVDNGNQIRYLATDSFGGGEDREKLLESLSTSLHTLFVKGDLSELPVSIGKLIHLRYVNLEWSSISILPDSLCKLHNLQTLTLSHLNVKDFPKGMRDLISLRHLHYYIDDDGEFQMPLEMGQLTCLQTLEFFNVGREKGRRIGELGSLKNLKGQLEIRNLELVKDRKEAEEAKLFEKANLFRLVLQWARDREGGDYNDKDVLDGLRPHPNLEELAIWNFMGDQFPRWLMDLPTTTTLPRLARLEFNRCHRCRELLPLQNFASLKELQIWCCDGLTNLPGDMLHLCASLQKLWVRRCDNLTSFPLNLQQTPSLLLLELYECPKLKASMTPRGFSFLTSLRELVIGPFSDDGDDHENSSIYNEFDWSGLMSSSSSSSSALRELHLRGLPHMESLPHQIQYLTTLTSLALGEFGNFAALEELYLYDFKELGHLPSEDAMRSLTKLKQLRFYHSPLLIERCTPESSGPDSQWSKVSHIQHLVIY >CDP21731 pep supercontig:AUK_PRJEB4211_v1:scaffold_6108:1890:2488:1 gene:GSCOC_T00009631001 transcript:CDP21731 gene_biotype:protein_coding transcript_biotype:protein_coding VIEQHLEEIFELLANSEEYPQFYDLFTAPLHFRLLRQHHLNISCGIFDKFMGAHGKFKESLSSDTRGLLSLYEAAQRRAHDESILEEALTFTIIHLICYVLNGDSTLTTQVRHAFKQPVHKGSLRIDVRHYIAIYEEEESHHELLLKFTKMDYNLLQMLH >CDP19675 pep supercontig:AUK_PRJEB4211_v1:scaffold_611:1712:2226:1 gene:GSCOC_T00009746001 transcript:CDP19675 gene_biotype:protein_coding transcript_biotype:protein_coding MCYCKLHCPRNSGLMIQLKKLSALKVFKTTFPCGFALEILHVYSRLPVIVYKFRHWGFMEGPFKGNSPTREMVEFFGMGIFEVPNSKVVKVQFFYDPGQLLEGLLKEKPSDEYKIKALSTCPFLLQQSNLDK >CDP19676 pep supercontig:AUK_PRJEB4211_v1:scaffold_611:48240:61150:1 gene:GSCOC_T00009747001 transcript:CDP19676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 12 [Source:Projected from Arabidopsis thaliana (AT5G23580) UniProtKB/Swiss-Prot;Acc:Q42396] MHRDLKPENFLFTSADEDAALKATDFGLSVFYKPGETFCDVVGSPYYVAPEVLRKHYGPESDVWSAGVILYILLSGVPPFWAETEMGIFRQILQGKLDFESEPWPGISNIAKDLIRKMLDRNPKRRLTAHEVLCHPWIIDDNMAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFRMIDTDNSGTITFDELKEGLRRVGSELMESEIKDLMEAADIDNSGTIDYGEFLAATVHLNKLEREENLVSAFSFFDKDGSGYITIDELQQACKEFGLSELHLDEMIKEIDQDNDGQIDYGEFAAMMRKGNGGVGRRTMRNTLKLGEALGVYQNSE >CDP21732 pep supercontig:AUK_PRJEB4211_v1:scaffold_6115:2:781:-1 gene:GSCOC_T00005597001 transcript:CDP21732 gene_biotype:protein_coding transcript_biotype:protein_coding MADALIAATIEVALEKTLSLANERIGNLFQFKEDLETLKGSVAMIQAVLADAEEKQTHDQAVQLWLQRLEAVVFDAENLLDELNYEALRRQLVGKVRSFILSSDINIVFRRRMASKIRDINKKLYKINKEANDFGLIRFQRASFPPSTTAKVTLNRETDSIAGHYIVGRAKDETRLVETLLSLSEKAVSVIPILGMGGLGKTTLAQSIYKNSHVHSHFEKKIWVCVSDNFDVTRLLKMILESLTRRNVEMTSRDVIVQEI >CDP19677 pep supercontig:AUK_PRJEB4211_v1:scaffold_612:18165:19292:-1 gene:GSCOC_T00012461001 transcript:CDP19677 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTDIKQLCSFNDLLQTLCKNIQRSHYEQLIIKLASAYDGYHFDLPAFLDFRGRICRSGILHFHERDLARSLILFADGQSINNTTNQSINNTINPSFLASAAFHYQSFSTIEESVDWLRNQGDKIIQNPILYSREGKHPFQFLSNLIAIKTAKQNNIIPSIPITQDASASAYQIMSYFLLDETLAKRTNLIPSSDGKSMDIYSLLLEELREYMKTEHDNNLSKVVCDLLTRKIVKGIFMPIIYGKTIKSTADDLKETPLYQFITGNECTKVASVCFQFWKTKYHGLECLIRLIRNIGWIASARGSPVFYRVPYFTTVQDYMKMEPAKIWVYDRVLVFLYMIDNIYPHLVLLREQKKENYSFMISGPYLYWLSRF >CDP19678 pep supercontig:AUK_PRJEB4211_v1:scaffold_612:22116:23062:1 gene:GSCOC_T00012462001 transcript:CDP19678 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSVNPFCRGSRRVNSSCKSFVSSNSIAIAGSSTIALPYSGPPPQDSLVGHPGLSGMTDLGIPGTRALFNQGNGNDQHRSDKSKILRGLLGGSALKKALLVMGVEETVSSLIEVKIYAFKSLFDYLTFESVLIELLLPFTLPIIMSISIGLTSKPVRLSILSIQ >CDP19679 pep supercontig:AUK_PRJEB4211_v1:scaffold_613:13467:14314:1 gene:GSCOC_T00001687001 transcript:CDP19679 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLKITELLGTSLGVKAKHFREFFAGNDSIMRLNYYPACQKPDLTLGTGSHTDPTSLTILHQDHVGGFEVYVNGKWHSVPLDPEAFVVNIGDTFMALSNGIYKSCLHRAIVNPRTPRKSIAFFMCPKIDKVKHYRVDMQTLDAFVKWLIHQRDAQKTAT >CDP19680 pep supercontig:AUK_PRJEB4211_v1:scaffold_613:46477:53198:-1 gene:GSCOC_T00001689001 transcript:CDP19680 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLAFTKEDASGLQPYEQFQRLEHHYEALAAKKRKARLQPIPQGEVPVAKKPRQQQEDVSGATMEEIMELMNYGSRRRSRKPKRRGRRKGSRNKVSPEVTRKLGDATLHYAHGRYGEAIGVFYEVIRLSPNLPDPYHRLGLIYNEMGDKKRALDFYMIAAHLTPKDASLWKLLVTWSIEQGDTGQARYCLSKAITADPEDINLRFHRASLYVELGDYLKAADSYEQISQLCPDNVNVLQTAAQLYKKSGQSELAVRILESYLRNCCKEPDLNVVDILASLHMEGNAHIKALEHIEHAQQVYCTGKEMPLCLRTKAGICHIHLGNFVKAEALFNVLRHENLHDHPQLIIEIGDSLMNHGHYESALEYYMMLVGDDVKNNSCLYLKIAECCSCLGKRLQSIDYFYRALDKLENTVDARLALSSLLLEENKDDEAISVLCPPKESESLFNLNLNAAKPWWLNGKIKLRLSQIYKAKGLLEAFVDVIFPVVRETLFLETIQQKVRPRKRLSKSVLSERIKILDHVRTDTVFHGFRPVASASDLSRASRAKKLLKKKEAKRAAALAAGIEWISDDSEDESPQQAPRELPLPNLLRDAEHHYLIIELCKSLLSLKKYWEALEIINLTLKLASNVLSVERNEELRTLGAQIAYNIADPAHGFDYARYIVNQRPYSFSAWNCYYKVISKLDSRYSKHNKFLHTMRTKHKSCVPLTLIFGHQFTMISQHQAAVREYLEAHKLMPDIPLINLCAGTALINLALGHRLQNKHQSVVQGLAFLFNNLQLCRYGQEALYNIARAYHHVGLVSLAAVNYEKVLTMHESDCPMPNLPNEKPDGLASPKPGYCDLRREAAYNLHLIYKKSGAIDLARQILKDHCVI >CDP21733 pep supercontig:AUK_PRJEB4211_v1:scaffold_6138:2143:3334:-1 gene:GSCOC_T00008980001 transcript:CDP21733 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCFAGNIVSFTDYLAPLPTFYSIYKKKSTEGFQSVPYVVGLFRAMLWIYYAFLKPDTTLLITINSVGCFFQTVYLCYYLFYAPRRARIQTAKLLALLVVMGFGSIILLTQLLSTGKTRARIVGWIGLVLSLCVFVAPLAIVRQVILTKSVEYMPFLPSFFLTLSAIMWFFYGFLRKDYNVAIPNILGFFFGILQMVLYLIYKNAKKAVEQKLPEIQNQVMVLEEHKLPELQEQVIEVVKLSALVRPEIVSVISVDSSS >CDP21734 pep supercontig:AUK_PRJEB4211_v1:scaffold_6139:1264:2550:-1 gene:GSCOC_T00004051001 transcript:CDP21734 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEFLPKEYGYVPLVIVLYIFLNFYMSAQVGLARKKYKVPYPTLYASEAENKDAKLFNCIQRGHQNSLESMPLFFVLMVLGGIKHPIISAALGILYIVTRFFYFKGYSTGIPDNRLGGLGKFWLLAIFGLVFCTVSFGVSLLLS >CDP19682 pep supercontig:AUK_PRJEB4211_v1:scaffold_614:13081:14441:1 gene:GSCOC_T00012346001 transcript:CDP19682 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLVYDLRSCVPSSKLKKGLLTWLQTDVEVLSSVFCYNIHTEEWPNCAPLSVPRYDFAGTVCDNKIYVAGGQSALAGARGTAAAEVYDPLTDKWAPLPNTNRLRCKSVGVTWRGKVHVVGGFVQSYYIDGCSAEVYNVQSGKWDLVSGHLFGSGDCLSAWKGHIEFDDGKLTTWNVVEGHLYFLAGYKVPGESSRTISMVHSFDTSATTATADHAWRSFEPIEEEGVRELCSHCRAVQLS >CDP19686 pep supercontig:AUK_PRJEB4211_v1:scaffold_614:58927:67438:1 gene:GSCOC_T00012351001 transcript:CDP19686 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSRSIAGAGEDNVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSALKASMKKKRKPIGESDNYYESKSDDMDVPLVNSIAGDYSGSVSGKKNKEKLPKTQRNYSPEAPSTRSLTLRSSLKSNDELQRDGIMHEDNRRSYKTPPPPTIDSSRSRSQKMFDSSPMTETSEGSSESSDDTGGQPCHQCRRNDRDRVIWCLKCDRRGYCDICISTWYSDIPVEEIQRVCPACRGSCSCKVCLRGDNLIKAKIREIPIQDKLQYLYCLLSAVLPVVNQIHHEQNAEVELEKRLHGNIDLARTKLNADEQMCCNFCRIPVIDYHRHCPNCLYDLCLSCCKDIREASRLVVEVKMENQIAGESNDRESALEQVELSNVQLNLLRKYSGWRAQREGNIRCPPREYGGCGCSSLVLKRIFKMNWVAKLVKNAEEMVGGCRVYDSGSEERTGFDLRLFQAAHRENDSDNCLYHPSAQDIKTEGIGDFRIHWSRGEPVIVKEVCDTSLMTIWDPEVLLRGIRETAEEKLKDANRTVKAIDCFNWTEVDIELSQFIKGYSEGRFHENGRPEMLKLKDWPSPSSSEEFLMYQRPDFIIKLPLLEFIHSKWGLLNVAAKLPHYSLQNDVGPKIQISYGTCKELDRGDSVEKLRLNMRDVVFLLVHVSDAKLERRERTKVEKVQKTVADSETREPSGDPQMSLNGDSLKSLTSGQDRLDENQDILDPDNYEAFRDQHTKAASPTEEETVSGEDLNVSSDNNCESSQPGALWDVFRLQDVPKLIEYLKVHKEFETPDRDGLENDFVRHPLYDGTIYLNSYHKQKLKEEIGIEPWSFEQHMGEAVFIPAGCPFQVKNLQSTVQLGLDFLSPESLREALKLAEEIRGLPSDHDAKLQILEVGKISLYAASWAIKEVQKLVLDPKLGPELGFEDPNLTALVSKNLEEMVKRRQIPCV >CDP19683 pep supercontig:AUK_PRJEB4211_v1:scaffold_614:24533:27327:1 gene:GSCOC_T00012347001 transcript:CDP19683 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAEEGMGWSPNGAPLYIQKDDHWRHFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPTSSALTPSAGRRHPHDIESHIGFAGKLCHPSPKMPTSAREVSVLMPGEDMPTFIAHPAPVPCPPERIRWPSHQHHSFPKSAMDPNSSTSSGSM >CDP19684 pep supercontig:AUK_PRJEB4211_v1:scaffold_614:36063:36896:-1 gene:GSCOC_T00012349001 transcript:CDP19684 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTTNDKPSAQNLPHNSKQNRTPPPPSHPLLEEESVKEVLSETPSVPKKPTIVRGRHEYQDPKKFKSLLPATAPNIPDEKFKKPIMVLKPEEFSEEASEICSTLSESVSTATYCTEKNDDDGTDNRLRSFRHRSLSGDCRRERVAGKSPSKRPEPSPGRVGSGSGRDARGRVANNGQKRDCGESSGRRSRSPATRSDGGGAKTGLVRNGSARKGGKSPGRVKSEVGDKIRKVEDAHNGNFGYSNRESRENKWPPTSNESLENPLVSLECFIFL >CDP19685 pep supercontig:AUK_PRJEB4211_v1:scaffold_614:51241:58152:1 gene:GSCOC_T00012350001 transcript:CDP19685 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLDCRKFELFLVFLAVFAVPTCRGFTDIRDVYAINSLYAALGNPPLAGWLPVGGDPCGFAWQGVQCVNANITAINLNGLNLGGELSNDLGSFASIIQVDLGANQIGGSIPTNLPSTLQIFSLYDNQLSGSIPDSISLLGQLTDLSLGNNHLTGEIPDVFQQLTGLTTLNLSGNMLSGQLPSSMGKLSSLTKLYLQENQLSGTLDVLEDLPLTDLNIENNLFSGPVPEKLKNIPNFRKAGNPFNTSIIPSPPVSSPSPSSSPSPSEASPPELAPVQQATGPSLQWSSQTGSTRGTTKTSKSISWIAIAGILFIIVLALGMCLLLCWCCKRREVAGKIAKRHEAYPHHGASANYKEDHSLRKPDYQVEKVTKEAVTRPTMTSLEAKQDKSANRKNAFKKQEDHRIDITWVDSSGTDSSVRPPPPPAFPLLPSERIIADPILPLINPSGRTIDSVNSVKTFFIASLQQYTDSFSQENLVGKGMLGTVYKAKLPNGKLLAVKKLDTATSRHQNDRDFIHLVSNIAKLQHANIIQLVGYCAEHRQWMLVYEYCENGTLHEALHLDDEINKRLSWSTRIHLALQAARALEYLHEVCQPPIVHQNFKSANILLDNELSVYVSDSGLAPLLSSNSMAELQACGYGGPELESGSYTHHSDVYSFGVVMLELLTGRKSYDRSRPRGEQFLVRWAIPRLHDIDTLSRMVDPSLNGVYSSKSLSRFADIISLCIQPEPEFRPPMSEIVQNLLQMVQRNS >CDP19681 pep supercontig:AUK_PRJEB4211_v1:scaffold_614:142:3014:-1 gene:GSCOC_T00012345001 transcript:CDP19681 gene_biotype:protein_coding transcript_biotype:protein_coding MADFKEKRWCRRRYFLHFFALYYEGCLPEHFTVFGYARSKMTDAELRTMVSKTLTCRIDKRENCGEKMEEFLNRCFYHCGQYDSQEHFGELDKKLKEQEAGRVSNRLFYLSIPPNIFIDAVKCASLSASAANGWTRVIVEKPFGRDSESSAALTKALKQYLEEDQIFRCFSLYWIWLSSLNE >CDP21735 pep supercontig:AUK_PRJEB4211_v1:scaffold_6141:3263:4006:1 gene:GSCOC_T00001793001 transcript:CDP21735 gene_biotype:protein_coding transcript_biotype:protein_coding MWELQILRLLYTNSLALFALGSNALHRLWKWQRSERNPSGKSTASIIPQMWQPSSRALLSNDLSEAKPTEESPPCIALSRNDSYVMSASGGKVSLFNMMTLKVMTTFMPPPLAVTYLAFHPQDNNIIAIGMEDSTIQIWVKYTEPP >CDP21736 pep supercontig:AUK_PRJEB4211_v1:scaffold_6147:2551:3240:1 gene:GSCOC_T00010307001 transcript:CDP21736 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIKRERVMNLLRIPHLKHLIMQMNFQNPFCTLKHRGPVTYHTTKESLGVIILGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWEMGCYNVLMGCYREMEHALEAMKWGAHTRPNVNYMLHA >CDP21737 pep supercontig:AUK_PRJEB4211_v1:scaffold_6152:2624:3230:1 gene:GSCOC_T00008240001 transcript:CDP21737 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDCSPPIVHRDISSNNILFDPECKAHVSDFGTSKFLRRDSSNWSSLAGTCGYVAPEFTYTMKVNEKCDVYSFGVLTMEVIKGKHPGDLIANLMSSKLEEIELKDLLDQRLLYPNQQIEKSLISILKLARECLHVDPQCRPTMLIISRLISTCRPCELLVHFFSC >CDP21738 pep supercontig:AUK_PRJEB4211_v1:scaffold_6158:328:2193:1 gene:GSCOC_T00008379001 transcript:CDP21738 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIYYLHTLELFLLSFLLASLATRVTNITTDQSALLALRAKITSDPQQILSNNWSLASSICDWRGVTCGSRHRRVTTLNISSLGLTGTIPPQLGNLSFLVSLDMSMNYLYGELPRQLIRLRRLRLLDLSVNKLSGDIPSWVGSLQELRYLSLGNNTFTGSIPPSISNMSKLETLQLSYNPLRGTIPMEIGYLNKLKNIVMYYNQLSGPLPLEIFNISSLEIIALKGNSLSGSLPVEICSRLQQLTWLDLSHNKLSGRIPSSSSSECSKLQVLSLAANNFNGGIPEGLGNFTALEELYLDQNNLTAGLLAHAIIRLHVLIFIKRQIKCEGLHLHNELIGNVNKLKIVKINYNHVSGSLPLGIFNISSLEIISMRGNSLSGSLLPFSMCHRLQGLTALDLSHNKISGMIPSSPLSECSKLQGLVLNDNHLSGVASQGFGNLTALEELYLADNNLDGTILTLTFNEKNSLHLIQVFLSINEKNTRCLFCD >CDP19687 pep supercontig:AUK_PRJEB4211_v1:scaffold_616:120:2013:1 gene:GSCOC_T00003163001 transcript:CDP19687 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRVHDLAQFVMEAKHGGTESIRTIRHLALDFPYDEQIVAFPIKRMRGTDQYSSFLSECGSLRVLIVRTAWWEKRFSELPPAVSNLTHLRHVNLSGSQIVELPNSICGLWNLQILNLNDCEHLRSLPKGMRFLRNLRHLCLRGCWNLTHMPSGIGELSCLRTLSMVVLGGKKGFQLSELRGLNMLIGELSIRHLERVEDKNDAEEAWLIGKQSLRRLCLYFNWDSERTLQRYNDEEVLEALKPSPILQFLHIEGFNGSSSFPSWISTVTTVRVFNSAPEYIVGAQESTATAATDNPKGMLKALVLWDMPNLKGMLGGEVQGTPGIFTQLQYLSFVDCPTLMLPLPRMPSLKELDVNMCPNMAWASISNLTSLNSLEVGNIEGLSCFPEEMLQNLSLLESLKIKQMKDLRALPRSLASLTALQELAIEECPKLGSLPKELHLFDCFNLANLSMGTKALKSLTHLRIQGSNATALPEEVKHFPALQKLELMDLPNLTSLPHWFGDHLTSLRHLTLWSCPKLETLPSSIQMMTTLRSLTINYCELLGPRCERGGEERDKIKHIRYLNIF >CDP19690 pep supercontig:AUK_PRJEB4211_v1:scaffold_616:59267:60004:-1 gene:GSCOC_T00003167001 transcript:CDP19690 gene_biotype:protein_coding transcript_biotype:protein_coding MFILLQWYPRDTLSASISSAIYSCGAIGIFDAGSLRLRCPIAPSAYMPSSIVSNSCAFPMVIAAHPSDPRANWYK >CDP19688 pep supercontig:AUK_PRJEB4211_v1:scaffold_616:4381:6430:-1 gene:GSCOC_T00003164001 transcript:CDP19688 gene_biotype:protein_coding transcript_biotype:protein_coding MLITIFFVHDGTITGHHKQITGLAFSQNLNVLLCIWNIDGWEKKKMKAIQAPPGHTSPLIGETKVQFRNDQCHLLVSHESQIAVYDTQLECLNSWYPRDALSASISSAIYSCDGLLVYTGFLDDAIGIFDADSLRLRCWIAPSTYMPSSIVSGSGEIFPMVIAAHPSDPSQFALGMSDGAVHVIEPSDTEPKWGSLSSQDNGTLPTNPSSSALNSQPSETPPR >CDP19689 pep supercontig:AUK_PRJEB4211_v1:scaffold_616:15871:22219:1 gene:GSCOC_T00003165001 transcript:CDP19689 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLESLVKILNSPIIQEELRLLCGIETEIQKLSSLLSTTKAVIEDAEQKQFTDKAIQLWLQKLNLIAYEVDDILDDYATEVSREPKMKDILDKFNAIANERKDLGLSDQKRGSYFNASRETGSTINEPEVLGRDEEKEQIVRILTKEKDRVNQNVSVLPIVGVGGLGKTTLAQLVFNDERIAKHFEPKLWVWVSEDFDVKRIIKALIESVEKTSTGDLALNTLQRKLQELLRGRRYLIVLDDVWNENPEEWEKLKSVLECGSKGSSIVMTTRMEKVATIMGTLQTYYLSSLSENECWSLFRQRAFGRQEAEEYPNLVVIGKEIVKKCGGVPLAAKALGGFLRFKREENEWNSVKCSEIWNLRQDTTHILPALRLSYLNLPVELRGCFAYCAAFPKGYEIEIEEVIHLWMANGLISSNETMEVEDVGVAVLTELYYRSLFQAVKEDEFGNALTFKMHDLVHDLARSVMKAKHGGTESNRTMILGMPDDQLTVAFPITITGIDHLPKGMRFLRNLRHLCLHGCWSLTHMPSGIGKLTCLRTLGMVVLSGKKGFRLSELRDLNMLRGGLTIMHLERIEDKKDAEEACLIKKQSLHGLNLYWDSERTIQRYNDEKVLEALKPRPNLQLLRVLGFNGSSFPSWISTVTEVVVHESAAEYVVGVQESTAAAAAMSPSLKQLELENMPNLKGMLGREVQGTPGVFSQLQSLSFKDCPILTLPLPRLLSLKELCVDRCPNMAWASISNLTALKELTIKHSPELDSLPEEGLRGLASLRELHLVRCYNLVTLPEEVKHLPALQKLELQSFSNLTSLPDWFGDHLTSLQHLTLKYCPLEALPSSIQKMTTLQHLTIFRCYRLGRQCKRGGEEWHKIKHIPDLKIEN >CDP21739 pep supercontig:AUK_PRJEB4211_v1:scaffold_6164:2:4155:1 gene:GSCOC_T00009518001 transcript:CDP21739 gene_biotype:protein_coding transcript_biotype:protein_coding KGKKYFLTLMGLNETKGSWCLLTTRRVPVADVVSTHLKMNSGPYFLGKLSDDECWSIIKRKALAGEEVPEELEALEALKEQILGRCDGLPLAASLIGGLLFNRREKWHSIVQESLLNEYPSEIEQILKVSFDHLSPASVKKCFAYCSIFPQDTQLREDELIQHWIAEGFVLPDQKNNRVMEETGGEYLRILLQNSLLEKVAQSWRTYYKMHDLVHDFAKSILNPQSSNQDRYLALHSYEEMAENVRRNKAASIRTLFLHLGGGISADMLLRFKCLHVLRLSGDDVKFLPSSIGKLLHLRLLDISSSRITSLPESLCKLYNLQTLTIRNNALEGDFPKQMSNLISLRHLNYYHSDAKLKMPVQMGLLTCLQTLEFFNVSQERGRGIEELGTLKYLKGSLRIRNLGLVKGKEGAKQAKLFEKPDLSSLAFEWKSGDRESDNREEDVLEGLQPHPNLQELVIRSFMGNKFPQWLINLSKLEALRIIGCKRCSELPSLGQLPSLKSLDLIRLDNIRFIGDEFYVRSTTGEAEADVFPMLMIFQIRGCPQLTILPCSCKSLCVENCDNLTNIKTVNGQKCPLPCLEQLSIDNCEGLTTKSDKMFQSCRSLRSLSVECCPNLQKFALVDCPKLIPHSFKGFAFATSLRELSINNQHQHSVSFDVLDCPLLRQRYTPQRGIYLKEEISSDPGQRTRRQ >CDP21740 pep supercontig:AUK_PRJEB4211_v1:scaffold_6165:2753:3678:-1 gene:GSCOC_T00011698001 transcript:CDP21740 gene_biotype:protein_coding transcript_biotype:protein_coding VEPVRPVKPRPFHFTRSINGPIFKTLLSTLKTPSQTLPLKTLSHSLASIPLFSLTQHTHTQIKHNKASNKRLKPRNSFKKFDRETLAHIELEGIFW >CDP19698 pep supercontig:AUK_PRJEB4211_v1:scaffold_619:45255:48596:-1 gene:GSCOC_T00007375001 transcript:CDP19698 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISNCCSCLAKFLRRGASSKADEDDADEEAASGLFFQLTALQIATNFFSDLNRLGHGGFGPVYKGLMPNGEEVAVKKLSLDSRQGVREFANEVKLLLKIQHKNLVTLLGCCIEGPEKMLVYEYLPNKSLDFFLFDKEKSPSLDWTKRLQIITGVARGLLYLHEEAPERIIHRDIKASNILLDDQLNPKISDFGLARLFPGDDTHLNTFRISGTHGYMAPEYALHGYLSVKTDVFSFGVLVLEMVSGRKNLDGRLGAEKADLLNYAWTLYQAENALELVDAGLNKYNAVEAAMCIQLGLLCCQASVAERLDMNSVHLTLSSDSFSLPRPGKPGIQGRVGRFSQTGSTAFTDKTNTNTNTNTNTNTTTTHTGATKVSSANSFLEEFSRNSISYSSLDEGR >CDP19695 pep supercontig:AUK_PRJEB4211_v1:scaffold_619:22665:24440:1 gene:GSCOC_T00007371001 transcript:CDP19695 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLSKSTTTSSCSDDDAAGGELRRGPWTVEEDSLLVHYIAAHGEGRWNLLAKRSGLNRTGKSCRLRWLNYLKPDVKRGNLSPEEQLLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARHLKIDSNSSEFQELVRRVWIPRLLQKVQGNIAYWDSSEHDNLAEIQAAVSNSMAAKQPQQVPYSFPDSHQRNMISSSPSSMSSSESTNISPVPQFSEYIENPFYDMAPNNSYGHSQNECYNVDSTSYQDMENLSSAAPVTAMGGSTGPAGDNYCAQNNWLSDDLGYCLWNMDDLCQLKQSQERCTQI >CDP19696 pep supercontig:AUK_PRJEB4211_v1:scaffold_619:36824:40185:1 gene:GSCOC_T00007372001 transcript:CDP19696 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSRKKIHKDKDAEPSEFEESVAQALFDLENTNQELKSDLKDLYINSAIQIDVSGNRKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVILIATRRILRPPKKGSAVQRPRSRTLTAVHDAMLEDVVVPAEIVGKRVRYRIDGSKIMKVFLDPKERNNTEYKLETFAAVYRKLSGKDVVFEFPMAEA >CDP19697 pep supercontig:AUK_PRJEB4211_v1:scaffold_619:40309:43514:-1 gene:GSCOC_T00007373001 transcript:CDP19697 gene_biotype:protein_coding transcript_biotype:protein_coding MANWELKHCCNHEQVVFLTFLGVCSVVILALWRTVLMMPFKLITVFIHEASHAIACKLTCGHVEGIQVHADEGGATHTRGGVYWFILPAGYLGSSFWGMVLILASTNLLTARIAAGCLAVALVIVLFIAKNWTLRGLCIGFIVFIAIVWVLQETTRIRILRYIILFIGVMNSLFSIYDIYGDLISRRVHISDAEKFAEVCPCPCNGVGWGVIWGFISLLFLCAAAYLGMVILS >CDP19694 pep supercontig:AUK_PRJEB4211_v1:scaffold_619:15860:18231:1 gene:GSCOC_T00007370001 transcript:CDP19694 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVAISSALVTKFAPTHNLLSPSSQPNAFSSSKRRQNSVQISAKLGGEEGEVKQGGKKKFITKEEEPTEYWQTAGEREGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPAR >CDP19699 pep supercontig:AUK_PRJEB4211_v1:scaffold_619:51129:53185:1 gene:GSCOC_T00007377001 transcript:CDP19699 gene_biotype:protein_coding transcript_biotype:protein_coding MLLREAMTDPLLERYKVIIVDEAHQRTLETDVLLALLKKLLKKRPDDLKLVVMSATNLEAEKFRGYFCGAPVVEVPQRLHPVDIVYSLQEQHPGTNHLEAVIQTLSQIHSFEPPGDILVFLNEEEEEIEGVCRRIALEMANLGSQVGPVKVVPLCSTLPLAMQQDVFEPAPAPLVEGGAAGRKIVVSANIAETSLAIDGIVYVVDLGFSKQKVRPRIGTEYLVSWISKASAHKRSSCAGRTQPGKCFRLYTEKNFHNDLEPHTTTEITRSNLVSTVLTLKKLGIDDIVHFDFMDPPAGESLMSAVEVLKYLNALDDDGNMTRLGEILSEFPVDPNMAKMLVVSSGLNCSNEALSVSAMLLVPNCFIRPQEAQIAADDARARFTHGDGDHLMLLNVYIAYRQNKEDLLWCERNFINRRVLVDAENAREHLSRIMVRSGLKLCSTDVNSPDYFINIRKAILFGYITQVARLEHPTGFYLTVIGNQTIELHPSNCLSHEAEWVVYFDYLSTTGREKFIRMVTDVRGGWLAYLTSRYFDLTKLRNSWTKRFLERLYKYQQEKGRGERTRREAKRVLEILKEQQEKELEQTKKEICIVKMALGLMSYRY >CDP19700 pep supercontig:AUK_PRJEB4211_v1:scaffold_620:38325:50665:-1 gene:GSCOC_T00013358001 transcript:CDP19700 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSWRAPQGQMAQGPPQGGQVGGSGEVSAVPNPAPPATIDSGDWRTQLQADSRQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLTMETKSQNPMANPLQANAANASKNPPDQAVHGMQSQIQNQPLPMPVVSSQSQSRQQLLPQNMQTNMTSTGVQNSAILASTLPTAGNLQQAPMPNIGQNSNLQNMQSVPSVSQNPVGSSMGQVMPSNVFTNSQRQMQAGQQQVVPLQQHQQTQNPQHYLYQQQLQHQYMKQKLQQGGGMAQPLMQSHIQQQQQQNLLQPTQIQTSQQVVMQPSVMQSAPLSGLQQNQQSSMQQVTQPVIQQQSQAVLRQQQQQQQQQQQQSQQASMLHQQQTSMAQQPLLPAAQQPHQQQQQLIGQQPGATNIQHNQLIGQQNSMPDMQQQQQRLIGQQNNMQQQQQLIGQQNSLSSMHQQQLAPQSSVSGLHQQSMLGTQPGNSALPTSQHSVVMLQQSKVAVQQQMQQNATALLPSQNQQPQQPQQQMVSQIQAQPGGLQHMQQQSNALQRDMQQKIQPTGSLLQQQNVVEQQKQLFQPQRAHPEASSTSLDSTAQTGNASGGDWQEEVYQKIKSMKDMYFLELNDMYMKIAGKLQQHDSLPQQPRNEQLEKLKFFKLMLERLIGFLRCTKNDIQISHKEKLASIEKQIINILTTNRPRRPVSLQQVQLAQQQMSNMQHSQPQTQIPQIQPQENQMNQQMQPMNVQSSITPMQPSSLTSLQQNTLSSVPSVSNLQQNMMSTLQPASTLDPGQSNTHPLQQVAISSLQQNTASGPQTMNINSLSSQSGMTALQSNLNNALQPNSTMIQNQQLKKQEQQMLQTQQLKQQLHPRQMQQQLLQRQQLMQQQQQQQQHQQMKPQQQPSQLPGHQMSPLHQVTDSSDLKVRPQISVKSSVFQQFHTNSQRAAYHHQQLKSGSPFPISSPQVLQAASPQVPPHGSPQIDQQSMLTSIAKTGTPLQTANSPFVVPSPSTPLAPSPMPGESEKLNSGISSLSNAGNIGPSHAITVSAAAQSLAIGTPGISASPLLAEFTSLDGAHVSTSTAMPCKPHTVEKPHERLIKAVKSISNKALVASIDDISSVVSMVDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFFTQDGPTGTKKMRRSTSAMPSNVVSPVGSVNDSMRQLNGSDAFELESTATSSIKRARNEANHALVEEIHEINRRLIDTVVDISNEDVDPTAVAAAADGGEGTIVKCSFSAVALSPNLKSQYASARTSPIQPLRLLIPTNYPDSSPILLDKYPVEVSKEYEDLSIKARSKFSISLRSLSQPMSLLEMARTWDICARAVISEFAQQSGGGTFSSKYGTWENCVSAVL >CDP19701 pep supercontig:AUK_PRJEB4211_v1:scaffold_620:51399:51452:-1 gene:GSCOC_T00013359001 transcript:CDP19701 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGVDEFPLCVHLVS >CDP21741 pep supercontig:AUK_PRJEB4211_v1:scaffold_6201:686:1551:-1 gene:GSCOC_T00013457001 transcript:CDP21741 gene_biotype:protein_coding transcript_biotype:protein_coding MLYWQRIPGNTGCIARTCAASAVGLHLVEPLGFQVDDTKLKRAGLDYWPYVVVKVHGSWGEFRDYFSQQTGEKRLLAFTKRGATVHSVSAFI >CDP21742 pep supercontig:AUK_PRJEB4211_v1:scaffold_6204:3022:3897:1 gene:GSCOC_T00008178001 transcript:CDP21742 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFMGEEAQSCLKAPAIIFNTFDELEREALEAVISKFDFPNIYTIGPLHILARHIVTETQVNSLNSSLWKPDSKVFEWLDQRAPNSVFYVNYGSITTMTDHHFKEFAWGLANSQQQFLWIVRPDVVQGGESAMLPEDFLEEIQDRGLLTSSCAQDKVLEHPAVGAFLTHCGWNSTLESISAGVPLICWPFFADQQTNCHYSCKKWGIGMEINHDVKRNEVAELVRKMIIGEEGGEMRFKAKEWKKKADEATEVGGSSYINFDKFIIEALHYNGCAAQNVSPVAKKKNGLY >CDP21743 pep supercontig:AUK_PRJEB4211_v1:scaffold_6210:1065:3422:1 gene:GSCOC_T00013264001 transcript:CDP21743 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGLNFSRNMSTSIGEAAAEKIDYITDVADVLTDHTVEAVVTQAAPVVNEVAVAAADSFLPVAALQYLIDYVHTFTGFNWWASIVVTTILIRWVTVPLMINQLKATSKFTLLRPRLEEIKEEMESRVK >CDP19703 pep supercontig:AUK_PRJEB4211_v1:scaffold_622:13459:14988:1 gene:GSCOC_T00004164001 transcript:CDP19703 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPLILCIVSFTLFVAVADSQSASNVRATYHLYNPQNINWDLNAARVYCATWDANKPLSWRRQYGWTAFCGPAGPRGQAACGRCLRVTNTATRAQVVVRIVDQCSNGGLDLDIGPFRQIDTNGQGNANGFLRVNYEFVNC >CDP19702 pep supercontig:AUK_PRJEB4211_v1:scaffold_622:11069:12364:1 gene:GSCOC_T00004163001 transcript:CDP19702 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPQILCIAFFTLFVAVAHSQSASNVRATYNLYNPQNIGWDLNRASVYCATWDANMSLQWRSQYGWTAFCGPVGPTGQASCGRCLRVTNTATGAQVVVRIVDQCSNRGLDLDVGPFRQIDTNGQGINNGFLTVNYEFVNC >CDP19704 pep supercontig:AUK_PRJEB4211_v1:scaffold_623:10515:13216:-1 gene:GSCOC_T00007726001 transcript:CDP19704 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSIQKRLSLGATMQNFINRLLSKLCFLIFFSFSEYFIHESKKYKLPPGASRFPLVGETLHFFLSGPEKFIHHRMKKYSDEVFATSLVGQNMAVICGAAGNKFLLCTANDFVSPWLPDSLLMFFNWVDSPGKSRKDVFSKIRGFHQAVIMRPEALKKYIPIMDSLTRQHLKTDWDPFQVVKVHPASRKITLALACKLVLGLEPEQTQRFSDSFTVSLQGLFSLPINLPGTTYNRALKEIEKLKQEFLNIILKRKKMVLENGEKAGSDILSRTLLDENAHLLSDLEIALYLVSLMMPSYESTSAAITFVLKYLAELPHIYDMVYKEQMEIAKSKDPEELLNWEDVKKMKYSWNVICEAMRLTPPAVGAFREAETDIHFAGVTIPKGWKVLWSPFTTNKNPKYFPEPENFDPTRFEGDEPTPCTFIPFSTGPRMCPGKEYSRFLILVYMHNVVRKYKLQKLIPDEKVLYHGGPYPASGLPMRLQPH >CDP19706 pep supercontig:AUK_PRJEB4211_v1:scaffold_623:59330:61295:-1 gene:GSCOC_T00007728001 transcript:CDP19706 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFYLYFLSLLVVLISISVPFLCHRSKSKKSKLPPGSSGFPLVGETLHFLMSGPEKFIHQRMEKYSDEVFATSLMGQNLAVICGAAGNKFLLCTANDFVSPWLPDSLLMFLNWVESPGKSRKDLFSKIRGFHQAVIMRPEALKQYIPIMDSLTRQHLQTDWDPFHVVKVHPASQKITLILACKLLLEVDKLKQEFLKIILERKKMVLENREKAGSDVLSRTLLDENAHLLSDLEFAVYLVSLMIPTYESGSASITFVLKHLAELPHIYDMVYKEHMEIAKSKDPEELLNWEDVKKMKYSWNVVCEAMRLAPPATGAFREVETDIHFAGVTIPKGWKVLWSPFTTNKNPKYFPEPENFDPTRFEGDGPTSCTFIPFSTGPRMCPGKDYSRFLILVYMYNVVRKFKLKKLIPDEKVLYRVGPYPASGLPISVLKTGPDWPVRPVEPRTGHGSGPVHCQV >CDP19705 pep supercontig:AUK_PRJEB4211_v1:scaffold_623:52601:54498:-1 gene:GSCOC_T00007727001 transcript:CDP19705 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSLYFISLLVVFISFSLPFLCHRSDSKKCKLPPGTSGLPLVGETLHFFYLSGPEKFIHQRMKKYSDEVFATSLIGQNMAVICGAAGNKFLLCTANDFVSPWLPAVQSRFSNWVVSLGKSRKDVHTKIHGFHQAVIMSPEALKQYIPIMDSLTRQHLQTDWDPFQVVKVYPASQKLTLILACKLVLGLDPDRAQRFSDSFAVAQQGFFSLPINLPGTTYNRAVKEVEKLKQGFLKIILERKKMVLENREKAGSDILSRTLLDENVHLLSDLEIALYLVSLMIPSYEPTSSSITFVLKHLSELPHIYDMVYKGTLRGNKIFFINYSISAGVVRSEQHMEIAKSKDPEELLNWEDVKKMKYSWNVICEAMRLTPPAIGSYRVAKTDIHFAGITIPKGWKVLWSPFTTNKNPKYFPEPENFDPTRFEGDGPTPCTFIPFSTGPRMCPGKEYSRFLILVYMYNVVRKFKLQKLIPDEKVLYRGAPYPASGLPMSLQPH >CDP21744 pep supercontig:AUK_PRJEB4211_v1:scaffold_6232:2356:3369:1 gene:GSCOC_T00001607001 transcript:CDP21744 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSHLYFPLGLFLLCSLLSCLAMATPNITSDQSALLSLKAKITGDPHEILASNWPATSSVCDWRGVTCGSRHRRVTALNISNLGLTGTIPPQLGNLSFLMSLDMSRNNFYGELPHELIRLSRLRVLSLGINVLSGNIPSWVGSFQQLQHFSLKNNSFTGFIPPSISNLSKLETLNLQFNSLQGAIPMEIGNLNKLKQIVLDFNQLSGFLPLGMFNISSLEVIALQNNSLSGSLPSSICPRLHGLTWLDLGRNKLSGVIPPSLSECSKLQVLGLDDNFFSGVIPEGFGNLTALVELRLARNNLIGVIPEGFGNLTALKQLYLSENNLIGTILALEF >CDP21745 pep supercontig:AUK_PRJEB4211_v1:scaffold_6259:2076:3295:-1 gene:GSCOC_T00008502001 transcript:CDP21745 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQETSEVTNYTKYELKNGENEGERMNPTIPMETDVSESSSNAIVTAAAAVSTTPFAKQGYANGASSSSSWVRAPPPFLLKSSSGTSFVVWDPHRFAAEVLGKYFRHNNFSSFICQLNRYINWDRLEFQNAWFQKGKKSCLKKIKRRIQGTQNAHLCKPLETEGQLSLSGEQKKFESLIQEHDALKVETMKLKDMEENLEKEMEILEKQAQCITSKLQNMVKHTIHELLIRKAAKQKALRAQ >CDP19707 pep supercontig:AUK_PRJEB4211_v1:scaffold_626:140:3319:-1 gene:GSCOC_T00007637001 transcript:CDP19707 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRVDSVLNNLELLQSILEKRDSFETGFSHMREDFETLKLNLLFLKPVLLCARNWSNDQLKERLRAFLSKIEAAVNRPGMDLNALYLRSKNEFNLKSVVAALNPVVSKLLINIKPFKQDIIDIYKTLSSCGSSESGSCLRDCELLDFIDSVLQNLVDLLSRRYFESMEGYNSALHAHIEALEDKLTFLKNFIGFAKFLGVEQRELEDLLAHVQVVALNAARLSYKCLFYKEDQEMQDPKMCSIISELLEKINPVDLQVYVTYVKVLKAPKSPESLLTTETGMQKLQDFNDSLISSLWELLGSSTSFTVSVKDQMKILYEGLRFFRSILNEPQENMNDLNDEIVAVISEAGIVIFLLFLNEVKEVDVQSSVVGQSADSLAMLVNTNNRVKLIVAQLRGSSISGSLPSDHSIRGQEVRRTTRFQPSRGRVPMTDEFVVGFEDEAKKVIYRLRSGSRRLEVIPIVGMPGLGKTTLAKKVYNSPSLRAYFHILCWCTVSQEYNMKNLLVQILSCVCGGVNELKVLNEDDLAERLYKTLLRNRYLVVLDDVWDIGVWDGLCHSFPNNRNESRVLITSRDSNVASRVTFGVEPHNLRVLTEGESWTLLQWKVFGEPGCPQELRILGKEIATNCKGLPLTIVIIAGILSTIEDDAWSEVADSLTSTIVYATDQCKSTLELSYRHLPHYLKRCLLYFGAFREDQEIETAKLMRLWIAEGFVSAEVETVPDTEPKRMEDLAEEYMMDLIGRNLVMVAKRRHIGGVKTCRIHDLLHEFCKEKAQEANILQVLRGYGELSTFNEHPYLERLSIWSKAEHFKKSRLFCPQICSLLLFSQIEESDSFMADMSFVFCIYKNLRVLDLEQIFLPHKAFPREVEALVELRYLGVQGAMSSIPSTIDKLSNLETFVVIAESGTVSFPDTIWNMTKLRHLHVVGWNVTCSLPSENLENTSGLSNLDTLSTLIVSLDDRAENVIRRVPNVRQLKVQLSAAEYSVGCCNLFHLLAAECSVGCCNLSHLSSLEALEVSAESLPSRGGKMGGMGGICLGLRWNRVIWVWVQPYPYVFWD >CDP21746 pep supercontig:AUK_PRJEB4211_v1:scaffold_6261:1875:3240:-1 gene:GSCOC_T00002466001 transcript:CDP21746 gene_biotype:protein_coding transcript_biotype:protein_coding LGVPVGAPAPAPASASAGGPLASSPVLGVPVGAPAPAPAPASASASVGGPLGSSPETSSPEGSASSPTSPKNGGQSTATTQRHYLLVGLAIALFISFF >CDP21747 pep supercontig:AUK_PRJEB4211_v1:scaffold_6266:1216:1889:1 gene:GSCOC_T00009215001 transcript:CDP21747 gene_biotype:protein_coding transcript_biotype:protein_coding MMYKSHFISKTRKRVAEKFVTAKTEEKAKELELKTKELKPWEQHSVVITIPRFDYNTSSSLLSHSHSGFLITCPIKREKSATKEAMSIFEKVMNSAGFVSCPFSGIMSKSGNFRHKENSC >CDP21749 pep supercontig:AUK_PRJEB4211_v1:scaffold_6268:2697:2966:-1 gene:GSCOC_T00010757001 transcript:CDP21749 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFHKLRNKFHCLIVNIDKLWSLVPQEAKDKATKDNIPLIDITQFGYFKVMGKGVLPENQPVAVKVKLVSKTAEKKIKEAGGAVVLTA >CDP21748 pep supercontig:AUK_PRJEB4211_v1:scaffold_6268:58:2067:1 gene:GSCOC_T00010756001 transcript:CDP21748 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGQVIKCNYCGEKGHNKRSCNIRKEQLENAATEGTQATQSASQQVGSCTELFEISLNEASSQTGADTTVDNQCVNTCSGPAKTIARKGGKRTFVLSLENIVLPNQRKTKAQRSQRQNMVATEDIISSAPTPELNLHQVSNIPLPQMPYMSQPDPVQVPNFHIRDTKSCTITNITGGQSAGESSNG >CDP19711 pep supercontig:AUK_PRJEB4211_v1:scaffold_627:37926:39322:-1 gene:GSCOC_T00003634001 transcript:CDP19711 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVENLGELIEAQTHVWNAMFHFKKSACLKCAVELGIPDVIRNHGKPITLSDLISVLPIHPSKSAHIFRLMRFLANSGFFVENPQGYALTSAGRLLLKDEPFNVRAHIFLSCDPAMLKPWNFLTKWFQNDDPSPFDTAYGNNFWHYNAQEVRFGKMFNEAMASDNQLSVEVLMTKCKFVFEGLTTLADVGGGTGKVDRAIAQNFPNIKCTVYDLPHVVANQEGAENLEFLAGDMFQSVPRANAILLKRILHDWSDEDCLKILKNCKKAIPEKDNGGKVIIIDGVMGSQIQDKTSFETEFSMDMQMLVMLGPAKERTEKEWSKLFSDAGFSSYKVYPVLGMRCLIEVYP >CDP19710 pep supercontig:AUK_PRJEB4211_v1:scaffold_627:31998:33282:1 gene:GSCOC_T00003632001 transcript:CDP19710 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVLVTLLFFHLFYKHVFPPQLAPTLSFIGIPNRVSFNIVLLQANWVAGVLSGKVTLPSIDKMLADVEKHYQFLDEIGIHKSQTHSLLLRHGKSWVELFPIG >CDP19709 pep supercontig:AUK_PRJEB4211_v1:scaffold_627:22812:24128:1 gene:GSCOC_T00003631001 transcript:CDP19709 gene_biotype:protein_coding transcript_biotype:protein_coding MERVENLTELLAAQNHVGNQMPNFRKSASLKCAIELGIPDAINQHGKPITLSELVSALPINPSKANHIYRLMRFLSNAGFFVLQDQGYALTAAGRLLLKEEPFNLRAFIFYTSDPVLVKPWNSLTEWFRNDDPSPFHTAHGKNFWAYAAEEPNFANLFNEAMANDSTLTVQVMMTQCKFVFDGLTSLVDVGGGTGAVARAIAQNFPNLECVVCDLPHVIAGQEGTENLDFVAGDMLEKVPAADAILLKWILHDWSDDDCVKILKNCKEAIPGRDKGGKVIIIDMILESHMKDDESVETQFVVDMQMLTCYGAKERTEKEWAKVFQDAGFSDYKVLPVLGVRCLIEVYP >CDP19708 pep supercontig:AUK_PRJEB4211_v1:scaffold_627:20269:21897:-1 gene:GSCOC_T00003630001 transcript:CDP19708 gene_biotype:protein_coding transcript_biotype:protein_coding MFNEAMASDNQLSVEVLMTKCMSVFEGLTTLADVGGGTGKVARAIAQNFPNIKCTVYDLPHVVANQEGAENLEFLAGDMFQSVPRANAILLKVTKAILKSSITIFPANAWILHDWNDEECVQILRKCKEAIPSKENGGKVIIIDMVLSDQQKGADDHEAIETQLFSDMLMMVLLRGKQRNEREWAKLFSEAGFNDYKITPVLGLRSLVEVYY >CDP19714 pep supercontig:AUK_PRJEB4211_v1:scaffold_627:60045:62926:-1 gene:GSCOC_T00003638001 transcript:CDP19714 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVENLGELIEAQTHLWNAMFHFKKSACLKCAVELGIPDVIRNHGKPITLSDLISGIAQNFPNIKCTVYDLPHVVANQGAENLEFLAGDMFQSVPRANAVLLKRILHDWSDEDRDHYRQCNGEDYAFGSCAFRFHPETGNAFQHRPASCLWTANSLFQIKRQLPRKIIVHLSKTKMSKLADQN >CDP19712 pep supercontig:AUK_PRJEB4211_v1:scaffold_627:49877:50652:-1 gene:GSCOC_T00003636001 transcript:CDP19712 gene_biotype:protein_coding transcript_biotype:protein_coding MEKADNLGDLLEAQAHVWNAMFHFKKSACVKCAVELGIPDLISNHGKPITLSDLISVLPIHPSKSAHIFRLMRFLANSGFFVENPQGYALTSAGRLLLKDEPFNVRAHIFLSCDPALLKPWNFLTDSIWKMFNENMASDNHLFVEVLMTKCKSVFEGLTTLADVGGGTGKVARAIAQNFPNIKCTVYDLPHVVANQGGAENLEFVAGDMFQSVPRANAILLKVTKAILSLNF >CDP19713 pep supercontig:AUK_PRJEB4211_v1:scaffold_627:53255:55089:1 gene:GSCOC_T00003637001 transcript:CDP19713 gene_biotype:protein_coding transcript_biotype:protein_coding MERAENLTELLAAQNHVRNQMLNFRKSASLKCAIELGIPEAINQHGKPITLSELVSALPVNPSKASHIYRLMRFLSKAGFFVLQDQCYALTAAGRLLLKDDPFNLRALIFFMSDPVLVKPWNSLTEWFRNDDPAPFDTAHGKNFWAYAAEEPNFANRFNEAMANDSTFIVQVMMTRCKFVFDGLTSLVDVGGGTGTVARAIAQNFPNLECVVCDLPHVIACQEGTENLDFVAGDMLEKVPTADAILLKKPDSIHDWSDEDCVKILKNCKEAIPGRNKGGRVIIIDMILESQIKDDESVETQVGMDMQMLMCHAAKERTEKEWAKLFRDAGFSYYKILPVFGVRCLIEVYH >CDP21750 pep supercontig:AUK_PRJEB4211_v1:scaffold_6270:88:4137:-1 gene:GSCOC_T00004674001 transcript:CDP21750 gene_biotype:protein_coding transcript_biotype:protein_coding LSFCGSGIDEDTKAPKKSKQAVLKDWSKKSNLKTQRVNYTAEFVVDSNFGVPGAITVANKHQQEFFLESMTIEGFACGPVHFSCNSWVQSNKHHPGKRVFFSNQPYLPGETPAGLKALREKELRDLRGNDKGVRKLSDRIYDFDVYNDLGNPDRGIEFARPSLGGDKIPYPRRCRTGRVPTDTDLNAESRVEKPLPMYVPRDEQFEESKQDAYSTGRLKGALHNLLPLLMANISAKNHDFKGFLDIDSLYTEGLLLKLGVQDEFLNKLPLPKAVNKFRDGDILKYGIPKILTKDKFAWLRDDEFARQSIAGVNPVSIERLQAFPPVSKLDPEIYGPLDSALKDEHILGNLNGMTVQEALEANKLYILDHHDAYLPFLDRINALDGRKSYATRTIFFLSDLGTLKPIAIELSLPATGPSSRSKRVVTPPVDATTNWIWQLAKAHVCSNDAGVHQLINHWLRTHASVEPFILAAHRQMSAMHPIFKLLDPHMRYTMEINALARQALINADGIIESCFTPGRYCMDISAAAYKNFWRFDLEGLPADLIRRGMAVPDPTQTHGLKLVMEDYPYAADGLLIWAAIESWVRKCVNHYYPDPSVVCNDRELQAWYAESINVGHADLRNADWWPTLATPEDLSSILTTLIWLASAQHAALNFGQYPYGGYVPNRPPLMRRLIPNENDPEYAVFLADPQKYFLSALPSLLQATKYMAVVDTLSTHSADEEYLGERNHPSTWTGDAEVIEAFYEFSAEIGRIEKEIEERNADARLRNRCGAGVIPYELLAPTSGPGVTCRGVPNSVSI >CDP21751 pep supercontig:AUK_PRJEB4211_v1:scaffold_6271:1262:2140:-1 gene:GSCOC_T00009996001 transcript:CDP21751 gene_biotype:protein_coding transcript_biotype:protein_coding SYDLFCLISCFCCGGSYLLEQLANEGYFIISVPYNMTFDHEKVTREIYERFHAGFDLILGSELPEYGLSPDDIVDLPLYSVGHSNGALLQVLTGSYFCEKIPKVPSFFQSFISA >CDP21752 pep supercontig:AUK_PRJEB4211_v1:scaffold_6298:662:3847:-1 gene:GSCOC_T00011288001 transcript:CDP21752 gene_biotype:protein_coding transcript_biotype:protein_coding QKIITNLDYLAPVVFFWERCLIPPIPPVIGNLSSLQFLYLYQNNLIGAIPKSLGNLTNLIELALSNNQLNGFIPASIDNLRNLSSLQFLYLYQNNLTGAILKSLGNLTNLIKLVLSENQLSGAISVSIGNLSNLRDLNLLENQFSGTIPQEIGDLKKLASLELDYNQFSGPLPELLYLNNNEFYGELSSNWGNCKLLQILMLAKNNITGGIPPEIGNLTQLHTLDLSSNYLSGEIPKAIGKLAQLSELGLSQNLFTGEIPSEFQSLQNLGTLDLSQNNLSGLIPKALAEMPGLLHINLSFNNLEGPIPSGRAFVNLTLEESFLLNLLSGMVTSKLNSARALRRLESGRISSGHTLKS >CDP21753 pep supercontig:AUK_PRJEB4211_v1:scaffold_6299:2967:3080:-1 gene:GSCOC_T00006573001 transcript:CDP21753 gene_biotype:protein_coding transcript_biotype:protein_coding EETSAVKAAGKSWYKTMISDSDYTEFENFSKWLGVSQ >CDP19721 pep supercontig:AUK_PRJEB4211_v1:scaffold_630:42435:43765:1 gene:GSCOC_T00007751001 transcript:CDP19721 gene_biotype:protein_coding transcript_biotype:protein_coding MADMNGSPTPARLEGKLTAIVVCWVLGLGTLVAWNTMLTVGDYYYALFPRYHPARVLTLVYERFALGTIAILAYKEAKIDTRKRNLAGFTLFFLSTFALLIVSLPFCKNCRIGNYIGICIIVAVFGTADAHIEGGMVGDLSLMCPEFIQSFFAGLAASGALTSALRMMTKAAFDKTNQGLRKGVSMFSSFKLHRFRKCCHVFLYF >CDP19720 pep supercontig:AUK_PRJEB4211_v1:scaffold_630:35895:38110:-1 gene:GSCOC_T00007750001 transcript:CDP19720 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHNINKDFTVGCILSIKTPLGEEYQGQVLTFDRSSNILVLNILLLLFYQDFPLKRSGPNRNIRLLKANYIKNSEHFLCSVQNSLPQALLSRIGFLKEVLFLPTLNTGDEKVIAGIACLMLEIGQTAPSLILKASPEAVILMDALLRLDLERLTMMCLRR >CDP19715 pep supercontig:AUK_PRJEB4211_v1:scaffold_630:2149:5001:1 gene:GSCOC_T00007740001 transcript:CDP19715 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYCTSSFFTNNQQIMSSASEIPQDQAEEENVSKPQNQETLPTTTPDITQQEAAQVAAAESANPLTPVNDTAKAANAGGQLKVSSSSEIAAEENATDTAQAATAQSQQKMSSSPEIPEEEAIANSPNPPESTSSNQNQEKPSNVQEISPGAGVAASTGHPKLDCSAQPTETQSQETGFSSVPENTPEEAEAKDADATTPPKLDNTPAQSTTGEITHDLKKTDENEAPKKDLTTKGSTSPPVTETPKQDSTNASPKPDSFPTGVRSKKDSICLPFLGFHKSKEKKPQSKKKPVQDSTATTSSGKDELRKLIDADIKYIEKEIIKLDEYKDQVFSQVNEAKQRFDNLSSNDQGTEREFADLRKEVTKLKLQIPSKHKADAEEKDSHKSQQAGKSSRNIPDAVKEKMPQLYKSSSIENCVEVREFKALFNGLALEEKLCLLCFAVFPEKAIIKKRLMVHWWIAEGFMPPRQQDANRKTAEEFADEYFEKLTRLGFIEPVNKKRSLYVGCYKMQPFVRLALITMAEKAKFFKFDKEGNPTEDFSGTLQACLMGRGLLDYQDLQNERANPDLEKLHAVFNVNEAILDFKPEWFSMMKNLNFLHLGSWKVSPTDHIEVDESHFLNGLSSMEHLKFFSLQGVSRIMELPESISNLSNLIILDLRACHSLESIHEGIRYMKNLTYLDISECYLLERMPKGISMLSNLRVLKGFVVKSEQGQGKGKGKITCTLKDLAQLKKLIKLSIYTGLVEFPTRKHLEDLQKIEALKKLTMAWGGTPLHTEDTSKTEDSIQLTNLEKLDLKSFPKTATPGWLEPSSLKSLKKLYVRGGKFSDLGQYQYLDQKGPNEPAKNKWNVEILRLKYLSEIKVDWRELQDLFPNLIYLEKVNCPKLSFFPSDGYGVWINEEKLKQKQVRSQ >CDP19716 pep supercontig:AUK_PRJEB4211_v1:scaffold_630:7334:8032:1 gene:GSCOC_T00007741001 transcript:CDP19716 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAVDFVVLEDWKPMQVLFTNHLLGNFFGPGCDNDCPTMPFWEPICKLSIAFIILC >CDP19717 pep supercontig:AUK_PRJEB4211_v1:scaffold_630:10045:13166:1 gene:GSCOC_T00007743001 transcript:CDP19717 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNFDYWKQLWDKWELRAFIVISLSLQTFLILFAPLRKRASTGFLIMAVWSAYLLADSAAIFAVGLISNSQGNSRPHKDRDDDADLLAFWAPFLLVHLGGPDTITAFALEDNELWLRHLLGLVFHLGRFKDSMLTEPDPGPDYAKLMDEYYSKKEAKLPTRIQMIGESGRGNKASRVKEGPLTDLDVVLYAHQFFRTFRGLFVDLIFSFRERNQSRDFFLKRTADDAFRVLEVELNFYYDVFFTKVSVMYTNLGCIGRFVSVVCTVVALGLFYSERKKRKFNGFDVGITYTLLYGAIALDVIAIIMLIFSDSAVEKFIGYIGLANILNQMKYVKTVPFSTELRDLIFRELKKKSDMADDLDTAKDISSARGDWILRLEGFGELLPYISQMDYDQSLLLWHIATELCYSDEAKASSPNKDRDFSKLLSDYMLYLLVMQPTMMSAVTGIGQIRFRDTCAEVKKFRGKLKKKKEEPNVIQVIYKWEIMSKVWVELLSYAAIHCRASAHAQQLSKGGELITFVWLLMAHFGLGDQFQISEGHARAKLIVGK >CDP19718 pep supercontig:AUK_PRJEB4211_v1:scaffold_630:15939:18393:-1 gene:GSCOC_T00007746001 transcript:CDP19718 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLEYPLMASSEEEAVPALFAQEIDHMPLQSIFLPFNSSDDHHVFSVRREAFSLISHAKHCYELNPFTTYLAVNYMDRFISKTNIREQKRWIVGILAISSLSLAAKMRNTDISISLSHVQREEGFAFHPRWVHRMEALILTTLGWRMRSITPFSFLNFFISLFKIQDSSLTRPLKFRAFDIILDTHYEMKLLEYKPSLISASALLCATLDLIPMEFPSLRDAILSCEHVEKEKLLQCLNAMREMVMDNSLKASGAVSICTSTPGSILRQFTSSETEEATAKDKIKRRRLNGFCDDQAVQISQFQPC >CDP19719 pep supercontig:AUK_PRJEB4211_v1:scaffold_630:24039:28883:-1 gene:GSCOC_T00007748001 transcript:CDP19719 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLYILLTLCVVCIDHESSNVGEYSYAQIRRSILLLMLKKKKGERERERERSSSYSSVYSPRLIIVHAPLSLPRSLRHFDSTLTAMASHYPATDLDSAATDSVTSTPRSIQHNVHDDNLVAQPPRVRFMCSFGGKILPRPHDNQLRYVGGDTRIVAVNRHTSFSFLLTKLSKLSGTSNFSIKYQLPNEDLDSLITVTTDEDVENMMDEYDRLVQTHKSARLRIFLFPSDSDSRTSSISSLLDGSIKREHWFVDVLNGGSGREPGSERGQSEVSSIVSEVPDYLFGLDNSDDAPRESNSKLRSKSILSETHPGSDPGSPAPVVSSPFCSTSSSLAPASVPAIPDLPPVKTKPVNPVHTSEPSREAPVEAVVVEGGEIAVPQQTGYTSNPMWHYTGRTVQSVPVYYVQGPVRAGHVPVQQVPVGAPYVQQIPVPPGQVPLGFNHHPVSSLGQVYAGGGRPITAVDPMSGRIVTEGVNQPMYYGVRNAGMVTTYPGMGVSGAEEIQGRGLDVKMGRVSQS >CDP21754 pep supercontig:AUK_PRJEB4211_v1:scaffold_6305:2379:4018:-1 gene:GSCOC_T00007321001 transcript:CDP21754 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVLNDFPIFLKLILVGGPFDRASDCFCRHFVPNLTIGAPVIESLRKHTSAYLDCHLMVTNPLDYIEPFGKAGASGFTFHVEASKDNWQELIQKIKSKGMRPGVALKPKTPIEEVYPLVENETPVEMVLVMTVEPGFGGQKFMPETMDKVRALRQKYPSLDIEVDGGLGPSTIDIAASAGANCIVAGSSVFGASEPAQVISLMRKSVEEAQKIN >CDP19722 pep supercontig:AUK_PRJEB4211_v1:scaffold_631:32567:33984:-1 gene:GSCOC_T00001474001 transcript:CDP19722 gene_biotype:protein_coding transcript_biotype:protein_coding MILRYVLLVHTMMLKDLMKISAHLVPLSAFLVMHFLSMYEGMLPNQFVPTNPYNLPYLPPNAIVEIVYEGALNRFIDEINSVAAYEGWEGSVHSTSLLNLVPGPGNNGIGVIKFTIVRIMVSLSMTILVYDPADREHYTKE >CDP19723 pep supercontig:AUK_PRJEB4211_v1:scaffold_631:48887:50457:1 gene:GSCOC_T00001475001 transcript:CDP19723 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIHDIFEKKRSGNDGATNDYDFADHLLEQIKKEDTFLNEEIARDLVFLFLFAAHETTSIALTVALRYLDGHPRVMAELKREHENILKMRETEDSNISWKEYKSMTFTHMVMIPFSCRKKGKKEKERKTLFSNYLGYTIPAGWTVMVCPSSVHLDPNVYENPHEYNPWRWEGKELHAGSKNFMAFAGDVNFLHSLVTKYTWRVTNGAERIRTPTGIRFSKGLNIEISENK >CDP21755 pep supercontig:AUK_PRJEB4211_v1:scaffold_6310:1870:3501:1 gene:GSCOC_T00008813001 transcript:CDP21755 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAAAAATDTQTVTAKLAAATIDVDFAKCDCCGLTEECTLAYIERIRERYQGKWICGLCAEAVKDEVFRSKRLISTEEAMSRHFNFCNKFRSSGPPPDATGHLISAVRHILKKSLDSPKSMLRSVPCSPTESARVGGGLTRSESCIPSLNFVDSAGLHGVEGESERQSLDIGAETDSALVVEVDSVVSFEFRQGHR >CDP21756 pep supercontig:AUK_PRJEB4211_v1:scaffold_6317:3071:3406:1 gene:GSCOC_T00001200001 transcript:CDP21756 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKIIIAFLPYLFLLLGPFTRAFSSNRQLSAALLSAYLQLFRSQPILPPELSFNFQQPKIPSHKTFMIKKKLVKKQRQNMPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >CDP21757 pep supercontig:AUK_PRJEB4211_v1:scaffold_6318:2187:3737:-1 gene:GSCOC_T00000202001 transcript:CDP21757 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLEYHCNLVTVTLLVDYDQEGQTLLANEANELKPEGHVSKLASTSFCKTCFNGLNALLGVGILSIPYALSSGGWISLILLLMIASSALYTGLLIQRCMAMDSTIRSYPDIGDRAFGAKGRALVSILMHAELYLVATGFLILEGDNLSYLFPKAGFELGGYSIDARRSFVIMVGLIILPTVWLNNMSVLSYVSAGGVAASLVLLCSILWIGEFDGIGFHGKGSFVHWNGIPTAVSLYAFCYCAHPVFPTLYTSMRDQKQFSKVLVVCFFLSTLIYGLMAISGCLMFGSEVLSQITLNLPSDKISSKIVIYATLITPIAKYAL >CDP19725 pep supercontig:AUK_PRJEB4211_v1:scaffold_632:34007:39086:-1 gene:GSCOC_T00010732001 transcript:CDP19725 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLRTAFIPTKPPSLHHHHRSPVLPNVHTTRPTIRFAPRSKIREIFMPALSSTMTEGKIVSWVKSQGDKLSKGESVVVVESDKADMDVESFYDGYLAAIIVDEGSSAPVGSAIALLAESEEEIAVALEQAQKSAAPEAVAAAPAVTESVVSSESVGVEKQKKVAEQVVAPAIGTAVHPASEGGKRVVASPYAKKLAKELGVDLRGVVGSGPNGRVVAKDVEAAATLAATEVATASSDNAAAAAALPGVKLGSTVPFTTMQNAVSRNMVESLAVPTFRVGYTITTDALDALYKKIKSKGVTMTALLAKATALALVQHPVVNSSCRDGKSFTYNSSINIAVAVAMDGGLITPVLQDADKVDIYSLSRKWKELVDKARAKQLQPHEYSTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASQPTVVATKDGRIGMKIQMLVNVTADHRVIYGADLASFLQTLAKIIEDPKDLTL >CDP19724 pep supercontig:AUK_PRJEB4211_v1:scaffold_632:29618:33868:1 gene:GSCOC_T00010731001 transcript:CDP19724 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLYEMLQNNIGNSSFPWRNVKKDSNPCSWVGVSCSSSNSSVTELSLPSLSISSSEILPVVCQIDSLESLDISNNHLSSIRDVFISSCGGISGLKLLNISRNELGGSLPTFNGFQKLEVLDLSQLQLSTNGFQGEIPVGLVKYGNLSLIDLSHNNLSGSIPERFGEFSKLQILVLSANDLSGEIPKLLVNIQTLFRFAANQNNFVGNIPPGITTYLRNLDLSFNRLSGAIPQGLLSPPNLLSVDLSSNLLEGPIPTEISLSLFRLRLGGNLLNGTVSFRSYGSLTKLTYLELDNNSLTGEIPPELGLCRSLALLNLAHNGLTGVLPVQLGNLASLQVLYLQKNKLVGVIPHQFTQLHSLQRMNFSSNSIGGSIPASISKLQNLTNLDLRHNNLSGPIPISIRTLNLLLELQLGNNQLSGDVPAMPSSLQIALNLSNNLFGGPIPVSLSGLIALEVLDLSNNKFSGVIPNFLTEMTGLTQLVLSNNQLSGDIPKFKKYVTLVTDGNKGLNNASSNASPASSKKKRTVSVGVVIGAAVAAALAAGLLTLIVISISRRYYRINDMHLQSEEAASEQQVILGNLLTANGIHRSNIDFMKAMEAVANNSNIILKTKFSTYYKAVMPSGANYLVKKLTWSDKIFQLGNHERFGEELEVIGKLSNSNVMIPLAYVLTVDSAYLFYDFAPKGTLFDVLHGNSESALHWASRYSVAVGVAQGLTFLHGCPSGSILLLDLSSKSILLKSLNEPQIGDIELCKVIDPSKSTGSLSTVAGSVGYIPPEYAYTMRVTMPGNVYSFGVLLLELLTGKPAVSQGTELAKWVLSNSAQQNKWDNILDFSVIKTSLAVRSQMLAVLKVALACVSASPEGRPKMKRVLTMLLHAR >CDP19726 pep supercontig:AUK_PRJEB4211_v1:scaffold_633:22991:26068:-1 gene:GSCOC_T00007043001 transcript:CDP19726 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQNCSRRLIVEVCNAKNLMPKDGQGTASAYVIVDFDGQRRRTKTKFRDLNPQWDERLEFLVQDTESMGSEILELNVYNDKKTGKRSTFLGKVKISGSTFVKAGSEALIYYPLEKRSVFSQIKGEIGLKIWYVDDENPSAPPPPPSKEEKAEEKPAVVEEKPPEKEEEKKPEAAAPEEKKKDEPAEKKKEEEEEKPTEGAKEEEKPAESKDASTTAAAPAATEATAPAPPPPPEVQQPALSQTPQKTQKEKAAMAEKDVEMMRLKLDKALSGSMDRRSGFDLVDQMPFLYVRVTKVKLTNPPANSSAFAKLVIGTHAIKTKYQACNDKGWDQVFAFDKEGLNSASLEISVWTEKNVAAAENEKSNIEESCLGTVSFDLQEVPKRVPPDSPLAPQWYSLEGGEASASPGNDVMLSVWLGTQADEAFQEAWQSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLQLGSGRSEPGVRNPELFVKGQLGAQLFKTSRTSVGLSSSASSTPTWNEDLIFVAAEPFEPFLVITVEDVTNGQSVGFVKVQLSTIDKRMDDKSEPRSRWFNLIGDEKTKPYAGRIHVRLCLEGGYHVLDEAAHVTSDVRATAKQLSKPPIGLLEVGLRGATNLLPVKTRDGTRGTTDAYVVAKYGPKWVRTRTILDRFNPRWNEQYTWDVYDPCTVLTIGMFDNGRYKHDQGDKKDVRLGKLRVRLSTLDTNRVYMGTYSLMVLLPNGAKKMGEIEIALRFSCSSWISLIQAYANPTLPRMHYVRPFGPAQQDILRHTAMKIVTAKLARSEPALGQEVVQFMLDSDHHMWSMRRSKANWFRVIGCLSRAATFARWLDGIRTWVHPSTTILVHVLLVAVVLWPHLVLPTICMYAFLIIALRFRYRQRAAITMDGRLSHVDGVGPDELDEEFDGFPTTRLTDQVRVRYDRLRALAGRAQTLLGDVAAQGERLEALFNWRDPRATGIFVVVCLIASLAFYVVPFRAFVLGSGLYYFRHPRFRDDMPSVPVNFFRRLPPLSDQIL >CDP21758 pep supercontig:AUK_PRJEB4211_v1:scaffold_6341:1270:3527:1 gene:GSCOC_T00006253001 transcript:CDP21758 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSRTILPPGFHFHPTDEELIGYFLHRKVTNLPCNPSIIPELDLCSTDPWELNEKALMSQNQWYFFSQVIQGRATEKGHWEELDMDEDIVTSAGKKLGIKKFWVFCIDQVQKRIQTNWVMEEYHLFKRHVKKGRDGEKDLGEWVLCRVHHANADSQGIYSVQAGNDEEVELSLLDEVFLSMEDNDDAISFPKLQ >CDP21759 pep supercontig:AUK_PRJEB4211_v1:scaffold_6344:2335:3627:1 gene:GSCOC_T00005951001 transcript:CDP21759 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQRYAHREKSSIHSSKLQKGSKDGSATSSSYERRERPCVLCKIFIMSKIDINEGQQYTQTKHPRVLPSQLTSSSRVHKHTRSGMCIGTKYIFGDSRHHREHRQSIQVFLSDLVSNDFNSIFRSLPNFYQRLGDYYERSPGSCFIAAMPGSFHGRLFPDNSMHFVYSSYSLHWLSQVPSGLVTAGGLPLNKGNIYIGKTSPMSVHDAYLDQFGKDFTIFLTARAAEMVSGGHLFLSLQSNNDDPLAYNYPDLLGMTMNDMVSEVTIHRHSFSIVCTNSATI >CDP19727 pep supercontig:AUK_PRJEB4211_v1:scaffold_636:39973:43227:1 gene:GSCOC_T00012631001 transcript:CDP19727 gene_biotype:protein_coding transcript_biotype:protein_coding MLFACAIIALIILLFSHWVYRWRNPKCNGALPPGSMGLPIIGETIQYFTPYAKDDVPQFLQKRVSRYGPIFRTSLVGQPVIVSTDPEVNYHVFQQEGNAFRCSYTESVFRIIGKQSLVVHHGEFHKYLKNLILKLVSPEALREKLIYEMDGNTQKCLSSWSKLGKIDAKDGTAELVFKLAAKKILDYEESKAQKKLRDSYKAFMDGFISFPLNIPGTAFHACLQGRKKAMKAIKNTFEMRRSCNDATKVFVDHLLKEVEKEDTFLNEEIAMDLVFLLLFASHETTSTAMTLAMRFLNDHPAVLAELKREHENILKIRETEDSGVSWKEYKSMIFTHMVINETVRLANIAPGIFRKVVKEVEIKGYTFPAGWTLMVCPSSVHLDPNRYNDPLEFNPWRWEGQELHAGSKSFMAFGGGTRLCVGADFAKLQMAIFLHYLVTKYTWTVIHGGETIRKPGLLFPSGLHIEISENE >CDP21760 pep supercontig:AUK_PRJEB4211_v1:scaffold_6374:507:2926:-1 gene:GSCOC_T00011628001 transcript:CDP21760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G08790) UniProtKB/Swiss-Prot;Acc:Q94JV5] LNFCKEAASAGAKLICFPENFSYVGDLAGDSLKVAQPLDGPIMKEYCSLARDSNMWLSLGGFQEKGPDDAHLCNAHVLIDDAGSIRSVFRKMHLFDVDVPGSAVYKESCFTEAGKEIVAVDSPFGHLGLTVCYDLRFPELYQQLRFHHNAQVLLVPAAFTKPTGQAHWEILLRARAIETQCYVIAAAQAGKHNDKRESYGDTLIIDPWGTIVGRLPGLKYFLPLFNFFGFNFFPSVWSLVDLFDLDIGSL >CDP19728 pep supercontig:AUK_PRJEB4211_v1:scaffold_638:31329:34700:-1 gene:GSCOC_T00010584001 transcript:CDP19728 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLIEIKATISELGSFSHSFHVTEETWDADPAFFRLLEKMELLRVEVFLINLLYQRTNLKILDGYIDSFDMEPTYLQSYQRDASKGESEVHKLTWLYSEEASSIYRSFHDKSITEDKYRDEPFKLLDKIKLLKLEILMEELLNRQPRLIVNVKDQIESIDQGLRLSRTYSVGLLEENEKLILAQAAAAASSFYYSLRENEITEDAVRKFSHLLPALVEKMNVLNAQFKEIYLSHRRSLRSNLPKMEGIGCIDFFLVDLLEQLKSKADSVLSMKQQFHVVHEEIKFMRSFLTDIEEQYNEHQDLKTVASRIIQVTLEAEYLIDLVVAGDHLRWYHQLWLSDLVEDVKLIKLQARDTYKNAHGINIHNVPTSSMMVSSPAELPKIDEVVIDLADEKKKVIDRLKVGSGKLDVVSVVGMAGLGKTTLVRRVYKDPSVTHHFHVRAWCCVSQAYQKRELLLQILGDIMKLTDDMLEMTDEDLEMKLYKCLKRNRYLIVMDDMWSIEAWYDLEQSFPNDKNGSRILITSRHSEVAAKVQVDSTPHPLRLLSDDESWKLLQKKLFDTKDCPNELMEAGKQIAESCKGLPLAVVAIAGLLERTDKTPDIWKQVSESICSRIADDPEMRCMDILELSYRYLPTHLKPCFLYTAIVLEDKDIPVRKLTWLWRAEGFITDTGVESIEDIAEGYLRDLIERSLVMPSKRRSHGGMKTCHVHDMLRTLCIRKSEEENLLQFQNEPSNSSHEDIDYGRNHFIMSRPSGPYVRSLLYSATSDSYPTCPYDISFIFENFKLLRVLDLECINMGYSFPTGVLVLVGLRYLALCGDIDSIPASITHLQNLETLLVKGLKGRILLPYAIWNMEKLRHLHVKNYATITLQDGESTIFPEVLNLVSLSSPYLLYGIGIENIMRRLVKLRKLRCLFSELRDDTGKCNQFPIMNFLTELESLNVLYSGRVGLPCKFDFPLNLRKLTLSKFRLPWDCISDIGRLPNLEVLKLLSKAFDGKVWEMKEGEFLKLKFLKLDSLNLSEWNASSDHLPQLQHLILQSCWQLKEVPPGFGDSFTLEIIEVQMCTSSLEESVKRLEEEQQEMGNELKVLVDHSDMDF >CDP21761 pep supercontig:AUK_PRJEB4211_v1:scaffold_6385:1927:3651:1 gene:GSCOC_T00005393001 transcript:CDP21761 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGLRMLEKGVQDRILEACRTVMRGSGFKFYDDWASVISCSDEGVYAWVVANYALGTLGGDPKQTTGIIELGGASAQMLRKLSKQLNGEDWMWNNLNTLLGNRIYIRINDGGTSENKFLVMVIRDLLNLCEITKGKDNKVVIASNIMQMIVNSSFPWLMVISRSLKENGLSNLVKGKFWCNIFCISFLVMCVSEDD >CDP21762 pep supercontig:AUK_PRJEB4211_v1:scaffold_6398:2677:4039:1 gene:GSCOC_T00011521001 transcript:CDP21762 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDEESGSPLSGHPNTNQLPAAATTQGQIHATTAAATNGDLVVTAQQDKEEPTTTTANTVPFLKLFSFADSTDIFLMIIGTIGAIGNGLSLPLMTVFFGELTDSFGQTQNIK >CDP21763 pep supercontig:AUK_PRJEB4211_v1:scaffold_6399:989:3584:-1 gene:GSCOC_T00009802001 transcript:CDP21763 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHESKCIQEIVGDVTAKLGRVIAVEEKNQVGIDSRVHKVNALLNLGSDAVHFLGIWGMSGIGKTTIARAVFDRISTHFEGAIFLHEVREQSKSLEILQEKILSKILCLKDLRISSVFEGSNMIMRRLCRKKVLIVLDDVDHLSQLDALAGMHEWFGDGSRIIITTKDKHLLVTHEVDEIHEVELLNQSEAIQLFSRHAFKKDYPAKGYEELSKKIVHYAGCLPLALKVLGSFLYGREMAEWRSEVERLKRIPEDEIIEKLKVNFNGLREVEKEIFLDIACFFEGKKKEYIRRVLDSFDFYPDIGIKVLIEKSLVTVFGGMILMHCLIQEMGWHIVRQKAPEKPGKHSRLCVAEEFAAENVVGMWLDLSTPKDVVIKNEAFEKMKKLRLLKINNACVSCCPNCIPNEIQWLNWHGYPSKSLPQSLQPEKLVGLKLQYSHVIQLRKGIKLLDKLKYINLSHSQELTRTPDFTGIPNLERLILEDCSSLTEIHPAVGYLKRLQLFNLRNCTNLRSLPKQIILESLEVMILSGCSNPPSSVVLLKSLKTLSFRGCKAMASRTWRAFSSSWLLGQKIQDSMGLVLPSVSGLNCLAKLGLSDCNLLDGGFPCNLGSLCSLIELNLGKNNFISISAASIKSLSRLQILELVGCKRLEILPQLPPSIEECMQIIVHHCRVQLIY >CDP19729 pep supercontig:AUK_PRJEB4211_v1:scaffold_640:33900:37891:-1 gene:GSCOC_T00012258001 transcript:CDP19729 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRNHGLWRHLPVLVRANSKESVEYILQALWRTRKTGLDDADRQIFRQMLQLQNDAELDSLLVCLRILIRRCVYETVNKDDIQNLFPAEVLPELQRLLTLLLQKFHREWHDDVLKDQPEGDARSNLGKLDMKFQLSKDTLEAKLQSIYILKDHLSDTVSNVGIALFFLILLYDAFSCHT >CDP21764 pep supercontig:AUK_PRJEB4211_v1:scaffold_6407:797:3960:1 gene:GSCOC_T00001059001 transcript:CDP21764 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKCFFILLSCFFSISNGRVPPAEFPVKAVNLGGWLVTEGWIKPSLFDGIHNKDLLDGTGIQFKSAKSGKYLSAETFKIGGSAKIVAKKTSAAEWETFKLWRVDSSNYSFRAANTQFVALGLDGKQFQSLITVAKDPTIRETFEIIRKTDDLNRVRIKGLNGFFWQVKSEGVVTADWDRFNTTWEDDDPSVFLITTVGGYQGEYQVTNGYGPLTAPQVMKEHWNTYIVENDFKFIAENGLNAVRIPVGWWIAGDPNPPKPFVGGSLQALDNAFLWAQKYGLKVIIDLHAAPGSQNGMEHSATRDGSLEWGLTDESIQQTVANPSLLAVELLNEPLAPGVALDTLTRYYRDAYDAVRKHSSTAYVILSNRLGPADHSELFALASVLTKPVIDVHYYNLFSTIFTNMTVQQHIDYVKTNRTAELASITSPNGPKILVGEWVAEWDVKGATRQDYQAFARAQLHAFEKATFGWAYWTLKNAKEHWSLEWMINNGYLKA >CDP19731 pep supercontig:AUK_PRJEB4211_v1:scaffold_641:44598:46977:-1 gene:GSCOC_T00002464001 transcript:CDP19731 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPPSISNMSNLETLWLSFNSIEGTIPTEFRSQWEVRRFPNSSEQFSGTISEEIWNLNMLRLVSFHGNFLTMDDIDTPLFNMYLEILGLHQNDLNGVIPGSISNASNLIWLSLQFNSFTGVIPNSLRNLRNIKNLDLASNNLATDSSARSSFLISLTSYSNKLTSKIHTRLWNVKNLQELNLSSNLLSGSLPPKIDNLKPTYLLDFSVNQL >CDP19730 pep supercontig:AUK_PRJEB4211_v1:scaffold_641:14103:15789:1 gene:GSCOC_T00002463001 transcript:CDP19730 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPIPNGICSLNNLGFLSLSYNEFCRTVPACLGNITSLRYIYLSSNKFNSAIPSSFGSHGDLLHLDLSSNYLSGSLPSEIGSLKAATLLNVSMNQISGVIPSAIGGPFANFTSKSFMSNERLCGTPQLQVPACRASLSGKRRTKRVLLIVLITLAVSLIVVAIIIPSIPVLHCDLKPNNVLLDENIIAHVGDFGTAKLLGMGESMAQTQTLATLGYMAPKYGSEGLISKKCHINSFGIMLMETFTRRKPTNEILSGDMTLKDWINASWPDAVSEVIHANLMRLEEDLTGMVHGGCQTTKNKIYIKTYSQN >CDP19732 pep supercontig:AUK_PRJEB4211_v1:scaffold_641:47718:50396:-1 gene:GSCOC_T00002465001 transcript:CDP19732 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMFKEQFGVSFLNQNYLSGVIPSSISNASKLVSLILYNDELIGSIPNSLGSLRNLKFLNLAFNRLSRMAAILIIAIGALLNLKWLKKPKSSGGTELMLAAKYERFSYYDLLHSTDNYNESNLPGEGSYCSVYKGILSDGTVVAIKVFNLLVEGSLKSFVRECEVLKSLCHRNLTKVLGSCYNPDFKALVLKYMSNGNVEKWLYSHNHYLDMFQRINIIIDVACALEYLHYSYDTPAVHCDLKPSNILLDEDMAAHVSDFGIAKMFGEGESILHTNTLATLGYIAPEYGSEGIVSTRIDVYSFGIVLMEVFARMTPNDEMFSGDLSLNSWVEDSLPDALQVVDANLIRPEDEHFTDKLKCVTLIMKLALNCYRECPRERISMKDVLAKLIKIKHQFQFLMTVSIYPFCTNFTSEC >CDP21765 pep supercontig:AUK_PRJEB4211_v1:scaffold_6436:1043:1189:-1 gene:GSCOC_T00001170001 transcript:CDP21765 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHILIVSYPAQGHINSSLQLANKLIKIGVEVTFATSHKLFCPAGW >CDP21766 pep supercontig:AUK_PRJEB4211_v1:scaffold_6437:904:1835:1 gene:GSCOC_T00002387001 transcript:CDP21766 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQQSASFCGAKESFSLPNLTLRKPATVPLAKKRDLPENSPTEQPHFSLKISNTFLAQSAIAVFSLGFIDAGYSGDWSRIGVISKENEDLLKAAAFVVVPLCLFLIFSLPKKSEDL >CDP19735 pep supercontig:AUK_PRJEB4211_v1:scaffold_644:42558:45990:1 gene:GSCOC_T00010413001 transcript:CDP19735 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKIISTFLFVLLLFPSFHPKCGASASAEEAAALLKWKASFQNQNNSFLTSWNLQSGNAKNSSILPCIWAGISCIDGSVNRLNLSDWSIEGSLYDFPFSSLPNLEYLDLSQNQIIGKIPPEICNLRNLTHLDFASNQLSGPIPVAMGNLVSLQFLYLSHNNLTGAIPKSLGNLTNLIILYLYKNQLSGPIFKEVGDLKFLTDMELGENQLNGSIPVSIGSLSNLETLYLQNNQFSGSIPKSLGNLTNLIELYLYNNQFSGSIPATFGNLNRLVNLSLDQNHLSGSIPPVIGNLSSLQFLYLYQNNLTGAIPKSLGNLTNLIELALSRNQFCGSIPQELGNLNKLVFLSLFSNLFSGPLPELLCQSGILQNITVFENMLTGPIPKSLQNCSNLVTALLDFIDLSNNKFYGKLSSNWGQCKMLKTLIVAKNNITGGIPPEIGNLTQLHKLDLSLNYLSGEIPREVGKLASMLKLDLHDNQLTGGIPQDLGVLMEFLDLSTNSLNGTLPELLGDLKHLFHMNLSNNVLSQKIPFQIGKLTQLSELDLSRNFFTGEIPSDFQSLQSLETLDLSQNNLSGSIPKALAELPGLLHINLFFNNLEGPIPSGLRACESSRLIKKHVKDKSKELVLIIVLPLLGSFTLLGALFGVLKLHDRRKQNSREEDMEVNKGGLFAICAYDGKALYKEIVRSTKEFSETYCIGKGGCGSVYKAQLPSGEVLAVKRLHNIPNVAKDKSFLNEIRALTEIKHRNIVKLFGYCSNAQHSILVYEYLERGSLAKILSIEEEAKELDWQKRLNIIKGVVHALSYMHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTAKFLRRDSSNWSSLAGTYGYVAPEFAYTMKVNEKCDVYSFGVLAMEVIKGKHPGDLIANLLPSKLEEIELNDLLDQRLLYPNQEIEKNLISILKLARECLLVDPQCRPTMLIISRYLASY >CDP19734 pep supercontig:AUK_PRJEB4211_v1:scaffold_644:3547:6610:1 gene:GSCOC_T00010411001 transcript:CDP19734 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFKIISIFLLVLLLFPSLQPKCGALASVEEAAALFKWKASFQNQNNSNLTSWNLQSINAKNSSSLPCTWAGVSCIHGSVNSLNQIFGSIPKQIGSLSKLIYLDVWVNELSQEIPAEICNLRNLTHLSLGRNQFSGPIPLGIGKLQYLVELYLDNNNLTGSIPASFGDLNRLAELRLFQNNLSGPIPSAIGNLIPLRFLYLNQNNLTGAIPKSLGNLTNLIELYLYENQLSGSVPKELGNLKFLTIMSMSQNQLNGSIPASIGNLSNLRTLFLRDNQFSGTIPQELGNLNKLVVLELDHNQFFGPLPKLLCQSGMLQNITVSGNMLTGPIPRSFKNCSSLLRARFEGNRFQGNLSEMFGIYPFLDFIDLSNNEFYGELSSNWGKCKLLKTLLVAENNITGGIPPEIGNLTQLHALNLSLNSLSGEIPRAVGELAYMLRLDLHHNQLTGSIPQELGVSMEFLDLSTNSLSGTLPENLGGMKRLFHMNLSNNIFSQRIPFQIGDLTQLSELDLSRNFFTGEIPSEFQSLQSLGTLDLSQNNLSGLIPNALAELPGSLHINLSFNNLEGPIPSGRAFVNLTLEELKGNKGLCGNITGLRACESSQLIKKHVKDKRKEFVLIIVLPLLGSFTLLGALFGALKLYDRRKRNSRVEDKEVNKGGLFAICAYDGKALYKDIVRSTEEFSETYCIGKGGCGSVYKAKLPSGEVVAVKRLHNVPNVAKDRSFLNEIRALTEIKHRNIVKLFGFYSNAQHSILVYEYLERGSLAKILSIEEEAKELDWQKRLNIIKGVAHALSYMHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTSKFLRRDSSNWSSLAGTYGYVAPEFAYTMKVNEKCDVYSFGVLTMEVIKGKHPGDLIANLLSSKPEEIELKDLLDQRLLYPNQQIQKCLMSILKLARECLHVDPQCRPTMLIISRLISTCEPLVHFFCC >CDP19738 pep supercontig:AUK_PRJEB4211_v1:scaffold_645:24236:26405:-1 gene:GSCOC_T00000025001 transcript:CDP19738 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVISATIRVALETAASLATDRIGMLCGFKKDVASMTRTLSFINALLADAEERQQNQDRGVQEWLKSLEEVAYDAGNVLDELNYESLRCKVESRNQLKSKLNEINQEADGLGLISRAVMTAALPAAADAGDKRNRQTDSVAVPMTGRADDESKIVKMLLSPSEKVVSCLPITGMGGLGKTTLAKSIYNNQQIDGQFEKKVWVCVSKKVPIVELFKLILGQLKGKKDEVGDRQNIVQEVGKELGKLRYLLVLDDVWDDNQTLWDDFFNTLTGLNPVNPPKGSWCLITTRLHLVADKGYPLGRLPGDHCWSIIIGKVVEGDEVPNELDAIKDRAIQICNGLPLVASVLGGLLRLRKDKWRSILEDRLLNLNEAMQILQLSFDNLPSPAIKKCFAYCSIFPEDAEMKGDVLIELWMAEGFLHAGLENKTMEEIGEYYLEILLQSSLFEETRNYQGTCYKMYDLVHDLAESMSKSTKVIIDRDTHTVDNGNQIRYLATDSFGGGEDREKLLESLSTSLHTLFKKVPIVELFKLILVHLTGEKVEVDVRDVIVGKIRNHLEGKRYFLVLDDVWDDDQALWDDFFTTLKGLHPTNGSWCLVTTRLRMMENEAYPLGKLPDDLCWSILKEKVVGGEEEPDELKAIKERVIKRCEGQPLAANVIGGLLLTGAKPVQ >CDP19737 pep supercontig:AUK_PRJEB4211_v1:scaffold_645:20732:22584:-1 gene:GSCOC_T00000024001 transcript:CDP19737 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKSIYNNHQIDGHFQKKLWVCVSKKVPVVELFKLILVPLTGEKVEVDVRDVIVGKIRNHLEGKRYFLVLDDVWDDDQALWDDFFTTLKGLHPTNGSWCLVTTRLRMMENEAYPLGKLPDDLCWSILKEKVVGGGEVPDELKAIKERVIKRCDGLPLAANVIGGLLSLKRKEEWRSILENRLSSLSGDEDRVMQILKLSFDNLPSPYIKKCFAYCSIFPKDTEMEGDMLIELWMAEGFLQADLNSQMMMEEIGMNYLRILLQSSLLEEIIDESETSASYKMHDLVHDLAESMSKSTKVINNGDAQIIDNDNQIRYLANLYVLNLSRATTQELRVSIGKLIHLRYVNLKRSVNVLLDSLCKLYNLQTLTLNDSYVKDLPKGMCNLISLRHLHYYTMNEKLQMPLDMGRLTCLQTLEFFNVGREKGRQIGELGSLKNLKGKLKIRNLELAKDKEGAEEAKLSEKANLFRLELEWAYNREGDDYNDEDVLDGLRPHPNLEELEISNNTPQVSAFEI >CDP19739 pep supercontig:AUK_PRJEB4211_v1:scaffold_645:58773:60340:-1 gene:GSCOC_T00000028001 transcript:CDP19739 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWQHAFLGFLNLSSSNSAMKPTVNRGVFAAVGHQFHVLVQNFILCIKQKKSCHHEDIFEVAKEDALFKQ >CDP19740 pep supercontig:AUK_PRJEB4211_v1:scaffold_645:60610:64509:-1 gene:GSCOC_T00000029001 transcript:CDP19740 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSLGFINARLADAEEKQQNQDRVVQEWLKRLEEVAYDAQNVLDELHYESLRHQVESRNRPKRKVCCFFSFSNINLAFRWRMASKVRDINLELNKINKEANRLELVSRLVMTAALPAAVGDTRNRQTDSVLVPMTGRADDELNIVKMLLRPSEKVVSVLPITGMGGLGKTTLAKSIYNNKQIDGQFEKKLWVCVSKKVPVVELFKLILGQLTEDKDEVGDRNVIVGKIGNNLGGKRCLLVLDDVWDDDQALWDDFFTTLKGLNPTNGSWCLVTTRLGLVAHSVSGALLMENEPYALGRLPDDHCWSILKEKAVRGQEEPDVLKAIKERVIKRCDGLPLAASVIGGLLRLKRKEEWRSILENRLLSLSGDGDRVMQILQLSFDNLPSPAIKKCFAYCSIFPNDAEMEGDMLIELWMAEGFLQVDLKNRTMVNKTMEVIGEYYLEILLQSSLLEEIRDDGERYYKMHDMVHDLAESMSKSTKVINDWDAHVVDNGNHIRYLAIDSSGGREDREKLLESLSTSLHTLFIVNGDLSGDMLMKLKNLYVLNLSRTRTRELPVSIGKLIHLRYVNLERSAISILPDSLCKLYNLQTLTLSDSEVKDLPKGMRDLISLRHLHYYTKDEEFQMPLEMGRLTCLQTLEFFNMGREKGRRIEELGSLKNLKGKLEIRNLELVKDKEGAEEAKLSEKANLFRLQLEWARDREGDNYNDEDVLDGLRPHPNLEELAIWYFLGDEFPRWLMDLPTTTALPESATTLQFNHCNRCRELLPLQNFASLKQLEIYACDGLTNLPGDMLHSCASLQKLEVSWCDNLISFPLNLQQTPSLLELELYRCPKLKTSLTPKGFGFLTSLRELVIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSSALRRLVLIGLPHMESLPPQIQHLTTLTSLELYNFGGIKALPDWFGNFAALKKLHLHGFEELGHLPSEDAMRKQRPRLPVVQSFSHSRPRHTLINNQLSSLDQIQSLTLAQSSTGNLQWHVIPNYRPYIFENCIEPYLVSVGLKCLWCLQ >CDP19736 pep supercontig:AUK_PRJEB4211_v1:scaffold_645:3829:6933:-1 gene:GSCOC_T00000023001 transcript:CDP19736 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVISATVQVALETAVSLATDRIGMLVGFKKDVASMTRTLHLIQGVLADAEERQNQNQAVLAWLECLEEVAYDATNVLDELHYESLRQQVESRNRHKLKVRDVKLKLNGIYQDARGLGLVSREVLTAALPAAPDVGDTRNRQTDSVLVPMTGRADDESKIVKILLSPSEKVVSVLPINGMGGLGKTTLAKSIYNNHQIDGQFKKKLWVCVSKKVPVVELFKLILGQLKDKKDEVGDRQNIVQEIGKELGKLRYLLVLDDVWDDDEALWDEFFTTLKGLNPTIGSWCLVTTRLGPVAHSVSRVLRMMENEPYPLGKLPDDHCWSIVKEKVVGDAEEPDELKAIKERVIKRCDGLPLAASVIGGLLSLKRKEEWQSIVENRLLRLSAGGDHVMQILKLSFDNLPSPYIKKCFAYCSIFPEDTKMKGDMLIELWMAEGFLHADLENKTMEEIGAYYLEILLQSSLFEEIIDESETSTSYKMHDLVHDLAESMSKSTKVIIDRDTYIVDNGDQIRYLAIDLSSDREDREKLLESQSMSLHTLLVNGDLSDDMLMKLKNLYVLSLYTRTTQELPVSIGKLIHLRYVNLSKSSISILPDSLCKLYNLQTLALSGSQVKDLPKGLCDLISLRHLHYYNDDEEFQMPLEMGRLTCLQTLEFFNVSREKGRRIGELGSLKNLKGKLEIRNLELVKDKEGAEEAKLFERANLLGLELRWAWDREGDNCDKDVLDGLRPHPNLEQLAIWHFLGYEFPGWLMVTTFPKLARLEFNSCNRCRELLPLQNFTSLKELLRVADCYNLTSFPLDLRQTPSLLELGLTCCPKLKTSMTPKGFGFLTSLRELTIELYGLPHMESLPPQIQKLTTLTSLSLCYFGCIKALPDWFGNFAALEELYLYGFKELRQLPSEDTMTSLAKLKRLWVLGSPLLQKRCTPESNAHDSQWSKKVSHIQHLGI >CDP21767 pep supercontig:AUK_PRJEB4211_v1:scaffold_6462:1267:1816:1 gene:GSCOC_T00013530001 transcript:CDP21767 gene_biotype:protein_coding transcript_biotype:protein_coding MTENSDEAKDIDELYEYGARLNEAKDKTQHVEDYENIIKAATSTSIKARQLAAQLIPRFFKFFPSLSVSAVDAHLDLCEAEELGVSVFLILISSFFFRNFLIDDDIIQFKYI >CDP21768 pep supercontig:AUK_PRJEB4211_v1:scaffold_6463:2738:3339:1 gene:GSCOC_T00000514001 transcript:CDP21768 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDGGGHPAWDEKFVMDMPMHARYFTAEVRCKTAAGSRIVGTAVIPASDFLGDYVPENYLHFLSYRLWDSHGERNGILNLSVRVKSSSSVKNAYGGGCSSHSAGCSCPWSGIAVGGQQVSNGEGVVTGIPVWS >CDP19741 pep supercontig:AUK_PRJEB4211_v1:scaffold_647:9900:17830:-1 gene:GSCOC_T00005331001 transcript:CDP19741 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVKNWQFHHLEPALPRFRAGPNHRSDSPVRTFNIHPNKPTVNTVTSNVHGDYPSSDDEEENDENGYEDAIKKGKAELENSVLDARDEGTADNWIERNPSMVRLTGKHPFNAEPPLTRLMHHGFITPVPLHYVRNHGPVPTATWDGWTVEVCGLVKRPMKFSMEKLVNEFPYREFPATLVCAGNRRKEQNMTKQTIGFNWGAAAVSTSVWRGVPLRAILKRCGILSRKNGALNVCFEGAENLPGGGGSKYGTCVKKGVAMDASRDIILAYMQNGELLTPDHGFPVRMIIPGFIGGRMVKWLSRIIVTTQESDSYYHFKDNRVLPSHVDAELANSEAWWYKPEFIINELNINSIITTPCHDEILPINSWTTQRPYTLRGYAYSGGGKKVTRVEVTMDGGDTWHVCAVDHPEKPTKYGKYWCWCFWSLDVEVLDLLGAKEIAVRAWDESTNTQPEKLIWNVMGMMNNCWFRVKTNVCKPHKGEIGIVFEHPTQPGNQSGGWMAKEKHLEKSSDSNQTLKKSVSSPFMNTSSKMFSMSEVKKHNSADSAWIIVHGHVYDTTRFLKDHPGGSDSILINAGTDCTEEFEAIHSDKAKKLLEDFRIGELITSGYTSDSSTSSPNNTVHGASNASHLAPITEIAPARSIALISGQRIPCKLVSKTSISHDVRKFRFALPSEEQVLGLPIGKHIFICATVDEKLCMRAYTPTSGVEEVGYFELVVKIYFKGVHPRFPNGGVMSQYLDSLSLGSFLEIKGPLGHIEYKGKGNFLVHGKHKFAKKLAMLAGGTGITPIYQVMQAILKDSEDDTEMFVVYANRTEDDILLRDELDAWAEKYPERVKVWYVVEKSIKEGWNYSLGFVTESILREHVPLASETTLALACGPPPMIQFAINPNLEKMGYDIKDSLLIF >CDP21769 pep supercontig:AUK_PRJEB4211_v1:scaffold_6480:1833:2057:1 gene:GSCOC_T00004889001 transcript:CDP21769 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKDLVLGQAMDKITASFGKGSIMWLGRSAPVKQVPVVSIGSFALDIALGIGGLPKVGHLMCLLYAGCCNARC >CDP21770 pep supercontig:AUK_PRJEB4211_v1:scaffold_6482:1421:1916:-1 gene:GSCOC_T00007567001 transcript:CDP21770 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLVKLRCSHFNRWHLNMCFRDISNNRLAGTVRATNKTGLAITTVVQDPKAHY >CDP19742 pep supercontig:AUK_PRJEB4211_v1:scaffold_649:38711:39946:-1 gene:GSCOC_T00011734001 transcript:CDP19742 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHLSDQVIHISRSSAAYLLGKSTKRILPNSLSDEYPKVEQGDPELLISRKYRFSVKLDNFAKSIREHVRLAPKLTETVKGKLSLGKRILQVGGVEKVFKQLFSVTDSEKLLKASQCYLSTTSGPIAGLLFISTGKVAFCSERSIKIFSPTGKQIRIYYKVSIPLRKIKRASTSQNLKKPSQKYIEVVTEDSFEFWFMGFLNHQKTLKFLQKMMISQGSSLLTDKS >CDP19743 pep supercontig:AUK_PRJEB4211_v1:scaffold_649:58703:61310:-1 gene:GSCOC_T00011735001 transcript:CDP19743 gene_biotype:protein_coding transcript_biotype:protein_coding MWSYVYTSNILFQEFCVLFVCQTPILNQLDYKGYLCLLKLHRVGRTTKLGWQGNAIVFLLPKEEAYVEFLRVRRVPLEDRKSPDEVCDIVPQIRSAAKKDRDVMEKGLRAFVSYIRAYKEHHCSNIFRLWKELEIGKLGMGYGLLQLPAMHNLEHHNLSTKGFTPLEDICLDEIKYKEKSREKQRKNNLQAKKAAEQQQKNSQAKTAQSVEDDDEMAQEYRLLKKLKRGAINESEFAKLTRTEDLL >CDP21771 pep supercontig:AUK_PRJEB4211_v1:scaffold_6518:54:2099:1 gene:GSCOC_T00012108001 transcript:CDP21771 gene_biotype:protein_coding transcript_biotype:protein_coding IKYQRLDKLQQILIQTDNTQVTLYYCNHVCHNLVNSRKYQHVKTSEILTSTTLMHMSPDYLGICQLLMFLDRPRDIAAVFEKLLRAKSKNDGLLGFQIAFDLKDIQITEGNQNSEGNYLCYKVIKNKRDAVSKTSIQLTLQFLYSYNKLHFIIPNTIKQSVKKRNNILHIKFFLHSTMLHKHTLFKLDLNMSRAWLTRATNWTKFSATALQLIDSGHLQQRKSLMAPCHRMRLVVVAAHIQKHKHWYLSYCIM >CDP21772 pep supercontig:AUK_PRJEB4211_v1:scaffold_6518:2515:3725:-1 gene:GSCOC_T00012109001 transcript:CDP21772 gene_biotype:protein_coding transcript_biotype:protein_coding SAAAAGLPSRFAVPFGSCFQNSCRPLSLLHLGSAEVLEHKLRKYMSRFQYQDWLKIVRTTTAVTLLCFVFQSNLCISFIASSCLTRIIFSNYLNALTVESLRSLL >CDP21773 pep supercontig:AUK_PRJEB4211_v1:scaffold_6520:520:1608:1 gene:GSCOC_T00006455001 transcript:CDP21773 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVFVHGSTGPIPNEIGNLLKLESLDLRRNSLSGSIPVGIFNMSTLRELDLLGNHLSGVLPSNMCHGLHNLEDIVLSLNNFSGAIPASISNCCISLRQIDVGDNPLNGVLPKSIGNLSISVECLAVWNCGLRGNIPDSIGNLSNLVLLGLDDNSLTGSIPTAIWGLQKLRLECLGLSQNQISGSIPGCFNNLTSLWYLDIAFNRLTSTLPMRLWDLKGLVYVNLTSNLLRGPLAPEMGELKDLTKLDLSNNQFSGKIPSTIWSLESLDHLSLANNSLQGSIPDNL >CDP21774 pep supercontig:AUK_PRJEB4211_v1:scaffold_6524:2435:3868:1 gene:GSCOC_T00003281001 transcript:CDP21774 gene_biotype:protein_coding transcript_biotype:protein_coding METHRAHCLILPYPVQGHINPMLQFAKRLQHEGVKVTFATTKFLFETVDEVSASISVGTISDGYDEGANGIVPEIYFPRFQKVGSETLTELVLKLQDSGRPVDCIIYDAFLPWCLDVAKDLGVRAGVFFTQSCAVNNIYNHVHKGLLKLPLEESGVDIPGLPPLLASDLPSFVSNPGLYPASSQLFVHDQMENFEEADWIFFNTFYGLEEEVIHWMAKILPVKTIGPTIPSMYLEKRLEDDKQYGVNLFKPMTNACMSWLNERSIRSVVYVSFGSLAELEVKQMEELAWGLRASSYHFLWVVRESESKKLPEDFVKETFDKGLIISWCPQLDVLAHKSIGCFITHCGWNSTLEALSLGVPMIAMPQWTDQSTNAKF >CDP19744 pep supercontig:AUK_PRJEB4211_v1:scaffold_653:51921:55145:1 gene:GSCOC_T00002445001 transcript:CDP19744 gene_biotype:protein_coding transcript_biotype:protein_coding MSVREQLLMFLQIVGYNLRFRVVGGYLYRSTETIHRYFSIVLDAILKLYPDLIQLPNGATPREIRNSRRYYPWFADCVGAIDGTHVVASVPLEIQGKFRGRKGYPTQNVLAAISFDLKFSYVLAGWEGSAHDSRVLEDALTRPRGLQVLQDKYYLVDAGYGIRNGFIPPYSGVRYHLKEYDDNPPQNEKELFNLRHSSLRTTIERGFGVLKKRFKVVDNDPFWDFKTQVDVILACCIIHNHIMGIAPNDMFMEEVIQEEQSETPNVLTEQASYTQPYQTQSERRAENREWARKRDAIAHAMYMGKKGEKQFRWSRPMERLMLEILADEVKLGNRPNNSFKSSSFTRVVDAMKDKFGVTCSVEHVENHLRTVRSSWSTIVKIREKSGFGWDDTLKMITASPSVYHAYIQKNPGHDKYIDNKIELYDEMAVVVGKDLATGSFAKSFVDVNLETPFVPKTSVEQKDVTSARSSEVRATSSRTKQHHKRNRSNEDIEKMSQQLGEVAAALNKISANKLDINQLHEEIMKIEGYSEEFLDLSKTLFDFSREVQERLGHRIKYFEVADSASIA >CDP21775 pep supercontig:AUK_PRJEB4211_v1:scaffold_6538:319:1245:1 gene:GSCOC_T00002438001 transcript:CDP21775 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLQNLESLNLARTTITELPVEILKLRKLRSLVVGRAGDYSNNFSVWGFKSPYGIGKLTFLERLAHIEADSGKIVREIGKLIQLRQLSITKLRREDGKELLYSLSRLTNLRELHICSIKEEETLDLQHSISPGLGLLTMLLLSGRLERVPEWIISLQSLGTLVLLNSELSEVENAIGCLGLLPSLVDLTLYRAYDGETLCFKAGRFPKLQRLQLGQLKRLKWVRVEEESLSSLQEFVIAGCKLMEGLPLGLQNLTKLKVLGFYDMSDELIRKVKNLDKQSDDYQTISHIPEVCIGYWIDGRWEREFL >CDP19745 pep supercontig:AUK_PRJEB4211_v1:scaffold_654:12085:12722:1 gene:GSCOC_T00010891001 transcript:CDP19745 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQLVETHKENAQIYTDPSLCKVKSKELLEKINLPRGLLPLDDVVEVGYNESTGFVWLKQKKQKQHRFSAISRNVSYDTNITAFIEDRRMKRLTGVKSKELFIWVTISEISIQDPSSQKITFATPTGISRSFPVSAFEEEEEDKN >CDP21776 pep supercontig:AUK_PRJEB4211_v1:scaffold_6541:1:1751:-1 gene:GSCOC_T00010707001 transcript:CDP21776 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPTRRESLLGSKCHLFSMPRQSRRNHIADGSAGTTKPALFGCKCASKGYNEHFMNRQSNGHVYTFPSSGIAGSRSIHLSVNQKTAYMDIEIISKEEIKPASPTPPELRIFRFSILDQLTRDSYTNILFFFFPRKQRGTYLNDVISQRSRCLKESLSKTLVPFYPLAGKIKDNLHIACNDDGVYYVETQTNIGLLDFLRKPENEFMNQLCPFHPDSTELLSKSYPIMVQIFDGLSVSTFMQYWAATARESTVQINPSFISSSLFPPILDMYQDSPPVVSK >CDP19746 pep supercontig:AUK_PRJEB4211_v1:scaffold_655:20117:34552:1 gene:GSCOC_T00005877001 transcript:CDP19746 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKDEGVVYKPVDSVDLGPDSKEVYLRANVKAPRMAGFLVKVFAWLLESRIFGALMLYILKRNNLIHKLVSFAELQESPLFVPLHPHEGHGEEEKCTKPDHLSPVEQVQLATDCLQTSEEMNKINPKLSFQRWTILDYSRRYMSQEVTPVMVAKKFIAAVNESSKPLRPMSFFIDFNPEDILQQATESTHRYERGEPISVLDGVLIAIKDEIDCLPYPTTGGTKWLHKVRPCIEDACCVKRLRSCGAILVGKTNMHELGAGTSGINPHYGTTRNPYDSSRIAGGSSSGSAAVVCAGLCPVALGVDGGGSVRMPAALCGVVGLKPTFGRVPHSGVLPLNWTVGMVGILTGTIEDALIVYGAISGPISSDQSAQVMPKVCFPLLKAPECRSDIKLARYGEWFNDCTDDIRVCCTNALAKLFDLYGWKTIEVTMPEIEVMRLAHYLTIGSECSTSIACHLEKLDMAELGWDARVALSVYGAFNSREYLNAQMIRNRQLQFYMKIFAKADVIVTPTTGVTAYPIKDDVLKTGELDYINGAALVRYQIAGNFLGLPAVTVPVGYDKSGLPIGLQFIGKPWSESLLIHVAFAMQALCISDYRRPEIFYDLLSKD >CDP19747 pep supercontig:AUK_PRJEB4211_v1:scaffold_658:17357:18460:-1 gene:GSCOC_T00005337001 transcript:CDP19747 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQFWHGNVPTLLMVMPYTAIQFIVLHKLKTLASSSSKSSSQGEPKLLHSPVHLTSIQVYPNMRTTFVGILQHRGIQGLYARLIVMLIEIVPYASLQFRMCDTFKR >CDP21778 pep supercontig:AUK_PRJEB4211_v1:scaffold_6593:2018:3456:1 gene:GSCOC_T00006532001 transcript:CDP21778 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAEGIMQKRDTENLEETAAYDDVEELSSRNMVQVAEMTVDERIKSCRVHDLLREVAIRKAKDENFFQIHDTRDDEISAKSRYLAVHSLPWDKNYFGSSTPPLRSLLFFNVHEYGKNISLNFKSFRKLRILDLDNVKMSYNLPKGIGEVRLLRYLGLRRTSIGRLPRSFSCLRNLQTLDIRNFYPVKVSNFIWKLESLRHLYAYKMECDVPLMIEGLRNLRTLSRIRFDDIMHNNMITLTSLRKLGIWVDDRSDIDKLCVHLSEVGSLKTLHLYFTRGGPQSLAGLSKLHHVTELKLSGRLRMLPPDFPPNLCRLSLKRTSLKDDPMPVLEKLGQLSFLKLEVPDWGPQYMVISRQGFHQLKFLVLNDAYCLKEIEVEKGALPQLRCLRIKQCYGLEKLPEELKHISSLDALELVDIREDFISRLDAEMVSRVPNLRIFDLPRERLWYLL >CDP21777 pep supercontig:AUK_PRJEB4211_v1:scaffold_6593:707:1537:1 gene:GSCOC_T00006531001 transcript:CDP21777 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISFVIERTGDLLIQKIVFLEGVRRQVERLQKDLVRMRCFLKDADQRQDKDARIRNWVSEIRAAAYDAEDIIEIFASKVEFFTKDKGLVTKLMYYPLKIVNLYKIGKEIESLRLRLKEIADSREEYGIKNLGEEMTTHGEELQRIRRSSPFSEDKDIVGFDEITKSLVAELLKQDKNRRVVSIVSMGGAGKTTLAKKVYNHAHVRERFNCCAWVCVSSSYDHKKMLRAIIKQLNEMSNEQLEKMEEEELERRLYQDLQDKCYLVVLDDVWKQ >CDP19749 pep supercontig:AUK_PRJEB4211_v1:scaffold_660:15152:24696:-1 gene:GSCOC_T00002211001 transcript:CDP19749 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRRQPLLLDQPTIYYTNEYLNLCSFRLLFCSVLFYLLRSLLFFLISSSSSLSQISRPPISISINHLRMAMQSASAAAPLPTAQVVGNAFVDQYYHILHRSPELVYKFYQDSSVLSRPDSNGMMTSVSTMQAINDRIQSFDYKNYSAEIKTADAQDSYQGGVIVLVTGCLTGTDNVRRKFTQTFFLAPQEKGYFVLNDVFRYIEEFEASEVNSALPNGAADLPSTVTLASDPEPHPASDHSAFDSTTALEAEDTQNGAEVYDPSDSETGSVVEEVVNEPQSKSTPIETVMVISSDLSATPEEKKSYASIVKVPKATPRSTPVYVPTSSARSVPANVNQQAHSPEQTSPEPHASAPAVESGPESSNVHEEGYSIYVRNLALNATPQHLEEEFKKFGAIKCDGIQVRSNKQGSCFGFVEFESLESMQNAIKASPVSIGGRQAVVEEKRTNTRVVSSGRVRYPSTRGGFRSDSFRGRGSFGGGRGYGRSEFRNQGEFSSRPKASGGRNAETYQRVEQSGSGRFNRQGANKGAISA >CDP19748 pep supercontig:AUK_PRJEB4211_v1:scaffold_660:13528:14994:1 gene:GSCOC_T00002210001 transcript:CDP19748 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKPVPAATRTVSSKKATPFFPLGKPGPRTTVSGTAPSVKLLTRVEQLRLLTKAEKAGLLSAAEKFGLSLSTIERLGLLSKAEELGVLSAATDPGTPSVLFTISFLLLLLGPSCVYLVPEDYPWEIALQIVVALLSVIGGSAAFAASNLVSNLQKSN >CDP19751 pep supercontig:AUK_PRJEB4211_v1:scaffold_661:5790:8334:-1 gene:GSCOC_T00012512001 transcript:CDP19751 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEFFKFSTPIPPNLSHPDAYSDLFRSCLKVQSIERGKISCLLTVKLPILNIYGGMHGGAVASVAELVSHACARTVVGKDKEIFLGELSTSYLSAAPNKANVIVDGSVVRSGRNLTVVAVEFKTEESGKLVYTSRATIYHLPTARL >CDP19754 pep supercontig:AUK_PRJEB4211_v1:scaffold_661:39199:42033:1 gene:GSCOC_T00012515001 transcript:CDP19754 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLASYSVLTCSVAEADASISSQRRVYGLAPVAKYFVQNKTYAAGGGGVSLGPLLALLQDKVFIDSWYQLEDAVREGGVPFDRVHGVHAFEYPARDPRFNEVFNKAMINPTTIAINRIVQRYKGFEHLKTLVDVGGGLGVTLGVITAKYPSLKGINFDLPHVIQHALVYPGVEHVGGDMFESVPQGDAIFLRSILHDWDDGRCLKLLKNCFKALPKDGKVIVVDAIVPVVPDTSACIKAICQSDLFMMAQNPGGKERSEAEFLDLATAAGFRGIRVECFVCNAWVMEFYK >CDP19750 pep supercontig:AUK_PRJEB4211_v1:scaffold_661:988:5729:1 gene:GSCOC_T00012511001 transcript:CDP19750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MAASLPQLCPLQTSKPLLSQPSFGLHPSSRYPLSRTKESRFKCSAGQTGFFTKLGRLLKEKAKSDVEKIFSGFSKTRDNLAVIDELLLYWNLSDTDRVLDELEEALLVSDFGPRITIKIVESLRDDIYGGKLKSGSDIKDALKRSILNFLTSKAPKTELQLGYRKPAVIMIVGVNGGGKTTSLGKLANRLKKEGTKILMAAGDTFRAAASDQLEIWAERTGCEIVVAEKEHAKAATVLSQAVKRGKEQGYDVVLCDTSGRLHTNYSLMEELVACKKALSKIIPGAPNEILLVLDGTTGLNMLQQAREFNEVVGVTGLILTKLDGSARGGCVVSVVDELGIPVKFVGVGETVEDLQPFDAEAFVNAIFP >CDP19752 pep supercontig:AUK_PRJEB4211_v1:scaffold_661:11378:15116:-1 gene:GSCOC_T00012513001 transcript:CDP19752 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDQGSEAEGANGEKDNVSSSDVAMDGNGTGESNDPKHHAACCRRVSGPARRSSRGRWTEEEDKILQDAVQRFNGKCWKKIAKCLPDRTDVQCLHRWQKVLNPELVKGPWTKEEDDLIVELVGKQGNKKWSEIAKQLPGRIGKQCRERWHNHLNPEINKNAWTREEELILIEAHSAYGNRWAEIAKCLSGRYCNVTALVLDWMHLYSYVSVWARFRSENSIKNHWNCSLKKKLEQNSSYSVFDHPEFADPTPCSSKTEVGYGGDLMVKQSSGAGLLAIQNMNSEAISDACSLDLNLGLPNGRDSYLQDSKRENCRHPSRQASNSIEPSSPACTEIIQNQDKGGHAILGDHYHIIPRLSKISSNGFVTEHVNAQSTCYKDGHLMNCPSQGSPCLHLHQHLKQAWGADGDNNTIVIGGNVFAVSSDMKYGCPLSRDCRDFSYMGHSKSSHSIVPKDVVSEHSTISRMYKNFVGGSYYGLCYEPLQEKDLKVFLSTGRFPSTDSYIRQPSTSTTLMKPYILEKRSYVVSSTKSILRRAAMTFKNTPSIIRKRKFPSSFQASNSKNMDKFLTTVEGLDSYNERLPGSHEFPPAGGDNQLPLLRELYASQRMRKLKISSGISSVGKCLKDAFDDVWDKRKS >CDP19753 pep supercontig:AUK_PRJEB4211_v1:scaffold_661:28856:31077:1 gene:GSCOC_T00012514001 transcript:CDP19753 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSKATNNVVVEAGLDEQEEQHFSYAMQLVTSVSLPMVLLAVIRLDVLEVIAEAGPGAQLSPWDIAAQVCPKNPDAAAMLDRMLRLLASFSVLTCSVAEADARISSQRRVYGLTPVAKYFVQNKTYGAGGGVSLGPLLALVQDKVFIDSWYQLEDAVREGGVPFDRVHGMHAFEYPARDPRFNEVFNKAMVNRTTIAINRMVERYKGFEHLKTLVDVGGGLGVTLSVITAKYPSLKGINFDLPHVIQHAPVYPGVEHVGGDMFDSVPQGDAIFLRLILHDWDDGRCLKLLMNCFKALPKDGKVIVVDAIVPVVPGTSACIKAICQSDLIMMAQNPGGKERSEAEFLDLATAAGFRGIRVEFFVCNAWVMEFYK >CDP19755 pep supercontig:AUK_PRJEB4211_v1:scaffold_661:43935:46614:1 gene:GSCOC_T00012516001 transcript:CDP19755 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSRATDNVVVEAGLDEQEEQHFSYAMQLVTSVSLPMVLLAAIRLDVLEVIAQAGPGAQLSPWDIAAQVGPKNPDAAAMLDRMLQLLASYSVLTCSVAEADASISSQRRVYGLAPVAKYFVQNKTYAAGGGGVSLGPLLALFQDKVFIDSWYQLEDAVREGGVPFDRAYGVRAFEYPGRDPRFNEVFNKAMINHATIAINRIVERYKGFEHLKTLVDVGGGLGVTLSVITTKYPSLKGINFDLPHVIQHAPVYPGVEHVGGDMFESVPQGDAIFMKWILHFWDDGRCLKLLKNCFKALPDHGKVIVVDPILPVVPDTSAGIKATCQSDLITMTQNPGGKERSEAEFLDLATAAGFRGIMVVCFVCNVWVMEFYK >CDP19756 pep supercontig:AUK_PRJEB4211_v1:scaffold_661:48018:50363:1 gene:GSCOC_T00012517001 transcript:CDP19756 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGTLEVLLVSAKGLENTDLLSNMDPYAIITCRTQEKKSSVASGQGSEPEWNETFLFTISEGVTELKIKLMDSDNLTNDDFVGESTIPLGAVLAEGSVPIASYNVVKDDKYCGEIRIGLNFKREAGSDEGYYGQEESFGGWSQSSRDY >CDP21779 pep supercontig:AUK_PRJEB4211_v1:scaffold_6613:93:1252:-1 gene:GSCOC_T00007711001 transcript:CDP21779 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLLFLSFLLFNSFLSFAAEEPNPVLDTNGEEIRPGVEYYMGTIFRPGGGVTYGKGPGNEICPLAVVQAWLQRGDPVTFTPVNPEEGVVRVSTDLNIKFAEPPIINFCRGSNVWKVHFNEALKQHFVLTDGVEGNSGCETTANWFKIEAVSDRDYKFVFCPTVCDSSSEAICKDVGIYMDDGTRRLALGGQPYAVVFIKKNVDILKSVTST >CDP21781 pep supercontig:AUK_PRJEB4211_v1:scaffold_6615:1024:3729:1 gene:GSCOC_T00006035001 transcript:CDP21781 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVVTRSGRELVKGGLDLDDSATVADLQEAIHKRSKSVENASHIALLSWVSLFSRFII >CDP21780 pep supercontig:AUK_PRJEB4211_v1:scaffold_6615:519:892:1 gene:GSCOC_T00006034001 transcript:CDP21780 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKFGGGKPPTGTPSLAWSCAVVIVSLLAGASVVHNIYKPDLTLPPIEKSVDGAQREPVEKH >CDP21782 pep supercontig:AUK_PRJEB4211_v1:scaffold_6616:1887:3905:1 gene:GSCOC_T00005377001 transcript:CDP21782 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVGFLINQLSTLLSQEHKLLGGLKSDVQFIKAELGSMKAFLREAEAKEDNDSQLQEWLKQVREVAYDTEDVLDDFTFRFARFSSSRQANADFDIRAQSLFIEEAQLVGIDKPKAELISKILDDHSQLKVVSVVGMGGLGKTTLVKKVYDDAAVKKQFQSHAWITVSQNFQFSDIIKNLIQQLYNEIRQSPLSDKESWTLFCNRIFQSNDCPTNLEEIAKKVLKKCEGLPLAIVAIGGVLAQKDKENTDEWEMILHGFGGEADGNRIKRVLLLSYNDLPHYLKSCLLYLSIYPEDYPIDVEDILLKWIALGFFLHGDPKLLKVLDLDGAELDSIPKQVFKLFHLRYLNLSGTGVKIIPKSIGKLQNLEVIDLIGTNVTELPAEILNLRKLRSICLGGVGDYSNEYAVWGCKSPDGIGKL >CDP19759 pep supercontig:AUK_PRJEB4211_v1:scaffold_662:35473:39105:-1 gene:GSCOC_T00011261001 transcript:CDP19759 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLNPEINGATGTESNPLSTAQTSNNLLLFGDKSVYVDPDPGHSIQDIFEQIFGVPWSQDVASKKLEPSMQGFAQNAERNEKGMSETVMNGFRPEAVPVYKELVSEFAVCDRWFAAVPASTQPNRLFVHSATSHGLTSNDTKILVEGLPQKTIFESLDEAGHSFGIYYQYPPSTLFYRNLRKLKYIKNFHPFDLTFKRHCKEGKLPNYVVVEQRYFDLKILPGNDDHPSHDVFEGQKFVKEVYEALRSSPQWNEMLFIIIYDEHGGFFDHVPTPVTGVPSPDDIVGPEPYKFQFDRLGVRVPSIMVSPWIEKGTVLHGPSGPYPTSEFEHSSIPATVKKIFNLKEFLTKRDAWAGTFESVISRETPRTDCPVTLPEPVRLRETEAKEDAKLTDFQKELVQMSAVLGGDHIKDTYPHKLVEDMTVATAADYVHNSFKKFLDECERLRENGADESTICSLPADPPEKQAKSPSFVSKFFSCIACNNS >CDP19760 pep supercontig:AUK_PRJEB4211_v1:scaffold_662:55225:58648:-1 gene:GSCOC_T00011262001 transcript:CDP19760 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPAMEYPIKTILVLVQENRSFDHMLGWMKSLNPEIDGVTGTESNPLSTSDPDSNRIYFGDQSGNVEPDPGHSFEAIYEQVFGVPWTGGQSSTSSASQNLPPTMEGFAQNAERIQKGMAEIVMNGFRPESLTVYKELISEFAVCDRWFSSIPTLTQPNRLFIHSATSYGAIANDTKMLIQGYPQKTIFESLEECGCTFGIYHQYPPSTLFFRNLRKLKYTKNFHQFDIDFKRHCKEGKLPNYAVVEQRYFETKLVPGNDDHPPHDVSEGQKFVKEVYEAIRSSPQWNEMLFIIIYDEHGGFYDHVPTPVTGIPSPDDKVAPAPHNFRFDRLGIRVPAILVSPWIERGTVLHGPSGPYPTSEFEHSSIPATVKKIFNLKEFLTKRDAWAGTLECVLNRGSPRSDCPVMLPEPVKLRDREANEESKLSEFQEELVQLAAVLSGDLAKDIYPQKILENMKVIEAVNYVENAFQKFRVECDNAFKTGADESNIVCAPSPPPEKHRSKSLAHKILSCIACHR >CDP19757 pep supercontig:AUK_PRJEB4211_v1:scaffold_662:31681:32122:-1 gene:GSCOC_T00011259001 transcript:CDP19757 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFWRRGGETPSAVKWEDRIIEIREGSWSYVSSSIGKAPEKVVGTATPQEPPEEWQASWNISTGNELLIRWASPTSSSGLNFVIRNKEPTDRMVQPISGITDCQNH >CDP19758 pep supercontig:AUK_PRJEB4211_v1:scaffold_662:32882:34999:1 gene:GSCOC_T00011260001 transcript:CDP19758 gene_biotype:protein_coding transcript_biotype:protein_coding MNHMVGDGISLWHFLTSWSEIFKAEGKTSVISRLPVHDRLFPRGRHNPFLRLPLIYDHDDQVIINRDEADILPADMIREKIFHFSSETVRKLKAKVNAERNATEISSLQTVSAHLWRCITRARNFPSDRKTSFVMVANARSKSVPPVPEDYFGNYLRAAIATAGCGELLEHGLGWAAWLLHQEVINQIGYIISQEQMESWLQSAILSGSDAPDPSRLLLAGSPRFNVYGIDFGLGIPLAVRTGSADKFDGKMVVSPGVEGGGSMDFEICLLPHIMTSLESDKEFMETVS >CDP19764 pep supercontig:AUK_PRJEB4211_v1:scaffold_663:53245:57064:-1 gene:GSCOC_T00008605001 transcript:CDP19764 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQQFSCMNVTSQATSVSLLQIKDVSLWKKPFPFFLSFICQGKKKKLERNMLSRVNSMVWMDDKDQETASWDHHPSTMNNNSNNEQNGVLENREDMEMGSIPTFKSMLGVEDEDWYLTTTNHAETNNPNNNNAGTMQSSHLDMRDITFSANFAEADHQNLILQAVDSSASCSPSPASVFNNLDPSQVHHYFLQPPPRSNLLSGLNTLSTTQLDNGSFDLGCESGFLESHQGLGGFSTRGGVGVLGVGFNDLSSQTQLGSPNLNSGSQFSTANLLQMPQNNGGFGPLGFGECSGNVNSLFLNRSKLLQPLDNFTSTGAQPTLFQKRAALRKNLACSSSNLGVLGGEIGQSSGNSESYDKKKEVGEASGLKRKGSDFDDLEDVSIDGSNLNYDSDDLLENHSKVVGEGVKNGGNSSNANSTVTGGEDQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELESTPAASALTPTTPFYPLTPTASALPCRIKEELCPSAFASPLSSPTGQPARIEVRLREGRAVNIHMFCGRRPGLLLSTMRALDSLGLDIQQAVISCFNGFALDIFRAEQCNEGQDIPPEHIKAVLLDSAGFQGIV >CDP19762 pep supercontig:AUK_PRJEB4211_v1:scaffold_663:15965:20965:-1 gene:GSCOC_T00008603001 transcript:CDP19762 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSASRKAYAFRYQKHMVLKKAIKFNVLRTSVYFFHTSRGNESTAEEISKILMHNNWQFLLESSTVPQEKLNPDVVQSVLQRNQLDIHPKRLLDFFNWSNQQMGTPQNLDSFSILNLILCNSNLFSLANGLLSKMIETRIPVLDILSSLLECCKECDRLKSRSVVFELLIDAYRTKGFLNEAFSVFLGAKDGKFMPNLLCCNSLLKDLMKSNRMELFWKVYEGVVESGVPLDVYTYTTVIHAYCKLGNVGEAKRILRVMEENGCTPNLVTYNVVIRGLCGKGAVDEALQLKRSMDDKGLIPDSYTCSILIDGFCKQKRSVEANSILEEMNDMGLRPDQIACTALIDGFMKEGNIEGAFRIKDAMVAKGIKLNIVAYNTIINGLCKVGQMEKAVEFMNEMTTMGIAPETQTFNYLIEAYIQKGSLDKASEVLAEMKERNMELSVYTFGVIINGLCRSGDYCRAKLVLDKMVSCGVKPNAIIYSNMIKSYVQNGKFEDATNVLKEMLQKGVLPDLYCYNSVIIGLCKARRMEEAKTCLIEMGKCGLKPNAYTYGAFVSGYSEAGQMEVAERYFREMLDRGIAPNLVVYTCMINGFCKEEIKQAFSTFNSMLGQGLLPDLQLYSVLINGLSKNGKLTEAMQVLSDLYDAGLRPDVYTYTSLISGFCKQGNMEKAFLLHDEMFQKGIFPNIVTYNALIGGLCKSGDIEKSRELFDGISGKGLTPNCVTYATIIDGYCKSGNLCEAFNLFNEMAARGLQPDSFVYNALANGCCKEGETEKALLLFHEMIQKGIASIVTFNTLIDGLCKWGKLTKAIDLVNEMTDKKITPNHITFTILIDYHCKAGMIKEAEELFIDMQNRNLKPTNFTYMSLLHGYNKVGNRSKMFSAFENLVERGIEPDELIYSMMIGAYFKEGHLEKGFKLWDLALDKGLLDGLTNETLVETLSGNGEISRVMELLDRIGNQGYNPCLAMCSTLIHGLNKAGYSRRLDKILEIMKGYGWIPKCTALNEFIDLYQISANSESVSNLSKQAALEVACQV >CDP19761 pep supercontig:AUK_PRJEB4211_v1:scaffold_663:4911:15814:1 gene:GSCOC_T00008602001 transcript:CDP19761 gene_biotype:protein_coding transcript_biotype:protein_coding MQFARLDDSPMFRQQIQCLEESAENLRERSVKFFKGCRKYTEGLGEAYDRDIAFASALETFGGGHNDPISVAFGGPDMAKFAIALREIGMYKEVLRSQVEHILNDRLLHFANVDLQDVKEARKRFDKANVTYDQVREKYLSLRKSTKNDVAAALEEELHNSRSVFEQSRFNLVGALSTVEAKKRFEFLDAVGSAMDAHLRYFKQGYELLHQMEPYINQVLAYAQHARESSNYEQAALNERMQEYKRQVDQESRRSFNGSIGSPSHDIVQQFPRGSHKVIEAVMQSALEGKVQTIKQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQWSRSSFPGSGSHFHVHRSSPSEPSSGLLSRWLSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPAKNYTLQAESATEQMDWIDKITGVIASLLSSQEPEKHFAASPSSESSSIGSPDYDHGTIEEHSSDKEFASRNLIRSSKSALQLHHSMKIDKPVDTLKRLPGNDVCADCGALEPDWASLNLGVLICIECSGVHRNLGVHISKASVRSLALDVKVWEPSVIALFQALGNVFVNSIWEGLLNARKTFQADEIPRRFFESDKHKQFFSKPSHDDHISVKEKFIHAKYAEKRFVQKVNDSKHLLSVAEQLWESVRMNDKKSAYRLIVICEVDVNAILRQASLTTPLSLAKPMRLQDHANAHQNFDNMDGGSILSANAESISHSQFINYLLDGCSLLHLACQIADVSMVELLLQHGANINSCDSRGQAPLHHAIIRGRIAIVKLLLTRGADPQAPDKEGKTPLQLVKESDLDDVEVIAVLKNASR >CDP19763 pep supercontig:AUK_PRJEB4211_v1:scaffold_663:44668:50194:1 gene:GSCOC_T00008604001 transcript:CDP19763 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEVSIKGYTEPSDGPRGLPEVAKSVSGAGKVDAETALYTELWRACAGPLVTVPREKELVYYFPQGHIEQVEASTNQVADQAMPVYNLPWKILCRVINVQLKAEPDTDEVFAQVTLMPEPDQDENAVKKEPVPPPSPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPQLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNAPSSVISSHSMHLGVLATAWHAIQTNTFFTVYYKPRTSPSEFIVPFDQYMESVKNSYSIGMRFKMRFEGEEAPEQRFTGTIVGTEEADPKRWPESKWRCLKVRWDETSTIPRPDRVSPWKIEPALTPPALNPLPVPRPKRPRPNILPSSPDSSVLTREGSSKITIDPSPASGLSRVLQGQEPSTLRGTLGESNESDSLEKPVIWPPSLDDDKLDVCASSRRYASDKWLPLGRPESSFTDLLAGFGSQINSPNEFSTTSADQSVVSANSKKRQLQEHEGKFNYLGSPWSLMSSGLSLHLMEPSVKTRGQGTDISYQTRGDARYGSFNEYPLLPSNRLDNQQANWLMPPPMTSYIQMPPNSREMVHKPVLVPPHEVVKPKEGNCKLFGIPLISNSMPLEPASSHKNRTIESVGQLLPGMHTHRSSVSESDQRSEQPKGAKVADNVVASNEQDKQCQTFNQVTRDREGKVSGGSTRSCTKVHKQGIALGRSVDLTKFNNYDELIAELDRLFEFNGELKYRTKHWLVVYTDDEGDMMLVGDDPWQEFCGMVRKIFIYTREEVQRMNPGTLNSRGEEQSSVAEGLDAKEVKNLLHPSASSPDDC >CDP21783 pep supercontig:AUK_PRJEB4211_v1:scaffold_6633:538:3179:1 gene:GSCOC_T00004282001 transcript:CDP21783 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMKVESNQDVAPVPPPSHTLPEEEAERKARVDAVWQQMNKGLSGKALKSTFKYQSSSINKISPKPSSQNWMKVLGLAPKKTSSAAEGAPGKRPIVAQNGSSDDAKKLAAAALSAVKDAAAAAAAAAALSRGKVEVTEFRDFAGEEIEFKKLVDTSSKEAFDKGKASTGPASAVDAVLEQIKKKQKLSVLDKTKKDWGEFKEENKGLEEELETYKKSSNQYLDKVSFLQRTDYREFERERDARLAMQAKRKADMREDF >CDP21784 pep supercontig:AUK_PRJEB4211_v1:scaffold_6641:340:2976:1 gene:GSCOC_T00007986001 transcript:CDP21784 gene_biotype:protein_coding transcript_biotype:protein_coding MADPALSFVIERTGDLLIQKIVFLEGVRRQVERLQKDLVRMRCFLKDADQRQDKDARIRNWVSEIRAAAYDAEDIIEIFASKVEFLTKDKGLVTKLTYYPLKIVNLYKIGKEIESLRMRLKEIADCREEYGIKNLGEEMTTHGEDLQRLRRSFPFSEDKDIVGFEEITKSLVAELLKEDRNRRVVSIVSMGGAGKTTLAKKVYNHAHVRERFNCCAWVCVSSSYDHKKTLRAIIKQLNEMSNEQLEKMEEEDLEGRLHQDLQDKCYLVVLDDVWKQEAWDCLARAFPDVGTSSRLLLTSRDRDVAQHADAYSHPYELKTLGVEDSWQLFLRKALGHGDNAGCPPDLEEVGREITRRCDGLPLAITVIGGLLLAKKKLKSEWEKILNNFSTYLSRSQSGVSAILELSYADLPANLKFCFLYLGLFPEDSVISVRKLIHMWVAEGIMQKRDTENLEETAAYDNVEELSSRNMVQVAEMTVDERIKSCRVHDLLRQVAIRKAKDENFFQIHDTRDDEISAKSRYLAVHSLPWDKKYVGSSTPPLRSLLFFNVHDYRKNISLNFKSFKKLRILDLENVKMSYNLPEGIGEVRLLRYLGLRRTSIGRLPHSFCCLRNLQTLDIRNSYPVRVSNFIWKLESLRHLYACRMECDVPLKIDGLRNLQTLLGVNFDDIMHNNMITLTSLQKLGIWVGERSEIDKLCLHLSEVENLKTLHLYRNITTVWPSLAGLSKLHHVTELKLSGMFLRKLPPDFPPNLSRLSLKNTFLRNDPMPVLEKLGQLSFLKMKDAYRGPQHMVISRHGFHQLKFLELRYLRVDEIKVEEGALPQLQCLRIRECYSLEKLPEELKHISSLDALELVDMPEDFISGLDADMVSSVPNLRIF >CDP21785 pep supercontig:AUK_PRJEB4211_v1:scaffold_6643:2117:3182:1 gene:GSCOC_T00011337001 transcript:CDP21785 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHGRLKLSSISRRLSTPLPADASDEMVRQYARMNILILLGGLLFADSCQKVVSLSWLDYVRDLDAMSQYSWGSATLACLYRRLCHASRVSAITSGGPYLLVQLWAWERIPVIRPDVLPYSGIGDFPRGGRWAAERTGVDPYSRSTTSYREQLALLRLDQQFIWMPYSDGVLASLPDYCRGGERIWRARVPLIFWHIVEIHCPDRVMRQFGMRQEIPEEVDTNRGLHQLEISGYPGRNWAHFHRDWIGYWNARREAQVPGVPTTTFRPSNNYLEWYHNHTVLYITPPMQQQAQVRQMLHGVSGQFEYLVNYYYLPNLIYLLLDNG >CDP21786 pep supercontig:AUK_PRJEB4211_v1:scaffold_6645:540:3885:-1 gene:GSCOC_T00009994001 transcript:CDP21786 gene_biotype:protein_coding transcript_biotype:protein_coding CWSIIKEKVMSAGEEVPEELEPLKEQILGRCDGLPLAASLIGGLLLNSGKEEWQSIVEEGLIVEESLLNEYQSQINQILKVSFDHLSPPSVKKCFAYCSIFPQDTELGEDELIQHWIAEGFVLKNNRVMEETGGEYLRILLQNSLLEKVQGSWRTYYKMHDLVHDFAKSILNPESSSQDRYLALNSSEGLAENTTRTIPASIRTLFLHLEGFPKRMSDLISLRHLNYYHYHAELKMPVQMGRLTCLQTLMFFNVSQERGCGIEELGTLKYLKGSLEIRNLGLVKGKEAAKQAKLFEKPDLSNLAFEWESGDQEGDNREEDVLEGLQPHPKLQGLIIDSFMGNKFPQWLINLSKLVELRIVACKRCSELPSLGQLPSLKRLSLIRLDNIRFIGDEFYGITTKEEEEEEGRSRASGSSSRRRKFFPALEELSDVFPVLRYLSIESCPQLTTLPCSCKSLYVEKCHNLTSIKTGYGTASVEELSIRICDNLRDLDLFGSSLQRLTIEDCPRLISLGVNGQKCPLLLCLEKLRIEDCEGLTTISDKMFESCRSLRSLEVMRCPNLVSFSLNLQETPSLEKFVLENCPKLIPHSFKGFAFATSLRELSINSPFSSDDSSIDDFDWSGLRSISTLRVLELQGLPHTESLPQQLQYFTTFTSLILSNFGGIEVLPDWIGNLVSLETLQLWDCENLRSLPSEAAMRRLTKLTSVEVDECPLLRQRYTPQRGIYLEEEISSDPSEWTTLKLIKKQL >CDP21787 pep supercontig:AUK_PRJEB4211_v1:scaffold_6650:661:2111:1 gene:GSCOC_T00004245001 transcript:CDP21787 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARNLGDHTGELFQAQAHIWNHIFNFINSMSVKCATQLGIPDVIHKHGQPMTLDQLIDALPIKNAKAPFVYRLMQILIHSGFFIEAKIPGNENDNQKGYLLTSASELLLKSNPFSMTPFLLAMLDPTLTDPWQNLSQWFQNSDETPFYTCHGRSIYAFASHEPWLNQFFNEAMASDTRLVSSVVTKDCKHVFESLNSLVDVGGGTGTFAKAIADAFPRLKCTVLDLPHVVDGLESSKNLAYVGGNMFEAIPPADAVLMKWILIDWSDDECVQILKKCKEAFPSKEKGGKVIIVDMFCKSLQKGDDDHETIETQLFFDMEVMVLLKGRQRNEKDWAKLFTEAGFSDYKITAVLGLRSIIEVYYY >CDP21788 pep supercontig:AUK_PRJEB4211_v1:scaffold_6656:208:1915:1 gene:GSCOC_T00010595001 transcript:CDP21788 gene_biotype:protein_coding transcript_biotype:protein_coding ACVVVDDRLYVIGGQEGDFMAKPGSPIFKCSRRNEVVYGDVYMLDDDMNWKVLPPMPKPDSHIEFAWKIVNNSIIIVGGTTEKHPETKKMTLVGEVFQFQLDTLKWSVVGKLPYRVKTTLVGFWNGWLYFTSGQRDRGPDDPAPRKVIGEMWRTKLHL >CDP21789 pep supercontig:AUK_PRJEB4211_v1:scaffold_6656:2473:3390:-1 gene:GSCOC_T00010596001 transcript:CDP21789 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDLRRILKWQKISSDIPSGRFGHSCVVVGDSLVLFGGINDNAVRKNDTWIGRIVLHETSGMTLSWRLLDVGSVAPPPRGAHAGCCIDKRKMLIYGGIGMSGVRLGDTWVLDLSENLCFGTWVEVVTHPSPPSRSGHTLTHIGGTRTILFGGRGLGYDVLNDLWLFEAYEGFWRWLQLPIDLQSIPHGLSLPRVGHSATLILGGRLLIYGGEDSCRHRKDDFWLLDVNSYRQPIITLPLTKMWKRLRAVGDNPRCRSFHQTCADNSGRYLYVFGGMVDGVLQPAELSGLRFDSSLFLVELLLLS >CDP21790 pep supercontig:AUK_PRJEB4211_v1:scaffold_6657:1:966:-1 gene:GSCOC_T00012545001 transcript:CDP21790 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLIASHIVKPAKPIPTKVMFLSECDQRKPITHATIVHFYKPESPELLKDATGVLKDSLSEALVEFYPLAGRLYRKDGGRVELQCNSMGALLVEAQSELKIEDFGDFCPTPQIRALIPPIDYSNTPLHEVPLLLVQITKLACGGVSIGVAASHIIVDGQSWFHFFSEWAKIARGEKSDDKPFLDRTIFQQYEDDHPSSTAPKSQYSDFFPLPVLIGQSSSLEERKKSTVCAMLKLSKDQIEQIKNKANYQDLMIHKTNNESPFSRFVAVSAHIWKCLSKARMHNPDQETVLYVSVDFRNRLKPPLPGRYFGNAVLPVPARA >CDP19765 pep supercontig:AUK_PRJEB4211_v1:scaffold_667:36778:38228:1 gene:GSCOC_T00006790001 transcript:CDP19765 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVELEYSDTRARLGSITSLISRVNSIDIELNPNVVNNVLKIKIEDGFKGKIANFFSYEEFPSAYHHFHVAKLMSYFQTHFNTPAEARLEDLKPQNLIIFSIISNLLVPTDGHRTDANKMELYLFYCFLEKIRIDFGFVMCKFLLKISTDSYKKLSYGKFLTPIFAHFKIPFTGKSPNESASTIFSKTYFERKNLRFFKGHCDDPTSPKAYRRV >CDP21791 pep supercontig:AUK_PRJEB4211_v1:scaffold_6681:59:1794:1 gene:GSCOC_T00002147001 transcript:CDP21791 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMLLGILIGNLGVTLDDSKLESLIGTKLVNMNFYPTCPNPELTIGVGRHSDMGTLTVLLQDGIGGLYVKLEEDALVGRKEEWIEIPPIPGALVINVGDSLQILSNGRYTSAEHRVSTTSKQSRVSIPVFTAPRPTEKIGSLPHLAELDGGALYRDVIFQEYMNNFFGQSHEGKKSLDFAKINAN >CDP21792 pep supercontig:AUK_PRJEB4211_v1:scaffold_6682:2061:2960:-1 gene:GSCOC_T00005777001 transcript:CDP21792 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQKIETGHQDTVHDVAMDYYGKRLATASSDNTIKIIGVSNSNSQPLATLSGHQGPVWQVAWAHPKFGSLVASCSYDGKVIIWREGNQNEWSQAHLFGDHKASVNSIAWAPHELGLCLACGSSDGNISIFTARSDGSWDTSQIDQAHPVGVTSVSWAPSTGPGALVGSGVLDPVQKLASGGCDNAVKVWKLYNGIWKMDCFPALQMHTDWVRDVAWAPNLGLPKSTIASASQDGRVITWTVMREGDQWEGKVLKDFKTPVWRVSWSLTGNILAVADGSNNVTLWKEEVDGEWQQVTTV >CDP19766 pep supercontig:AUK_PRJEB4211_v1:scaffold_669:4353:5572:-1 gene:GSCOC_T00009516001 transcript:CDP19766 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTLSDELEVKAPASEAWKVYGTLLLADVVRQQLPDVLDKIDVLEGDGGPGTKLKLTFPPDNQLMSYSKEQFVVVDDQKMMKVAEVFEGGYLNLGFTLYRVTFQVLPNLNDESSCTTKCILDYELKEDAAENASLINIQPFTAIMKAAANYLETGNATPTTTTNK >CDP21793 pep supercontig:AUK_PRJEB4211_v1:scaffold_6691:1083:3471:-1 gene:GSCOC_T00002151001 transcript:CDP21793 gene_biotype:protein_coding transcript_biotype:protein_coding MNINEWESMIPIGFFTGTGKMILKLQILIIFFFNFGLHRVRCSTSSFWSCCWIWMAITRGIHKFGLLLFGWSTTWSIDGLVLKQGVMGIWVGIIFGGTAIQTVTLAIITIRCNWEKKAIKASLHVQKWADM >CDP12549 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1548940:1550307:1 gene:GSCOC_T00036177001 transcript:CDP12549 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDEGGSPATDPLAGHPFEAEETLLRSSTAWPLAGKSRFSLSPDSSNTLKNPPQDCSHISAAGIVVAHNEDLLTQILLCLPPKSLIRFQCVSRGWLSIISNPSFRRLYCGSSNTRALILFRKIWRKCPELNFISFSDEYASSMETAISHLSSNFFTEGEITDLHSCNGLVAVVLKLSNGSREFAVYNPTTSQHRLIPQLNLLENRHPFVALNIAFDPLKSDHYKLVCVWLGPPTMRIMNVNYGFSIYESETGTWRDSGDIFEIDFAHSPTHFRNGVLWNGCLNWITHWKDIVCFDLDKEDVNFTLPSPPVSMKHSEIWYFGESVGCMYFIDINNPGEMLFDVFELASGCSEWVLKYHLNLAPLTILYPSMVDEEFNHSDDWRCRFSFTYFVEDENEKKARLVISLLGKVILYDIDDMVVEVLAEVGPTDTDDDCRDNLNEWRDAYPIMKTLACV >CDP12538 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1240925:1243603:-1 gene:GSCOC_T00036155001 transcript:CDP12538 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWRLIIVAISFSSLLSPAIFADNVSIAPAAAPPDDFCAEKRCSPYGPAVRFPFLLLGKQPEECGYNNPGYNLYCDNGNHTLLEFPPSSMKFVVKNIDYKTQMIQVQFAEGCQLKYLRNLDLSSTPFQFSAPDYMIYRYTLFNCSLANGDSWSSDEGYDFRCLDTPGYKVRATPSDTEIRFLSVELCTKMYDTNLVSGELFGMRDSLNLAWSLSACKKCEVQEGGICRSKNGTNNKFDCFGGKKPDSGVSKKLLISGPIAGCFFLILATSALYVYKTKKIDRENQKRIRTFLEDYAAMKPTRYSYADIKRITNDFKDKLGEGGYGNVFKGKISNEIFVAVKLLHNSTGNGEEFINEVGTMGTIHHVNVVRLVGFCADGFKRALVYEFLPNGSLDKFIFPEGQEHHNLGMEKLQNIAFGIARGIEYLHQGCEQRILHFDIKPHNILLDNNFNPKISDFGLAKLCEKGRSAVSMTAARGTMGYIAPEVFSRHFGNVSYKSDIYSFGMLLLEMVGRRKNIDANVQNVSQVYYPEWVYGRLVQGEDLRIQVEEDGEEIIAKKLAIVGLWCIQWNPVDRPSITFVLQMLEGNGESPSLPPSPFTSTDPMNPSNPSLHGRHLASGLAVISELE >CDP12481 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:97884:98942:1 gene:GSCOC_T00036075001 transcript:CDP12481 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKPNTNDENSYALVESKEYTEEPATKVKFRTSLSILGCSTSMSLTGTGYRERVFAIIAPLEKSLQIVLVRDVDGKTFWDALDEAISPRIKSPTPVDTSALSTFSTLFQGRPLNKGTIIFLTWPDSTKMLVSVSSDGLPSGVDATTESTNVISALFDVFLGSDSVSPTLKASVTSGLTALLNG >CDP12536 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1221338:1222324:1 gene:GSCOC_T00036153001 transcript:CDP12536 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNFEEKLGEGGYGLVYKGKLRSGGAVAVKMLNKSKANGQEFINEVATIGRIHHVNVVRLVGFCATASKHALVYDYMPNGSLDKLIFSNCQNGSPLIWKQVCEIAKGVARGIEYLHQGCDMQILHFDIKPHNILLDENFVPKVSDFGLAKLYPMQKSIATLTAARGTLGYMAPELFYKKIGRVSHKTDVYSYGMLLMEMAGRRRNVDAHAEHSSQIYFPSWIYDKFDQVEEMEIRDHATEEEKTITRKLILIALWCIQMTPENRPSMREVLEMLEGDASGLKLPPKPSFYPPDSPISMQRSSDSSSSDESTVPLCSSVALEIEQMDH >CDP12547 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1443773:1447517:1 gene:GSCOC_T00036172001 transcript:CDP12547 gene_biotype:protein_coding transcript_biotype:protein_coding MANTCLDSTMEQLTRLGNERGLTDPMKDQIQKFQVELNFLKMFLWCLPKSEEAGKNCRLQFALNSICSAVEVANKGLYSAGLGAIRKKKGRNWHLLTSNLLENVEQFKSDIRKNCNFMLHFSVEFIKASLTGEVFNFMDCIITNLKDLHHSEDEQIAPLSKHISALEEKLRFFRKFFDFTAQRCYEHQKFEYLLVHIRSWANKVACLSFLCWINKNDENMQCRMNTMISDLHEESWPCASGVTGMCLGVLKALKLSAYDTSLMGEIVADFVNILLEDTSAVFRDRIEIIREGLIIIIAFLMDSPQDCEDEILTQAHAVVIEAASLLSSVCLEEMNDNVIKKKNFLLSEILGKMKTLREVVRKFYIYIPDASEFYSLRTHGTGYIAFILENLAKMQKKNANFIPFVKQKVVIVQEELQSLRTCLTDKMDGRNEQEQLKDLWRRIINVAYHAEHVTDLCSIRNTRFWYTVICLSTVIEEIKTTRNEVENLGSKHMKNPGILCANLNSMHLFPAQASNSRIDEPVVGFDDEAETIIDRLTRGSEQLQIVSIIGMPGQGKTTLAKKVYNHPSIRYHFIQCVWCCVSQEYRYRSALLEMLSNVTELSSQDTFETSDDELANRLRKCLIGRSYLIVMDDIWDIRAWNELKGSFPDNNNGSRILFTSRIHKLSWQDECKCYLHTLRPFHEKEGWELLKQKTFHKDECPQDLVEVGMEIARKCKGLPLSIVLVAGILAKSKNSLYWWKRIARSLSSSHPIDGSMDILELSYRHIPDHLKPCFLYFGAFAEGQNIRARKMTLLWISEGFVRTTDQRRLEDVAMEYLMDLVNHSLVIVSERSSDGGVNRCQVHNLLREFCMTKAKEENFLQLIHHYDLGNYPSNGCDVDMHRLSFHSSLFHVTDSHPVCSPVHSIVFAHGMLFIGTSFSRTFRLLKVLDMEKLHLNDSDLDALMLIVHLRYLAISGTITEIPSSIANLWNLETLIVRAPLLVFKIDLPDTIWQMKSLRHVEIRPCANISLGDYKSEEFYQLDNVHTFSSVFLCDGRDAQILLRRLPRLRKLICTLPESGKHRGGSCKVVDLSIRSELEALTMCYHWVSTPKLPAIEFPRALKKLTLFNCLLPRTGISAIGQLPNLVVLKFRLIDFAKHTFYMKEGEFSSLKFLRIYNSEFERWAVPAEPFPSLENLVLIDCTKLQEIPSSFAEISTLRMIKVRGCSPNVEKSAQTIFEEQKDMGNGDLQLICW >CDP12508 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:532986:533381:1 gene:GSCOC_T00036111001 transcript:CDP12508 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLGKTVSACWRPLSQYVRMNRDDNSNRNISNEDASITMLGEEDDPSIWYKDLEKHFCGEFSFAAAQANRVMEDHGQVETGKNATFVGVYDGHGSHEAARFIGDNLFYHLISEWQYLFQLFPFVFEDIL >CDP12510 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:546230:550053:1 gene:GSCOC_T00036114001 transcript:CDP12510 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLHKVAVIGAGVSGLVTARELQREGQQIVVYEKSNQIGGVWVYDPEVETDRLGLDPNRRIIHSSMYDSLRTNLTKQLMEFTDYSFTIEKNGKYLYFPDRKEVLKFLNDFGRDFGLDHLIRFNTEVVSVEQKNGKWVLESKTSDVDQINQKELFDAVVICNGHHTQPKLADTPGIKKWPGKQIHSHNYRVSDPYKDQVVVVIGNGPSGYGIAFDIAKVAKEVHVSSRFPQVEVRKLETYDNIWQHSKIEYCHENGAVAFEDGALVAADIIIHCTGYKYDFPFLKTNGIVTVDDNRVGPLYKHVFPPRLAPALSFVGIPKQTLNFRVAELQAKWVAQVLSGKVTLPSRQKMVADVEEHYRLMEETGVPKHHTHTFLSCNDTVIFSSHPTFE >CDP12537 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1235553:1239042:1 gene:GSCOC_T00036154001 transcript:CDP12537 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSNYYSYVVVGKNVVASDIEESCTVYKILPVDLRCLPKETAGDISFEDIHNLLSNGLEISWLPLFEHRRRSLFCYVALSSVETYRFVYRHVFGPNRYGNEYCFDIGAIVSVVLIAAKHIIGIILFFAFLLYRCRRRHLSRYDTIEDFLQANNSLMPIRYSYKEIKTMTKNFEEKLGEGGYGLVYKGKLRSGGAVAVKMLNKSKANGQEFINEVATIGRIHHVNVVRLVGFCVTASKHALVYDYMPNGSLDKLIFSNCQNGSPLSWKQVCEIAKGVARGIEYLHQGCDMQILHFDIKPHNVLLDENFVPKVSDFGLAKLYPMQKSIATLTAARGTLGYMAPELFYKKIGRVSDKADVYSYGMLLMEMAGRRRNGNGHVEHSSQIYFPSWIYDKFDRGEELEIGDHATEEEKSISRRLILIALWCTQMTPEDRPSMREVLEMLEGDLSGLKLPHRPLFYPPDSPISMQGSSNISSSDESTVRLCSSIALEIEQMDD >CDP12527 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:921081:924480:1 gene:GSCOC_T00036137001 transcript:CDP12527 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGPDTGSLVFVSCKNPVKSKYPPLYVDTASCNISANHFSKMKAPSNNYSYVVVGKNVVASDIEESCTVYKTAPVDLRCLPNVTAGDVSFQDIHNLLSNGYEISWYEGSESSWSTKKSFFCPVVEAILDRVHTFGTGVGFAVKFFVLLITAKSVLGIAILSAFLLYRWHRRHLSRYDTIEDFLQTNSRLMPIRYSYREIRTMTKNFKEKLGEGGYGMVYKGKLRSGDAVAVKMLNKSKANGQEFINEVATIGRIHHVNVVRLVGFCVTASKHALVYDYMPNGSLDKLIFSDCQNSSPLNWKQICEIAKGVARGIEYLHQGCDMQILHFDIKPHNVLLDENFVPKVSDFGLAKLYPMQKSIATLTAVRGTLGYMAPELFYKRIGRVSYKADVYSYGMLLMEMAGRRRNVDAHAEHSSQIYFPSWIYDKFDQVEEMEIGDHATEEEKTITQKLILIALWCIQMTPEDRPSMREVLEMLEGDASGLKLPPKPSFYPPDSPISMQRSSDSSSSDESMAPLCSSVALEIEQMDD >CDP12485 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:216980:219157:-1 gene:GSCOC_T00036080001 transcript:CDP12485 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVFKSVFAINSRKSFKAYMQRNLNLLKEISNQRLITQGTALHGHLIKKGISSEKYVAVKLLVMYLKCRKYGEINQMLKEFNGFNLVVYNCLIAANVEWGNLNEARRLFEEMPQRGEVTWTALVSGLLRYGRVDEALWYFERNPFRDVFSWTAMISGLVQNGLGLQAMKLFLRMLDSGVMPNNVTFTTFFKACADSADFGLGMSALALVVKVGFDESLPVCNSLISFSLKVGEINLARRIFDGMKERDVVSWTAILDAYVEMDSLEEARRIFDEMPERNEISWSAMIARYSQNGYAEDAVNLFHEMVQSGFRPNKSCFSCAISALASLEALQAGRNIHGHVIKIGIETDVFISSSLVDLYCKCKETGDGRRVFDLTKVKNVACWNSMVAGYSLNCQLEEARKLFDLIPCKNNVSWNCLIVGYLENEQFDKVTDLFNEMLLSGETPNKSTFSSVLRACSSLASLERGKVLHGKIVKHGFQYDIYVGTALISMYSKSGDIECSKQVFSRMPRKNEVSWAAMIQAFAENGFAEESLALFDEFEHSSSFAPNELILLAVLFSCSHCGLVDKGLHYFNSMEKIYGIKPTGRHYTCVVDMLSRSGRLSEAEKFITGMSCEHEVNAWVALLNGSRIYRDKIVAEKAAKKFSKMVEEKSEVYVMLSNVYASAGRWFDVLNTRKLMIEKGLYKGGGCSWIEERNHIHVFYCQDGTHIGSTEIYGVLQLLKSEM >CDP12550 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1571111:1572788:1 gene:GSCOC_T00036180001 transcript:CDP12550 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDGGGSPATGPLIRHIFKAEETLLRPSTAWPVAGKSRFSLSPDSSNTLKNPPQDCSYISAAGIVVAHNEDLLTQILLCLPPKSLIRFQCVSRGWLSIISNPSFRRLYCGSSNTRALFLFRKKWRKNPELNFISFSDEYVSSMETAVSHLSSNFFTDGEITDLHSCNGLVAVVLKLRDGSREFSVYNPSTSQHRLIPQLNLLEKRHPFVALNIAFDPLKSDHYKLVCVWWGSPAITDRFAMGIMNISYGFSIYESETGTWRDSGDIFEIDYAPLHTFFRNGVLWNGCLHWITDWKAIVCFDLDKEDINFTLPSPPASMEHSGIWYFGESGGCMYFIDMNNPGEMLFDVFELASGCSEWVLKHHLNLAPLTTLYPSMVDEEFDHSDDWRFRFRLTYFVEDENEKKARLVISLLGKVILYDINDMVVKELAEVGPTDTDDDCRDNLYQWKDGYPVMKTLTYV >CDP12500 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:415795:418044:-1 gene:GSCOC_T00036100001 transcript:CDP12500 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLSPRAYLRDRFGNKWPVRVGRIGDDFFFLDGWAEFVEENSVELGDFLVFQYDGHSLYDVKLLGHSACEKKGVGALKVLKHEEEEQMEEVCEVKEVEGEEEEEADESNEVKKEEQEEDNREKEEEEGEEETHEDKQEEMEESYSNATEIDTDQDYIMEEEEDFTEEAEEEEKDMEEEQPSKADITATPGTSKSTYKGLRKIKGGKQGNNSLEVNGAETEGEKEQDADEIEQKKEGAGEINEDKQEKEVGKCYSNTIETEANSDYMMEAEDDSTQKEEEEGNVEEEPAAKTHSKVATVDSKSSSKGRKKIRGGKHESKAVNGHKRRRRWLIDPYGYDLFKSGCISQPKNPYFVTQKRARRQDALYVPHDILRDHNLKLPQEIILVDQQGREWTSTRNHWKDGRFWYHGGWSSLCRVNIVGFDDICICEFKRKVGGGLYIEVQILRQQDT >CDP12479 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:60152:63359:-1 gene:GSCOC_T00036073001 transcript:CDP12479 gene_biotype:protein_coding transcript_biotype:protein_coding MELFLLFLFFLLMVFFCFFLYSFPLSFKLKEPISKLQLPPGKTGWPIVGETLEFAAMGRNGTPEKFFKDRMSKYSQEVFKTSLLCEQIAVFCGPAANKFVFSNENKLVALSWPSSAKKIFPSSNSSTKLRAVALTLLKPDNLHKYVAIVDSIAKSHLETQWNFQKEVNVLSLVRQFDFTAACRLFLSINDPHQIEDCAKPFEVMAAGIFTLPINLPGSSYNRGIKAANSVRQKLLGIIKQRKGEVMDKQLVHDEDLLSLFLTKPDENGKFMSEPEIADHLLAALLASQDSTTASITFTMKYLAEFPDIYDEVLKEQRSIAQEKIPGEPLNWKDLQKMRYAWNVVSEVLRLVPPVQGNFREVLTDFTYSTFFIPKGWKIYWTPNSTHKNPQYFSDPEKFDPSRFEGSGPMPHTFVPFGGGSRICPGKDFARVVILVFMYNVIGKFRWEKLLPDEKTVVLPIPLPAKGLPVVLHPH >CDP12539 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1250815:1251777:1 gene:GSCOC_T00036156001 transcript:CDP12539 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFKEKLGEGGYGCVYKGKLRSGELVAIKMLSEPKANGQEFINEVATLGRIHHVNVVRLVGFCVTASKRALVYDYMPNGSLEKFIFSKPKLHPVQNSVVSLTAVRGTLGYMAPELFYKRVGKVSSKADVYSFGMLLMEMVGRRRNVNAHAEHSSQTYFPSWISNKVDQGGEVDIGEATEDEKGIARKLTLIALWCIQMPPDDRPSMREVVEMLQADLTSLQLPPKPLFYPPDSAQPVQSNSYSTTDEVSTTLDIAVDLA >CDP12497 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:345862:347326:1 gene:GSCOC_T00036097001 transcript:CDP12497 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQEFFSLAEEALKILSDKSKGNFRPPLLIVIADKTCDSKSLQHALSKGAVEYERILETGDPNFAWKPPQDEWHSIALGYTSGTTASPKGVVLHHRGAYLMALSNALVWGMKEGAVYLWTLPMFHCNGWCFTWALAAMCGTSVCLRQVSSSDTKAIYSAIANLGVTHFCAAPVVLNTIVNARKEETILPLPRIVHVMTAGAAPPPSVLSAMSKRGFRVTHTYGLSETYGPSTICVWKPEWDLLPPENQARLNARQGLQYIALEGLDVVDTKSMTPVTADGTTMGEIVFRGNAVMKGYLKNPKANQEAFANGYFHSGDLAVKHPDGYIEIKDRSKDIIISGGENISSLEVENMLYQHPAILEVSVVARPDEQWGESPCAFVTLKGDLEKSDQKSLAEDIMKFSRSKMPAYWVPKSVVFGPLPKTATGKMQKHLLRAKATELGSVKKSRL >CDP12542 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1290722:1292736:1 gene:GSCOC_T00036160001 transcript:CDP12542 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKAFAVCLALLFAEAVSTRIDQLEEDKPEKDQKCYQQFYCGEVHIYVTGLFRVEGGPQGCGHPNVRLSCEHNRTVLYLGSNSNKYYVEEKSIMNFGSSSKRIHVIDPGVQKNNCSSLPLYSITHYSYNPLHPPEPHDSIVFVSCKRPIDSPLYIDTSPCLTAKDFYSYVVFGNDLMASTIEETCTIYKTITSQFQNSLGAETRNISYGDIHDLMASGFELEWMGYSDSFCSSALCYGVIGSGGFFGIWIALSIAYYIYELRRRHLSLHDAIEKFLKSRNNLIPRRYSYREIKKMSNNFKEKLGEGGYGSVYKGKLRGGQLVAIKMLTKSKANGQEFINEVASLGRIHHVNVVRLAGFCVTASKRALIYEYMPNGSLDKFIFAEHSNRLSLSWKKAFEIASGVARGIQYLHQGCNMQIVHFDIKPHNILLDDNFVPKVSDFGLAKLHPLQNSIDQGEDMEIGDHATEEEKTIRKKLILTALWCIQMIPENRPSMREVIEMLEGDLQDLQLPAKPRFCPSDSPVRSLQSSSYSWSEESTESPCNSVPLEIEQIDR >CDP12528 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1003436:1004197:1 gene:GSCOC_T00036139001 transcript:CDP12528 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLLSLYLAIGRIGRVLGGLILDFHLNGLHPTRPTLDWINFRLSHIRSIQTHDPNMIQYIN >CDP12495 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:316690:332580:-1 gene:GSCOC_T00036094001 transcript:CDP12495 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTPNSGKRKQREEDLENDENLKQDSASKRRTLSRTCVHEVAVPSGYSLSKNESIHGTLSNPFYNGEMAKTYPFKLDPFQEVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELNQEFSDVGLITGDVTLSPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNIHKQPCHVVYTDFRPTPLQHYVFPMGGSGLYLVVDENEQFREDNFVKLQDTFTKQKLGDGNKSVNSKGSGRIAKAGNASGGTDIYKIVKMIMERKFQPVIIFSFSRRECEQHAMSMSKLDFNTQDEKDVVEQVFRNAVLCLNEEDRSLPAIELMLPLLQRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRFIGSGEYIQMSGRAGRRGKDERGICIIMIDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMSQAEGQFTAEHVIKNSFHQFQYEKALPDIGKKVSKLEEEAAKLDASGEAEVAEYHKLKLEIAHHEKKLMAEITQPERILYFLQPGRLVKVREGGTDWGWGVVVNVVKKSPPASGSLPAALASARGNSYIVDTLLHCSLGSSENGSRPKPCPPRPGEKGEMHVVPVQLGLVSTISKIRISIPSDLRPLEARQSTLLAVQELGKRFPQGLPKLNPVKDMGIEDPEIVELVNQIEQLEQKLFAHPMNKSQDEHQLKSFQRKAEVNHEIQQLKTKMRDSQLQKFRDELKNRSRVLKKLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNELDHHQIAALASCFIPGDRSTEQIHLRMELARPLQQLQDSARRIAEIQHECKLEINVDEYVEASIRPYLMDVIYCWSKGASFAEVIQMTDIFEGSIIRLARRLDEFLNQLKAAALAVGEADLEKKFAAASESLRHGIMFANSLYL >CDP12540 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1259213:1262220:1 gene:GSCOC_T00036157001 transcript:CDP12540 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFKIMFAVCLALMFVFIAASNLEKGRRCMHQFNCGKIRINVGCPFQVEGGDFECCGQSDVRLSCEHNRTVLYLGPNSNKYYVAEESIMKIMRSKSIRIMDPGVVKNNCSTVPLYSILDFSYSPLSPGSDAFLLIFVTCKRPVHSSMYVDTTPCLTAKEFSNHDIAHQSNYSYVVVGKSWDLVASDIEETCTIHKIITSGFQHSLNVNLSFKDIHDAMASGFELKWGYEPICEGSIFICIATYIKYLVVFAVLAIGARAIIGAVLLVAFLFYKCQRRHLSIYDAIEEFLQSRNNLIPIRYSYKQIKKMTNNFKEKLGEGGYGCVYKGKLRSGKLVAIKMLSKSKANGQEFINEVAALGRIHHVNVVRLVGFCVTASKHALVYDYMPNGSLEKFIFSERLDGNPLSWKKATEIAMGVARGIEYLHQGCSMQILHFDIKPHNILLDENFTPKVSDFGLAKLHPVQNNTVSLTAVRGTLGYMAPELFYKRVGKVSSKADVYSFGMLLMELVGRRRNLNAHAEHSSQMYFPSWIYDKFDHGENLEIEDATEDENRTARKLILIALWCIQMTPDDRPSMREVVEMLQGDLTALQLPPKPLFYPADSPRLVQSNSYSSTCEESTGESVSLEETE >CDP12523 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:802958:809322:-1 gene:GSCOC_T00036132001 transcript:CDP12523 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBD2 [Source:Projected from Arabidopsis thaliana (AT5G35330) UniProtKB/TrEMBL;Acc:A0A178U6X6] MEPRSFKVKFKVNHGGGSANNSAEDSCHTSIIREQSDPSGPASSAVPETTQTTIDVSYGEGEEGHCTDNEENQSHENAHNQLVLYDPPVNGVGEIIHVPEPIASEAPSRRYSFHQTTRVLPSVGAFTVQCANCFKWRLIPTKQKYEEIREHILEHPFFCETAREWRPDVSCDDEPDIEQDGSRLWAIDKPSIAQPPPGWQRLLRIRGEGSTKFADVYYESPSGKRLRSMVEIHKYLEEHPEYYEGGVTLARFSFQIPRPLQENYVRKRPRPVPSNGEKVQELGVKPISWAAPDEDIDLELGRSSHPTPYEDSLPVSDYQLVKKKRTPSKKRCNGDSLGSSSQVNVEESLHL >CDP12490 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:261566:267583:1 gene:GSCOC_T00036088001 transcript:CDP12490 gene_biotype:protein_coding transcript_biotype:protein_coding MQETRRGKSRNSFEKSIKARILIKLKGFGCVRIDPSYRTKMPIPRGNAEMVCVAIDKDKGSQYALKWAVDNLVGKGKYVTLIHVKQKQPSSASLVSHIALADANDSIQRSYKDADGQSKELFLPFRCFCTRKDIRVNEVMIEDLDIAKGICDYVRANFVENLVLGAPSKSAFVRRFKTNDVASTVSKVAPDFCNVFTISKGKLSSVRAASCPVPNPPPRQGQNQGTTNPVLSDARLMHGSGVRGFSHDKSPIAPRTLAEDMDSIKSPFTRGKTQNRSSGDFSLPDSDISFISSGRSSMDHLFPLPDIQDMAFPPRLSNGSETENTSNFGSSFSGPRTPDTSSSGTFPSRTHENGSISWSSSSSQSQEDVEAEMRRLKQELKQTMEMYSTACKEALLAKQKALELQRWKMEEQQKLEEARLAEEAALAIAEKEKAKCKVAIEAAEAAQRIAELEAQKRKNAEMKALREEEERKRVLHTLAHGDVRYRRYTIDEIETATDHFSESRKIGEGGYGPVYKCNLDHTPVAIKVLRPDASQGRAQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMPNGSLDDRLFRRGQTPVLPWQLRFRIAAEIGTCLLFLHQTKPEPLVHRDLKPANILLDRNYVSKIGDVGLARLVPPSVADTVTQYLMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGVMLLQIITAKPPMGLTHHVQRAIEKGKFADMLDPAVPDWPVEEALNFAKLALKCAELRRKDRPDLRTVVLPELDRLRTLAEERMSGIIPSPATLVRETPKCASQVIFKVK >CDP12551 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1663870:1666047:1 gene:GSCOC_T00036186001 transcript:CDP12551 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNRYVVIKHNIDGAPLESDFELRTEALSLWIEPGKYDVIVKNLYLSVDPYQLNRMKSPGCFQQDMNFAGAICPGQKINGDGIGRVVASGNPEFKEGDLVSWYCMSWGEYSIIKMSPSNMEGAKLRKIEMMEFPLSYHVALLSLSGITAYAGFFEVCKPKKREKLFVSGASGSVGSLVGQYAKLFGCYVVGCAGSQRKVDLLKEKLGFDEAFNYKEETDLKSALKRYFPDGIDIYFDNVGGEMLEAAIENMNPFGRVAVCGAISEYTDKGRRGAPNMVNVVYKRITIQGFLAADHYKKVYKDFLSTTLEHLQGGKLHVLEDMSHGVESIPSAFAGLFRGDNVGKKMVELLADD >CDP12532 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1068748:1069119:-1 gene:GSCOC_T00036144001 transcript:CDP12532 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLEMVGRRKNIDANVQNVSQVYYPEWVYGRLVQGEDLRIQVEEDGEDVIAKKLAIVGLWCIQWNPVDRPSITFVLQMLEGNGESPSLPPSPFTSTDPMNPSNPSLHRRHLASGLAVISELE >CDP12493 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:305061:309305:1 gene:GSCOC_T00036092001 transcript:CDP12493 gene_biotype:protein_coding transcript_biotype:protein_coding MELCEEYTCVISHVGDNQIKKKEYFDDGGFLRNRNSTDAIISNAENIIAAFPYAEFLNSCFLCKKQLHGLDIFMYRGEKAFCSAECRYQQISIDEHKEKCVSGTVKPLEYSASPCSGPMQFFAGVAAA >CDP12552 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1684412:1685785:-1 gene:GSCOC_T00036189001 transcript:CDP12552 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGDTFQTPSAAAKPKGQIIKHSFKPIEIFLSSPSSPISSTTHTPSKSNHPRQQEEDQNPKSPCQSSSSSSLAAFVIAHNEHLVTHILLRLPPKSLLRFQSVSKQWQSIISNPTFRRLHSRGRPTTTASCFPPGVLLFPLELPRVFGFIAFRQEEVNSMANIVSHLNTFLYGPRNISCLHSCNGLLAIVFNFDNRQEFAVYNPTTRESKLVPMIETPHNYKDLNIVFDPEKSDHYKLVCIWVGSSKEWVHRFSVYSSENGVWRNTEETATDDLCYDKGMLWNGDLHWISPWNYSACFDVSNERLRPLRYTIRTPEFEEHGGDWYFGESGGHLFYIRPNEPYGMLLDFFELELERDCLRWNFKYHVDLTPLTTLYPQMIKEEYDPTFDEPCAFHIIGFLVDDKEKKTTLVISLADKIISCDFNNLTLMELADVEVDTKRDVYSWYLAFPHVETLACL >CDP12531 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1061594:1063653:1 gene:GSCOC_T00036143001 transcript:CDP12531 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDAGSLLFVKSKYPLYVDTASCNITANHYSYVVVGESVVASDIEESCTVYKILPVDLRRLPDVTAGDIPFQDIHNLLSNGYEISWYILGSLTRRRSRSFFCDVALKSVEISGFVCRHVFGQHACELLLKSPDSSADTFS >CDP12499 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:391364:394445:-1 gene:GSCOC_T00036099001 transcript:CDP12499 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKRKDDSNRIPAFFKAFIPNTSTERLKIPIAFTKMIRGSLPDKAFLRDRYGNIWPVELARIENATFFLEGWAKFVKDNSVELGDFLVFHFDGNCVFNVKLLGHTACDKKGVGGRMFKVKEEEENEKEEDEKGENESLQNNYNTVDETDHNEEYNAEEEEEEEEEMQVQQKAKSNQRAAKPKASCKGLKKIKKSINGIHKSGTEASKCNLWKAKRIDAYGFNLFKSGKIPQPKNPYFVTKVRTKRQDELYVPVDVVKDHNLEFPPEIVLHDPKEREWRARLRRWSDGRIWYCGGWRSLCRLNLVGEEDICICEFVKREGGGLRINVTFVRPEDLA >CDP12507 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:523233:532915:1 gene:GSCOC_T00036110001 transcript:CDP12507 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFWFLYIPSAFSLRYRYSFVSYKSQKICCLNVRGRVVSYGKNNLPNCNFLVQFPYFTIISSSYWFFPLFVLQYTICQSTVSLLVFVFPLLSMRNSRMKVLPILSEWFLFPPGN >CDP12483 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:183602:192817:1 gene:GSCOC_T00036077001 transcript:CDP12483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:Projected from Arabidopsis thaliana (AT3G45130) UniProtKB/TrEMBL;Acc:A0A1I9LTE4] MWKLKLSEADEDDPVWLTSSNNHVGREFWKFDPNLGTLQERAEIEKVREEFRRKRFEIKHSSDLLMRIQFAKENPCEMNLPQVNIASEEEITEEAVVTTLRRALRFYSNLQAEDGHWPGDYGGPLFLLPGLIIGLYIMGAINTVLSEEQQKEIRRYLYNHQNEDGGWGIHIEGHSTMFATALNYVSLRLLGQAEDGGDGSMEKARNWIIDHGGVTFIPSWGKLWLSVLGAYDWSGNNPLPPELWLLPYFLPIHPGRMWCHCRMVYLPMSYLYGRRYVGRVDGTVLSLRRELYAQPYHQIDWNLARNQCAKEDLYYPHPLIQDILWGGLHKVAEPLLMNWPLSKLRHRALSIVMQHIHYEDENTHYVCIGPVNKVLNMVCCWVEDPNSNAIKSHLARIKDYLWVAEDGMKMKGYNGSQLWDAALAVHAIIATNLQDEYGLMLKKAHKFITASQIGKDSSGNPVSWYRHISKGAWPFSTPDNGWPVSDTTAEGLKAALLLSRMSYDVAGEAIEQEKLYDAVNVLLSYQNTSGGFASYELTRSYAWLEMVNPAETFGDIVIDYQYVECTSAAIQALKFFTKIYPGYRSKEIEACIQKALNFIESIQLSDGSWYGSWGICFTYGTWFGITGLLAGGRTYENSESIRKACDFLLSKQLRFGGWGESYLSSQNKVYTEIEGNKSHIVSTSWALLGLIEAEQAKRDPIPLHRAAKVLINSQLETGDFPQQEIIGVFNRNCMISYSAYRNIFPIWALGIYLNKVLQPGR >CDP12484 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:196573:205902:-1 gene:GSCOC_T00036079001 transcript:CDP12484 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MGSSATEVFPHLQDVLLQFRAGKMRMEGTQVVPDSRKGLVCIGRGDEGLIHFQWVDRSSNVVEDDQIVFPDEAVFVKVSQSSGRVYILKFQTDDRKLFFWMQEPKADNDAQLCSQVNLYLNQPLDFPGEEEPDASVPQPSEDMAEEEDISSRAGNLVGPSMGAEASGDVTSSGPVKLADLQRILSNIGSAGEAGDPDAGLGLGDILKPEFIFPLINDLPLEQQLASYLPEGTWTAEDLMELLQSAPFRQQVDSFTYVLRTGQVDLSQFGIDATKYNFTVPSFLEALEDSVTRVAEGEESRRDGSELRSQMHNQSEPMDEGQ >CDP12494 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:314366:316617:1 gene:GSCOC_T00036093001 transcript:CDP12494 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLKNSIICTVITVALLTGINQFCTHFSISLPLKSLFLTIPLLVLVIHLLRPKKSTELPPGPLSIPIFGNWLQVGNDLNHRLLAEMSKKYGPVFLLKLGSKNLVVVSNPELANQVLHAQGVEFGSRPRNVVFDIFTGNGQDMVFTIYGEHWRKMRRIMTLPFFTNKVVHQYSDMWEDEMDLVVRDLRTDERVRTQGIVIRKRLQLMLYNIMYRMMFDAKFDSQTDPMFIEATQFNSERSRLAQSFEYNYGDFIPLLRPFLRGYLKRCRDLQRRRLAFFNNYYVEKRRKLMAENGEKHKISCAIDHIIDAEMKGEISKENVLYIVENINVAAIETTLWSMEWAIAELVNHPHVQDKIRDEISDILKGRPVAESNLHELPYLQATVNETLRLHTPIPLLVPHMNLEEAKLRNYTIPKESKVVVNAWWLANNPAWWKSPHEFRPERFLQEENGTEAAVAGGKVDFRYLPFGMGRRSCPGIILALPILGLIIAKLVTEFEMQAPPGVEKIDVREKGGQFSLHIAEHSTVVFKPVKA >CDP12515 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:670715:671570:1 gene:GSCOC_T00036122001 transcript:CDP12515 gene_biotype:protein_coding transcript_biotype:protein_coding MLCMDIMLCRLQKSVFVYIFLYVFRLCRAMGGVERKVEIEWGNHVVSSRVQEAVQAILNFVKREGPKGWDDPWS >CDP12543 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1365858:1369574:-1 gene:GSCOC_T00036168001 transcript:CDP12543 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVISFGSSAFSALLQVGLDRVASREFLNLFGERKHDDTILNKLKRNLWLAQAVLNDAESKQAGYDEKWMDELQDAVYDADDLLDQISTEALKVRVEHEQQSMINQVSTCTSYLSNEFLQHITPKVTELVDLLEELVQKLKILGPGKIEVNKQSRRDCSVSLVDESGVYGRDDDKEKMIKMLLCDDRRGKGNTVIPIVGMGGVGKTTVAQLVCNDKRVKAHFDVIAWVCVSEEHDVIKITKSLLEGLGSLCESMETLSSLQVKLQQSLIGKKFLFVLDDVWNSSYNDWEMLKSPFSSGKQGSKIIVTTRDERVARMIHSMRNQELEVIGKEIVKKCKGLPLAAKVAGGLLGSKGTLKEWEDIFNSDEWCQSNSRDGILPALRLSYIHMPSHLKRCFAYCALFHKDYKFKKEELILLWMANDLLEHPGNTKRIEDVGDEYFCELRQRAFFQTSSDDSFYMHDLINDLANSVSGKYYVRLEDHHQQHSLVNKVRHFSYTCSFWDVYEKFKQLSQATHLRTFLPIRKDKLYIRPISKKFLHEILPNLTSLRVLSLAGYSLPYLPDSIQRLKQLRLLNLSLSNIENLPDWVCTFYNLQTLLLSDCKNLEELPDDMGKLLNLRHLDITGTPLKKLPAQIGELKCLQVLTTFVCNKDPGLMIEDLGKLCNLRGKLTVSGLENAGNGLDATLANMKGKKHLEDLSLEWNGTADDSQEAIDILQKLQPSSRIKRLEVKGYGGTRFPDWLADQSFHNVVSVILSRCSNCFCLPALGQLPSLKFLTIADMGKISIIDMQFYGNGSVFEIFQSLQILRIEEMPEWKDWLVPRKGVFCGLQELHIINCPKLVGDLPKQLLSLTEFELSGCPGLVLSDGRFSMFNSLDLYSLKKLKISNMPSLEDFTSELKKLTGLEDFEIGNCPKLISIFDMGRLPASLKTLKISGCPQMQFLPKDCLFGSLEQLRIREAGDAPKDLSLGLLQKIRDLEIQDCEGLESLSFEHGSENLASLGSILVWGCGNLRSFLQEGLPAANLTWIMLYGCKKLKLLPKGMRSLLPSLRLLYMWNCPEIECFPEEGLPFSLETLEIYNCEILMSRRREWNLQTLPSLRELMIGETHQQLFPEDWLLPSTLRVLRIYFLHDLEALSYKSLKQLISLETLCIWGCHHLQSLPKEGLPRSLSQLIVYDCPLLKPHLDCATGQDWRKVAHISCLMVDGEFVP >CDP12513 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:611366:611584:-1 gene:GSCOC_T00036117001 transcript:CDP12513 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHSVSRAISVAGTFFLFSAISATSVAFVYMIVPETKGKSLEQIEKMFEKGYESQGGEVQLGDAERLMQKQ >CDP12530 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1040157:1041143:1 gene:GSCOC_T00036141001 transcript:CDP12530 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNFEEKLGEGGYGLVYKGKLRSGGAVAVKMLNKSKANGQEFINEVATIGRIHHVNVVRLVGFCVTASKHALVYDYMPNGSLDKLIFSNCQNGSPLSWKQVCEIAKGVARGIEYLHQGCDMQILHFDIKPHNVLLDENFVPKVSDFGLAKLYPMQKSIATLTAARGTLGYMAPELFYKKVGRVSHKTDVYSYGMLLMEMAGRRRNVDRHAEHSSQIYFPSWIYDKFDQVEEMEIGDHATEEEKTITRKLILIALWCIQMTPEDRPSMREVLEMLEGDARGLKLPPKPSFYPPDSPISMQRSNDSSSSDESTAPLCSSVALEIEQMDD >CDP12514 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:668626:669176:1 gene:GSCOC_T00036120001 transcript:CDP12514 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHDIEEDKFGFSRNYFLANELGNSGKKSSRMLADIDVVDEQELREALAHLPNISNFQTGVRRKLGL >CDP12525 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:901313:904077:1 gene:GSCOC_T00036135001 transcript:CDP12525 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMETNKNRWIEDWSTARENLEHNFRWTRRNLALVGIFGVAIPVLIYKGIVREFHMQDEDAGRPYRKFL >CDP12478 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:24887:26406:1 gene:GSCOC_T00036071001 transcript:CDP12478 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPAQGHLNPLLHLSCLISSHNIPVYYIGLATHIRQAKVRVQGWDPLVISNINFHEFSIPCYETPPPNPNAGTKFPVQLVPLFYASIKLREPVYALLEQLSRATKRLVVIFDSAMPYVIQDAHLIPNVESYSFSIFPAFYCYAFIWELEGKPTLAEPELLDLLKVLPSNERCTPPEMMEFIKLQQDSKPIISGYLLNTCREIEGPYLDLLTKTSIIDNDKQWAVGPLNPVVIIDGLKNSNKRHYCLEWLDKQAQDSVIFVSFGSTTSLSDEEAAEVAIGLEKSGQKFIWVLRDADKGDIFEGEVRRAKLLEGFEESIEGRGIVVREWAPQLEVLAHSSTGGFMSHCGWNSCMESISMGVPVAAWPMHTDQPWNAVLMEKLLKIGLPVRDWSRRDEPVTSVTIENAVRRLMNSAEGEEMRQRAKELSKIIKGSVTEGGVSRLETDSFIAHIRR >CDP12486 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:220351:225009:1 gene:GSCOC_T00036081001 transcript:CDP12486 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVCGAKASTWEGIERSESYLVSCMFEEAASLASLIIRNLMRENHQNGNVDNDGNENELHDMLESSGMVFVQSMKELARTFEILKELKHLFGSVAAIPVQVLVTGVCFQISEGTSSDVEGYLKEFLNKWIYKDEGYYFSVEADGEEPDRRFSLGVDEYLEVVDLYVMTCLGMVLRDIDSAISWVEKASLPEDKRQELLRRLNSMNASKATSSSQAPVSSLHADKHGVESKNFSKNLEPGHVASKENSVKETILKLSGRGAPCFWWFRNTTVKFGNFRLNLSNGNILLGCILLFMCYFLKRKQASLKRVLRKQALSVKKGLVDLWQLAFSYQVNPLAAVQPLPAATRGSL >CDP12519 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:716344:720569:1 gene:GSCOC_T00036127001 transcript:CDP12519 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLLRLSFSPSFGSGWSSKLNERPKACILQDEYHMALGRKEDTKRQGEMKGSGSMHNIPTNISEIIQDYRLPPLVGALKASAAENAASFHFPGHNRGQAAPSSFSELIGKQPYLHDLPELPELDNLFTPEGPILEAQKQAAELFGASETWFLVGGSTCGIHAAIMATCSPGDNIILPRNCHISAISGMILSGVQPKYILPDYDADWDIAGGITPSQATIEELEMKGQGAGAVLIVSPTYHGICSNVAKISKLCHSHGIPLIVDEAHGAHFGFHELLPDSALCQGADLVVQSTHKVLCSLTQSSMLHRSGDLVDRDRICRCLQMLQSSSPSYLLLASLDAARAQVSENKDIIFHNAIELAMEARSRMNKIRNVLVLDIACFPHFPAMDPLRITIGTKQLGLSGFEADDILSKDFGVISELVGARSITLAFNLGTQREHILRFISGLKHLSAISAASNCTKYSENPDHVMPFDDFSMRLSPREAFFAQKTKVGIKDSIGQICGELICPYPPGIPVLIPGEVISEGALAYMLQVRDKGVVISGASDHLLSSLLVCND >CDP12556 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1838685:1841712:-1 gene:GSCOC_T00036194001 transcript:CDP12556 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKEQVESSLKSKLSPSHLEVVDVSGGCGAKFTVEIVSEQFEGKRLLERHRMVNAALSEELKEIHALSISKALTPNQWEQQQESEKSQAAV >CDP12503 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:465429:472028:-1 gene:GSCOC_T00036104001 transcript:CDP12503 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDGGCHHLCHHLLYQNQVVIWLILLVVAADLSLVLYRSAFSNNPSSQHFTFGQENDSSSSSQDGDSKVGGDLSFQKSTSPEGDTSAPSLSSSAQSSNGNRSSPTESVQGNLSPQVKQENEETKLERVLSKAATADKTIIFTTINDAWITPGSLFDLFLEGFNIGNETQYLLNHLVIVAMDQKAYSHCLEVHHHCYALITEGVDFSGRANYMSPQYMKMMWRRLDFMRTILELGYNFIFTDTDIIWFRDPFPHFYEDADFQIASDQYQFTSTDLRNRPNAGFMYVRSNSRTLQFYKFWCGSKKTYPGKNEQDVLNIIKFDPFIKRIGLRIRFLDTAYFSGFCQPSKDLNVLCTMHANCCVDVHKKIHDLRLVMDDWKKYMALPSNRKKRYSWTQRKYCV >CDP12512 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:590385:606625:-1 gene:GSCOC_T00036116001 transcript:CDP12512 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPKNSLVFAYYVTGHGFGHATRAVEVVRNLIQSGHHVHVVSGAPEYVFTTAIQSPRLFIRKVLLDSGAVQADALTVDRLASLEKYIETAVVPRASILATEVEWLKSIKADLVVSDVVPVACRAAADAGIHSVCVTNFSWDFIYAEYVMAAGYTNRPIIWQIAEDYSRCEFLIRLPGYCPMPAFRDVVDVPLVVRRLHKSRAEVRKELGIGDDKKVLLYNFGGQLSGWNLKEDYLPSGWICLVCGASEDQELPGNFFKLPKDVYTPDVVAASDCMLGKIGYGTVSEALAYGVPFIFVRRDYFNEEPFLRHMLEHFQCGVEMIRRDLLTGHWAPYLERAITLKPCYNGGINGAEVAANILQDTASGKSHASCKPSGSRRLRDAIVLGYQLQRVPGKDVAIPEWYAVAQNELSFRSASPNDKSSPAISCTKEIEDFEILHGDHHGLSDTISFLKGLAELRALADPVNHNTHQSRESLAAAALFNWEDEIFVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAIQRNHPSKQKLWKHAQARQQKEARTPVIQIVSLGSELGNRGPTFDMDLSGLIDSGKPISYEKARKYFSQDPSQKWAAYVAGTILVLMTELGVRFEDSISILVSSGVPEGKGVSSSAAVEVASMSAVAAAHGLTIAPRDLALLCQKACSSKLPFVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVLGLVEIPPHIRFWGIDSGIRHSVGGADYGSVRVGAFMGRKIVKSTASAQISCLLQNNSSQQVNGNTSDEREKDGNNLLETEACLDYLCNLSPHRYEASYVDKLPEYLQGQEFLNKYVNHEDSVTVIDKKHTYAVRAPTRHPIYENFRVKAFKGLLSAAPSDDQLSSLGEIMYQCHYSYSHCGLGSDGTDRLVELVQRLQHSKSAKSEMGTLFGAKITGGGSGGTVCVIGRNLLRSSEQIFEIQQRYKAATGFLPFIFEGSSPGAGKFGYLKIRLRSRSQ >CDP12520 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:728679:730155:-1 gene:GSCOC_T00036128001 transcript:CDP12520 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSSSWLISAHLESTTLELAHGHAPFSKYPPMKVPLMTIQNAPPGLDYDRDKKFSKASHQTGSIFYLFVSTTAFPHSICLFLLRYKLSLGHQVRSIFYLFSFKEMVAMCLVKDLTKRPTAEKLLKHSFFKNAKPPELSVQKLSADLPPLWNHVKANLSLKDAAQLALKKMPSAKQEALSHV >CDP12544 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1384213:1385059:1 gene:GSCOC_T00036169001 transcript:CDP12544 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVRMLLCFLVLSAGFHAITSETNPSDVAALQSFKEKLQNTPPSWSNGDDPCGAKWDGVTCSNTRVTSLKLSSKGLVGELSADIGELTELTSL >CDP12545 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1401223:1402725:1 gene:GSCOC_T00036170001 transcript:CDP12545 gene_biotype:protein_coding transcript_biotype:protein_coding MCCRHLSFNWKLSGLLAPQIGDLKKLETLILQHCSFTGWIPRSLGNLAELSFLSLSGNNFTGWIPRSLGTLSKLQWLDLSDNQLTGYIPVSTHFLPGLDLLHNLKELILSRNKLSGKIPEKLFRSNMALTQVLLDENELTGEIPSTLGLVRTLQSLHLGGNSLNGSVPSNINNLINLLGLDIQNNKLTGQLPNFSGMNSLLRVDLSNNSFEETEAPAWLSTLPSLGILLVYYFVHKIFISFKPSLININVKFKRGAQDYRQWTT >CDP12526 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:907380:907833:1 gene:GSCOC_T00036136001 transcript:CDP12526 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFSRAKIEIHFVLFVKKKNCRQTQTILSPSSPFSSTKTLIISHQTQTTLSFPSPELLQLHLKLELLESHILLHHVLEFVGIQHRVTATKAIVKIIKLFRQ >CDP12534 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1121139:1122125:1 gene:GSCOC_T00036149001 transcript:CDP12534 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNFEEKLGEGGYGMVYKGKLRSGDAVAVKMLNKSKANGQEFINEVVTIGRIHHVNVVRLVGFCVTVSKHALVYDYMPNGSLDKLIFSNCQNGSPLSWKQVCEIAKGVARGIEYLHQGCDMQILHFDIKPHNILLDENFVPKVSDFGLAKLYPMQKSIATLTAVRGTLGYMAPELFYRKIGKVSNKTDVYSYGKLLMEMAGRRRNVDAHAEHSSQIYFPSWIYDKFDQVEEMEIEDHATTEEKTITRKLILIALWCIQMTPEDRPSMREVLEMLEGDASGLKLPPKPSFYPPNSPISMQRSSDTSSSDESTAPPCSSVALEIEQMDD >CDP12522 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:795459:800061:1 gene:GSCOC_T00036131001 transcript:CDP12522 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLLRLTVFLLTELALWQSFSPSFGSVWSSKLNERPKACILQDNSTSVVHVLIAGNFTTSKQLGILYIVKADFQDEYHMALGRKEDMNRQGEMKGSVSMHNTPTNVSEIIQDYRLPPLVGALKASAAENAASFHFPGHNRGQAAPSSFSELIGKQPYLHDLNGVPELDHLFTPEGPILEAQKQAAELFGASETWFLVGGSTCGIHAVIMATCSPGDNVILPRNCHISAISGMILSGVHPKYILPDYDADWDIAGGITPSQATIEELEMKGQRAGAVLIVSPTYHGICSKVAEISKLCHSHGIPLIVDEAHGAHFGFHELLPDSALCQGADLVVQSTHKVLCSLTQSSMLHRSGDLVDRDRICRCLQMLQSSSPSYLLLASLDAARAQVSENKDIIFHNAIELAMEARSRMSKIHNVSVLDIASFPHFPDMDPLRVTIGTKQLGLSGFEADDILSKDFGVVSELVGTRSITLAFNLGTQREHILRFISGLKHLSAISAASNCTKYSENPDHVMPFDGFSMRLSPREAFFAQKTKVGIKDSIGQICGELICPYPPGIPVLIPGEVISEGALAYMLQVRDKGVVINGASDHLLSSLLVCNV >CDP12492 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:271444:273667:-1 gene:GSCOC_T00036091001 transcript:CDP12492 gene_biotype:protein_coding transcript_biotype:protein_coding METLLPLSTTLRINTLHPMVSPSFLQRSGSANKFLPLKRLLQGKQEGNVDRHDAYMDCPFSSNTCTKSLLHVTEELLLEMPYKGFLPDVSTLSTLMLCYANKGLFSQAQCIWDEMFNSSFLPSVPIISELIDVYATSGHFDLVSRILHQVRLRNHMMLPAIYAQTISCFGKKGELELMEIMLTEMIAMGFSVDSVTQNAFVIYSSNFGSVAQMEVAYARLKSSRILIEEEGIRAVSSAYIRERKFYSLGKFLEDVGLGRRNVGNLLWNLLLLSFAANFKMKSLQRTFVRMVEGGFHPDLTTFNIRALAFAKMSLFWDLHLSIEHMQHEGVVPDLVTYGCIVDAYLERRLGKNLEFALSRLDTDTPATILTDGIVFDAMGKGDFHLSAEAFLEFKDKRDWTYKQLIKIYQKKKFRSNQVFWNY >CDP12511 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:550745:581830:1 gene:GSCOC_T00036115001 transcript:CDP12511 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYFLFMTSSDSTPIESDRTLTPELDRINAWSEIKKWAGKLIHSHNYRVPEPYKDQVVVVIGHGPSGFKIASDVAKVANEVHISSKLPQVEVRKLETYENIWQQHSEIQYCYENGEVAFEDGALIAADIIIHCTRCKYDFPFLKTNRIITVDENRVGPLYENVFPPQLVVPDPYHRLRTNTINFLVVELQAKWVARLLSGKMTLPSREKDAGGCRRTLLAYEGNRQSVAPCPQISLGVYGIAY >CDP12517 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:689102:689920:1 gene:GSCOC_T00036124001 transcript:CDP12517 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPSSFFINAKNIFLTYPRCVLPKQQALDAIRNLQFPISPIYIRVAKETHEDGSPHLHCLIQFEGKFRTESARFFDIKSPISNSMFHPNVQGARNSSAVRDYISKYGDFVEWGEFRPDGRSRFSSDKADEVYAAALAGQDKGMALNIIKRGDPRSFIIHYDKLSSNLDRIFQKPPEPYVARFQQFERVPSSLTHWADANVTGPSNRPHRPMSIIIRLILSFDPHYLLDFSISNPLQLILSFDSRVEDEHPHLAGAVDRNLGSILDFAVVD >CDP12491 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:271141:271293:-1 gene:GSCOC_T00036090001 transcript:CDP12491 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTPRVVVYRMSAQKLGCGKGQVW >CDP12502 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:450156:450290:1 gene:GSCOC_T00036102001 transcript:CDP12502 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIHPKPRPVLLSYPLQGHVVPSIHLAKKLASSGFIITFINTE >CDP12524 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:839182:839421:-1 gene:GSCOC_T00036134001 transcript:CDP12524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import receptor subunit TOM7-1 [Source:Projected from Arabidopsis thaliana (AT5G41685) UniProtKB/Swiss-Prot;Acc:Q9ASY8] MSTRVALKAKGGKSNRNKASAAAAGEEDGPSTVKIVTDWTNWGLHKAKVVAHYGFIPLIIVIGMNSEPKPSWAQLLTPV >CDP12518 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:706769:709670:1 gene:GSCOC_T00036126001 transcript:CDP12518 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFIGESVVLSLQVSKLKMDIISQLQEQVNTIAGLAFNTFGTLQRDAPPVRLSPNYPEPQANPSSAAEDAASLAEQPKLMSAALVKAAKQFDLLVAALPLAEGGEEAQLKRIAELQAENDAVGQELQKQLEAAENELKQVQELFRQATDNCLNLKKPD >CDP12509 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:536886:537056:-1 gene:GSCOC_T00036113001 transcript:CDP12509 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMLQIAMTCVAKVPDIRPNMDEVVRMIEEVRQSDSENQPSSEENKSKDSTVQTP >CDP12533 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1081409:1088215:1 gene:GSCOC_T00036146001 transcript:CDP12533 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVQILAVVFSLIPIFFFVLGITSRFWLSIIFDAHCLRYGDPTFNSKNTCGGTDINLKCPFQFSGDDPDLCPEFSDVIEISCENNRTVLSLSVGNHLEKQQYYFYVELNSIDYEKQTLRIFDPGVQKNNCSTLPAYPFNPYYSASQMGIAPDTDLLVFVSCKNPAKSKYPPLYVDTASSPSNYYSYVVVGKNVVASDIEESCTIYKGVPVDLRCLPNVTAGDISFQDIHNLLSNGYEIRWYAGSRRRKSLFCKLEYGFLLLSSSISVLLIAAKHAIGIILLFAFLLYRCHRRHLSRYDTIEDFLQANNSLMPIRYSYKEIKAMTKNFEEKLVAVKMLNKSKANGQEFINEVATIGRKHHVNVVRLVGFCVTASKHALVYDYMPNSSLDKFIFSNCENGSPLSWKQVCEIAKGVARGIEYLHQGCDMQILHFDIKPHNILLDDNFVPKVSDFGLAKLYPMQKSIATLTAMAGRRRNVDVHAEHSSQIYFPSWIYDKFDQEDEMEIGDHATEEANTITRILILIALLCIQMTPEDRPSIREVLQMLESLLKTRHCLDTCFLSFNALVQLHLKNTILAL >CDP12557 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1886990:1887945:1 gene:GSCOC_T00036197001 transcript:CDP12557 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKATTTPALFLAFNLAFFILVSACVHCAPLPPQATCPKDALKFGICANLLSVIGIFGGPLITIPCCSLLDGLVDLNAAVCLCKAIKGNILGIHFNLPLSLAVSMNACGRHTPPGFICL >CDP12555 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1713360:1714315:1 gene:GSCOC_T00036193001 transcript:CDP12555 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKATTTPALFLAFNLAFFILVSACVHCAPLPPQATCPKDALKFGICANLLSVIGIFGGPLITIPCCSLLDGLVDLNAAVCLCKAIKGNILGIHFNLPLSLAVSMNACGRHTPPGFICL >CDP12482 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:99126:101073:-1 gene:GSCOC_T00036076001 transcript:CDP12482 gene_biotype:protein_coding transcript_biotype:protein_coding MANANFSALYITICVLAFVASKLIIATLCYRRWKRKQMLVQDSFSGGKLVLFKSPKMKALKTNMFLNKTMKLSNKDIIGSGGYGTVYKLTINDSVSFAVKRLNRISAEQDRGFERELEAMADIKHRNIVTLHGYYTAPNYNLLIYELMPNGSLDELLYGKSSNERVLDWTSRYKIAVGAARGLAYLHHDCIPHIIHRDIKTSNILLDQNLEARVSDFGLAKLMEPDKTHVSTLVAGTFGYLAPEYYDTGRATIKGDVYSFGVVLLELLTGKKPNDETFIEEGTKLVTWVKAVVEEKREGNVIDRRLEDYHVEEINQVFSIAMMCLEPEPSKRPTTSEIVKMLEQVKSYQFVPDLQEMPIA >CDP12488 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:245656:255146:1 gene:GSCOC_T00036085001 transcript:CDP12488 gene_biotype:protein_coding transcript_biotype:protein_coding METTETNPRKDYFQYAHNDSCKFSRWTAKECYQYMSARPWQKVNDFYAEMVQGRVSLSDLFRKELLQTCAVHDDTEIIEDIHENDIDSAPAKDRTGRWARVTFKVLLSYHGGSFQGWQKQPGLNTVQGIVERSLGKFVDEKKTQLLNEKNLPIEGCVVVAGRTDKGVTAFQQVCSFYTWRKDVKPQDIKSTINDAAPGKINAISVTEVSREFHPNFSAKWRRYLYVFPFNDGKEAELSSQVEKDLNEHDYTFTLDNGLHDDKDQIEAGNKPTRFEVSKVNQLLSQLEGKLLSYKMFARDTKASRNVGPPTECFVFHARATEAILPCTQAGSETKIMCIELVANRFLRKMVRVLVATAVREAAAGAEEDALLKLMDATCRRATAPPSPPDGLCLVDVGYTDFDKKICFIS >CDP12505 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:485790:490706:-1 gene:GSCOC_T00036106001 transcript:CDP12505 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGAKIGRKDHEKSPESPPAHHLHHHHLTNQSPKSIIWIFLLVIAVDLSCLLLYHSAYQNYLFLATSQYSQESFPSQDSSFRQAKILSSNIHAEGPSSSSSLDSAQPELRRASLSPPTESVPQIQSSPADSEQGNLSFPANQQNEETKLERVLREAAMVNKTVIITTVNSAWMMPNSIFDLFLESFRVGNQTQVLLNHLIVVAFDQEAQNRCLELHSHCYALRTEGVDFSSKVDFMSAEYLKMMWSRIGFLHTVLKKGYSFIFTDADIVWFRDPFQQFYEDADFQIACDQFRYNSTDLNNSPNAGFTYAKSSNATIQFYKFWYDSKDTYPWSHDQHVLNKIKFDPFIDKIGLKIKFLDTTYFGGFCEQSKDLDVVFTMHANCCTSLDSKIHDHNMVIDDWKRYMTLPRNQTEPNSWTMPRYCGNDT >CDP12541 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1269857:1287432:1 gene:GSCOC_T00036159001 transcript:CDP12541 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRYSYKQIKKMTNNFKEKLGEGGYGCVYKAKLRSGELVAIKMLNKSKANGQEFINEHALVYDYMPNGSLEKFIFSERLDGNPLSWKKATEIAMGVARGIEYLHQGCSMQILHFDIKPHNILLDENFTPKVSDFGLAKLHPVQNNTVSLTAVRGTLGYMAPELFYKRVGKVSSKADVYSFGKLLMELVGRRRNLNAHAEHSSQMYFPSWIYDKFDQGENLEIEDATEDENRTARKLILIALWCIQMTPDDRPSMREVVEMLQGDLTALHLVKTVKIVFYFIFLVTLFCDLSSQADSEHKHLSMYDGIEEYLQSRNNLTPIRHSYQQIKTMTNNFKEKLGEGGYGCVYRGKLCIYQLDCGASVSKLAESKILLIDKCQRRHLSMYDGVEEYFQSRNNLIPIRYSYKQIKKMTNNFKEKLGVGGYGCVYRGKLCSGRLVAIKILSKSKANGQEFINEVFMLTFIILSSNF >CDP12554 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1696770:1698210:-1 gene:GSCOC_T00036192001 transcript:CDP12554 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFPQIISSSAPLLPLQLQSRAPDGRQYFRGTPKLAGHRRLLCGLQLSPPLPRNPASGMMIRLPSQPSARLNPSAITAFDKRSPLLRALSDSASDFGYGLSVGKEKAKLQHDYEIANIKVSELSYQSFAYGVKHYSIHVLVVVFGIRVVAKLIELFRESGLELLTSFWFLITTMFFTTAMIWFLVDALIVSSFPRYRLEEAIQDLKLAKFKLDQHNAEQLVKAS >CDP12480 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:68484:85970:-1 gene:GSCOC_T00036074001 transcript:CDP12480 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGSPWLRTTNGHVGRQFWEFDPNAAVSEGELAAIEEARENFRINRFEKKHSADLLMRLQFAKENTSNAVLPKIKVKEIEDLTEDNVAQTLRRAISFYSTLQTHDGHWAGDYGGPMFLMPGLIITLSITGALNAVLSKEHKLEMCRYIYNHQNPDGGWGLHIEGPSTMFGSALNYVTLRLLGEGPNDGDGAMEKGRKWILDHGGATAITSWGKMWLSVLGAYEWSGNNPLPPEIWLLPYALPVHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTVLSLRKEIYAVPYHEIDWNLARNQCAKEDLYYPHPLVQDILWASLHKVLEPILMHWPGKKLREKAVSVAMEHVHYEDENTRYLCIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIYDYLWIAEDGMKMQGYNGSQLWDTAFAVQAIISTNLAEEYGPTLRKAYTFIKNSQVLDDCPGDLDSWYRHISKGAWPFSTADHGWPISDCTAEGLKAYFSLSKLPSELVGETIDVKRLYDSVNVILSLQNSDGGFATYELTRSYAWLETINPAETFGDIVIDYPYVECTSAAIQALTAFKKLYPGHRREEVQRCIERAALFIEKIQATDGSWYGSWGVCFTYGLWFGVKGLVASGRNFNNCSAIRKACDFLLSKQLPSGGWGESYLSCQNKVYSNLEGNRSHMVNTAWAMLALIDAGQTERDPTPLHIAAKVLINAQFENGDFPQEEIMGVFNKNCMITYAAYRNIFPIWALGEYRTRVLKFT >CDP12501 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:439641:442080:1 gene:GSCOC_T00036101001 transcript:CDP12501 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIHPRPHALLLPYPLQGHVIPFIHLATKLASNGFIITFVITESIHHQITTSKNQTNHYKEGGINIFAEARKSGLDIRYATVSDGFPLGFDRSLNHDQFFEGIVHVFSAHVDEIVGKLVQSEPPVNCLVIDTFYVFASPIARKYNLVNISFWTEPALVFSLYYHMDLLRINGHYDNYDKREDIITYIPGVESIKPTDLTSYLQTDPTTVVHRLITKAFVDIRNSDFVISNTIDELEPQIISVLQENQPFYSIGPILPTGLTKSSVPVSMWSESDCGPWLDTKPKGSVLYISFGSYAHTSKHNIVEIAHGLLLSEVNFVWVLRPDIISSEETDYLPTGYDRLIEYSGMIVPWCSQADVLSHPAVGGFLTHCGWNSILESIWSGVPMICYPLLTDQFTNRKLVVDDWKIGVNLHDKRSVTREEVAENIGCVMSGKSSDRFKQEIKKVKKTLENALARGGSSQKNLNQFTMDVKKKIRKNQ >CDP12504 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:479121:480784:-1 gene:GSCOC_T00036105001 transcript:CDP12504 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDKDSEKTKLERVLCKAATVDKTVIFTAANAAWTSPGSLIDLFLEGFRIGDQTISLLNHLVIVAMDQKAYSRCLEVHQHCYALTTEGVNFSGEAHFMSEQYLKMMWRRLDFMRTILELGYNFIFTDSDILWLRNPFPRFYEDADFQIASDEYRFNSTDPNNKSNAGFIYVRSNNKTLQFYNFWYWSKDTYPGKNEQDVFNRLKKNPFISEIGLKMRFLDTEYFSGFCQPSKDLNVVCTMHANCCYGIRNKIHDLQRVIDDWRDYRESPRNSTNPYPWAFAKKYCA >CDP12553 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1691707:1693582:1 gene:GSCOC_T00036190001 transcript:CDP12553 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIEFTSSWRNAMKSKTRGRSNGNKSTPGGEQEAVLVGQPREWRRRKVGSEIIDCHCLETDWKRRRDFGGKRSKI >CDP12548 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1461609:1462367:-1 gene:GSCOC_T00036176001 transcript:CDP12548 gene_biotype:protein_coding transcript_biotype:protein_coding MMALFRLVLLLFLSSCTAVLADVLIDSGVVSVYSCSENSTIATPQMSANIDSLVAQLTSSTSQNRFSVATYGKGTDQVYGLGQCRRDVNIKDCARCLRNATLSIRTFCANRADVWMWYNDTCTLRFHDSKFFGTVDPSSFTNYYLGDHPQHPSAFKKQLDALISKVSSEAIVPANEAVGKGSSFSVASNATIYALAQCTRDLSQHSCNECLNIVTGNLLKFCNNEKTVGCRVASTACYVHYETYQFYYPLDS >CDP12521 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:730209:731795:-1 gene:GSCOC_T00036129001 transcript:CDP12521 gene_biotype:protein_coding transcript_biotype:protein_coding MALISDRLLRHPNTDVRISVMSCFCEVLRISGPHQPYENERMKVKCCIILLDIGCDSLVTKIFEVLLSTIKFNHPQAVFSYMEDIMTWLLDESDDIPLGLLKPILASVQKENQITSPVSSCEVDEARY >CDP12487 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:231262:232106:1 gene:GSCOC_T00036083001 transcript:CDP12487 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDILKYYLQTETGNWLAATNQICCRRIWFEVWLGMTCYRLKSTSKCLTSGGDHYLALLLLPVFLKLHPSTPSQTRTTTSSPLSTTSECHETTPLQRPIGMKTWRCISV >CDP12498 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:349527:358298:-1 gene:GSCOC_T00036098001 transcript:CDP12498 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPATGAGAPSAAALANPSEGCEKKSINPELWQACAGPLVNLPAAGTHVVYFPQGHSEQVAASMKKDVDAQIPNYPNLPSKLLCLLHNVTLHADPETDEVYAQMTLQPVPEFDKDALLRSDLSTKANKPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPSLDFTMQPPAQELVARDLHENLWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFVVFYNPRASPSEFVIPLAKYYKAVCSNQISLGMRFRMMFETEESGTRRYMGTITGISDLDPLRWKNSQWRNLQVGWDESTAGERRNRVSIWEIEPVTAPFFICPTPSFFRPKRPRQPGMPDDDLSDLDSLFKRTMPWLGEDFGMKDPQALPGMSLVQWMNMQQNPSLANTAQPNYLHSLPGSVMQNIAGADLSRQLGLPAPQAPQQNTLQFAQRPTQQPQHLDQLQNLPPSTLNPLGSIIQPQQQLPDISQQPRQPLINQSLPTCQVQAQLLQAQNLVQSQNVLQQQQQSSHQLQRSLSQNLQPSQPQQQQQLMCQNSQQNLLPSQSQDPISQKLNFSENPIQLQLLQKLHQQQQSLLAQQSAMQQPSQLTQLHDQQKPLLDAPPSFSRSLTSSQIQDVSQPIPTSIPQSHVIPQQITRTNSQNNLRFNQRTQQPKLQQQQSGVVPEVHGHVGHSLTATTNHLSAAGSSLLTGTAGGGPSGITDDIPSCSTSPSTNNCPNGVQPSMNGRTHRGTAMGDEIAQPSAALLSSSGLETMSASGNLVKDLLQKPDVKPSLNVSKSQNQGFFAHQTYLNASGAQMEYLDTQSSATSVCLSQNDVQLPHGTNQMSFNSQPVLFRDTSQEVQADPRNNVSFGANIDNQFGMAMMPDSVSTKGMLGSGKDFSSNLDAGGGMISSYENPKETQPELSSSMVSQSFGVPDMTFNSIDSAINDGNFMNRGPWAPPQLPRMRTYTKVYKRGAVGRSIDITRYSGYEELKQDLARRFGIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVNCVRYIKILSPQEVQQMSLDGDFGNSVLPNQACSSSDGGNV >CDP12516 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:683265:683780:-1 gene:GSCOC_T00036123001 transcript:CDP12516 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEEEYSPFVELHYTWNSIPGLLRCGKSCRLRWIKLLYTKYVFFLFFSFLLTNKCFLFFCRWFAIANRLPG >CDP12506 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:512771:513397:-1 gene:GSCOC_T00036108001 transcript:CDP12506 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIGAPRVADFFPVLKVIDLSQRNEADWHRKDKKHLFLGLFVAGTDTIAELLRNPEKLRKATAEIREVVGLGNLFKNQTFQGFLTCRHLLKRPLLVRQAETDIEIDQLLVNLWAIGSLWPNPHSFLPERFLDGEIDVKGQHFELREKNLLGTATGSSNGASC >CDP12489 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:256830:259688:1 gene:GSCOC_T00036087001 transcript:CDP12489 gene_biotype:protein_coding transcript_biotype:protein_coding MAKICKSSWCLLILAIVLQLVHTCICLSEPDLLIQFKDSLKNTGALSNWDAKVPSCKGDQPNWSGVLCDKGNVWGLKLENMGLKGDINEDALSQLKSLRTLSFMNNDFEGPLPDMKKLGALKSIYLSNNKFSGEIPADAFVGMLSIKKIHLSNNQLSGPIPSSLGRLPKLIELTLDGNQFSGQIPDFTQEGLINVNFSHNHLGGQIPASLSNLKAASFSDNSDLCGAPLEPCPSPPERKLSVVTIVLVAIAVVVALGAIVAVIIILSRRKKAPQLGDAPAAAAAPQGHNKPPSEDLDRLEKGMSPDRGSEGKKSDQNIKLSFLRDDVGKFDMSDLLKASAEVLGSGMFGSTYKAALNTGPVMVVKRYRQMNNVGKEEFHEHMRRLGRLSHPNVLPLVAFYYRKEEKLIVSTYVENVSLAVQLHGNKSRGLSSPDWPTRLKIVKGVSKGLLYLYNSLPSLIAPHGHLKSSNVILNENNEPLLTDYGLLPVVNLEQARDQMIAYKSPEFKQNGRITKKTDVWSLGVLILELLTGKFPSNFLQQGKGSDTDLATWVHSVVKDEWTVEVFDKDMQGTKHCEGEMMKLLKIALTLCEPEIDKRWDIKEAVDRIEEIKERDDVDDFHSSYTSETDMRSSRGLSEDFINVPMNG >CDP12529 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1011600:1013166:1 gene:GSCOC_T00036140001 transcript:CDP12529 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLVHEHFGLTGCLTCWCPCITFGRVAEIVDKGQSSCCKMGCIFCVLNLLLLNHGSLSWIISMGYRTKIRQQYGIMGGSCEDCVLHFFCGRCALCQEYRELQFQGYDVGAGWEANAAKKASGVTMAPVGEKMTITTFKVIKFARVTRRSYHYLEKIS >CDP12496 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:337667:342256:1 gene:GSCOC_T00036095001 transcript:CDP12496 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSGDLNSPLSTGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITQLTDNVYLCRSGSAADTQTISDYVRYFLHQHTIQLGQPTTVKVAANLVRLLAYNNKNMLQAGLIVGGWDKYEGGKIYGVPLGGTIIEQPFAIGGSGSSYLYGFFDQAWKEGMTQEEAEQLVVKAVSLAIARDGASGGVVRTVTINSEGVARKFYPGDSLPLWHEELEPHESLLDILSTSNPEPMVS >CDP12535 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1210509:1212398:1 gene:GSCOC_T00036151001 transcript:CDP12535 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNFKEKLGEGGYGLVYKGKLRSGGGVAVKMLNKSKANGQEFINEVATIGRIHHVNVIRLVGFCSIATLTAARGTLGYMAPELFYKKIGRVSHKTDVYSYGMLLMEMAGRRRNVDAHAVHSSQIYFPSWIYDKFDQVEEMEIGDHATEEEKTITRKLILIALWCIQLTPEDRPSMREVLDMLEGDASGLKLPPKPSFYPPDSPISMQRSSDSSSSDESMVPLCSSVALEIEQMDD >CDP12546 pep supercontig:AUK_PRJEB4211_v1:scaffold_67:1408286:1411191:1 gene:GSCOC_T00036171001 transcript:CDP12546 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIKLLICCLLLPAGCSLISSETDPGDAAVLCYLREHWHNTPASWSESDDPCGGSWEGVTCINSRVTGLYLSFNKELSGSIIPQIGALQNLETLVLEECSFTGTLPAELGNLEELSFLSLNGNNFTGEIPPSLGNLSKLTWLNLADNRLTGSIPVSTYRIPGLDLLHNLKHLVLSGNQLSGPIPQKLFSSNMVFNKILLSGNQLSGGIPFTIGLVQTLQTLFLGENSLAGKIPSNINNLTNLIALDMPNNKLSGPLPNLSGMNSLLRVELSNNSFEVSEAPAWFSTLPSLNILNIDNGPLCGLLPPTLFSLPDLAVVSLRNNAFNGTLLIGSNINQNILSVDLENNGISSISIDPVFKSKLNLIGNPICNSAYMKKTSVFCQVQKQSANP >CDP19770 pep supercontig:AUK_PRJEB4211_v1:scaffold_670:32600:34404:-1 gene:GSCOC_T00006618001 transcript:CDP19770 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYASNSLSRIHFYECSGPWKEQGLCRVDWGRGIDLRLFPEDAKLVDTYGLCVIVHMILHKSCMEIEKRPSPDGGYVYQPKTHLKRYMQVELWKNLFMKLLNTSPTEDHQSLLRNLRHSFQDYMCSNPQLIKKLKQLLVKQKNSLCSA >CDP19772 pep supercontig:AUK_PRJEB4211_v1:scaffold_670:51196:52388:1 gene:GSCOC_T00006621001 transcript:CDP19772 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSWNTWGSSSNNVDEDDNDWELIQWAMAILFNPVSERLAGYILPLPCRNSMLSGRAYVQEVIDGHPARVLENCRITVDSFMRLCDILVSGGYVPQNPQNRVLIEEAVCMTLVMLSHNHRMRCLAERFQHSSETICRNIHEVLRGLCELGKILIKPRGQNEIHPKIYTDRRFAQWFTNAVGALDGTHIPAHPPPGQQAAYTNRYGQAIQNVLAICDFDMRFSYIYAGWEGSAHDARVLDGALTGPTHFLMPPPGKYYLVDSAYRNIPEFLAPYRGTPRQNRNVNKCGTPQGKQAIKYLNKLVTGV >CDP19767 pep supercontig:AUK_PRJEB4211_v1:scaffold_670:14095:14863:-1 gene:GSCOC_T00006615001 transcript:CDP19767 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAVLFIGPYNCSTVQPILTIERSALYLERAVGMYSALPYARAQRVIAEIPYVFAQTTYYAFIVYAMMSFQWTKARFFWFYFVTFFSFLEYTYFVMMISSISTKPTSGTNPGRSTLRAFQPIFWLLYPKICNFQVVDMVLLDLPISMDSLWTNCIPIW >CDP19769 pep supercontig:AUK_PRJEB4211_v1:scaffold_670:24622:29000:1 gene:GSCOC_T00006617001 transcript:CDP19769 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEQRKGKVMSLSSLFPPEETQKASERVQDTIAERRNQLDQLKSFVSDNTNLINLVQTLPNELQHQIMVPFGKVAFFPGRLIHTNEFMVLLGDGYYAERTSKQTVEILKRRGKILESQFESLKADIQDLKTEASFFNATANEAAGDLVEIVEDYVEENPMAEVSKAGSMRSESKADFPSSSEAETTRIGYQDDEYAHIFSRIDELEKEEEDAEKDDDDLDHTTDKRDYETGVYGSKVTSVHQDGSSHGGRQASSKPTEKALELPEIKDVIQGPAVTKKVGFAQTTETSSSSSGSKACFLRVIVFHGSAMLNGDIIQFLTSIC >CDP19771 pep supercontig:AUK_PRJEB4211_v1:scaffold_670:34482:43485:-1 gene:GSCOC_T00006619001 transcript:CDP19771 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISQKPGYISTATTAAAADPLLPWLWSIEKALIHETTSDLEELLSNCIKTCSNDPRYKNDVRFLKIWFLHMDRSSDHESVFREMEKNKICSSNCFLYEWYALFLEAKGKLIDAYFIYHLGISRNAEPIGRLKKAQVLFLERVSDIVMIVSVQKVVKYIISKVVQVREVSLKYLKAHVDCNPDDVVALKVSKTLPFPREFYMYRLLNMHIPESERMNFGFAHQVHLYSDYSILVSDYLAHGTLQDAINSNLVTNVAMEEELSIYYTI >CDP19773 pep supercontig:AUK_PRJEB4211_v1:scaffold_670:55256:56826:1 gene:GSCOC_T00006622001 transcript:CDP19773 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTKFILNEQSNCPTVQARKISRDLAQSHCFGLQVHLFYCQQDGYKYDISKFSHY >CDP19768 pep supercontig:AUK_PRJEB4211_v1:scaffold_670:23590:24143:-1 gene:GSCOC_T00006616001 transcript:CDP19768 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDTRLGCFFCFLFLFFLFLHLYLELFKQLFVSLICTEKVQLECLREDVEMPPFLESVTISSINLWMNSAQSRSSTHYDPHHNLLCIVSGCKQGLIFYGSSSLVFQL >CDP21794 pep supercontig:AUK_PRJEB4211_v1:scaffold_6717:476:2560:-1 gene:GSCOC_T00006793001 transcript:CDP21794 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKLMSILMLQVTLVAISRGVPRNGSSSKQNFGPKFAVKSIQYPSFIIFQSEDGDVIDCIGIHNQPAFNHPALRNHKIQMRPTYDPIMVTKNEKETREASDHKGNRDLYITTTAQIWHKSGSCPEVTIPIRRLAILHTDGYAYFGAKGDIKVCYPSVELDDEYTTSQVALKSGPYNQYEAIESGRAVNPSVYGDRQTRFFTYWTMGFPNFIDASVETGCFDATYPGFVQIGKDIALGAAIYPISKPNELPYQITIFIFKDPFTGNWWVNYGEKVYIGYWPGELFDKLSFSAEIVQWGGEVYSARVGTSPHTATQMGNGQYADRHAGTIRRMRVVQNSLVIKFPDWLNSYTDEYNCYDARYRWAFLPEPEFYYGGPGRSYMCP >CDP19777 pep supercontig:AUK_PRJEB4211_v1:scaffold_673:54320:59790:1 gene:GSCOC_T00013525001 transcript:CDP19777 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVKTLKGTHFEIEVKPEDTVTDVKKSIETAQGAEVYPASQQMLIHQGKVLKDGTTLEENKVVENSFIVIMLSKSKSSPGEGSTASTAATAKVPQSSAPPVAAEAASNPQPAVAQTASSPQPPAVTPAPPTTQPPAAVSDADVYGQAASTLVAGNNLEGAIQQILDMGGGTWDRDTVVRALRAAYNNPERAVEYLYSGIPESAEAPPVSRTPPSGQTTSPPAQLPPSTQPAAVPSSGPNANPLDLFPQGLPNLGSNPAGANTLEFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRLINEPIEGGEGNILGQLAGAMPQTIQVTAEEREAIRRLEEMGFDRATVLEVFFACNKNEELAANYLLDHMHEFEE >CDP19776 pep supercontig:AUK_PRJEB4211_v1:scaffold_673:37377:37961:-1 gene:GSCOC_T00013524001 transcript:CDP19776 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSSKKRVRVDSDETFEAGFDAPEVKRLREDLLGNFDDGEFYTASLELDSFMKSFEEEILSGTPTTTTTAVAVVDLTSSESGESQPDLGYLLEASDDELGLPPTTSEGQNGNEEDKAELVRVESDSSGLSGELWGYSEELPSYDSFDVGIGEFGDYIGGGGEYVALDGLFDYSDLGFGSTDFTWRPETLPAK >CDP19775 pep supercontig:AUK_PRJEB4211_v1:scaffold_673:26748:27821:1 gene:GSCOC_T00013523001 transcript:CDP19775 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTNFQNILNTLKPDLLVYDGFQPWASELAALNHIPSVLFLVVGTVNLSSVYHSRRCRVSGANETYPFPAIFYRDYEIKKILAKLQESKAKEGDEFDVFKSIELSSDIVLVKSWREMEGKYIDHLSSSCGKKLIAVGPFSNHEDDSKEADSYSHIIEFLNSKDEASLVYVSFGSEYFLSKEEREEIAIGLELSNANFIWVVRFPVGHAIGLEEALPERFLERVKGRGTVVNGWAPQAKILGHRSTGGFVSHCGWGSVIESIYYGVPLLALPMHLDQPLHARLAVEIGVGIEIFKDADGQIKREDFARVINEVVVKKKEGQLQRQKAMELSKKLREEGEEELHEAIEKLRSLCSKNK >CDP19774 pep supercontig:AUK_PRJEB4211_v1:scaffold_673:15170:16244:1 gene:GSCOC_T00013520001 transcript:CDP19774 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKRKRFDEEAVDGKKVKGSRKEADGGEKAAAAAVGLKMDEADGGDDDGEVEEFYAILRRIQVAVKYFEKSNGGSKKNSTAAVPWSPNFEREDFEVVNGVKSQERVEDNVGLDLNADPDPVPE >CDP21795 pep supercontig:AUK_PRJEB4211_v1:scaffold_6730:3478:3699:-1 gene:GSCOC_T00008607001 transcript:CDP21795 gene_biotype:protein_coding transcript_biotype:protein_coding YPYPSIHGRIWINLVKWVDLSPLHRTVNRAYVGVLSANAARERIIRAFLIEQQKIVKKALKIQHAKEKLASKS >CDP21796 pep supercontig:AUK_PRJEB4211_v1:scaffold_6734:818:2356:1 gene:GSCOC_T00009029001 transcript:CDP21796 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSAFLQVLFDRMARPEFLNLFRNRTTDDDLLRKLKRNLHTIGAVLDDAENKEIRNQSVKKWLEELHDTFYQAEDLLDRINTETLRIKIETEYQSTTSTWKSDKFLRKIMSEIETIVEDLEGYIEQINPLGLQVIQSRIQSHQQYETPLVDETAIFGRDADKEKIIQMLLSEDANGDNIIVVLIVGMGRLGKTTLARIVYKDLRVEVSFPTRAWVSISEEYDATRITKELLRELDISFVDSDNLSSLQWKLRAGLTEKKFLLVLDDVWNSNYNQWDNLRSPFYGGSRGSKIIVTTWDQNVARMMAKERSIYHLDLIAEEDCRSLFKKHAFENRDANENAELELIGNKIVKKCGGLPLAVKTVAGILHSRTTPEEWEEILISEEWTQMDNQNGPIPALRLSYIHLPSHLKRCFAYCAVFPKDYQFRKEGIIQLWQANDLLGYPGEEKRFEKKGEKCFHELIMRSLFHQSTDHTFSMHDLVNDFDRLQFYHLFYY >CDP21797 pep supercontig:AUK_PRJEB4211_v1:scaffold_6738:1:904:1 gene:GSCOC_T00009815001 transcript:CDP21797 gene_biotype:protein_coding transcript_biotype:protein_coding RVQTMTTTDTKDVAGTVEQVMGIADKGADLVRITVQGKREADACYDLI >CDP21798 pep supercontig:AUK_PRJEB4211_v1:scaffold_6740:1779:2034:-1 gene:GSCOC_T00006971001 transcript:CDP21798 gene_biotype:protein_coding transcript_biotype:protein_coding VKDLDVDSLFISHIQVNQAHKQRRRTYRAHGRINPYMSSPCHIELILSEKEESVKKR >CDP21799 pep supercontig:AUK_PRJEB4211_v1:scaffold_6748:1085:3432:1 gene:GSCOC_T00005363001 transcript:CDP21799 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFVPKIIDELGDVVVNQLGEKINLVMGVEEEVANIKRKLETIQNVLHDAERRRQKEKPVAKWLEELEDITYEMDDVLDEWNIKIQKPKYEGTHQKQPTLRNKVRSFIPSCCSCLKQLPARQFEFISSGGIPDSQDFQRIMTTSIIDESGIYGRESDKDALLDQVLSETSSSQGRDGVQIISVVGAGGSGKTTLAQLLFNNDEVKNHFDFRNWICVSDPFDQKRIAKAILESAGSGSHFMLELDSLIRLIKETFSGKRFLLVLDDVWTEDDSKWKPFQDSLKDGAPGSVILVTTRSNRVATVVGTTHTHQMSQMSDSDCWLIMQRIAFARKSGDLCKKVERIGLKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLKEAAVSLFPHLYLSYNELPPELKRCFSYCAVFPKDFEINVEELIRLWIAQGYVRPNRRGERLELVGLEYFNNLAMRSFFQELQEVEGYYGFHEYMKCKMHDIVHDFAQFLTKNECTERAFSSLVIDFGRLRSFFAFSHGRVAPQGSARHLFCSLNCVRTLTLSCCGLHEIPAEIGSLNHLRHLDLSWNLFETLPEAICDLYYLETFDISNCGDLSCLLQRIEGLVHLRILHIEYCKKFETLPHRILGKISSFKSLKVLGCHKLRDCYSDKIGDDWMKISHISQVDIS >CDP19778 pep supercontig:AUK_PRJEB4211_v1:scaffold_675:11821:13620:-1 gene:GSCOC_T00010382001 transcript:CDP19778 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDVSDTIHPPNLADSLQIPICCGLLHFQLPPNFNFYLFPFFLLLLYEGFFCKITLLSNQVNANYF >CDP19780 pep supercontig:AUK_PRJEB4211_v1:scaffold_675:40010:46898:-1 gene:GSCOC_T00010386001 transcript:CDP19780 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGAVIRGDTSHYDAVANSAASGVLSAGLNSGVPCIFGVLTCDDMEQALNRAGGKSGNKGAEATLTAIEMASLFEHHLKF >CDP19781 pep supercontig:AUK_PRJEB4211_v1:scaffold_675:56736:57887:1 gene:GSCOC_T00010388001 transcript:CDP19781 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGKTSTLLRRIKTESSNGRKDYSLGKKIHWQMIIVGCVSNEYLNVKLLILCAKAGDHNLAHILFDKLQMKSLVSWNSMIAGYAQKGLEEVGLSMFHEMRNNGLIPDHHSFASVFRQAHALWIKCQISGNLVVNSALMDK >CDP19779 pep supercontig:AUK_PRJEB4211_v1:scaffold_675:35048:37924:1 gene:GSCOC_T00010385001 transcript:CDP19779 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFVPKIIDQLSDVLVTQLGEKVNLVMGVEKEVANISSKLATIEKVLHDAERRRLKDRSVGIWLEKLEDITYEMDDVLDEWNFKIHGAKNERTQQNARLQATLWSKVRSFIPSLCSCLKQVPVRSDIALKIKSINEKLELTLKEGDQFKFISTGGIPDSQDFQRIMTTSIIDESEIYGAGGSGKTTLAQLLFNNDEVKNHFDLRNWICVSDPFDQKRIAKAILENAGKSSQESELDPLIQRIKETFSGKRFLLVLDDVWTEDDTKWKPFQDSLKDGALGSMALISDSDCWLIMQRLAFARKSGDLCKKVARIGQKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLEEAAVELFPHLYLSYNELSPELKRCFSYCAVFPKDHVIDVEDLIWLWIAQGYVRPRRRGESLELVGLEYFNNLAMRSFFQELEKVETYYGLTEYMKCKMHDIVHDFAQFLTKNECHALDGTGRNSSSERPRHLTILEEGTEEEMFSSRVVDFGRLRSFITFPGIRRVVVPQNLFSRLKCVRTLTLSDCELDEIPAEIGRLIHLRHLNLSLNPFITLPEAICDLYYLETLNITLCRKLSNSDDLAILKDLNQLERLVIKIKGEVDFGSAKLGKKINMREMFLFFSDGTHFIETPSCIESMEPPPNLEQLMLVGYPGAQLPSWLVTKSHANNLTKLVIGRPHNISSLLALRKLSSLEELKLVRVEELKCLGKEFFGSSFSAEAVAFPNLRKLHFEDCNNWTNWEDLKIYDCKKLETLPHRILKKISSLKILDIRRCIKLRNRYSDKTGDDWIKVSHIPRVDISNKYY >CDP21800 pep supercontig:AUK_PRJEB4211_v1:scaffold_6750:1667:2559:-1 gene:GSCOC_T00010243001 transcript:CDP21800 gene_biotype:protein_coding transcript_biotype:protein_coding ALFGKTSFCLVFLHILSLPLGTATRLLREQEAIGSLENLYHSIENLDEAYIQPNQNKDILLRPKPPAGDPVTLLALEDSPKPETTQSKAFYRCGNSSYSCTRHVTDDPRAVCPNCGSTMASGVNYVVPPQTSDSKEGGFVKGATTYMITDDLEVKPMSAISSIALLNELNVKNLSALKEKEVDLSMNELLKESLESKTVLTSVFLDVKSEIP >CDP19782 pep supercontig:AUK_PRJEB4211_v1:scaffold_676:11698:13442:1 gene:GSCOC_T00005352001 transcript:CDP19782 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSKNNHFCELLHCYANQVAELDKMVSKLVFESYGVEKYHESHVGSVTYFLRLAKYRAPEQNETNLGVRPHTDKNFITILQQNEVDGLEVQLKNGSWIPVDFPPFSVVIMAGDAFSVWSNGRVHSPFHRVTVKGKGRYSIAQFAYCKKLVEAPTELVDDEHPLLYKPFDNLGFLGFISTDEGRKTQNPLKAYCGI >CDP19785 pep supercontig:AUK_PRJEB4211_v1:scaffold_676:49291:51198:1 gene:GSCOC_T00005356001 transcript:CDP19785 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPKLQKLPVINFGKEKLQHGTNGWSFARNEVRHALEECGCFLAVYDAVSFKLRDSVFSALEKLFDLPVETKKKNTSDRDLFGYFSIDGDSSNHESMGIENSTDIEEVKKLSKLVWPQGNDDNFSGIIHECANLMSELEEVVIRMVYESYGVEKLKCDSHLDSNMHLLRFNRYISHGVDEKTVSSNAHTDKTFITILTENRENGLEVKLKDGQWIPVDFLPSSFVVMAGEAAMAWSNSRIQPCFHRVLTNANAK >CDP19784 pep supercontig:AUK_PRJEB4211_v1:scaffold_676:35408:38081:1 gene:GSCOC_T00005354001 transcript:CDP19784 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPKLQKLPVVNFGQENLQHGTKSWSLARNEVRHALEEYGCFLAVYDAVSFKLRDSVFSALEKLFDLPVETKKKNTSDTLFFGYICDDRDPSIRENMGIENSTDIEEVKKFSKLMWPQGNDDNFSGIIHECANLLSELEQVVIRMVFESYGVEKLKCDSHIDSIMYVLRFNNYKAPGVDEKTVIAPPHTDKCLISILAPSQVNGLEVNLKDEQWIPVDFLPSSFVVMAGDALMAWSNDRIRPCLHRVQMNANARRSSVLMSSYHKGVVHIPQELIDEENPQRYKPFDHLEYHNFLKKELQTGQHLQCDAIKRYCGV >CDP19783 pep supercontig:AUK_PRJEB4211_v1:scaffold_676:15856:18399:1 gene:GSCOC_T00005353001 transcript:CDP19783 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASNIRLPVINLTEEILRSGKDSWTEARNTVTRAFEEYGCFIAVHDKYPSEVSDSIFSELQDLFNLPLEIKVQNTSQTPLFGYYGPNPYLPLYESTSIEDAINLEAVQKFTNQMWPSKNNHFCELLHCYANQAAELDKMVSKLVFESYGVEKYHESHVGSVTYIVRFTKYRVPEQNETNVGVLPHTDKNFITILQQSDADGLEVQLKNGSWIPVDFPPSSVVIMAGDAFSVWSNGRVHSPFHRVTMKGKGRYSIAQFAYCKKLVKTPTELVDDEHPLLYKPFDNFGFLRFTSTDEGRKAQNPLKAYCGV >CDP21801 pep supercontig:AUK_PRJEB4211_v1:scaffold_6768:628:1329:-1 gene:GSCOC_T00008513001 transcript:CDP21801 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKPGCPQELRILGKKITTNCKGLPLTIVIIAGILSTIEDDAWSEVADSLTSTIVYATDQCKSTLELSYRHLPHYLKRCLLYFGAFREDQEIETAKLMRLWIAEGFVFAEGLVSDTETKRIEDLAEEYMMDLIGRNLVMVAKKRHIGGVKTCRIHDLLHEFCKEKAKEENILQVLCGYGELSTFNEHLYLERLSIWSKVEHFKKSRLFCPQICSLLLLSQIEESDSFMADM >CDP21802 pep supercontig:AUK_PRJEB4211_v1:scaffold_6769:1001:3324:-1 gene:GSCOC_T00006997001 transcript:CDP21802 gene_biotype:protein_coding transcript_biotype:protein_coding MESYNASDATTKLDRKTTERNRRIRMKSSILELISLVPQQFNPSKERLSPKDQLHRVTAYITQLRERVEKLKKMKEMLISKFNTSGIPGSGIPVVKITEIGSNLEVVLVTGLSKKFGLHEVILVLHGQGVEVVSISISTMADRIYHILHAQVKMPRIGVDTLIIYDRLQKLCLD >CDP21803 pep supercontig:AUK_PRJEB4211_v1:scaffold_6772:2934:3329:1 gene:GSCOC_T00012443001 transcript:CDP21803 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIVRDIGTENRNTGQKNFMLLLPSSLQPFVLKVSTPFLSLIFSPTSIKETR >CDP21804 pep supercontig:AUK_PRJEB4211_v1:scaffold_6776:2487:3072:1 gene:GSCOC_T00004202001 transcript:CDP21804 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLISLPFTLSINLIQGGIVLQILACALYNNWWPMLTGSTYALNFFGWLGYFISLLRISKWLGRRDQILNWGFSCW >CDP21805 pep supercontig:AUK_PRJEB4211_v1:scaffold_6777:3:2078:1 gene:GSCOC_T00004842001 transcript:CDP21805 gene_biotype:protein_coding transcript_biotype:protein_coding VSKVLCVDSCSPPPLPFQNAELEICPNVVICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQVCMAKYMIS >CDP19786 pep supercontig:AUK_PRJEB4211_v1:scaffold_678:22419:23138:1 gene:GSCOC_T00009604001 transcript:CDP19786 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTPVNIPSKMKAWVYGQYGKPEDVLKLKSEVDVPDVNDDQVLIKVAAASLNPIDFKHMHGYFKAIGSSPPVNTFFLFFFSRKIVVFRVGSKVKEFEVGDEAYGDIHEHALYPKECGSLAEYTAMEEKVLALKPKNLSFAEATSLPVAIETAYGGPESAGLSAGKSLLVLGGACGVGSNVILLYVQVKKFDLHLFHCKYTGQLVV >CDP21806 pep supercontig:AUK_PRJEB4211_v1:scaffold_6797:2854:3701:-1 gene:GSCOC_T00008616001 transcript:CDP21806 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHNNQFSGSIPITFGYLNSLVNLSLYQNYLSGPIPPSLGNLTNLIHLDLSNNQLSGSIPVSIGNLSNLQYLLFRNQFSGPLPELLWPILTVTVSKEAYQKCLDFSDLSNYKFYGKLSSNWGKCKILKTLVVAKNNITGGIAPEIGNLTQLHTLNLSSNYLSGEIPREVGKLASMLKLDLHDNQLTGGIPQELGVRNSV >CDP21807 pep supercontig:AUK_PRJEB4211_v1:scaffold_6799:293:2629:-1 gene:GSCOC_T00004273001 transcript:CDP21807 gene_biotype:protein_coding transcript_biotype:protein_coding PLTIVANILVQNFFFFLGEQSINDVICGVIFLGARLYMQAMNQEKTNASSTALVLLNTRNIAGYKSIEEMVEPNTESTWGNQFGFLHVSVPRFTKKDSSNPLNFVFKAQKTIKSKRDSAAVYLTGQLLETLRRHRGPEGTAKYVRGTLKNSSMTISNISGPVDQLALANHPAKGMYFMVVGVPQSLTITMISYMRELRVAVGTEKGLIHPQKFQSCIEDAFNIMFKAAAESKSGSATPTQIN >CDP21808 pep supercontig:AUK_PRJEB4211_v1:scaffold_6801:3:434:-1 gene:GSCOC_T00007855001 transcript:CDP21808 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQILLCLVLFSLGLLLPLPSHSADPSPLQDFCVADLDSSLYINGFPCKNPDNVSSQDFFANGFQQSPGEFNIFDVNVTRQDVHRFPGLNTLGMSMNRVVLKPGGLNEPHVHPRASELALVMDGNLFVAFVTTGNVFYWKIVT >CDP19787 pep supercontig:AUK_PRJEB4211_v1:scaffold_681:46672:49492:1 gene:GSCOC_T00010995001 transcript:CDP19787 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPLPFFLLFITAKSFSTVAADVQLGSTLSASDPNSKWTSPSQTFTFTFITDPSGASSAHFAAIIYDNSPNIPIWIAGGSDLGAADSTATLRLLSNGNLELRNGSSNSLVWQSSTSGRGTASASLDDSGNFALRNATRSDIWSTFDNPTDTIVPSQNFTRNHVLRNGIYSFRLSNSTGNLTLLWNESIFYYNSGLNSSATVNWTSPSLTISPIGIITLSDLHLSGPLSLAYSSDYADATIVLRFVKLDNDGNLRIYSFGKGSGSKTVTWSAVSDQCRVFGYCGNLGICGYNETGPVCSCPSQNFEPVDQRDSRKGCKIKVDLQNCQGNGTMMQLDHTVLLTYPPESVADNTQVFSTGFQACKSNCLVTNPCLGSTSLADGTGFCYQKTSNFISGYQSPALPSTSFFKICGQPEPNPPVLSADNVKRDGWRLKAWIVVVVVLVTILGLILVEGSTWWWCFRDSPKFGGMSAQYALLEYASGAPVQFSYKELQRTTKGFKEKLGAGGFGAVYKGVLANRTVAAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDNFLFTTEEHSGKILSWESRYNIALGTARGITYLHEECRDCIVHCDIKPENILLDENYNAKVSDFGLAKLINPKDHRYRTLTSVRGTRGYLAPEWLANLPITSKSDVYSFGMVLLEIVSGKRNFEVSAETNNKKFSLWAYEEFDKGNTEAIFDKQLSKNEIDIEQVMRAIQVSFWCIQEQPSQRPVMGKVVQMLEGITDIGKPPIPKGVTDGSVSGTSINASSISAFSTIAPSAPAPSSSSSVQTPGILSSVSARNTERASSSLLQSEAKSAL >CDP19788 pep supercontig:AUK_PRJEB4211_v1:scaffold_681:52686:55245:-1 gene:GSCOC_T00010996001 transcript:CDP19788 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLAVPVLPSFSLICNTTSSKRTSSSFSLPASSVPPKIGGLSIRCARVGGVEIPNSKRVEFSLQYIHGIGRTTAKQILVDLGMDNKFTKDLSEEELTSLREEVSKYMIEGDLRRSTALAIRRLKEIQCYRGVRHIQGLPCRGQRTKNNCRTLKGKKVTVAGKKKAPR >CDP21809 pep supercontig:AUK_PRJEB4211_v1:scaffold_6812:288:3794:1 gene:GSCOC_T00006492001 transcript:CDP21809 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAISATVKVLLGTVISIAVDRIGMVREVEAELERLSNTAAMIQCFLADADGKMHSQGVREWLKQLEDEVFKADTVLDELHYDNLCREVKYRNQLIKKKVCFIFSSFNAIGFSSSLASRIRDINTSLERINQQANVLELARKQQKEADAAGATASRQTDSIVVPNVVGRAGDESKIVEMLLTPSEKVLSVIPITGSGGLGKTTLAKSVYNNPKIGGHFGQKIWVCVAKEQIKIMELFKLILVQLTREEVKVDDREVIVKEIGEKLRGKKYFLVLDDVWDHDQGLWSDYFNTLMGLNETKGSWCLLTTRLKPVADVVSTHLKMNSDPYFLGKLSREECWSIIKGKVMSAGEEVPEELEALKKQILGRCDGLPLAASLIGGLLLNNRREKWQSIVKESLLNEYQSQINQILKVSFDHLSPPSVKKCFAYCSIFPQDTELGEDELIQHWIAEGFVLKNNRVMEETGGEYLRILLQNSLLEKVGESWRTYYKMHDLVHDFAKSILNPETSNQDRYLALNSSEASIRTLFLHLEGGISVDMLLRFKYLHVLRLYGDDVKFLPSSIGKLLHLRLLDISSSGITSLPESLCKLYNLQTLTIDDGELVGGFPKRMSDLISLRHLNYYYYGAEFKMPMQMGRLTRLQTLQFFNVSQEKGCGIEELGTLTYLKGSLEIRNLGLVKGKEAAKQAKLFEKPNLSSLRLDFERKSDNCDEDVLEGLQPHPNLQRLGIRYCMGNKFPQWLINLSKLEALWIEDCKRCNELPSLGQLPSLKRLYLRRLDNIQSVGDEFYGSSARRRKFFPALEELHVRYMENLAEWKEADQVRSTIGEAEVDIFPMLRNFCIESCPQLTALPCSCKILDVQYCRNLTSIKMGYGAASVEELRIDACDNLRELADLDLFGSSLQRLTIARCPRLISLGVNGQKCPLPCLEGLSINNCKGLATISDKMFKSCRSLRFLEVMRCPNLVSFSLNLQETPSFEEFTLVNCPKLIPHSFKGFAFATSLRELRIEGLPHTESLPHQLQYLTTLTSLSLANFGGIEVLPDWIGNLVSLENLVLWNCEKLQSLPPEAAMRRLTKLTRVEVCGCPLLRQRYTTQRGIYLEEEISSDPVRFSYLKFTL >CDP21810 pep supercontig:AUK_PRJEB4211_v1:scaffold_6816:2399:3604:1 gene:GSCOC_T00007044001 transcript:CDP21810 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKETEFLCKLTANHLFLAQFERLRATLRSLRVRSPELARSILQTIVAKGGRFDSVLWSHHSCPSPSLLAFLSTLELLQFNEPVLGLWSFDESSLKLRAEFLLYVQIVSYRVVESVKKHAELNGIQGNEDGFRNFNIPVEFLAKEDGFRILDEENGKCLWVFDRISNVGLSRLRPDMMAVEGEEEREGVAESVEEIGEEEMMVLKRVILENEEVFDVLCVNIEKQLGMIQKDDSGMAITLRTEGKQMEVEDRVFRLVQTCVQIVHVDAMKELLDKNELDGVVSHLKYLHLDFGVEDMDYRIDMHGKGHNSY >CDP21811 pep supercontig:AUK_PRJEB4211_v1:scaffold_6819:161:2080:1 gene:GSCOC_T00007503001 transcript:CDP21811 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAQSVYNNSQVDSHFEKKIWVCVSNNFEVTRLLKMILESLTRRNVEMTSRDVIVQEIRQQLVGKKYLLVLDDVWTESQILWDDFLRLLRGLNATNGNRCVVTTRKQQTASILATHDPYVLGKLSDDDCWSILTKKAIAGGEIPEQLHVMKEEIIKKCGGLPLAASVMGGLLRMKGKEEWQLILKNKLPNFSGDEDGVMETLKLSFDCLPSPSIKKCFAYCSIFPKDTAMKRDMLIELWMAEGFLQADVNSQMMMEEIGMNYVRILLQSSLFEETRGYRRIHYKMHDLVHDLAESMSKSTEVINSIRYLAVYSSGGEDRDKLLESQSTSLHSLFVKGDLSSDMLMKLKNLYVLNLSDARNRELPVSIGKLIHLRYVNLSKSQIRILPDSLCKLYNLQTLVLDSTYVKDLPNGTCNLISLRHLHYYKSDENFRMPLEMGRLTCLQTLEFFNVGPEKGRQIGELGCLNNLKGKLSIRNLQLVKDKEEAEEANLSEKANLFSLRLEWAWDRDREDDNYNDEDVLDGLQPHPNLKELAIRGFMGDQFPRWLMDLPTTLPKLERLEFNFCNRCRELLPLQNFTSLKELVIHRCGGLTNLPSDMLHSCTSLQKLQVTDCHNLISLALDLQQTPILFWS >CDP21812 pep supercontig:AUK_PRJEB4211_v1:scaffold_6836:1434:3776:1 gene:GSCOC_T00002540001 transcript:CDP21812 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLRQLSTFLHEEGRLLGGLRQEVQFIKDELGHMRAFLREAEAKEEDAQPRLQEWIKQVREAAYDTEDILDEFVVRFARHPATGFYGSVRRIFSSIKNLRARHRVASEIQGIKSRIKSISEAHQRYQSEYVNNTTWRYSRDDALLVEEAKLVGIDQRKNHLISELLEGDDHQLKVVSVVGMGGLGKTTLVKKVHEDPEVRRHFPVRAWVTVSETCDFQFLLKDLIRQLHEEGKKPVPQSIESMTTTELKKFVKDFLQQAGRYAIVFDDVWDVEFWNTIKFALPESCCGNRVMLTTRRADVASASCIESRGFVYRMEPLSVEDSRTLFCNKIFNGANCPGHLMDVAKGILDKCEGLPLAIIAISGLLASKDVNRIDEWEMVRRSLGGELEGAGKLDRVKKILSLSYNDLPGHLKTCLLYTSIYPEDYKIGCLRLVNLWIAERFVEWREGMSIEDVAWGYFSELINRSLIQVTGVFYEGMPGNCRVHDLLREIIISKSREQNMVTITTGQPTRWLSEKVRRLIVHTSSSNNTQHHQQMQNYCFDHLRSFVTIGSMNPLLLKTLLFDVLGSSKLLKVLDLRGQETEEEMPNEIFKMFHLKHLDLYHTRVERVPKAIGKLQHLEYLNLGNTGVRELPMEILKLQKLRVLKVYQQVDSSDDDYGFHGFKAPSNMGVLLALEILDSIDASSGSTIVKEIGKLTQLRELSITNLRELNIASIGKGDDHDTIDLSHHHPSLSSSFLQSLRML >CDP19794 pep supercontig:AUK_PRJEB4211_v1:scaffold_685:35943:39092:-1 gene:GSCOC_T00012811001 transcript:CDP19794 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEKLVKSKNRTSTDFFDSLFVELDGLQDCYRYTDAADEIRITKIEVELLSMFQMVVANWDDADKQHGAGQGLEPLLQDLEAASEKPMSDLRIADSESAVTVAVWPLELNFLRSRPQVEAAYEYVASNYSLKSHDFLSNYHEWNNFLGYLQDIVKKLSHNHPQLSTMYDVLKCLGRYVDKMRGSCPNLHRNLLTHFGGVLVRTAHFSYLCWIHRRDQDKKQGMIIMLSDLLKALMPNTPQFTELCIKLTSSFCSCHSQTPSNDDLVKAYIDFVIPKKVSQLKTFRKRLEDLIFFASSIYVPDRWREDDVKLTLKEIKANISELGSFSYSFHATEETWHADPAFFRLLEKMELLRVEVFLINLLYQRMNLKILDGYVESFQIYQRDASEGESEVHKLTWLYIKTMAKKAVSIYRSVHEKSITEEKFRDELFKVLKKIRLSKIEILMEELLDIQPSLIVHVRAQIENLDQGLRVIRTYLAGPLEENEKLIFTQADSVERDAARFYYPLLENEITEDTVRKFSHLLPELVEKMKFVNAQIKEIYLPYRRSSRSNFPKLEGIRCIDFFLVDLLMQLKTKADSVLSVKHEFHVVHEEIKFLRSFLTEHQDLKSIAVHIIQVTLEAEYLIDLFVVEDCLRWYHQLWLSDLVEDLKLIKLQARETCNNAHGINIHNVPTSSMMVSSPAKIPKIDEVVIDLTDEKKLVIDRLISGSGKLDVVAVVGMAGLGKTTLVRRVYNDPLVTYHFHIQAWCCVSQAYQKRELLLQILSDIVELTDDVLEMSDEELKLKLYRCLRRNRYLIVMDDIWSIEALYDFKRSFPNDDNGSRILITSRHFHVAAEDEVDGTPHSLRRLSDDESRKLLQKKLFDTKECPNELMEVGEQIAASCKGLPLAVIAIAGLLGRTDMILDRWKEVLESICSQTTDDPEMRCMEILDICYRYLPNYLKPCFLYTAVILEDKDIPVKKLAWLWRAEGFLTDTGAESIEDIAEGYLRDLIGRSLVLPSKRRSHGGIKTCRVHDMLRTLCIPESLKKKTFCSSKMGMTNFLILLTRI >CDP21813 pep supercontig:AUK_PRJEB4211_v1:scaffold_6850:573:2114:1 gene:GSCOC_T00012572001 transcript:CDP21813 gene_biotype:protein_coding transcript_biotype:protein_coding MRKISPWQRMKWTDNMVRLLIMVVFYIGDEVGSEGNDPAGKKKGAGGVLQKKGKWKSVSRAMMERGFFVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQSLLDTMDHLSAKIKEEVKKLLSSKHLFFREMCAYHNSCGHGGTGGASSSGAAQQSTEVGGVEPSSQMQQQQRCLHTSENASTPPNLARCEVEGSKTTKGRSFEEDNDEDDDDDDDEEEYEDDVVEGGGSGCEHGHPEEDDDTPDERCARKRRRKGIFLSPLVQQMSTELVKVLQDESKNSAEKRQWIKNRLLQLEERHVGFQSEAFELEKQRLKWVKFSSKKEREMERDKLSNDRLRLENERMMLLIRQKELELLDVYQQQQQQQHQSSRKSDPSSITG >CDP21814 pep supercontig:AUK_PRJEB4211_v1:scaffold_6869:1:3238:-1 gene:GSCOC_T00013472001 transcript:CDP21814 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVSFVSNHLATLLREEGSLLGGLRQEVQLIKDELGHMKAFLKVAEAKEDDDPRLQEWINQVREAAYDIEDVLDEFVLRFAGYRHHGFRGSLQRILKAIKSLRARHQVASEIQSIKSRIKNISEGRQRYQVEFGIDDRVAGSSTMTNSWRYSRDDALLVEEAKLVGIDQPKQHLISKLLEGHDHQLKVVSVVGMAGLGKTTLVKKVHEDPDVRKNFPVRAWVTVSQTCDFPKLLRDLIWQLHKELKKSVPQLIESISTIELKKFVKDFLRRVGRYAIVFDDVWDVEFWNEIKFALPEGNYGNRVMLTTRKADVASASCTESQDYVYKKEPLSIEDSWTLFCNKIFKGNRCPAHLMDVAKAVLDKCDGLPLAIVAIGGLLASKDASRIDEWEMIQHSLGGELEGIGKLERVKRILSLSYNDLPSHLKPCLLYLSIYPEDHLIACQRLIQLWIAERFVEWREGMSIEDVAWGYLSELISRSLIQVTEVFYEGSPNTCRIHDLMREVILIKSREQNMVTVTTGQPMTWPSEKIRRLAIHSILRSSKLLKVLELGGQEIEETPNEVFDLLHLTFLSLYGTKVARVPRAIGKLQHLEHLNLGNTGVRELPVEILKLQKLRHLTVFQRVDPSDSDYGFHGFKGPSKLGGLLALQTLNTVDASSGSVIVKEIGKLTQLRELYITQLRREDGKELCSSLVNLISLRLLSMPQWVAHLHSLVRLDLKWSGLRAEEDPLESFQHLPNLGEITFCGSYQGERLSFKAGGFLKLKQLWLRRMEGLRWMTVEEGACPNLRKLVLDRLPSLEDLPSAIRHLSHLQELGLYEMSSRMMEKVESQHEESEDYRRIAHIPEIVIVALAASRG >CDP21815 pep supercontig:AUK_PRJEB4211_v1:scaffold_6870:3:2650:1 gene:GSCOC_T00000836001 transcript:CDP21815 gene_biotype:protein_coding transcript_biotype:protein_coding AICCKGVTEATFSLNFKESQIKEMLQAGQSYHSVPASLIVLAPLTRQRSYNDIPQPHAILYYSQRTTKGGLLIAEATVVSDTGRGYPMTPGIWTKEQIEAWKPIVDAVHAKGGIFFCQLWHDFQPNGQAPISSTDKLLAPQVRTNGIDVAEFSPPRRLRTEEIPQVVNDFRLAALSAIEAGFDGVEIHGAHGYLIDQFLKDRVNDRTDEYGGSLENRCRFALEIVEAVSDAIGADRVGIRLSPFANYNGASDSNPKALALHMAEALNKYGILYLHMAFKAVVENRADLIAYGRIFLANPDLPKRFELNAPLNTYNRATFYIPDPVVGYTDYPFLETNA >CDP19797 pep supercontig:AUK_PRJEB4211_v1:scaffold_688:47068:48171:-1 gene:GSCOC_T00002075001 transcript:CDP19797 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNSALKQSIQEFCLLVSNYLELFQNVQFSWKSALNFDNHSQFFNIKYRTILLISGSLSIFFLNLNTANAKYPNGCTGHNKHFSPFIWLQVPGGLVTAGGLPLNKGNIYIGKTSPMSLHDAYLDQFGKDFTSFSTARAAEMVSGGHLFLRLQSNNDDPLAYNYPDLLGMTMNDMVSEGLIQETALDTFNIPHFLPSNRVLFDSNFIINFFFYPCQIVF >CDP19798 pep supercontig:AUK_PRJEB4211_v1:scaffold_688:53455:59556:1 gene:GSCOC_T00002076001 transcript:CDP19798 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVGATIQVALQAVVSLAADHVNLAREFPEELERLNKSAEMIRGFLAGADEVKHSHDPRLLGVQKWLEQLEEEVFKADNVLDELNYENLRRQVKYQHQLMKKKINTNLERIHRDADGLGLAYKPQVEEAFATIATGATSRRQTDSKIVRSDVLGRDEDESKIVKKLLTESESDSISVIPITGMGGLGKTTLAKAVFNTPQFDNHFDKKIWVCVAEKVDRIEVVFKMILESSTGRKAEVDNRQVIVDGIETELKEKRYLLVLDDLWNDQEVLLNDFFTTLEALKPKKGSWCLVTSRLQEVAIVLSRHRRINFTRHDLRKLYDDDCWSIVKNWANVGEEVPKELEALRKRVLRRCDGLPLAATLIGGLLSKKRKQEWLSILEGSLLNGDGGGIEQIIKVSFDHLSPVPVKKCFAYCSIFYEDTKMKQDLLVELWMAEGFLQPDSQNQTMEGIGYEYLRTLLQTSLLEEVHDGRGTWYKMHDLVHDFAKSILNRNSSNQDRYLAASERMVEIINEKTSASLRTLFLEGGIADDMLSKFKYLHVLKLFGADVKELPTSIGKLIHLHLLDNSDSMITTLPESLCKLYSLQTLRIDALEKGFPKKMSNLISLRHLHYDDDNTGRKIQMPSGIGQLTCLQTLEFFNIGHQEEGRGIQELGTLEDLKGSLEIRNLELVNGKDDAELANLSKKPNMYQLVFEWGNRDRESNKRDEDVLEGLQPHPNLKELHIRKFTGDQFPQWLVKSSTLVELHLVNCRELPTLGQLSSLQHLYLSSMLDYHHITISTLLGNLVSLEDLILSHCPKLEYLPSIDAMERLKLRRLQSHRCRLLTKAAPSGPRSLIFRSVPQVSVKQQAVIAPKHCERLKSVLKAESAWNLKGILLHFEHSPTATSGCGFPSFLKKDEEEAVASKQKCNGW >CDP19795 pep supercontig:AUK_PRJEB4211_v1:scaffold_688:3742:4905:1 gene:GSCOC_T00002072001 transcript:CDP19795 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQTPGRKTESVMFCSARNAEMKKKRRFEDSSNNHSKRKSKACSGMEEIQRLCAARICIHMQGFLSPRSKVQGRTAARSCNAFLNWTMDYTFPPKNKRDS >CDP19796 pep supercontig:AUK_PRJEB4211_v1:scaffold_688:18403:18765:-1 gene:GSCOC_T00002073001 transcript:CDP19796 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVKCSANYIPLTPISFLERSAIVYRDRISVVYGDSKFTWAETRDRCARLASALHSLGISRHDVVSYHLILGYSGFLCYSQFTCIFFLSNSFFFLISMLPCTVIKNPLIFLLLVSCHN >CDP19799 pep supercontig:AUK_PRJEB4211_v1:scaffold_688:59609:60251:1 gene:GSCOC_T00002077001 transcript:CDP19799 gene_biotype:protein_coding transcript_biotype:protein_coding MCYGVNEEVSSNLICFRQRKAYQGTNLLLRLVANLVDLGSIPL >CDP21816 pep supercontig:AUK_PRJEB4211_v1:scaffold_6894:3210:3671:1 gene:GSCOC_T00013070001 transcript:CDP21816 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIQHKFIQVDDGLKLHVAEIGSGPLVVLFFHGFPEICYSWRHKMIAVAKAGYRAIAPDYRAYGLSEPPPEPHPPSLARFCKRRPAAEFCSNRNNFFFPIFPLFLLLFSGRRRRWWWVGMGEEEEEKGKGIFFVYYFEVCRLKILISFLGFL >CDP21817 pep supercontig:AUK_PRJEB4211_v1:scaffold_6901:1042:1981:-1 gene:GSCOC_T00005975001 transcript:CDP21817 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVAITSAKDLKNVNWRHGPLKPYAVVWVDPKAKCSTRVDDEGDTSPYWEETLVIPFDSPIEDSTLLIDVVHAKAAEDTKPLIGSARLRLIDVVDEAGLGRRVERKLELKRPSGRPHGKLQVQVSVREPPRYRAPDPYYAPPYGVPQPGSRDYAAPQPYGNPPYGAPPASAYAAPPAGYPYSAPPAAPPPSYGQPSYGQPAYGQPSYGQPVYGEEKKKSKFGGMGTGLAVGAVAGALGGLALFEGIDYAKDKIADDAAEKVEEDIGYDDDDGGDW >CDP21818 pep supercontig:AUK_PRJEB4211_v1:scaffold_6911:58:2744:-1 gene:GSCOC_T00006470001 transcript:CDP21818 gene_biotype:protein_coding transcript_biotype:protein_coding NSATETAKLSEESLRKVLYLSSLGVGAVQAMRYQGLFRWNHTIRSIHQHAKNNLRSLSQSKQLSSSAFVSSRNKAEDQEKLKEAEDSLRKVMYLSCWGPN >CDP21819 pep supercontig:AUK_PRJEB4211_v1:scaffold_6913:1015:2575:1 gene:GSCOC_T00002414001 transcript:CDP21819 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYPPSPQPELVMGLCPHSDAAGLTILLQVNEVEGLQIKKAGGWVPVVPLPNAFIINVGDILEIVTNGIYKSVEHRATVNLHNERLSIATFLVPKLDGDMGPAPSLITPENPAIFRRISMIDYLKALFSRELDGKSFIDAMRTQIEDF >CDP21820 pep supercontig:AUK_PRJEB4211_v1:scaffold_6914:479:1834:1 gene:GSCOC_T00011251001 transcript:CDP21820 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWLAFGHFIPFLELSKFMAQKGHKVSFVSTPKNIDRLPRIPPNLASSITFVKIPLPRVDGLPENVEATVDLGDLDVAVLKKAYDGLEPELTRFLEYSAPDWIIYDFAPYWIPPIAAKLNISKSFFCIFSAASMAFFVPSVDAMIAGTDPRTKVEDFTVPPKWIPFESKLAFKLYESRWVVQGQNLDGSGVSDSYRVGSAIKGADVTLIRYCSEFEGKWLKLLEDLLQRHIIPLGLMPPPVEKSIVENNESWIAIKDWLDGQGKGSVVYVALGSEVSLNQLQLSELALGLELSGVPFFWALRNPSGLPEGFEDRVKGTGIVWKNWAPQLNVLSHDSVGGFLTHCGWSSSIEGLMFGHPLIMLPFVADTGLIARVLEEKQVGIEIPRNDVDGSYTSHSVANSVRLIMVENEGKIFKDKAKEISAIFGDQDLHDSYLHKCVDYLENKRHESK >CDP21821 pep supercontig:AUK_PRJEB4211_v1:scaffold_6937:1:1893:1 gene:GSCOC_T00012294001 transcript:CDP21821 gene_biotype:protein_coding transcript_biotype:protein_coding FKMAPLSFEDSWTLFCSKIFKGNGCPAHLTDVAKGILGKCQGLPLAILAISGLLALKDLNIAEEWEIVRRSLVGELEGSGMLDRVRKILSLSYNDLPCHLKTCLLYLSIYPEDYEIGCHRLVQLWSAERFVGKREGMTTRDIGFDYLRELVNRSLIQVTQIFYEGIPYACRIHDLVREVVLSKAREQNMIAITTGQCTKWLSEKVRRLVVHSSSNNNTEQHQESQCYSFNHLRSFITIESMNPLIFRTLLSEVLKSSRLLKVLDLSDEETLEKIPNEVFNLYHLRHLNLCRTGVKAVPNFIGKLRNLEYLGLRETQVKELPVEILKLQKLEHLMVLKKVDVSDESYGYHGFKAPSKLGGLLALQSLTTIDASSGSVIVKEIGALTQLKRLGISNLRREDGKMPKWIAHLQSLVRIVLCWSRLRVEEDPLEPLHHLPNLVTIQFCGSYQGEGLCFKAGGFLKLKKLYLEKLEKLKWLKVEEGALPSLQELSLDRLPLLEELPLDIQQLRNLRKLYLSELSSQLMEKLENLNEETKDYRNIAHISEVVIELWADEGWRLHRLWGKKM >CDP19800 pep supercontig:AUK_PRJEB4211_v1:scaffold_695:25835:32452:-1 gene:GSCOC_T00011631001 transcript:CDP19800 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYTILGLFIFLIAVKYQYLRKKNRKLRPPSPPALPILGHLHLVKTAPHLALQKLSIKYGPLISLHFGIRPFLVVSSPSLAEECLTKTNDIIFANRPESVSKIHFIIKKLFSSNSDEKTWKVKDMSSLFRELLFNVIMKIAAGKRWPSDQPGDIFSPRALTDLCDYIPILRWVGYGGLEKGVISLHQKRDEFLQGLIDQTRKEVAEDGSCSTERRKTIIQKLLSLQEAEPEYYTDEIVKGIIQAPDLVVSGEMVYATNFSVGLMLSAGTHTTSQTMEWALSSLLNHPNVLQKARDELEKMQPGHLLNDSDLSKLPYLRCIINETLRLFPAAPTLVPHFSSEDCTIGRYEVPKGTTLLVNVWAIHRDPNVWEEPNKYKPERFEGMDEGGWNEGFKFLPFGKGRRICPGAAMAIRLAGLTLGTLIQCFEWQRVGPEMKTGIHGDPNVWEEANKFKPERFEVIYDEGSNFLPFGKGKRACPGAALAMKLVALSLGTLIQ >CDP19801 pep supercontig:AUK_PRJEB4211_v1:scaffold_695:58884:59495:-1 gene:GSCOC_T00011634001 transcript:CDP19801 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAGTHTSAFTMERAMSCLLNHPDILQKAKNEIDDQVTYSGRLLEDSDLSKLPYLRCIINETLRIFPPAPTLVPHYSSEDCIIGGYKVAKDTTLFVNAWAIHRDPNLWEEPSEFKPERFEGLDEGFKFLPFGKGRRACPGAAMAMRLVGLAVGTLVQCFEWESAESQMVEFDEKSGVTLGKTKPLEALYKPRLSMISLVSQL >CDP21822 pep supercontig:AUK_PRJEB4211_v1:scaffold_6954:693:2966:-1 gene:GSCOC_T00005434001 transcript:CDP21822 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSSSVSTSSGLVLNKINSSKLDYFYEVFVEPEVVNPASLPLINPYTTFGKKSFSPTRVIKSLIQYHPKGIKEYIQASKIDQHPIPATKKEQFITLHIPNDFPLQWRQQGYTHVHFGAIRISLPFHGRKGLPIVARVALLDTRFTQYQHACIATVETTLNAGTVFVTLFPNFNMSLVDPHLLDSLKVHVQIIGVDQVQDAIAATLHYQMAYRVQNHALDLTIPGGEDALLIQVDEKNSTSCTHIPRQISKSDLVQLLPNSWITDYENLHTQANEPLESSNSRITKTIEGRTSISFDHSHLKSSSKRIPSIMLAEVPMQLPTQEGKLWGHYEENCEKGFLQDIIEHFDKNGEAVYHFQDPTSGHIYFDTCTNCEECYSAEQLELDASDLSFDKKKNKPVDPQPFEPRPCKPDHKPQVPNSDNFQSARSKFDGYQIPSAWVYQVPKAKQQTKKIQKEWKPVPQPLPKTLENSTLPIQSFMFQETDFPPLESFVKNGSKHTPKIQNAAPIILPTGESATTDISYEILNWQMENSLVQNSALTSIHHNVSEVSQKVNHINTSVDHLDTSVKSQKEEVSKMIRVLEQRLASIKYDSPANSSSLANFVLNQEKETKFLQRQIATLKETGDVPKYDIGPFEPPPQVSLGFGAIPLRNWPTPFYFGNVTTPNPSVFFPDQPQPVTTPLLPRANPRVSAGRLPSSRHDSKLKAIKLDKSKEHYIQYVQSQKLDLHLQKSRVKPL >CDP21823 pep supercontig:AUK_PRJEB4211_v1:scaffold_6957:2113:3077:1 gene:GSCOC_T00012790001 transcript:CDP21823 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAQVGPVKKKRNLPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRNGKEVKKRVYVCPEATCIHHDPSRALGDLTGIKKHFCRKHGEKKWKCERCSKKYAVISDWKAHTKTCGTREYRCDCGTLFSRRDSFITHRAFCNALAQESARSQTQTLAITNEEGTLKVQAQTTTTRTSSSSPPLPPLTPSTGVLNS >CDP19802 pep supercontig:AUK_PRJEB4211_v1:scaffold_696:19433:39594:-1 gene:GSCOC_T00008307001 transcript:CDP19802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46180) UniProtKB/Swiss-Prot;Acc:Q9FNK4] MASKRPYQQLMKRICSSMRRDARNFSAVPQGTASALSSQHIINLEYKYSAHNYHPIPMVFSQAKGCSIWDPEGNKYLDFLSSYSAVNQGHCHPKIMKALVEQAEKLTLSSRAFYNDKFPVYAERLTSMFGYEMVLPMNTGAEGVETALKLARKWGYMKKSIPRDEAIILSCCGCFHGRTLAAISMSCDNDATNGFWPLLPGLLKVDFGDEVGLEKIFREKGENIAGFLFEPIQGEAGVILPPDGYLKAVRDLCSKYKVLMIADEIQSGLGRSGRMLACDWEGVRPDVVILGKALGGGVLPVSAVLADKDFMLCIQPGEHGSTFGGNPLASAVAIASLDVIRDERLAERSAKMGEELRRQLSIVQQQFPEIIKEVRGKGLFNAVELNSKALFPVTAYDICLKLKERGILAKPTHDSIIRLTPPLSMSLDELQEGSKALRDVLELDLPQMQKKKPLSVSHNVANVCDRCQRNLYGSS >CDP21824 pep supercontig:AUK_PRJEB4211_v1:scaffold_6964:110:307:-1 gene:GSCOC_T00011615001 transcript:CDP21824 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHLQALGPSLLRTGSAIGFVAFTVLLTSWALTFAIGVEHLFGHAWDQLVIYNLADRYGLTGWI >CDP21825 pep supercontig:AUK_PRJEB4211_v1:scaffold_6964:371:3388:1 gene:GSCOC_T00011616001 transcript:CDP21825 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLPKTHNLNVLYLILSLSLEHSNCDLLLFSFIIFSIATNIFSLSNKPEVSSFSLLFFSGVLDNGQEVAVKRLSRSPGQGAEEFKNEIVVVAKLQHRNLGRLFRFCLEGEEKILIYEFVPNKSLDYFLFGGNDQSGMEYSICFNDFINNYTEVGQFKTFRVKSLNWSRRYNIIGGIAKGLLYLHEDSCLRIVHRDLKASNILLDGNMSPKIADFGMAKICGVDQSEGNTNRIAGTFGYMAPEYMRRGQFSTKLDVFSFGVVILEIVTGKKNSSFHICPMRIFTVMMPLGLTISPLFVVTHSRVHWRHGEPLALLDSSIGDSFAKNEVIQCIQLGLLCVEEYVSKRPSMASLVNMLNCSSVTLPTPHRPAVFRSHGSESMVEEVEVEQSNTERISIPSSVNEATITEPYPR >CDP21826 pep supercontig:AUK_PRJEB4211_v1:scaffold_6967:53:1894:1 gene:GSCOC_T00001067001 transcript:CDP21826 gene_biotype:protein_coding transcript_biotype:protein_coding VSTFADMEGEETFDPSLLGHADEVVEEHVADDDVIMIKGTKTTSGVSLILRGANDFMLDEMDRALHDALCIVKRTLESTTVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVARLRAYHHTAQTKADKKNLSRYSFLNPENCCLFQIYMSFCSYQIVISFLYQLHFWKCCSS >CDP21827 pep supercontig:AUK_PRJEB4211_v1:scaffold_6973:57:1494:1 gene:GSCOC_T00007984001 transcript:CDP21827 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISLPEKPHAVCIPYPAQGHINPMLKLAKLLHHKGFHITFVNTEFNHKRLLKSRGPDALNGLPDFQFKAIPDGLPPADVDATQDVPSLSESTTTHCLGPFRDLLAELNDPSSSQVPPVSCIVSDGAMSFTLEAAAELGVPEILFWTPSACGFLGYMHYAKLIEKGLIPLKDASYLSNGYLEQALDWIPGMKDIRLRDLPSFLRTTNPDDYMVKFVLQETERAKKALAIILNTFEELEEDVINALSAILPPIYAIGPLQLLQKEVKDERLSVVGSNLWKEEPECLEWLDPKDPNSVVYVNFGSVTVMTPDQLVEFAWGLANSKQTFLWIIRPDLVSGACAILPPEFLEETKDRGLLASWCPQEQVLSHPAIGGFLTHSGWNSTLESICSGVLLHQMGQWIGDRQ >CDP21828 pep supercontig:AUK_PRJEB4211_v1:scaffold_6978:2:1869:1 gene:GSCOC_T00011309001 transcript:CDP21828 gene_biotype:protein_coding transcript_biotype:protein_coding VVQEKEREKERKEREKGKEKEQEKGKEKEQEMDFVQDFFIPEDSTAILHLAVEHGVFELVEECLKVFPDLIWYADKPPRSVPWRHAHYKSTVEGRLLWNDATQHADTASAHSTPPGTKYTDTTSGHWKWHEGQYADIPTGRLLLHVAIEHRRVELFNYMITYIGKNTKAYADLKLEGNNNSLHLAAKLAPTPQLQSVPGPAFQMQRELQWFRAVEELVYDELKTEKNLDDKTPREVLFDAHKDLLSSAKEWMKDTSNSCMVVATLVATVAFAAMITVPGGNNSDTGIPILARKRLFVAFSVSNALSMVSSAVSLLMFLSIQTSRYTETDFLDLLPKSLLRGLLSLFIAIATMMISFGTAIGLSLQTRLNWSYIPITIIACVPVIIFTWLQLPLLWQAIIVKSGPGIFQGQRDRKLWCLKKIGCGPVAYDRV >CDP21829 pep supercontig:AUK_PRJEB4211_v1:scaffold_6978:3045:3550:-1 gene:GSCOC_T00011310001 transcript:CDP21829 gene_biotype:protein_coding transcript_biotype:protein_coding DRLHSFERAIHHNCPVCYAYLFDTRKDNRVLACRHTIHLD >CDP21830 pep supercontig:AUK_PRJEB4211_v1:scaffold_6979:2770:3384:-1 gene:GSCOC_T00005211001 transcript:CDP21830 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQLSRMWSLNGMTALVIAGTRGIGHSIVEELAGFGATVYTCSRNQKELNERLQEWGAQGFKVYRSTCDKASRTEREELIKNVSSTFDGKLNLLVSTNSDP >CDP21831 pep supercontig:AUK_PRJEB4211_v1:scaffold_6989:1:346:-1 gene:GSCOC_T00009134001 transcript:CDP21831 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKVETISRLAQWRIDTFGPSTYKRSDPFKIGIWNWILSIEKNRYMYIRLFPD >CDP19803 pep supercontig:AUK_PRJEB4211_v1:scaffold_699:8426:9181:1 gene:GSCOC_T00010988001 transcript:CDP19803 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQTKIHPVLDIEAPSSARTLVPEGSVRSEKGDPAILHQPQIQENIPLMHSKPPKNKSCCCKFLCWTISLILILLIILGATAAILYVVFQPKIPQYSVDNLRISDLRLNFDLSLYAKFNLRITADNPNKKIGIYYEKGSHLSVWYKSTDLCQGTLPKFYQGHQNKTVLNVALTGQAQYGSTLLAALQEEAQTGRIPLDLKIDVPVSIKLGKLKMRKVRVLGSCMLIVDSLSTNSLISIKARTCKFRLKL >CDP19804 pep supercontig:AUK_PRJEB4211_v1:scaffold_699:9943:15170:-1 gene:GSCOC_T00010989001 transcript:CDP19804 gene_biotype:protein_coding transcript_biotype:protein_coding MESSETLIGAAQDAKKRCEALNDRIEGLRTQSSSSPTSNWKTTLLRLVHSELSFLNRFLISASLNNNRQSSLSVNVGHLESIVHILELPSISGISRVCKSIPLVSSQEKLRPNAASCLKSIYVDIMCCLEGSPVWFIVSDRNPKYISWEWSSGNKGLRNRVEEVLEAARSSETLRPSSVIFFFSKGLEDVVYEKLQHEFGAADLRLTFPCFDCSFCEGSEDDWIDVLLRSYEEACVLKLEIKDQSNYQNLTSTTGCIIRQTLRDLPLPEENIQGTPTLGESLCSLISGLKCCLLDMELNLLETMCNGQSLQLVNFDTTALIAIVSGISSGGMNKLLATSESKLRSQFKSNYEFVIAQVNSEIRNPIHKELSASTSGKGGIICESVHSEFQELVSMCGGPNEKIRANYILKHLRMVPDCPSSRLASLPTTRKLALKNKVVFGTGDYWHAPTLSANMAFVRAVSQTGMSLFTIQHRPRALIGD >CDP21832 pep supercontig:AUK_PRJEB4211_v1:scaffold_7006:565:2709:1 gene:GSCOC_T00007431001 transcript:CDP21832 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCVFSDVNNFSFSVSPRDFGAGESGWAGLCFNPSQWSMAAVARSFCKSIDIYDQDIHLRTLRTLWYPSSLIFMNNFYGGSEGSVLAVAEGSQLTVWDLRIKENSGCVHRICGSVGDMVYAVCSSPTGTIAAGGADRTVTVYDPRRSVV >CDP19806 pep supercontig:AUK_PRJEB4211_v1:scaffold_701:27163:28905:1 gene:GSCOC_T00005842001 transcript:CDP19806 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEGKMESNEKVDSSAHTADGEVIDVNVQDSEAKDIELHHDNDGLDQSLVDDELSPLSEEPFYHHVVSFSHVNKLCHMVFPSRLRSDLPSKTVPAVVTCRGKSWEMTFLGGSQKLRFEVQSWKRFASDNGLKVGDAIFWELMESSDEKVSFKVQIIRGDFPSELQAQVNGETAATPILIE >CDP19805 pep supercontig:AUK_PRJEB4211_v1:scaffold_701:9155:14603:-1 gene:GSCOC_T00005841001 transcript:CDP19805 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G17620) UniProtKB/Swiss-Prot;Acc:Q0WTP1] MATKQMEEIQKKLSMLNYPRANAPAQSLLFAGMERYALLEWLFFKLLGDKSPFSQQNLQGDAMDRDEETSRIQYLAEIAKFLGITTTVDTEAIQGRGSYEDRTEMLRLIVDLVEASIYADNPEWSVDEQVARDIQLIDAIAERQAQIFSEECKLFPADVQIQSIYPLPDIADLEKQLSDQSNRLLSLQEMVDDLASKHSYNPDEDYAEVEAKLRAYLESFLETARSFNSIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLKNLRDSHAAVAVGSSESVGGEPSSVTRIISECETSLTLLNRDLGILSASIAREKGQDVML >CDP19809 pep supercontig:AUK_PRJEB4211_v1:scaffold_701:47932:50607:1 gene:GSCOC_T00005847001 transcript:CDP19809 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRKLCSLSARSRDFFYTSLSNALSSATNQRNLRRIHSLIITLGLHNSVLFSGKLISKYSQFKDPLASLSIFEQRSHKKNVYLWNTIIRAMTHNGLYSTALDFYTQMRELRIVPDNYTLPSVINSCANLLDFETAKLVHDHVLDVGFGSDLYICNALIDMYSRLNNLGRARNVFDGMSKRDLITWNSLISGYSSNGYWDEALEFFYKLRMVESIRLFFQMVLDFEPDTITLSSVLQACGHVRDLKSGRSVHDYMVKNRYTGDITANNLLINMYTKCDELLASREVFDSMKSRDLVSWNSMINMYAKVGELDNSLKQFENMEARDVVTWNTIIAACGHCEDCSLGLRMISRMRNEGITFEVPTLLSTLSLCSFLGAKRQAKELHGCIFRLGFESDVSIGNAVIEMYSKSGILWYSRRVFKLMKTKDVISWTALISAYGMYGEGMKALKVFQKMKKVGIAPDHVVFVAILFACSHSGLVQEGWDCFYQMKNDYSIEPRKEHYACMVDLLSRSGLLAEAEDFILSMPQKPDASIWGALLSACRCSGDIKIAERVSERLLELNSDDPGYHVLASNVYAALGKWDKVKMIRKSLRARGLKKQPGFSWLEIQNRVYIFGTGHRFFEQYKEVHDFLVVLSDLMAKEGYVADLRFALHDVEDDEKVDMLCGHSERLAIGFGVLNTEPGSPLQIMKNLRVCGDCHTATKYISKILQRVILVRDANRFHIFKDGTCSCGDHW >CDP19810 pep supercontig:AUK_PRJEB4211_v1:scaffold_701:52766:53896:-1 gene:GSCOC_T00005848001 transcript:CDP19810 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKLFRTWSSRYSLRIVWALKLKGIEYETIFEDLTNKSPSLLQYNPTHGKVPVLVHDGKPVCESLVILEYVDETWKHNPLLPQDPYEKSMARFWANFGDDKLMKSISQLFIAHEKDQDVAAVAALENLKIVEEQLKGKKFFHGETIGYLDLAFGWIANLVSILEEIMSLKLVDGERFPHLSSWIQHFIDAPVIRDCWPPRDKMIIKYQVMREKYLAAATPK >CDP19807 pep supercontig:AUK_PRJEB4211_v1:scaffold_701:31340:32480:-1 gene:GSCOC_T00005844001 transcript:CDP19807 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEHWFGCISNKIVIVSVCVCVCVCVCVCVLCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVLVSVCVCVCVCVCVCVCVVD >CDP19808 pep supercontig:AUK_PRJEB4211_v1:scaffold_701:33341:41824:1 gene:GSCOC_T00005845001 transcript:CDP19808 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRFILLCPSPTYLPLFLASFYVFLTIQADNNGLILDLIHRDSPEYPLHNPSLTPFQRAKNAVQRSFDRALTLDSSGVLPNGGEYLMRISYGTPPEETLAVVDTGSVLSWVQCSPCINCYKEKFRPFNPKKSSTFRVVPCSSDTCRIYYANNNTCGANSIKPSLPCPYGIVYNDRSYTRGVLAFDTISLGDSNKSRSFQRFGFGCGYENVGTTFPYLGSGLVGLGYGEFSLISQLYPTFSGKFSYCLGLSFDSAKPGKISFGNDQSITYSKGVVSTPFFTELGSPYYMIDLQGISVANTTLKFPNYLSSMLQVQGNIIIDSGTTITFLPLDLYVGLRSAVGGAMGNKTVPDPFSNFELCYASVNETKVPAVTFHFQGADLKLEPVNLFYRTSNTSVCLAFLPSNSVAILGNVAQMNVKVGYDLVKKIISFMPKDFFIKKIYYNDLIYILFSIKLLIFSFSNNWPDTPTSLHRDSPKSPLYNPSLSPLHHANNAFRRSFKCANHFASAKVIPSGGEYPTKISYGTPLFETLALIDTGSHVTWLQCLPCIHCYKENHQPFDPKNSSTFRSVPCTSKICHDFLGQKHTCYSKSSHKPCEYQVSHADSSYTYGDLATHAITLGSQHKKLSFQNFVFGCGHDNGGTFPDKGTGLVGLGPGGFSLLN >CDP21833 pep supercontig:AUK_PRJEB4211_v1:scaffold_7015:1342:1894:1 gene:GSCOC_T00006095001 transcript:CDP21833 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPCGLVLFKHRKLHSLYEALEKVKGELMLLGFISLLLTVFQGLISHVCIPHDSANIMLPCKLKTEASSGGSEHLDIAKYGRRLLAEDVSLETCACQVSCFPKKKSNLYFYLLV >CDP19811 pep supercontig:AUK_PRJEB4211_v1:scaffold_702:49769:54621:-1 gene:GSCOC_T00002632001 transcript:CDP19811 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKEKLVRFYSDANQLKGFSWSGSTESSRIDKSTSGYRFSSSLLNNNSGGKIRESFRFGRSKAFSEDHEPLRKRILDPGSDIVLTWNRIFIVSCLVALFVDPLYFYLPSIGEKQKILCVKTDLNLRIVVTFFRTIADLFYLLHVIIKFRTAYVAPSSRVFGRGELVMDPKKIAQRYIRSDFFIDLVATLPLPQIVIWFIIPATRSQQANHKNNALTLIVLFQYIPRLYLIFPLSSQIIKATGLVTKTAWAGAAYNLLLYMLASHVLGAAWYVLSVDRYTSCWKSKCRKENI >CDP21834 pep supercontig:AUK_PRJEB4211_v1:scaffold_7024:2:2982:1 gene:GSCOC_T00012270001 transcript:CDP21834 gene_biotype:protein_coding transcript_biotype:protein_coding FAKSNFSSPYFPSLFLFRVLISNCEIHVIVGPIFAGKTSTLLRRIKTESSNGNYTCLGKSHIDNSLVIGLNCYTRWRKIAVLAIGESFIIQTKAWY >CDP21835 pep supercontig:AUK_PRJEB4211_v1:scaffold_7025:573:2575:1 gene:GSCOC_T00012947001 transcript:CDP21835 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFVLKAPKRGARAPAAVARRKTEKVAKPLFEKRPKQFGIRGALPPKKDLTRFLRRSTSSPRLDKNLATNLFKMLLKYRPEDKAAKKERHLKRAQAEAKGKASDAKKPIVVKYSLQHVTCLVEQKLFSAEQGTIVAHNVDPIELVVWLPALCRKMEIPYCIVKGKSRLGVIVHKKTTSVLCLTSVKNEDKLEFSKVLEAIKVIHNFLQVVQIMIL >CDP21836 pep supercontig:AUK_PRJEB4211_v1:scaffold_7029:61:1617:-1 gene:GSCOC_T00010736001 transcript:CDP21836 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARNIGDHTGELFQAQAHIWNHIFNFINSMSVKCAIQLGIPDVIHKHGQPITLDQLIDALPIKNAKAPFVYRLMQILIHSGFFIEAKIPGNENDNQKGYLLTSASELLLKSNPFSMTPFLLAMLDPTLTDPWHHLSQWFQNSDETPFYTCHGRSIYAFASHEPWLNQFFNEAMASDTRLVSSVVTKDCKHVFESLNSLVDVGGGTGTFAKAIADAFPRLKCTVLDLPHVVDGLESSKNLGYVGGNMFEAIPPADAVLMKWILIDWSDDECVQILKKCKEAIPSKEKGGKVIIVDMFCKSLQKGDDDHEAIETQLFFDMVVMVLLKRRQRNEKDWAKLFTEAGFSDYKITAVLGLRSIIEVYYY >CDP19812 pep supercontig:AUK_PRJEB4211_v1:scaffold_703:50393:52193:1 gene:GSCOC_T00012642001 transcript:CDP19812 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQHDLVLHGKSPYPYISGGCICNACGQDDWKFFTYHCSSCEFDLDVKCALLSSTVKHKDHNHPLHLAYSLPSDYRSFPQGCSVCREKVHPSDWVYYCGPCRYFVHVTCIVISQKDEEQLSEDIEYPISGEQVQNVVKLPSSNAAQELIARFLLKDDEISSSNDSGKMNIPEEIFMNTHRKHPLILSEKVQNLDEIKSTTGDDQEEAKALLVCDVCIEPICSSDDLHYYACVECGNFVHLTCSNLPLELRIPKHPQHPFSCMYNPSEIGIFKCEACRCWTNANYYKCEPCGLRICIKCASASMMTSSVKHDGHKKHLLTQFQSSDPIHCTACDYIYRGGFGFACEDCHIYVCYVCALLPPTTTQRWDKHPLLLIYPPYFEHPEVFYCVLCETEINPNCWMYHCRECDYSLHPFCISQIGEFGRVKYGRSLNVNNHSHPLTHVPEAKYKSFCGSCNSRLDWKTAFECESCRFYLCPKCAFERELPVVTINE >CDP21837 pep supercontig:AUK_PRJEB4211_v1:scaffold_7037:1895:2765:-1 gene:GSCOC_T00005665001 transcript:CDP21837 gene_biotype:protein_coding transcript_biotype:protein_coding LKTQMQRELRWFQEVESILPLRMKKEVNSNGKTPSALFSGEHKALAKEGEKWMKNIAGSSMIVGTLIAAAIFTTAFTVPGGNDNKTGLPVMIGTHQKAFLFLMASNAVSMFTSTTSILMFLGILTARYAAKEFLKSLPTKLIFGITFLFFSMVTMMASFGTAIYLTLIEQVAWISYPIIVFCAIPIALYSLLQFPLLVEMISRTYGHDIFDKPSKKLYSFETDTTTTI >CDP21839 pep supercontig:AUK_PRJEB4211_v1:scaffold_7044:1407:3578:1 gene:GSCOC_T00000798001 transcript:CDP21839 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEVFLGAIIKVVFDKLASVDLKKFASSEGLDTQLKRWIQVLSLIQAVLDDAEDKQNMRIAVKQWLDDLQDLAYDMDDVIDEFSTEACRRKLMEAQGSTSKVRKVKIPSCCTNFSVKDYKFNRKMAPKVDEITRRLESMKEQIKILHLVETVAKRPNKTRDRLPSTSLVESYVYGRENDKEELLKLLLSNESSDDQVAVIPIVGMGGVGKTTLAQMVYNDDRVNEFFDSKAWACVSDDFDIFGVTKTILRAITASGCDYEDLNMVQVKLSETLTRKRFLIVLDDVWNEKYEDWDILRRPFLVGSSGSKIIVTTRHHRVASVMSSTAGYSLEELTDDQSLCLLARHALGRTNFDRHPNLEGIGTSIVRKCKNLPLAVKTLGGLLRARSTPDEWTDILNSEIWEIKEDQSDILPALRLSYYHLPAHLKPCFAYCSIFPKDYEFDKYELVLLWMAEGFLEESKESDLMEDIGDNYFKELLMRSFFQQSSSTSSRFVMHDLINDLARYVAGDFCSRLTDDLEENIKCTILDKVRYASFTSSMYVASQKFKTLQKAKHLRSFLPLPIFVNGYVISDLPNSIGELIHLRYLNLSGTSLKLLPESLSNLCNLQTLRLRNCSELINLPVGIRKLINLRHLENSNTSQLNEMPSGIDQLTSLQTLSKVVVSKNGGFRLNDLGNLFLLAGSLAILELQNVTN >CDP21840 pep supercontig:AUK_PRJEB4211_v1:scaffold_7045:464:2846:1 gene:GSCOC_T00000288001 transcript:CDP21840 gene_biotype:protein_coding transcript_biotype:protein_coding MAFADSCVRAYRNFALPFLTLCLIVLVDNAAAEWLNHGGALTNTRNAVVQEVLINPISVRKLRLKWRFIAGFDISATPAVASGVVYFPSWNGFLYAVRADNGALIWKQNLGTLTGLPPVGAIVNVTVSRSTPTVAGDLLICGVYGPAVVVAVRRLTGELVWLTRLDPRPLAQITASGTFYSGAYYVGVSSLEELLPAQQCCTFRGSMVKLDARTGTILWQTYTIPDNCGKLGGYSGAAIWGSSPAIDILRGLVYVGTGNLYIAPAEVLACQRALNNQTTPPINVSQCIGPDVHFDSIVAFDINSGQIKWSRRLSGYDVFNFECLVPNNPNCPPGPNLDADFGEAPMLLTINNNGRKRDIAVAVQKSGFAWALDRNNGDIVWSIRAGPGSNQGGGIWGAATDGKRVYTNIANGDRLPFTLAPSTRTTTAGGWVALDANTGQILWSTANPSNEISPGPVTIVNGVLFAGSTAPNGPFYAMDANTGDIVWTYNTGATIYGGASASYGCVFIGNGYSVGLAKFHPTWTSGNSVFAFCASA >CDP19813 pep supercontig:AUK_PRJEB4211_v1:scaffold_705:7457:10141:1 gene:GSCOC_T00004006001 transcript:CDP19813 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHESKCIQEIVGDVMAKLGRVIAVEGKNQVGIDSRVHKVNAMLNLRSDEVHFIGIWGMRGIENVVGMWLDFSTPKDVVIKNEAFENMKKLRLLKINNACVSRCPNCIPNEIQWLNQFGYPSKSLPESFQPEKLVGLMLQYRHVIQLWKGIKLDILKYINLSYSQKLIRTPDFTGIPNLERLILEDCSSLTEIHPSAGYLKRLQLFNLRNCTSLRSLPKQIILRESRSNDSLRMFKSWGISKNLECYGSFKSSTIIFLKHLKTLSFRECQKSEDSTGLVLPSVSGLNGLAKLDLSDCNLLDGGFLCDLGSLIELNLGCRKYQEPQEPSNPELVGCKRLEILPELPLSIEEVYADNCTSLQSTTDLLTKSGKVHWASFNNCFQMLQDKQTFSLICATWNHLLKFIELLSTKSDTFKCISHLMINKYVITYF >CDP19814 pep supercontig:AUK_PRJEB4211_v1:scaffold_705:11247:11675:1 gene:GSCOC_T00004007001 transcript:CDP19814 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSGTLGLHSTLKCQPKNSIHISCRYSSRREIGTHSHNPIPRGYGSFLATIQEVEKEIFLDIACFFKGKKKDHIRRVLDSFNFYPDIGIKALIDKSLVTISGGRILMHCLIQDTGKAYCSPKGSRRARKTQQAMGSRGNL >CDP21841 pep supercontig:AUK_PRJEB4211_v1:scaffold_7056:2148:2773:-1 gene:GSCOC_T00008829001 transcript:CDP21841 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHNSNNDFTVGCILSIKTPLGEEFQGQVLTSDRSSNILVLNILLLLFYQDFPLKSFIFSFQLLKANYIKEFTFLGQGEDPLDLKKLAFFRQVELEAERFRIAVTAEAQSIFYALSKT >CDP21842 pep supercontig:AUK_PRJEB4211_v1:scaffold_7069:104:3650:1 gene:GSCOC_T00001084001 transcript:CDP21842 gene_biotype:protein_coding transcript_biotype:protein_coding MECNVSVTDSRLEIHLYWAGKGSTLTPTKYYGPLISAISVYPGYVECLSGPSFLIFNVSILYPFLLFLPLFSFSSLVMLHPQTQRRRGEVVQMGRKGRGIVGRSRPRCLWWRKSWRDVKENGCRRARSRHMSPIIQSITLRSVTRTQTVVFYLDLRWFFGILILALSWALVRIRHRKLKGLELHPGVVFDFKKLKAATNGFAPDNKIDGVGNVYKACFMFTLNSLAHLNSGELDGIQIAVKQLSAKSEEGAHEFVTAIGTISALKHPNLATLMGSCTEQNQLLLVYKYMEKVSLQHALFGPAEAKLELNWETRAKICLGVAKGLACLHESKLQVIHCNIKPTNILLDNDFTVKISDFGYSQFHDSQLVGAPLDKGKTALGSHDSQHVDAPLVKDKTPLGSLPKPKITGHMSGEQIQGFPLTPKADVYSFGIITLEIVSGQEIITLRSKGSNDYLLNKASILENEGNLIALVDPDLKSNYNRNEALTMLCLAMKCVNQSFGLRPTMPYVVNVLEGNEKFD >CDP19815 pep supercontig:AUK_PRJEB4211_v1:scaffold_707:14741:30994:1 gene:GSCOC_T00005791001 transcript:CDP19815 gene_biotype:protein_coding transcript_biotype:protein_coding MASCNTFFLFSIFLALIFLQSTADDVGSVVDDFSKDGSDLSAELALLKSRIDALELHIKEKNEELKAKDDAISELEKKIKEKSNSISSLQSEIVSLQKKGTLDAEEVVNKAHARAAELEKMVEKLKMEIDLKNKEKEALEAQVNEKEKKALNLNSEFENLQNIVSQQKARLQKTERALQMAEACN >CDP19816 pep supercontig:AUK_PRJEB4211_v1:scaffold_707:31087:46314:1 gene:GSCOC_T00005792001 transcript:CDP19816 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAKFEATSRSIALIEVHGAWLPPWLAVHLTRYQTLLEKHWEEHGKPAADALLQKAIKGKAKAEIWAGPHIETIHTKWIPVIKEQWLILVTKAEPHVQSLSTKALEIYESSKIAAMPHVIKVQELADPYFQEIKKYSKPYIDQVATAARPHVDNVRVVLKPYTEQAFHVYGKFLESASTYHHQVQGTVQEKLQMHDLTRPLATKELVWFIASALLALPIIAGFKICSAICWKKTKHSNRHGHSNHLRRRAKRSHPDK >CDP21843 pep supercontig:AUK_PRJEB4211_v1:scaffold_7081:1047:2462:1 gene:GSCOC_T00001325001 transcript:CDP21843 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLALAVGGDLISKETMREAVIDGLLDLPSKVKAVLKLDEEMKDLAELLISEQSLLVFGRGYNYATALEGALKVKEVALMHSEGFLAGEMKHGPLALVDHKLPIVVIVTRDACFSYKQQSVIQQLHARKGRLIVMCTKGDSASVSVGGSCRVIEFPYVEDCLQPVLNVIPLQEKNYQIPTTKSLQVLQPRNLAKSVTTQ >CDP21845 pep supercontig:AUK_PRJEB4211_v1:scaffold_7088:1531:3185:1 gene:GSCOC_T00002038001 transcript:CDP21845 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRADRQQCIAIEPVVQQNSKDNFLFKVITQSEGKLKKHVSVLSTFEEGSREIGYGRVDKQVSFQSAASVISIFMNLHSMYQDCLLCFVTIIL >CDP21844 pep supercontig:AUK_PRJEB4211_v1:scaffold_7088:2:1337:1 gene:GSCOC_T00002037001 transcript:CDP21844 gene_biotype:protein_coding transcript_biotype:protein_coding PVALLLSMYCLQAWIFPSTSCMGIFPFNLQAETTRILLIGPIPSTLSQIPNLKVLNLEQNSLSGEIPRLIYWNEVLQYLGLRGNKLGGTLSPNMCQFTGLWYFDVRNNSLTGSIPENIGNCTAFQVLDLSYNQLTGEIPFNIGFLQVDTL >CDP21846 pep supercontig:AUK_PRJEB4211_v1:scaffold_7091:974:3343:1 gene:GSCOC_T00002611001 transcript:CDP21846 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQAYAEGGEFDIDDANSPPPQNPPTTTDADDTTTSSPDSSPMRLSLPSKSSAPKVDDTMLALTVTGNKAQSKPLDPTQHMVSFNPTYDQLWAPIYGPAHPYAKDGIAQGLRNHKLGFVEDASIEPFVFDEQYNTFYKYGYAADPSGNNFVGDLDKLQESGAVSVYNIPQNEQKRRRIEKKKEKLEKLGEVDGEEAGDMDVSEVDNPATEAWIRKNSKSPWAGKREGVGGELTEEQKKYAEEYAKKKGEGENEGGKSNAGMADKSTFHGKEERDYQGRSWIAPPKDAKASNDHCYTPKRLVHTWSGHTKGVSAIRFFPKHGHLLLSAGMDTKVKIWDVYNSGKCMRTYMGHSKAVRDIWFSNDGSKFLTASYDKNIKYWDTETGQVISTFSTGKIPYVVRLNPDEDKQNVLLAGMSDKKIVQWDMNTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSIALHPNSNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGRCWFWDWKSCRVFKTLKCHEGVCIGCEWHPLEQSKVATCGWDGQIKYW >CDP21847 pep supercontig:AUK_PRJEB4211_v1:scaffold_7095:1287:3311:1 gene:GSCOC_T00009492001 transcript:CDP21847 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYRIGLNLANSYIQLCLIVVLVVLASLTIPSDCFQHDDQYPKTIAGLFVFGDSLIDPGNNNYINTSRDVQANFPPYGVSFFKYPSGRFCDGRVIPDFIAEYAKLPFIPPYLQIGYRYQLAYGANFASGGAGALVEPFSGLVIDLKKQLWYFNQAEKQLRSNLGKRGAERIVSNSVYLFSIGANDYSSDRRKDYVATVVGNITAALEEIYKKGGRKFGVVNMPPIGCLPLFRAADLAAGGTGECNGQLTALAKLHNVLLSKKLEHLQKQLKGFRYSYFDIFTAAVEMFDNPSKYGFKEVKSACCGSGPFRGDRSCGGRGGFKEYELCDNPQDYFFFDSNHPTQAANQQLAELMWAGPSDITWPHNLKSLFRISL >CDP21848 pep supercontig:AUK_PRJEB4211_v1:scaffold_7099:1730:3142:-1 gene:GSCOC_T00009892001 transcript:CDP21848 gene_biotype:protein_coding transcript_biotype:protein_coding NWLKNMDHSAWSSVCSGCVISQDGQEILVTHDPAFATRPESLATKTIWYKRDDLVFGFYGDFVKQMRKICVTELLSGKNVRSFTFIRQDENSKLINTVRSAQGRMPVNVTKMMLAYTSSMVCRAAFGRVLQSGRFFPSLEILPVITGLKRKWKRMHHEMDDILDDVIKQHQGNRENGKVGNAESGDEDIIDVLLRLQESGNLQVPITTRNIKALLLDIFNAGTDNSSVTVQWAMSELMRQPNLIAKARDEVRQICEAKRTIEEADMEKLKYLKMVIHETLRLHPPTPIIPRLSMENRVVSGYTIPDKTQIIVNAWAIGRDPEYWDDAENFKPERFDLKSIDYFGSQHGYFPFGLGRRMCPGITFGLANVELPLAHLLYHFDLKLPDGMEPAEESEGLTVQRKNNLFLIATPYDPSSDR >CDP19817 pep supercontig:AUK_PRJEB4211_v1:scaffold_710:17986:19464:1 gene:GSCOC_T00001612001 transcript:CDP19817 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEVKFIRKTVVKAIGPLPDSHILTLSNLDLLSGRFPVTYFYFYNKPQDHIPTPFHALKDSLAKCLSLFYPFAGKIVQNSQTNEPEIICDNSGALLVEAKANIPLKELDFYNLDKSLQGKLVTIHQDFPLQIQVTNYTCGNLSMTFTFDHALGDASAFGRFLLTWSEIATSKSLSGSPDHSRDLIQARSPPTYDPSLDETFISCTLQDIANIPTGNKVLKRLYYVDVKSIDSLQTLASLDSEERTKIEAFSAYVWKIMVRAINKNHEKCKMGWLVDGRTRLGKDRNSMSNYIGNVISVAFGDGDVHGLKQASLAETATLVHDSISKVTNQAHFLDLIDWIECHRPGLMLSKIVLGLGGPAIVVSSGRRFPVSEIDIGFGNPILGTVCSTIEKIGVGYINQRPSAKGDGSWIVSVILWPEMIAALESDPNQVFQPMNAKFLQL >CDP21849 pep supercontig:AUK_PRJEB4211_v1:scaffold_7107:181:2726:1 gene:GSCOC_T00005991001 transcript:CDP21849 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTIYLFSKIGALLGKFLSKGLQSLDIDDIDLGPPGFQKAQDEIMGDLKLVYINISKNYGGIETANFLSKLISCAPELAAIDARCNSMPVESLSIICSTLKAMRGKVEHLDLRGNTSLIRFADASLLDELKMNRKSILKLDSSYDPDAPYDQDP >CDP19818 pep supercontig:AUK_PRJEB4211_v1:scaffold_711:53919:55898:-1 gene:GSCOC_T00005983001 transcript:CDP19818 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSLGKSSLITSTMSKPRLEGKVALITGAASGIGKETVRLFAENGAYIVAADVQDELGQKVAATMGSEKVSYHHCDVRDEMQVEATVNYTLDKYGSLDILFSNAGTIGPLTSILDLDLQGLDNAMATNVRGVAATIKHAARAMVARKVRGSIICTASVAACLGGAGPHAYTTSKHALVGLVRTACHELGAYGIRVNAIAPFGVATPLCCKAYNLEPSQVEENCLNAANLKGIVLKAKHIAEAALFLASDESAYISGHNLVIDGGFTVVSNAAP >CDP21850 pep supercontig:AUK_PRJEB4211_v1:scaffold_7123:66:1629:-1 gene:GSCOC_T00000946001 transcript:CDP21850 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWKRLPRKVAAKVVSAGFGDFLSHLPLADRDRRLPVALAERWWDSTNSFHLPFGEMTLTPLDFTCITGVAVGGLPIPWDYNIRENTNYIKEQLGWVPAFASAGAIRVTDILSFYKDKAIDENDDVQLAHLTRAFFLHMLGRTLLSNTAETINLCCLPALEDVDRIGDYNWGGAGMATLYRFMSAVSRRLTKSLGGYSFVWEVWAYEILQLSPYKLKQDERDVLPTMWRWRSCNRANPQSPSTVEHFRRAIDTINPEDVNWLPFPAMALPSWYLKSKKLTATRLLLDGPMGRFYYLGERVIRQVYAGVCAKQPPHRPSDMYSTDTISGNRLHDVLHGLPIASLYPDPPPYATYDEFVRSRLMKPMTSSTLAPSGNDCVIHMCDQLPLSEATTPSLKHPINYPPWSVLCIQTDGSLEQEGIHRVGGDVIGLPLPCAVGDV >CDP21851 pep supercontig:AUK_PRJEB4211_v1:scaffold_7129:2014:3194:-1 gene:GSCOC_T00004230001 transcript:CDP21851 gene_biotype:protein_coding transcript_biotype:protein_coding KAMGKIHYLFLINFSLVSLAMMAAGNSNVTTDQLALLALRDRMINSEPREILAKNWSITSSVCDWIGVTCGSRHHRVTALNISNMNLTGTLPPQLGNLSFLVSLNISKNNFHGELPRELVHLRRLRYLNFKINNLGGELPSCFGYLHKLQYLSLANNSFSGSIPPSISNMSKLETLYLSFNSIEGTIPTEFQNLHNLKTLIIEWNQLSGPLPLHVFNISSLENIAFWNNNLYGTLPDDICQRLKKLTWLNLADNNLIGRIPSTVSQCSLLRYLCLSGNHFTGPIPMGIGNLTMLEEILLQTNKLTGSDVKAQPLSISLD >CDP19819 pep supercontig:AUK_PRJEB4211_v1:scaffold_713:7897:16251:-1 gene:GSCOC_T00010026001 transcript:CDP19819 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHPFLSLLAQTFLSFVFFFIPNSQFFSLLTQAFFCFVFFSFLFYQLPILFVMEENPCSETHSSAQLRDEWLEIQDSLKKKLITDDDFYWKLRLPAKKEEEEEILRHVGGVDLSFAKDDPSTACGTLVVLDLLNNLNVVYEDSSLVKLDVPYVPGFLAFREAPVLLELLEKMKKSAHPFYPQLLMVDGNGILHPRGNFVGFGLACHLGVLANLPTVGIGKNLHHVDGLTLAKVRQLLEVKENSSRDVFTLIGDSGRTFGMALRSTQGLLKPIFVSVGHRVSLATAIKVVKMTCRFRIPEPIRQADIRSKQKLSSLF >CDP21852 pep supercontig:AUK_PRJEB4211_v1:scaffold_7138:791:2637:-1 gene:GSCOC_T00007648001 transcript:CDP21852 gene_biotype:protein_coding transcript_biotype:protein_coding LARVGQTARQALWFALGGWGCHTNDAKLEHNTLFKDGQYEEALSKYILLYQLCLGYPNLLNYGNFLTSAHCYCYLDIKKYDDAIKECTKTLELNLNYISVLLRRAEACEKLEQFEETIADMTKVLEVDPSYDQARR >CDP19820 pep supercontig:AUK_PRJEB4211_v1:scaffold_714:1:2059:-1 gene:GSCOC_T00009621001 transcript:CDP19820 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGDRDLQQVLQFIRQNAYQPSIEVADGDGQQKLKVLITSRNEDTRHQTRGDKEVIDMKR >CDP21853 pep supercontig:AUK_PRJEB4211_v1:scaffold_7160:1037:2880:1 gene:GSCOC_T00003971001 transcript:CDP21853 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEISVRFLVEKSIYCLFVQGMILLWLTAVVPQLQPLSCDQLKHKCSAPNGAQIIPLLSSFLLMSVGAGCIRPCSVVFGADQFDNKQNPNNERVLETYFNWYYASTAISTLLAMTVIVYIQDHLGWSVGFGIPAILMVFSALMFIIGSSLYIKAKPSESLFTGFFQVLVAAFRKREIQYPLDEEYKCYHRTRQSKLLSPTEEFRCLNKACVVQDPDTELTSDGLASNPWRLCSVEQLESLKALLKVIPMWSTGLVLIVTIDQGFLTLQANSMDRHLFSNFEIPAGSFSLFMIITLTIWVAFYDRVLALLLARYTGNPQGLSPIVRMGMGLVMSFIAMVLAGVVESIRLKKAVEDGVEDDPDAVLDMSAMWLVPQTVCIGLAEALFAIGQIQFFYMLFPKSMSSVGVAMFTLEMALASLIGSLLVNIINSITSHGGKASWLANNLNEGHLDYYYWLLGFLNLINLFYFLFCCRFYKSHHNSNMLSKKVPEKES >CDP21854 pep supercontig:AUK_PRJEB4211_v1:scaffold_7169:53:1351:-1 gene:GSCOC_T00011706001 transcript:CDP21854 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKIVSTFLLVVILFSSFHPKCGASASAEEAAALLKWKASFLIQNNSSLTSWNLQSGNAKNSSILPCTWAGISCIDGSVNRLNLSDWSIKGSLYDFPFSSLPNLEYLDLSQNQIFGSIPKQIGNLSKLIYLNFYANELSKEIPPEICNLRNLTLIDFGSNQLSGPIPVAMGNLISLQFLSLCQNNLTGGIPKSLGNLTNLIILCLYINQLSGPIFKEVGDLKFLTDMELSNNQLNGSIPASIGNLSTLEVLYLYNNQFSGSIPVTFGNLNRLVNLSLYQNHLSGPIPPVIGNLSSLQFFYLYQNNLIGAIPKSLDNLTNLIELDLSENQLSGSIPDSIGNLSDLEHLALHQNQFSGTIPPTFGNLNRLVDLRLFRNHLSGPIPSAIGNLISLQLLSLGYNNLISAIPKSLGNLTNLIELYLYNNQFSGSIP >CDP19821 pep supercontig:AUK_PRJEB4211_v1:scaffold_717:483:992:-1 gene:GSCOC_T00001462001 transcript:CDP19821 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALGCITPEVLEKYLKVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSMLAVLGFQVVLMGLVEGYRINGLEGVEEGNDLYGGQYFDPLGLADDPVTFTELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVGNNAWSMPPSLSPDRKVFLLCLD >CDP19823 pep supercontig:AUK_PRJEB4211_v1:scaffold_717:55626:56733:1 gene:GSCOC_T00001466001 transcript:CDP19823 gene_biotype:protein_coding transcript_biotype:protein_coding MITIIIKDYRSMLGINIYNIYAPACLLNSISSFADGIGCDYEHSLSQGQNMRRIRFVLGSYDPCYSKYAEEYFSRINIQTAFHANNGEGNPQTHNDSVLTILPVYKKLIKGIISPMHLHQRKNQGCQICVHVTTMIVEYEGLTLVTIRGASHLVPFNKPSKALALIQSFLSGKNLPIHR >CDP19822 pep supercontig:AUK_PRJEB4211_v1:scaffold_717:42659:44030:1 gene:GSCOC_T00001465001 transcript:CDP19822 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWRLQSKVFSEDIYCTDVNLLFLESLIGAAFSYTNTSSDLTKLDDEFTCKLTLMPTLFLYTWLERFPQFKTRDFYILEESFAYPAGHYVAQLADLVYDRNKDARNYSHINIKGFIAS >CDP19824 pep supercontig:AUK_PRJEB4211_v1:scaffold_718:4777:12917:1 gene:GSCOC_T00008933001 transcript:CDP19824 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT4G18060) UniProtKB/Swiss-Prot;Acc:Q8L7W0] MDALRKQASKLREQVAKQQQAVIKQFSGSGYESSDVMVIDEVEMQRHQQLEKLYRSTRAGRDFQKEIIKAAETFTAIGYKHIEAGNKLSEDCCKYGVENGHDEILAKAATIYGDARKHVEKEQEDLNKMLFSEVLEPLRAMITGSPLEEARHLAQRYSRMRQEAETQAVEVSRRQARVREAPIPENVAKLHAAEARMQELRANMAVLGKEAATALSSVEAQQQRLTFQRLVAMVEGEKIYYERIAAILGQIEAELVSDKQRKEAAPPVIPTDHGSEKTKYFLAEARNAFDAETEKELSLAVGDFVVVRQVSPSGWSEGECRGKAGWFPSSYVERRHRIPTDTGAAEVF >CDP19828 pep supercontig:AUK_PRJEB4211_v1:scaffold_718:47240:56237:-1 gene:GSCOC_T00008937001 transcript:CDP19828 gene_biotype:protein_coding transcript_biotype:protein_coding MQENTTASPTPKQTQKSSKANEKGFSLFTLFQFADGVDKFLMVFGSICAIANGLAQPVMALLFGGVVDTFSTADYQHMPQDILRVSIKLLYLAAGAGIAAQMQVSCWTITGERQASRLQGLYFETLLRQEIGYFDTEMTVGQALGMASSDAITIQDAMSAEIGKSIQYLSTFLGGFLIAFARGWLLSLSLVSMIPSLLIVGGVTVIILAKMSINTQAAYSEARGVVEETIGAIKTVSSLTAENEAITKYAKKLEKVNVFSARQGLVSGLGLGTVSFILFGGYGLTIWYGSKLILEKGYTGGQVISIIVALVYGGIFTCEFQSIFRALLQSSSCLNALSSGKAAAGNMFKTIKRKPKINAIDSAGIVLENIKGEIHLKDVYFRYPARQAVEVFSGLSLHISSGKHVALVGKSGCGKSTVISLLERFYDPDAGEVLIDGVSVKRLQLRENIAYGKDNATEDEINKALQSSSAAAFIKDLPLGLDTMVGNLGAQLSGGQKQRIAIARIILKDPTVYLLDEITSALDTKTEKAIYDEIFKIASRRTAIIVTHSLSSVENVDSIAVMHQGKIVEQGTHAELIRNQDGHYSKLKQESTSLRQWKILRVCCHPLRVLREKVYQEHKFQKHSTFSIKWLANQCKDVVPILLIGTFSAIVHGIAFPVYGFLFATAITIFYEPPSQQEKDSSYWAQMYVLIGSITFLAVLSQNYSFGAASGKLIKRISLLSFDKIVHREICWFDDPSNSSGSVGARLSCNASMLQSLLKDGLSLLIQNISTVFAGLFIALMENWMLALILVALCTMLAMLSFIQTKFLKRFAADAELIYAEQSQVASDAIGNIRTVASICAEDKVMELYRKKSGTKMTHWIRLGIIRSINFGISQFGYFFTSALCFYIGSVLVEHKKATVAELFKVYLAFIASAAGIAQANGTAFDANKVSALASSLYNIFDSKETNGSSSTTGMTLKNLRGDLEFQNVSFSYPSRPNVQILKDVSLNISPGKTVVFVGESGNGKSTLISLVERFYDVKSGCILLDAIDIRNYNLKWLRQHIALVSQEPILFKDTIPAKLANAHNFISALPQGYNTHVGECGAQLSGGQKQRIAIARAIVKDPKILLLDEATSSLDAESEKAVQAALNQVMVSKTAIVVTHRLTAIRGSDTIAFLRNGVIVEKGRHDELIKIANGAYASLFSRHLNHSI >CDP19827 pep supercontig:AUK_PRJEB4211_v1:scaffold_718:42149:42903:-1 gene:GSCOC_T00008936001 transcript:CDP19827 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPKKRHFPALPALSLSQGCTTYN >CDP19825 pep supercontig:AUK_PRJEB4211_v1:scaffold_718:30384:37047:1 gene:GSCOC_T00008934001 transcript:CDP19825 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGTLGAIGNGISQPIMTLIFGKLINTFGGSSGKPDLLDEISKVNFPLFFFWCVFLVFFLMFLGVVFLSISTIILRLHVCLDYVYLAIGAGIASILQMSCWMVTGERQATRIRGLYLKTILRQDISFFDTQTTTGEVIGRMSGDTVLIQEAMGEKVGKFIQFASTFLGGFVIGFIKGWRLAIVLCSCIPALVVAGGAMALFMSKMSSRGQIAYADAGNVLEQTIGAIRTVASFTGEKRAIKKYDDKLQLAYESTNKQGLVSGLGLGTILLIVFSIYGLAIYYGSRLVLTKGYSGGDVINVMMAIMLGGMSLGQTSPSLNAFSAGQAAAFKMFETIKRVPQIDAYDNSGIVLEDLKGEIDLKDVYFKYPARPEVQIFSGFTLHVPSGTTAALVGHSGSGKSTVISLLERFYDPEAGEVLVDGVNIKQLQIKWLRDKLGLVSQEPILFATSIRENILYGKPGATDSEIRTAIELANAAKFIDKLPKGLDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERIVQDALDKVMINRTTVVVAHRLTTIRNADLIAVVHAGKLVEQGTHSELIKDPNGAYYQLVRMQEGSRSNQAKGNSTKLDVEISEASIDLDDSLHRSLSQRMSLRSTSRGSSRHSFTLNFGVPGLIDIHEAEVGQDVHGTDEADLKKSQKFSLLRLFNLNKPELPYMLLGSIAACGHGVVFPVFGLLLSKCIRIFFEPPHELRKDARFWSLMLVGLGALTLAIVPVQNYLFGVAGGKLIQRIRSLSFQKVVHQEISWFDDPANSSGAVGARLSTDASTMRSLVGDALALVVQNLSTVVTGLVISFTANWILAFIVVAVLPFIGLQGFLQAKFHKGFSGDAKVMYEEASQVANDAVGSIRTVASFCAEEKVMDTYQKKCEGPMKYGVKQGMVSGFSFGLGSSANYLATAFIFWVGARLIEHGKATFGEVFKVFFALTMAALGVSQTTALTPDINKAKVAAASIFEILDSKPKIDSSSDEGQVLATVKGDIELQHVSFRYPTRPDIQIFKDLCLLIPSGKTVALVGESGSGKSTVISLIERFYNPDSGHIYLDGVELWKLKINWLRQQMGLVGQEPVLFNETIRDNIAYGKRGDVTEEEIIAAAKAANAHNFISGLPQGYDTSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQDALDKVMVNRTTVVVAHRLSTIKGADIIAVVKNGVIAEKGGHDTLMNIPDGVYASLVALHMTST >CDP19826 pep supercontig:AUK_PRJEB4211_v1:scaffold_718:37326:42037:-1 gene:GSCOC_T00008935001 transcript:CDP19826 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCRAISSCTSLNLFPHASRTDLSGKAATSLPLHELGVKAGGHSIAHCSSKNLAPIRINKFAIRAVVASDKESIPAGATEGKVLRKKLDKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGIKELEGLEEKAKASGACQLVVKDLKEEFVKDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAREVGADAVRFELTFFALNPELSVVAPWREWEIRGREDAIEYAKKHSIPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMISVNPEDAPDQPEKVKIGIDAGLPVSVDGVKLSPASLLSKLNEIGKRHGIGRIDMVENRLVGMKSRGVYETPGGTILFTAVRELESLTLDRETMQVKDSLALKYAELVYAGRWFDPLRESMDAFMEEITKTTTGSVTLKLYKGSISVAGRESPYSLYRQDISSFESGQIYDQADAAGFIRLYGLPMRVRAMLDKGRYD >CDP21855 pep supercontig:AUK_PRJEB4211_v1:scaffold_7180:1193:1561:-1 gene:GSCOC_T00006411001 transcript:CDP21855 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLSLVSDNYTIALSACSDNVCGSDTSSLFSESQSGWADVTKFLTGASAVGSIAIPIILKHAGVIGSHAIASILFDKQTKQM >CDP21856 pep supercontig:AUK_PRJEB4211_v1:scaffold_7180:2489:2764:1 gene:GSCOC_T00006412001 transcript:CDP21856 gene_biotype:protein_coding transcript_biotype:protein_coding MVANSKPATQKSEPVKTQSASEVPGMNGAVEVRRPGPPPADITVFEFGSLAASADKVTLAGFCPVSDELEPCRWEILPAKGSDAPQFRVVF >CDP21857 pep supercontig:AUK_PRJEB4211_v1:scaffold_7181:1113:2361:1 gene:GSCOC_T00004172001 transcript:CDP21857 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFLNKKAVWSILCSCTFVFCLLPTAFCSGRLQKLDLPVPGPESYAFDLAGHGPYTGVADGRILKYEGPILGFVEYGYTKENRQESKQLCDNIDTTDLAKICGRPLGIGFYYKTGELHTADAGLGLVVVGPEGGPGKQLASGAEGVPFGLPDGLEVDKKTGVIFFTEASSRYNISQISQIISTRDASGRLLKYDPQTKKVTVLLRSLAGPAGVAISRDGSYLLVTEFLNQRVLKYWLKGPLANTTRVLVNLSGSPDKIKRNSRGDYWIGLNVQSQGPNPTTIIQGQRINGKGHILETITFSPDFNASVITEVQEYKGALYLGSLFVGYVGVYRKF >CDP21858 pep supercontig:AUK_PRJEB4211_v1:scaffold_7186:359:3270:1 gene:GSCOC_T00005818001 transcript:CDP21858 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFCSSTLANIFLLLLVAMSFSAAKQFQNETDRLALLEFKKQIYDDPFGVLNSWNHSQHHCQWAGVTCGTRHQRVIALTLRHKHYCSELIAIDLMRNKLEGKIPIDQLNNLKKLENFYLDTNNLTGEIPSSIGNLSSLIEINLNFNNLKGNLPMEMGLLKRLSFFGAAENKLSGKIPASIFNSSAITTISVGGNSFHGNLPTNIGLTLPNLQVLYVGGNNLYGNFPTSITNASGLEKLDLSQNKVAGQVPANLGDLTNLHTFSLSYNNFGGNIPKVMANLSNQLTALYLGGNQLSGTIPQGFGNFVNLIRLGLEENYLSGVIPTDFGKLQNLQFLSLGQNDLSGQIVSTLCNATGLSELDLSTNQFEGGNIFDNTHSSLIYIGLSENSFTGSLPPEVGKLVHLVNFTVSHNQLAGDIPISLADCSNLENLFMQSNFFQGTIPPNLASWKSIQKLDLSSNNLTGPIPRELEKLQYLRYLNLSYNDIEGEIPNTGIFSNASQISLTGNNKLCGGIPELEFPPCPVIKGKNRGKMKVIILLSIVLPATLLVLGAMLLYFLVYHKGERRMVAGFSSMPPRIDELLRLSYHELLCATSGFSPENLIGSGNFGSVYKGRLEKHGNKLVAVKVLDLQKNGASKSFKTECKALRNIRHRNLVSIVSYCSSIDSKGDEFKALIYEFMENGNLDLSRSLNLLQKLNIGIDVASALQYLHDHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPVNTSLEQGTSSTIAIKGSIGYAAPEGPLCPNLTFD >CDP21859 pep supercontig:AUK_PRJEB4211_v1:scaffold_7186:3323:3589:1 gene:GSCOC_T00005819001 transcript:CDP21859 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNISEYGMGLAASTQGDVYSYGILLLEMITGRRPTDDIFVGDLDLHNYINGALHEQVPEILDPLLFLEGDENRNMTPGGKNSNGGK >CDP21860 pep supercontig:AUK_PRJEB4211_v1:scaffold_7188:716:1482:1 gene:GSCOC_T00009667001 transcript:CDP21860 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESKVVLHSFFASPYAKRVELALKIKGIPFKYTLQTVSKLYEPNNEGQEKIVEEVHEKLKILEDGVKKFYFPEGSPVHIDAEKLGILDIMVFATFGGYKAQEQVLGVKIIDAEQTPLIFSWLQAPNEVPVIKESAVPHDHLVGLRQFLTQSAGSQ >CDP19830 pep supercontig:AUK_PRJEB4211_v1:scaffold_719:8522:15415:-1 gene:GSCOC_T00002616001 transcript:CDP19830 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSNSSSYSSSSDEKNPNSAPNPKPPSIDQSLDAIENQLASISTFQPGSLLEPESEFGVNDDEIREELVNGSINEIEELPGNSEEKLKASSSRVWANVSEMEEGAAPSSPSSSGYAGERGSSATSGVEEYGNDDFNDDEIVEVRSNGDFDGVAHSPAPWTPGKRHGDEDDDSVSWRKRKKHFFILSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLVRAGKHQVVFLVKGPIYLVCISCTEEPYESLRGQLELLYGQMILILTKSVNRCFEKNPKFDMTPLLGGTDVVFSSLIHSFNWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCRHKVICLVGAQKASLHPDDMLLLSNFVVSSESFRTSESFSPICLPRYNPMAFLYAYVHYFDVDTYLILLTTSSGAFYHLKDCRIRIETVLLKSNVLVEVQKSMLEGRMHVEDLSTNPASHPGIASPHLSQSRLATDSTERLTEFIGVGGPAGLWHFMYRSIYLNQYVSSEFALPINSLRQQKRLYRAYQKLYASMHEKDVGPHKTQFRRDENYALLCWVTQDFELYAAFDPLADKALAIKACNRVCQWVKDVENEIFLLGASPFSW >CDP19831 pep supercontig:AUK_PRJEB4211_v1:scaffold_719:26731:27792:1 gene:GSCOC_T00002617001 transcript:CDP19831 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEIIRERGVEKQKRSSQSLRKNVLKSILEPSFFPNCISNPTKISPFQSPPNSFVHRERCDSRSRQLSSQKLVRRNPFPTDVLPIVAVEIIIFTISPPILPILIFQYLRPVRHVHRQRRSRPTNNHLRSR >CDP19832 pep supercontig:AUK_PRJEB4211_v1:scaffold_719:32443:33252:1 gene:GSCOC_T00002618001 transcript:CDP19832 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPTQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVAVVPSAAALVIKALKEPERDRKKTKNIKHSGNISLDDVIEIAKVMRPRSMAKDLAGTVKEILGTCVSVGCTVDGKDPKDLQQEIADGDVEIPEN >CDP19829 pep supercontig:AUK_PRJEB4211_v1:scaffold_719:1703:6389:-1 gene:GSCOC_T00002614001 transcript:CDP19829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT2G28380) UniProtKB/Swiss-Prot;Acc:Q9SKN2] MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKASVNFNGETFESPHYHSTLRQAEHAAAEVALNSLATRAPSHSLAARIIDETGVYKNLLQEISQRVGSPLPQYTTFRSGLGHLPVFTGTVELAGIMFTGEPAKNKKQAEKNAALAAWSSLKQLAQEDSSSSSEPENNDEQEQIRIARALLNYRLKEKIHMSNSSRTSIPFQKKSFIPPPRPSSPQCRPTATSKILPLFCQNIVSQNKQAVANDSPVPLSQSLSSEARSVHCHKLPTMERATYVPITQYRTPYKGIAPPVTIRTAVPVYSAPPLPAPSASHPRAMQPRPVRMAPPVRIRQAIPVFAAPSATPKRELPSSIAYSLLEKSPPHAEESGSEPSIDINESTVLKCLEHLEI >CDP21861 pep supercontig:AUK_PRJEB4211_v1:scaffold_7190:695:949:-1 gene:GSCOC_T00006471001 transcript:CDP21861 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTRTRSLGAVSGYTSSIRRSIDHSVQDSGKEHRADVSSRSLEHPEQKKSNKKRKSEG >CDP21862 pep supercontig:AUK_PRJEB4211_v1:scaffold_7196:1156:1972:1 gene:GSCOC_T00001691001 transcript:CDP21862 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKLFRTWSSGYALRIVWALKLKGIEYETIFEDLTNKSPSLLQYNPIHGKVPVLVHDGKPVCESLVILEYVDETWKHNPLLPQDPYEKSMARFWANFGDDKLMKSIWQLFIAREKDQDVAAVEALENLKLVEEQLKGKKFFHGETVGYLDLAFGWFADFVSILEEIMSLKLVDGERFPHLSSWIQHFIDAPVIRDCWPPRDKMIIKFQVMRENYLAAATPK >CDP19834 pep supercontig:AUK_PRJEB4211_v1:scaffold_720:7950:9591:1 gene:GSCOC_T00000111001 transcript:CDP19834 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACREDHVCKISSQSDFESPSNQDLEVSLSSLSLSSSHSKKCQLAFFFFCRTSTGEELLPAAATCLFNLEKTLKSYLSGLAHKWAGPHPTDLVAHVGDFGLARLLPKPNNRSSEQGTSSTIAIKGTIGYTAPEYGMGLAASTLGDVYSYGILLLEMITRRRLTDDMFMNELDLHNYVNRALPEQVREIIDPLILSKEEDGNRRMTPGRENINCLKCSQTLPNDRMHMNEVVRKLHLIKDVFLGVRVHQENLEV >CDP19835 pep supercontig:AUK_PRJEB4211_v1:scaffold_720:11996:19072:1 gene:GSCOC_T00000112001 transcript:CDP19835 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMELGGNQFHGEIPQEFGRLFRLRVLNLSNNVLGGKIPANLSYCSDLKKLEYFGLRTSNLTGEIPSSIGNLSSLTGLTFDFNHLEGNLPMEMGLLKRFAQLGAAENNLSGIIPASIFNSSAITVISVAGNSFHGSLPTNIGLTLPNLQVLYVGGNNLYGNFPISITNASGLKILDLPDNKVMANLSNQLTKLFLVGNQLSGTIPEGFGNFVNLYLLSLEENYLSGVIPRDFGKLQNLQALSLYENELSGQIVSTLCNATTLYHLDLSFNQFEGGNIFDNVLMNCQNLQYLDISQNNFTGIISPHFLQTHSSLMHLYLSDNSFTGSLPPEVGKLIHLVDFNVSHNQFSGDISMSLADCSDLENLFMQSNFFRGTIPPNLASCKSIQRLDLSSNNLTGPIPKEFEKLQFLRYLNLSYNDIEGEIPNTGVFSNASQISLIGNNKLCGGIPELELPPCPVIKGKNRGKLKVIILLSIVLPATLLVLVDKLLQISYHELLRATSGFSSENLIGSGNFGSVFKGRLEKHGNMLVAVKVLNLQKNGASKSFKAECKALRNIRHRNLISIVSYCSSIDSKGDEFKALIYEFMENGNLDLWLHPSETTAQATSSRSLNLLQKLNIAIDVASALQYLHDHCEAEISYTEINNMHISVYPSLKTNIHIIIRYKTNKISEYGMGLAASTQGDVYSYGILLLEMITGRRPIDDIFMGDLDLHNYVNGALHERVPEIVDPLLLSEGRDENSRITHGEEAINGGREIDCITSLLKIGLKCSARLPNDRMHMNEVVRKLHLIKDVFLSVRVHQENLEA >CDP19837 pep supercontig:AUK_PRJEB4211_v1:scaffold_720:44366:47141:1 gene:GSCOC_T00000114001 transcript:CDP19837 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRTSTLANIFLLLLVAMNLSVSHVSASKQFQNETDRLALLEFKNQIYDDPFGVLKSWNHSQHHCQWEGVTCSTRHQRVIALSEESFSFLKEIFTHFKNFFYKFTNLSMQSNFFQGTIPPNLASWKSIQQLDLSSNKLTGPIPKELEKLRYLRYLNLSYNDIEGVVPNTGVFSNASQISLIGNNKLCGGIPELEFHLVH >CDP19838 pep supercontig:AUK_PRJEB4211_v1:scaffold_720:55184:58629:1 gene:GSCOC_T00000115001 transcript:CDP19838 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPNTMWGFRSSSTLANIFLLLLVAMIFSVSHVSASKQFKNETDRLALLEFKKQIYDDPLGVLNSWNHSQHHCQWEGVTCSTRHLRVIALTLRDKHFSGTISPHVGNISFMRFIHLEENQFHGEIPQEFGRLFRLRALNLSSNAIGGKIPANLSYCSELINISIHDNKLEGKIPIDQLSSLKKLEYFSLSANNLTGEIPSSIGNLSSLIGLAFSDNHVEGKLPMEMGLLRRLAQLFLGPNKLSGIIPASIFNSSAITVISVGGNSFHGNLPTNIGLTLPNLEALGVGGNNFYGNFPSSITNASGLEILDLPYNKFAGQIPTNLGDLTNLQRLNLDTNLFGGNSTGDLDFIASLTNCSDLSNFSMGYNKFGGNIPRVMANLSNQLTELYLGGNQLSGTIPEGFGNFVNLYKLDLELNSFSGVIPRDFGKLQNLQFLRLDHNDLSRQIVPLLCNATTLYYLDLSFNQFEGGNIFDNVLMNCQNLQYLDISHNNFTGIISPHFLQTHSSLMHLYLSDNSFTGSLPPEVGKLVHLVNFSVSHNQLAGAIPTSLADCSDLENLYMEANFFQGTIPPNLASWRSIQKLDLSSNNLTGPIPRELEKLQFLSYLNLSCNDIEGEIPNTGIFSNASQISLTGNKKLCGGIPELEFPPCPVIKGKNRGKLKVVILLSIVLPTTLLVLGAMLLYFLVYHKGERRMVAGFCSMPPRIDELLRLSYHELLRATSGFSPENLIGSGNFGSVYKGRLEKPGNKLVAIKVLDLRKNGASKSFKAECKALRNIRHRNLVSIVSYCSSIDSRGDEFKALIYEFMETGNLDLWLHPSGTTDQATSSRSLNLSRKLNIAIDVASALQYLHNHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPVNTSSEQRTSSTIAIKGSIGYAAPETKSQSMEWVLRHQLRGMYTATAFFC >CDP19833 pep supercontig:AUK_PRJEB4211_v1:scaffold_720:1137:1541:1 gene:GSCOC_T00000110001 transcript:CDP19833 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVKVLHLRKNGASKSFKADCRTLRNIRHRNLVSIVSYCSSIDSKGDEFKALIYEFMENGNLDLWLHPETRDQATSSRSLNLSQKLNIAIDVASALQYLHNHCEAEIVHCDLKPSNIRLDNDLVGKGVPVQT >CDP19836 pep supercontig:AUK_PRJEB4211_v1:scaffold_720:23380:28019:1 gene:GSCOC_T00000113001 transcript:CDP19836 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKHVFYTSIDSKGDEFKALAYEFMENGNLDLRLNLSQKLNIAIDVASALQYLHNHCEAEIVHCDLKPCNILLDNDLVARVGDFGLAMLLPEPINRSSEQGTSRTSSTIAMKGTIGYAAPDYGMGLAASILGNVYSYGILLIEMITRRRPTDDMFMNELDLHNYVNRALPEQVCEIMECIISLLKLGLKCSQRMPNDRMHMNEVVRKLHLIKGVFLGVRVPQENLEV >CDP19840 pep supercontig:AUK_PRJEB4211_v1:scaffold_721:54453:56457:1 gene:GSCOC_T00005422001 transcript:CDP19840 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARNIGDHTGELFQAQAHIWNHIFNFINSMSVKCAIQLGIPDVIHKHGQPMTLDQLIDALPIKNAKAPFVYRLMQILIHSGFFIEAKIPGNENDNQKGYLLTSASELLLKSNPFSVTPFLLAMLDPALTDPWHHLSQWFQNSDETPFYTCHGRSIYAFASHEPWLNQFFNEAMASDARMVSSVVTKDCKHVFEGLNSLVDIGGGTGTFAKAIADAFPRLKCTVLDLPHVVDGLESSKNLAYVGGNMFEAIPPADAVLMKWILIDWSDDECVQILKKCKEAIPSKEKGGKVIIVDTFCKSQQKGDDDHEAIETQLFYDMGAMVLVKGRQRNETDWAKLFSEAGFSDYKITAVLGLRSIIEVY >CDP19839 pep supercontig:AUK_PRJEB4211_v1:scaffold_721:16718:18545:1 gene:GSCOC_T00005419001 transcript:CDP19839 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKCAIQLGIPDVIHKHGQPMTLDQLIDALPIKNAKAPFVYRLMQILIHSGFFIEAKIPGNENDNQKGYLLTSASELLLKSNPFSMTPFLLAILDPTLTDPWHHLSQWFQNSDETPFYTCHGRSIYAFASHEPWLNQFFNEAMASDTRLVSRVVTKDCKHVFEGLNSLVDVGGGTGTFAKAIADAFPRLKCTVLDLPHVVDGLESSKNLAYVGGNMFEAIPPADAVLMKWILIDWSDDECVQILKKCKEAIPSKEKGGKVIIVEMFCKSQQKGDDDHEAIETQLCYDMEVMALGKGRQINEKDWAKLFSEAGFCDYKITAVLGLRSIIEVYYY >CDP21863 pep supercontig:AUK_PRJEB4211_v1:scaffold_7219:639:2556:-1 gene:GSCOC_T00006093001 transcript:CDP21863 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSANLIPRTAIQLQRQVLLIPWVKKKMKMGIISCSRRRDYSNSVPVRYIPKKLLENKEPEASFYSPSNGLGDVKIHGTNSSESNGKRFELSKSEQTSCSTRGFVLGSKLRNLNENAIHNISNDVTLDGGRVVESNVPRIGISDSAQTSCSSRGFVADTEFQYQKEREKPVTGLDTSKVVQSNLEGMEHFKGAQTSCSSTSFVVDMEFQSQIEKPTNSLKADVGLDTITVAGSNEDRRELSKRAQTSCSGRSFVVGAEFLNENEKPIDNLDCDVGSASNRAVRSNIQRSELSKSVQTSCSSRSFVGDTEFQDQNENPINSIKNNIEFGTSRVVQSNEQRRDLSKSAQTSSCGQICIVDNKFQSQYAKRIDYDAGLGIGEEPDDHMQYDSYEVMEELEGFSEEESNQDHRIQGSRIKKDVEKLAIELLATRW >CDP19841 pep supercontig:AUK_PRJEB4211_v1:scaffold_722:23274:24389:-1 gene:GSCOC_T00006487001 transcript:CDP19841 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGSKRKDCAVDLAMGDFVSSSSELLEFSASDDLSAFMVAVEREGHGIDELAVWYGRRIGSKEMGLEERTPLMIASMFGSKRVVNYILEKGSDGATALHCTVAGGFAASLEIVRLLPSLPDIKNGIYGTDEFRMYTFKIKPCSRAYLHDWTECPFVHPDENARRRDPRKYHYSYVPCPDFHKGTCQRGDACEYAHGIFECWLHPAQYRTRLCKDETNCTRRVCFFAHKPEELRPLYASTGSAVPSPRSFSSTSSPIGGSMWPNQPTLAPPNLQLPGSRLKATINARDIDLDAELLGLDGNRQWRQQLLDDLSSLSILSYSDPQSPSPSPPHPPPPL >CDP21864 pep supercontig:AUK_PRJEB4211_v1:scaffold_7252:318:1239:-1 gene:GSCOC_T00010531001 transcript:CDP21864 gene_biotype:protein_coding transcript_biotype:protein_coding LSLRGTNFSAALPDLIRCIGSLNYLNLGYCQIFGVIPESVGNLSRLTELFLDGNYLRGKIPDKFSISQKISSLSLRRNLLSGNISISLLNLTHLDLLDLSSSQLSGSIPPSIFTIPTLSYLYLSSNLFTGTNYLTPHLHSLDVSSNSVQGPMPPSICNSSLLTILDLSNNNLSGPIPQCLGNSSWFLKIMDLGNNRHFGTYCCILVV >CDP19844 pep supercontig:AUK_PRJEB4211_v1:scaffold_726:16946:18473:1 gene:GSCOC_T00002080001 transcript:CDP19844 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQKFLGAEKKMMLLGLLRKTETEFVSRKRDQYQCNPGRCVWRVIDDSTLQLVFGPSGTSLNIEDMLW >CDP19843 pep supercontig:AUK_PRJEB4211_v1:scaffold_726:16037:16800:-1 gene:GSCOC_T00002079001 transcript:CDP19843 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGLSLFRPDFLICSFYKVIGENPTGFGCLCVKRSTVQILEASTGTGIVSMQFRCLDHVDSLGLMLISSRGRYLINWLVSAIVKLQHPNRLDNFPLVKIYGPRIKFDRGPALSFNIYDWKGEKHWVFTQHLVLR >CDP19842 pep supercontig:AUK_PRJEB4211_v1:scaffold_726:10705:15949:1 gene:GSCOC_T00002078001 transcript:CDP19842 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLWNEFKQNEGTLLANTIASGNVLIAMRLKVTTFGYLSLSARLSTCLLINPPREETNSLKAWYNVHRRELVKLVEDAAYKDSNKLLPPPREEDIIDTESAINTLKDASVSTSI >CDP21865 pep supercontig:AUK_PRJEB4211_v1:scaffold_7265:3018:3405:1 gene:GSCOC_T00003731001 transcript:CDP21865 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSIPCKLSKLEVPLVFHYRLLLVFPSMASGNIGNDWSSGLCDCIKDCRSCCLTCWCPCITFGRVAEIVDKGQSCKYKTRTFLIVVHH >CDP19845 pep supercontig:AUK_PRJEB4211_v1:scaffold_728:157:3488:1 gene:GSCOC_T00010495001 transcript:CDP19845 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRASYGGASSDSDSDSSPTISPVSSPPPSTSNYNPTQTSTPLPPPPLALLHSPDSFGAFDCLQTEQPTRVRSFPHVQGNYALHVYIPVHIPSSPRKELVQFLRKVTDLVPGLHAVDIDVPLTSLLKDDNKFEQVVLGREFHISLGRTVPIRVHQRDSVLTMLRQKLQFRKMYWIDFAKWVVFVNDDFTRSFLSMEVIAGGLAEITKQIDAVNEVYRLHNLPEFYKDPRPHISVAWALGDVGDFMTGVVEEEMKRFSLTGSSSRKHIFNCKFGGVQCRIGNRTYEICKFQEL >CDP19852 pep supercontig:AUK_PRJEB4211_v1:scaffold_728:50785:51228:1 gene:GSCOC_T00010504001 transcript:CDP19852 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDVLRRTFLWEKVQPYLEETAFDEKPARRNTVPSAPEINLEATPSRARNRMITRKCTNLSHLLTLRSQGTKMGCRLAAPSVENVHGKVVGRSAARHQKSDAEHHIFVNIIWHLLILDFL >CDP19847 pep supercontig:AUK_PRJEB4211_v1:scaffold_728:12962:17629:-1 gene:GSCOC_T00010498001 transcript:CDP19847 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFRQAARILGLDSKIEKSLLIPFREIKVECTIPKDDGGLVSFVGFRVQHDNSRGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCTPKELSTSELERLTRVFTQKIHDLIGINTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAIVTGKPIDLGGSLGREAATGRGVVFATEALLAEHGKSIKDMTFAIQGFGNVGSWAAKLIHEKGGKVVAVSDITGGLKNPSGIDIPGLLTHKDTTGKLANFSGGDALDPNDLLVHECDVLIPCALGGVLNRENADHVKAKFVIEAANHPTDPEADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEDKVNNELKKYMTRSFHNIKNMCQTHNCNLRMGAFTLGVNRVARATLLRGWEA >CDP19849 pep supercontig:AUK_PRJEB4211_v1:scaffold_728:26150:30309:-1 gene:GSCOC_T00010501001 transcript:CDP19849 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDYFGDEQDEKDFEAEIPLDEEEEEEAQRTTVSENLSTEEELENVKKKLKEIEEETGNLRQKSALIEKEIGGAAEGHENSTGASDDGQSEKEEVDSRSIYVGNVDYECTPEEVQQHFLSCGTVNRVTILTDQFGQPKGFAYVEFVETEAVQNALMLNESELHGRPIKVMRKRTNIPGMKQHRGRPSFRRGFTPGPLSHQSYSYGRVPRYRRPTRYSPY >CDP19848 pep supercontig:AUK_PRJEB4211_v1:scaffold_728:20012:24317:-1 gene:GSCOC_T00010500001 transcript:CDP19848 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOH1 family protein [Source:Projected from Arabidopsis thaliana (AT5G19910) TAIR;Acc:AT5G19910] MASNNDANDSEKPPSSPKKSVYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQLPEYIKFIMYPHCLFFLGLLQNPNFRNAMAHPANKELAHRQQFYFWKNYRNNRLKHILPRPLPEPSAAPSIPTPVPPPPGPPTTLSAPSPGPVPSPAASALSPMQYAIPSGSAFPKNDPRNSGVDRRKRKKDG >CDP19850 pep supercontig:AUK_PRJEB4211_v1:scaffold_728:32266:35769:-1 gene:GSCOC_T00010502001 transcript:CDP19850 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTAGVRDTVIAVRDKLRGKIGQTKVKRYWPGKAPEWADDNDEDGDIRMSRAAALEKAFPKHEDSLDAVRKDDPRLRRLAESRIDNREEIRADHRRIRQAEIVSTIEEEENRRQDWMDVEEEDEDALEERRRRIKEKLLLRQQEEAALLPEEEEEEAEEEEEEEESEYETDSEEEQPGIAMVKPVFVPKSERDTIAERERLEEEERALEELVKRRREERKVETKQIVVEKIREDEEIQKNMELEANVADVDTDDEMNEAEEYEAWKAREIARIKRDRDDREAVLKEREEIEKVRNMTEEERREWERKNPKPAKPGKPKWRFMQKYYHKGAFFQSNADDRAGTAGSDGIFTRDFSAPTGEDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTFNDAMRIKYDAKMAGMNAPIAKPKGSKKLKDWESK >CDP19846 pep supercontig:AUK_PRJEB4211_v1:scaffold_728:4350:9853:-1 gene:GSCOC_T00010496001 transcript:CDP19846 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSSSTSSSPLHPPQKHSSESFAGGAVAERRLREAEERLRDAIEELQRRQRSARGLHPPCDHADESCVANAIGNLCQSFLLSYGVRVGIGILLRAFKLVRRQSYSSLLDLKQLVSEKDLIVREEACRIGLLFGGFTGSYHALRCLLRKLRKKETPMNAILAGSIAGLSILALDDSSRRRTLALYLLARVAQCAYNSAKSNNKFHLWGSHWGHGDSLLFSIACAQVMYAFVMRPESLPKSYQDFIQKTGPVAHPVYKAVRECCRGSPVDVASLSSYLSRRRGSNILKLEEYPSIIPCSIIHPDTNSCLKHNTKALTDTFRKTFPLYFSLTFVPFVVLRLQKFMDAPIRTCWHAVTGAVRSTTFLSAFVGIFQAVICLHRKVAVKDHKLVYWFAGLMSGLSVLLEKKARRGELALYVLPRAGDSLWYILVNRHLLPYLKNAEVALFCLCMGGIMYYLEHEPDTMAPFLRGLIRRFLASRISNPGPSSNRSASYNYLQSLDAMNKPKVLENHEAETSTSTSLAASEKYNLESIPGL >CDP19851 pep supercontig:AUK_PRJEB4211_v1:scaffold_728:48318:49469:-1 gene:GSCOC_T00010503001 transcript:CDP19851 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKAILFSTLLFIPLVLSDDTVPAPADKAQLNSWFEQNVQPLASRKDTLDPALVAAEANPRIIKLKSDGSGEFKTIADAINSIPNDNTNRVIISLGPGNYTEKIKIERNKPFITIIGDPNHMPTLVFGGTAAKYGTVESATLIVESDYFNAANLILANSAPRPNGDVKGAQALAVRIGGDKASFYNCKFLGFQDTLCDDKGKHLFKDCYIEGTVDFIFGNGKSIYLNVELHVIPGDRQAWITAQARHTDAEDTGYSFVHCKVTGTGRTAYLGRTWMPYGKVVFAYTDMSDAVIPDGWSNNSHPETEK >CDP21866 pep supercontig:AUK_PRJEB4211_v1:scaffold_7299:1:1039:1 gene:GSCOC_T00013757001 transcript:CDP21866 gene_biotype:protein_coding transcript_biotype:protein_coding PPPYLNFTLFSTGRTGEKVILLDFLTTIFATKVRIALAEKEVKYKSKEEDLMNLKKSPLLLKMNPINKKIPVLIHNGRPFCESLIIVEYVDELDGFARKIWSTKGEEQQAAKIGFINCQKTLEEGALGDKPYFGGDNFGSLDVALLGNYNWFDTYEKFGKFSIEADCRCMERDSVSKSLADPIKVYESVLL >CDP21867 pep supercontig:AUK_PRJEB4211_v1:scaffold_7299:1938:2586:-1 gene:GSCOC_T00013758001 transcript:CDP21867 gene_biotype:protein_coding transcript_biotype:protein_coding VWHDKSPLLPSDPYKRAQARIWADLVDQKVHTLGRKTWAAKGEELEAAKKEFIEALKVLEGELGDKPYFGGEEFGYVDVALIHFYSWFHAYETCGNFKIGAQCPKFVAWAKRCMQRESVSKSLADPEKVYDFVLILKKYCGVE >CDP19853 pep supercontig:AUK_PRJEB4211_v1:scaffold_730:18980:21145:1 gene:GSCOC_T00005396001 transcript:CDP19853 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTHFSVFLLVAIILLCSSTKTVNATCYASEKQALLDFKKDLEDPFGRLSSWIHDVDCCKWKGVVCSNRSGRVIQLLLEGPDPEIDDFGDQEISALSGKISHSLQNLTHLRYLDLSLNDFSGIPIPSFFGSLRSLRYLNLSGAGFQGMVPYQLGNLSSLRTLSLETYRVSDLQVDNLQWLAGLSNLEHLDMSRVNLSTASNWLEVINTIPSLVEIHLPYCQLDLISHHLGRDTFVFHANFSSLTVLDLSGNFLGHLIPRWIFGLTALASLDLSDNSFEGPLPRDLRNLTSLKLLDLSRNYLNVNHFATFLPSQLSTLTALISLDLGDNHFRGSIPSSIANISNLQHLDLSYNNLSSSLPKGIVSESHLDNLAALRIFEASGNSLTLKVSASWTPRTQFQTLGWGSWKLGPQFPTWIRSQKFLDVLNLSFTGISDTIPSWLFNSSLKYIYLSHNQLHGKSSNISENENQVLQYLDLGKNCLSGEIPDCWMNYPFMYHINLNSNNFTGSIPRSLFKLEDLEYLGLGNNSLTGPITFDFDAELW >CDP21868 pep supercontig:AUK_PRJEB4211_v1:scaffold_7302:2402:2754:-1 gene:GSCOC_T00009760001 transcript:CDP21868 gene_biotype:protein_coding transcript_biotype:protein_coding PTGLNAISILQLLQIRHISILQINDRFVVAGDDVVAYAHGEKSARTLSSSGFQNLTFRKYDGLGHYTIPEETDEVCRWLISMLELDGS >CDP21869 pep supercontig:AUK_PRJEB4211_v1:scaffold_7306:1763:3435:-1 gene:GSCOC_T00009627001 transcript:CDP21869 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronate 4-epimerase 6 [Source:Projected from Arabidopsis thaliana (AT3G23820) UniProtKB/Swiss-Prot;Acc:Q9LIS3] MASSSPPDFSKTTKLERYNSYIRKVNSTKLIAASSKLLFRVTLLVALLLILFFTLNYPPLSENPHHIHHTTHNLFSSAFYGSGAGWEKKVRHSATPRRPNGLSVLVTGAAGFVGSHCSLALKKRGDGVLGLDNFNSYYDPSLKRARRDLLTKHQIFVVEGDLNDAELLEKLFDIVPFTHILHLAAQAGVRYAMQNPLSYIKSNIAGFVNLLEVAKNADPQPAIVWASSSSVYGLNKNVPFSEEHRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILQGKGINLYVTQDDKEVARDFTYIDDIVKGCVGALDTAEKSTGSGGKKRAPAQLRVYNLGNTSPVSVGKLVSILEGLLNVKAKKHVIKMPRNGDVPYTHANVSLAYKDFGYQPTTDLSTGLRKFVKWYLSYYGIQSKVKKEIDATNEHT >CDP19854 pep supercontig:AUK_PRJEB4211_v1:scaffold_731:12154:13359:-1 gene:GSCOC_T00010670001 transcript:CDP19854 gene_biotype:protein_coding transcript_biotype:protein_coding MENCTEIFDVIVVGAGVMGSSTAYQTAKRGKKTLLLEQFDFLHHRGSSHGESRTIRATYTKDFYPKMVLESAKLWEEAEEEAGYKVYFKTSQIDLGSSYDKSLQAIISSCQKNRVPVQVLNHNQVSEEFKFQLTEDWIGAVIEHGGVIRPTKAVSMFQMLAMKNGASLRDNMEVVDIKKDPSKDAILVSTRNGQMFWAKKCVVTVGAWMKKLVKEVAGLTLPIQPQEITVYYWKIEQGHEAEFTIESGFPTFSSYGEAHIFGTPSLEFPGLLKILVDGGHPCDPDKRTWSASPDTLSATKEWIQSKFGGLVDSSEPVLTQSCMYSMTPDKDYVIDYLDGEFGKDVVVAGGFSGHGFKMAPIIGRILADLAIDMQAKDVDMKHFNIKRFEGNSEGNHEDFDD >CDP21870 pep supercontig:AUK_PRJEB4211_v1:scaffold_7312:2534:3211:-1 gene:GSCOC_T00011914001 transcript:CDP21870 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQLSGRWSLNGMTTLVTGGTRGIGHFIVEELAGFGATVYTCSRNQKELNERLQEWGAEGFKVYGSTCDKASRTEREELIKNVSSTFDGKLNLLVRGCGLTTWILGKLCSAKFVTVCLYGYT >CDP21871 pep supercontig:AUK_PRJEB4211_v1:scaffold_7317:955:3190:1 gene:GSCOC_T00012136001 transcript:CDP21871 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTPDGYVAAVTMNNFQMYRHVMSPGWTLGWTWAKKEVIWTMVGAQTTEQGDCSKFKANIPHCCKKTPTVVDLLPGVPYNQQFTNCCKGGVLSSWGQDPQASVSAFQVSVGQAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPPTNFLTPDRRRKTQALMTWNVTCTYSQFLARKHPSCCVSFSSFYNETITPCASCACGCENKKKCIKSDSKLLSVVGINTPRKDNAPLLQCTHHMCPVRVHWHVKINYRDYWRAKIAITNFNYRMNYTQWTLVVQHPNLNNVTQVFSFDYKPLEPYGAINDTGMFYGMKFYNDLLMEAGQFGNVQSEVLLRKDKDTFTLKQGWAFPRKVYFNGDECMMPPPEAYPFLPNSANQNPVRFSTWICSVLTLLIVWIW >CDP19856 pep supercontig:AUK_PRJEB4211_v1:scaffold_732:12905:16117:-1 gene:GSCOC_T00007048001 transcript:CDP19856 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFLFLFLINSKWPVNFLISISLVPHFPRFHIHASYNLSRRRRRKATQNRGRIQYKYFDPGLKDLTH >CDP19855 pep supercontig:AUK_PRJEB4211_v1:scaffold_732:9300:12852:-1 gene:GSCOC_T00007047001 transcript:CDP19855 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLSFPLSPTHSPIPAHLQTAQSHHFSLGLVNENIDNPFQNQEWNLINTQGNSEVPKVADFLGVGISENQSEIVSYSEIQANDSDYLFSNSSLVPVPNALAAAASNNYELQESGSSMQSLTLSMGSGKGSTCETSASVDNSNSSIVEAAPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQAGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKELEEMKHMTRQEFVASIRRKSSGFSRGASQYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKAILESNTLPIGGGAAKRLKEAQALESSRKREEMIGLGSSFQYGSPSSGPLQAYPLMQQPFDAQPLLTLQNHEISEYSQDSQYQSYIQTQLQLHQQSGSYLHPSSHNSHFYNNYLQSNPVLLHGLMNMGSSSSVMNNNGSSSGSYSGGYLGNGLGMTSNSTSGNAGGSAEELGLVKVDYDMPSGTYTGWSGESVQGSNPSVFPMWND >CDP21872 pep supercontig:AUK_PRJEB4211_v1:scaffold_7327:967:3283:-1 gene:GSCOC_T00012440001 transcript:CDP21872 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLLRLHGYDMSPEVFKNFKKEDKFSCYPGQMIEAATPIFNFYRASQVLFPGEKILGEAREFACNFLQNWLACGNYLDKWIIAKDIPSEVRYALEVPWYASLPRVETRFYVEQYGGADDVWIAKTLYRMPEISNNVYLELAKADYNKCQLQHLNQWTDIQQWYKECNLVDHGISIQFLKHAFFVAMASIFEPERSKERIAWTKSLIFCEMIKFYFNATSFDKKKISEVDQRLLSNLLEFLHQLSTDTAQELGKDIRQQLFEAWESWLMTNTEKFQWGEEAELLVRTINLCAGRITSDHIAAQLEHYRLSKLINKICHQLHESKSRKVSIEI >CDP19859 pep supercontig:AUK_PRJEB4211_v1:scaffold_733:52129:56183:-1 gene:GSCOC_T00011733001 transcript:CDP19859 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVDDLKKEAYTISQGMESVEVNCFNIVRLPNLKSRYLLGRRAAKRADVAQKLIGEGKFDQVGYIAPLGKMPFSEQTQSSKEGLVSRMSKVKEVIEALKQDKTSLVAICGMPGVGKTVLAEQIADQVKFEKLFDEVAKANLSQIPNTRIVQDQLAEQLGLKISEETDRARAERMYTRLSNGEKRILVILDDVQEEVDFKSLGIPVRGECKGLKVILTSRLSHVCSRMGAEIFEVGALPKEEARHLFKEVVGICDDSTLSDVSNQVADECKGLPLAIVVVAEAFKSNHTTPESWNIALRQLKKYTMRDIEGVQDLVFSSIKWSYDHLESVEAKSLLLLCSLFPEDYSIPLECLVRYGKGLQLYQDRETLGDARDRVRMLINELKKYYLLVSDGEQEDSVKLHNVVRDVCLSIASKGEHVFLVRNARVEERHPYTAISLTVKDYTVQLLPFGKKSPWLKLLRLVFQSDTLYLSIDSFVGMEVLRVMEINNAYIEFTVLWPAQNLTSIRTLCLDGCTLRTGTSSMIGYMTQLEILSFLQSALEDDQFPRKIAQMSKLKLLDLRVRRSLQPLPRGILSSLKKLEELYLAPDYHLHLGRDKEEERECIKEIISLSNLECLQIHRLSRFLIEGGAYNMGWRDLSRDFQFGRTFELHLSQDEQLKQALDPAVTSIVKRAENLTLDLYDVSSLRNLVSDLDKDGFANLKRLQLVSGVCQCLVDSTTNLVAPHVFGDLVCMNIVECSLQEICHGNLPPRCFSQLQEVKLQTVDTIKYLWMGPIEPPSLCNLSVIEVTYCDQITILFSQSVLKCLVKLQSLTTENCKELENIVMREESKQKEVLELPQLKVLVHKHTNLMGFGSKDDAANAFFHQVSLPTLEELEFGPNTSDVQLIIGGELPSQSLENLKFLRLEDCQVRWIAKADGVIILQNLQRLEAHGCDRMKSLFEFEGLKVPRQSHEELAILPKLESLTLRSSGLTHIWRNFPTGVQVFRNLRNLKVWHCRLLQCLFYPPCVANMLVSLEVLVIGSCDEMHGVIGEEDEEISQEDGVGNHREIALERTNKEFVFPKLSSLSFVNLQNLGSFSGRHREDCHFKFPSLTQLEIWGCPELKKLCSGKLDAPLLKKVKVTENTYIPVDLKVQIYVYPYSF >CDP19857 pep supercontig:AUK_PRJEB4211_v1:scaffold_733:2333:6313:1 gene:GSCOC_T00011730001 transcript:CDP19857 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKQEKTSLMAICGMAGVGKTTLVKQITDQVKFEKLFDEDQVAFATVSQSPDMRNVQDQLAEQLGMKITEQTDLPRAERLYRRLTNSGKRILVVLDDIWNELDLKSLGIPVKGECKSLKVILTSRLSDVCRDMGAEIFEVNVLPEKEAWHLFKEVAEISDDSALSGVAKQVAEECKGLPLAIFVVARALKGNHTLQPWDRALRQLRTDRMGNLRGVQNLVFLRIEVMELNNSQIKFPPSWPDQMLRSLRTLCLDYCVLGTGLSSMLGHMTQLETLSLFQSNIDDEKFPAKIAQLSNLNLLDLRVESSLHPLPSGILSSLKKLEELYLGSGENLQLGRDEEEQIGCLEEISSISNLKCLQIILDDLNLLLLSLQEFDTQKLSRFHIAVANYEEAEYLNKKYQFQKSFGLYLSDHGDEGIVKRTENLTLELHISSRLRNLVPDLGEDGFINLKKLDLQDGLELKEICKGFLPPRCFNQLQEVRLYSIGALECLWEGSVEPSSLCNLRSIKMQYCDEITTLFSQSALKCLVKLQRIDVSGCQNLERIVMREEILTEEVLELPQLKALTLNDTNFVVCQIFQVSLPRLEVLYIVDPSDGPEQLVGGKMPSGSLDNLKSVELRGCKSIRCIAKGDTVALLQNLQALLTWDCHGMESLVDFEGLKFHNTLSKKGLEILPKLESLSWQSCPRLIHIWRNFPEGVRVFQNLRSLNVVNCPLKCLFHPPSVATMLISLEELDVRECSKMHEVIGEEDEEVSQKDNTQQHDVGKQREIALGRTSKEFVFPKLSSLQLKALENLRSFDGSHREDYEFKFPLLTELIISYCPKLKKFCSGKLNAPLLKEVQIGPSNTENFEAPVDLKVLIF >CDP19858 pep supercontig:AUK_PRJEB4211_v1:scaffold_733:33217:35334:-1 gene:GSCOC_T00011732001 transcript:CDP19858 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVRENLFIGNTGDAADVLQHGSGEITHILSSVLVHCFAGVSRRIYQECGTLYFHAWSSPSFTPSFDCFGCSAAIITAYLMRTEQLSHEDALESLRQSCEFVCPNDGFLDQLKMFEEMGFRVDYASPIYKRFRLKVLGRVFH >CDP19861 pep supercontig:AUK_PRJEB4211_v1:scaffold_736:21714:24947:1 gene:GSCOC_T00012574001 transcript:CDP19861 gene_biotype:protein_coding transcript_biotype:protein_coding MALESILMLHFAILLLCFCTGIFHVAESTALSIVSDKEALMSLKSQINMEMSNPLSTWDQDSSSPCNWTGVVCNRNGQRVIGLDLSGLRLSGSISPYLGNLSFLRSLQMQNNQLTGNLPEQLGNLFRLRSLNVSFNSLTGAIPSNISQCKELRVLDLMQNRMTGGIPEEIGQLKELQVLNLARNQLFGPFSSSLVNISTLTNLNLGTNSLDGPIPSDLSHLRNLKYLDLTINNFTGTVPASIYNMSSLVYLALASNDLWGDLPSNVGVTLPNLLGFNFCINKFTGTIPGSLHNLTRIRIIRMAHNLLHGSVPPGLGNLPDLEMYNIGYNRIFYMGGNRVYGSIPPSVGQLRGLELLDMSNEAIYGEIPPEIGQLEELQVLGLAGNLLSGKIPNSLGNLQKLNKIDLSKNELLGSIPATFKNFQNLLSMDLSNNKLNGSIPPEILHLPSLSAFLNLSRNCLTGPLPEEVGFLEGSLEHLLLARNMLSGHIPDTLGDIRGLGTLDLSLNQLNGTIPFDLKNLQALQGKAKVETTSESLKHRHRMIAYNELCLATNNFSKENLVGIGSFGSVYKGQLTEGTAVAVKVINTEATGSWKSFTAECAALRHVRHRNLVKLITTCSSIDFKNSGFLALVYEFMSNGNLEDWITGKRRTSDGEGLNVVDRLNVAIDIACAINYLHHECEAPIVHCDLKPSNILLDSDMTAKVGDFGLARLLINNSGDQPSISCTHTLKGSIGYIPPEYGFGEKPSTAGDVYSFGILLLELFTGRSPRHASFTEGLSLKKWVDINSPTNIEEVLYPELHEEGDSIDQEIQGDCLIKVMGIGLSCAADSPDNRITMRVVLHRLKAIRDALLKPDRHKNSKSLMHH >CDP19862 pep supercontig:AUK_PRJEB4211_v1:scaffold_736:31892:41963:1 gene:GSCOC_T00012575001 transcript:CDP19862 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVKTFAPLKRVIWLYRLLCANLNLSISWPGPNRSEGKALLTWKASLDSHSQSQLSSWSASSNSCSNWLGIRCNKAGRISVINITSSGIKDLQQQSFWQNTNRAWRKLSQNRLTGHLPQSLCSGGSLTWLVIYENDFVGAMPRSLKNCSSLQAIDVQRNQLSGNITEDFGDYQHVDHIDLSDNLFYGTLSWNWSAFLNLTKLKISNNNLTGRIPTGLGEVSLLQQLHLCSNRLHGKIPRSLGRLNLLLELKLDNNHLSGNIPSEIGQMSRLLNLSLSANNLSGSIPEKLGHCTQLLELNLSHNALIEGIPSQIGILPSLETLDLSQNMLESKLPPELGDLKSIEKINLSHNRITGTIPSSFDHCFSLISIDISYNQLEGPLPNITAFQKAPFDALRNNKGLCGRVVGLKPCPQSTQKETSRRTSKRIIFLIVLPLLGTMFLLTVVVGILIISRSHPRHVENKPLELTGNLFTIWSFDGKMVYENIIDATENFDPKYCIGVGGCGSVFRAELPNGQVVAVKKLHATDGGTLRRLKDFTNEIRALTNARHRNIVKLYGFCTHIEHTFLVYEFLEGGSLMHLLRNDETASKFDWSKRANMVKDMSNAFSYMHQDCSPSIVHRDISSKNILLDSEYQARISDFGTARLIRPDSSNWTTFAGTYGYAAPELAYTMEVNEKCDVYSFGVLALEVIMGKHPGDFISSILSAPSSTSTAHSVLLKDIADPRLSSPSKQESNIVVLVAKLALSCIDPNPQLRPTMKQVSDQLSKEIPTQFNIFPMVTIGQLLDLETTNFRASVCMYLSFSLSEISNFCGLQLITFWLKFTLITWFKDA >CDP19860 pep supercontig:AUK_PRJEB4211_v1:scaffold_736:3199:8455:1 gene:GSCOC_T00012573001 transcript:CDP19860 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDALSRNGSEGKALLTWKASLDSHSQSQLSSWLASSNSCSNWPGIQCNKTGEKFPIFIISSGIKGTIDHLNFLSLPHLTIIDLYENALYGTYLGLHSNHFTGTIPIQINQLTNLRFLYLSTIDHLNFLSLPHLTIIDLYENALYGTYLGLHSNHFTGTIPIQINQLTNLRFLYLSNNSFYGPSPKELMFLTSLVELVLELNEFTGSIPTSIGNLTNLTILANNLSGSILSRIRNLTKLNLLSFAINQLSGPLPEEIGKLQSLVELSFTENMLTGLIPQSIRNLENLTLLYLFDNYLSGPIPEEIGKLIFLETLQLYNNNLIRKIPDSIAKLENNLTNLQMLQVNENNLTGHLPQNICNGGSLKWFTVQDNNFVGSIPRNLKNFYPQVDHINLSNNKFYGKLSWNWSAFLNLIMLKISNNNLSGRIPTGLGEVSRLQQLHLFSNRLHGNIPRSLGKLNLLLELKLDKNHLSGNIPSEIGHCTQPLELNLSHNALIESIPSQIGILPSLETLYLNQNMVESKLPPELGDLKSIEKINLSHNRITGTILTSFDNCFSLISIDISYNQLEGPLPNITACQKAPFDALRNNKDLCGRVVGLMPCPQSTQKGTELTGNLFTIWSFDGKMVYENIIDATENFDPKYCIGVGGRGSVFRAELPNGQVVAVKKLHATDGGTLRRLKDFTNEIQHTFLVYEFLEGGNLMHLLSNDETTSKFDWSKRANMVKDMANAFSYMHQDCSPSIVHREISSKNILLDSEYQACISDFGTARLIRLDSSNWTTFVGTYGYVAPELAYTMEVNEKCDVYSFGALVLEVIMGKHPGDFISSILSAPSSTSTAYSVLLKDIVDPRLSSPSKQESKLVVLMAKLALSCIEPNPQLRPTMKQMPVQLSKEIPTQFNIFPMVTIGQLLDLETTNFRASMCMYLSFSLSEISNFRGLQLITFWLKFTWIPWFKDV >CDP19863 pep supercontig:AUK_PRJEB4211_v1:scaffold_736:50565:51958:-1 gene:GSCOC_T00012576001 transcript:CDP19863 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIKLELIVDICKILVLRGLRDNSAVCFFTQYHPLCYSSFLCYLFCCLQVDKLILIGASVHVEGTGLLTKLPKFLAYAGVSLLKSLPLRLYANLLAFDDISLSTCFDWTNVGRLHCLLPWWEDATVNYMLSGGYNVVNQIKQV >CDP21873 pep supercontig:AUK_PRJEB4211_v1:scaffold_7361:1962:2204:-1 gene:GSCOC_T00003347001 transcript:CDP21873 gene_biotype:protein_coding transcript_biotype:protein_coding LAFSLVEFIERGSFFISYYTVKFISGTNFGRTAGGPFIATSYYYDNYLHEYGM >CDP21874 pep supercontig:AUK_PRJEB4211_v1:scaffold_7364:661:3161:1 gene:GSCOC_T00003188001 transcript:CDP21874 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPISLFVVTFLFFLLNQSRAIVGKYNVLELGAKADGNTDSTESLLSAWAAACGSSKPSTILVPKGRFLVKRVQFSGPCKNKAINFRIQGTLVAPSGHEALGNAGYWLHFQNVDGVTIHGGILDARGAGLWACKAAGNGCSSGGATVCKIDQIVLHRLTLVASLPTANCFLGSSLVVTKQKQRNSLDLLDLFRYTKLFFVFFYFFFEPWGNAVVSEILRTHLILGLNLTLDLNSRDSPGARCMAYSFDLVCRLGTKTLGFTNSNNIAITRLTSLNSQMYHLVFIGCNQVKLQKIKVLAAGNSPNTDGIHVQFSSGVSILSSRISTGDDCVSIGPGTTNLWIENVFCGPGHGISIGSLAKDFEEEGVQNVTVKRVKFRNTQNGARIKSWGRPSKGFVKDVLFQHVTMINVQNPIVIDQNYCPSHINCPGQVSGVKINDVTYRDVHGTSATEVAVKFDCSEKYPCSGIRLEDVKLTYQNQKAKSSCANAAGTALGLLEPASCL >CDP19866 pep supercontig:AUK_PRJEB4211_v1:scaffold_739:31705:32818:1 gene:GSCOC_T00008298001 transcript:CDP19866 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAMKINDWVSLQESFDKINKQLEKVMRVNESDRVPNVYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNSMKQKLKKNNKQYEEMINKYRENPEVEEEEDGDDDDEDGEDEDSEFEEDPSKLGMESDAEEDEDKEAGEDDANETGLGWEKMMSKKDKLMDKQFKDPSQITWDTVNKKFKEIVAARGRKGTGRIELVEQLTFLTRVAKTPAQKLEILFSVVSAQFDVNPSLEGLKNGLTSCPVCIFYKSSTLNSKQPHVTCHHGMTLYKCKRTPVHLGFRI >CDP21875 pep supercontig:AUK_PRJEB4211_v1:scaffold_7398:1515:3397:1 gene:GSCOC_T00009493001 transcript:CDP21875 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWEAKKDRTVCVTGAGGYLASWVVKLLLSRHYTVHATLRNPNDEKYVHLKKLDKAAENLKLFKADLLDYNSISAAIRGCDGVFHVASPVPSGSVPNPEARPFSIVELVEPAVKGTLNVLKACSEANVKRVVVVSSTAAVVMSPNLPEGEIIDEKCWSDGEYCKATNNWYCYSKTIAESEALQYAKETGLDVLTVCPSFVLGPMLQHDVNASSLALIKLLKGDLLVLCSQLENLFRY >CDP19868 pep supercontig:AUK_PRJEB4211_v1:scaffold_740:23461:23901:-1 gene:GSCOC_T00007878001 transcript:CDP19868 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEIISKEEIKPASPTPPELRTFRFSILDQLTRDSYTNILFFFSPRKQQGTYLNDVISQRSRCLKESLSKTLVPFYPLAGKIKDNLHIECNDDGVYYVETQTNIGLLDFLRKPENEFMNQLCPFHPDSTELLSKSYPIMVQVNIF >CDP19867 pep supercontig:AUK_PRJEB4211_v1:scaffold_740:15979:17209:1 gene:GSCOC_T00007875001 transcript:CDP19867 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAIEISNIVEPVESRTNEPIEWACLKRDHKVYAFLAQGIAAFPRKDCLSEALGASSTGNCLSEALYDSALKHKIECVT >CDP21876 pep supercontig:AUK_PRJEB4211_v1:scaffold_7409:2193:3235:1 gene:GSCOC_T00012890001 transcript:CDP21876 gene_biotype:protein_coding transcript_biotype:protein_coding MGANVSAWQEANIDSSMALKKSPVVAFHSSAKWKIHFEASKETNKLIVIDFTASWCGPCQYIEPSINELAAKYTDVEFVKIDVDELDDVAEEYGVQAMPTFILLKKGKAIDKIVGAKKEELQKKIEKHRF >CDP19869 pep supercontig:AUK_PRJEB4211_v1:scaffold_741:9403:19046:-1 gene:GSCOC_T00008798001 transcript:CDP19869 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYVGIFVSDPWLQSQFTQVELRGLQSKFLSAMNKSGKVKLGDLPPVMSKMKPFSEMLTEDEVKVILSESSADLSEEIEFESFLRAFLNLQARATAKLGDSKPTSSFVKTATTTLRHTISESEKASYVAHINSFLGNDPFLKEFLPIDASTNALFDLAKDGVLLCKLINVAVPGTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEARPHLVVGLISQIIKIQLLADLNLKKTPQLVELVEDSKDVEELMGLPPEKLLLKWMNFHLKKSGYKKQVTNFSSDLKDGEAYAHLLNALAPEHGTTTTLDAKDPTERANLIIEQADKLDCKRYVTPKDIVEGSTNLNLAFVAQIFQHRNGLSMDSKKLPFAEMMTDDTQTSREERCFRLWINSLGIDTYVNNVFEDVRTGWVILEVLDKVSPGSVNWKQATKPPIKMPFRKVENCNQVIRIGKDLNFSLVNVAGNDIVQGNKKLILAFLWQLMRFSMLQLLRNLRSHSQGKEITDADILNWANNKVKMARRKSKMESFKDKSLSNGKFFLELLSVVEPRVVNWSVVTKGETEEDKKLNATYIISVARKIGCSIFLLPEDIMELFIELYLDFYVSSFCSMFSLFLQVNQKMILILTASIMYWSLQKKSGESESTPTEDSGKPGGSIADSADGESQSASSPSTLSQQTMDIENEEASF >CDP21877 pep supercontig:AUK_PRJEB4211_v1:scaffold_7418:883:2628:-1 gene:GSCOC_T00005233001 transcript:CDP21877 gene_biotype:protein_coding transcript_biotype:protein_coding FCSFLGLVRGVSSVVFCVCDDSNIIRKWNDAPDTVLPIIRKLLNTGLRVWVFSGDTDGRVPVTSTRYSINEMGLKVKEEWRAWYHKQQVAGWVETYVEGGLTFLTVRGAGHQVPMFAPDQSLSLFSHFLSSTPLPSSHH >CDP19871 pep supercontig:AUK_PRJEB4211_v1:scaffold_743:35010:41989:-1 gene:GSCOC_T00011541001 transcript:CDP19871 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMVFPLAWKGFQKRSLGGVFASAFMVGPNFTLEDTNKILLRPDQADDSFVIGDPVRIVLFGPHFAATLLYQNSPLEDLKLASYSIRLTQFFRGDLANKQIRVTKERYGSVPRAYVVDLEDKAITPDVQRAMIKSTPPQVVREIEGADHMVQFSKPQEFANNLIEIAESFELFGQGGRP >CDP19870 pep supercontig:AUK_PRJEB4211_v1:scaffold_743:18732:19850:1 gene:GSCOC_T00011539001 transcript:CDP19870 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAAVFFVIASALLLFSLSPSADASPLLSACRFDQVYQLGDSISDTGNLIRESPFGVALPLARNPYGQTFSHPKATARCFNGLIMIDYIAQALGLPLVNPNKDTKVNFERGANFTIAGATALSSAALTRHHVRNPVTNSQ >CDP21878 pep supercontig:AUK_PRJEB4211_v1:scaffold_7436:1577:2563:-1 gene:GSCOC_T00008801001 transcript:CDP21878 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKRYMYLTEEILKENPNICAYMAPSLDARQDMVVVEVPKLGKEAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDSHLDSLVGQALFGDGAAAIIIGADPVPEVERPLFELVTAAQTILPDSHGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLKEAFEPLGISDWNSLFWIAHPGGPAILDQVEQKLALKPEKLRATRHVLSEYGNMSSACVVFILDEMRKASAKDGFNTTGEGLDWGVLFGFGPGLTVETVVLHSATIQK >CDP19873 pep supercontig:AUK_PRJEB4211_v1:scaffold_744:56190:56802:1 gene:GSCOC_T00009822001 transcript:CDP19873 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRMCINPIHNKKRILCQSIDASSEESFVSSSCSMHSLYDLDLISETVPVILDNSKQWHQVLLTSMKLGSRGIANVQGVNWKDLRSKS >CDP19872 pep supercontig:AUK_PRJEB4211_v1:scaffold_744:4560:12179:-1 gene:GSCOC_T00009821001 transcript:CDP19872 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVVSQLSHSHILCPSLYLFTTSLIFLSNSLLGLSSWFSINPTKREKCVDPILHQFQYLIFCKSSVQTLSDNIKKLELKETEVQQKVDRAKDNVEEIKPTVVDWLKQVEDVKKDAHTISEAMKTAKVNCLNIVTLPNLKSCYLLGRRAVKRTSVVEKLLGEGPFDEVGDIASPGTMPFSESTISFTSRMSTKKEVMDALKQEKTNLIAICGMAGVGKTTLVKQIADQVKFEKLFDEVAMATVSQSPDMRNVQNQLAEQLGLTISEQTDLPRAERLYTRLIGHDKRTLVILDDIWKEVDFKSLGIPVKGECKSLKVILTSRLFDVCSIMGAEIVVVNMLPEEEAWHLFKEKAGISNDFILNDVAKQVAGECKGLPLAIVVVARALRSNHTLESWNRALRQLRKDRMGNLKEVQDLLFSRIEWSYNRLETAEMKYLLLLCSLFPEDHSIPIDCLVRYVKGLQQFQDTETVRDTRDQVDMLVDQLRNSYLLLNDGGKEDHVKLHDVVREVCLSIASKAEHEFLVRNAGVGEKNSYTAISLISQDSNHDLLPFCKEYPRLMLLQFSLSWSGQMLRSLRTLCLDYCYLGTGLSAMLGHVMQLETLSLFKSKIQDDQFPAEIAWPRNLKLLDLRVESSLHPLPSGILSSLKKLEELYLGSYLHLRLGRDREEKRKCFKEISSISNLACLQIDFYDLDLLLLFLQEFDTQRLSRFHIVVANYERKKRDLSGSYQFRTSFELHLSDDKALKQAFYPKVTSMVKRTENLALNLSKSLCLRRLVPDLGANGFINLKKLDLHGGKYECLIDSTTNLVARHVFENLVSMELGSLELKEICNGFLPPGCFNQLQEVKLNFISALNYLWKEPVEPPSLCNLRSIRLLRCEQITTLFSQKILKCLVKLQKIDIVDCRKLESIILREEGLKKEVLKLPQLKVLSLTWTNLMGFVSRNDKVEAFFNQVSLLSLSICVII >CDP19874 pep supercontig:AUK_PRJEB4211_v1:scaffold_746:7850:9133:-1 gene:GSCOC_T00009404001 transcript:CDP19874 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFNFILAFSTLVSLLFASAPGATQAPFNPNALYLPVLKDNATSLLVVDIYKRTPLKSIPLLVDLNGKFLSVNCEQDYLSSTYNAPICHSTQCSQVENHFCHTCLSQAKPGCHNNTCAVLATNPLTNTNVVSELAQDTLAIKTIEASNPGPLAVVRYFLFACTPSSFLQGPLPQNVQGIVGFGHNQVSLPRQLASHFGFPQKFALCLSSKTNQNGVIFLGNASYRIGDKDISQGMQYTPLTIGSQGEYQILVKSIRINHKPVQFNRPLLSRTRSFGGTVIGTTDPYTVLEHSIFENFTQFFTNEFTAIGASQVDPVSPFGVCFNKLPPPVPKVDIAAPIIDFVMENRDTAWSIYGSNSIVKARPGIWCLAFVDGGYKPRAPIVLGAYQLEDHIFEFDLASSQLGFRVTLKADYNTCDQFNFNPTP >CDP19877 pep supercontig:AUK_PRJEB4211_v1:scaffold_746:44412:45140:1 gene:GSCOC_T00009408001 transcript:CDP19877 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAKRVQKSVILVSLKIPHQVVEIVSGSLNDAAAKKYDLEAWFLAFSTYREPYHLSLQGNDQGKQFVHLVNSKLTATQRTMCCILLMWHVPHRFLWKEFQFLYPTSKNSKGNLSFETYKYMSLVVKIGAPLKRALYGLLVSWII >CDP19875 pep supercontig:AUK_PRJEB4211_v1:scaffold_746:13384:16055:-1 gene:GSCOC_T00009406001 transcript:CDP19875 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQDFLKTQSCVLRVNIDCDGCKDKVRRKLLKIDGVYTVKIDVDQQRVTVTGNVDPATLIKKLDKAGKHAELWGAQKGGGGAFNLNNQFKNMQIDNFKGGKDNKSQKGGQQAHQLQQMQNLKGPKDLKFPPKDQKSVKFSLPDEDDEFDDDDLDEFDDDYDDELDDEFDDGFDGGHHKHPSAKTIPIMGGGGGGHGPNKGSNGIMNGFLKGVFNGGNAKKGGGADISMQIKGMGGNNDGKNGNGGKHGKGGNQNQGEGKNGAVNGKGQHNSNGGGKINESWGKNGGGKMSGGMMMNNLPQGFHDMDMNHRGPVPRNMGQMPQMGGYPMGPAGNMPAVQGLPAGAGMNGGYYQGMGQGNPYMNPQYMAQMMMNQQQANGGHGMYHPMMYARPPAPMHYGPPMMAPQATDHYTHIFSDENTDSCSIM >CDP19876 pep supercontig:AUK_PRJEB4211_v1:scaffold_746:32228:40242:-1 gene:GSCOC_T00009407001 transcript:CDP19876 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQSNYHNPYYVGGQGSGWFRPPQAAMLLAPSPHLQPPPPAPVPYVDHQSAKKIKNDVNVHKDTIKLEVDEGNRDCHLVSFTFDAMVDGSITIYYFAKEGTKCTFNPVHPEMYVPMKIPFQKGLGQKFCQPSGSGIDLGFFDIDDLSKPSAGDVFPLVISAQSCLSSTLMEDQLNEEAVNTSPHAQITQAVIEKNNDDHFQVKVIKQILWIEEIRYELREIFGISNSDEQAINDKDLGKECVICMTDPKDTAVLPCRHMCMCSECAKELRLQTNKCPICRQPIEELLEIKVDEAVS >CDP21879 pep supercontig:AUK_PRJEB4211_v1:scaffold_7464:211:990:-1 gene:GSCOC_T00004252001 transcript:CDP21879 gene_biotype:protein_coding transcript_biotype:protein_coding MHNPNLKTATQKMQKIKAKDKNGNDVYCCIHEYSTIRSHSNYLNPSTSQFPDPYLSKPHGPNSTMKKKPRTPKPKPFLATLSALPGPSLIKHLASCNASIRSQSLKLIQAWMSDSQTELPEDDMKRLWKGLFYCLWHSDKAPAQGLLINRLSSLLITLDPLLSLQYFGCFLVTLRREWTDIDHLRLDKFYLLIRRFVNGVFSLMRKYKWDLEYLGKYVEVLEEKGFLGLRFASLSKFFICRSTTMMGASLEETWRTKLE >CDP21880 pep supercontig:AUK_PRJEB4211_v1:scaffold_7467:1:3019:1 gene:GSCOC_T00009619001 transcript:CDP21880 gene_biotype:protein_coding transcript_biotype:protein_coding KPKAASKMLVSELKEELEAQDLPTDGTRNVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEELDKLISRIKLEEGNTEFWKRRFLGEGLNENHIKSMEENSSEIIDVADEGDVVDDVAKENEDDEADDEEEEVEQSESQEVVDQVKEKEVEVVKPLQMIGVQLLKDSDQTTSSSRKSRRKTSRVSVENDDDEDWFPLDIHEAFKEMRNRRVFDVSDMYTIADVWGWTWEKELKNKAPRRWSQEWEVELAVKIMTKVIELGGTPTIGDCAMILRAAIRAPMPSAFLKMLQATHNLGYFFGSPLYDEIISLCLDLGELDAAVAIVADLETTGIKVPDETLDRVISTRQMKDIPSDNAAQ >CDP19878 pep supercontig:AUK_PRJEB4211_v1:scaffold_747:5282:7062:-1 gene:GSCOC_T00003691001 transcript:CDP19878 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGILPLIPIVIYNGTRSTKAGFAGDDFPLASFPHLVGRTRHIIPLAEGVFLKYFLPQKMLHVDPRDHPVLLTEPPLNPKANRQKLTEIMFETFNVPAMYLANPAILSLYFSGRATGTYLSLYWIFTIISHVQIQKILSNNIALSGGSTLLHGFADRLRKELILCSSFRGLIDKEITGRVPILKNINVLALPGREYGPWIGGSKLATLDTFKRMWIREDEYDEYGPSIVQKKCL >CDP21881 pep supercontig:AUK_PRJEB4211_v1:scaffold_7471:2072:2794:-1 gene:GSCOC_T00007971001 transcript:CDP21881 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHPSSVTIAWFLLFLTIIHQSFSARTLGNSSQGNGDHHANLAISFSMPDVLSQKHPSSRPVTTKVNGQIPFSKPLGFFPPIGGIPLANIDTIPMTGLPSQTIDLEGISMSFPAIATVQELELGTVTTINEDIYEGFVFGSSLLGKAQGIYVASSEHGSSHMMAMTASFIGNKYKNALRIFGVHRSDVNESHVAVIGGTGKYQNANGFATVKTANISSSLKGEESEEAYKLLLFNVYLG >CDP21882 pep supercontig:AUK_PRJEB4211_v1:scaffold_7476:352:2835:1 gene:GSCOC_T00008931001 transcript:CDP21882 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELKNLNEHELLDKLYKKLKRKRYLVVFDDVWDIKVQYGGEPHYLHPLSEKQSFELLQKKVFGEEEECPQALHGLGMEIAEKCWGLPLALVVVAGVLATIEHDILVWKKFAESLTSTMVSGTDQWKKSLELSYEHLPYHLKACLLYFAAFREDEKIGAKSLMRLWIAEGFVEIIEGKRSEDTAEEYLMDLIGRNLVMVGKNRSIGGVKTCYIHDLIFEFCKGEAKEKKFLQVLRGYDELSTFNEPPNLPRLSICSSEEDFIKSRLFCPHLASLLFFHATPGYKMLELLNISFLFCIYKHLNVLNLEGINLRLKELPAEVESLLCLRYLALRAWKMEFIPPSIAKLSHLETFCLNSDEIVSLPDNIWNMKKLRHVCLWTGVVIHLPSNDNGVENLSTLPNLDTLSRLCLKKEGENLLRRIPNVRRLKIFDCQTRNGVLNMSRLECLESLTWRGNYSSGSWEHVELSFPMNLKKLCLGDLRLPCSKMSLVEQLPNLEVLKLRWRSMEGQKWELMEGGFPKLRGLTLEYVKIQLKLEGIPNLEMMPACLGVISTLETITVNFCGDGVKSLVREIEEAQEYNGNENLKIIIIY >CDP21883 pep supercontig:AUK_PRJEB4211_v1:scaffold_7480:867:3442:-1 gene:GSCOC_T00005753001 transcript:CDP21883 gene_biotype:protein_coding transcript_biotype:protein_coding QFAFDLTNFSFSSFPNLVRLDLSSTEFHGVIPHQIGALSKLTDLTLSNNFIDGFSPSFIGNLTGLVTLDLSYNSFSGPIPSTLGQLSNLEFLDLSNNHFIGTIPSVLFNLTNLSQLDIHSNPAMGGILFKEIGNLKSLVKLDLSAENQIYGSIPSDIGNLKYLEYLHLGSNRLTGPIPTQFWDDILKSDGPLFTLNLSHSILSGTVPSSLLQLGDVDLSYNALEGELPCKLVIQFGSERFLMDNKHGDIFRIWNYDGNMAYEDIIKATNDFDVSYCIGTGGYGSVYRVRLPSGKVVALKKLHRLEGENPNFDKSFRNEADMLSKIRHRNIVKLFGFCLHKRCMFLIYEYMDRGSLFCILRDETEAVELDWVKRVNLIKGIASALSYLHYDCDPPIIHRDVSSNNILLNSQLEATLSDFGTARILELDSSNQTVNAGTFGYMAPELAYTMVVTEKSDVYSFGVVVLETLFGDHPRDFLSCISSQPNEPIMLKDLLDARLPPPTNPLVVRNLVVATALALDCVNANPKCRPTMQQVVNRFEEGRREPTRPLHTIAVNQLVSPPVLSLPDQTCADGRSSSSTINEFHVDISATLPSSNFSIHVST >CDP21884 pep supercontig:AUK_PRJEB4211_v1:scaffold_7485:1:2388:-1 gene:GSCOC_T00012293001 transcript:CDP21884 gene_biotype:protein_coding transcript_biotype:protein_coding METSSDSTLTNGGSCGQWRAEEAVAGNWEALRALRELITYPLVYSRESRKLGLKWPRGLLLYGPPGTGKTSLVRAVVRESGAHLIVLSPHSVHRAHAGESERILREAFAEASSHAKVGKPSVIFIDEIDAICPRRDSRREQDIRLASQLFMLMDSNKSSSTSTSHVVVVASTNRVDAIDPALRRSGRFDTEIEVITPSEAERFQILKLYTKRLSLDPDVNLQS >CDP21885 pep supercontig:AUK_PRJEB4211_v1:scaffold_7487:1978:2223:-1 gene:GSCOC_T00004429001 transcript:CDP21885 gene_biotype:protein_coding transcript_biotype:protein_coding VNTLFLGLPAAPEAAPTGGYIPPDALINQIPPVVLSYPNYGGVMLWSRFYDLNYSSEIRPYVNGDPLTYTTKSVKKSHAVA >CDP21886 pep supercontig:AUK_PRJEB4211_v1:scaffold_7494:1400:2626:1 gene:GSCOC_T00008779001 transcript:CDP21886 gene_biotype:protein_coding transcript_biotype:protein_coding MFARKTSTLLRRIKTESSNGNKWYQYYGKKIHWQMIIVGRVPSEYLNVKLLILYAKAGDLNLAHILFDKLQMKSLVSWNSMIAGYVQKGLEEVGLRVFHKMRKNGLIPDHYSFASVFRQAHALWIKCQISG >CDP21887 pep supercontig:AUK_PRJEB4211_v1:scaffold_7498:266:2167:-1 gene:GSCOC_T00009843001 transcript:CDP21887 gene_biotype:protein_coding transcript_biotype:protein_coding METALYAKGKAGFIDGSLEVPDLKSPDFQRWKKNDAMVRAWLRNSLAKDIQESVVYTGTAREIWTEICERYGQSNAPRLYKIKKEFFNMFQGDGQPLTQYYTKFKTLWQELQICDPLPYCKCEAAKDHLLRREKEKALQFLLGLNGNFDRLRSNVLSMEPTPSLSKLFSLALQEEQSFVRVNEQTSHSLEGAAFAAKTDRRGNENTRSQAPRSRSSKRCEHCKKMGHLKEECFEIIGYPANWKNNQQSKCGNRGGGKNNSGQMQIYGNIAATDHQFINPSEKSQSQQPLGLTMDHYNKLMQILGPPNHDNEALANFAGKCNSFHNSWILDTGASNHMVGDKSILDNVKCISSYPNVRIANGSSLPVTHVGNAYLTPNINLKNVICVPGFKFNLLSIAKATKELNCSATFLLDSCFFQDLCTRMLIGVGRLRDGVYQLEGGHGLASLSVTESDATLWHMHLGHPSFSRLKLISSIPFPSNFNHICDVCHRAKQTRLSFSNSNKKSVKPFALIHVDLWGAYSVSSLSGAHYFLTIVDDFSRCTWVFLLKNKFQAYDFLTMFCQSTQTQFGYPVQVVRSDNGSEFVSGTMRVFLLVKGLTIKPLVLILHNKMGEWSANIATFLRLREHCVFKPIYL >CDP21888 pep supercontig:AUK_PRJEB4211_v1:scaffold_7506:224:2092:-1 gene:GSCOC_T00011508001 transcript:CDP21888 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSPTWAAYALAWVATVVIAHLSKHFHQQKLNLPPGPRQWPIIGNLNLIGTLPHRSLHQLSLTYGPLMHLQFGTFPVVVGSSVEMAKVFLKTMDVTFAGRPKTASGKYNSYDCTDMTWSAYGPYWRQARKIFLTELFSAKRLESYEHIRVEEMNSLLLQLFKSSGKPVVLKDYLSTGSLNVISRMVLGKTYIDESENSIVTPDEFRQMMDELFLLTGVFNIGDFIPWIDFLDLQGYIKRMKILSKKFDRFLEHVLDEHNAQRKDDTNCVSKDLVDVLLGLADDPTLEVKLERRGVKALTLDLLAGGTETSATTVEWAISELLKNPEIFNKAAEELDRVIGQNRWVNEKDMPNLPYIEAVVKETMRMHPPELFCPDRFMGKDIDFKGQDCKFLPFGAGRRMCPGYSLGLKVIQSSLANLLHGYRWKLPNDMMPEDLDMEEIFGLTTPRKIPLVAIVEPRLPRNLYSL >CDP21889 pep supercontig:AUK_PRJEB4211_v1:scaffold_7509:1780:3296:1 gene:GSCOC_T00006453001 transcript:CDP21889 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIASAPIQSQSRYFIHVKAGIYVEIVEVWANKTNIALIGDGANVTKITMNRRVPEFQTNETATVSVKGNGFMAKYITFENSAGEGTQAVALMSQSDRSSFYRCTFLGFQDTLCAHFGRQFYKECDIYGTVDFMFGNAAAVFQTCNLYARLPNRVITFTAQGKKSRYENSGFVIQNSTLTAAPDLQSNKSQVHAFLGRPWFEWSTVIVMQSFLDSIIDPAGWYEWPGHRTDELTYREFGNWGPGAGTGRRISWVGYKALNQSKEVIPFTVSKFIQGNSWIPESGIPYTSGLY >CDP21890 pep supercontig:AUK_PRJEB4211_v1:scaffold_7514:2513:3198:1 gene:GSCOC_T00011322001 transcript:CDP21890 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRITISAYDTAWIALIADVNNSDNPQFPSSLQWIIDNQLPDGSWGEAHFCPYDRLLNTLACIIALKSWTTHEDKIAEGIAIIKTLLDMCKLENVESMICGFEVIFPALLERARNLGIEIPSDTPFVKEICAARDLKLERCSNRSKISLVCASREKL >CDP21891 pep supercontig:AUK_PRJEB4211_v1:scaffold_7517:69:967:1 gene:GSCOC_T00002183001 transcript:CDP21891 gene_biotype:protein_coding transcript_biotype:protein_coding ESLELERTEKKNSLPSSSDKLSTDEGKNCREAPDSLSHLSLSRTKATDRKQRGKEIFAEEVPASFSLGRATEQGRKKIL >CDP19879 pep supercontig:AUK_PRJEB4211_v1:scaffold_752:17069:33159:-1 gene:GSCOC_T00011222001 transcript:CDP19879 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIKKQASKLREQVARQQQAILRQLGQLGHEAVMVDDTEMECYQQLQNLYKSTRTAKHFQRDIVRGVEGFISISKKQMEITRKLAEDCCKYGIENQSDALHLARAVSGFGTTHVSIEDHTETMLGILANQVCEPLRVSIAGAPLEDARHLTHRYDRVRQEFEAQAADVLRRRSKCKDSSAESVIKLKSSEAKLTELKSSMLVLGNEAISAMLSVEDQQQQLTFQKLLSMVDAERSYHQNIVALLEKLHSEMILEEQLNESSLHSSFSQKDSCSLFVHVDTINKSEDDAYFIAKVIHSFDAQADGELSLAVDDFVVVRQVAPNGWSEGECKGEAGWFPSAYVERRDKIPASKHWKVRAIGFSFCCLQVHT >CDP21892 pep supercontig:AUK_PRJEB4211_v1:scaffold_7526:293:2449:1 gene:GSCOC_T00008006001 transcript:CDP21892 gene_biotype:protein_coding transcript_biotype:protein_coding MMENEAYPLGKLPDDLCWSILKEKVVGGEEEPDELKAIKERVIKRCDGLPLAASVIGGLLSLKRKEEWRSILENRLSSLSGDEDRVMQILKLSFDNLPSPYIKKCFAYCSIFPKDTEMEGDMLIELWMAEGFLQADLDSQMMMEEIGMNYLRILLQSSLLEEIIDESETSASYKMHDLVHDLAESMSKSTKVINNGDAQIIDNDNQIRYLAVDSADCREDKKKLFENISTSLHTLFIVNGYLSGDILMNLKNLYVLNLSRATTQELRVSIGKLIHLRYVNLKRSVNVLLDSLCKLYNLQTLTLNDSYVKDLPKGMCNLISLRHLHYYTMNEKLQMPLDMGRLTCLQTLEFFNVGREKGRQIGELGSLKNLKGKLKIRNLELAKDKEGAEEAKLSEKANLFRLELEWAYNREGDDYNDEDVLDGLRPHPNLEELVICNFMGDQFPRSLMELPTTTTLPKSATTLPKLGHLKFNSCNRCRELLPLQNITSLKELEIWFCDGLTNLPSDMLHSCTSLQKLRVNCCRNLISFPLDLQQTPSLLELELRRCPKLKTSMTPKGFGFLTSLRLLVIGPFSDDGDDHENSSIYNEFDWSGLMSSSSSSSCGLRELDLIGLPHMESLPHQIQYLTALTSLMLRDFGGVKALPDWFGNFAALEELRLTGFKGLGHLPSEDAMRSLTKLKKLQVVGSPLLEERCTPESSGPDSQWSKVSHIQRLYIADY >CDP19880 pep supercontig:AUK_PRJEB4211_v1:scaffold_753:54769:55053:1 gene:GSCOC_T00006537001 transcript:CDP19880 gene_biotype:protein_coding transcript_biotype:protein_coding MKAILDYFVSSTWQIITNGAYKSVEHRAIVNSQKERLSIGTFTFPKLDGDLGPAPSLITPENPAKFSRVLMVDYLKRLYSRELDGKSYIDTMRI >CDP21893 pep supercontig:AUK_PRJEB4211_v1:scaffold_7533:447:1272:1 gene:GSCOC_T00006557001 transcript:CDP21893 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGASKPVVAVRFCPVRFSLRGLKSSDFFRFPYRLIFGLATLNSLYIYDTEGIEPIAILAGLHYAAIIDIAWSPNGKYLALSSQDGYCTLLEFQNQELGSSVPVSEERNIVDDRKTLQQAQGASFTKAEPDNSLDGAESEKAEAHNDEKQASTATLATPTANKPAKRRITPIVID >CDP21894 pep supercontig:AUK_PRJEB4211_v1:scaffold_7556:1714:2136:-1 gene:GSCOC_T00001580001 transcript:CDP21894 gene_biotype:protein_coding transcript_biotype:protein_coding AQRVPSFLIQWATQNIIGPTNRPHMPMSIIIEGPTRTGKTCWTKSLNSQAHNYYAGHIDLAHHCDDAWYNVVDDVNPQFLKHWKKFLGAQRDWSSNCKYAKSNKIKGGIPTIVLCNASPNSSYHDYLSASDRQDLFNWTK >CDP21895 pep supercontig:AUK_PRJEB4211_v1:scaffold_7558:93:2969:-1 gene:GSCOC_T00006562001 transcript:CDP21895 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRTKNAMVVGKFDTLSGASLPRQIENMEASQHSLYLFKFCGKYGVKREAFGNLGMQRLWEGKAQYTLNTSSAVTVRSIIQRLREQIGWIQKPVKANNIVLDSNRKSFQYPGHLFFSEKNSWIGSLEELEGSLKDVPWKAFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTYFSEELSLNLTEAAWVSVLPPLASIFVTSIAAQLADYLITKGVDTTRVRKTCQTISFLSPTSCMILSSLDLGLPPWEVVTILTGGLALSSFALSGI >CDP21896 pep supercontig:AUK_PRJEB4211_v1:scaffold_7561:470:3334:1 gene:GSCOC_T00006454001 transcript:CDP21896 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILDVIVGKIVEKCIDPIMRQFQYLFCYRSNVETLRNGIKKLEQRKTKVQRLVDEARNNGEEIEPIVTDWLRRADDLEKEAHTTISDGTENVKMNCFKIVMLPNLKSRYLIGRQAARRGNDVKEHLREGQFDEVGYLPPLGKMPLSESTPSFEEGLVSRMSTKKEVMEALKQEKTSLMAICGMAGVGKTTLVKQIADQVKFEKVFDEVAVATVSQSPDMRNVQDQLAEQLGMKISHQTDLPRAERLYMRLINSDKRILVILDDIWNVIDFKNLGIPVKGECKSLKVILTSRFSDVCRGMEAEIVEVNVLPEKEAWHLFKGVAKISDDSALSGVAKQVAEECKGLPLAIVVVATALKSNHTLESWDLALRQLQNDRMGNLRGVQDLVFLRIEWSYNHLGTDEAKHLLLLCSLFPEDYSIPIEFLVRYGKGLQLFRDTENLRDARDKVDLLVDELKSSYLLLNDAENEDSVKLHDVVRDVCLSIASKDEHEFLVSNSGLGKKNSYTTISLISQDSNHDLLPFCKEYPRLRLLRLVFQSDWKPLRFLNWKLRRLWKLNLPEDSFVGMEALRVMELNHFQIEFPLSWPGQMLRSLRTLCLDYCVLGTGMSSMLGHMTQLETLSLFQSRIRDDQFPAEIAQLSNLKLLDLRVESSLHPLPSGILSSLKKLEELYLGSSDHLQLGRDKEEEIGCLKEISAISNLACLQIALDDLSLLLLSLQAFDTQRLSRFEIAVDNYRGIDHRKNYQFRKSFKLYLSGHGDEGLKQLFDPNVTSIVKRTENLTLNLSKSSCLRNLVPDLGENGFINLKKLYLVSGQYECLIYSTANLGFLPPGCFGQLQEVTFSRISALECLWKGPVESPSLCNLRSIEVRDCAQITTLFSQSALKCLVKLQSIDVIYCVNLERIVLREESLTEEVLKLPELKALTLSDTNFI >CDP21897 pep supercontig:AUK_PRJEB4211_v1:scaffold_7568:624:3267:-1 gene:GSCOC_T00009889001 transcript:CDP21897 gene_biotype:protein_coding transcript_biotype:protein_coding VDCHKFNLIDRDDFRDFAELCFKEFGDRVKSWSTFNEPWSFSTGGYDSTTFIGSLAPGRCSAWMDKGCPAGDSSTEPYLVAHHIILSHAAAAKLYREKYKPSQKGQIGIVLVTNWMLPYSNAKSDAIAAQRVVDFFLGWFLDPLTSGDYPKSMRDKLGGRLPKLTQQQSKLIRGSLDFLGLNYYSSSYAKDIPHATTVNISYTSDFQVNITSIRNGKPIGAPTGAGFLYVYPKGLTEILVYLKKNYHNPTIYITENGLAEANISSIEQAIHDTNRIKFYSGHFKALKAAIEKGVDVRGFFAWTFLDTYEWGSGYTMKFGITYVDFKNKLKRYPKHSALWLKQFLK >CDP21898 pep supercontig:AUK_PRJEB4211_v1:scaffold_7569:1:1560:1 gene:GSCOC_T00008308001 transcript:CDP21898 gene_biotype:protein_coding transcript_biotype:protein_coding PVRPFESRPFHVTGPINGPVFKTLLQTGRKWPKLPSLWLPLTVVPCTILENSSASFRRSSVKHIKFLGRGCCNLPIRDPHFSASAKSLERGEGGQPVPPPWIEAIFPEQGQPYAYLVRLDEPVGTFLFAWPCMWSLAFTANAGTLTDLKMLAFFFFVSFMSRNIACTINDYFDKDFDSQRTKGRRLASGAIAGFQALLFLAIQLLLGYGVLLAVNKLRFVPPLPCSPILITLICVPYYLHSSHTKIAILSSSHT >CDP19881 pep supercontig:AUK_PRJEB4211_v1:scaffold_757:7362:7577:1 gene:GSCOC_T00009765001 transcript:CDP19881 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADTTKKLDTKARAAKVAKFVKSGTTFKKKAKKIRTKVTFHRPKTFKIKIKISPKLMHQISSRNKYCY >CDP21899 pep supercontig:AUK_PRJEB4211_v1:scaffold_7578:1534:2414:1 gene:GSCOC_T00010450001 transcript:CDP21899 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLCPSLVELVLSCNNLSGNVPESFGACSVLELFHSSNNKFSGELPVDTLVKMSNLKNLSLLFNIFIVSLHESLSKMVRPRNNLQVLCLQNNLLTGSIPESLSNCFKLESLDLSFNFLTGTIPSSLGSYLSWSNLVIFKLGNNSLSWNIPAELEDCRSLLWLDLNTNFLNGTIPPSLSKQVGNIVAARLTGKWYVYIKNDGSKQCHGAGNLLEF >CDP19883 pep supercontig:AUK_PRJEB4211_v1:scaffold_759:55039:55996:-1 gene:GSCOC_T00005949001 transcript:CDP19883 gene_biotype:protein_coding transcript_biotype:protein_coding MRILVRDRLPKFTPKQSKELIGSYDFLGLNYYTANYAAHITTPPNKVNLSYSTDQRVNRTASRNGKLIGAQAGSTWLHIYPKGIWDLLLYVKTKYKDPIIYITENGVDEVNNPTLPLKQALQDSFRIRYYYQHLQYVRKAIK >CDP19882 pep supercontig:AUK_PRJEB4211_v1:scaffold_759:37370:45101:-1 gene:GSCOC_T00005947001 transcript:CDP19882 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGLISHKITGKIANGSNGDVAADSYHRYKEDIKLLKEMNADSYRFSISWPRLYNDLQMAKISKGINEKGIEYYNKLIDKILAYGLKPIVTIFHWDLPQALDEEYGGFLSPKIVKDFHDYANLLFARFGDRVKDWITFNEPWTFSSNGYDSGTSAPGRCSAWMNNNCTGGNSGTEPYLVAHHQLLAHADVVKLYRRVYQARQKGQIGITLVAEWMVPFSNSPLDQRAAIRALDFMFGWFMNPLVYGDYPASMRILVRDRLPKFTPKQSKELIGSYDFLGLNYYTANYAAHVTTPPNKVNLSYSTDAQVNVTSWLHIYPKGIWDLLL >CDP21900 pep supercontig:AUK_PRJEB4211_v1:scaffold_7597:2063:3364:-1 gene:GSCOC_T00004441001 transcript:CDP21900 gene_biotype:protein_coding transcript_biotype:protein_coding ARKALCALRGLVKLQALVRGHLVRKQTTAVLRSMHALMTIQVRARYGRVRMVEARAEIATKRSGHGESARNSTDRKDVNAHETRPLDYSQAERKELGVITTYHSGRFPINIQEDQPDQTCCSPSTTVTETSSTNLSTTKQIKLQASTAPHSHENRGYMSNTTSSKAKARSHSEPKQRPKKWRPVQKNKQSTSVQGVNVVPEVQEQCTPSPSEFNEQENQIPWFIKLYRSAKQVNGSHADHSSVATCNSNFEKTSNPFEVCSRYLANNTSRG >CDP21901 pep supercontig:AUK_PRJEB4211_v1:scaffold_7602:1021:2968:1 gene:GSCOC_T00000740001 transcript:CDP21901 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGDGFEVTKYGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDLVLMVLDASKVSFDLFHRSYIMIRFSSVKMLFQFLRLLKSIL >CDP19884 pep supercontig:AUK_PRJEB4211_v1:scaffold_762:48490:49571:-1 gene:GSCOC_T00008707001 transcript:CDP19884 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGNFDSFLSKIGLAAIPFWLYLSSDLEMRAVLRSIKQFRSASRGRATYSLHQYADICRCYYPSYLFSRGLHADATRVAIGEINGAGPLVEYERRVTSGELVDGDVCQLGTLRELQRLCEQLVENADACQLD >CDP21902 pep supercontig:AUK_PRJEB4211_v1:scaffold_7628:68:1702:-1 gene:GSCOC_T00007368001 transcript:CDP21902 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFNFMAILASFSALLASFCALKLVFSLWWKPKIKEKQLKQQGIGGTSYNFLYGDRPVRKKLMIEAWAIPMSLNHEIVPRVDPSLHQIVQTYGKVCLSWTGTRPRLIMGKAELIRLILNDKDGHFRKPPQNPLVDLLTLGVSTLEGEKWAKRRRLITPAFHHEKLQGMVPEFLASCCNLIDRWTMLLASDGRSEIDISPELQSLSADVISRAAFGSSYIEGKKIFELQKEQAVLALEAFQALYLPGLR >CDP19886 pep supercontig:AUK_PRJEB4211_v1:scaffold_763:48886:50697:1 gene:GSCOC_T00003237001 transcript:CDP19886 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWMIRATTSVMLWNCLIHDMVAIARHLNVTLIVPELDKTSFWADPSEFQDILDVKVRLNNSFRPPIFQTHTHYNKIPDPTYLTHSVRAEYLVTNKTITLIKCS >CDP19887 pep supercontig:AUK_PRJEB4211_v1:scaffold_763:51054:53531:-1 gene:GSCOC_T00003238001 transcript:CDP19887 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLLVYLSFLFNLLFIITSSDTPPYSPTDYILINCGSSSNATSADGRNWYGDAGSKFSPNDMATISSAVTATEQDSSVSGAPYLTARIIRSNFSYTFPVSPGKKFVRLYFYPASYSSDFNATESFFAVMANNYTLLSNFSAFLTVSFGGSSSASLVKEFIINVQAMNQFLNVTFSPSPKSYAFINGIEVVSTPDGLYMGNHDMSSNPLKNVNDPNIQFELDQNDTAFEALYRLNVGGKDVSALADSGMFRGWVPDDNFIWGADKGNPLSNNQIAVKYTPQTPNYTAPAIVYTTARAMGELSTRFNLSWMFYVDSGFDYLLRLHFCEITPDLISQENERVFRIFISNKTAEREIDIITWTGGPGIPVFRDYVVFVPHPPDGRPSKQGLFLALYPNLDFKTKYADAILNGLELFKLSNSGSLAGTNPDQAADPNSPMPNSKSPKKGRGVKSPVLFPIVGGVVGGSALVFIIGFLISRRLRRRVKDFDQKSASKSSGVQLSTRSRSSKTTSASRSSSLPLDLCRRFSLEEIIFATANFDAKFVIGTGGFGNVYKGYIDNSLITVAIKRLNPSSSQGVREFRTEIEMLSNLRHLHLVSLIGYCDEKGEMILVYDYMANGTLRDHLYKTDNPPLPWKQRLQICIGAARGLHYLHTGAKHNIIHRDVKSTNILLDEKWVAKVSDFGLSKLGPSGGIHSHVSTQVRGSFGYVDPEYYKRQQLTEKSDVYSFGVVLLEVLCGRGAIIRNLPKEQVNLAGWGKRNYAKGIIHQTVDPHMKGEIAPECLRSFAETAINCLKDQGIERPGMNDVVWSLEFALQLQEAAENERLID >CDP19885 pep supercontig:AUK_PRJEB4211_v1:scaffold_763:4007:6694:-1 gene:GSCOC_T00003236001 transcript:CDP19885 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLLVYLSFLFNLLFIITSSDTPPYSPTDYILINCGTSSNATSADGRNWYGDAGSKFSPNDMATISSAVTAIEQDPSVSGAPYLNARIIRSNFSYTFPVSPGKKFVRLYFYPASYSSDFNATESFFAVMANNYTLLSNFSAFLTVSAGGFSPAYVVKEFIINVEAMNQFLNVTFSPSPKSYAFINGIEVVSTPDRLYMGNHDTSSNPLKFVNDLNNQFEFDQNDTAFEALYRLNVGGQAVSALDDNGMFRAWASDDNFIWGGDQGNPLSNNQIAVKYTPQTPNYTAPAIVYATARAMGNFSTRFNLSWMFSVDSGFDYLLRLHFCEINPDLITQKNQRVFRIFISNKTAEEEADIIYWTGGPGIPVFRDYVVFVPHPQDGRPSKQGLFLALHPNLDVKPKYADAILNGLELFKLNNSDGSLAGTNPDQAADPNSLMPNSKSPKKGRGVKSPVLFPIVGGVVGGSALVFIIGFLISRRLRRRVKDFDQKSASKSSEVQLSTWSRSSKTTSASRSSSLPLDLCRRFSLEEIIFATANFDAKFVIGTGGFGNVYKGYIDNSLITVAIKRLNPSSSQGVREFRTEIEMLSNLRHLHLVSLIGYCDEKGEMILVYDYMANGTLRDHLYKTDNPPLPWKQRLQICIGAARGLHYLHTGAKHKIIHRDVKSTNILLDEKWVAKVSDFGLSKLGPSGGIHSHVSTQVKGSFGYVDPEYYKRQQLTEKSDVYSFGVVLLEVLCGRGAIIPNLPKEQVNLAEWGKRYYAKGIIHQTVDPHMKGEIAPECLRSFAETAINCLKDQGIERPGMNDVVWSLEFALQLQEAAENESGRRPFAFRMHGLGRDEQATTEDDEDVFSDSGVEKDSAARMKSRSITTGTFTASSDMLKSDNVFSEIMNPTGR >CDP21903 pep supercontig:AUK_PRJEB4211_v1:scaffold_7632:3:1430:1 gene:GSCOC_T00011083001 transcript:CDP21903 gene_biotype:protein_coding transcript_biotype:protein_coding AYCLLLCSYHAFLILAAARHKAGVLCDSVQSISGENDLKLCITNYFKGTSGKYSQKSGQAPLYTDDEREQENKMAKLQKGKRAILLDSTDDHVEVVAPKRGKAMNKGKLKRPKRTRKFGNSSPGEVNTQWFEIDSISSHFSERKESSMSPSIGIPSPPAPIDNMYHFWTALQALGAYLAAAEGDLLEDETEDVFVLCYCAMLLYHVWILDVKRCGPGPR >CDP21904 pep supercontig:AUK_PRJEB4211_v1:scaffold_7638:2360:3308:1 gene:GSCOC_T00010621001 transcript:CDP21904 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKMRFLAIPFLFFSFLMSSAKSVTYNVQSYGAKSDGRSDSTKSFQNAWAAACASVEPATIYVPRGRFLVGGASFPGKNCKNNAITIRINGTLVAPSDYNVLGHTGNWLTFERTNGLSIYGGTLDGQGLVSGLAKTPARIALKEQRYVSLGFYNSDNVLVRGLSSLNSQIFHIILDGCKNTRLEEVKISAPENSRNTDGIHVQSSSGVAITNSHIGTGDDCISLGPGSSNIWIENINCGPGHGIRYQNRKLIKSPL >CDP19891 pep supercontig:AUK_PRJEB4211_v1:scaffold_764:33765:34333:1 gene:GSCOC_T00010147001 transcript:CDP19891 gene_biotype:protein_coding transcript_biotype:protein_coding MMISLSKRTRGGGTKWAVSWLGNQDGKTGKLRDGISLIMQPVLQPWRFTLSLPNYHRSEPHSYI >CDP19892 pep supercontig:AUK_PRJEB4211_v1:scaffold_764:37029:37826:1 gene:GSCOC_T00010148001 transcript:CDP19892 gene_biotype:protein_coding transcript_biotype:protein_coding MYALSSCPFKPSYEYYYDFCTSEYFPLFFKAHQFIVNGQELFFKKIIYLLINFSLIFLFLVSNR >CDP19890 pep supercontig:AUK_PRJEB4211_v1:scaffold_764:16339:21297:-1 gene:GSCOC_T00010142001 transcript:CDP19890 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRTIRMIVIQTILWILLPFFSIYKFLMFFYRYFSTEDIKGKVVLITGASSGIGEHLTYEYAKRGACLVIVARRENLLKEVAENARKLGSPDVVPICADVLKVDDCKRFVEETVDHFGRLDHVVNNAGIVSMCLIEDAADITNLQPVTDVNFWGSVYPTYFAIPHLKRSKGSILVNASSAAVLSPPGLSIYSASKAALVSFYETIRVELASEISITIATLGPVESEITKGKHMTKHGTTEFDSELVFIDADRLPAMSSPDCAKAIVDSVCRKERYVTVPKWCKVLLLLKILCPELIEWASYQHCLKIKSWGAKATPVPPRSQTVDHLVNNAGIGSICLIEDATDITKFEPVMFDIIQMVQDVNFWGSVCPIYFAMPSLKRTKGSIFVNASASSRLNPPGISIYAASKAALLSIYETMRAELAPEISITTATLGVIEPEMSKGKYLNREGITQVNSDSANTFINQLPVMSASACAKSIVDAICRKERYVTEPK >CDP19893 pep supercontig:AUK_PRJEB4211_v1:scaffold_764:51378:52084:1 gene:GSCOC_T00010150001 transcript:CDP19893 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDQLLSLPSQVTGRFVSNVRFDSLRNNAQQISSPPLFHNRRIPPPPPPPSSHITPSPKRKKRRKENSQRGLLPCYC >CDP19888 pep supercontig:AUK_PRJEB4211_v1:scaffold_764:5211:6119:1 gene:GSCOC_T00010137001 transcript:CDP19888 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFCFYTPTLSFTGKGAILQVCQVIDAVLDIRFKEGGVYLWATPGLVCRQQVPNNYSRITVPVGRAALGRIISVIGEAIDD >CDP19889 pep supercontig:AUK_PRJEB4211_v1:scaffold_764:10877:12468:-1 gene:GSCOC_T00010140001 transcript:CDP19889 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLMTGLIIVFLFLLLLLPFYYVYKLRMIFHRYLSSESIKGKVVLITGASSGIGEHRAYEYAKRRACLVIVARRENLLREVAEKARKLGSPDVVPICADVLMVDEDCKRFVEEAVNHFGRLDHLVNNAGISSMCLIEDATDITRFQPVMDVNFWGSIYPTYFAMPYLKRTKGSISVNASASAYMHPSGFSIYTASKAALLSFYETMRSEMPPEISITIATLGLVESEMTKGKHLNREGTTEVSPELADTLINQLPAMSTSACAKSIVEAVCRKERYVTEPKWCAVLFLLKAPCPGIIEFVNRRLHLRVKASVAKNFSMPPPENRSA >CDP21905 pep supercontig:AUK_PRJEB4211_v1:scaffold_7647:2032:3360:1 gene:GSCOC_T00007504001 transcript:CDP21905 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKRLAFQGQGSKQKCPKGGNSKRKHLEEETGVMKPSKPLAFQGQSMDQEDDQGHLGYYTDTSSLILKLGQDLSINCLLRCSRADYGTIASLNQSFRSLIRSGDLYKLRRQLGIVEHWVYVSSSLLEWKAFDPLRRRWMSLPKMISNECFLFSDKESLAVGTELLVFGKEIESQVIYKYSILTNTWSSG >CDP21906 pep supercontig:AUK_PRJEB4211_v1:scaffold_7651:466:732:-1 gene:GSCOC_T00008460001 transcript:CDP21906 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLKFNFRICFYAEVQSSLDAFAQILKNEGARSLFKEAGANILLILKNEGSRVGFLSSRFQRLGNEKISHLREASTYPTNQRFQNSW >CDP21907 pep supercontig:AUK_PRJEB4211_v1:scaffold_7657:192:1431:1 gene:GSCOC_T00006545001 transcript:CDP21907 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKIGDQAGKMFEYFGSFLRKCIFSVLSVGPVPDHIAFIMDGNRRYAKKRNLLDGAGHRSGYLALMNMLKYCYELGVKYATIYAFSIDNFRRRPEEVQSTMQLILEKIEDLIKEESMVNQYGVRIYFLGSLKLLSKPVRLAAERAMVATSGNSKSVLSICLAYTSSDEILHAVQECCGEKWDEGSALESKGAGNGLVFLKGNENGKIKPSIVVKDLEKHMYAAVAPDPDIIIRTSGETRLSNFLLWQSANCLLYAPPILWPEIGLWHLIWAVLDFQRNFSYLKEKTKLS >CDP19894 pep supercontig:AUK_PRJEB4211_v1:scaffold_766:2167:6110:-1 gene:GSCOC_T00009968001 transcript:CDP19894 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMRTHGSCVSVSVRSPPASSASRCSLSNSSASSDDATCGAPIWMGKGLTCVCFKRKGTYERIFFDLTPQQEERLRRLKRRMRICFDGSKVEHQEALRALWHATYPGQELISLVSDQWKDMGWQGRDPSTDFRGAGFVSLENLLFFAKTFSTSFQQLLKKEGGNRATWEYPFAVGGVNITFMLMQMLDLDSLKPRTFVRPVFLQMLSENEWAFDLLYCVAFVVMDKQWLERNATYMEFNDVLRTTRSQLEKELLMDDVLRIEDMPSYSLLS >CDP19895 pep supercontig:AUK_PRJEB4211_v1:scaffold_766:11874:16576:-1 gene:GSCOC_T00009969001 transcript:CDP19895 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTFGTSSTVICLVIFFAFGFAFLIYTDTIQFDYKSRLPLLDLRSISAAPCLTGSSVPLRVYMYELPRRFHVGMMQKGKHVDDKAAVTADNLPPWPERSGLRKQHSVEYWMMASLLYNANGDEENATTASREAVRVLDPDSADVFFVPFFSSLSFNIHGHTMTDPETEIDKQLQADLIDILRRSQYWQRSAGRDHVIPLHHPNAFRFHRGQLNDTILIVADFGRYHKSLANLRKDVVAPYVHIVDSFVDDNSPDPYASRKTLLFFRGKTIRKDEGKIRAKLEQVLAGYEDVIYDKSDPTGEGVNASIQGMRSSKFCLNPAGDTPSSCRLFDAIVSHCVPVIVSDHVELPFEDELDYSKFSIFFSVKDALKPDYMVSELRKISKVKWAEMWRWLKIISHHFEYQYPPKKEDAVNMIWRQVKHKVPAANLAVHRSRRLKVPDWWK >CDP19897 pep supercontig:AUK_PRJEB4211_v1:scaffold_766:35761:38870:1 gene:GSCOC_T00009972001 transcript:CDP19897 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRGKNLDMSALFNFHSFLTVVLLGICTCTYVKMHFPALLEQRTGFRGFFWKAARIGERLSPWVAVGCFMMGVSIIFF >CDP19899 pep supercontig:AUK_PRJEB4211_v1:scaffold_766:50546:55048:-1 gene:GSCOC_T00009975001 transcript:CDP19899 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPSSAPPFGTPSSTPAFGTPSSTPAFGTPSSTPAFATPSSSPAFGTPSTPSFGTGFGTSLFSTPFSQQQSQQSSFFQTPQSSSPFGLSTPFAATQVQSSPFGQPAVTPALPFGNVQLTTQMAPVAPLPFSLADRDIQAIVDAYKEEPGNPKYAFKHLLFSVTEPQYRVKPAGVSDIMWAEAMGKLEGMESSDRERLWPHLVQGFKDLSQRLKLQDEVIVSDSERLRITQSNVKMLQRHFQADTFPWIRRMQQKEQSLQRRLLRVMRIIEALEGKGCRSPLMKGEAELAEKLASLTRQLKGSGAELSRRVQNLLTLSRVQANGLGGRSMYIPGSTKIHEQSLLDMQEVLQQQTEAIARLGNVLKRDMRDVEIIMAEETEMSED >CDP19898 pep supercontig:AUK_PRJEB4211_v1:scaffold_766:41030:50402:1 gene:GSCOC_T00009974001 transcript:CDP19898 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRRSSTRSRGKPSADAVGDESVHRVSTNARPRSYRGRDQSLIRITNVSSKVFLGFGILFSSIALFLIFRLVNTNEEPEKPRVITPFPAPKLMELPMFQGEHKESLYWGTYRPNVYFGIRARTPQSLIAGLMWIGITNGRYAMRHICQDSDELRTYGWTEHNGRDYGHQILVDQDMTLKTSFLKSKEHGSSYGGDWAVRIEVENDEVNLSEEMSKTVHLFFYMDDESGNALNLGSGATDVDDESILASGYRSDVGNWQLLLSTEDEFEFHYSGFKTPFVHNLSDLVQSNLGIQARKVGRLQLSDTSEGSSNILVFQLSGRIPFKADIIFQSGTLAQKERAIGLAGASLTNKLSEKQKDYADKFERCFNLGDELDSGSVTVGKAALANLLGGIGYFYGQSQIALSNRPDKKHGDDFISYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDIHICLDIIGHWLDLMNIDGWIPREQILGAEALSKVPEEFVTQHPTNGNPPTLFLVLRDLICSIKAKKFAAGERKEISIFFDEAFVRLEGWFKWFNTTQSGKAVSSYFWHGRDNKTIRELNPKTLSSGLDDYPRASHPSEDERHLDIRCWMHLAADCLHSILEFLEKENEHGKEYRSTAKLLSDFQLLNEMHFDDAYGAYFDYGNHTENVRLSWQVVETVNGHPNRELIREVLEKPVLRLVPHVGYVSLFPLMERLIPPGSWILEKQLDLISNRSTLWTNFGLRSLSKTSSIYMKRNTEHDPPYWRGPIWMNMNYRILSALHYYSREDGPYRQRAKAIYDDLRNNLIRNVVKNYHQTGYLWEQYDQQKGKGKGARVFTGWTSLVVLIMAEKYNEC >CDP19896 pep supercontig:AUK_PRJEB4211_v1:scaffold_766:23446:34157:1 gene:GSCOC_T00009971001 transcript:CDP19896 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFRPSARDVAGFKVLCCLGIMYGLMSLLVYSVVHMKFITPLGMDAPLDRFSEARAVEHVRVLSKDIGGRQEGRPGLRQAALYIKTQLEMIKERAGPNVRIEIEETTVDGSFNMIFLGHSISLAYRNHTNILMRISSIDSRENDPAVLVNGHFDTPPGSPGAGDCGSCVASMLELARLCVDSAWVPLRPVIFLFNGAEELFMLGSHGFMTTHRWRDTVGAFIDVEASGTGGTDLVCQSGPGSWPSYIYAQAAIYPMANSAAQDVFGSIPGDTDYRMFAQDYGDIPGLDIIFLFGGYFYHTSSDTVERLLPGSMQARGDNLFSVVKAFTNSSMLQNARERESLRRAANRDERAVFFDYLSWFLVIYPRQLAVMLHSIPLAIYLLMPIFLRFPNRVFSCSFMTLFDFIKGMLHHALGILLAIIFPVVFAILRLLFARHSMNWFSNSYLAFLMFIPCSLLGLLAPRFFWRNFPLSQNVSVVKSSKEELAGEARFWGAFGLYSFMTLGYLISGLNGGFLTFLLAVFMLPAWISFLLSTRSFGFDSLRSTACYVIPLIPCLLYSVYFGGFLAAFLIEKMGMTGSFPPPYGYFIADIAVAAVVGLVTGWCVGPLLPVVGQWLARSSIMQFLLHGSILALALSSQFFPYSTDAPKRVIFQHTIRTAGASHIDDCSYDFSVVDSNSLLFVFKHAPEVARELHINSELSFDTANLSLQETWLGTFPISYLFSGSLKFSAERDDVLKQYRNFPHLKTYRPQALLDGGSRRIYLEFHLGSLKEVWVAVLNITGPLSSWSFANSVLPAPERVTNGPPSYICRLSGASHENWTFWLEARSSESLRVEIAVLDQHLTESATKLKSLFPNWIDVTAFSSFMSSYVF >CDP21908 pep supercontig:AUK_PRJEB4211_v1:scaffold_7663:596:2727:1 gene:GSCOC_T00010305001 transcript:CDP21908 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSRILLLRSTHSLWNLGRTCVLIVAFDSFNCFHNLKYPITEHIKIRSSYINRFHKFGSK >CDP21909 pep supercontig:AUK_PRJEB4211_v1:scaffold_7676:2177:2527:1 gene:GSCOC_T00010678001 transcript:CDP21909 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGVSKAGRIEFTECWRTIWKTPYIMRLALSTGIGGLLFGYDTGNPESHPTHPPRASFTEDCIHFYSPLASTTRKRAFCNAFAVAQGESAVNKQQISICAANFTTVPNFYIKTT >CDP21910 pep supercontig:AUK_PRJEB4211_v1:scaffold_7683:560:2448:-1 gene:GSCOC_T00009948001 transcript:CDP21910 gene_biotype:protein_coding transcript_biotype:protein_coding MALICEYMANGNLRQHLSVVKKVKKMYWKTKKKLIKTDYTGKNLNPLSWKERVHIALDAAQGLEYLHNGCNPPIIHRDLKSANILLNQKLQAKLADFGLSRIFASESDTHVTTAVAGTAGYLDPEYVFNMKLKFSIFLSCHSIKVSQIIAILFLIDIYSLKYTTGIVVYNLG >CDP19902 pep supercontig:AUK_PRJEB4211_v1:scaffold_769:43145:46907:-1 gene:GSCOC_T00002622001 transcript:CDP19902 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRKYATLEELYSEKNRRRCERYAATKKQKANGPFNTTCTIAVKALRMMDNLAETSTSSMKRMCIDKHNDGTSFTNMSTVETSASSMKRMRVDKHNDGTSFTNMSTDDTIENPLSSSPLMNKYGSLGSPTIQSDEALTPVNIHGKSIANVNSIPIVPKDNTSDCNTLSTKKAAPSPKTSSSINSSSSKNNDVKSHSMVNNEESTRHLRTLKGNEIVVVVNYLRMLLMHIRKSTFFKNLRTINGRVYATFKEVAEKLGLLSVNNNADTCMDEAIFYQMPASLRQLFDIILVHCHPQNPRELWLVTAPLSPKANQRG >CDP21911 pep supercontig:AUK_PRJEB4211_v1:scaffold_7693:1552:3339:-1 gene:GSCOC_T00013763001 transcript:CDP21911 gene_biotype:protein_coding transcript_biotype:protein_coding PEDAEMEGDVLIELWMAEGFLHAGLENKTMEEIGEYYLEILLQSSLLEEIRYGGRRRWYKMHDMVHEVSKSIMSKSTKFINSETGSGDNSNQVRCLVIDSFGEGTINLFESRSNLLHTLFPSQGSLSDDMLMKLKNLHVLNLSGEENQNLPISIGKLIHLRYINFEDSESETLPESVCKLYNLQTLRLNRFVLKVLPKGMCDLISLRHLHYYIYGDVEFQMPLEMGRLTCLQTLEFFNVGREKSRRIGELGSLKNLKGKLSIRNLELVKDREGAGEAKLFEKANLFRLVLMWARDREGDSYNDEDVLDGLRPHPNLEELEIWDFMGDQFPGWLMGLPTATTLPKLVCLEFNRCNRCRELLPLQNFTSLKQLKIWGCDGLTNLPSDMLHSCASLQKLRVGQCDNLISFPLDLQQTPSLLKTSMTPKGFGFLTSLRELEIGPFSDDDDDDENSSIDHENSSIYNEFDWSGLISSSSSSSSSALRELGLIGLPHMESLPPQIQYLTTLTSLTLLDFGGIKALPDWFGNFAAFEELRLYGFKELGHLPSENAMRSLTKLKFLRVWGSPLLKERCTPESSGPDFQWSKVSHIQDLDITDD >CDP19903 pep supercontig:AUK_PRJEB4211_v1:scaffold_771:1783:6464:1 gene:GSCOC_T00012636001 transcript:CDP19903 gene_biotype:protein_coding transcript_biotype:protein_coding MWYTKSSPVCCSPNSSFPLLPPFSPHPAASILLIFSFPLSVNFFPIPHLQPQPHPPDKSSPLNQATEFLSWPVARLILATVTDSPYGAVTWSHLGRARGLYHTPIHCILESLDAAESITFEEVELPESFTS >CDP19904 pep supercontig:AUK_PRJEB4211_v1:scaffold_771:12317:13982:1 gene:GSCOC_T00012637001 transcript:CDP19904 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPMDPNTWDVISFAVDKGHGVKGLADLGLETLPKQYIQPPEEQIIHSTVVTENSIPVIDLSNWNDPMVAEQICNAAEKWGFFQIVNHGIPIEVSENVKEATRQFFGLPAEQKNKHSKDNSPTNNVRYGTSFTPKAEKALEWKDFLSLFYVSDDEAAAQWPSAFRNEALDFMKKSEFVIRRLLQALMKGLNVKEIDETKESLFMGSKRINLNYYPKCPEPELTVGVGRHSDVSTLTILLQDDIGGLYVKKLDSDAWVHVPPINGAIVINVGDALQILSNGKYKSVEHRVIANGIKNRISVPIFVNPRPSDIIGPLPEVLESGEKPIYKQVLYSDYVKHFFRKAHDGKETVDFAKI >CDP19905 pep supercontig:AUK_PRJEB4211_v1:scaffold_771:24059:30031:-1 gene:GSCOC_T00012638001 transcript:CDP19905 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDFFNKIDAMKLGLRCMYKKLALSNISFYVGVICTKAIARRNKDSRTKLYFPHRTGGTSFKNIKRKIQLKDSLSQVPEEEQTETFKNNVWVEHRELDTRGHVRRVGKYTSVTQRHVPMNDRKAIIQEIKNHIVEEVRVKIL >CDP21912 pep supercontig:AUK_PRJEB4211_v1:scaffold_7720:1400:3328:-1 gene:GSCOC_T00005756001 transcript:CDP21912 gene_biotype:protein_coding transcript_biotype:protein_coding FLYLYQNNLAGAIPKSLGNLTNLIELDLSDSQFSGSIPVSIGNLNNLEKLFLPNNQFSGTIPQEIGNLSKLVSLELFRNQFSGPLPELLCQSGILQNISVAENMLTGPIPKSLQNCSSLVRARFDGNRFQGNLSEMFGIYPVLDFIDLSNNKFYGKLSSNWAFVNLTLEEVKGNKGLCGNITGLRACESSRLIKKHVKDKSKELVLIIVLPLLGSFTLLGALFGVLKLHDRRKQNSREEDTEVNKGGLFAICAYDGKALYKEIVRSTEEFSETFCIGKGGCGSVYKAQLPSGEVVAVKRLHNIPNVAKDRSFLNEIRALTEIKHRNIVKLFGFCSNAQHSILVYEYLERGSLAKILSIEEEAKELDWQKRLNIIKGVAHALSYMHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTAKFLRRDSSNWSSLAGTYGYVAPGNKVYFFSSSCH >CDP21913 pep supercontig:AUK_PRJEB4211_v1:scaffold_7732:65:1196:-1 gene:GSCOC_T00004190001 transcript:CDP21913 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKNAALLVAFLCLILVHGINGDNDLTVEKATIDCPEKCAYRCSKSSRHKMCIRACNTCCQRCNCVPPGTSGNEDVCPCYARMTTHGGRHKCP >CDP19908 pep supercontig:AUK_PRJEB4211_v1:scaffold_774:39469:41638:-1 gene:GSCOC_T00011115001 transcript:CDP19908 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVWFALKRSLHCKSGPADVHDPKVSGNKNDNNLSNILTKKTGRSGCSRSIANLRDVIHGSKRHLEKPPICSPRSIGSSELLNPITHEVVLSNSTCELKITGCGFSDVNCTNGGVESGCSAFVGTLKPGTPGPGGHHPKGLASPARRSSSSLSSRRRASGFGGNSSRTRYSFGADSHGFSPLACHKCGEQFVKWEAAEAHHLSKHAVTELLEGDSSRKIVEIICRTSSSKSDNNAGGIERILKVHNMQKTLAQFEDYRETVKIKASKLAKKHPRCLADGNELLRFHGTTVECPLGMNGSASLCTSDKCGVCQILRHGFPTNKESNNGIGVFTASTSNRAFESVEVSDDKTSLRKALIVCRVIAGRVHKPLDNVQELAGQSGFDSLAGKVGLYSNIEELYLLNAKALLPCFVVICKT >CDP19906 pep supercontig:AUK_PRJEB4211_v1:scaffold_774:6452:8916:-1 gene:GSCOC_T00011110001 transcript:CDP19906 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSDMFLGFVNEAGKFKASIASNVEALVELFEASNLGSEGENILNEANLYSIRSLEPYFAASSCNQALSLPLHWTAEWYNIKKRILAYEQEDKINPKLVELAKLNFNIVQAAHQKDLKEISRWWMELGITEKLSFSRDRMVESFLYAGGVAFEPEHGSLRKWLSKVIKLVLIIDDVYDIYGSLEDLECFTNAVDRWMPEEVKNLPECMKTCFWTLYNTTDAIAIEIQKEKGWSSASPHLQKAWADFCKSLLLEAKWDNQGYTPSLDEYLDNASVSSSGPLLSLHVIFGVEDQTAKDVKEIFEENKDIIDYTSLIIRLCNDQGTSTAELERGDAPSSILCYMRQENVSEEVAREHIRSIIWRTWKKINSRCIKTSTFLQKSAKYIVNEARVAHFIYQHGDGFGVQDRENRKRVLSNLIEPLALVD >CDP19907 pep supercontig:AUK_PRJEB4211_v1:scaffold_774:29466:32216:-1 gene:GSCOC_T00011114001 transcript:CDP19907 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAIELKKACVIGGSGFLASFLVKLLLQKGYAVNTTVRDPGNQKKITHLLALQSLGDLKVFKADLTDEASFDAPVAGCDLVFHVAAPVNFASEDPENDMIKPAIQGVVNVLKACVKAGSVKRVIFTSSAAAVTINELKGTGLIMDEGNWTDVEFLSSAKPPTWGYPVSKTLAEKEAWKFAEEKKIDLITVIPSLIAGPPLTPDVPSSVNLAMSLITGNEFLINGLKGMQMLAGSISITHVEDVCEAHIFLAEKKSASGRYICCAANTSVPDLANFLSKRYPDCKIPTEFEGFPSKAKLIISSEKLIKEGFNFKHGIEDIYDDALAYFKAKGLLQH >CDP21914 pep supercontig:AUK_PRJEB4211_v1:scaffold_7747:585:1387:-1 gene:GSCOC_T00012733001 transcript:CDP21914 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTKGDFIPCDDILKLPYINKLVEETMRLGNLSPLFSELGKGMLITKVKDGEL >CDP21915 pep supercontig:AUK_PRJEB4211_v1:scaffold_7755:154:916:-1 gene:GSCOC_T00007571001 transcript:CDP21915 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGKLVFQIEIKSNGDVFHELFKNKPYEVSSISPDIVHGCELHHGDWGTVGSIIFWTYTHDGQKKVAKEIIESIDKEKKSVTFKVIEGDLLKLYKSFIITVQVENHGQNNLVIWTLQYEKLNHSVPDPTTIADLATKLTKDIETHHLK >CDP21916 pep supercontig:AUK_PRJEB4211_v1:scaffold_7761:795:3139:-1 gene:GSCOC_T00006083001 transcript:CDP21916 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVINLGSSLKVPYVQELAKEKFASVPPRYIRPDPTKLHGASTEEIPVIDMQRLLSDESVNPELEKLHFACKEWGFFQLINHGVSSSLVDKLKLEMQKFFNLMIEEKKRFAQEPGNVEGYGQAFVVYEEQKLDWADMFYMVTLPTHLRKPHLLPNLPLPFRYKKICTHIHIIVFRMHLIKLKCEN >CDP21917 pep supercontig:AUK_PRJEB4211_v1:scaffold_7769:324:2716:-1 gene:GSCOC_T00009589001 transcript:CDP21917 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPIPDRVKRLWDEWNLRAAVLISLFFQVVLICCAASRKRTGNMIVTATIWLFYLLADWFAAFAVGLISNGQSNNCPEKFAVNQDLAAFWAPFLLPHLGGPDNITAISLEDNELWIRHLLGLIIQFSAVAYVFSQSLRNVFYVPTILLIFAGTIKYAERTRALYLACLEEENLSPEDISDIDIVQNGYELFTTFRGLIVDHMFSFHERSKSRKFFFQRSAFDAFRVMEVELNFIYDTLYTKMAVVHSKKGYCLRLICSILIVLSFERFASHHKPDINHFDVATTYILLSGAVLLDFVAFTKLIFSDWTIVKLKNLTVKTTVYAVREKLSCSKRWSNTLWQCNLINFCVNQRWRWLDIAAETVGIKDVLDEMYYKEDIVIPEDLKDFIFIEFKVKATKAKTTNVAKEIYSARGDLALLDYTNHYPYPIISSKVEYDESLLLWHIATELCYCTIPDDGNSNRNYCKLISDYMLYLLVMRPNLMSAVSGIGQIRFRDTCEEAKKFFSRDSSSANRKRNACEKLLNVNALVKAIEVKGDRSKSILFDACRLAKDLKKLNDKKRWEIMSKVWVELLSYAASHCRANAHAQQLSKGGELITFVWILMAHFGLGEQFRIEAGHARAKLIVGK >CDP19909 pep supercontig:AUK_PRJEB4211_v1:scaffold_779:10758:12171:1 gene:GSCOC_T00009320001 transcript:CDP19909 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEAAYSGASHAQIEPTDADTTPYSTLLFLPSSRRTNSSLSSSPSFSSNSSSAGSLTFPDDNSPFSPPRTPQARFSGVPFSWEQIPGIPKNEISKKEGLSALGLLPLPPAGSFSTNSFRKHNREDMIISPKKFYNPSESFRMDPFFAALVECSKDDHHRHHDGNVIGNLWKGSKVSSKTSLSDRFGFINMYASCKRTCAVSESIVYLPRSRPYSLLNRR >CDP21918 pep supercontig:AUK_PRJEB4211_v1:scaffold_7795:2233:3294:-1 gene:GSCOC_T00005473001 transcript:CDP21918 gene_biotype:protein_coding transcript_biotype:protein_coding GSQFGISSEKKHRMPDPLIQATRLGIIEVVQEILSVYPEAAYSFDGKGRNILQIAVEEKKWFLYDYLMTSGTNMDRMLSAIDCEGNSIIHLAAHQESPPSTPPGVLEMMWEVLWFKRVQYDSFPYLWQLQNSDGKTAKQVFETNHASLREKAEETVRALANTVLIVSVLIATINFAAIFTVPGGFDQTTGEAIFLKNRRWEFGLLMFYLAGGLFSSLFTMAILLVIIFLRFRTDDFYVSLPCYYVMNIISIFYSAVFTIVAAFQALIVQKVVITDYRPFVVVFFIYGLMALVLMETSYVIFDYAYHLIRYCLCYRGQES >CDP19910 pep supercontig:AUK_PRJEB4211_v1:scaffold_780:5939:7581:1 gene:GSCOC_T00012612001 transcript:CDP19910 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPRVASSSKRLDGKVAIITGGASGIGAAAARLFHSNGAKVVVADIQDNLGQAIAKELGKNACYIHCVVSQEDQIIDLIDTTIAKYGQLDIMYNNAGITEGSKIAILETSKSDLDRVIGVNLVGSFLGAKHAARVMIPQRRGCVLFTASASVNIAGLGPHAYAVTKHAIAGLAKNLSAELGQHGIRVNCVSPYAVMTGIAGGNYSEEYIAQMQMFVNAVANLKGKTLTADDVAQAALYLASDEAGYVSGLNLVVDGGFSVVNPSMMNAAAQAKLHQK >CDP21919 pep supercontig:AUK_PRJEB4211_v1:scaffold_7812:2530:3087:1 gene:GSCOC_T00001446001 transcript:CDP21919 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPEKNRREISKYLFQEGVCYAKKDFNLVKHPEINVPNLQVIKLMQSFKSKENVRETFAWMHYYWYLTNDGIEFLRIYLNLPSEIVPATLKKSARPLGRPMSGPPSDRPRGPPRFDGDRPRFGDREGYRTGPVDLLVSLVVRKVEVLRITSLLFRGSGGRPGFGRGSGGMLWYRNQRNQSLQEA >CDP21920 pep supercontig:AUK_PRJEB4211_v1:scaffold_7818:2485:3284:-1 gene:GSCOC_T00010242001 transcript:CDP21920 gene_biotype:protein_coding transcript_biotype:protein_coding ILLDNDLVAHVDDFGLARLLPKPVNTSSEQRTSSTIAIKGSIGYAAPEYGMGLVASTQGDVYSYCILLLEMITGRRPTDDMFVDDLDLHNYIVDLLLFLEGDENRNMTPGGETINGGREMECIISLFKFGLKCSARLPNDRMRMNEVVRKLHLIKDAFVGVRVH >CDP21921 pep supercontig:AUK_PRJEB4211_v1:scaffold_7837:2295:3277:-1 gene:GSCOC_T00012944001 transcript:CDP21921 gene_biotype:protein_coding transcript_biotype:protein_coding HIYCCKKLKSLPARMESLLPSLQLLILIFCPEIERFPEGGLPTSLQTLRITFCEKLLTSPREWDLMRLPCLRSLIVDVMDEAIESFPNEDWLLPCSLEDLQLFLGENIKTLNYSGLQHLTSLQSLGIGGCSLLQSLPEEGLPASLTKLEIRDCPLLKPRLEWEKGRDWSKVAHIPCIIVDKELIP >CDP19919 pep supercontig:AUK_PRJEB4211_v1:scaffold_784:37627:41223:1 gene:GSCOC_T00009260001 transcript:CDP19919 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKLIYNNKQIDGHFDIKIWVCVSKKVPIEELFKLILVQLTKEKVEVDDRNVIVGKIRNQLEGKRYFLVLDDVWDDNQALWDDFFTTLKGLNPTNVSWCLVTTRLGPVAHSVSRVLRMMENERYPLGKLPDDHCWSIVKEKVVGGEEEPDELKAIKERVIKRCDGLPLAASVIGGLLSLKRKEEWRSILENRLSSLSGDGDGVMQILKFSFDNLPSPYIKKCFAYWSIFPKDTQMRGDKLIELWMAEGFLQADINSQMMMEEIGMNCLRILLQSSLFEETRSYEETHYYKMHDLVHDLAESMSKSTKVINNGDAQIIDNSNQIRYLALKNLYVLDLSDATTQELPASIGKLIHLRYVNLSWSAISILPDSLCKLYNLQTLMLSYSEVKVLPKGMCDLISLRHLHYEDNYKVFQMPLEMGRLTCLQTLEFFKVGREKGRRIGELGSLKNLKGRLEIRNLELVTDKEGAEEAKLSEKANLLRLQLKWAQDREGHDYNDKDVLDGLQPHPNLEELVIENFMGDQFPRWLMDLPTTTTLPELATTPPKLACLKFNRCNRCRELLPLQKFTSLKELKIWNCDGLTNLPGDMLHSCASLQKLSVADCDNLISFPLDLQQTPSLLELGLYRCPKLKTSMTPKGFGFLTSLRELVIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSALRRLELFGLPHMESLPHQIQYLTTLTSLTLRDFGGIKALPDWFGNFAALEELRLFDLKELGHLPSEDAIRSLPKLKLLRVCRCPLLKERCTPASSGPDSQWSKVSHIQDLQITDLY >CDP19917 pep supercontig:AUK_PRJEB4211_v1:scaffold_784:15493:17959:1 gene:GSCOC_T00009256001 transcript:CDP19917 gene_biotype:protein_coding transcript_biotype:protein_coding MADALITSTIKVALEKTLALANERIGKLSQFKKDLETLRGSVAMIQAVLADAEEKQTHDQAVQLWLQRLEAVAFDAENLLDELNYEALHRQLVGKISDINKKLNKINKEANDFGLIRFQRATFPPSTTAKVTLNRETDSIAGHYVVGRAKDETRLVEILLSLSENAVSVIPILGMGGLGKTTLAQSVYNNSQVDSHFEKKIWVCVSDNFEVTRLLKMILESLTRRNVEITSRDVIVQEIRQQLVGKKYLLVLDDVWTESQILWDDFLRLLRGLNATNGNWCVVTTRKQQTASIVATHDPYVLGKLSDDDCWSILTEKANAGGEIPEKLQVMKKEIIKKCGGLPLAASAMGGLLRMKGKEESELILMNKLSNLSGDEDSVMKILKLSFNCLPSPAVKKCFAYCSMFPKDTEMKRDMLIELWMAEGCLQVDLKNKTMEEIGEYYLEILLQSSLLEEIRDGWRRCYKMHDMVHDVAKSIMSKCTKVINSETGSGDNTNQSIKFASYIVSKSG >CDP19918 pep supercontig:AUK_PRJEB4211_v1:scaffold_784:36345:37556:1 gene:GSCOC_T00009259001 transcript:CDP19918 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVISATIQVALETAVSLATDRIGMLVGFKKDVASMTRTLSFINALLADAEERQQHQDRGVQEWLKSLEEVAYDAGSVLDELNYESLRIKLKLNEINQEASGLGLVGRAVMTAALPAAADAGDKRNRQTDSVVAPMIGRADDESKIVKMLLSPSEKVVSCLPITGMGGLGKTTLAKLIYHNKQIDGHFDIKIWVCVSKKVPIEELFKLILVQLTKEKVEVDDRNVIVGKIRNQLEGKRYFLVLDDVWDDNQALWDDFFTTLKGLNPTNVSWCLVTTRLGPVAHSVSRVLRMMENERYPLGKLPDDHCWSIIKEKVVGGEEEPDELKAIKERVIKRCDGLPLAASVIGGLLSLKRKEEWRSIWKSR >CDP21922 pep supercontig:AUK_PRJEB4211_v1:scaffold_7840:2:1166:1 gene:GSCOC_T00000986001 transcript:CDP21922 gene_biotype:protein_coding transcript_biotype:protein_coding VGTGPGLFEPIHGSAPDIAGQDKANPLATVLSAAMLLKYGLGEEKAAQRIEDAVLDALNKGFRTGDIHSAGYKLVGCKEMGEEVLKSIEHKIPAAV >CDP21923 pep supercontig:AUK_PRJEB4211_v1:scaffold_7845:3:1591:-1 gene:GSCOC_T00009648001 transcript:CDP21923 gene_biotype:protein_coding transcript_biotype:protein_coding MSENSWIIELDHKVIHEVDIYLEDERWKRLSVYRLPASIIKLNRNAYTPQVVSFGPCYHGRDNLKPMEEHKHRALLHFLRRSGKPIVVCLEKLSMVVDDLQAAYQSLDDQWRGNTEKFLQIMILDGCFMLEILRIFTRSVEGYAESDPIFSNHGIVYVMPDIMRDMLMIENQLPMLVLHVLVAAEGASGTQAEDYVNKLLFRLYNLDSYSRHKSIDKPLHVLDICHRVLLLPSDARHRRRQRLPRKRPRWVLEKTIPSATELHEAGIQIQRSKSRSLQGIRFDKGVFSVTLKVPVIVVDNITETFFLNILAFERLHVGAGREVTNYLAFMNNIIRDSKDISLLRSCGIIQNSLGKDEVVADLFNSLTRDITIDPDSSVYLVEKKVIKYCNR >CDP21924 pep supercontig:AUK_PRJEB4211_v1:scaffold_7857:205:537:1 gene:GSCOC_T00000757001 transcript:CDP21924 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFQAENLELNKNLYERVNAIASRKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLNQNIGALSVKLSAEEMAELESFASAGVKGDRYGPGLGTWQTSETPPLSTWKRT >CDP21925 pep supercontig:AUK_PRJEB4211_v1:scaffold_7860:3:2156:1 gene:GSCOC_T00005521001 transcript:CDP21925 gene_biotype:protein_coding transcript_biotype:protein_coding ESLLNEYQSQINQILKVSFDHLSPASVKKCFAYCSIFPQDTELGEDELIQHWVAKASIRTLFLHLEGGVSTDMLLRFKCLHVLKLSGYDVKFLPSSIGKLLHLRLLNISSSGITSLPESLCKLYNLQTLTMRDDALQGGFPKRMSDLISLRHLNYYHNRAKFKMPAQMGRLTCLQTLEFFNVSQKRGCGIEELGTLKYLKGSLEVRNLGLVKGKEAAKQAKLFEKPDLSSLKFMWDSEGCDEDVLEGLQPHPNLQQLEIDFFMGNKFPQWLINLPKLVELQVCCCKIGELPALGQLPSLKCLLLTGLDNIRSIGDEFYRSSTRRRKFFPALEELRVEYMENLVEWKDADQKCPLPCLERLSIWSCNGLTTISDKMFESCRLSLRSLKVGYCRNLVSFSLNLQEMSSLEEFTLVGCPKLIPHRFNGFAFATSLRKLWIGPFSSDDSSIDGFDWSGLRSASTLRDLRLQGLPHTESLPHQLQYLTTLTSLTLADFEGIEALPDWIGNLVSLETLKLWSCEKLRSLPSEAAMRRLTKLTHVDVYRCPLLRQRYTP >CDP21926 pep supercontig:AUK_PRJEB4211_v1:scaffold_7866:504:3216:-1 gene:GSCOC_T00010420001 transcript:CDP21926 gene_biotype:protein_coding transcript_biotype:protein_coding FFYVYPKGLTELLVHVKKNYKNPTIYITENGYDESNINSLEQAIRDTKRIKFYIGHFKAVKAAIEKGVDVRGFLAWTFLDTFEWTAGFTEKFGIIYVDFKNGLKRYPKHSAHWLKQFLK >CDP19922 pep supercontig:AUK_PRJEB4211_v1:scaffold_787:20855:23729:-1 gene:GSCOC_T00009570001 transcript:CDP19922 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFYGTPKPEPDMIKLIHHAISRGITHLDTSDVYGPHTNEILIGKALKEGMRAKVELATKFAVSYEDGKFDVRGDPAYVRACCEASLKRLDVDCIDLYYQHRIDTGVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAIQLEWSLWTRDVEEEIIPTCRYPLGQGFFSSGPKLIENLTEGDFRKYSPRFQAENLEHNKNLYEQVNAIASRKGCTPSQLALAWVHHQGNDVCPIPGTTKIQNLNQNIGALSVKLSAEDKAELESIASAGVKGERHGPQISTWQNSETPPLSTWKST >CDP19921 pep supercontig:AUK_PRJEB4211_v1:scaffold_787:15280:16662:-1 gene:GSCOC_T00009569001 transcript:CDP19921 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSNAKNIANHSTVDSDRFKELKAFDDGKAGVKGLVDAGITNLPRIFIRPPEELIEEKNIGHLQVQVPVIDFSGIQGGDKSNSVIDEIRQASEEWGFFQEINHGVPQSLLDEMIDGAHRFHEQDAEVKKQYYSRDLLRMVRYFSNGDLFESSTANWNDCLAIKLTASNQAEPDELPEVCRSPVIDYASHWADVPLIPGSLTVNIGDLLQIISNDRFKSAEHRVIANRIGPRVSVPCFFGGVSTPARKYGPLKELISEESPALYKEFTVPEYAQNFFSMPYDGSHRDIWKI >CDP19924 pep supercontig:AUK_PRJEB4211_v1:scaffold_787:48257:54183:-1 gene:GSCOC_T00009573001 transcript:CDP19924 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEVKVPRIKLGSQGLEVSAQGLGCMGMSGSYGPPKPEPDMIKLIHHAISRGITHLDTSDLYGPHTNEILIGKALKEGIRVKVELATKFAVSFQDGKFDVRGDPAYVRASCEASLKRLDVDCIDLYYQARIDTRVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLSENLAEGDFRKHMPRFQAENLEHNKNLYEQVNAIASRKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLNQNIGALSVKLSAEEMAELESIASAGVKGDRYGPGISTWQTSETPPLSTWKRT >CDP19923 pep supercontig:AUK_PRJEB4211_v1:scaffold_787:43422:46379:-1 gene:GSCOC_T00009572001 transcript:CDP19923 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAAEVKVPRIKLGSQGCEVSAQGLGCMGMSAFYGTPKPEPDMIKLIHHAISRGITHLDTSDVYGPHTNEILIGKALKEGMRAKVELATKFAVSYEDGKFDICGDPAYVRAACEASLKRLDVDCIDLYYQHRIDTGVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAIQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGQGFFSSGPKLIENLTEGDFRKYLPRFQAENLEHNKKLYERVNAIASRKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLIVCLWMLVEYFIFLD >CDP21927 pep supercontig:AUK_PRJEB4211_v1:scaffold_7879:2:2882:1 gene:GSCOC_T00006855001 transcript:CDP21927 gene_biotype:protein_coding transcript_biotype:protein_coding VMEETGGKYLRILLQNSLLEKVAESRRTYYKMHDLVHDFAKSILNPESSNQDRYLALDSSEGLAENTTRTIPASIRTLFLHLEGGVSTDMLLRFKCLNVLRLSGYDVESLPSSIGKLLHLRLLDISSSGITSLPESLCKLYNLQTLTIGDNIPLEGGFPKWMSDLISLRHLNYYDYRAELKMPVQMGLLTCLQTLEFFNVSQERGRAKLFEKPDLSYLVFQWESGDRESDNCDENVLEGLQPHPNLQVLVIRYFMGNKFPQWLINLSKLEALWIEDCKRCSELPSLGQLPSLKRLYLEGLDNIRFITANEEEEEEGRSRASGSSTRRRKFFPALEELCVEHMGNLVEWKGADQVRSTVDEAEADVFPLLRYFRIESCPQLTTLSLLSRSCGSNLRELPDLDLFGSSLQRLTISFCPRLTSLGVNGQKCPLPCLEVLSINNCKGLTTISDKMFQSCRSLRSLSVMWCPNLASFSLNLQETPSLEDFASDHCPKLLPHSFKGFAFATSLRKLSINSPFSSDDSSVDDFDWSGLRSASTLRELQLRGLPHTESLPHQLQYLTTLTSLRLHNFGGIEVLQDWIGNLVSLETLELSNCEKLRSLPSEAAMRRLTKLNHVEVYWCPLLRQRYTPQRGIYLKEEISSDPSP >CDP19925 pep supercontig:AUK_PRJEB4211_v1:scaffold_788:52653:52862:1 gene:GSCOC_T00003710001 transcript:CDP19925 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTAADPASPSPPPPQPPRSSFSCDRHLQEQFTGFCPSCLCERLTTLDQSFLLFSPPLRLLLLRCRRP >CDP21928 pep supercontig:AUK_PRJEB4211_v1:scaffold_7886:1776:2943:1 gene:GSCOC_T00007655001 transcript:CDP21928 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGRNGHANELLQAQAHIWNHIFNFINSMSLKCAIQLGIPDIIHKQGKPMTLDELQCSSNWQCKSPTLSLNNFFNEGMASDSRLVGSILIRDWKDVFSRLNSLVDVGGGTGTLAKEIADAFLDLHCIVPDLPHVVDGLVANNKSLAFVGGNMFVAIPPADDVIMKWILHDWYDEECVQILRKCKEAIPSNGNGGKLNFSLIR >CDP21929 pep supercontig:AUK_PRJEB4211_v1:scaffold_7889:516:3079:-1 gene:GSCOC_T00007697001 transcript:CDP21929 gene_biotype:protein_coding transcript_biotype:protein_coding RTTNNLHICSYQFDLSGIIPQEVGNLSKLEQLGLAENRLRGPIPLKLFNSSTVRIISLAYNDLSGELPSTIGAFLPNLEELYLEENEFTGTILTFMISLSNCKHLRSLWIGENPLNGFLPKSIGNLSSSLESFYAYSCGIKSEIPSSFGNLSNLVELFFDNNSLTGLIPTTIKWFLKLQGIDLSDNQILGAIPSEFCNLLKLGELRLGQNMLSGMVPSCLGNVTTLRYVYLNSNNLSSMIPTSFWSLRDILEIDMSRNYLTGSLPAEIGNFKALVYLNLSNNQYLGGIPSTIGALQDLQELSLERNKLQGLIPDSLKNMLQLRHLDLSFNHLEGEIPNSLQVLSDLQYFNVSYNRLRGPIPHGGPFANFTELSFLSNEALKKILAPTQNLLPMATFERVSFHELRQITNGFSESNLLGSGSFGSVYKGIRENGMVWAIKVFDLQLEGAFKSFDRECEVLSCLRHRNLTRVITACSSLDLKALVLEYMPNGSLEKWLHVNHHVLSIMQRLDITIDVACGLEYLHYGYSTPIVHCDLKPSNILLDQDMVGHVCDFGIAKLLGDGESVVQTKTLATFGYIAPEYGLEGLVSTSCDVYSFGITLMETFTKRKPKDEMFTEALSLRRWVQDCLLDSVIQVIDRDLLHPENELVQKKINCISSVLQLGLSCTTNAPEERINMKEVLRALQKIKLQFIKDITP >CDP21930 pep supercontig:AUK_PRJEB4211_v1:scaffold_7894:1:3064:1 gene:GSCOC_T00004755001 transcript:CDP21930 gene_biotype:protein_coding transcript_biotype:protein_coding QVNSNALHFALGATKKQNNCATILILILYNLETLQTAFLVETLQKRQKTLSGPVILEPLSFDGTVPKLVLNPSTWTKVVSIIFLDSPAGTGFSYAKTAQASQSSDFLASDQAYEFIRKWLHDHPEYKSNPFYVGGISYGGIPVPILTQLISNGNEDGIEPRIDLKGYILGNPITKVSEILNYRVPFAYGMGLISDELYESLKVSCKGEYEIIDPSNPVCSKNMQAYNEASNHIYAIFM >CDP21931 pep supercontig:AUK_PRJEB4211_v1:scaffold_7914:1835:2459:-1 gene:GSCOC_T00012794001 transcript:CDP21931 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPGQFQTGPTVIAWEDLRKAKAEAAKRKLEMKLEKKRSSSMDKIMNKLGSISTKEGPRNEKLSSFSGCFTCHAF >CDP19934 pep supercontig:AUK_PRJEB4211_v1:scaffold_793:31508:41392:1 gene:GSCOC_T00013300001 transcript:CDP19934 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNGVVGILSESTNKWERRVPLTPSHCAKLLHGGRGKTGVARIIVQPSTKRIHHDSLYEDVGCEISEDLSDCGLILGIKQPKLDMILPDRAYAFFSHTHKAQRENMPLLDKILAEKASLFDYELIVGDHGKRLLAFGKFAGRAGMVDFLHGLGQRYLSLGYSTPFLSLGASYMYSSLAAAKAAVISVGEEIATMGLPSGICPLVFVFTGSGNVSHGAQEIFKLLPHTLVDPCRLPEIFGKAKDPARPAKRVFQVYGCVVTSKDMVEPKEPSKFFDKADYYAHPEHYIPVFHEKIAPYASVIVNCMYWEKRFPQLLTTMQLQDLMSKGCPLIGICDITCDIGGSLEIVNQTTAIDSPFFRYDPFQNSYHYDLEGEGVICSAVDILPTEFAKEASQHFGDILSQFIGSLISIPNIEELPLHLKRACIAHRGALTPLYEYIRRMQNSDVEDPSRNLEKVYPDKKKYTTLVSLSGHLFDQFLINEALDIIEAAGGSFHLVKCQVGQSTDAMSYSELEVGADDKEVLDRIIDSLTSIANPSVEDGFADITKNKISLKVGKFYENEVEKGYDMKKKNVILILGAGRVCRPAAEFLTSIGSFPSQQVLNSCLEAAFEEQNSIEVVVASLYLKDAEEIIQGIPSATAIQLDVMNHENLHFHISQADVVISLLPASCHNIIATACIELKKHLVTASYVDDSMSKLDEAAKVAGITILGEMGLDPGIDHMMAMKMINEAHKRNGKIRSFTSYCGGLPSPAAANNPLAYKFSWSPAGAIRAGRNPATYRYHGEIVRVNGDHLYDSASRIRLADLPAFALECLPNRNSLLYGELYGIENEASTVFRGTLRYEGMICLCAFILCHL >CDP19932 pep supercontig:AUK_PRJEB4211_v1:scaffold_793:14894:16893:-1 gene:GSCOC_T00013298001 transcript:CDP19932 gene_biotype:protein_coding transcript_biotype:protein_coding MASCVEVFFWLLMILLCPWSHLLSCGSSDLFQPDYLMVPVSEFSSSLETAINAIQNVTSTVSQFSHVSNDFHLSNAISDCLELLDLSAELLDWTLSASLKPDDGFDFRTWLNGVLVNQDTCLEGFDGTSGTIKSLVEERLSQVTSLVTGIRDTVHLPPDSMGGGRNPTNHEQTFPSWLKHEEKKLLLQPLDKGSADVVVAADGTGNFTKISDAINLVPKHGIDATVITGNRNAADGFKSYKSGTFRVKGRGFIARDITFQNTAGPQKAQAIAFLSDSDLSAIYRCAFRGYQDTLYAHNLRQFYKSCLITGTVDFIFGRSTAVFQSCQIRARKGLPQQKNAITAQGRKEPGDGSGFSIQFSHISAEPDVTAANIETYLGRPWKLYSRTVILQSYISSAIHPKGWLPWNGSFALGTLYYGEYMNFGPGARLDNRVSWPGFHEIQDPSVANKFTVAKFILGDSWLPSTGIQYTDGLQV >CDP19935 pep supercontig:AUK_PRJEB4211_v1:scaffold_793:41855:44154:1 gene:GSCOC_T00013301001 transcript:CDP19935 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCEYMTKRWLGSMFEKNSKDTCFSGVMGALAKIGFFNTEALSTLNNGEKPTYRKALLQLLRLNNNNLDGLAMNEKEITERITTLGICQGEIAVRTAKTIMFLGFHESTEIPASCQSPFDITCLLMEKALVYSGTEQDMVLLHHEVEVDFPDGQPAENHRATLLEFGRTKDGNTTTAMALTVGIPAAVGALLLLANKIQVNGVIRPIISEVYMPALEILEAYGFKLLEKIE >CDP19930 pep supercontig:AUK_PRJEB4211_v1:scaffold_793:3524:5848:-1 gene:GSCOC_T00013296001 transcript:CDP19930 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDFDLISQRRENERKRKLKRRITIAVVATTVLALVAAAAVCAVVYKDRLYPNSSQNHGNAHPAHHPPTQPAQSPAQKAVKTICASTDYKQTCEDNLFKAVKANASALQPKDLLKASMTAISRGIDLAMKIGSSVHFDDPKTKIAFDFCMTLLGDAKEELNSSISSIDDKTSNAGDLNNWLSAVMSYQQSCIDGYPNGTIKSQLESTLKSSKELTSNSLAMVSLSQLASFHGTSIKRRLLTKGSGIPSLDEEGLPRWLNDQERRRILKDNTTFQHPNATVAKDGSGNYTTINAALAAIPSNYTGRYVIYVKAGVYEETVTVTKKMVNVTIYGDGSQKSIVTGSKNYVDGVPTFLTATFSALGDGFMAQSIGFRNTAGPEKHQAVALRVQSDRAIFVNCRMEGYQDTLYAQTHRQYYRSCYITGTVDFIFGDAAAVFQNCMIYVRKPMDNQQNIVTAQGRVDKRETTGIVLQGCRILADASLSPVKSQFKSYLGRPWKEYSRTIIMETEIGDFIHGDGYMPWQGNFALNTLYYAEYNNKGPGAQTSARVKWPGYKGLIKRDEALKYTVGPFIQGEGWLKVDNVPVHFGLYA >CDP19931 pep supercontig:AUK_PRJEB4211_v1:scaffold_793:7756:9989:-1 gene:GSCOC_T00013297001 transcript:CDP19931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 12 [Source:Projected from Arabidopsis thaliana (AT2G26440) UniProtKB/Swiss-Prot;Acc:O48711] MASRNLKPSLLLFSIFFSTCFVITSSSSSSPSTLDSHLSSMKDLCKSTPYPDVCFDSLRLSVSINIGPNIINFLLHSLQTALSEAGKLSNIFASTGGHSNIVEKQKGTIQDCSELQQITVSSLKKSVSRISSSNSRRLADARAFLSAALTNKVTCLEGLDSASGPSKPALVNSIVDAYKHVSNALSILSKPGRSKSNGLMHRRLMGFPSWMSSKDRRILQSSADDDYYDPSNMITVAADGTGNFTALTDAINFAPNNSADRIFIFVRQGVYQENVEIPSWKPNIVLLGDGSNVTIITGGRSVGDGWTTFRSATVAVSGEGFLARDITFENSAGPEKHQAVALRVNGDLAAVYRCTIKGHQDTLYVHSFRQFYRECDVYGTIDYIFGNAAVVFQGCNVISRLPMPGQFTAITAQSRDIEDESTGISLQNCSILPTNDLNSNLATVKSYLGRPWRNYSRTVIIKSYIDEHIAPEGWTPWQGDQGLSTLYYGEYGNDGPGAVTDNRVTWPGFHIMNYDDASNFAVSEFITGDEWLDSTNFPYDNGI >CDP19933 pep supercontig:AUK_PRJEB4211_v1:scaffold_793:18064:21235:-1 gene:GSCOC_T00013299001 transcript:CDP19933 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSRCQILLTFLVILGLWSPHLVSCVNNNPLIQSECLMVPTSEFVGSLKSTIGIVRQVTSIVSRFTSFLGDFRLSNAISDCLDLLDLSADELDWTLSAGQKPSGKNNTNTVRLSSDLRTWLSAALVNQDTCMEGFEGTNGIVKSLVAGSLNQITSLVTDVLGMVHPIPDSKSNGGSGGGGGGRTGGRKLTSRKEFEFPSWLKSKDRKLLQANGVQADVVVAQDGTGKFTSVNDAISAAPEQSAKRFVIYIKKGVYKEYIEISKKKPNIMLIGDGMDVTVISGNRSFIDGWTTYRSATFGVKGQGFIARDMTFENTAGPQKHQAVAFRSDSDLSVVYRCAIRGFQDTLYAHSMRQFYGECVVTGTVDFIFGDGSVVFQRCTLNARKGLPNQKNTITAQGRKVITEPSGFSIQFSNISAEPDVLASLNSTETFLGRPWKLYSRTVIMQSFISNAIKPQGWLEWNGNFALDTLYYGEYMNTGPGAGLGSRVKWPGFHAINDSAVANNFTVAQFIVGNSWLPMTGVKYTAGLAV >CDP19936 pep supercontig:AUK_PRJEB4211_v1:scaffold_793:45540:49719:-1 gene:GSCOC_T00013303001 transcript:CDP19936 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSTFSVGRKDLFPFLSIQNSSFSSASSAIDLNLHSSGGGFGGARSICLRLKGCGSSENINKKNDKNAGFSNAGDGGGGGGGGGFWLHKARAIAPSLQQHLFRELVSKDIKFRLENAFDGHGDDGGRCRNDRGGSVEFPEDQHLPPEKIAVAVDVDEVLGNFVSALNRFVADRYSSNHSVSEYHVYEFCKIWNCSRDEADTRVHEFFKTSYFRTGIHPIPGARQALQNLSRFCDLSIVTSRQNAIKEHTIEWIENHYPGLFQEIHFGNHFALDGKSRPKSEICRTLGAKVLIDDNPRYAIECAEVGIKVLLFDYENSYPWCKTESVNQHPLVTKVYNWQEVEHHLVSLEKS >CDP19937 pep supercontig:AUK_PRJEB4211_v1:scaffold_793:50997:53321:-1 gene:GSCOC_T00013305001 transcript:CDP19937 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLVFKLFLVCLLGEVLMAEGLACNWGTRATHLLPPDIVVKLMKDNGIDKVKLFEVVPDVMRALGNSGIQVMVGIPNDMLASLASSVRVAEDWVTQNVSRYVSRNGVDVRYVAIGNEPFLKTYKDMFTHTIYPALENIQAALIKAGLGRQVKVTIPINADVYQSDSGMPSGGNFRPDIQGLMISIVKFLSDNGGPLTINIYPFLSLYADPHFPVDFAFFDGTAAPVVDGGVTYGNVFEANYDTLVWSLEKNGFPNMPIIVGEVGWPTDGDVNANIENARRFNQGLLNRINQGKGTPKRPSPPDIYLFALIDEDAKSTEPGNFERHWGIFYYDGSIKYPLNLANNKNVTAAKGVQYLSRQWCVMRPDADPSDPNLPQSINKACTYADCTSLGYGSSCGGLDVRNNASYAFNMYFQTMNQTKGSCDEFSNLAVITSIDPSTRIRGGACRFEIMIDVGKGGKTRKPTTSSAGRMYNSIFAWSFLLGLLAFVS >CDP21932 pep supercontig:AUK_PRJEB4211_v1:scaffold_7942:1074:2015:-1 gene:GSCOC_T00010241001 transcript:CDP21932 gene_biotype:protein_coding transcript_biotype:protein_coding MERAYYYFPEGLLLATFLLAVGTADIITDKSALVTLRDHVVSNRHLILAKIGPFLLLVVALDISNMGFAGMIPPQLGNLSFLVSLNMSNNNFHGHLPEGMAHLRRLSFMAASNNNLTGEIPSWLGVLERLQYLSLRNNSFVGHLPANVCDNLPNLKELDLYWNQLSGQILSGLSNCFGLKSLDLSLNQFNGHIPKAVGNLKMLEELHLNYNNLEGYFLGFLFFIQFHIYILSLSNTVLSSMPPTIFKISTLEFVDFAG >CDP21933 pep supercontig:AUK_PRJEB4211_v1:scaffold_7953:245:2641:1 gene:GSCOC_T00010260001 transcript:CDP21933 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKKVYDDAAVEKQFQSHAWITVSQNFQFNVIIKDLIQQLYEEIRQPVPPQVESMNRVRLSQFVRDFLKERRYILVLDDVWSLDAWEAIKYVLPDCNIASRVVLTTRITDVASASCLESHDFIHKMSPLSYEDSWTLFCNRTFQSNGCPSNLEKVCRKILKKCEGLPLGIVTMGGVLALKDKDKIDEWEMIFRGFGSEVDGSGKLDRIRRILLLSYNDLPHHLKNCLLYLSIYPEDHPINVEILLGKWIALGFIEEEEGMTATDIAMRYLKELINRSLIQVKGTWVDGKLVKCGLHDFLREIIVLKSKEQCFTTIATGYSTRWPDKVRHLAIHNFTGNPPQGFSSLKCLRSVETFGNKDSLTTSFLSKFLCGGPKFLKVLNLASAELDNIPKEVFKLFQLEYLDLSGTRVKIIPKSIGQLQNLEFLNLLETTVTELPVEILKLRKLRSLVVCRMGDYSNNYAIGGFKSPDGIGKLTSLEFLGNIEADRGKIVREIGKLIQLRKLYITELRREDGKELVSSLLRLTNLRELYIRSIKEEESLDLQHSVSPRLGFLTALWLSGRLERVPEWVISLQSLSTLALLNSALSEDENAIGCLEHLPNLVDLSLHNGYEGETLCFKAGRFPKLKRLDLGQLKRLKWVRVEKESLSSLQRFLISGCKLMEGLPLGLQNLTELKVLGLLDMSDELIHEVQNLDKQSEDYQTISHIPQVCIGHWINGEWKEEFL >CDP21934 pep supercontig:AUK_PRJEB4211_v1:scaffold_7955:1379:2104:-1 gene:GSCOC_T00011519001 transcript:CDP21934 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKNLLFLFGVLFALVLLISSDVTTADQETTKATGVHDASSGEAHQYPVAEYVCAFGCCRFFRHYCFRCCPPAEKTPNVEFGDEVKN >CDP19940 pep supercontig:AUK_PRJEB4211_v1:scaffold_796:38817:42153:1 gene:GSCOC_T00007200001 transcript:CDP19940 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAFATPDHHHLAAPPTEQLCYVHCNFCDTVLAVSVPCTSLFKTVTVRCGHCTNLLSVNMRGLLLPSANQLHLGHSFFSPQNLLEELRNTPPNLLINQPNPNESLMPVRGVDELPKPPVANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPVKKSNVCQQEGEDVLMKDGFLAPANVGVSPY >CDP19939 pep supercontig:AUK_PRJEB4211_v1:scaffold_796:19334:24195:1 gene:GSCOC_T00007199001 transcript:CDP19939 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQESGWEELRKEARKIEGDLDVKLSSYAKLGASNCTVVPVYSSLPISCDLILEICFLIIVIMSTGYVETGSPTVGSSRSWKSMEMEIQSLLEKLLDINDSMSRCAASAASTTSVTQKLARHRDILHEFTQEFRRIKGNINSMKEHAELLSSVRDDISEYKASGSMSPRMQLLRERAAIHGNISHIDDVISQAQSTKAALSSQRALFGDVQGKVKLLSDKFPIIRGLIGSIRRKRSRDTLILAAVIAACTLFLIIYWLSK >CDP19941 pep supercontig:AUK_PRJEB4211_v1:scaffold_796:49004:50437:-1 gene:GSCOC_T00007202001 transcript:CDP19941 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMEGPSSARPPQISEMFQKFALAFKAKTFELFAEEGDDPTTTTAAAGAAEGDVFTLLDSAEEFIPDQKVVVLKPDPTFSPEINRTHVVQTLISSIFATISSFEASYLIFQAAHVPKIDQDGLESADKTLVSILQKLTDLRNVDRDFRKNPGGNFEAPAGSFLEFQVQENQSKLRALETMVNQLISDIECKDDQVLNLRKNLDKMVVFNSNLQKRLVAKNEQLNNGTEILLTVRVFESMLRDCVKSLHCFSKLLIDLMRKAGWDLTMAANSVYSNVDYAKEGHYKYAFLSYISLGMFRGFDLDDFLLNGNEVVCNGNNSIPSKDGCLKQLIQHVSSNPMEVLGKHSKCDFSRFCEQKYDQLIHPTMESSVFSNFDRKEAVLDSWKSLSVFYESFVRMASSTWMLHKLAYSFDPIVEIFQVERGVDFSMVYMEDVTQKTSPSGKSRRKVAFTVVPGFKVGRTVVQSQVYLTGTSCTE >CDP19938 pep supercontig:AUK_PRJEB4211_v1:scaffold_796:8161:10107:1 gene:GSCOC_T00007198001 transcript:CDP19938 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGNFKSIYAWSSVVASLFYCYFIPARIPKGFLRLVSLLPVFCHFTVLPMYMPSIFFRGVSTLFITWLANSKLLLFAFGQGPLAWAQSQSLHIFIASAALPIRAKRADDSNPSSSKKKVPFLNLGTEILALSVLLALAAKYRETAHPLVLQADYCCVIFLLVDVLVAFSSSVVRAMVGLELEPPSNAPYASTSLQDFWGKRWNLTVTNTLRLSVYKPVRSVSAGVVGNRWAALPAFFATFLVSGLMHELIYYYVSRAKPSWEVTWFFILHGICVMIELVIKRGLKGKREMPWFISGPLTMGFVIITSFWLFFPPLMKSGADEMVLEEFRSLCESWKGRLGTLSPNILSPNLS >CDP19945 pep supercontig:AUK_PRJEB4211_v1:scaffold_797:33198:34831:1 gene:GSCOC_T00003226001 transcript:CDP19945 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTTDGQEKPTIPTPAIETSGTGDVENPAPAAVGAGVSNIIGRWRREDLRRKLSLGLRGVGLVCSLLSFIIMASNQHGDGKSFDEYEEYRYVLAIAILSSLYTGLQVFRQVHELSTGREAFSSRRQNVAIYDFFGDQVVAYLLISAASSAVPLTDRVRESADNIFTDSSASAISMEFLAFFALALSALISGYKLANQTYI >CDP19942 pep supercontig:AUK_PRJEB4211_v1:scaffold_797:1220:3787:1 gene:GSCOC_T00003220001 transcript:CDP19942 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVTFTTHGERMQSFKLLSGHAIPAVGLGTWKSTSPQAQHPVYSAIVEAGYRHVDTAAEYGVQEEVGLAINRAVHAGVDRKSLFVTSKLWCADLSPERVRPALEKSLRELHLDYLDLYLIHWPFHLKEGASKPPKQGDVMEFNMEGVWREMEKLVKDNLVRDIGVCNFTLKKLSKLLGLAQIMPSVCQMEMHPGWRNDKMLEACKKNGIHVTAYSPLGSQDHGRDLIHDPIVEKAARKLNKSPGQVLVRWAIQRGTSTIPKSTHLDRIKENIRVFEWEIPEEDFQALCRVPDQKRVLDGENLFVNETDGPYKSVADVWDHED >CDP19944 pep supercontig:AUK_PRJEB4211_v1:scaffold_797:13014:14588:-1 gene:GSCOC_T00003223001 transcript:CDP19944 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTAKPSFLRNILLRLFLFCVFILGVRFAYVVTIRGESCDLGDFCFFSLPDHLNSFISGAGQFAAKSSSSAVISVAKSAPAKPHKLPDLWATRGFQKAAQFYSSVFQDLISEGFLTPNSKALCVETPTGADVFALKEIGVVDSIGIFKKASKPLVISGQAIKQPFGNDKFDFIFSGGGMIEMSPKPADFAAEICRTLKPQGFFVVHTGSRDTYSHNSFLDLFNCCKLVKFRDIDGFDSKLPFVREIVMRKYLSENVEQILGLGVQDPGGNSANKCFVPDYKQQLIRKAEPLIAEEPKKPWITLKKNIQNIKYLPSMVDISFKHRYVYVDVGARSYGSSIVSWFKKQYPKQNKTFEIYAIEADRHFHEDYKYRKGVKLLPFAAWVKNETLFFEINEDPIDKDVVKGRGMGRIQPVQSSTGVSDGNVDEIQGFDFAAWLKDTVSERDFVVMKMDVEGTEFNLIPRLIETGAICLIDEVFLECHYNRWQKCCPGERSSKYEKTYGQCLELYTSLRESGVLVHQWW >CDP19947 pep supercontig:AUK_PRJEB4211_v1:scaffold_797:42058:46640:-1 gene:GSCOC_T00003228001 transcript:CDP19947 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQKSTSESNFNHFDLLSEEMVFLVLDWLNDNPLDRKSFSLVCKSFYAIESRHRKTLKPLRPEHLTKILNRYPCVTNLDLSLCPRITDSSLAVISTASKEMLRSIDLSRSKFYSHVGLSNLVMNCGNLVEINLSNATELKDRAASAIAEAKNLEKLWLVRCRSITDIGIGCVAVGCRKLRLLCLKWCLGVGDLGVDLIAVKCKEIRSLDLSYLPITNKCLPSILKLQYLEHLALEGCLGFDDDSLAALKQGFKSLETLNMSSCENVSHVGLSSLTSGSGCLRQLILAYGSPVTLALADSLQKLSMLQSIKLDGCQVSCSGLKAIGNWRVSLRELSLSKCPGVTDEGLSSLLKKHRDLRKLDITCCHRITDITIAHITNSCSSLTSLRMESCSLVSAEAFVLIGQRCHFLEELDLTDNEINDEGLRSISRCSRLSILKLGICLNITDEGLIHIGRCCSKLKELDLYRSAGISDSGILAIARGCAGLEMINIAYCRDITDHSLISLSKCSKLNTLESRGCTLITSLGLAAIAVGCKQLNKLDIKKCHNIDDAGMIPLAQFSQNLRQINLSYTSVTDVGLLSLASISCLQSMTILHLKGLTPSGLAAALLVCGGLTKVKLLGSFKSKLPQPLFDLLGARGCVFQWRDKAFQAELDSRCWKFQLNTAEQ >CDP19943 pep supercontig:AUK_PRJEB4211_v1:scaffold_797:4641:6630:-1 gene:GSCOC_T00003221001 transcript:CDP19943 gene_biotype:protein_coding transcript_biotype:protein_coding MGACCSCQRIERFDGFYAADEAVEKTIEDEDLYVRRGDHGARVRLQGSSKYISTFIQQGKKGTNQDAMTVWESFTGERDMFFCGVFDGHGPSGHVVARYVRDLLPSKLSSSSSSSASKGFNISAASSGGDENAGNFGDARILKSFKEMDEELEGDAGIESYCSGTTAVTVIKQREHLIIANLGDSRAVMCTRDDQDLLVAEQLTVDLKPNLPSEAERIRSCQGRVMAMEGEPNVYRIWMPDEDCPGLAMARAFGDFCLKDFGLISTPEVFYRKLTERDEFVVLATDGIWDVLSNNEVVRIVASARKRSTAAKLLVDRAIRAWRYKYPCAKMDDCAVVCLFLKRQRPLLTKSLSEVTELSLNYTELGPVSHNYVSSSRSDDGLDTVLNCQVKEKPTHDVQGAKGEQSADSSGSSSSRETLIRNRRHPTGNLEKVQ >CDP19946 pep supercontig:AUK_PRJEB4211_v1:scaffold_797:35022:38365:-1 gene:GSCOC_T00003227001 transcript:CDP19946 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY33 [Source:Projected from Arabidopsis thaliana (AT2G38470) UniProtKB/TrEMBL;Acc:A0A384L4W4] MASSGGSLNTSLNSYSSYSFPNQFMATSFSDLINGNNTSTSAGTTPAEIPKFKSFPPTSLPLSPPPVSPSSFLAFPPSLSPSVLLDSPVLFSNSSTLPSPTTGTFSSLVRKDEDTKFVSDFSFQSQTRPPLSSSSLFHASAGKSSSQEASMKQQAGGWNFDNKPSQFIESSAVKHGIRSELAPTTQRLGAEVPATQGSMQSDPAPDSSHVHYSQPSQYVREQRKSDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERNLEGHITEIVYKGNHNHPKPQSTRRSSSQSIQNPSYTHSEISHHSNTLGENGQTDSLPNAENSSASFGDDEFDQTSAISNSRDDDENEPDAKRWKGENDSEAISVSGSKTVREPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTYSGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGSYAVNRPSAINNNNDLPAAIKPLATNSNSVYRTNFANSLQNPIPRPQSQAPFTLQMLPSQGSFGISGNSASANQVQQTGNAFHMAKQEPKDDLFFNSFLN >CDP21935 pep supercontig:AUK_PRJEB4211_v1:scaffold_7970:2126:2791:1 gene:GSCOC_T00004703001 transcript:CDP21935 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFKFFFFLKKRVVSNFLLIEPLLTKVTNSVEVQFSRTVSYFSQLRGIFTKNSTQHTIQMRKTKKEAKKFHTAFVFIVILTHA >CDP21936 pep supercontig:AUK_PRJEB4211_v1:scaffold_7977:132:1267:1 gene:GSCOC_T00004867001 transcript:CDP21936 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMNLQNHSPGVKEPTPEILKLGPENGLIQSATAPFFRVKKLSDKAVLPSRASPLSAGYDLSSAAEIKVPARGKALIPTDLSIAVPEGTYARVAPRSGLTWKNSIDVGAGVIDADYRGPVGVILFNHSDVDFEVKPGDRIAQLIIGKIVTPEVEEVDNLDTTVRGAGGFGSTGV >CDP21937 pep supercontig:AUK_PRJEB4211_v1:scaffold_7991:62:2058:-1 gene:GSCOC_T00003208001 transcript:CDP21937 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALKAASGEGNIDALYKLIEKDPEILDSFNKVQFVHTPLHEAAKAGQVDFAIELMTLMPSFGRKQNPQGLSPLHVAIIDDADEPEERRRRKNETALALIKLDAELIRVKGRERMTPLHHAVKENNLELLAEFLCLCPNAIDDLTNKFQSAVHIAVKERKLEALDVLLGWLLRRNREDVLGFKDEHRNTALHIAVETEQAEVVKKLIHKVKTNSLNSKNLTALDIAVQKQNLQIKEILQRGGGRSAALIPPMEVLPQFLRSREGFAERPLRLLIYMEKEMSFDMRNVILVVAVLIVTAAFQGILQPPGGFWQGNAEITNQTVSRINSANNRASLDVYDLRKQFQAKQLAGKVVMSNHNFNEFIAGNTVAFVFATMVIFSVLPGRPYILGLHISLVFLTYSYLNAIQIIAELSKSTKFLIIISWFVISVAFGVRIFLFIAKALFYDAWWLPRLSMLATNSLYQIPIKGVERIIQFGYRLKMQHR >CDP21938 pep supercontig:AUK_PRJEB4211_v1:scaffold_7997:603:3105:-1 gene:GSCOC_T00000079001 transcript:CDP21938 gene_biotype:protein_coding transcript_biotype:protein_coding IQFDLSGIIPQEIGNLSKLEVLKLGLNGLRGPIPLKLFNSSTVRFISLADNDLSGELPSTIGAFLPNLEELYLAINEFTGTILTSISNASRLRMLDLGENHFTGAIPHSLGNLRLLELLGIDENPLNGFLPKSIGNLSSSLESFHASSCGIKSEIPSSFGNLSNLVELFFDNNSLTGLIPTTIKWFLKLQRIDLSDNQILGAIPSEFCNLLNLGEFRLGQNMLSGMVPSCLGNVTTLRYVYLNSNNLSSMIPTSFWSLRDILEIDMSGNYLTGSLPAEIGNFKALVTLNLSNNQYLGGIPSTIGALQDLQELSLERNNLQGLIPDSIKNMLQLRYLDLSFNHLEGEIPNSLQVLSDLQYFNVSYNRLRGPIPRRGPFANFTNLSFLSNEALCGAPWLQPCTSTFEHESRTKRIVMIVLLASGSVILALVISIFLMRLKLRKNTLAPTQNLLPMATFERVSLHELRQITNGFSESNLLGSGSFGSVYKGIRENGMVWAIKVFDLQLEALVLEYMPNGSLEKWLHVNHHVLSIMQRLDIMIDVACGLEYLHYGYSTPIVHCDLKPSNILLDQDMVGHVCDFGIAKLLGDGESVVQTKTLATFGYIAPEYGLEGLVSTSCDVYSFGITLMETFTKRKPKDELFTEELSLRRWVQDCLPDSVIQVIDVDLLHPEDGLVQKKINCISSVLQLGLSCTTDAPQERIDMKEVLRALHKIKLQFIKDITP >CDP19951 pep supercontig:AUK_PRJEB4211_v1:scaffold_801:52615:53059:-1 gene:GSCOC_T00006567001 transcript:CDP19951 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLVTAKTEEKAKELATEAMKVEVKTKEELKPREQHSAVITIPRFDYNAPLLSSVIPTPDSSSPAPSRGRRVQQKKPCQFLKR >CDP19950 pep supercontig:AUK_PRJEB4211_v1:scaffold_801:21711:22532:1 gene:GSCOC_T00006565001 transcript:CDP19950 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSKFLITGGTGNIGKYLVEASAKAGHPTFALVRESTISDPKRAAIIESFNSLGVIFLHGDLHNHQQLVNAIKQVDIVISAVGGDLVAHQVKIIEAIKEAGNIKASTIQFLPSEFGVDVDRANAVEPAASLNRTKVEIRRAIEAEGIPYTYLVSNGFAGYLNYILNNFGDSFSASPLSQAHSIDFDLSLSQSPITLRILANKNPLVP >CDP19948 pep supercontig:AUK_PRJEB4211_v1:scaffold_801:185:961:-1 gene:GSCOC_T00006563001 transcript:CDP19948 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLHHPFFLYIVDLIGSSNFIYMNYLNGKACLHLEGVKCSNQLSVLLPNCSTFCLHYFRSLSGLNAEGFEILDANMSAKGMRISVSTEEELGSSMERKDAADDFGEPGNSLMAVWLLYLLVLSQRLHN >CDP19949 pep supercontig:AUK_PRJEB4211_v1:scaffold_801:15118:17885:1 gene:GSCOC_T00006564001 transcript:CDP19949 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVAGLLINQLSTLLSQEITLLGGLKSDVQFIKDELESMKAFLGEAEAKEGNDSQLRAWLKQVRERYQSLYGTQERGFSSSRLVNADFDFRVQSLFIEEAQLVGIAKPKAELISKILDDHSQLKVVSVVGMGGLGKTTLVKKVYDDAAVKKQFQSHAWITVSQNFQFSDIIKNLIQQLYNEIRRPVPPEVESMNDIMLSEFVRDFLREKRYILVLDDVGEILDLQHSVSPKLEFLTLLFLKGRLERVPQWVTSLHSLRILWLANSRLREDENVIGSLRHLPNLVSLGLYVAYEGETICFKVGGFRKLQRLQLMQLTRLKWVRVEEGSMPSLRSLRLGACKLMQELPSGIQNLTRLEYLGFYEMSDELVHKVQNLDKQSEDYQTISHIPQVAIGHWIDGRWEGTFL >CDP21939 pep supercontig:AUK_PRJEB4211_v1:scaffold_8017:445:1049:1 gene:GSCOC_T00005152001 transcript:CDP21939 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKYYIRPLVRSLLVSQSCVFLLQIYQWLPAAHQYLQQLAWYSSTPQKQKLSSHQQQPGSWSWVRGY >CDP21940 pep supercontig:AUK_PRJEB4211_v1:scaffold_8018:2:2231:1 gene:GSCOC_T00003357001 transcript:CDP21940 gene_biotype:protein_coding transcript_biotype:protein_coding DLMLNGAYFTPSRAGASASYARASSLGAKSSSMVASMTSGAGVLNCHKNKYKKQNGLLPCILRRSPLNPFANEVTKRVETVEHQWKNWNWRSEGDLMLTGAYFTPSGAGASASYARASSLGVKSSSMVASMTSGAGVLNCHKNKYKKQNGLLPYILRVTKRVETAEYQWKNWNWRSEGDLMLNGAYFTPSGAGASASYARASCLGAKSSSMVASMTYGAGVLNCRRGRAC >CDP21941 pep supercontig:AUK_PRJEB4211_v1:scaffold_8029:1872:2930:1 gene:GSCOC_T00008477001 transcript:CDP21941 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVISATIQVTLETAVSLATDRIGMLVGFKKDVASMTRSLRFINALLADAVERQQNQDRGVQEWLKSLEEVAYDAGNVLDELNYESLRHQVESRNQHKRKVCCFFSFSNINLAFRWRMASKVRGIKLKLNEINQEASDLGLVGRAVMTAALPAAADAGDKRNRQTDSVVAPMIGRADDESKIVKMLLSPAEKVVSCLSITGMGGLGKTTLAKLIYNNKQIDGHFDIKIWVCVSKKVPIEELFKLILVQLTKEKVEVDDRNVIVGKIRNQLEGKRYFLVLDDVWDDNQSLWDDFFTTLKGLNPTNVSWCLVTTRLGPLAHSVSRVLRMMENECFPLGKLPDDHCWSIVKEK >CDP19952 pep supercontig:AUK_PRJEB4211_v1:scaffold_803:298:2753:1 gene:GSCOC_T00010016001 transcript:CDP19952 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDKFGNLYFVRLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIIQFHVGDVVTCLQKASLIPGGGEGLVYGTVMGSVGALLPFTSRDDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPVDMQRKIADELDRTPAEILKKLEEIRNKII >CDP19959 pep supercontig:AUK_PRJEB4211_v1:scaffold_803:50030:51758:1 gene:GSCOC_T00010024001 transcript:CDP19959 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTALYLLCFLYLTYYLYKIALQRTNQCCYMLGYECYKATEDRKLDTELCASIILRNKTLGLEEYRFLLQTIVNSGIGENTYAPDNVIQGKEERPELIDSLTEMDQIFFNTLDSLFAKSGISPQEIDILVVNVSLLSCVPSLAARVINRYKMRCDVKAFNLSGMGCSASLVAIDLVQHLFKSYKNAYAIVVSTEALGANWYCGREKSMMLSNCLFRSGGCSMLFTNNKALKHRAILKLKYMVRTHYGSNDEAYECCIQVEDGQGYRGFRLTKKLVKAAAQSFIINLRVLGPKMLPLWEIIRYIVVSFQQRRKSKSASLDQGLMAAIPNLKTGADHFCIHPGGKAVIDGVGKSLGLTDYDLEPARMTLHRYGNTSAGGLWYVLGYMEAKKRLKKGDRIIMISFGAGFKCNNCVWEVMKDMTDRNVWEDCIDEYPPDSLGNPFMEKYGWINDAALSFIRMEDYRSIS >CDP19955 pep supercontig:AUK_PRJEB4211_v1:scaffold_803:22314:24956:1 gene:GSCOC_T00010019001 transcript:CDP19955 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLALKDLFWHCDLIEINGTARIKVHELRNKSKTELLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKNKKYLPLDLRPKKTRAIRRRLTKHQASLKTERQKKKEMYFPVRKYAIKV >CDP19953 pep supercontig:AUK_PRJEB4211_v1:scaffold_803:2969:6348:1 gene:GSCOC_T00010017001 transcript:CDP19953 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAAALTHNSRVFFSKLLDCTLQRNLRIGQYLHAHLIKAGISSSCTFLANNLVNFYSKCHRLQEAYLVFQEIQNKDVVSWNCLINGYSQLGSRDSSLSVLKLFIQMRHRNFLPDPHTFSGIFTALSILENPIVGKQVHVLVVKAADNSDVFVNSSLLNMYCKLGIVEEARKLFDEMPNRNSVSWATMISGYATQRLAKEACEVFKLLFSGGQTEDLNEFAFTSVLSAFTLPEFIDIGKQIHGLSVKLDLFSIVSVDNAIVTMYAKCGSLDDAVLAFKFSSDKNSITWSAMITGYAQTGNGDKALKLFSDMHFYGMKPSEYTLVGVLNACSDVEAACHGKQVHGYLLKLGYESHMYIMTALVDMYAKCGNIEDAQKGFEYLQEPDIVLWTSMIGGYVQNGDNESAINLYCQMQMKNIVPNELTMASILKACSGLAALEQGKQMHAQSVKYGFSLEVPIGSALATMYAKCGNLEDGNIVFWRMPARDVVSWNAMISGHSQNGRGAEALELFEEMRMEGTEPDYVTFVNVLSACSHMGLVDEGWGYFRMMFDEFGLMPGVDHYACMVDILGRAGRLNEAKEFIESANIDHGLALWRILLSACRNYRNYELGAYAGEKLMELGSQESSTYVLLSSIYSALGRLNEVERVRRMMNFRGVSKEPGCSWIQLKNQVHVFVVGDLLHPQIEKIKRELWRLIKLMKDEGYQSVSEDIPHSI >CDP19957 pep supercontig:AUK_PRJEB4211_v1:scaffold_803:34264:37560:-1 gene:GSCOC_T00010021001 transcript:CDP19957 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPYADADSSLRALAGRAEGFGRFSVGGLHGPVYYVTSLADDGPGSLRDGCRRKEPLWIVFAVSGTIHLSSYLNVSSYKTVDGRGQRIKLTGKGLRLKECEHIIICNLEFEGGRGHDVDGIQIKPNSRHIWIDRCSLRDYDDGLIDITRQSTDITISRCNFTQHDKTMLIGADPSHVGDRCIRVTIHHCFFDGTRQRHPRVRFGKVHLYNNYTRNWGIYAVCASVESQVYSQCNIYEAGQKKKAFEYYTEKAADKQEAKSGLIRSEGDLFLSGAQAFLVAGCSEESMFHPSEFYPTWTLEPASDSLKAVLQICTGWQSITLPAEESSCRAATA >CDP19958 pep supercontig:AUK_PRJEB4211_v1:scaffold_803:43941:45356:1 gene:GSCOC_T00010022001 transcript:CDP19958 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTAICILCFLYLMHHLYKLFLRITNQRFYMIAYQCYKPAEDRKLTTDLCASIILRNKNLGLDDYRFLLQTMVNSGIGENTYAPKNIILGKEVHPELIDSLSEIDEIFFDTLDKLFANSGISPQEIDILVVNVSLLSSVPSLTSRIINHYKMRPDIKAFNLSGMGCSASLIAIDLVKHLFTTHSNSFAIVFSTDALGAKWVFQDLAILKLKYTIRTHFGANDEAYNCGIDMEDNQGYPGFRLALTKELVKAAAQSNLRVLVPKLLPLREVILFVIMVSYRKITKTKSSSLAAAPNMKTGVEHFCIHPGAKAVVEGFGKSLGLDEYDIEPSRMTLHRFGNTAAGSIWYVLGYMEAKKRLKKGDRILMITFGAGLKCNTCVWEVMRDMEGSNVWEDCIDNYPPKSLVNHFMEKFAWLNDESMSFLSLDDIREKISFEIKASRC >CDP19954 pep supercontig:AUK_PRJEB4211_v1:scaffold_803:6447:9382:-1 gene:GSCOC_T00010018001 transcript:CDP19954 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKQMWELRSDKVPCFSRIFIGFSHLTFMANEIDNVRYEEEFILNSRGLKLFTCRWLPKHCEPKALIFLCHGYAMECSISMKDAGIRLAKAGFAVHGIDYEGHGKSSGIPGYVPDFDGLVNDCSDHFTDICERKENRKKMRILLGESMGGAVALLLHRKKPEYWDGAVLVAPMCKIADEMKPNPMVTTVLTKLCKFIPTWKIIPTQDVIDAAFRLPQIREEIRANPYCYKGRPRLKTGYHLLTVSMDLEKRLQEVSLPFLVLHGEDDKVTDPSVSRLLFETASSSDKTFKLYQGMWHALTYGELPENINIVFSDVINWLDERTSVGNSRLEIQQKLANDVLAEAKTEVCA >CDP19956 pep supercontig:AUK_PRJEB4211_v1:scaffold_803:31989:34082:1 gene:GSCOC_T00010020001 transcript:CDP19956 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIEIPRRETAALPATPRRAMARIFSPSNSTSPISSFSNHFTSPKSSNTTSEPMMDENIEKAEAMITKWDVKGSTFAKFTSLFQENRKEAKDFVNCVKDLRRAMHFLVSERASAGKLVRAQNLMQMSMQRLEKEFYQILSANREHLDPESVSSRSSTISRSLSATSDDEDDYSSDHEIQRVGDKISEVERLSVLAMSDLRLIADCMISTGYGKECLTIYKIIRRSIVDEGLYRLGIERYSSSQINKMNPSALEHQTKSWLNGIKIAVKTLLHGERFLCDHVFSSSEAIRESCFADIAKEGATNLFRFPELVAKSKRWPEKVFLLMDLYEAISDLWPETESIFSFESISSVKSQACSSLHKLSDSVRTLLTDFESSIQKNSSKVPVPGGAIHPLTISVLNYVSSLANYSGVLSDIIAGSASPTQLPLPESYFESPTVNEMPTSAVSVRLAWIILVLLCKLDSKANFYNDTALSYLFLANNLQFVGKKVCATSLKCLLGDDWTVKLDRKVKLYAANYELMAWNKVFSCLPEKSQEDMSPDTVKTYFRQFKAAFDEAYRKQKSWVVPDGKFRDDIKLSIKSKLVPVYREFYNTHIEVLKGDRTLKLVRFSPDNLGNYLSDILQGTAVLESSSSSLSTSRASRWLP >CDP21942 pep supercontig:AUK_PRJEB4211_v1:scaffold_8030:2:2629:1 gene:GSCOC_T00009218001 transcript:CDP21942 gene_biotype:protein_coding transcript_biotype:protein_coding QTHLFGSSSIIACSPSANKSILQSDQVFAMGWPGTKITGNKFLIALEGSSHARIRGLVVKALNQPDALRRIAIMVQPGIVTALQQWSKEGRVVVFNKAKKVTFENIGKYFCGLEPGPHLDTLDHLFAGTAYHHALQCRKKGNTFFTDVINKRKYEGDAARAEYDLLDQLLHLKDDDGKQLQDTEVLDNIVGLIIAGCESTSLSIMWVVYYLAKYPNVLKKLQEEHKNLGRNGDFITRDDIVNLQYTNKVCQFKDYMTKLEKPMLLNY >CDP21943 pep supercontig:AUK_PRJEB4211_v1:scaffold_8032:3:1749:1 gene:GSCOC_T00003757001 transcript:CDP21943 gene_biotype:protein_coding transcript_biotype:protein_coding NTHYLFKVPVFYEYFTFKHLIYDKYINVSKSGKGQRNLSLELLIFEVSNLLYFSSCYVIFIITGAINQLSKNLACEWAKDKIRVNTVAPHGVRTTRPKLEDYDETIAQQMRPIMSRTPLRPLGEPNEVSPLVAFLNLPVASYITGQVIHVDGGYTAGSY >CDP21944 pep supercontig:AUK_PRJEB4211_v1:scaffold_8059:1725:2736:-1 gene:GSCOC_T00004589001 transcript:CDP21944 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIVALIETISSMLNAIAMAKELYFNHNGSPLEKLLACVDFVAKVVGVTSGPKGRILVLQIKLHPKIVKDTESVLNRLIGGCFGVCGS >CDP19962 pep supercontig:AUK_PRJEB4211_v1:scaffold_806:38044:46064:-1 gene:GSCOC_T00004669001 transcript:CDP19962 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNMSPMQYIYNHGGPWPPSAPLGSIIGYAGHYMPQLSQLILDNKKITNQTVINLKGIAMQKSFHAHTSFCYSTAVVYRKSTNWNILNCSGDTDIVVSATTTRYVLNKLGLSVKTPWYAYISYISFLVERILYSMLVQVGGYAVEYDNLTFVTVRGAGHFVPSRQHARALCLFSSFLDGNLPPSYPNIPKMKGALVLYLTLICLVASATQCYGEHGYYGYDPLAKFFKAPSLRKSVNHVNKELDSEYSPVYIGPQDGLKAADKITALPGEPKGVNFDQYSGYVTVDPKAGRALFYYFAESQNPSTKPLVLWLNGGPGCSSLGAGAMNELGPFRVTKGGKMLWKNPYAWNNVANIIFLESPAGVGFSYSNTSSDYITGDTKSAADAYTFLVNWLERFPEYKTRDFLMTGESYAGHYVPQLAQLILHNNKITNHTAINLKGVAIGNGYYDIEAQANGSYDYYWTHALISDEIHHGIVSNCNFSSADPPTEACQAYQSQANSALGNIDNDNIYAPLCSSNTPPWINAYDPCSFNYSYTYLNTPAVQKSLHANTTGIPGPWETCNGYIGSNWDDEPDTVLPLIKELTSSGISVWLYSGDTDSVCSVTTTRYALNKLGLSVKTPWYAWYTQDEVGGYAVEYENLTFVTVRGAGHLVPSYQPARALTLFASFLDRKLPPSNHS >CDP19960 pep supercontig:AUK_PRJEB4211_v1:scaffold_806:14965:19186:-1 gene:GSCOC_T00004665001 transcript:CDP19960 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSIISKLCLWFQLFFSSFLVYMLDYIYRENSHCCHWKHCISCTFSYLCWLWFLLLVIFCVTTMLPGGEKIRQWKHWENSIRSEMSQPHSDHAIHIHHHRIFKERAGRYWRKFAVVSWMVSFFKQFYGSVTKSDYFALRRGFIRVQLSINIALIQTCNFWCMSNSSLLLYFILVMTTFGKFILILPFCAWLIAAGWHSYFWLSFLPLVLLLLVGAKLEHIITELAQEVAEKRNEEADATPQPVKVIGWLANLRIASLVLYLIHFILFQNSFEICFSFLGLGK >CDP19963 pep supercontig:AUK_PRJEB4211_v1:scaffold_806:46193:51724:-1 gene:GSCOC_T00004670001 transcript:CDP19963 gene_biotype:protein_coding transcript_biotype:protein_coding VQYCYISLVCLVVSATQCCGGLGHEYRYDDPLAQFVKARGLRKSINHVSDDLVNEYSPVYVGPQDGLKAADKITTLPGESNDLNFDQYSGYTIVDHETGRALFYYFAESQNFSTKPLVLWLNGGKFSPGCSSLGDGAMTELGPFRVSKGGKTLWKNSYAWSMANALFLEFPAGVGFSYSNTSFDYVTGDTKTDKDSYTFLVNNWLERSPEYKTRDFLIRGKAMPSDGARNFFLGGPKFFPNKIILIYYVQNNFLLFKYMTSKNIKY >CDP19961 pep supercontig:AUK_PRJEB4211_v1:scaffold_806:30321:32013:-1 gene:GSCOC_T00004667001 transcript:CDP19961 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPRMSLFLESPVGGGFSYSNTSSDYITGATKTAADFYTFLVNWLEIFPDTKPGDFVMGESYAGHYLHQLGQLILHNNKMTNHTVINLKGILAIIDIETQTRGSYEYYWAHALISNEFIRSGIRNKCQFPDD >CDP19967 pep supercontig:AUK_PRJEB4211_v1:scaffold_807:45396:50238:1 gene:GSCOC_T00000560001 transcript:CDP19967 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIHYVFLINFSLVSLGMMAAGNSNVTTDQLALLALRDRMINSEPREILAKNWSITSSVCDWIGVTCGSRHRRVTALNISKMNLTGTLPPQLGNLSFLVSLNISRNNFHGELPPELLPSWFGYLHKLQFLSLGNNSFSGSIPPSISNMSKLETLCLSFNSIEGTVPTEFQNLHNLKTLVVEYNPLSGPLPLHVFNISSLENIAFWNNNLYGILPDDICQRLKKLTWLNLAYNNLIGRIPSTVSQCSQLRYLSLSGNHFTGPIPMGIGNLTMLEQIHLQMNNLTGKMHYSIIDLPAFATIILFSSWIVGLLFFTPTIVSSFCLGIHFLITWGTDRHLFA >CDP19965 pep supercontig:AUK_PRJEB4211_v1:scaffold_807:12326:13824:-1 gene:GSCOC_T00000556001 transcript:CDP19965 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLRLLGLTYNKLSGRLPSSMGYGLIKLEELSLYGNEFSGPIPSSLGNLRLLAWLKFYAYEWGIKGTIPNAIGNLSNLLSLGLGGNKLTGTIPVGLKYLQKLQAFDFSGNQLSGPIPGCLCKLNRLYEVHLEQNRFHGSIPSCLSNVSSLRGIFFDGNLLNSSIPASFWNLTDLLNSPWIHKSSQKKVFHMLGILSGIAASVIAVTTVAILLLRCRRKDEVSTNTNLLSMGLPKRISYYELVQATNGYHESNLLGKGSFGTVYKGILTDGTVVAVKVLLP >CDP19964 pep supercontig:AUK_PRJEB4211_v1:scaffold_807:558:11206:-1 gene:GSCOC_T00000555001 transcript:CDP19964 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLKLGRQREMNMCSHGLAKLAINLIQETRGHLGLTGTIPPQLGNPSFLVSLDMSWNNFQGELPHELTHLRRLRVLNLTDNKLGGSIPSWVGSLQKLHYFSLKNNSFAGSIPPSISNMSNLERLILSIENFNRELQSAFRSFAGGDDQYFLIGDSTRAALLGFNAFAGVLPAQLTQCSKLQNLSIYSNKFSGVIPEGFGNLTMLDELDLGSNELSGSIPPKLGHLKLMELLSLSNNSLTGSIPTQIFNISSLQVLDLMNNSFSGNIPSIVGYGLMNLEELYLNVNKFDGVIPDSISNVSQLSILQLVGNKFSGPIPNSLGDLRLLTSLDLAGNLTGAEPQTEDLTLRFNFLRSKPDFISHLTNCSYLKDLSIGDNPLHGFLPISVGNLSTSLERLYAYSCEIKGKIPEEIGNLSNLWILTGVEPVQ >CDP19966 pep supercontig:AUK_PRJEB4211_v1:scaffold_807:39878:42213:-1 gene:GSCOC_T00000559001 transcript:CDP19966 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHHLILFNSLFHFSSKKPSKIFSQDNSLLIPIGNNQDEPEIQPAIQNTTIYLRYIYLSSNKFNSAVPSSLGSLGDLLHLDLSSNYLSGSLPSEIGSLKAATLLNVSMNQISGIIPSTIGGMQDVIDLSLAFNRFEGPIPRSIRSIGLSCTILNSMFYYEKWTIPMEIGNLNKLKILAIANSQLFGSLPLGMFNLTALQELYLQNNNLNGTILTPLFELKSDNQIKSYIELII >CDP21945 pep supercontig:AUK_PRJEB4211_v1:scaffold_8081:2:2916:-1 gene:GSCOC_T00005667001 transcript:CDP21945 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKSVYNNTKIDENFGIKSWVCVAREIKIVELFKLILESLTRTKVEVDGRDAIVQEIRGKLGEKRFLLVLDDVWNCEQEFWSDFFTTLLGLSTTKGSWCALTTRLEPVANAVPRHLQMNDGPYFLGKLSDDACWSILEKLVVAGEEVPNELEALKKQILKKCDGLPLAAKLIGGLLLNSGLEKWHSIVQESLLNECQSEIEQILKVSFDHLSPASVKKCFAYCSIFPQDTDLEQDLLIELWMAEGFVQPDRQNQRLMEEIGGDYLTILLQNSLLEKVEESWRTYYKMHDLVHDFAKSVLNPKTSSQDRYLALHSYEEMAENVKRNKAASIRSLFLHLGGGISAEMDKLSRFKHLHVLRLSAYGVKFLPSSIGKLLHLRLLDIKSSGIRSLSESLCKLYNLQTLTIDELEGGFPKRMSDLISLRHLNYYHGDAEFKMPMQMGRLTCLQTLEFFNVSQERGRGIEELGTLKYLKGWLRIRNLGLVKGKDAAKQAKLFEKPDLSYLAFEWESGDRESDNREEDVLEGLQPHPNLEKLRIHSFMGNKFPQWLINLSKLETLWIDDCKRCSELPSLGQLPSLKSLDLISLDNIRFIGDEFYGSSTRRRKFFPALEELYVAGMGNLVEWKGADQVRSTVGEAEADVFPMLRNFHIQNCPQLTTLACSGKSLDVRNCDNLTSIKRGYGTASVWIESCDNLRELPDLDLFGSSLQRLNIKWCPRLISLGVNGQKCPLPCLEKLIIYSCEGLTTISDKMFQSCRSLRSLSVKCCPNLVSFSLNLQETPSLEEFNLFRCPKLIPHRFKGFAFATSLRELSIKRMPHTESLPHQLQYLTTLTSLSLDSFGGIEVLPDWIGNLVSLETLELWFCQKLQSLPSEAAMRRLTKLTHVEVHVYFKLFCKIFLSK >CDP19972 pep supercontig:AUK_PRJEB4211_v1:scaffold_810:50065:52370:1 gene:GSCOC_T00004713001 transcript:CDP19972 gene_biotype:protein_coding transcript_biotype:protein_coding MGALINGSDFDSEEITKSLVEVAHDQQEPLAIQAPIVSSYNERIRPLLDCVDRLRSLKVMQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQSHPSPQPELSLEFNGRVVSTDEEHIAEAISDATDEIAGRSKGISNVPLTLTVKKDGVPDLTMVDLPGITRVPVHGQPEDIYEQISAIIMEYIKPEESIILNVLSASVDFSTCESIRMSQKVDKTGERTLAVVTKADKAPEGLMEKVTADDVNIGLGYVCVRNRIGDESYEEARAEEAMLFQTHPLLSKINKSIVGIPVLAQKLVQIQATIISKCLPDIVRKINDKLAASVAELNKLPQKLNSVPEAVTAFMRIISSAKESLRKILIRGEFDEYPDEKEMHCTARLATMLSKYSDELQSISIKSEQKQNFLEEEISILEEANGISLPNFLPRAAFLALLQKRVKAISAAPAEFVDKFWEYLQGVLAPVLTKHSDNYPPIQSSIRRATQNLVCKKREQSVDWVLQIIDMEKLTDYTCDPEYSATWHKLMARQDTFMELINDHWKPTSMEIDGFGVVEIGHLRNHVLVARQAFDLKMRMTAYWKIVLRRLVDCMALHLLFSIQNLVNKDMEAEMVNDLMGPYGGGVERMLEESPSVADKRCRLNRSIKLLRESKETVAGIMDGIAAYGD >CDP19969 pep supercontig:AUK_PRJEB4211_v1:scaffold_810:17006:17095:-1 gene:GSCOC_T00004708001 transcript:CDP19969 gene_biotype:protein_coding transcript_biotype:protein_coding MILKFESNGSLFKIVLVGDSWCWKIKYTF >CDP19971 pep supercontig:AUK_PRJEB4211_v1:scaffold_810:40489:42923:1 gene:GSCOC_T00004712001 transcript:CDP19971 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGEAGNADSSAESSQEEKAKSSAESSQKARSRGRFIRHLSRARRISLSSQPPVPLPLEPASKLSPSIPETHHSQAAAIFIANNIDILQQILLYLPPKSLLRFQCVSKKWLSIISDPAFRRLHSWFYPTTVGTSSSASAATEALFVFPWTYKKTPQELNFISLSDGYVNPMAKMVSHLNNFFDNGAIVDFHSCNGLLALVFKLDDCDDHREFVVYNPTTCQHRLIPKFNGSKPNDPQLNDPHFNDPYYDPYFDDPHFHHFQITRRFEALNIVFDPSKSDHFKLVYIWRDDYHGDTWDRCGFAVYASETGIWRESMDTLEMDPPDLPTTYFGNGVLWNGDLHWLNDFKYMICFDLDKECLHRDMPPLPVEFSEWEFWYFGECNGCLYVIEVNNPFGLLFDVFEMERDYSKWVLKYHIDLAPLRILYPSMVVEFVDRADRRQCTFGPFGMLFLLEDEKEKKASLVTAFPDKVMLYKINDAVVTEVAEVGPVDFGYGWKSHLNQWKDIYRHMETLAYV >CDP19970 pep supercontig:AUK_PRJEB4211_v1:scaffold_810:30563:31260:-1 gene:GSCOC_T00004711001 transcript:CDP19970 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVDHEYDYLFKIVLIGKSGVGKSNLLSRFTKQKRNDKNQLSLPMNFQIKKLNS >CDP19977 pep supercontig:AUK_PRJEB4211_v1:scaffold_811:43561:46342:1 gene:GSCOC_T00009213001 transcript:CDP19977 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSRTIKVPDGFDYDLYNRNDINKMLGPRASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNILSPSTPYFFNTLYDPFQEGNDFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVNTVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGEGQPIGRYDDMWAGWCTKVICDHLGLGIKTGLPYIWHSKASNPFVNLKKEYNGIYWQEEIIPFFQSATLSKDSTTVQKCYVELSKQVKEKLGKIDPYFTKLADAMVTWIEAWDELNPATDTAKLPNGSAK >CDP19973 pep supercontig:AUK_PRJEB4211_v1:scaffold_811:8397:11038:1 gene:GSCOC_T00009209001 transcript:CDP19973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COBRA [Source:Projected from Arabidopsis thaliana (AT5G60920) UniProtKB/Swiss-Prot;Acc:Q94KT8] MVSSCLRSLSKFTACAIVVLLSLSCFSFTTTEAYDALDPNGNITIKWDVITWTPDGYVAVVTMFNFQQYRRIQAPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSRFKLNTPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLNPWVQDPATAVSAFQVSVGSSGTTNKTVRMPKNFTLKAPGPGYTCGPAKVGKPTKFFTADGRRTTQAMMTWNVTCQNWESRSLNHQVKG >CDP19975 pep supercontig:AUK_PRJEB4211_v1:scaffold_811:17850:20579:1 gene:GSCOC_T00009211001 transcript:CDP19975 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFRRVFGLMVFSVLMIEVANAACSNGTCKILDECSSDADCGAGLYCFSCLAKSRCVRSTATDQFKLVNNSLPFNKYVFLTTHNSFAIEGEPSHTGVPRITFNNQEDNNGVRALMLDTYDFEGDVWLCHSFKGQCHDYTAFEPATDTLREIEAFLSANPSEIVTVILEDYVQAPSGLTKVFTDAGLMKYWLPVSKMPQNGADWPLVSDMVANNQRLLVFTSVRSKEQSEGIAYQWNYMVENQYGDDGMKAGDCFNRAESSPLNDTTKSLVLVNYFRSVPVKPLACVQNSGNLIDMLQTCHAASANRWANFVAVDYYKRSMGGGTFQAVDTCNGELLCGCNNVHSCVAP >CDP19976 pep supercontig:AUK_PRJEB4211_v1:scaffold_811:36023:39449:1 gene:GSCOC_T00009212001 transcript:CDP19976 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLMVVLGVVFGLCILSTALLKWNEVKYRKKGLPPGTMGWPVFGETTEFLKQGPGFMKNQRSRYGSFFKSHILGCPTIVSMDPELNRYILVNEAKGLVPGYPQSMIDILGKSNIAAVHGSAHKHMRGALLSLISPTVIREHLLPTIDEFMRSHLSNWGDSVIDIQQKTKEMAFLSSLKQIAGIESGTIAQEFMPEFFMLVLGTLSLPINLPRTNYHRGLQARKNIVSLLRKLIEGRRASGKTSCDMLGFLMNDEVNKYKLTDEQMIDLIITILYSGYETVSTTSMMAVKYLHDHPKVVEEVRKEHMAIRERKRPEDPIDFDDYKSMRFTRAVIYETSRLATIVNGVLRKTTEDMQLNGFIIPKGWRIYVYTREINYDPCLYPDPLKFNPWRWLDKNLENKNYFLIFGGGTRQCPGKELGIAEISAFLHYFVTTYRWEEVGGDELMKFPRVEAPNGLHLKISTH >CDP19978 pep supercontig:AUK_PRJEB4211_v1:scaffold_811:47643:53108:-1 gene:GSCOC_T00009214001 transcript:CDP19978 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLPLLQTSAKNTNIRESFSFQHRPFSPLPKLQPTTSSSPPPQPPSEGAFNPRFSNFIFEATTFDIDMGASGEKTWDEEEQQKETSKAASLDLNDYTVIREGEAEILMHAKNEVFYNKTQVNNRDMSIAVLRAFIAKRKLEHEAMLSKRMKAVAKVSDKPVDKTEAPVESISEAEKSNGRYEASEEASEHEPCSTSEKLVDNIEEKSRGGLKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDKVSVEACQRNIKFNGSVACSKVESHLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQSVIDGGILMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLASIESHANRYKRYIVPVLSVQMDFYVRVFVRVYTSASAMKNTPLKLSYVYQCTGCDSFHLQAIGRTVSKNTSVRYLPGFGPVVPQECSDCGKKYNMGGPIWSAPIHDQEWVTCILEDVKSMKDHYPAYDRISAVLTTISEELSDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKTDAPMDVIWDIMRCWVKNHPVKAQPPDQAGSVILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKLRAGRQITSKHVSLLGVDAVNGASNHEEDSDEPAAKRKKAEDLTAS >CDP19974 pep supercontig:AUK_PRJEB4211_v1:scaffold_811:14363:15333:1 gene:GSCOC_T00009210001 transcript:CDP19974 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWIKPEVYPLMAAMSFVTGMCIFQLTRNVLLNPDVRVDKAHRKTAVLENQEEGEKYAQHSLRRFLRTRPPEIMPSINRFFAGNENMKGDQ >CDP21946 pep supercontig:AUK_PRJEB4211_v1:scaffold_8119:1199:2567:-1 gene:GSCOC_T00004174001 transcript:CDP21946 gene_biotype:protein_coding transcript_biotype:protein_coding NNNFTGEIAPWFGFLDKTSVSVRKNLLLFLIYQSFEILALSYNSISGKIPTEILNLSKLKYLGTPFNHLSSSIVAFSNHNISQEKLHHGLYHLTILPSTIFRISNLEFINLLGNQLSGNLPVCCYPKSLIQLHLSYNQLNSKFLSGLSQGIIFSLYILSNFEFAGERPKEIGNLQSLESLFMANNMLLGSMSMEIYNMSELREIGFGNNSLSGALPKCICDQLLELESLYLHRNNLDGQLPEN >CDP19979 pep supercontig:AUK_PRJEB4211_v1:scaffold_812:2017:2576:1 gene:GSCOC_T00007446001 transcript:CDP19979 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDKLDSLHIGRQVDGSVKTGCFDATWPGFVQVSKDIALGAAIYPISNPTGLPSQITIFIFKDPNTGNWWVNYGESQYRIMA >CDP21947 pep supercontig:AUK_PRJEB4211_v1:scaffold_8122:175:1723:1 gene:GSCOC_T00009620001 transcript:CDP21947 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSHNRISGSIPKSFDHCFSLISIDISYNQLEGPLPNISAFQKAPFDSLRNNKGLCGSVTGLKPCSQSTQKNTTTIFLLVVVVGIFIRARPHTRSLENKPQEFTRNMFSAWSFDGKMVYESIIDAIENFDPKYCIGVGAFGSVFRAELPNGQVVAVKKLHETDGGALRRPKDFANEIRALTNIRHRNIVKLYGFCSNVQHTFLVYEFLEGGSLMHLFSNDETAAKCEWIKRVSIVKDVANALSYMHQNCSPSIIHRDISSKNILLDSEYQAHISDFGTARILRSDSSHWTSFAGTYGYAAPELAFTMEVNEKCDVYSFGVLVLEVIMGKHPGDFILSTLSASSSTSTAYDILLKDIVDPRLSSPSKQESKQVTLVAKLALSCIEPNPLLRPTMKQVCVQLLKEIPSQFNVFPIVTIGQLLDLQMTNV >CDP19980 pep supercontig:AUK_PRJEB4211_v1:scaffold_814:16979:17317:-1 gene:GSCOC_T00005204001 transcript:CDP19980 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSELACTYACLALHDDGIPVTAEKIATLVKAANVTVESYWPSLFAKLCEKRNIEDLIVNVGCGGGAAVAVAAPGAGAGSAPAAAAAPATEEKKEEPKEESDEDMGFSLFD >CDP19981 pep supercontig:AUK_PRJEB4211_v1:scaffold_814:42687:48371:1 gene:GSCOC_T00005205001 transcript:CDP19981 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLGLASRGQKLRLLTNHFNVKVARNEDYFYHYSVSISYEDGHPVDAKGVGRRILDKVHETYASELAGKDFAYDGEKSLFTIGSLPRNKLEFTVVLDELASNRNVGSGSPEGNSSPNESDKKRSKRQGRSKTYKVAISYAAKIPVQAIANVLNGKETEHFQEAVRVLDIILRQHAAKQGCLLVRQSFFHNQPRNFTDIGGGVLGCRGFHSSFRATQGGLSLNIDVSTTMIVKPGPVIDFLLANQNVRDPHQLDWVKAKRMLKNLRITTKPSNAEQKITGLSDKICREQTFSLKQRNGDGETQPVELTVLEYFVDHRQIPLQYSGDFPCINVGKPKRPTFIPIELCSLVSLQRYTKSLSNLQRASLVEKSRQKPQERMRVLTDALSVSNYNADPLLGIAGISISNQFTSVEGRVLSAPKLKVGNGEDLLPRNGRWNFNNRKLVQPVKIERWALVNFSARCDIRRLCADLVKCGEMKGIFISNPFDIIEEGPQLRRAPAPVRVERMFETIKQRLPGPPQFLLCILPEKKNSDVYGPWKRKNLSDVGIVTQCIAPTKINDQYLTNVLLKINAKMGGINSLLNVEQSPSIPIVSKVPTIIIGMDVSHGSPGRADVPSIAAVVSSRQWPLISRYRAVVRTQSPKTEMIDSLCKKVSGKEDEGIFRELLLDFYTSSGQRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKLLDESWSPKFMVVVAQKNHHTKFFQANSPDNVPPGTVIDNGVCHPRSNDFYMCAHAGMIGTTRPTHYHVLYDELGFSADDLQELVHSLSYVYQRSTTAISIVAPICYAHLAASQMSQFIKFDELSETSSGHGGVSSVGSLSVPQLPKLHKDVCNSMFFC >CDP21948 pep supercontig:AUK_PRJEB4211_v1:scaffold_8140:2252:3147:1 gene:GSCOC_T00012899001 transcript:CDP21948 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASEASFNAVESPLLPTRAQKRCQVQKRWRVVSITVRSIRRFSSVKEEVVVNEGVELTPIVFDAQKRLRAFWTTLCSINAFCRAKDIAAENQSRLLDNPLPSPCDTVIDVMPERLSEPTPVKKIVTPECLSELARRKNSEQLHQLGGVPGVASYLKSNAESGIQGDDEEIARRRHNFGSNTIQKPTPKTLPIVLET >CDP21949 pep supercontig:AUK_PRJEB4211_v1:scaffold_8145:1276:3078:-1 gene:GSCOC_T00010960001 transcript:CDP21949 gene_biotype:protein_coding transcript_biotype:protein_coding GGISTDMNMLLRFKCLNVLRLSGYDVESLPSSIGKLLHLRLLDISSSGITSLPESLCKLYNLQTLTMRDGELEGGFPKRMSDLISLRHLNYYHGGAELKMLMQMGRLTCLQTLEFFNVSQKRGCGIEELGTLKYLKGSLSIRNLGLVKGKEAAKQAKLFEKPDLSYLAFRWESGDRESDNREEDVLEGLQPHPNLQGLKIYYFMGNKFPQWLINLSKLETLRIQKCKRCSELPSLGQLPSLKILYLIGLDNIRFIGDEFYGSSTRRRKFFPALEVLCVEYMGNLAEWKGADQVRSTVGEAEADVFPMLRYFRIRRCPQLTTLPCSCKSLDVESCDNLTSIKMGYGTASVEALSIDSCDNLKELPDLDLFGSSLQRLTILFCPRLISLGVNGQKCPLPCLEELIIHNCEGLTTISDKMFQSCRSLRSLSVRWCRNLVSFSLNLQETPSLERFILKECPKLIPHRFNGFAFATSLKELSINSPFSSDDSSVDDFDWPGLENASTLRKLRLEGLPHTESLPHQLQCLTTLTSLSLHNFGGIEVLPDWIGNLVSLETLQLSNCENLRSLPLTKLTCVEVDDCPLLGQ >CDP19982 pep supercontig:AUK_PRJEB4211_v1:scaffold_815:28556:29674:-1 gene:GSCOC_T00003150001 transcript:CDP19982 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRQTLLEIWRDGGIKGLFTGVGPRVARAGPSVGIVVSFYEVVKYALQQTFDTVKEKFQHLTRFLTLTISFEDPFHLMGW >CDP19987 pep supercontig:AUK_PRJEB4211_v1:scaffold_816:29349:30779:1 gene:GSCOC_T00010612001 transcript:CDP19987 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNSVGELTLPGFRFHPTEEELVSCYLKRIASGKKLPSDIIGFLNIYHHDPWELPGMAKMGEREWYFFVHRDRRHGHGGRPNRTTKDGFWKATGSDRQIRSTSEPKKVLGLKKILVFYKGRAPRGSRTDWVMNEFRLPDHPLPKEDVVLCKIYRKATSLKILEHKAAMEDVISKTLEPSLSSTQPQEGIPQENLAKSLAQNQSMTNLPLTKHEDDDLLVLNSPVKFGCLKYSNDSKELQALDVPKLSMDETADAFWTPMRSPWLENCSLDAFASLLNC >CDP19985 pep supercontig:AUK_PRJEB4211_v1:scaffold_816:16169:18262:1 gene:GSCOC_T00010610001 transcript:CDP19985 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNSLGELPLPGFRFHPTEEELLSFYLKRTASGRKIRSDVIGFLNIYQHDPWELPGMAKIGEREWYFFVHRDRRHGHGGRPNRTTKDGFWKATGSDRQIRSLSDPKKVLGLKKTLVFYKGRAPRGSRTDWIMNEYRLPDNHHHLPKEDVVLCKIYRKATSLKILEHRAAMEDIISKTVNPTLSSTDQSQEGKIPEENLGESFSQKQSTINLPMTKQEDDDLSVPNSSVKFGCLNYNCDLKELQVLDVPKFSMDATTDAFWTQMRSPWLDNWSPSVYANLLNCSPHC >CDP19984 pep supercontig:AUK_PRJEB4211_v1:scaffold_816:10392:13624:-1 gene:GSCOC_T00010609001 transcript:CDP19984 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFPPSMRGAKLPMNALINKTPSQPHPLTENFPYWADKKIPKKKPTKLPSEMTSQLPAITFNPPSLSEAKTLFNQVISTPGKTPLDLRFCNSVLQSFSSRATLQDSILFLNHMIKTHPSFSPDSSTYNVLLVQSCKANDFSISSVHQVLNYMSSQGFPPNKVSTDVAVRTLCSCGREEDAIELVKDLSGKNLVPDSYTYNFLVRQLVRNRELSTVNSFIKEMREGFGIQPDLVTYTIMIDNVCNRKNLREATRLLGVLSEEGYKPDCYVYNTIMKGHCMLSQSGEVLDVYEKMKEEGVEPDLVTYNTLIYGLSKSGRVKEAKKFLGIMTEMGHFPDAVTYTSLMNGMCREGDALGALALLGEMEAKGCNPNVCTYNTLLHGLCKARLLDKGIELYKVMQEGGMKLETGCYGTFVRALCRKGRVAEAYEVFDYAVESKSMTDVAAYSTLESTLKWLKKAREQGLAA >CDP19986 pep supercontig:AUK_PRJEB4211_v1:scaffold_816:24784:27270:-1 gene:GSCOC_T00010611001 transcript:CDP19986 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTFGILCEMIRDTGGLKATRNMSIEEIVAMFVYVLAHHKKSRTICGLFWRSRETVSQLFRCLRWTLIDVTPPTEQKSRYRMRKGSVATNVLGICCYYLVDAGYCNADGFLAPYRGQRYHLNEFNVMKKMENDEIVRGRGKNKCFWTGEEVKVLIESLQELACDPMFHAIVEMCKESGCSWNDAEKKISYEKQWYDDWCKTHKDAKGLWDVKFPYLGDLEIVYGRDRATGNVAEDFTQTVQDMEAVQNLEEGDEGLDAMSNSDNDKVEEDEVNSMEQSTQPSSTSTRNSKKQKKQSPPIANVSKKMKSASTTRGDLDASLQLLTSKFGDFVEGIQANFTTIAAAMSNEDKREQLVSDRRDQVVAELMKLALPSGDVMNAADILSEQISKLHVFYNLPAEMKRQYVINLLYPPSTR >CDP19988 pep supercontig:AUK_PRJEB4211_v1:scaffold_816:33900:35040:-1 gene:GSCOC_T00010613001 transcript:CDP19988 gene_biotype:protein_coding transcript_biotype:protein_coding TARKREIAAFLAQTSHETTGGWATAPDGPYAWGYCFKQEQGNPPDYCVANQQWPCAPGKKYYGRGPIQISYNYNYGPAGRAIGSDLLNNPDLVATDPTISFKTAFWFWMTPQSPKPSCHDVMTGRWTPSQADNAAGRRPGYGVVTNIINGGIECGKGSNPQMNDRIGFYKRYCDILGVSYGDNLDCASQRPFGS >CDP19983 pep supercontig:AUK_PRJEB4211_v1:scaffold_816:7981:8901:-1 gene:GSCOC_T00010608001 transcript:CDP19983 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSVSFGLQYTARSISLPSRLHPNAIRFEAELNKLKNWEASSISITEPLCEVAIQAGLVGLAELCNCTEDLMQSSTAQAALIQHQNGIVVEESLERSVELLDSCGIVRDLFFSVKEHVQELQSALRRKNGDASIGKDISRYLCFRKQVKKEVAKSLRSLKHMESRIGSSHDVLDIDHHLAMVIRVFREVTVITTSVLRSLLVFLSSPASARTKPSGWSLISKLMLTKSAVANKSHVLNNIECADLALTSLQGHIKNGGIKADVQLARKQLQMLDTSIEGLETGVEGLFRQLVRYRVTLLNMLIH >CDP19991 pep supercontig:AUK_PRJEB4211_v1:scaffold_817:51046:52534:1 gene:GSCOC_T00011143001 transcript:CDP19991 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKPLVSFKLENSRFNKQYLKNHISLSTSPKLENLVLLESSFKVLKVLRRHFSTNVSERHSNFGSKLVT >CDP19989 pep supercontig:AUK_PRJEB4211_v1:scaffold_817:3907:11909:1 gene:GSCOC_T00011140001 transcript:CDP19989 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLNSGFEMPIVGLGVWRMEGKDIRNLLINAVKIGYRHFDCAADYQNEREVGDALTEVFQSGLVKREDIFITTKASKGQPYFFHLWNSDHGHVVEACKNSLKKLCLDYLDLYLVHFPIATRHTGIGTTSSAIGEDGFLDIDTTVSLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYSKVKPAVNQIETHPYFQRESLVKFCHKHGICVTAHTPLGGAVANTEWFGSVSCLDDPVLKGIAEKYKKTVGQIVLRWGIQRNTVVIPKTSKLERLRENLKVFDFELAKEDMELIKSLDRNYRTNQPAKFWGVDLYA >CDP19990 pep supercontig:AUK_PRJEB4211_v1:scaffold_817:32414:35704:1 gene:GSCOC_T00011142001 transcript:CDP19990 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLNSGYEMPILGLGFFRMEGKNIKEILFNAIKVGYRHFDCAAKYQNEEELGEALEEAFRMGLVKREELFITTKLWNSDHDHVLEACKDSLKKLRLDYLDLYLIHFPVPTRHTGIGKPASVKGKDGVLDIDTNITLETVWRGMENLVSLGLVRSIGISNYSVLLTRDCLAYSKRDSLVKFCQKHGICVTAHTPLGGAQANTEMFGSVSCLDDPVLLGIAAKYKRSVAQIVLRWSIQRNAAVIPKTSKLERLQENFQALDFDLSEEDMELIKTIDRKARSNDPAKGGWNIDIYE >CDP21950 pep supercontig:AUK_PRJEB4211_v1:scaffold_8173:2387:2644:-1 gene:GSCOC_T00009127001 transcript:CDP21950 gene_biotype:protein_coding transcript_biotype:protein_coding EVASHQGNKGISSGNLRSEETPSFLSKDGGAERNQEVQKRACGDVLGWTVWGRKSNAIHVKGVTIMPKDISLLTFLQIFIAIPTI >CDP19993 pep supercontig:AUK_PRJEB4211_v1:scaffold_818:43313:44213:-1 gene:GSCOC_T00003652001 transcript:CDP19993 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSEVCKAFRLAFKKITKKTASTDHDGPVLSAHRKLVAEKLAEEEAERKVKGEAKKEKHLVGEKGHVKPANYSDSLEKHLIGVATRGVVKLFNAVNKAQNSQRGLNPSRSKDEKGR >CDP19994 pep supercontig:AUK_PRJEB4211_v1:scaffold_818:47625:50600:-1 gene:GSCOC_T00003654001 transcript:CDP19994 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYSMTAAKLSRSSNISDLEKNKQLVADFMDYLVPTIRELVESCASTLAPIMNQMLKLLEGLRFLTILLRHQEKFKELCHEMKNLIGIVACDAAIVIFSLFVNQIEEGLAKETDHALFHLLKVLKLIRAEFTQVYPLTSVSGFGFPRICELGSMDFLLRNLQELARSDEINGSNAFPVDKIQTIQEDFEFLRSFLEKIKEQRNQNEKLQSFWSRVMEVAYKAELVIDWTLVGDGCEYFLDDVARDINVMKIEAQEIYDSISYGGETTAGVTQTFTRMPSQFTVAAYNEDLVPLDDEVETITDSLTRGGSRQLDVVCIVGMPGLGKTTLANIVYNSPSVMSHFHKRAWFTVSQEYSMHNMLVQILDSIESGKLEQYRKMDEHDLAVKLKQVLLRNKYLLVLDDLWDDKAWNLLERSLPDDANGSRILITSRLQNLSLQFKPDSKVHPLRRLKDEESWNLLQKKLFGKEGCPPRLSGVASQIAKSCRGLPLMVVLVSGILANTAEDCWKEVTKSLTSSIVLDEESCMNTLELSYNHLSDDLKPCLLYFGAFQEDKNVPVRSLFWLWISEGFVRKTEEKSLEDVADDYLKDLVDRSLVMVSEQRTMGGAKACRVHDLVHEFCVKKAKEENFLHVLHSWNDHTGPSNPLRVCNRSVGNLRIWELVLKFPNVRSLLLFKEDDLGFWLPQLLRVLDLGELVFHAYFPVEVFLLAHLRYLALRTRGVNFIPAAIANLSRLQTFLLRGNAKDCLLPKTIWDIKTLRHLWTTYSSAGFIFPVENLEVPPGLFHLDTLSLAIDPSSQSLQKILTKLPSIRRLRCRETESTEKCTGDGILGFDCLSRLESLTLRSLVGYGFKFPLNLKKLTLEVTDQPWSEISTIGKLPKLEVLKLLFYSFLGEEWEVKEGEFPKLRVLKLSNLWDFRSWTASSDNFPRLEKLVVERCLKLEEVPSCLGECPTLEMIEVRGCRESVASSVKQIQQEQRDMGNEALKISIEH >CDP19992 pep supercontig:AUK_PRJEB4211_v1:scaffold_818:34561:36596:1 gene:GSCOC_T00003651001 transcript:CDP19992 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHNVTQEKNEKLQPLSPVTDGLEVLKDDNMAQAIKKVLEENFHSGEEMDSQALLFKNSWLEAEAKLCSISYRARFDRMKIEIEKLKSNQKKENAAALENMSTSSSHDLRISDMPPPKVDGSLQKTTICSSSLSSTSNPNDIEASVMTRFHILKCHDDSRSPNVVREDAVMVDDLCSDEMPFVKDQLLDGRLNVARAPNSQKKYDINQGQPDLNIGCSQNEAVKDDLSSNRNIDNVDAAIMTRFNILKCRDDLKGTNLVGGHAGLVDAVYSDIMRFSKDQSEDGGLNLAVEPDSLKTGDVNQGHVSFHVGGSGYELVRDFFPSIPDVPVNQSSAMHGRGNHFSLGFNDNCPSDWEHVLKDDVSWH >CDP19995 pep supercontig:AUK_PRJEB4211_v1:scaffold_819:3017:12098:1 gene:GSCOC_T00010679001 transcript:CDP19995 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFLFEPPSDEEIEYERDDSKDDSGEEDGEAENDAVNEEEDEISISPAKKNKKSKHSPWDFSSYSQSVADEHARRCTTSIDFKISQALLQQRSAPAPSPPIPTSDNDHDHDQDSDSQPDCQEDYREEDDDDMANSNAVSDKKPFFGSAEEVSYHANSFLELHLSRPLLRACEALGYTKPTPIQAACIPLALAGRDICGSAITGSGKTAAFALPTLERLHFRPKNRPATRVLILTPTRELAVQVHSMIEKLAQFMTDIRCCLVVGGLSLKTQEAALRSKPDIVVATPGRMIDHLRNSLSIDLDELAVLILDEADRLLELGFSAEIRELVRLCPKRRQTMLFSATMTEEVDGLIKLSLNKPLRLSADPSTKRPATLTEEVVRIRRMREGNQEAVLLALCSRTFTSKVIIFSGTKQAAHRLKILFGLAGLKAAELHGNLTQAQRLDALELFRKQQVDFLIATDVAARGLDIIGVQTVVNFACPRDLTSYVHRVGRTARAGREGYAVTFVTDNDRSLLKAIVKRAGSRLKSRIVAEQSTTKWSQMIEQMEDQVAEILQEEREEMALRKAEMEAAKAENMIAHKDEIYSRPKRTWFATEKEKKLVAKAAKELLQNREHPGNELVSAEQAEDLKMKEKRKRDREKNLPRKKRRKLEAAREKLEDDSEVLQGIDKSKKENAGISLVDLAYRRAKAVKAVKRATDAGKITRRVEKKSKHPSQKTQSRMEEMRELFQSDMSEKKQKRNPQGGGKKKSSFKSKSRYKRR >CDP19996 pep supercontig:AUK_PRJEB4211_v1:scaffold_819:15921:17192:-1 gene:GSCOC_T00010681001 transcript:CDP19996 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKKERIVQFLAKCSLQLEMLRSANSFLKMKEQSKKETNFFHLALKVCFDVLVFSSFFS >CDP19997 pep supercontig:AUK_PRJEB4211_v1:scaffold_819:44459:50853:1 gene:GSCOC_T00010682001 transcript:CDP19997 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIMVPNLIRKSRFVKLSFCFFFHLLPNSKVPFFSNVICSKILSCMRKFHASKGMGVLEKMSENLESTEAKRDSLRKSIMMVMLDCRDFEKHLETSQKCLIECLSELESREKHLDSARDSLSQNFEELGLKVKEVEDKEKDLILLQQKGMEELELKEKEWALKKEEFDEEFRKKEVKLSEKEKAAEGLFGKLENEKNEMVALEILMEERLRELWLKEEGLEQWSKEIEKRESELGEREKNVMECEKRVGSEELAAMRVEREREIEMKEKEVRLMREDLEFKEKAIEFERAVNEKRQKELDIREKQLEEREKQYEITQKCLQEVHLKEKECLLEKELLQKRSKELEVKRKELEDRIKGIKELEMREKQLEKKEEEVEVRERQLKRKREEVEVMEKQLGKKKKEEYQLEKELLEKGNRELELKKKEFEDRIKEYDLREKELELKEEKLADKLHACLKTNPVETVVDERAISNSTSPQLTFAVELDQLDIHMFLNEREMNLESPDEVFKDLQSSGDPVYFVLTAVEALYPPYLRKVDMVFEGRVASCCILLLEQLLRLSPQIQPSAKSGALKLASEWKAIIETGNVLEVLGFLYLLASFDLASAFDVKEVMNFVEIVAQNQKTPELCRLLGLTDKIPGFIIGLTKKKRYLLAFEYVYEFNLVDKIPPIALVKKHVSHSKQVAKTLCNDGQNTPEAQIKALVNEISALKSAIKSIIDRGLEREYSPNQLRQRVIQLESRRANLKTSLSAPISEELPNWTQAKNCLLLKRLR >CDP21951 pep supercontig:AUK_PRJEB4211_v1:scaffold_8193:281:1231:1 gene:GSCOC_T00000759001 transcript:CDP21951 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFLDEVLEVTGKFFKLPLDEKRTYSRDENRIDGYGNDVIYSDRQILDWNDRLYLHVLPESIRKCKKWPRLPQNFR >CDP21952 pep supercontig:AUK_PRJEB4211_v1:scaffold_8211:111:2543:1 gene:GSCOC_T00009826001 transcript:CDP21952 gene_biotype:protein_coding transcript_biotype:protein_coding NHQLLPKPKAGRPIFPRTISAIRCSAVASSSSTVATQFDLKTYWTGLIEEINQKLDEAIPVKYPHQIYEAMRYSVLAKGAKRAPPVMCVATCELFGGNRLAAFPTACALEMVHAASLIHDDLPCMDDDPSRRGQPSNHTVFGVDMAILAGDALFPLGFRHIVSHTPTDLVPETRLLQVIAEIARSVGSTGMAAGQFLDLEGEPNAVDFVQEKKYGEMAESSAVCGGLLGGASDEELQLLREFGRAVGVLYQVRSDVLKAKIETRDKDEDRRRSKSYVGVYGVEKAMEVAEHLKAEAKKALEGLEKYGEKLLPLYSFVDYADDRGFSLDAGI >CDP20005 pep supercontig:AUK_PRJEB4211_v1:scaffold_823:22644:24035:-1 gene:GSCOC_T00010001001 transcript:CDP20005 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPQHFLITALPAQGHINPTLQLAKSLARNGARVTFATTVHGFSCINKALPRYNGLSYATFSDGCDDEESSKRRDRGRFFADLKHFGTQTVRELIKTLSEEGRPVTCLIYTILLPWVAEVAFEMEIPSVFFVIQCATAFAIYLRYFNSQDGVYDGVREIDPSISIQLPNLPLFLSTDLPTIIMPSNPYFASTVPVFHEHIKILEQDTKACVLVNTFNDLEQASLRAITNMNVIPIGPLIPSAFSDGTDLTDKSVGGDLFDSPKQDYIRWLDLKPERSVVYVSFGSLATLNKEQKIEIFHGLEEAGWDYLMVIRKSDNEDQEVKEMMENGLSGKGIIVPWCSQMEVLCHKSIGCFLTHCGWNSTLESLTAGIPMIGCPQFSDQTTNAKLIEEVWGNGVRAKANEASLVEREEIKRCLGIVMGCGEKGEKIRRNAAKWRSLAVDAVKENGSSHNNLELFLESLG >CDP20003 pep supercontig:AUK_PRJEB4211_v1:scaffold_823:7433:8818:-1 gene:GSCOC_T00009999001 transcript:CDP20003 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQHFLITALPSQGHINPTLQLAKNLARTGAQVTFATTVYGFSRIRNLPASGCLSFASFSDGYDDEKSQKNRDFTSFSSDTKRFGYKDLTKLIQTTSKEGRPVTFLIYTVMLPWVAEVAREMHIPSAFLAIQSATTFAIYHRYFNSHDGFYDGVREVECSSISIKLPDLPLFEKEDLPTFLLPNDQFFAFTVPFFHEHIKILEQDSKPCVLVNTFNELEESSIKAVDGMNLISIGPLIPSAFSDRNDLTDKSIGGDLFDTPSKGFLQWLDPKPERSVIYVSFGSLVALKKAEKIEILHGLEEAGRAYLLVLQSDNEEEEVKAMIENASSEEGMIVPWCSQMEVLCHRSIGCFITHCGWNSTLESIVAGVPIVGCPHLSDQTTNAKLIEEVWGIGVRAKANEEGVVERAEIGRCLDTVMGGGEEGEEIRRNSAKWRCMAIEAVKENGSSHNNFRNFLQKLE >CDP20006 pep supercontig:AUK_PRJEB4211_v1:scaffold_823:26162:26687:1 gene:GSCOC_T00010002001 transcript:CDP20006 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTQQQLHLLRIFLSCRKITAQVTKPITESIIAIASTTEPEFLPQLKAKQNRFPRSHNFMDAKIAARIGEKLGLRLKEIGVLNVEIDFNEELLRPVHQQKLVRPIFQNVKRAGINISGAEKLPFGG >CDP20002 pep supercontig:AUK_PRJEB4211_v1:scaffold_823:6154:7360:-1 gene:GSCOC_T00009998001 transcript:CDP20002 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEFIAHLSVVLVASYMIKLNYVSKNYLNHLPRPMKKGKDLGKISRFNNSTFVKGLIPLSTIHFNSLGIRSQYCSLLVFVRKKPTKNEHLVDHKKQKHILVLFLAYFFLINYKLIQEVELDGLCNLTRTD >CDP20001 pep supercontig:AUK_PRJEB4211_v1:scaffold_823:4337:5731:-1 gene:GSCOC_T00009997001 transcript:CDP20001 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKHQHFLITALPAQGHINPTLQLAKNLARAGAQVTFATTVYGLRCIKNPPASIGLSFASFSDGYDDEEPMKNRNPGRYLSDVKCYGSKDLTKLIQCSSNEGRPVTFLIYTVLLPWVAEVASEMNIHSALLAIQCATSFAIYHRYFNSHDGIYDGVREVDCSSISIKLPDLPLLQKEDLPTFLLPNDPLFASIVPFVHENIKILEQDSEACVLVNTFNELEEASIKAVHGMNLIPIGPLIPSAFCDGYDSSDKSVGGNLFDIPENDCLQWLDSKPERSVVYASFGSLLSLKKEEKMEILHGLKEAGRSYLLVLRADNEQEEEVKAVVENISSEEGMIVPWCSQMEVLCHRSIGCFLTHSGWNSTLESIVAGVPIVGCPHLSDQTTNAKLIEEVWGIGVRAKANEEGVVERAEIRRCLDIVMGGDERGEEIRRNSAKWSCMAIEAVKENGSSHNNFRNFLQNLE >CDP20004 pep supercontig:AUK_PRJEB4211_v1:scaffold_823:13928:14908:-1 gene:GSCOC_T00010000001 transcript:CDP20004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKQQHFLITALPSQSHINPDLQLAKNLARTGAQKKKAILWAFYLIYSFSVLETSPTSSNEGRPVTFLIYTVMLPWVAEVGHEMHIPSAFVAIQCATSFAIYHSYFICQDGAFFHEHIKILEQDCKPCVLVNTFNELEEASIKVVDSTNVIPIGPLIPSAFSDGNDPTDKSFGGNLFDIPEKDCVQWLDSRPEKSVVYVSIGSFVALKKEER >CDP20007 pep supercontig:AUK_PRJEB4211_v1:scaffold_823:46501:49642:-1 gene:GSCOC_T00010003001 transcript:CDP20007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFDDGNTLFDFVVKDGNGVKGLVDSGIAKVPERYIQPPYERIGKLVENSRDHFSSIPIDLSKLDGPDHDQVVEAIIRAAETLGFFQVINHGMSLDLLESLKDAAHRFFKQPADAKAVYLKGVSPSPFVKYGTSFAPEQEKALEWKDYLSMVYTNDSDARKHWPKECKEVALDYLKSSTNMVKMLLGILIGNLGVTLDDSKLESLIGTKLVNMNFYPTCPNPELTIGVGRHSDMGTLTVLLQDGIGGLYVKLEEDALVGKKEEWIEIPPIPGALVINVGDSLQILSNGRYTSAEHRVRTTSKQSRVSIPVFTAPRPTEKIGPLPHLAKLDGGALYRDVIFQEYMNNFFGHSHEGKKSLDFAKTNAN >CDP21953 pep supercontig:AUK_PRJEB4211_v1:scaffold_8232:55:207:-1 gene:GSCOC_T00006897001 transcript:CDP21953 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVDLDGNRLKQMTICMIGAGGFIGSHLCEKLMSETEHKILVVDVYRR >CDP21954 pep supercontig:AUK_PRJEB4211_v1:scaffold_8239:1112:2228:-1 gene:GSCOC_T00003649001 transcript:CDP21954 gene_biotype:protein_coding transcript_biotype:protein_coding EILPFDMHGTWKAMEECSELGLTKSIGLSNFTCEKISKLLQIATIPPAINQVEMNVGWQQRKLVPFAKEKGIVISAWSPLGSYGNFWGTNAIVENPIIKDIAASKGKTVPQVALRCIYQQGACVIVKSFNKERMKQNLQIFDWELTKEEMDRILQIPQRRGFAGDVFVHPTGPYKSIEELWDGDI >CDP20008 pep supercontig:AUK_PRJEB4211_v1:scaffold_824:2054:5249:1 gene:GSCOC_T00007439001 transcript:CDP20008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKIISTFLLVLLPFPSFHPKCGASASAEEAAALLKWKASFRNQNNSFLTSWNLQSINAKKSSVLPCTWAGISCIDGRVNRLNLSDWSIEGSLYDFPFSSLPNLEYLDLSQNQIFGSIPKQIGNLSKLSYLNFYANELSQEIPPEICNLTNLTHLDFGSNQLSGALPESPGNLTNLIILYIYENQLSGSISKEIGDLKFLTDMELSDNQLNGSIPASIGNLSTLESLSNLTNLIELDLSENQLSSSIPVSIGNLSDLELLALHENQFSGTIPPTFGNLSRLVDLRLFGNHLSGPIPSAIGNLISLQLLSLGDNNLIGAIPKSLGNLTNLIELYLQNNQFSGPLPELLCQSGILQNISVSENMLTGPIPKKMFGVYPVLDFIDLSNNKFYGKLSSNWGECKMLKTLIVAKNNITGGVPPEIGNLTQLHTLNLSLNYLSGEIPREIGKLTQLSELDLSQNFFTGEIPSEFQNLQSLGTLDLSQNNLSGLIPKALAELPGLLHINLSFNNLKGPIPSGRAFVNLTLEEVKGNKGLCGNITGLRALLYKEIVRSTEEFSDTYCIGKGGCGSVYKAQLPSGEVVAVKRLHNIPNVGKDKSFLNEIRALTEIKHRNIVKLFGFCSNAQHSILVYEYLERGSLAKILSIEEQAKELDWQKRLNIIKGVAHALSYMHHDCSPPIVHRDISSNNILLEPECEAHVSDFGTAKFLRRDSSNWSSLAGTYGYVAPEFAYTMKVNEKCDVYSFGVLAMEVIKGKHPGDLIANLLSSTLEEIELITKVS >CDP20009 pep supercontig:AUK_PRJEB4211_v1:scaffold_824:23050:23758:1 gene:GSCOC_T00007441001 transcript:CDP20009 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTSKFLRRDSSNWSSLAGTYGYVAPEFAYTMKVNEKCDVYSFGVLTMEVIKGKHPGDLIANLLSSKREEIELKDLLDQRLLYPNQEIEKNLISILKLARECLHVDPQCRPTMLIICRLISTC >CDP21955 pep supercontig:AUK_PRJEB4211_v1:scaffold_8258:1393:1851:-1 gene:GSCOC_T00001453001 transcript:CDP21955 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVDDDTKETVTFRAVSGDEEGRKRVEKVEVDSHNADTLKYKEKKLIDKGVQRQDRRPIDGIPLGRQHKSGHGGKYTWEGPRDTAENELGAAPPAIDENDPNYVDEEAEDRILRGEVSGVAGLVVGELELPKLAEEGVARIDVDPQLQTNI >CDP21956 pep supercontig:AUK_PRJEB4211_v1:scaffold_8278:1691:1906:-1 gene:GSCOC_T00012939001 transcript:CDP21956 gene_biotype:protein_coding transcript_biotype:protein_coding MTKADLVNNLGTIARSGTKEFMEASQAGADVSMIGQFGVGFCSAYTTLHQNSPSRLQIFTEQKHGKPSLSP >CDP21957 pep supercontig:AUK_PRJEB4211_v1:scaffold_8287:1896:3010:1 gene:GSCOC_T00002017001 transcript:CDP21957 gene_biotype:protein_coding transcript_biotype:protein_coding MTEETCLDGSTVNYQNTSLKASGNQENPDNGRKEKEMADKVPFYKLFSFADPADYVLMVVGTVAATGAGICLFLTTGTLGEMLNSFGETLDRKQVVHEVSKVYILSTPVSSKYVYLALGFGVASFSQVACWTVTGQRQAARIRSLYLQSLFTQEIAFFDKETSTGDIIERISVDTFTIQDAIGEKVGRFIQLSASVVGGFASAFNKGWLLSLVLLSSIPPLILTSSVTIIQPAKQASRGQAAYSVAATV >CDP21958 pep supercontig:AUK_PRJEB4211_v1:scaffold_8289:3:2303:1 gene:GSCOC_T00007840001 transcript:CDP21958 gene_biotype:protein_coding transcript_biotype:protein_coding IGLSSSGSTIKDLGAAQDGLRAISMLPSLTALDLFGCHLFIHPHLSPANFTSLSSLDLGENNFSNHMIPAWLGNLTGLLDLRLGDNNLSNPVHGLFEQMTSLLRLDLWGNRFDVSFFKSLCNLSNLTYLDLSSNDLQLSIPSEIGQLSRLAALSLFGFNLYGSIPVSLGRLTKLQVLDISYTSLTGVLSEDQLGKLGELQALFLSHSFLTLNLSSTWVPPFQLQQLEMASIKVGPQFPGWLRTQKFEELDMSNAGISDAIPSWFRVLCYDISSLDLSNNNLTGNPLEFKELKNNNYRFVSLSSNKLEGSLKSFPSDISSLDLSQNFLTGEIPPPYVGQMDASTYFLKLKLDLSNNLLSGKVPLCFGSLQFLWVLNLANNNLCGQIPSSLGNLGALEILHLNGNKFIGRLPSSMQHLSNLVIFDIGDNGLRDTIPAWIGGMSSNLMFLRFQSNNFYGGISDKLCLLSSLQVLNLAHNNLTGYIPHCFNNFSMMVSSEHGSILTITYDTTNLQNYKGGNELEYSFGNLVLIKSISLSTNNLVGEIPDGIMDLAGLQTLNLSHNHLTGRISEKIGNLKRLETLDLSMNEFFGAIPNSLSTINSLSFLNLSHNSLSGEIPSGNQLQTLTDPSIYEGNSGLCGKPLPRSCPENKSPAENDPILDDKDHGEFDWLWFYAGIGPGFALGLVGFLAILLFKRSWRYAYFEFLESASDRIAAMIAWKTTRRARNFH >CDP20010 pep supercontig:AUK_PRJEB4211_v1:scaffold_829:5293:8227:-1 gene:GSCOC_T00012357001 transcript:CDP20010 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLIPKIIGELGDVAVKQFGEKVNLVRGVEDEVANISSKLATIEKMLYDAERRRLKDRSVGIWLEKLEDITYEMDDVLDEWNFKIHRAKNEGTHQNARMQPTLRNKVRSLIPSLCSCLKQLPVRSDIAQKIRKINTQLELTLKEADQFKFITNESEVYGRAADMEKVLDQILSKSSSQGRDGVQIISVVGAGDSGKTTLAQLLFNNDKVQNHFELRNWICVSDPFDQKRVAKAILENAGKSSHEAEFDPLIQRIKETFSGKRFLLVLDDVWTEDDSKWKPFQYSLKDGASGSVILVTTRSQRVARVMGSTDTHHLSLISDSDCWLIMQRIAFGGRSGDLCKKVEIIGQKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSKIWQLEEAAVELFPHLYLSYNELSPELKRCFSYCAVFPKDHVIVVEELISLWIAQGYVRPRRRGERLELVGLGYFNNFAMRSFFQEIRDVEDYYGFREYMVCKMHDIVHDFAQFLTKNECHALDGIGTNSSGGRARHLTILGGTEEEMFSSPVVDFGRLRSFLTFLEIGRVVVPQNLFCSLKSEIPAEIRRLIHLRHLALSLNPFKELPEVICDLYYLKTLNIYCCGKLSCLPKRIEGLVHLRHLFNEMTDDLRQIPRGLRKLTSLCSLTRFIARSNSDDLAILKDLNQLKRLDIDIEGEVDFGSVKLGKKINMREMSLLFSLGAHFIETPSCIETMEPPPNLELLVLVGYPRTQLPSWLVTKSNANNLTRLIIDGPHNISSLLALWKLSSLEVLVLVEAEKLECLGKEFFRSSFSAEAVAFPNLRKLHFRHFQNWRNWEDLSEDDEKVVVSIMPRLEELKISRCEKLETLPHCILRKISSLKILNIRHCNKLRDRYSDKTEMTRKRYHTFLKFTYPISINLLRYGYSHIFYVSIIFEVK >CDP21959 pep supercontig:AUK_PRJEB4211_v1:scaffold_8293:52:2343:1 gene:GSCOC_T00000978001 transcript:CDP21959 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVQNQLAEQLGLTISEQTDLPRAERLYTRLIGHDKRTLVILDDIWKEVDFKSLGIPVKGECKSLKVILTSRLFDVCSIMGAEIVVVNMLPEEEAWHLFKEVAEISDDSALSGVAKQVAEECKGLPLAIVVVAKALKSNHTPESWDRALRQLRKDRMGNLRGVQDLVFLRIEWSYNHLGTDEAKHLLLLCSLFPEDYSIPIEQLVRYGKGLQLFRDTENLRDARDKVDLLVDELKSSYLLLNDTEKEDSVKLHDVVRDVFLSIASEGKHEFLVSNSGVGEKNSYTAISLVSQDSNHDLLPFCKKYPRVRLLRLVLQSDWEFSDLGVQLRKLDLPKDSFVGMEDLRVMELNHSQIEFPLSWPGQMLRSFRTLCLDYCVLGTGLSSMLGHMTQLETLSFFQSRLADDQFPTEIAQLSNLKLLDLRVESSLYLLPSGILSSLKKLEELYLGSGLHLRLGRDKEEEIGCLKEISSISNLACLQIALYDLSLLLLSLQEFDTQRLSRFDIAVANHERAMENLSKNYQFRKSFKLYLSGHGDEWLKQLFDPNVTSIVKRTENLTLYLSDQSSCLRNLVPDLGENGFINLKKLCLDDGQYECLVDSTANLVVRHVFENLVSMKLTDLKLKEICKGLLPPGCFSQLQEVTLYSISALECLWKGSFEPPSLCNLRSIEVSCCDQIKTLFSESALKCLVKLQEIDVACCENLERIVLREESLTEEVLELPQLKALTLVVTNFIGFGSEDDKAVAFFDQVCLLSLSLLVCEII >CDP20012 pep supercontig:AUK_PRJEB4211_v1:scaffold_830:32967:34646:1 gene:GSCOC_T00012569001 transcript:CDP20012 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSDKRQKHFILVHGVCHGAWIWYKLKPLLESAGGHRVTAVDLSASSINTKSIHEIHTLEDYAEPLMELMAAIPADEKVILVGHSYGGYSLALAMETYPEKISVAVFVSALMPDAVHTPSYIGEKLLELYPARETLDTQYLS >CDP20013 pep supercontig:AUK_PRJEB4211_v1:scaffold_830:44420:44605:1 gene:GSCOC_T00012570001 transcript:CDP20013 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLGIICTEDKGLPSDFQRWLVKNIGVAEVKEIVHADHMPMLSKPQELCKFLLEISSKFM >CDP20011 pep supercontig:AUK_PRJEB4211_v1:scaffold_830:9290:16022:1 gene:GSCOC_T00012568001 transcript:CDP20011 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSCSNGDMINVQNTSLEASGNQEVPVKSRNKKEVADKVPYCKLFSLADSVDVVLMVVGTVTAVASGICVPLLAVVLGETINSFGKTLDRKEIVHEVSKVSLKFVYLALGSGVTSFFQVACWTITGERQAARMRSLYLRSLLMQDIAFFDKETSTGEIIERISRDTIIIQDAMGEKVGKFIQLSASFFGGFIIAFIKGWLLSLVMLSSIPPLVLTAFAMTILMGKLASHGQAAYSAAATLVEQTLSAIRTVASFTGEMQAIAEYDKSLNKAYKSGVQEGLAAGLGSGVFMFVFYCCYGLAIWVGAKMILEKHYSGGDVLNVTLAILTGSFSIGQASPCLSAFALGQAAAFRMFQIMNRKPLISPSILDGLKLDNMVGTIELKNVYFSYPARVHEQILSEFSLFIKSGTTAALVGRSGSGKSTVLSLIERFYDPQAGEVLLDGINIKDFQLKWIRSKIGLVSQEPILFASSIRENIAYGKDNASLGEIQAAAQHANAAKFIEKLPQGLDTMVGLHGIQMSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDAIMVNRTTVIVAHRLSTVKNADKIAVIHQGKIVEEGQMISSYQKTYWNLDGGTCLHTELLNNPGGVYFELVRLQQLSKESDEHIVDNQDGSGIKTDSGRHSSQSISSLRSISRCSSGLSNSSHHSFSISTGLPTVVNMVDMAVGESQESASMPSKMDHQVPLYRLAYVNKQEIPELLLGSLAAVVTGAILPIFGVVLSGAIKTFYEPAYELQKKSRFWALMLIVLGGSSLLATPLKTYFFAVAGCKLIRRIRLKCFERIIHMDISWFDRQENSSGRISSRLAIDATCVRSLVGESLSSLVQNSSTAFAGLAIGFAASWQLSLIVIVMLPLIGLHGYMNMKSLSGFSADAKKLYEDSTQVASDAVGSIRTVASFSAEDKVIQLFKKKCKRPMTLGIKQGLYSGVGYGLSMFFLYSAYATIFYFGARLIKAGNITFGEVFQVFYGLSLAAVSISTSGALSPDTSKGRSGAASIFALLDQKSPIDSSETSGITLDNVKGDILFQHVSFKYPSRPDVPIFEDLCLAIESCKTLALVGESGSGKSTVISLLQRFYDPNSGEITLDGVEIRRLNLRWLRQQMGLVSQEPVLFNGTIRANIAYGKEDSAREAEIISAAEIANAHKFISSLQQGYDTQVGERGIHLSGGQKQRVAIARAIVRSPKILLLDEATSALDAESEKVVHDALDQAIVGKTTIMVAHRLSTIKCADLIAVIQNGVIKEKGNHESLISMKDGIYASLVEQYASASSM >CDP21960 pep supercontig:AUK_PRJEB4211_v1:scaffold_8312:90:260:1 gene:GSCOC_T00005767001 transcript:CDP21960 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNQLNHVDNLHLRSQKKKGLDCKN >CDP21961 pep supercontig:AUK_PRJEB4211_v1:scaffold_8316:781:3022:1 gene:GSCOC_T00013701001 transcript:CDP21961 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLGPVVEVLVEKAINLASEQIGRFVAFKKDLEKLRHTLTLIQALLHEAEERQVTQPLVKLWLEKLERVAFDAGNLLDDINYEMIRRKVEIQNQMKRKKISMDLKRINQEALRFGFQPQIGATDAPRVTPKDRETAAATVDTGFVGRDNDVSAIVKMLTAPNNNDTISVLPIVGMGGIGKTALARKVYNDPKIKEHFEESMWVCVSDDFNDNRLFRLMLESLKAPMTGVEGKDAKVNRLKELLGPKRYLLVLDDVWSKDSRLWNDFLKSLKGTSEAMGSWILVTTREREVATITRISSPQDYSLKELSHDQCWLILKENAFGAGKVPNRRQEDIGLKIAEKCQGLPLAASVLGGMLRNKGTAEWETLENRLQSVGGGEIAEILKLSFYHLPYPSLKKCLAYCSIFPKDFKMKKNQLIQLWMAEGFLHSNPRNNM >CDP20014 pep supercontig:AUK_PRJEB4211_v1:scaffold_832:14658:17623:-1 gene:GSCOC_T00003940001 transcript:CDP20014 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEHIREITSKLSIFQKPGDTPDTKLLVQGLVSYDGDKWAKHRKLMTPSFHVEKLKHMVPSFCTCAGEMLSKWEKIVSTNGSCELDVWPDLRTLTCDAISRTAFASNYKEGTRIFELQTEQAQYHLKAVQSVYFPGWRFLPTKRNRRMKQIAKDVHESIRKIINARLEAMEAGEACADDLLSILLESNSKEIDYHGDKGFGMSIREVIEECKLFYFAGQETTSVLLVWTMILLSRYPNWQARAREEVLQHFGTNKPDLDGLNHLKLVTMILHEVLRLYPPEFKPERFADGVSNATNGKVVFFPFGWGPRICIGQNFAMLEAKVALAMILQRFSFELSPSYTHAPRVVLTLQPQYGAHLILHKL >CDP20018 pep supercontig:AUK_PRJEB4211_v1:scaffold_833:44630:46857:1 gene:GSCOC_T00010749001 transcript:CDP20018 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTSYMLVPVLGLLGYVIYCFYNLLEMYWLKPKRLEKCLRKQGYKGSPYRLRGDPYEKKLIGEALSKPIGLNENIVKRTMAHLINTVQTHESFSGLGALEGEPWVKRRRILGRAFHVEKLKLMLPAFHISCVEMLSRWESMVSGKGSMEVEVWREIKDLTGEMLSRTLFGTRFAEAQRILEIINEIAALTMDAISSTYIPGLRFLPTKRNSRLRALDRELRARVQVIIDQKRKAIIAGEASGDDFLGILVESNLNALKGNNKGEVLTDQDVITESRLFFFGGQETGTNLIVWTMFLLSRVPDWQQRAREEIFQVFGDKMPTYDGLNGLKTSIFSSHSSYSTRLQVTMILNEVLRLYCLVPEVSRVSAEDTTLGEDFIPAGVEFQMPQVLVHYDPEYWGDDVLEFKPERFAEGISKATNAQGAYFPFTLGVRVCIGDNFVLLEAKMAMLLILRSFALELSPSYVHAPINRITTNPQYGVHLILRKL >CDP20015 pep supercontig:AUK_PRJEB4211_v1:scaffold_833:1:5359:-1 gene:GSCOC_T00010745001 transcript:CDP20015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LAZY 1 [Source:Projected from Arabidopsis thaliana (AT5G14090) UniProtKB/Swiss-Prot;Acc:Q5XV40] MKVSTHSFRFPMYAQKISDYMFLQLLGWMHRKLNQNRADPMMNFTTGNPPNCYSARKPFDEKLYHAEPTYSFAQSGQPSRICGRFSNEIRGNGIEESFQEDSSDELFHGFLAIGTLGLESLNTEPPTPTFPKPFENMTDKEVKITEDELKLINNELAKFLEAEAKEVADESSARSSQASIITFSNKQHDETDAEDLADSTTCPLQTYLFGSSIELPEMAAVPKKEKTSLEELFRRTDTSCEKSTNKYDCTDYQPKKRHASHFIKKILKKLQSTASSCTTASAEKTTESEAIKRKLPKVMKIFHRKVHPEGFTTKKQFIKADEDKSKKNSSKSNNHYAEDTGKKRSHNKSDLKKEISKSVLSFKRADSGRVMVNREHWIKTDADCKFKKNTGLDG >CDP20016 pep supercontig:AUK_PRJEB4211_v1:scaffold_833:8087:8725:1 gene:GSCOC_T00010746001 transcript:CDP20016 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMQFADAKHLKSRDNSLRKLFMYFGCRPVEGGRMHYYLKQLSLEFLVAICKHDNLTE >CDP20017 pep supercontig:AUK_PRJEB4211_v1:scaffold_833:18725:19159:-1 gene:GSCOC_T00010747001 transcript:CDP20017 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIVEAVELKYRSMQVSASLEFQGFFNDLVDNDFNTLFKSLPSKMGYFAAAVPDSFHKHLFPKASLHIAHSSYALHWLSKVPKEVGNQNSSAWNKCKIYCSKTIKEIKEAYFAQFREDLNRFLNARATEIVGGGLAVIQLAGL >CDP21962 pep supercontig:AUK_PRJEB4211_v1:scaffold_8338:2:1293:1 gene:GSCOC_T00003348001 transcript:CDP21962 gene_biotype:protein_coding transcript_biotype:protein_coding SHFVLCLILKGIEMLSLGSFKNGAILLARLFVYDIFWVFFTQVMISVVKSFDAPIKVSVSYCVLYIFNRNSCTWILSIIVCNQFLNLMFNCFSSSAAYKGTHQNQYFKSAFVAYSVGLILTIIIMNRFQAAQTALLYIVPAVIGFLTVHVFWKGEVKPVSLL >CDP20021 pep supercontig:AUK_PRJEB4211_v1:scaffold_835:17450:20346:-1 gene:GSCOC_T00012538001 transcript:CDP20021 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKISKLTRLWAQNVCQSHSLVSTPLPVSFALSPLFYCSLSEIATADQCQVCLAKVMDPAELRYLEEEDTPLMKTIKGATSGLVAGTIWGTIVATWQDVPRVERRVALPGLIRTLKMMGNHGITFAAIGGVYIGVEQLLQNYRMKRDFINGAVGGFAAGATVVGYKGKSIATALSAGAALAATSAFIDVGGQTTRIDNGKEYYPYTTKKRASAD >CDP20024 pep supercontig:AUK_PRJEB4211_v1:scaffold_835:33540:36375:1 gene:GSCOC_T00012541001 transcript:CDP20024 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQEQEDYMGDLSQFLPPPEATHLPLKSSSKSVSNARAVVSSSLNKKSKNLNWQERKKLKREQKQIEEDQQTMANVEKAIPQSNIGFKMLKQMGYNPGSALGKECSGRAEPVRLEIRRGRAGIGKEDPKVEQMRREKEKVERERIKEEELMVDFGHRQKERWKGRRIIVNFRKAEAALAQLENREVEEVEKKEDESGEKEEEEEEEITEEDLLAILMKLRDEHYYCLFCGCQYESKETLLSCCPGVDEDDH >CDP20023 pep supercontig:AUK_PRJEB4211_v1:scaffold_835:27151:31670:-1 gene:GSCOC_T00012540001 transcript:CDP20023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRUBBELIG-RECEPTOR FAMILY 2 [Source:Projected from Arabidopsis thaliana (AT5G06820) UniProtKB/Swiss-Prot;Acc:Q9FG24] MYNALNRPPQLKGWKSEGGDPCDESWEGISCTGSSVIFVNLHGLELTGNLGLELFNLRSLKQLDVSSNNIQGEIPLGLPINLTHLNLANNNFSQNIPVSLSYMRHLRHLNLSHNALSGVLGDVFTDLEKLIEMDLSYNKFSGDLPSSFGSLANLTRLYLQGNEFTGSVVYLADLPLSDLNIEDNHFSGVIPEKFQSINNLWFGGNRFDSGKNYPYAPWKYPFEDLPNEQSITGPPTQESSAIESYPTHKVGGHKRRRLGSGKIGFLVGGGTLVAFCAALALVVRIRRAQNQKLRSIGSSRHSLNSLAFSTSGEFSSTAAEGSPHLSILSSSSGIKSLHLPPIRSKPMKVSRRSFSKTSKVPICAKRYTVAELQLATNSFSEENLLGWGSLGSVHSAKLPDGELLAVKNISTVELSLHEEEQFLNVVRNASRLRHPNIVTLLGYCMEHGQHLLVYEYVRNLSLDDALHCTEYTPLSWDLRLRIALGVARGVNYLHSSCEPPVAHSNLKAANVLLDEDLRPRICDSGLAILRPLSSNSVKLKASEMAIADSGYIATEDVYIGMNNLKADVYAFGVLLLELLTGRPSFDSSKPTEEQFLVQWASRRLHDSDYLAGMVDPAIKTSISPQDLSRFADIVSLCVQPVREFRATMSEVVESLLVFIQKPAPGIGTDTADGEGDPCNRSFRSTNSRFFGSPTLSYYSI >CDP20025 pep supercontig:AUK_PRJEB4211_v1:scaffold_835:36963:43767:-1 gene:GSCOC_T00012542001 transcript:CDP20025 gene_biotype:protein_coding transcript_biotype:protein_coding MELASGSSSSSPSSSDSLNGLKFGKKIYFEAASGRPPPAPAKKGRSGVAPGGGQPPRCQVEGCKLDLSDAKPYYSRHKVCGAHSKSPTVIVAGLQQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGSLLSSRYGSLSSSFFESSSRSGGFLMDFSAYPALSGRDSWPNGRVSVRATGNQPTGTGKFLQHPWQGNPENPPSELLLHSSAGRTTYVGPAISSGGCLAGVSDSSRALSLLSTHSWGSGNQSSSLGANGLANVNGMPCGVIQASGSHGEAIDHFSSPMWGFKGGENNSGSNEIPPELGLGQLSQPASSQYSGDLDASSQNGREYLGLEHSRGYNSSIQHMHWSL >CDP20020 pep supercontig:AUK_PRJEB4211_v1:scaffold_835:13347:16901:-1 gene:GSCOC_T00012537001 transcript:CDP20020 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGCYCSSSALSFRSNLATSSLVVRIQQGGTVTGIPLRRRPLSVRAEVNYVNAEDAKKLVAADGYAILDVRDKVQFERAHIKDSYHIPLFTLNNDNDIGTIIKRTVHNGFSGLFFGIAFTKPNPDFVQSVKSQFSPESKLLLVCQEGLRSAGAAQKLEAAGYQNIACMTSGLQSVKPGTFDSVGSCELQNAGKAGLVTVQGKISTVLGTVLVCAFLFITFFPEQAEKLLQMAPSG >CDP20019 pep supercontig:AUK_PRJEB4211_v1:scaffold_835:5320:13267:1 gene:GSCOC_T00012536001 transcript:CDP20019 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSSPRSSTTEPSPPPPSASTSSPTTPIASSPQIYIHPRREPFEHGLLPIPKLIFSDGTQSLASIKDKLLSLSSSSSSESTHRVNSAAIAETLQISPDHARLVLDTISSVLHNDTDPLVNAKPSEIDDVGIGVFDLVVFLYVQSYKRLLPKGHRDSAAVADVWPSTSAFDGFLSALTPLQLVRSNSRRFMPSQADEEAHQLSYLQKHLGNILALLADTAEGEGEDSLVLSIEKLEHLGFLVYFGEKGSERTPLSQNAPFFANSDPDMPAVPVPAAQVLDWLLQNIASTLEHISERVSAKENGPTVASDQDVPMTDVGSTSAKASTSARGPSFIEGISKSSYVKHASDLKGTSLKASYVINCHESVIYILAPLRYATVYGCSDATIVLGAVGKAVRVEHCERVHVIAAAKRICIANCRECVFFLGVNQQPLIVGDNHKLQVAPYNTYYSQLEEHMNQVGVVPTVNRWDQPLALGVVDPHDSLSHPAGVSEVQAESAICLDPDQFTNFMIPNWFGGEAVGSTKDNPFPLPDAYMVSQQRNHKNLGEIKHILKETQLEESRKRELSSTLHACFKDWLYASGNIRQLYCLQGD >CDP20022 pep supercontig:AUK_PRJEB4211_v1:scaffold_835:21434:26610:1 gene:GSCOC_T00012539001 transcript:CDP20022 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQEDIRNLPIDIAFARLGEWLVDRRRIPADWRKRLAAVRAKISAAFASLPKDLDPYFQTLDPEGIGYLEAKQIYEILLKSTPESRNIFGRLSGAAGAWEAIVRAFEKDSIFLGEAAQIMVQNVNYEIPYQKKQVQKIQQQLVELERKESEIKRNAALSATKYAEACQDLGLQGVNVRSELLETASKTLPSTFSRILEVLNGDSVSLAIEFYSAFVRDAHSEQEKTVGTVLPNLREVREKPPSITISVCSEAFDSVVAQPSQSEPNKIAGETDFAADSIDWDITLDSSQIDWDIGTIEETEENGNGLGPYEIVNASDLPNSADSEGIELDETGLMKEEFPAPEVATSEISWDISVENPEFNVTEEAGSSGLHSEPSLFTPNTSSEVQGSAKERSPLLETDYRNKILDDLFEVKAFLNQRLSELTNEETLSLQHQVQAVASFVLQQYSSDSIQPMVSDVSLVISLLTNRKTRDLIMILNSKRFLDRLVKTLEEKKYHEIKLKEGLKDLAIRRMELQNLLSSSWPKQELALAKTRELKKLCESTLSSMFDGRPVNIIGEINTLLSSSIFSA >CDP20026 pep supercontig:AUK_PRJEB4211_v1:scaffold_838:5996:8883:-1 gene:GSCOC_T00008132001 transcript:CDP20026 gene_biotype:protein_coding transcript_biotype:protein_coding MYISFALSSDVSFLCNYHHKLLSGCYCCNILNNNNLSGEIPDQLTSFLSLATLNVSYNNFARVIPVGRNFSRFPPDSFIGNRLLRGNWLGSSNISAVKNMPETRYSGCNIGAFGVQQYSPGLLCFSYISLLNSGPRKLMVLHMGSALHTYEDIMLSTENLSEKYIIGCGASSTVYKCILKGSQTIAVKRLYTQYPHNLREFETELETVGSIRHRNLVSLHGYSLSPLGNLLFYDYMENGSLWDLLHGPSKKVKLDWETRLKVAVGAAQGLAYLHHGCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKSISAAKTHESTYVLGTIGYIDPEYARTSRLTEKSDVYSFGVVLLELLTGKKAVDNNSNLHQMILLKADDNTIMEAVDPEVSVTCMDLGHVKKVFQLALLCTRPHPSERPTIHEVARVLVSLLPPPPTKPALGTAKTINYSQFVIAKEQSQLKTMQPQVRGDNNSSDAEWFVRFGEVVSKNTI >CDP20027 pep supercontig:AUK_PRJEB4211_v1:scaffold_838:12146:12634:-1 gene:GSCOC_T00008133001 transcript:CDP20027 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLTLQVLSRLEVSRLPNIINTLGLEYDEKVLPSIGNEVLKAVVVQLNADQLLTERPHVSALVRDSLVRRAKDFNIVLDDIAITHLSCGAEFSKALEQKQVAQQKAERSKFVVMKVEQEQRAAIIRAEGESEAAKLISLPAQRFICYEIQTIFGFNSFVFA >CDP21963 pep supercontig:AUK_PRJEB4211_v1:scaffold_8382:231:1991:-1 gene:GSCOC_T00006899001 transcript:CDP21963 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLCRYRVEEVPLYDSAEDEPMSRIPDTISPFKFDSLDGLETDYSQKMEVGDVFAQDLDSSNTVKPHNSVQVDAMTMNTEKVEVLGSGKSKEQDMQQAFYQTSAVLSGLQSNSASQNFNLGSSSSSKKKSQRLPKQKKRSSGGKQSNTKNIADLFPSKEAQEEVRKYEEIKNQAAANLKSVYDEIRPTIEKHERDSQDDVPPSVGTKWVGAHCAKYKADLNFSASVIKNFLNIAQKGGSGSKADTGTKASGSGDLPKE >CDP21964 pep supercontig:AUK_PRJEB4211_v1:scaffold_8392:286:438:1 gene:GSCOC_T00009762001 transcript:CDP21964 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKMEKGRVCVTGGTGLLASWLIKRLLEDGYSVNATIRSSSGSCLLIY >CDP21965 pep supercontig:AUK_PRJEB4211_v1:scaffold_8398:355:1443:1 gene:GSCOC_T00008041001 transcript:CDP21965 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIFVHGSTDSIPNEIGNLLKLTILGLGENSLSGSIPVGIFNMSTLRMLDLADNHLSGVLPSNMCHGLHNLEYIDLGGNNFSGAIPASISNCSKLTGINLDGNKFSSTIPNSIGNLRHLEVLNLFANNLTNNPLNGVLSRSIGNLSISVERLDVRNSGLRGNIPDSIGNLSNLAFLVLCDNSWTGSIPTTIWGLQKLQDLDLSNISLTGPLSRGLCGLQSLEYLDLSQNQISGSIPGCFNNLTSLWYLDIAFNRLTSTLPMSLWDLKGLVYVNLTSNLLRGPLAPEMGELKDLTKLDLSNNQFSSKIPSTIWSLESLDHLSLANNSLQGSIPDNL >CDP20033 pep supercontig:AUK_PRJEB4211_v1:scaffold_840:48896:49669:1 gene:GSCOC_T00013427001 transcript:CDP20033 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRKRITAAEALEHQWLKEGGEASDTPIDSVVQIRMKQFRAMNKLEKLALKVIAENLSEEEIKGLRQMFNNMDMDRSGTITYEELKTGLSRLGSKLLEEEIQELMEAVSNP >CDP20028 pep supercontig:AUK_PRJEB4211_v1:scaffold_840:2317:4771:1 gene:GSCOC_T00013421001 transcript:CDP20028 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKFGSSPVVVASSPEMAKEFLQTHDNIFASRPTTAAGKYASYNCSDLTWAPYGPFWRQARKLYLTQIFNPKRLDSFESIRIEERRAFISSLYALSGKPVVIRDHLTRLTLSTASQMVLSNKYFAQSEGARSLPTFEEFQEMIDTWCLLSGVFNIGDWIPWLDRFDLQGYVKQMKALHKKFDRFHNHVLDAHQARRKTERDFIPKDMVDILLQYAEDPDLQVKLTSDQIKGLIQFVIYNYSYTSLALDLGSNRLLKHPRLIRKATEELDRVIGRDKWVEEADFSKLPFLEAIIKETFRLHPPATLLLPRYALEDCTVAGSNIAKGTTVFINTWSIGRNSKYWDSPEEFIPERFLEKDIDMKGQNFVLLPFGSGRRRCPGYNLGIKLIRSMLANLLHGFNWKLPHGMKPEEICDRSSACAIIKLNLIAS >CDP20029 pep supercontig:AUK_PRJEB4211_v1:scaffold_840:13575:14175:-1 gene:GSCOC_T00013423001 transcript:CDP20029 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQSLFQGYFWQRGYDAHFGNFRKKGRTEGFFLLLVSRRNKKGEKDQNGGWLLCLVLRVFG >CDP20030 pep supercontig:AUK_PRJEB4211_v1:scaffold_840:16298:16878:1 gene:GSCOC_T00013424001 transcript:CDP20030 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNILVVGLLKVTWYTKGSPITLPSPAKLGDLISVFISRYRLL >CDP20031 pep supercontig:AUK_PRJEB4211_v1:scaffold_840:18552:18896:-1 gene:GSCOC_T00013425001 transcript:CDP20031 gene_biotype:protein_coding transcript_biotype:protein_coding MNCDRIEVGEMLQVALLCTQYLLAHRPKMSDVVRMLEGEGPPEKWAASHNYTNQGTNVPPLIHGSGIRLHHATVPGHDDNVYDQSAMFKMITMDEDYGALWSEITRGPKNAQLC >CDP20032 pep supercontig:AUK_PRJEB4211_v1:scaffold_840:37682:39439:-1 gene:GSCOC_T00013426001 transcript:CDP20032 gene_biotype:protein_coding transcript_biotype:protein_coding MESTWLLLALAWLVALVFLSKVSTHKRLKLNHPPGPKPWPIIGNLNLLGSNPHQSLHLLSQKYGEIMQLKFGSSPVVVASSPEMAKEFLQTHDNIFASRPTTAAAKYTSYNCSGMLWAPYGPHWRQARKICLTQIFSPKRLDRYESIRIEERHAFMSRLYALSGKPVLLRDHLIRFTLSAACQMVLSNKYFAQSEGDGSVVTFEELQEMIDTWFSLGGVFNIGDWIPWLDRFDLQGCVKQMKELYKKFDRFHNHVLDDHRAKRKTEKDFIPKDMVDILLQYAEDPDLQVKLTGDQMKGLILDLLAGGTDTSASTVEWAMNELLKHPHLIEKATEELDRVIGRDKWVEEADFSKLPFLEAIIKETFRLHPIATLLPPHHAIEDGTVAGYHIPKGTVVFINTRSIGRNSKYWDSPEEFMPERYLEKDIDMKGQNFALLPFGSGRRMCPGYVLALKLVGTMLANLLHGFNWKLPHGMKPEEICMEELYGFITHPRTPVAMIPEPRLPVNLY >CDP21966 pep supercontig:AUK_PRJEB4211_v1:scaffold_8406:1:460:-1 gene:GSCOC_T00007856001 transcript:CDP21966 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQLFMLFSCHAFNLFFHYVGVRKGICLEETHTDQRSHLQLLCPMPKEEKQGAAAMTDLWTSYFLIFLSGLQTKNFVNLIKHAEDGILDLNEAAKTLEMSKRRIYDITSV >CDP21967 pep supercontig:AUK_PRJEB4211_v1:scaffold_8407:1:2559:1 gene:GSCOC_T00001516001 transcript:CDP21967 gene_biotype:protein_coding transcript_biotype:protein_coding STTKGSRCHLTTRLEPVANAVPRHLQMNDGPYFLGKLSGDECWSIIKRKVLAGEEVPKELEALKKQILKKCGGLPLAASLIGGLLLNNGKEEWQSILEESLLNEDQSEINQILKVSFDNLSPASVKKCFAYCSIFPQDTELGEDELIEHWIAEGFVIPDPKNNRAMEEIGGYYLRILLQNSLLEKVEESWRTYYKMHYLVHDFAKSILNPKSSNQDRYLALDSFEGLAENTTRTIPASIRTLFLHLEVGISADMLLRFKYLHVLRLSGYDALSLPSSIGKLLHLRLLDISSSRITSLPESLCKLYNLQTLTICKNALEGGFPKRMSDLISLRHLNYNDSRAEFKMPVQMGRLIRLQTLEFFIVSHEKDCGIEELGTLKYLKGSLLIRNLGLVKGKEAAKQAKLFEKPYLSRLTFAWELRHLESDNRDEDVLEGLQPHPNLQELGIQYFMGNILPQWLINLPKLVELQLEDCKRCSELPSLGQLPSLKRLYLESLDNIRFIGDEFYVNGQKCPLPCLEELSIQFCDKMFESCPSLRSLLVEWCPNLVSASTLRELYLRGLPCSESLPHQLQYLTTLTSLTLFDFGGIDVLPDWIGNLVSLETLELWLCKKLQSLPPEAAMRRLTKLTSVEVYECPLLRQRYTLQRGIYLEE >CDP20034 pep supercontig:AUK_PRJEB4211_v1:scaffold_843:39339:42057:1 gene:GSCOC_T00000222001 transcript:CDP20034 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQRVLHMNKGDDDGSYAKNSSGQKLGIMKVKHFLVQSIEKLFHSYPSAKCIRIADLGCSSGPNAFVPAENMIECIHKVYHKLGHKPPSIEVFLNDLEGNDFNNVFRSLSKFYDKLEKNGSKRGSCFVAATPGSFYGRLFPDHSTHFIHSSYSIHWLSQVRRGLNKRNICYTVTSPPGVHEAFLDQFRKDFTLFLRMRSMEMVPQGHLFLTFQGKMDENDSFDHWVSVGMTLNDMVMQGMLEEANLDAFNIPLYGPSMEELRSIIENENSFNIFSLETFKLHWDDFYMEVFKKKPEDNYIRGEYVAASLRAILEPILTSHFGDAIMDEFFRRLAKKISEHLEVGKGYVDNLVVSLGKK >CDP21968 pep supercontig:AUK_PRJEB4211_v1:scaffold_8448:517:963:1 gene:GSCOC_T00007691001 transcript:CDP21968 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIIEGPSRTGKTCWARNINSQAHNYYAGHIDLAHHSDDAWYNVIDDVNPQFLKHWKEFLGAQRDWSSNCKYAKPRKIKGGIPTIVLCNPGLNSSYDVYLSAPDRQDLLNWTKENAAFYFLQQPLFALTNQEQAPIVQEVEELDSNN >CDP21969 pep supercontig:AUK_PRJEB4211_v1:scaffold_8464:693:2948:1 gene:GSCOC_T00007051001 transcript:CDP21969 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWGFICMLMMAVFGGLMGLTSLLKSVNRWLFEAKLGDRRFSLPPGDLGWPFIGTMWAFLRAFKSSNPDSFISSFVNRFGPVGLYKTMMFGSPSIIVTTPEACKRVLTDDEAFMPGWPSSTLTLMGRKSFIGISAQEHKRLRKLTAAPVNGHEALSIYLKYIEDNVIEALEKWAGMGQIEFLTQLRKLTFRIITHIFLGSESEQVMEALEREYTVLNHGVRAMSINVPGFAYYNALKARKRLVAIFQSVVTERRARRKENSTAEKRDMMDALMDAVDDKGRKLDDEEIIDVLVMYLNAGHESSGHVSMWATLFLQKNPEVLQKAKAEQEAIVKNRPPGQVGLTLKEIRQMDYLSKVIDETLRVVTFSFVVFREAQKDINISGFTIPKGWKALVWFRNVHFDPELYPEPKKFDPDRWDVSCNCSIVLCSAPCIYF >CDP21970 pep supercontig:AUK_PRJEB4211_v1:scaffold_8467:2220:2922:1 gene:GSCOC_T00006952001 transcript:CDP21970 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATHTSSVTLFIPLTSTNSESSPITPSLFLLSSPIQNLPSLYRLPNNNPSQFPTAQMLKPSLLPQKAISGSTPTAISA >CDP20035 pep supercontig:AUK_PRJEB4211_v1:scaffold_847:3625:6105:-1 gene:GSCOC_T00011092001 transcript:CDP20035 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLYDAATQGDVDKLSELLEKDPLILDRAILNSPHKTPLHAAAFLGHVNFVKEVMKVNSYMCFLRDKAGRNPLHLAAMSGKLEVLKAMIDSTPQAVREKTDDGGTILHLCAKYGRLEALKMVVDAIDDPDLLNAKNDDGMTILHLAIVYKQTETIKYLMEEARVGVTIENANGKTALDLLAAQSNIGSEIPSSLQDFEALRGKGVLNRERAFRALMAKNRKAMMVVASLIATMAFQVVLNPPGGVWQDDLSEGPNPHKIGEVVMAKTHPGLCRHLIRATSTAFVSSLTTVMLLITKETKYNRSSIVSLMVLLLIMTVAIISILLTYVISLVTVAPKEIRGKLSETGVIVLIVVMAWSTSIPCYFYYIWYLIKNWLIIFMVPIISAVREIDLRALVNQQLGGHGVDAGPAA >CDP20037 pep supercontig:AUK_PRJEB4211_v1:scaffold_850:37027:38189:1 gene:GSCOC_T00010967001 transcript:CDP20037 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAQFDHPNLVKLVSSWASVNEIQMMFELMDGSLKDFYTEEENVITHIAQQILMGLSYLRDERIVHRDLKLANVLRNNDDNVKIVDFGSSRRLDIGNMQFILTVLSTIQYCSPEMLQHQLRKIDRLNKSDVWSLGILLLEIYMGHFHFPRIDKLQTYNEIINDFVVPELPNSTSQFRDFVQRCLIRDIDSRASVDDLLGHNFLHMFH >CDP20036 pep supercontig:AUK_PRJEB4211_v1:scaffold_850:16519:31055:-1 gene:GSCOC_T00010966001 transcript:CDP20036 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSVSATTVGRIGNEGFRLGRWISSVIDGGKKVAALWGNGDYGRLGLGNLESQWKPRILNSSAFYDQSLREIACGGAHTLFLTENGRVYATGLNDFGQLGISDDRSYATEPLIVNGIPKEVVKISAGYHHSSAITVDGELYMWGKNSDGQLGLGKKAAKLVTLPSKVECLNGVSIKIASLGSEHSVAVTDKGEALSWGGGASGKLGHGHGSSILGFRKSNSEFTPRLIKDLEGVKVKSVAAGILHSACIDENGFVYIFGERAKAKMGFEEGKSVTVPSLMSKMPPSEEVACGGHHTSIITSGGELYTWGSNDNGCLGIGSTDVVHSPERVKGPFVRHPVCKVSCGWKHTAAICGGNMYTWGWGGSHGTFSEDGHSSGGQLGQGDDIDYIEPKAIDFCNKVRAWQVSCGFNHTGAILEVDKEPL >CDP21971 pep supercontig:AUK_PRJEB4211_v1:scaffold_8501:789:1808:-1 gene:GSCOC_T00000592001 transcript:CDP21971 gene_biotype:protein_coding transcript_biotype:protein_coding KQWEIHDDHPQNLQQVHRSQDIIIYFDKEGLPVSWFQDPISGHIYFDVCNVCEECQVENILGLDLPDLPCKKKSKSKQDLHPYYQKCLNILEKEGEKSKWNLKPFCKPEPLVPIHTPQIQECFMFSEADFPKFETFNKNGSRHTPKIQNISSTILPSGETVRPNPAEDVLNWQTENSLVQNTALISIHKNISEAKDKIEQIDTTVSTQQSQVSHMIEVFEKRLQELKYIMPSDPSTLADFILNKEKETKFIQDQLHVLKTTGQVPTYDVGPSSPLSRVSSMYGAVPLRN >CDP20039 pep supercontig:AUK_PRJEB4211_v1:scaffold_851:34109:34662:1 gene:GSCOC_T00001121001 transcript:CDP20039 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVLDSPKLSPEHGEKGAAATVGPKELDAGALFVLKSRGTWLHCGYHLTTAIVAPALLSLPFAFALLGWAGGVLSLALAGLVTFYSYNLLSLVLEHHAALGKRQLRFRDMAHDVLGM >CDP20038 pep supercontig:AUK_PRJEB4211_v1:scaffold_851:12311:18160:-1 gene:GSCOC_T00001118001 transcript:CDP20038 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHQGKQVVLLTGCSGGGIGHALARAFAAKNCLVVATARSLSSMADLSNDPRFFLQELDILSDQSVHRVVSSVIEKFGRIDVVVNNAGVQCIGPLAEVPLSNAQQTFDTNVFGTMRLIQAVIPHMESRKKGKIVNVGSVTVLGPGPWAGVYTASKAALHSLTDTLRLELRPFGIDVINVVPGAVKSNIGNSAIATYIKMPEWKLYKQFEESIKARAYFSQGQKATPAEEFAKKTVNEVLKKNPPAWFSAGQYSTIMAIMYHLPLSIKDYILRKAMKC >CDP20041 pep supercontig:AUK_PRJEB4211_v1:scaffold_852:31918:41695:-1 gene:GSCOC_T00010266001 transcript:CDP20041 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMNVAFEKMKILVGMEVDEESSPDAEDSSFLEDFNRNCTLSTKQRLYGFVICLAAGITCTLLSMLVFFNPIKFGLTFSFGNLLALGSTAFLIGPKRQLTMMLDPVRIYATAIYLASIIVSLFCALYVRNKVLTFLAISLEFGALVWYSLSYIPFARSMVSKVMVACFDTEF >CDP20040 pep supercontig:AUK_PRJEB4211_v1:scaffold_852:29284:29895:1 gene:GSCOC_T00010265001 transcript:CDP20040 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSPEDHRLPNKKALEYMECLKNHAAAAGGHATDGCGEFMPGGEEGTIEALKCSACNCHRNFHRKVIEGDCSSQSYHSFFLVNVQLLQTSEKDEQEEGVHPEAKIRPHDAGKRRSRTKFSQEQKEKLWSFAEKVGWKIQKADDSAVNGFCQEIGIRRRVLKVWMHNNKHNLAKKNSYPC >CDP21972 pep supercontig:AUK_PRJEB4211_v1:scaffold_8521:1244:2686:1 gene:GSCOC_T00001958001 transcript:CDP21972 gene_biotype:protein_coding transcript_biotype:protein_coding VLAILVHRWLPGSRNLKKSVHLCLQSLALASGVFGIWTKFHSQEGIVPNFYSLHSWIGLICITMFGAQWLLGFLNFWHK >CDP21973 pep supercontig:AUK_PRJEB4211_v1:scaffold_8523:864:1322:1 gene:GSCOC_T00008962001 transcript:CDP21973 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQFQNVMVLARVENHTRSDFPPHFVFGAGTSSYQVEGPALEDGRTPSIWDTFVHANKCLSNGANGNIACDQYHKYKVNISFLPFCFLAY >CDP21974 pep supercontig:AUK_PRJEB4211_v1:scaffold_8526:1326:2684:1 gene:GSCOC_T00013295001 transcript:CDP21974 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNMLQEQKSRPQKGVSWKMVRLLPMVGSINGLICLNEFDHKHDAVYLWNPIIRESITLPMPEGVRSYPNIVSYGFGSSSETRKYKVVRIFQELEKNTRRILKSNCDVYTLGEETESWRRIGHAPFLYNSCRTHGVFLNRNLHWLIGDQAGSELISCFDLETELFSPFPAPPELSKDFNLASLRLFGGCLSLCDNTSDYEIVIWVMKEYGITKLWTKDIVIDKEPADLVGPSFEVVRVFKVFEDGNILLLWRDDILLSYHSRRQILHQSGVHKLIKKIDDQMNEDGYPCIEVMEYVPTFLSLETFGIQTMESIN >CDP20043 pep supercontig:AUK_PRJEB4211_v1:scaffold_853:14973:15803:-1 gene:GSCOC_T00006682001 transcript:CDP20043 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRLIWEQMHRILVDPSVEMQQQEGEYSLQLKCCLMNMERVSLGNDLLYRDLVMLDPGLLNLSVNKGKM >CDP20042 pep supercontig:AUK_PRJEB4211_v1:scaffold_853:13257:14912:-1 gene:GSCOC_T00006681001 transcript:CDP20042 gene_biotype:protein_coding transcript_biotype:protein_coding MEACQGKPRSGADSVDPNSILLEDYDILIPAALEGVINRDNADIKAKFIIDVANHRIDPEADEVSMRVVILPDIYANSGGVTVTSSGFRSEHIGLEASSFEDCSFGERIQDYHDSLATRGLCGLLILFHHPWICPRG >CDP20044 pep supercontig:AUK_PRJEB4211_v1:scaffold_855:4031:7085:1 gene:GSCOC_T00013752001 transcript:CDP20044 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFNKARGILQHAVRKHINHEISASRPSIFEAIRCMSSKLFVGGLSYQTDQASLEDAFQKYGEVIEARIITDHQTGNSRGFGFVTFQSAEAASSAIMALDGKEFHGRVIKVNHAHDRAPRGGGGYRNYGGGYSGGGYGAGAGYGGSGGYGGGNYGGGNYGGGNYEGGNYGGGGNYDNNFGANQSAGSSGGGYGATAGDSYASGGAVGSYASTEGSYGGSSTYASGGDNYGGNNFESTNVGFDGGNNQNFGVASGGGASDNYFAGNNNAGGGFSDTGFNSNSGLSYGAGNQYGNDENNNVVNADGSYDQNDGGGSFQDDDESHDFLDRRAP >CDP20046 pep supercontig:AUK_PRJEB4211_v1:scaffold_855:13561:14748:1 gene:GSCOC_T00013754001 transcript:CDP20046 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEDGHFGQGSPKAHPGFFTLATVRHVTSHLLITSVNIVAEVTPGHSTSIQRLDVTPGTSDA >CDP20045 pep supercontig:AUK_PRJEB4211_v1:scaffold_855:8876:13419:-1 gene:GSCOC_T00013753001 transcript:CDP20045 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWVVAAAAGAGYVAQHWKNFVKSRRSFPDSSCESPTFRPESLSSNQQAKGENSWPCDVLCGKKLEVELFLEKERLARDSSVPDIASTIGDCADCYTHSASNLVPGSSSYEDVHVAREGVGMCGDKDETYQDLSLLPSTQETAVSYGFARKKSSLRSRRTNGQSLKPLNSMESCLLAQLYKERAETAEYSCSSVSSPCTPTIKPFVVTDGSRIISRAIANSCNLSIGSVQSKLHTATNLEDIFGVPRLPSLGSMEFRRKAKTDIEMEQHRRSSLSCGVKGKHQRLQGGTSQGALFFCLGLSLGLISSFLTNKREVDKLSDLLKQTESLVQDLQDELEMKDSLTVKELAVEDSESQDTQNDPFNNGPLHAFSSEEKLNDCDNVYKDQKAEEESFSKIEAELEAELERLELNMSTSRLDRKISNLVELDADYVPDAVEGVLKAGAIARQSGPQPYAEQDRSGSSTTQSAHYAVSPRELTLRLHEVIQSRLEQRIQELEIALQNSQRKVQNMESQQTSYWRQLCKSESACCSTEGSPDFKEPNQADQPVVINLSAEALDADNEPFDEFTKVNELEEADSPSGLNYSHKQELLQTGGQKEYWLHNSNDDIGYDGMEEILIKHIVEQARKGSPVVLNAQRALFSPDENEHSQ >CDP20049 pep supercontig:AUK_PRJEB4211_v1:scaffold_856:18411:18797:1 gene:GSCOC_T00001458001 transcript:CDP20049 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITQAPIQFTKQPYIEDVGPRKIESIQFSTFGESEILKATEVQVYHGVYYDSAKKPWENGLLDPHMVSYLMFIVSFNSDELFLRMMN >CDP20048 pep supercontig:AUK_PRJEB4211_v1:scaffold_856:15240:16301:1 gene:GSCOC_T00001457001 transcript:CDP20048 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLISTISCLVLFLALSISSLSSPFPIFSCFFFFLSSPTPLSGLRSICSLCSLLTSDWLFFFPFLPIFSPSSSPSSFFFFFLSFLFTLSPSTPSIMFLSTSTISCLALFLPSSLSSVSSPLTILSCFFFFLSSPTPSGLCSICFLSSFLNSDWPVLLSFLFSSELLCTLTLSSLSASWPIFNKPSVLGSNSRFFLCFPFFSDLFTALTSSIPHLSFSIFNGSSSFTSNSRFFFRLPLLFTFLIFSSSGFLSTVTKISIFVSTTRGRDALCLRFEWGAFSSLWN >CDP20047 pep supercontig:AUK_PRJEB4211_v1:scaffold_856:136:9743:1 gene:GSCOC_T00001456001 transcript:CDP20047 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTILQVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRASFSLMCSYMGDGMDQIDLPTPALLKPVELWTGKQLFTVLLRPFAKMKVYVNLTVAEKNYQKPKETMCPNDGFVCFRNSELISGQLGKATLVLMFVIGTGNGNKDGLYSVLLRDYKSHAASVCMNRLAKLSARWIGNHGFSIGIDDVQPPDRLITARDEKISTGYAMCDELIEKYNKGALELQPGHDAALTLEAKITKVLNDIRDIAAKECLTCLQWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFIDRSLPHFPRKSKIPKARGFVANSFYSGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKALEDLSIQYDNTVRNASSCIVQFVYGDDGMDPSQMEEKSGHPLNFDRLLMKVKATCPAGDQKSLSPSDICKKTDERLSERDTTPEGGCSEAFRDSLSKFLKVKCVQNLEKTIESLKAQEEDHNSSFENISSNISGFTSRQLEVFLRVCISRYHTKRVEAGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKRISTPIISAKLEHEDNAKEASLAKARIEKTLLGQVSCF >CDP20050 pep supercontig:AUK_PRJEB4211_v1:scaffold_856:26256:32583:1 gene:GSCOC_T00001459001 transcript:CDP20050 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPLLPYVNTRRQPYSPQPPPPPISLCPLPENDEITIPPPNGCLTPSELKDRLIFGTPPSSSSTPLMDSSSSTLVDALALSINSPRKTSSEDLKSNIVGEKCNLDQQNGQQSWLTDPNIPWTKGNLHRSKTAPAMAVINDFDHSSAPKPPVFGSQSIIRQAVVLLIIYLSLGVVIYAFNTDHFKATETHPVVDALYFCIVTMCTIGYGDITPDTASTKLFSIMFVLVGFGFVDILLTGMVSYVLDLQENYLLRTLKNGGAHDPGRFVIDVKKGRMRIRMKVALALGVVILCIGIGVAVIHYVERLGWLDSFYLSVMSVTTVGYGDRAFTSLPGRIFASIWLLVSTLAVARAFLYLAEARVDKRHRSMAKWVLGQDMTVAQFLAADIDNNGFVTKSEFVIYKLKAMGKISEMDILQVCKQFERLDTGNCGKITLADLMENHH >CDP21975 pep supercontig:AUK_PRJEB4211_v1:scaffold_8561:207:2517:1 gene:GSCOC_T00012613001 transcript:CDP21975 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLQRKWNFTPKSDTVAITNYQNMLFNRHSIISTLVLYIEIDSVQIEYDPKLITFKQLLEVFWTSHDSRQVFGQGPDVGNQYRSIVFTNGTEESRLASVSKEREQTRSKSGIVTTQIQQLGTFYPAEPDHQKFELKRHPFLLQLMGNLPEEELEKSSLAAKLNGYAAELCPPRLQKRIHPKINDILRKGWPILREV >CDP21976 pep supercontig:AUK_PRJEB4211_v1:scaffold_8567:1179:2996:1 gene:GSCOC_T00006003001 transcript:CDP21976 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIQVALQTVVSLAADHVNLVREFPEELERLNESAARIQCFLAGADEDKHSPVVQKWLKDLEEEVFKADNVLDELNYEILRRKVKYQDQPMKKKINTNLESIHQDAQRLGLAYKHQVEEALATIAAGATTSRQTDSIIVRSDVLGRDEDESEIVKKLLAESESDRISVISVTGMGGLGKTTLAKAVFNNPRFNVNGKRFDKKIWVCVAKPVNILELFKMILETSTGKKADVDDRQVIVQGIEAELKEKRYLLVLDDLWNNNQDGLLDDFFSTLAGLNAKKGSWCLVTTRLQEVAIVLSRHRQINFARHELGKLCDNACWSIVKNWANVGEEVARGLEDIREQVLGRCDGLPLAAKLIGGLLYNKKTKEEWLSILKESLLKGGIEQIIKVSFDHLSPAPVKKCFAYCSIFHQDTKLEQDLLVELWMAEGFLQPDSQNERMMEKIGCEYLRTLLQTSLLEEVKEERRTWYKMHDLVHDFAKSILNRSSSNQDPDDMLSKFKYLHVLKLSGADAKELPTSIGKLIHLHLLDISESSIRTLPESLCKLYS >CDP21977 pep supercontig:AUK_PRJEB4211_v1:scaffold_8576:1:1809:-1 gene:GSCOC_T00002366001 transcript:CDP21977 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLRQLSTFLHEEGRLLGGLRQEVQFITDELGHMRAFLREAEAKEEDAQPRLQEWIKQVREAAYDTEDILDEFVARFARHPATGFYGSVRRIFSSIKNLRARHRVASEIQGIKSRIKCISEAHQRYQSEYGISAQASNSLSAVNNTTWRYSRDDALLVEEAKLVGIDQPKNHLISELLEGDDHQLKVVSVVVRRHFPVRAWVTVSETCDFQFLLKDLIRQLHEEGKKPDRRSIESMTTTELKKFVKDFLQQAGRYAIVFDDVWDVEFWNTIKFALPESGHGNRVMLTTRKADVASDCCIESRGYIYRMKPLSFVYSWTLFCNKIFKGGNCPGHLMDVAKGILGKCEGLPLAILAISGLLALKDVNRTEEWEMVRHSLGGELEGAGKLDRVKKILSLSYSDLPWHLKICLLYTSIYPEDYKIGCETLVNLWIAERFVEWRERMSIADVAWGYLSELVSRSLIQVTKVFYEGIPHKCRIHDLLREVILLQSREQNLVTVTTGQPTTWPSEKVRRLIVHGSSSNNTQHHQQRRSYCFHHLRSSSKLLKVLDLGGQETQEEI >CDP20051 pep supercontig:AUK_PRJEB4211_v1:scaffold_858:4207:15761:1 gene:GSCOC_T00008042001 transcript:CDP20051 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKHLILLSGTPSLSRLPFDIFHQINMLWPGLLGETKYDFAKTYCSVKLVNGCQGKVFQDFSKGIRLEELNVLLKQTVMIRCLKQHVLAQLLPVRQKIMRLVLRRSNIASAMAALGLVLAKSYFTSFLAALGVLNSDTSANDTEDEFSELQFQVNDVHKDHYISSAFSEKHLIYNALQISKLLGFLECLSIHPMLSGFDGKEATEATVSSQKMTIFAHHNIVYDRIQEFLLEKGIEYIRIDAHVSFIGKEAIQSFQSSEQVKIAIIGISAGGFGLTLTAAKNVVFLELPKEISSLNQAESRAHRIGQMKAVKIYIFCAKWQKLIKSLFEVLAVMNGKHAAISEIKGENYNYEENNYNEVIEQHCYCNRTITMGGEWNWYCIISSGKPSQACPTLGCNAFSIRAISGTAGTISSYNLEDSEATDKDEEVQSILSAAYSFSVATGNLFRIYKLRIQGNQESGVELDSNASGAIEAALTSAVQVEYLRFEVSQYTGRIHLYSCIPGIDSRPRPLFLSFRPEEFESEDLYEDAMKKGKNCRQDVQRYQSALILFMKQWNHLRPIERRKLLVKPLQLPLSTELCYLMESLSHDIGGLLKCRSTRCITHLDEINSH >CDP20052 pep supercontig:AUK_PRJEB4211_v1:scaffold_858:15927:17405:1 gene:GSCOC_T00008043001 transcript:CDP20052 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSKLLLFRNENAKRSEYFENLFCNLESYVEYSSRTKTKYLRETLFQVECGICTMCQLDCHGLVEKIRPLSNENQQQYIRVAAPKLAKHKSCEFDKLVQDPMEGNAWHADHLVPVYQGGENVRTLCVACHADVTAAQCVERCITRANAKRELKAAMKKSKDPQKRDTIDSKQEAFLLEITFIMISFLSGSCVSLFFFPETLNGILYKLKLYNM >CDP21978 pep supercontig:AUK_PRJEB4211_v1:scaffold_8587:3:1013:-1 gene:GSCOC_T00002213001 transcript:CDP21978 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKAILFSTLLFIPLVLSDDTVPAPADKAQLNSWFEQNVQPLASRKDTLDPALVAAEANPRIIKLKSDGSGEFKTIADAINSIPNDNTNRVIISLGPGNYTEKIKIERNKPFITIIGDPNNMPTLVFDGNAAKYGTVESATLIVESDYFNAANLILANSAPRPNGDVKGAQALAVRIGGDKASFYNCKFLGFQDTLCDDKGKHLFKDCYIEGTVDFIFGNGKSIYLNVELHVIPGDQQAWITAQARHTDAEDTGYSFVHCK >CDP21979 pep supercontig:AUK_PRJEB4211_v1:scaffold_8587:2329:2772:1 gene:GSCOC_T00002214001 transcript:CDP21979 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDVLRRTFLWEKVQPYLEETAFDEKPARRNTVPSAPEINLEATPSRARNRMITRKCTNLSHLLTLRSQGTKMGCRLAAPSVENVHGKVVGRSAARHQKSDAEHHIFVNIIWHLLISDFL >CDP20053 pep supercontig:AUK_PRJEB4211_v1:scaffold_859:35946:37071:1 gene:GSCOC_T00001123001 transcript:CDP20053 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQILLCLVLFSLGLLLPLPSHSADPSPLQDFCVADLDSSLYINGFPCKNPDNVSSQDFFANGFQQSPGEFNIFDVNVTRQDVQRFPGLNTLGLSMNRVVLKPGGLNEPHVHPRASELALVMDGNLFVAFVTTGNVFYWKIVTSGELFVIPPGLVHFQLNIGHENARFFASFNSQNPGIQFAPLALFNSTPSIPDLVLSKAFQVNQSIIELIKSRFATVSTVSTVLKSSY >CDP21980 pep supercontig:AUK_PRJEB4211_v1:scaffold_8592:1:2905:-1 gene:GSCOC_T00011764001 transcript:CDP21980 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAQQLSDMMLQLHALWLMPLQVTVALSILYTYLGISTIVAFLGLVSIMVFVVFGTRRNNRFQFNIMKDRDSRMKATNEMLNYMRVIKFQAWEEHFNKRIQSFRESEFAWLTKFLYSFCANIIVLWSTPVFIATLTFGSAILLGVPLDAGTVFTAISLFKMLQEPIRAFPQSMISLSQAMISLDRLDRYMMSKELMDDSVERVEGCGSEIAVEVEDGTFNWDEESRKEVVKDLNFMISKGELAAIVGTVGSGKSSLLASILGEMHKISGKLRVCGTTAFVAQTSWIQNGTIQENILFGLSMNQERYKEVLRVCCLEKDLDMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAQTGSEIFKECVRGTLREKTILLVTHQVDFLHNVDLILVMRDGMIAESGNYNDLLESGKDFKSLVAAHESSMELVEAESSKRRKTSVDQPTSPQACFSPRQEND >CDP21981 pep supercontig:AUK_PRJEB4211_v1:scaffold_8593:84:2988:1 gene:GSCOC_T00001006001 transcript:CDP21981 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAQQLSDMMLQLHALWLMPLQVTVALSILYTYLGISTIVALLGLVSIMVFVAFGTRRNNRFQFNIMKDRDSRMKATNEMLNYMRVIKFQAWEEHFNERIQFFRESEFAWLTKFLYSFCANVIVLWSTPVFIATLTFGSAILLGSMISLSQAMISLDRLDRYMMSKELMDDSVERVEGCGSEISVEVEDGAFNWDEESREEVVKDLNFMIRKGELAAIVGTVGSGKSSLLASILGEMDKISGKLRVCGTTAFVAQTSWIQNGTIQENILFGLSMNQERYKEVLRVCCLEKDLDMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAQTGSEIFKECVRGTLREKTILLVTHQVDFLHNVDLILVMRDGMIVESGNYNDLLESGKDFKSLVAAHESSMVLVEAESSKRRTTLVDQPTSPQASFSPREEND >CDP20054 pep supercontig:AUK_PRJEB4211_v1:scaffold_860:3785:10200:1 gene:GSCOC_T00006483001 transcript:CDP20054 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDQNSIPKDLRPLNVVRTVPEDHRIATVTSSARLVEGFYGNPPRDGSPSSAQAVYYPSTVSESGFVGLGFAGNGAIPVPVPGAVQVPVPGWVPRVVPLAPSGVLAAGGVDLGGSSFHSRGRSEGTVSDQASDGYVGGQTRIISVRRDISFPELYRKMVDIYGQNVVIKYQLPDEDLDALVSVSCPDDLENMMDEYEKLLERSPDGSAKLRVFLFSASEVDSVGMVHIGDWHDSGQKYVEAVNGYVDVTGRPAITRKESTASATSTHSSDVNGSEAVDSTARGSGEITGPPSTCVLSPGELNSTASEEALTRTSGFDPSPAVSAEASAVPPSMLVSNSGHSPSSANVENELAKIVTANGQQKMGYEMQQVEATIPVPSPYLHPYMDPQQETGIRSDYVQNPAQMGFPTQLLGTVAPVFAQQHITPTGTTHQQFFPAVHMTMVPSSYVSMNSNLVQPQQILLEPYPTESQLGQRIGHAPADPGYNAFHPPVPHTLLGGAYGWHQIPQTEHVAYSESYVPHQQGLAPDNFPRFEDCYMCQKALPHAHSDTLARDQKESPASSDSRSIYHSLCLDDRGQPVSRAFAAGGFGESVVEQQGIGSQPKLVTNLNHEVGNPPSEGNRLAQNVEGYYAKDRIIHQRPENIEQPRIPVSQGSVGVTGGIQSPYGVLVGTIPQTSPDIAVQPVLASSQYQVTQESMMRKPTNVDVPATGGLPLQTSDYVLHESPKDISGNFPVSAPFEDNTKAVHDHLKQIDGRIENLQISPADVLPISEQSKLPTDNPIKEEILDNRSQQVVAREAYLDAAFSKPKAVLDANNSRLNDMLPISSTEVPYVQKLQQSERYEVAQPPLAGDLGIYGHSKLGVNLVPDEILRNSAFSDVDSSQLSERNGTVGEWKENAPRYHPNIVTGGIEAVQSDGSTVSSISPSYRIGDLPDSSNSLFSNQDPWNLRHETHFPPPRPIKIQIKKESFGPRDASGENRFDNGELLMGSSTGLVTDSRAEDGVAHPSGNLIIDVNSEHSRPSKGSAEELIKQELQAVAEGVAASVLQSSLPSNPDLSGHGRSESPSTSVETNEVNNIDKGGVPNVDKIEEIKAKLPEKINFGFPISDGLGPLQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKASEEQRMRDDFWNEAINLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKGDRNLDKRKRLLIAMDVAFGMEYLHGKKIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSNLVSEKVDVYSFGIVMWELVTGEEPYADLHYGAIIGGIVSNTLRPPVPESCDPDWKSLMERCWSAEPSERPSFTEIANELRLMASKLPPKGQNQQPVSSKQPQVKS >CDP21983 pep supercontig:AUK_PRJEB4211_v1:scaffold_8619:1307:2440:1 gene:GSCOC_T00012926001 transcript:CDP21983 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHGRTQPTGDVERGGLAEAGEAESSFDLGLGTWDLLRRWRWRWSLTDAASNGVGDERSEGVDLNQSESVAAGW >CDP21982 pep supercontig:AUK_PRJEB4211_v1:scaffold_8619:424:639:1 gene:GSCOC_T00012925001 transcript:CDP21982 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTAGVPTDFGPASSPFTYKDCKDDKQHGAELKSRRPERTSIGGRPLRKAAEKVQSYKEVPLNSKMRRAN >CDP20055 pep supercontig:AUK_PRJEB4211_v1:scaffold_862:38490:41267:-1 gene:GSCOC_T00009951001 transcript:CDP20055 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLINQLATLLSQEATLLGELQPDVQFIKDELGSMKAFLRKAEAKEDNDSQLQEWVKQVREVAYDTEDVLDDFAFQFARGQTDGFCGYVGKIYSSIKNLKVRHHISLQIKDIKARVEQISARHQRYQSLNGTQERGSSSSHMANADFHIRDQALLIEEAQLVGINKPKEELTAKILDDHSHLKIVSVVGMGGLGKTTLVKKVYDDSAVKKQFQSHAWITVSQKFQFNVIIKNLIQQLYDEIRQPVPSQVESIDGIRLSEFVKDFLKERRYILVLDDVWSLDFWEAIKYILPDYNVASRVVLTTRIADVASASCLASHDFVHKMKPLSDEQSWTLFCNRTFQSNGCPPSLEEVSRKILKKCEGLPLAIVAIGGVLALKDKERMDEWEMILHGFGSEVDGSGKLDRIKRILLLSYNDLPHHLKGCLLYLSIHPEDFPIQVINILEKWITLGFVEEKEGMATTDIAMRYLKELINRSLIQIKDTWDDGQLKACGLHDFLHEIIISKSKEQSFTTTATGYYTRWPVKVRHLAIHNFTNKPQDFSSLKCLRSVQIFRYEDPLTTSFLSKFLCGGPKFLKVLGLEGAELDNIPKEVFKLFHLKYLNLRGTRVKIIPKSIGQLQNLEVLGLAETNITELPVEILKLRKLRVLVVGRTGDYSNNTIWGFKSPDGIGKLISLENLGSIEADSDEIVREIGKLIRLQKLTITKLRREDGKELLSSLLRLTNLQELDIQSIKEEETLDLQHFFPPRLGFLRVLHLRGHLERVPQWVISLQSLSELRLQYSGLKEDENVIGSLGQLPNLRFLMLHRAYEGETMCFKIGGFRKLRRLQLQQLRRLKWVRVEEGSMPSLQLFTFAGCKLMEGLPLGLQNLTGLEGLGLYDMSNELIHKVQNLDKQSGDYQIISHIPQVCIGHWIDGRWEREFL >CDP21984 pep supercontig:AUK_PRJEB4211_v1:scaffold_8624:93:2153:-1 gene:GSCOC_T00007873001 transcript:CDP21984 gene_biotype:protein_coding transcript_biotype:protein_coding LIILQWLHDHPEYKSNPFYVSGISYGGIPVPILTQLISNGKIFSIRLHLWLQGYILGNPITKVSGILNYRVLFAYGMGLISEELYKSLKVSCKGEYEIIDPSNAACSKNMQAYNEASNHIYAIFIHSGIYSSQMSSKILLMEWITLVDHWANNKSVQEALHVRRETIGQWVSCSGTLPYTENAGSVVPYHANLSTKGYRSLIYRFGT >CDP20056 pep supercontig:AUK_PRJEB4211_v1:scaffold_865:7551:11231:-1 gene:GSCOC_T00004038001 transcript:CDP20056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated ion channel 18 [Source:Projected from Arabidopsis thaliana (AT5G14870) UniProtKB/Swiss-Prot;Acc:Q9LEQ3] MNRRIFSRPASHLRHIRRSLTTHNAPHLVNVLLWRYQILDPSSDIVNWWNHVFLVTCLISLFIDPLYFYLPYVGEMACMTTENEASVSITYCRTLADLFYLLHILFKFRTAFVAPSSRVFGRGELVMDARQIAMRYLKSDFIVDFAATLPLPQATIYFAQFYVLDFYTTFSLYGTSINYIVIWYVIPATKSKKSGQADNTLALIVLFQYIPRLFVIFPLNQKIIKTTGFIAKTAWAGAAYNLLLYMLASHVLGASWYLASIGRQLSCWKTECRAEMDAFPPCSLKFLDCDSVGQIERNYWLNATKLVTRCDAKNDDSDFKFGMFAHAFTSAVASSRFSHNSYGQNLQTSTYIGETVFCIVLCIGGLILFARLIGNMQTYLQSMTVRLEEWRLKKRDTEEWMRHRQLPPELQERVRRFVQYKWFATRGVNEESILLSLPLDLRREIQRHLCLNLVRRVPFFAQMDDQLLDAICERLVSSLSTEGTYVFREGDPVDEMLFVIRGQLESSTTNGGRSGFFNSITLRPGDFCGEELLTWALVPNSSLNLPSSTRTVRTLTEVEAFALRAEDLKFVAIQFKRLHSKKLQHAFRYYSPQWRTWGACFIEAAWRRYKRKRLAKELAVQESLYTDWDGNFTYQQKSDDGLYNGSEEVPLVDIDNNGQHLGATILASKFAANTKRGVVQKVQVADASSSSLKMPKLFKPDEPDFSLV >CDP20057 pep supercontig:AUK_PRJEB4211_v1:scaffold_865:31970:41218:1 gene:GSCOC_T00004039001 transcript:CDP20057 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIQSVEGKEAAKSRFKFIMGSDRTSRSRREISSSKSSHNRGSDDDRHQKKNRKITEDDIAEYLAKKAQKRAAKVAKKLKAQNISGYGNDSNPFGDSNLNERFVWRKKIERDITEGMPLETFSLKAEKKRQRERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDILTKHLNPSDDLDIEINEPYMVFKGLTVKEMEELHEDIKMHLDLDRSTPTHVQYWEALLVVCDWELAEVRKKDALDRARVRGERLPPELLAEERGMHSSIEADVKRLLQGKSYGELEALQSQIEAQMQSGTAKVVEYWEAVLKRLHIFKAKACLKEIHAKLLRKHLQRLEKPLEVGDSERELTPQPDEEDASSDGKGVETLSPEPIMRQETPELDEEAGSYSPQLIHGDENEEAIDPEEDMAVLERKRMAVLEERRLQELTVRPTPPEDNFEKKAINE >CDP20058 pep supercontig:AUK_PRJEB4211_v1:scaffold_865:45221:48781:1 gene:GSCOC_T00004040001 transcript:CDP20058 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHRSSSSKSSLRHQKKKKKITEDDIAEYLAKKAQKRAAKVAKKLKAQNISGYGNDSNPFGDSNLNERFVWRKKIEQDITQGMPLETFSLKAEKKRQRERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDILTKHLNPSDDLDIEINEPYMVFKERNTAMAAMILNHSHFLMGMGVSKPWGKFCSRGLMFFMSKSSNLHLSGLTVKEMEELHEDIKMHLDLDRSTPRHVQYWEVIPLFLSPVLSLLGRGFIEMYAPLLVLNWYSIAFVTLL >CDP20059 pep supercontig:AUK_PRJEB4211_v1:scaffold_866:14056:18803:1 gene:GSCOC_T00013764001 transcript:CDP20059 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGTIPPQLGNLSFLVRFNVMNNSFHGHLPTELSRLRRLKYINLANNDFEGEFPSWLSNFTNLETISLGNNFFTGNLSEEFSALPKLTVLDIQQNQLAGPVPRALFNLSSLQIIGFTNNSLSGYLPAHICDHLPQLQGLYLSLNNFEVWNLTTLTGLYLGGNDLTGKLPTSLLGQQTIFTFFSYQFDLSGIIPQEVGNLSKLEILSLGSNRLRGPIPLKLFNSSTVQVISLTDNDLSGELPSTIGAFLPNLEELHLWGNEFTGTILTSISNASRLRMLDLGSNHFTGAIPYSLGNLRLLEHLGSCGIKSEIPSSIGNLSNLIELFFQNNSLTGLIPTTIKWFLKLQRIDLSDNQILGAIPSEFCNLLNLGELRLGQNMLSGVVPSCLGNVTTLRYVYLNSNNLSSVIPTSFWSLRDILEIDMSGNCLTGSLPAEIGNFKALVYLNLSNNQYLGGIPSTIGALQNLQELSLERNNLQGLIPDSIKNMLQLRHLDLSFNHLEGPIPHGGPFSNFTNLSFLSNEALCGAPWLQPCTSTFEHESRTKRIVMIVLLTSGSVILALVISIFLMRLKLRKKTLAATQNLLPMATFERTSFHELRQITNGFSESNLLGSGSFGSVYKGIRENGMVWAIKVFDLQLEGAFKSFDRECEVLSCLRHRNLTRVITACSSLDFKALVLEYMPNGSLEKWLHVNHHVLSIMQRLDITIDVASGLEYLHYGYSTPIVHCDLKPSNILLDQDMVGHVCDFGIAKLLGDGESVVQTKTLATFGYIAPEYGLEGLVSTSCDVYSFGITLMETFIKRKPKDEMFTEELSLRCWVQDCLPDSVIQVVDRDLLHPENELVQKKINCISSVLQLGLSCTTDAPEERINMKEVLRALQKIKLQFIKDITP >CDP20060 pep supercontig:AUK_PRJEB4211_v1:scaffold_866:21350:23400:-1 gene:GSCOC_T00013765001 transcript:CDP20060 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWIVLGYVAAAEAAMMVLLLTILSADQLTWPSNIHKKEPPKVISDDGLVLFVLDVGYLLKVLNQRIGKLRNHE >CDP20062 pep supercontig:AUK_PRJEB4211_v1:scaffold_867:27524:29570:-1 gene:GSCOC_T00007459001 transcript:CDP20062 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSSVAIYLITSLKFFFVCKELASIFVHLSLKEGFTVFRDPIYIFSEFSSDVGSSTVKRIADVLKLRIYQYPQDYKADAGPLAHPVRPHSYIKMDNFFTGKLLCMRRYLSLSLSLSRSVMLVPWLTLFDLTFITRS >CDP20063 pep supercontig:AUK_PRJEB4211_v1:scaffold_867:34647:35737:-1 gene:GSCOC_T00007460001 transcript:CDP20063 gene_biotype:protein_coding transcript_biotype:protein_coding MNANGQDCSFDVGSSTVKRIADDAGPMAHPVRPHTYIKMDNFYTGKLLFH >CDP20064 pep supercontig:AUK_PRJEB4211_v1:scaffold_867:47647:48083:-1 gene:GSCOC_T00007461001 transcript:CDP20064 gene_biotype:protein_coding transcript_biotype:protein_coding LEFINILRILIPWLTLFDLTLISRWTTFIQASSCFIELVNNSFIYVLAI >CDP20061 pep supercontig:AUK_PRJEB4211_v1:scaffold_867:20072:22444:-1 gene:GSCOC_T00007458001 transcript:CDP20061 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKEQEPRAPPPGVQWWCYHSHCKCSFESRDALLKHNTSVHGRLKFRELNFLHFFINSYESSRYFFSFFVCKEPASVFAQLSLKEGLTVFRDPVYIFSMEAVEFSSDVGSSTVKRIADVLKLRIYQYPQDAGPMAHPIRPHSYIKMDNFYTGKLRFH >CDP21985 pep supercontig:AUK_PRJEB4211_v1:scaffold_8670:87:695:-1 gene:GSCOC_T00005087001 transcript:CDP21985 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVKFSPALLMLFTIFSTPSQAFDYADALPKSLLYFEAQRSGRLPYHQRVSWRHHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMPFTVTMFSWEMGCYNVLMGCYREMEHALEAIKWGADYFSKAHTRPNVNYMLHA >CDP21986 pep supercontig:AUK_PRJEB4211_v1:scaffold_8676:56:710:1 gene:GSCOC_T00009979001 transcript:CDP21986 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVLRTKGGEDHAIYAKNSSCQRLASIKFNSALKQSIQEFCRANLAAAAGCINIADLGCASGPNAFLAIQDIIESVDREFRESNIYLELPSIQVFLNDLVSNDFNSIFRSIAEFLSKTWGLLRTQSRVIAAMPGSFHGRLFPDNSMHFVYTSYSLHWLSQVCNLQVNEFLIML >CDP20065 pep supercontig:AUK_PRJEB4211_v1:scaffold_868:29975:30381:-1 gene:GSCOC_T00013337001 transcript:CDP20065 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDLLKLLTNFCSIYAESDILLHRSCQSLSFWIDNNLIHIDQVNRLLEHGDDSSKGMKKYAAIGKWNTPPLRTLSQTICNRGC >CDP20066 pep supercontig:AUK_PRJEB4211_v1:scaffold_868:33083:41657:1 gene:GSCOC_T00013338001 transcript:CDP20066 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMACIFRRSVRRCPTYLRCKCLSTTPSPGVKNQKNERIEKILIANRGEIACRIMRTAKRLGIQTVAVYSDADKHSLHVKSADEAVRIGPPPPRLSYLKASAIIEAANKTGAQAIHPGYGFLSESAAFAQLCEDKGLIFIGPSASAIRDMGDKSASKRIMGAAGVPLVPGYHGQEQDIELMKLEAEKIGYPVLIKPTHGGGGKGMRIVQNPSEFVDSFLGAQREAAASFGVDTILLEKYITKPRHIEVQVFGDKLGNIIYLYERDCSVQRRHQKIIEEAPAPNINNNFRNHLGQAAVAAAKAVNYHNAGTVEFIVDTLSGQFYFMEMNTRLQVEHPVTEMVVNQDLVEWQIRVSNGEPLPISQLQVPLSGHAFEARIYAENVPKGFLPATGVLHHYRPVQVSPEVRVETGVTQGDMVSMHYDPMIAKLVVWGEDRSTALIKMKDCLSKFQVAGLPTNIDFLSKLANHDAFRSGEVETHFIERYKDELFLNPSDSVLAQEAYHAAKHAASIVAACFCQSEHAAVEKDIPRGLSLWYAHPPFRMHHNARRIMEMEWDHEYNKGSKKLLKLFVTYKSDGKYAIEMEENSSPALEVDVEHLSDLDFRVEVGGVSMKVSLAVYFKDQTEYIHIWLSSCHHFFTRKMTLELFDDGDKQHKPVLESASHPPGTAVAPMAGLVVKILAKEREKVEEGQPIIVLEAMKMEHVVKAPTSGYVSGLQLTAGQQVFDGHVLFIIRDS >CDP21987 pep supercontig:AUK_PRJEB4211_v1:scaffold_8687:3:2591:-1 gene:GSCOC_T00012711001 transcript:CDP21987 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISLGTDQSALLALKAHITSGQQEFLSKNWSSTAAASSVCDWIGVQCGFRHQRATALNISNMGLTGTIPPDLGNLSFLVSLDLRNNSFHGNLPEELSHLHRLRFIRFSNNRFTGAIPMCLCKISQLQSLDLSSNRFSGDIPKEIGNLEKLTYLSLMNNNFTGVIPRELGKLHGLKVLVLGRNNLTGTIPREIGNLQNLQGLNLEWNQIAGSIPKEIGNLTMLTELYFANNSLTGTIPPEMGNLYQLENLQLPYNGLNGSIPPGLFNLSALRNIALSFNRLSGNLPPDLRYRIPKLFALDLYQNNLGGIIPVSITNCSELWLLSIGSNRFTGTIPDSLGDLRLLRYLHLADNYFTSMELSFITSLTKCKNLVYLTLGANPFNGLLPASIGNLSASLKQLSIGSSEIKGTIPSQTGNLTNLVLLDLQSNHLTGGIPTAFKNLQNLQVDLTTNQFSGSLPECFGNMTSLQELELGNNFLVSAIPNSFWKLRDLLELNLSSNSLNASLPLEVGNLKAITSIDVSANQFSGDIPRTTGDLQNL >CDP21988 pep supercontig:AUK_PRJEB4211_v1:scaffold_8698:1152:2905:-1 gene:GSCOC_T00011272001 transcript:CDP21988 gene_biotype:protein_coding transcript_biotype:protein_coding YRICDEYVFLRAPAIYVNIFSWEAMGQVSLATLYNILQILADAMGLGKTVMTIALILARLGRGTLRIKNRLPKVRWKDELETHSKPDSIFISVFIGGDRSSDPRVIAEPDVILTTYGVLTAARKNDGENSIFHRVDWYRVVLDEARTIKSSKTLGAQVAFKLSSYCRWCLTGTPLQNKLEDLYNLLCFLHVEPWFTIQFLWQKLIQKPYESGDYRGMMLIKAIWRPLKLYYLQTEVIIISFYVITVSFYFFNSL >CDP21989 pep supercontig:AUK_PRJEB4211_v1:scaffold_8699:1417:2655:1 gene:GSCOC_T00007369001 transcript:CDP21989 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGRQIVDFHGEMVLLENYSALNYTGICLLSISYTLLMYFRKRSSFCFRWINSDVSLLHLEGLFLRLRLGKWEVGLGGTGYYVACITDCKTSISVSVGGIKCSVGSQYVSNQGFLEDELKVWWSRTLRNGGKIPSTEDLETKLRQRIKLGF >CDP20070 pep supercontig:AUK_PRJEB4211_v1:scaffold_870:34416:35333:-1 gene:GSCOC_T00008521001 transcript:CDP20070 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATMAQALQLHAQVLKSGCQNHNPSTEQTLSKLFTFSALSPSGSLSYARLILNSLQTPNSYYYNTIMIRAYSDLTHPAQAISLFLAAMQNPPSPGTPRPDKFTFPLVLKACSKLRRIPLGEQLHGLVCKLGFGPDMHMSNALIRMYSAGGVPDLAFKVFDKMLERDVVSWTSIIDGLVDNDKPVKAIALFEHMLENDVECNEATVFSVLRACADTGALSMGRKVHDLVREKKLISLNGKVSTALIDVYAKCGCIDGAKEVFSETVNKDVVTWTAMIAGLAIHGQCEEATELFDKMKGLDIKRR >CDP20072 pep supercontig:AUK_PRJEB4211_v1:scaffold_870:44157:45495:1 gene:GSCOC_T00008523001 transcript:CDP20072 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMLLLKNIGLLFLLISASLLSASSAGRHPRFASNSKKVAGAGGHEEVQKEHLSHEEVMEVHERLLKVNTKDYGRYDPSPALGKPPFKLIPN >CDP20067 pep supercontig:AUK_PRJEB4211_v1:scaffold_870:2133:7105:1 gene:GSCOC_T00008516001 transcript:CDP20067 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAMSGPIERPYRPSMEKIDLENADDERKTRLGSLKKAAISASTKFRHSLTKKGRRNSRVMSVVFEDEHDAEELKAVDALRQALILEELLPSKHDDYHMLLRFLRARKFDIEKTKQMWADMIQWRKDFGADTIMEDFEFKEKSEVLKYYPHGHHGVDKDGRPVYIERLGQVDAVKLMQVTTMDRYVKYHVQEFERTFADKFPACSIAAKKHIDQSTTILDVQGVGLKNFNKSARELIQRLQKIDGDNYPETLCRMYIVNAGSGFRLLWNTVKSFLDPKTTAKIHVLGNKFQSKLLEVIDASELPEFLGGTCTCADKGGCMLSDKGPWNDADVMKMVRNGEHKCSNKIIIPNVDEKTISEDENANSKKNHSFKLESPRVQRTRSQLSAVQEEVASKCRPVTERERCIPVMEKTVDTTWHKISKIDDFALSKAADYYPVHHHDACKGNDGFSNQVFTGVMTFVMGIVTMVRMTRNMPRKMTDATFYSNGSMYGVEAMVKGHAYELPAPAISSADYFTMMKRMAELEDKMSVLGNKSQTMPPEKEEELNNALSRVDALEQELASAKKALDEALLQQQELLAYIEKKKKKKKFFGF >CDP20071 pep supercontig:AUK_PRJEB4211_v1:scaffold_870:36941:42593:1 gene:GSCOC_T00008522001 transcript:CDP20071 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDILGVSIDASPAEIKKAYYLKARVVHPDKNPNDPKAAHNFQVLGEAYQVLSDPAKRETYDKYGKDGVAQDTMVDPATVFGMQFGSDFFEDYVGQLRLASLAGVEIDDNSENPDVRTQKIQEKLKALQKDREEKLIGNLKDRLQPFVEGRTNEFVEWAKAEARRLSHAAFGEPMLHTIGYIYTRQAAREIGKDKRYMKVPFLAEWVRDKGHRIKSQVIAASGAVNLLLLQEELKKSNQGELSEESVMKAYEEKKEEMFNSLWQLNVVDIEMTLSRVCQAVLRDPSVSKDVLKLRANAMKKLGTIFQGAKAIYSRETSLRHESSQLQVPTSSSKSG >CDP20068 pep supercontig:AUK_PRJEB4211_v1:scaffold_870:7401:13451:-1 gene:GSCOC_T00008517001 transcript:CDP20068 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGSSGNDERRERKSDFENSEDERRTRIGSLKKKALNASSKFKHSLKKKSSRRKSDGRVSSVSIEDIRDAAELQAVDAFRQALLLDELLPEKFDDYHMMLRFLKARNFDFKKAKQMWADMIQWRKDFGTDTIMEDFEFEELNEVLNYYPHGYHGVDKEGRPVYIERLGKVEPNKVMQVTTMDRYIKYHVREFEKCFAIKFAACTIAAKRHIDSSTTILDVQGIGLKNFTKAARDLVLRLQKIDADNYPETLHQMFIINAGGGFRLLWNTVKSFLDPKTTSKIHVLGTKYQNKLLEVIDASELPEFLGGSCTCADAGGCMRSDKGPWKNPEILKMVLSGETRRARQVVKVLNSEGKVVYAKPRYSMLRGSDTSTAESGSEAEDIASPKATRSYSHLRLTPVREEAKCIGTSSYVHNLSGYDEYVPMVDKAVDFGWKKQAPVQKPYASQGLLPPPETPKMPEGLRARIIAALMAFFMTLFMLLRSVTARATKKLPDSTFKQTQTFQEFSPGDISKEDFRPPSPTPAFTEAELLSSVVKRLGELEEKVSTLQAKPTEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDSQAEAKFQAS >CDP20069 pep supercontig:AUK_PRJEB4211_v1:scaffold_870:27977:29486:1 gene:GSCOC_T00008519001 transcript:CDP20069 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGTSSGSSGFQNSGSEEDLQHLMDQRKRKRMISNRESARRSRMRKQKHLDDLMAQVAQLRKENNQIITSMNVTTQQYLNVEAENSVLRAQVAELSHRLQSLNEIIEFLNVCTGGLAAEGSYGFGESADGFLNNSWNHLYLNQQQPLMPSANMLHY >CDP20073 pep supercontig:AUK_PRJEB4211_v1:scaffold_871:42715:43251:-1 gene:GSCOC_T00000075001 transcript:CDP20073 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSVSAYSAQTLLPLHLKSKSSKPFNPINLKPSSPNSFLSPYKFKAFCHFVTVASSEPSPVVHSTATNQSRNVSFSEESETQIHVEQVGSPFVGPSNFGFPKLSTSDQAFSLLLFIAVTTTASLVGFLAAAIPTLFAMRRAVISVAKLADTARQEAPSTMAAIRLLAWRSVISPMN >CDP21990 pep supercontig:AUK_PRJEB4211_v1:scaffold_8715:1992:2874:1 gene:GSCOC_T00012498001 transcript:CDP21990 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLNQLKDTRLAKAPPFKGSFHSRVTDWSPHAQLQSPHRLFLSPQVFDYATCESFASSICYCFRLFSCGTSKEGESHLVEWNEREGAVKKTYSGFRKRSLGVVQFDRRNRFLAADDEFQIKFWDMDNNHAITFTDADGGLPGSPSPRFNKEGSLLAVTTRENGIKILVNTDGQYLLRMRESRTFEGSRAFSEQVNV >CDP21991 pep supercontig:AUK_PRJEB4211_v1:scaffold_8736:57:1082:-1 gene:GSCOC_T00010406001 transcript:CDP21991 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFKIISIFLLVLLLFPSFQPKCGASASVEEAAALLKWKASFQNQNNSNLTSWNLQSINAKNSSSLPCTWAGVYCIRGSVNRLNLSNWRIKGSLYDFPFSSLPNLEYLDLSLNQIFGSIPKQIGKLQYLVELYLDNNNLTGSIPASFGDLNRLAELRLFQNNLSGPIPSAIGNLIPLRFLHLNQNNLTGAIPKSLGDLINLIELHLFDNQLSGSVPKELGNLKFLTIMAMSQNQLNGSIPPSIGNLSNLRTLFLRDNQFSGTIPQELGNLNKLVVLELDHNQFFGPLPKLLCQ >CDP20074 pep supercontig:AUK_PRJEB4211_v1:scaffold_874:45282:48846:-1 gene:GSCOC_T00001376001 transcript:CDP20074 gene_biotype:protein_coding transcript_biotype:protein_coding NMVMHLWCMIFSYLLFSFFMHRHESKCIQEIVGDVTAKLGRVIAVEEKNQVGIDSRVHKVNALLNLGSDAVHFLGIWGMSGIGKTTIARAVFDRISTHFEGAIFLHEVREQSKSLEILQEKILSKILCLKDLRISSVFEGSNMIMRRLCYKKVLIVLDDVDHLDQLEALAGKHHWFGAGSRIIITTKNKHLLVTHGVDKMHKVELLNQSEAIQLFSRHAFKKDYPARDYEELSNEIVHYAGCLPLALKVLGSFLYGRDMAEWRSEVERLKRIPEDEIMEKLKVSFNGLREVEKEIFLDIACFFKGKKEEYIRRVLDSFDFYPDIGIKVLIEKSLVTVFGGKILMHCLIQEMGWHIVRQKAPDEPGKHSRLWVAEEICDVLARDKATENIVGMWLDLSTAKDVVIKNEAFEKMKKLRLLKINNACVSRCPNCIPNGIRWLDWHGYPSKSLPQSFQAEKLVGLNLQYSRIIHLWKGIKSLDKLKYIDLSYSQKLIRTPDFTGIPNLERLILEGCSSLAEIHPSIEHLTSLVLLNLRGCESLASLPSGLCRLKCLEALILSGCSKLDKLPDELGHALSLKELYVDGTAISKLPSSILLLKNLKTLSFRGCKAKASRKCRAFSPSWLRGQKSQNSTGLVFPSVSGLNSLAKLDLSDCNLSDKGLPCDLGSLSSLVELNLGKNNFTSISAASIKNLSRLRILELVGCKRLEILPELPPCIEEVYADNCTSLQSATDLTKHGLLHRVSFSNCFKLLQDERTSSMIYATWNHMLKKFSLVDGSFSICLPGGSIPSWFTYQNSGPSITIELPPNWYNNEFMGFAVCVVSDLIRTPFLLELQWRELLQKIPGFPVQFTLIDKEMNRFCYVFTMAFVGAENNIDSEHTCLGYLPFDNILDALAFTRRRNGSLWSNSLRSPNDWTCIEASAHADAKECLVFKEWGISLVYENDFRQNSELLMIPQSSELGERRFSSNVIVNRLKSSRRRRRGPEALPGFPSELTQIGDPSVRRPIRVDAVHLFSTVATDLPSLMSNVHHAHGSIGGCDTITNPGRYLCLAQSAWYPW >CDP21992 pep supercontig:AUK_PRJEB4211_v1:scaffold_8743:2:835:1 gene:GSCOC_T00000564001 transcript:CDP21992 gene_biotype:protein_coding transcript_biotype:protein_coding HISDFGTARILRPDSSHWTSFAGTYGYAAPELAFTMEVNEKCDVYSFGVLVLEVIMGKHPGDFILSTLSASSSTSTAYDMLLKDIVDPRLSSPSKQESKQVTLVAKLALSCIEPNPLLRPTMKQVCVQLLKEIPSQFNVFSIVTIGQLLDLQMANV >CDP20075 pep supercontig:AUK_PRJEB4211_v1:scaffold_875:11470:12009:-1 gene:GSCOC_T00010404001 transcript:CDP20075 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIAEARKMRSLGSSYACLESLSYQFLQRCLVSGTAKGKSKIKGAQPLKRSKVTTKKGTGSGDSNQKDGGPRRKSEFDELVDECLASTSPIRFLKPKEKAREAEREKMGLKSKVMEEQEKKWKKMKNEFDSPFLMGTPGLDLIKNFEKGWFTNILPLNILRKDCADEFTPQKVDFRLS >CDP21993 pep supercontig:AUK_PRJEB4211_v1:scaffold_8750:2:901:-1 gene:GSCOC_T00003246001 transcript:CDP21993 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWVVVLCSFLSLVGVFAQDLSSIITKPLFEQMLKHRNDANCPAHGFYTYEAFIAAARSNGAFGTTGDITARKREIAAFLAQTSHETTGGWATAPDGPYAWGYCFKQEQGNPPDYCVANQQWPCAPGKKYYGRGPIQISYNYNYGPAGRAIGSDLLNNPDLVATDPT >CDP20077 pep supercontig:AUK_PRJEB4211_v1:scaffold_876:34042:35498:1 gene:GSCOC_T00008855001 transcript:CDP20077 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNSAISFLLSPARSCVDYFSCDTPVDNKRLKLFGFEIDSCQKSTTAPRSERDESVSSSDTVLSKADKNLPGKGCISVDSSKVVHSRTDELPKAAGNPLCSIELQKFKCEFCSKKCTNSQAFGGHQNAYRKERLMKKAYSFKPKGQALMPKFYLFLTSDAVIYHYSPPDLGDLPFCLSVCIVGKSQIYSFASLNQHQNFDGLHFSHSKALSRHCKFQL >CDP20076 pep supercontig:AUK_PRJEB4211_v1:scaffold_876:24388:33636:1 gene:GSCOC_T00008854001 transcript:CDP20076 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGTKRKQPLNSSSSSSITKSLKRSKTIGIGNTEPYPDHPRPTPDECLAVRDALLALHGFPKEFLKYREQRLKKENPSFEKNSLNPEPPEKLHVEEKSDSSGKSEGEESVLDGLVSTILSQNTTDVNSQRAFLSLKSAFPTWEDVLAAEPNHIEDAIRCGGLAPTKASCIKSILNCLFEKRGKLCLEYLRDLSIGEVKAELSQFKGIGPKTVACVLMFHLQQNDFPVDTHVFQIAKSIGWLPVLADVKRAYLHLNCRIPNNLKFDLNCLLFTHGKVCRQCSRKGDYRLKKESKDESCPLLT >CDP20078 pep supercontig:AUK_PRJEB4211_v1:scaffold_877:3060:5862:-1 gene:GSCOC_T00013644001 transcript:CDP20078 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLLSCSYTLRESRLLLLESWKQINLTRYDSLECICVLVCDDSEEVSSVAQAFIGYLFSSNREHLEHDFDAIFSRLIDKIPRAVLGNDETIALSHARKLLVTIYFSGPRFVAIQLLHSSVTAAQCLDNFALCLSQNATFSGLLDKLVAARPPSAGYMDPIAEMKSMRHAGSEGFESTETTKVAYPPKNVSNACAARHATQYNGSQKLYKALAAVLRLVALSLFAGSLFAMIDIPLGYLHKLISEIWNRECNMESWDSWYNRTGSGQLISTFGRMFQHSNLICQEIEECDANGYARPCKRDEPAPDNNLWHICNHSRAKNNLNSQYREALHFFNDNALLHQEIYLFLHFLRIGVLTMCLGKEYSSSGFLCSSLFMLLRNLICFNFKLKTGVAFLENWWFLLLITSSFSIVSNSDYVIDSICWQLHHLDLNPHMPSVLAAILSYIGEAHKILPLLEEPVWIYFSSSNILQQKSYLFLMFDRVAEIGKASKQEACTLPSQA >CDP20079 pep supercontig:AUK_PRJEB4211_v1:scaffold_877:48926:49841:-1 gene:GSCOC_T00013646001 transcript:CDP20079 gene_biotype:protein_coding transcript_biotype:protein_coding TICFGGALIMALYKGKAFYIIHRTQKHHRMLTKSKPQNRTLGTIFLIGSCLSNCFWFISQGKLLEIYPYKFWTTFFICIIGSMQQAVIGLCINRSVGDWRLGWNLELLTIFLSGTLAAALSFCMISWAVAERGPTYPAMFTPLRLIFVAIGETLFLDEVLTVGGLLGMSLIITGFYSFLWAKNKEIKAKTTNADAEVESMPDESATA >CDP21994 pep supercontig:AUK_PRJEB4211_v1:scaffold_8776:1876:2645:1 gene:GSCOC_T00011704001 transcript:CDP21994 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESKVVLHGMWSSPFVKRVELAMKIKGIPFEYVEEELSNKSPLILKYNPVHKKVPILVHNGKPVCESLLILEYIDETWPSGPQLLPKDPYQRAKVRFWAAYIQQLLTSMARLFNDGKEAQGKALEEVHEKLRNLEDGVKEFYFPEGSISPDHVLAEKLGILDIMMSATLGPFKAHEEAFNVKILDPEKNPLIFSWVQALIQLPVVKQTAPPHDKYLGLLQFLKQSGFKF >CDP21995 pep supercontig:AUK_PRJEB4211_v1:scaffold_8778:2305:2716:-1 gene:GSCOC_T00006236001 transcript:CDP21995 gene_biotype:protein_coding transcript_biotype:protein_coding ITDAVVVAWILNATLFVLKLDHQSFWKNDSDFLNIFDVDWFISYLRNDTSHLNSTRGHREICKTQHPRFLYVAIGSKNNSSDQIQCQ >CDP14595 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:507107:509885:-1 gene:GSCOC_T00041145001 transcript:CDP14595 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRFIFLAPSRRSTIIKPSYRNPNRLLRYKLSPQISFARVYSDGIIPNSQSSLLCVLSPPSKMVNVPKTKKTYCKSKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >CDP14588 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:159359:159927:-1 gene:GSCOC_T00041128001 transcript:CDP14588 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLGLSIVRVENYENWSWFLGLLVQDLEISDSNSWCAMTDNKNQGLHILGLIKKDPKTWCRAHFRCGLDCDILVNNTCESFNVVILKARSLPIMAML >CDP14585 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:76599:80810:1 gene:GSCOC_T00041123001 transcript:CDP14585 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPANGGADGGAARGGGRQQQEQQGVGQMLTGIIRVAVFWYFASKFFSPKKPLNPNQPSSQISNLFHKAEPLDMWFYLSEQEKFNDFSSESALVWHETNIPYAVWGPESTRRLSLKYYPTEALKHNGSLYAHVFFAHSGFPPDPNDPEYQPSAAFGHTYSVVTYLPKSKANKKKSLLGNSKDSDVAETQAKAIFSGS >CDP14593 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:423618:429283:1 gene:GSCOC_T00041142001 transcript:CDP14593 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLMVLRMVRCLMLLGNARLICSVLQLKLYLLKHQKNVPCLQLLGIEKLTWLMGVAWLDDQVILLENFSINRACIFQIEGAWRVQEHTRNCRSYNFLVLDYYNIFDNIYGNPEKAHHNCVAVRGASIYILGPSHLVVSHLLSWKECIECIRVDGVAIEFCVQIKGTNIHFDEIFAKFCAAKHKVCLFLFIQVSTMEHEFFWSCYVLYCFLLSMIMSFFVWTLNLVTCGDLYKRLCLMIALRFLPLETFLELLKPYILKDMLGCLLPTIMQALVVHYNSNSNCVVGLCFEHRLHGALIYLFNKGPDDFKTPLEELLVVLQQCEGENASALRILVYLKYCFHGFAFPPSTATNSKLYMD >CDP14600 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:1122561:1126119:1 gene:GSCOC_T00041159001 transcript:CDP14600 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGFLVTLAIVLVSSSSISTAFDNDPLQDFCVAVPDASAAVFVNGKICKNPKLVTTDDFVASGFNTPASINNSLGFGVKVADVNLIPGLNTLGLTVLRVDFEPDGVVPPHTHPRATEAIVVLEGTIYAGFVTSNPTDNTKNKLFAKILKPGDVFVFPIGLVHFQRNVGKTKGMAIVAFNSQNPGVITIGNAVFGSEPHIAPEVLTKSFQVDKKVIEYLESKF >CDP14596 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:746682:747247:1 gene:GSCOC_T00041147001 transcript:CDP14596 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGNKPRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTTDVRVDVKLNKFVWSRGIRSVPRRVRVRIARKRNEDEDAKEELYSLVTVTEVPEGFKGLGTKVIDDDDE >CDP14597 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:769514:769801:1 gene:GSCOC_T00041149001 transcript:CDP14597 gene_biotype:protein_coding transcript_biotype:protein_coding MITSLPSWPTEAPPTLPANPSFHHCSTPPPSTLYHPQISSTIPQPCTLPLQSASEKLIQFNTQTPYTLNRAPFPFSAPLHLRPQPQAAPQSTLHR >CDP14586 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:81057:91093:1 gene:GSCOC_T00041124001 transcript:CDP14586 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTPMYGLDLLDGWTILVVEDDQVDLKDDEPTEWISYWKPNITINLVDDFTRYQQNAVPPNIAPYLNIEPTTGNYYPTVFFNEFWLLRDKLIAINETVTELPLHLEVGPISMTKWQLFLQIDQSFQIHRNYGSMLEGEADELKRVFLEGNPYLLVVTMIVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFVCQLIVFLYLLDNDTSWMILASSGIGCCIEFWKIGKAMHIEIDRSGKIPMLRFRDRESYAKNKTKEYDDLAMKYLSYVLFFLVACFAVYSLMYERHKSWYSWILSSLTSCVYMFGFVMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPWLHRLSVFRDDVIFLIYLYQRWVYPVDKKRVNEFGFAGEEEDQRSGNKDTTAEEDDKKIN >CDP14589 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:171200:174445:-1 gene:GSCOC_T00041131001 transcript:CDP14589 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVNDIESGIQLVSSASNDHNGWIDISSSSFARTWTYDVFLSFRGEDTRRNFVAYLFQALCNKGIYTYKDDQRLEKGKSISPELLQAIQESLFSVIIFSKGYASLTWCLEELVKIMECHQIIGQFVLPIFYDVDPSDVRKQRNSFADAFAKHERDQSPEKVQKWKEALSQAAGLSGWHSCNFSDDNELVEKLVQDLHSQLHHFESPPGEHNLAGVGSRMEEVISLMDLRNAEAVRIVGIHGKARIGKTTIARALFDRLSDKYEAACFLDDVGQISSKHGVDYLQEILLSEMLKLRNVKIRSTSEGMSMMTRRFRHLRSLIVLDDVDHADQLKALAGNRNWFGGGSRIVITTRDGELLLKCGADSTYKVCGLSYKEAKQLFTVHAFGSRTPGAFRQHFIGPICSICRLPSSLKAWASLVRGRDVTWTEIFQPIGSVLCGCLAATYLIGFITLFIIVFKVIFLGADFPDFMDPSKRIRKYSPTFSFHPFPKNP >CDP14601 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:1317974:1320295:1 gene:GSCOC_T00041160001 transcript:CDP14601 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGFLVTLAIFLVSSSSISNAFDNDPLQDFCVAVPDASAAVFVNGKICKNPKQVTTDDFLATGFNTPASTNNSFGFGVKVADVNLIPGLNTLGLTVVRVDFEPDGGVPPHTHPRATEVITVLEGTIYAGFVTSNPTDNTKNKLFAKILKPGDVFVFPVGLVHFHRNVGKTKGMAIVAFNSQNPGVITIGNAVFGSEPHIAPEVLTKSFQVDKKVIEYIESKF >CDP14594 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:503638:504532:1 gene:GSCOC_T00041143001 transcript:CDP14594 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSFGLCFLIVVVILNCRIWILTRIKKETSKRQKRKKWECKGDLLENDMGDLPLSSCQKVDQCMKKHWRLTNFMIRSL >CDP14592 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:331164:349368:1 gene:GSCOC_T00041138001 transcript:CDP14592 gene_biotype:protein_coding transcript_biotype:protein_coding MEGADFSTRDRARPKDDFSDLVCSWSLDDIFDDNLYKHQVEMIPESFQSLGHYFSSYIFPLLEETRAQLASVMEIIHRAPFAEVVTIDEGKPYGSLLFDVKVDCWRNRLIERGRELYKTLPGDILVISNSKPETTSDLQRMKWSWTFASVTGIEGDEIDDDRSSTKFKVKASKDINSGEQKSLYVVFLINITTNKRIWNALHMLKNRNFIEKVLSISAMVEENCDICSINHDSKICENLGSGLLSQLNESQTEAIMASLHRMKCEHKSYVELIWGPPGTGKTRTISVLLFALLRMNYRTLSCAPTNVAVTEVAYRVIKLAKESFDAESAKGDVLCPLGDILLFGNKDRLKVCSDIEEIYFNYRVKRLVECLSPLTGWRHCMLSMIDFLESCVSHYRIYVENELSKMKEQRNEDEVLETKLQSLLEFARARFEVLLAPLRRCVITFCTHVPRSFILDQNFQNMVNLICLLENMEELLFQDDVNSDQLEELYSSDITKDDCSKECTHTSGLMCIRSQCCSVLKALLSSLGKLGLPLVVNDNSIKDFCFKMASLIFCTASSSYRLHLTDIEPFNVLVIDEASQLKECESLIPLQLPDLRHTILVGDECQLPATVISKVSDEAGFGRSLFERLSFLGHSKYLLNMQYRMHPSISVFPNSKFYQNKILDAPNVRTKSYEKYYLPERMFGPYSFINVLGGKEEQDEDGHSLRNMVEAAVVVNIVQRLFRAWKCSNAFLSIGVISPYAAQVAVLQDKLCRKYEKLEKFVVKVKSVDGFQGGEEDIVIISTVRSNFGGSIGFLCSPLRSNVALTRARHSLWILGNSRTLTNSNSIWSELICDAQERGCFFTADEDSDISKTILDVKKELDQLEDLLNGDSLLFNRQRWKVMFSDSFRKSFGKLKSTYMKKSVINLLLKLAGGWRPKKKKVDSVGESYSQIVKQFKVEGMFVVCSVDITKESNYIQVLKVWDILSLEEISKLLQRLDGIFNMYTDDFISRCKEKCLEGKLEVPKSWPTSSSITRYKNLNDSSIDSDSRDSTLDQRCYVENSRVSESLLLMKFYSLSTGVVNHLLSGRDGGELDLPFEVTDEELEIIQFCRSTFILGRSGTGKTTVLTMKLFQKEQIYHLASQGCAAAKYSTSSSVPMRTKVDHLTEETGRACLHQLFVTVSPRLCYAVKHHVSQLKSFAYGGNFSSDTSLLEMEDVDGAEHFKGIPDSFVGIPAAKYPLVITFHKFLMMLDGTMPDSYFDRFPEIREYSNDTNRNLRSVALKNFLRIKEVNYDRFCFFYWPHFNSQLTKNLDPSRAFTEIISHIKGGLLAGEASDGKLSRQEYVSMSESRASTLSAQKREMIYDIFQDYEKMKVERREFDLSDFVINLHVRLKNRSLGGDKMDFVYVDEVQDLTMRQISLFKYICTNIDEGFVFSGDTAQTIARGIDFRFEDIRSLFYNEFVMESMHERNPERKEKGHLSEIFNLYQNFRTHAGVLRLAQSVIDLLCHFFAQSVDILKPETSLIYGEAPVLLEPGSEENAIVTIFGNNASTGGKIVGFGAEQVILVRDDSAREEVSNHVGNHALVLTIVECKGLEFQDVLLYNFFGSSPLRNQWRVVYEFMNTKDLLDSCLPRSFPSFNHARHSILCSELKQLYVAITRTRQRLWICENKEEFSKPMFDFWKKLCLVQAKKVDDSFAQAMQMASSPAEWRSRGIKLYWEKKYQVASMCFEKAGDTNWEKRAKAAGLRETADQLRISNPKEACTILREAAEIFDSIGLADSAAECFCDLGDYERAGRIFLNKCGESELRKAGDCFTKAKCYELAATVYARGNYFSECLSVCTEGKLFDLGLQYIEHWKYSSSCHNGRTTIGEEIGRVEQDFLESCALTYYKLKDNKSMMKYVRAFPSMDLRRSFLKSVDCFDELLLLEEEAGNFQEAADIAKLKGDLLLEADLLGKAGVIKEASSLILSFVLSNSLWAAGGGGWPLKPFAEKEVLLKRAMSFAKKESDQFYELVCTEVQVLAHEHINLYELHQCLSYSQQFKSPSIEMLSIRRILDCHFHSKTLQYGWEDVLPIDVKKHSENRISLNQLSIGTLMYFWNLWKENILNIFQYLECMENQNFSKYMGLGEFCLNYFGVRRQFKNLNATYMVLNPGAEWMKKIGDNSMSRGKNLISIDVRQFATAARSYWRAELISVSQKVLEILEELYELSVAKSLSLFCQSICLVHIYQVSKFLIQSFKCPDSLTRKLKSFLRLSNKYFEYVFPLDSNKSMEENLVLLRKTELSRTLLDNIIVENISMRGDLTYGQIGRVVMICLGCGKPSGELYKKIAERFENFFSWKAFIEILRENKFSGSLAESSSEDSGMCTQIDKVQRHLSNDPVHDPMKFSLTENFHHALKDTYLVNWRRANDYVSPNCFLYLVERLLILVCHSKDFFFTTKSAFLEVLMHLQADTYPSASAVSDMESSPENVYDFVVMMVESFLCNTQETAEWIVKSNIDFNQYYSLLMLRLVVLMCLVCLNSGKYFDVLFRLLGQSHINLQLPRQFTEALRRGRKHNFINLLNATAGAFRRIGNPVVIVILKGNIHKFVCPDTILVDIGTTPHKDNIMGILFPRTNSCREQSSFVGANTPNFCKGLCDENCLPAPDLNMSSRNGNAINLEMNWDLFQEIFDVVLSTEKKKNCNSTSGSSEFVNMKVDLQESINTLAAGLNAQNSHLSENDIIFGEARSMLWELKELSSLFHASDFLSAKNMARMGILLKRLQSRRPKLETFLNQLFVPFKAGTQQGSDAQVAEAVDRKEAILGNQNDFPSTVVASGSQGNGQCKQNERNQGKAKNRNKNRKNRGKRK >CDP14598 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:895523:898292:1 gene:GSCOC_T00041151001 transcript:CDP14598 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNLVLVIMLMNILVSGWRIGVDGLSMDYYLMTCPFADGVVRNTVNRALQSDPTLAAALIRMHFHDCFIQGCDASILIDSTKDNTAEKDSPANLSLRGYEIIDDVKEQLEEQCPGAVSCADIVAMAATTAVFFAGGPYYDIPKGRKDGRRSRIEDTINLPAPSLNASELIRMFGQHGFTAQEMVALSGAHTLGVARCSSFKQRLSNFDSTHDVDPTIDTQFLKTLSKTCSAGDNAEQPLDTTRNIFDSDYYNQLQRKAGVLFSDQTLFVSPRTKPIVNGYAFNQAMFFVDFQQAMLKMSWLDVKEGPKGEVRANCRKIN >CDP14590 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:296822:302060:-1 gene:GSCOC_T00041134001 transcript:CDP14590 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSSMVSFLLLIQVFNLIIPAASLSGNETDLLALLDFKNQISDDPNGILKSWNASKHHCQWFGVTCGQNQRVIGLNLFGQSLVGSISPHVGNLTFMNFINLRNNRFFGEIPKEVGRLFQLTYLNLTNNSLGGEIPVTLSNCSQLKTIDILRNRLVGNIPFELGSLKKLKSLFLGRNNLTGEIPKSIGNLTSLTQIYLSYNNLEGHLPEELGQLTSLYSIGISVNFLSGTIPASLYNVSSVAGISLSSNSLEGQIPDNMGLTLPNIQEFLIGGNQFKGTIPVSLANASLLAKLDLVSNKFRGQVPTNLGKLPNLYWLNFAGNFLGSNSTGDMDFIASLSNCSNLQSLLFSQNNFGGTLPDSIGNLSHNLQQIDLALNQISGEIPTGLGNLANLYLLGMDHNLFSGSVPSFLGKFRMLQKLFLNNNQLSSQIPPSLFNMTSLYGLYLSENRLEGNLPSLIGNSQSLREMILSKNNLNGSIPLETFSFLSSLTLLNLTHNLFSGSLPSEIGKLTNIYFLDISYNQFSGEIPETIADCTSLEYIYMQGNSFQGEIPQSLASVKGIRVLDLAQNNLTGQIPKDLDMLPFVQLMNLSFNALEGEVPTVGFFANASSLSLTGNSKLCGGIPELKLPLCPEEIKKRRKPLAPGFIVLIVVFSFLVVASATFCLIYVRRKRRTSFVETDNFSGISYHELHEATKGFSTANLIGSGSFGSVYKGKLPLQGERSVAVKVLDLQKNGACKSFLAECIALRNIRHRNLVKVLTCCSGYDFNGREFKALVYEFMSKGDLDMWLHKSSDEMNNSNRALSLLQRLNIAIDVAFALDYLHNQCEIPVVHCDLKPCNVLLDDDLTAHVGDFGLARLLYENTSNPSQQPTSSIGIKGSLGYAAPEYGMGSQASTLGDVYSYGILLLELLTGRRPTDQLFIDGLNLHEYVKQTFPQIWDIVDPVLFTKEEEEGGGDAEEDDQPEITENNKSTAIEKEVEENTRSPEIDGEKLKCLRSLFAIGLSCSSKLPRERMDMKDVVKKLIIIKNVLVRNKITS >CDP14587 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:99321:104874:-1 gene:GSCOC_T00041127001 transcript:CDP14587 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGRFIRRSPRTRLEAVLPSSGLHKIQILEHGVVDDDDYYESDTADEYDDDILSDDFDSDTSVKSHDARKKNRWFNELFECMDSLTVEQINDTERQWHCPACKGGPGAIDWFRGLQPLIAHAKTKGATRVKLHRELAQLLEEELHRRGTSAVPAGEMFGKWEGLDERTEKEIVWPPMVVIMNTRHEKDENDKWMGMGNQELLDYFSSYAAVKARHSYGPQGHRGMSLLIFEASAVGYLEAERLSKHFEDNGRDRDSWERKRVPFYPGGKRQLYGFMAEKRDLDNFNYHCQGKSKLKFEVRSYQEMVVRQLKQMSEDNQQLLWFKNRVAKEQRHSKALEASFGLVSEKLRKMQEENRIVRLRTKKHHEQNKEEMDYQEQFFKEQIKVIYEARDAKEDDFEKIQQEQREKITQQSHANPSSAEDPRVMAEAIAKSIKLQDKEMEEFVSQREKLMKSHEERMSEMKSRHLQEELALKRRHWEEEVAVEEEFNIEESKLMEKYMPAELRAGQ >CDP14591 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:313169:314639:1 gene:GSCOC_T00041136001 transcript:CDP14591 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLASINDLPGEVVFAFFESYSGVTSLQAETRVLCTSLELCYKMHSDSVVVEVDSKVLIDSVCGKSSIPAKLRPNYLMDFTICLQP >CDP14599 pep supercontig:AUK_PRJEB4211_v1:scaffold_88:898400:905048:-1 gene:GSCOC_T00041152001 transcript:CDP14599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML20 [Source:Projected from Arabidopsis thaliana (AT3G50360) UniProtKB/Swiss-Prot;Acc:O82659] MRVPQSHQLVDLGQSSLFTIIYRFGQFCHEERPPFQIHHSLSLSIPLALALSLSLSRRLFVDRFQSMESLYRGVPRKDMKPKGRHHGLTQQKKQEIREAFELFDTDNSGTIDAKELNVAMRALGFELTEEEINRMIAEVDKDGSGAIDFDEFVHMMTAKIGERDSKEELMKAFHIIDQDKNGKISIADIQRISRELGENFTDRDIQEMVEEADRDHDGEVSAEEFMRMMRRTSYGY >CDP20082 pep supercontig:AUK_PRJEB4211_v1:scaffold_881:40176:45209:-1 gene:GSCOC_T00005657001 transcript:CDP20082 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDVQHKTPRNPQLSSPFSFNSTTQRSLSSTPLKHQLRRIRVNSGVRLLLSIFFLNFVMSTAYGASRELLQGVPTVTTPRQEFYNKDLNTSILKFGCFRPKFCVPSGLCKRLNSRSTNSSRALSISSSSCDEKTEGLTYKDAGVDIDAGSELVRRIAKMAPGIGGFGGLYPLGDSYLVAGTDGVGTKLKLAFESGIHETIGIDLVAMSVNDIVTSGAKPLFFLDYFATSHLDIDLADKVIKGIVDGCQQSDCTLLGGETAEMPDFYAPGEYDLSGFAVGIVKKDSVIDGKNITVGDILIGLPSSGVHSNGFSLVRRVLKRSGLSLNDQLPGGSVTLGKALMAPTVIYVKQVLDIVSKGGVKGIAHITGGGFTDNIPRVFPRGLGAAISKDSWIVPPVFNWIQEAGKVDEAEMRRTFNMGIGMVLVVDKEAALRILGDGQGTNKMYCIGEVVSGDGVSYR >CDP20081 pep supercontig:AUK_PRJEB4211_v1:scaffold_881:35836:36699:-1 gene:GSCOC_T00005656001 transcript:CDP20081 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYAPDHEVLNALRGTNIELLVDVANEDIQSIATNPSAAANWVQNNIKNYSPAVKFRYIAVGNEVSLSSSIAQYVGPAMERIQSALASAGLQNQIKVSTSIAGNLLSVSYPPSQGSFSNEARPFIKPIINLLVQNNAPLLVHVYPYFSYIGDPANIHLDYALFTSQGIVVQDGSFGYRNIFYAILDAHYSALEKEGGAKVNIVVSETGWPSDGNPPAASSGNAGTYYRNVISHVKSAQGTPRRPGRGIETYMFAMFEENQKPGAETERHFGLFFPNQQSKYGISFN >CDP20080 pep supercontig:AUK_PRJEB4211_v1:scaffold_881:25833:27835:1 gene:GSCOC_T00005655001 transcript:CDP20080 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTILTLLGLFLVSLKITEVQSIGVCYGLNGNNLPSKQDVINLYNRYGIQRMRIYAPDHEVLNALRGTNIELLVDVANEDIPSIATNPSAAANWVQNNIKNYSPAVKFRYIAVGNEVSLSSSIAQYVGPAMERIQSALASAGLQNQIKVSTSVAANLLSVSSPPSQGSFSNEAKPFIKPIINLLVQNNAPLLVNVYPYFSYIGDPVNIHLDYALFTSQGIVVQDGSFGYRNIFDAILDAHYSALEKEGGSNVDIVVSETGWPSDGNPPAASSGNAGTYYRNVISHVKSGQGTPRRPRTGIETYLFAMFDENQKLGAETERHFGLFFPNQQSKYGISFN >CDP21996 pep supercontig:AUK_PRJEB4211_v1:scaffold_8811:106:2114:-1 gene:GSCOC_T00013033001 transcript:CDP21996 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQTSRKSDGGGLAVAAGKWGSGWLSSKMREKGFDRSPTMCTDKWRNLLKEFKKLKQQDRGNNNCNNSGSASSANKMWYYKELEELLRDRAKNGSYKSPPPPPPPPPPPSAKVEAYIHFPDKGGLEDGSIPFGSVEGKGRGGNLELFGHV >CDP21997 pep supercontig:AUK_PRJEB4211_v1:scaffold_8824:1:1726:1 gene:GSCOC_T00002332001 transcript:CDP21997 gene_biotype:protein_coding transcript_biotype:protein_coding PVYLLLYNIYIYIYIYIYMRVCVCVCVGKDLTDLHWFLPHPHKVVIESSVDEISTKSRIRVQTNNGFSENFYSTSSRWLLPHLELMILWGWGKHPSQVNVPRGKIRPKILACFPLSTEKSKQETNQ >CDP21998 pep supercontig:AUK_PRJEB4211_v1:scaffold_8834:3:2669:1 gene:GSCOC_T00003235001 transcript:CDP21998 gene_biotype:protein_coding transcript_biotype:protein_coding QIVLILTKEKDRTTLAQLVFSDEHITKHFELKLWVWVSEDFDVKRIIKVLIESVEKTPIGDLALNILQGKLQELLRGKRYLIVLDDVWNENPEEWEKLKSVLECGSKGSSIVTTTRMEKVATIMGTLQTYYLSSLSENECWSLFRQRAFGRQEAEEYPNLVVIGKEIVKKCGGVPLAAKALGGFLRFKREESEWNYVKCSEIWNLPQDTTDILPALRLSYLNLPIELRGCFAYCAVFPKGYEIEKEEVIHLWMANGLITSNGTMEVEDVGDAVVTELHHRSLFQAVEKDVFGIFSVPAFKMHDLVHDLAQSVMKAKHGGTESNRTMMLDWLHDRLTVAFPITITGTDQFSSFLSKCGSLRALIVRSPPWRAYKFTELPPAVSKLKHLRHVNLSGSDIVELPNSICDLWNLQILNLNDCFKLRSLPKGMRFLRNLRHLCLQGCRSLTHMPSGIGKLICLRTLSMVVLSDKKGFRLSELRDLNMLRGELSIEHLERIKDKKDAEEACLIRKQSLSRLYLEWDSERMFQRYNDEEVLEALKPSPNLQLLLIEGFKGSSLFPSWISTVTVVLVRNSATEYIVGPQESTATTAAMSPSLKLLELENMPNLKGMLGREVQGTPGVFSQLQSLSFCRCPMLTLPLPRMPSLQELHVRYCGPNMAWASISNLTSLNSLRIEDIEGLSCFPEEMLQNLSLLESLYIGEMKDLRALPRSLASLTALEWLFIARCPKLESLPEEGLRGLASLQELHLWDCYNLLETLPSSIQMMTTLQSLTIDRCNQLGPRCQRGREEWHKIEHIPFLDL >CDP21999 pep supercontig:AUK_PRJEB4211_v1:scaffold_8836:1453:1749:-1 gene:GSCOC_T00004179001 transcript:CDP21999 gene_biotype:protein_coding transcript_biotype:protein_coding EGGRKPWNSINFVCAHDGFTLADLVTYNNKHNTANGEDNKDGENHNNSWNCGQVNVYFHIFIFVKRLLRFAISSDKQLVCLYSHSNRYMLPTMATQIH >CDP20085 pep supercontig:AUK_PRJEB4211_v1:scaffold_884:38514:41671:1 gene:GSCOC_T00001826001 transcript:CDP20085 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQKPHLLVLPFPAEGHINPLLNFAKRLIPKRFRITFIIAYISNGSNAGEEPDSLAGHFRRLHAIMSRDLVKLTEKLNRSDFPPKVLVYDSTLTWALDVTHQMGLLGASFFTQSCAVSTLYYHLQEEKLSAIPDEEHSSVLIPPLPLLQIDELPSFTQVDDKDHTIVKLMVEQFSNVEKANWIFFNSFDKLEYEVANWMARKWSIKTVGPTILKDNKHHGACLVEMKSDACLKWLDERDCSSVVYVSLGSVAVLGEEQMEELARGIAKSDHHFLWMVRDSEECKLPANFESEISEKGLIVNWCPQLEVLAHQALSCFMTHCGWNSMLEALISGVPMIAMPQIVDQFTNAKFVADVWQTGVRVKANDKGIVTREEISMSIKAVTEGDSAKEFRRNAEKWKELAQEAVRQGGSSHNNIDEFVSQVLSV >CDP20084 pep supercontig:AUK_PRJEB4211_v1:scaffold_884:17179:18375:-1 gene:GSCOC_T00001824001 transcript:CDP20084 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSILNHPVPEEGGEAEVIWMPTSSGNFSLASAFRDIRQARNTSMVFDRIWHPCLPLKVSFFMLRLLLGRLPIPDSLRNIGFHLPSKCFCCPLPSEESIEHLFSNGNIASTIWNYFGAACGFDLSASSLRLRIVGWWLKSYDSEIRRFIGRVLPCLVCWHIWKARNKAMFDDVQMRSIAICHAIFSEIQSMVGIYLKKPLRVPSFYHLYDWPNSSEVGFTYKLVRWETKESGRLTLNTDGCSKGNPGVGAGGGVLRESNGLPLIGFSAYFGETTCLLAEARALLIGLQISAHRGFLNLNVQSDSLLLIGILQHRIHCPWHIRRVIGQNWQIMENPDRFSHCYREANTVADVLSNEGVSHPQQQLRIYETFNTFPPMARGAIRLDKLGMPSIRKIRLV >CDP20083 pep supercontig:AUK_PRJEB4211_v1:scaffold_884:7013:7327:1 gene:GSCOC_T00001823001 transcript:CDP20083 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFQYILKLWRDAEEFKPERFLDSPVDFKGQHFQFIPFGSGRRSCPGSAFPLITAELALVNLICYFDFALAGGARPEDLDMTEAPGIVTPRKIPLLVVASLAS >CDP22000 pep supercontig:AUK_PRJEB4211_v1:scaffold_8840:69:1853:-1 gene:GSCOC_T00001147001 transcript:CDP22000 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVNLSTASNWLEVINTIPSLVEIHLRYCQLDLISHNLGRDAFVFHANFSSLTVLDVSGNFLGHLIPRWIFGLTALASLDLSGTKLEGLLPRGLWNLTSLQHLDLSWNQLNGSLPDELVYLNNLISLNLGGNQFEGFLDGIWNWNLWNLTSLKLLDLSTNQLNGSIPSDLGKLSSLEFWDVSHNKLTGTLPESLGQLSNLEELGIADNLMEGIVSESHLDNLTALRYFDASGNSLTLKVSASWTPRVQFEVLRLGSWKLGPQFPTWIRSQKILSELNLSFAGISDTIPPWIFNSSLGYIDFSHNQLHGKSSNISEIVKGLYVHYSQTYSMGYFLWVIQPISGP >CDP22001 pep supercontig:AUK_PRJEB4211_v1:scaffold_8840:2033:2450:-1 gene:GSCOC_T00001148001 transcript:CDP22001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTHFSVFLLVAIILLCSSSKTVNATCYASEKQALMDFKKDLKDPSGRLSSWIHDVDCCKWEGVVCSNRSGRVIQLHLQRPVPEILDFGDVIVLESPLSVEFQFPVFLGLSEV >CDP22002 pep supercontig:AUK_PRJEB4211_v1:scaffold_8849:196:1122:-1 gene:GSCOC_T00009119001 transcript:CDP22002 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPIFFSLFPLVLLWFLFKLVSNSSKNQPPSPLGLPIIGNLHQLSSLLHYPLHSLAQKYGPFMFLKFGSVPTVVVSSADGASLMTKTHDLIFSDRPFSSAANKLLYNMKDISVAPYGEYWRQLKSICVLQLLSNKKVQAFRNIREEETSFMMQKIKEASLDSTPVNLNEMFVSLTNDVVCRSAFGRKYGEGETGKKFKLLLGEFLKLLNGGSLVRFVPCLSWMNRVNGYDARVDRVAREVDEFLEGVVQEREDFLDILLKNYKDNATGVTMDRDSVKAIMGLFGT >CDP20086 pep supercontig:AUK_PRJEB4211_v1:scaffold_885:43901:49244:1 gene:GSCOC_T00013453001 transcript:CDP20086 gene_biotype:protein_coding transcript_biotype:protein_coding MCDHCFLKWFSGFGVSDMMIGFCSQLELIRVTASETMEAYIILCNLDDGQEEQERKRFLPARLFSENKREYNRKVREIVEQSWTAD >CDP20087 pep supercontig:AUK_PRJEB4211_v1:scaffold_888:13968:15186:1 gene:GSCOC_T00009216001 transcript:CDP20087 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWSILDVIPKIMSSEQNAKLERLPSIEGIKEVVFAMDGESAASPDGFTGRFLTFAWEVWIDMISRLISNVWFSLQQSLWAEFMHCKYCPNLHPCFADVSPGDSWTWKRMVSIQGIAKQKVSWVLARGDLSFWHDNWLGTGPLCPQVDTFQECAVSDFVDQGCWNI >CDP22003 pep supercontig:AUK_PRJEB4211_v1:scaffold_8880:54:2194:-1 gene:GSCOC_T00013700001 transcript:CDP22003 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLGKFLWENVADCLTNGFPVYIFQQAALVLNASRRFRYTLDLKKDKERKLLLAKIRTHAQVIRAAVLFQAAAQGVDVPGSPLKKPPTPTRTGDFDISQEELSQMSREHEISSLQQYGGVKGVAEKLKTSLEKGIRGDETDFLKRKNIFGSNTYPRKKGRSFWV >CDP22004 pep supercontig:AUK_PRJEB4211_v1:scaffold_8883:1:1893:1 gene:GSCOC_T00010540001 transcript:CDP22004 gene_biotype:protein_coding transcript_biotype:protein_coding PFSKKFKYYFLIESENYDAARKFAKEHGIEIITIHPGFVVGPVLQPSINLSTEFILNLVNGAGAFPNATLRWVDVRDVAYAHILALEIPSASGRYCLVGRSAHASQVINILRGHYPAHKFLDKLSDNSNLFYPAHTVSNEKAKNLGVQFIPLEVSLKDTIESFREKNLVSI >CDP20088 pep supercontig:AUK_PRJEB4211_v1:scaffold_889:10082:15004:-1 gene:GSCOC_T00002640001 transcript:CDP20088 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQSQNTSLQRLQNVEKRIVRVLELAGSVMDELANPSGPRKELVNSHCSEFMQLIKDIQVTLREEIKSACEYRPFEKCDYVPRISNEICCKKLEHVIAQLDEMKHTIEGYHAAV >CDP20089 pep supercontig:AUK_PRJEB4211_v1:scaffold_890:24779:25003:-1 gene:GSCOC_T00008404001 transcript:CDP20089 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRKAAILVLVFVIFVAIMGQVHVEAARLLTEEDFAGANHLDKFPSVYAKARDTMSHWLERLASGPSPRGRGH >CDP20090 pep supercontig:AUK_PRJEB4211_v1:scaffold_890:48360:48584:-1 gene:GSCOC_T00008407001 transcript:CDP20090 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRKAAILVLVFVIFVAIMGQVHVDAARLLAEEDFASANHLDTFPSVYAKARDTMSHWLERLASGPSPRGPGH >CDP20091 pep supercontig:AUK_PRJEB4211_v1:scaffold_891:21009:22698:-1 gene:GSCOC_T00010556001 transcript:CDP20091 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIVFLEEIHGSYDALGVNSSQSAEVPKDKLLAGLLPEGLDENSCLSRYQLVMYQKQQMRRPSSHLIHRLRKYESLHKKCGPLTESYNRTLEYMKLGKYTNSTDCKYVVWTAIHGLGNRLLTLASAFLYALLTNRVLLIDPGAKIADLLCEPFPEVSWSLPSNFPVTSRQFNAFRKNSPQSYGNMLKNNILGNSTSTLPPYVYLNLVGGYNDYDQLFFCDEHQSILQKVTWLIIKSDIYFVPSLFLIPSFEQELSNLFPEKELVFHYLGRYLFHPTNSVWGLITRNYDAYLARADERIGIQIRIFDRRNQGPYVLDQILACVRKENMYLQHPTVTGEVIQVHQPSQEKYQQTGKQMHDRKALAEIYLLSFSDKLVTSACSTFGYVAQSLGGLKPWILYRPEKLKAPDPPCQRAVSLEPCFHSPPSYDCKRKKGIDTGKLVPHVQHCEDRKKGIKLVDRNEDL >CDP20092 pep supercontig:AUK_PRJEB4211_v1:scaffold_892:11023:11400:-1 gene:GSCOC_T00009838001 transcript:CDP20092 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTGKPCCPQNLPVYTEIQKCMGIVRNQILALIPT >CDP20094 pep supercontig:AUK_PRJEB4211_v1:scaffold_892:47433:48110:-1 gene:GSCOC_T00009841001 transcript:CDP20094 gene_biotype:protein_coding transcript_biotype:protein_coding WTTFDLLEMNSNEEEEGRSRASGSSTRRRKFFPALEELYVDYMENLVEWKGADQVRSTVGEAEADVFPMLRNFNIEGCPQLTALPCACKSLHVENCDNLTSIKTGYGTASRLTIARCPRLIRLGVNGQKCPLPCLEELSIDHCEGLTTISDKMFQSCRSLRSLSVMWCPNLASFSLNLQETPSLEDFASYHCPKLLPHSFKGFAFATSLRE >CDP20093 pep supercontig:AUK_PRJEB4211_v1:scaffold_892:12009:15816:-1 gene:GSCOC_T00009839001 transcript:CDP20093 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKSVYNNIKIDENFGIKSWVCVAREIKIVDLFKLILESLTRTKVEVDGRDAIVQEIRGKLGEKRFLLVLDDVWNCEQDLWSDFFTTLLGLSRSKGSWCALTTRLEPVANAVPRHLQMNDSPYFLGKLSDDACWSILEKLLVAGEEVPNELEALKKQILKKCDGLPLAARLIGGLLLNSGLEKWQSIVKESLLNEYQSQINQILKVSFDHLSPPSVKKCFAYCSIFPQDTELGEDELIEHWVAEGFVLPDQKNTRMMEETGGEYLRILLQNSLLEKVQDKLRTYYKMHDLVHDFAKSILNPESSNQDRYLALNSSEGLVEKTTMTIPASIRTLFLHLEDGISADMLLRFKYLHVLRLSGNDVVFLPSSVGKLLHLRLLDISSSRIKSLAESLCKLYNLQTLTIRNNALGEGFPKRMNDLISLRHLNYYHHQKGCGIEELGTLKYLRGSLEIRNLGLVEGKDAAKQAKLFEKPNLSRLRLDFRRKRGHRKSDNCDEDVFEGLQPHPNLQKLEIRYFMGTKFSQWLINLPKLVELWIEDCKRCSELPSLGQLPSLKRLYLNKLENIRSVGDEFYGITTNEEGEEKGRSRASGSSTRRRKFFPALEELRVAYMKNLVEWKDADQVRSTIAEEAADVFPMLMDLSIQHCPQLTTLPCSCKILDVQYCRNLTSIKTGYGTASVEKLKIGCCNNLRELPEDVFGSSLQRLSIESCPRLISLGVNGKKCPLPCLERLSIQYCCGLTTISDKMFESCQSLRSLSVECCPDLVSFSLNLQETPSLEDFALLNCPKLIPHRFNGFAFATSLRNLWIGPFSSDDSSIDGFDWSGLRSASTLCKVHLEGLCHSDSLPHQLQYLTTLTSLNLKNFGRIEVLPDWIGNLVSLETLQLSNCEKLRCLPSEAAMRRLTKLTSVEVRVDFKLFCQQRERTRRSR >CDP22005 pep supercontig:AUK_PRJEB4211_v1:scaffold_8928:457:1448:1 gene:GSCOC_T00005595001 transcript:CDP22005 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKGVVYDLDKNSASGADGYTGLFFRHCWDIVGLDVLAATRDILAETPIPKGITSTLIVLIPKKFILLILNNLSQSWFSVLVNSRARAFADDIVIFARGDRRSVGNLVQFLTLYQTGADQWVNNQKSFFVVSKRCSAGHIRRIQQLTNFRHGSFLFTYWDVIYMQGVEKRSSSNF >CDP20095 pep supercontig:AUK_PRJEB4211_v1:scaffold_895:5823:17107:-1 gene:GSCOC_T00004237001 transcript:CDP20095 gene_biotype:protein_coding transcript_biotype:protein_coding MERACYYFPVGLLLATSLLAVGTADIINDKSALVAFKNHIVSDPHLIVAKNWSISSSVCDWIGVTCDSSRQRVMALNISNMGFADTIPPQLGNLSFLVSLDMSNNNFHGHLPEGMSHLRRLSFMALSNNNLMGEIPSWLGVLDRLQYLSLRNNWFVGHLPANICDNLPNLKELDLFSNQLSTIPPLGNLSFLVSLELSGNHFHGHLPEEMSHLRRLSFMALGYNNLTGEIPANICDNLLNLKELDLSWNRLSGQILSGLSNCSGLKSLDLSSNHFAGSIPEEIGNLQSLRVLSIIDSNLSGSIPREIGNLTMLEEVDFSSNSLTGPIPNEIGNLLKLESLVLRWNSLSGSIPVAIFNMSTLRELYLADNHLSGALPSSMCHGLHNLEDIDLSWNNFSGAIPASISNCSKLTGIYLGDNKFSGPMPNSIGNLRRLEILYLSANNLTRPLAPEMGELKDLTRLDLSNNQFSGKIPSTIWSLESMDHLSLANNSLQGGKIPTEILNLSKLKYLGTPFNHLSSSIVAFSNHNISQEKLHHGLVSWLEILALSYNSISGKIPKEIFNLCKLKYHLTILPSTIFRISNLEFINLLGNQLSGNLPVCCYPKSLIQLHLSYNQLNSKFLSGLSQGIIFSLSVLSNFEFAGERPKEIGNLQSLESLFMANNMLLGSMSTEICNMSKLREIGFGNNSLSGSLPK >CDP20096 pep supercontig:AUK_PRJEB4211_v1:scaffold_895:33517:34074:-1 gene:GSCOC_T00004239001 transcript:CDP20096 gene_biotype:protein_coding transcript_biotype:protein_coding MERTCYYFPIGLLLATSMVVIGAADIITDTSAIVALRDHIVSDPHLILTKNWSISFSVCDWIGIICDSGRQRVVALNISNMGFAGTIPPQLGNLSFLVSVDMSNNIFHGYLPEGMSHLRRLSFMALSNNNLTGEIPSWLGILDRLQYLSLRENNFFGDLPTNICDNLPNLKELDLSGNQLSGQML >CDP20097 pep supercontig:AUK_PRJEB4211_v1:scaffold_895:39392:42373:-1 gene:GSCOC_T00004240001 transcript:CDP20097 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGTIPPQLGNLSFLVSLDMSNNIFHGHLPEGMSHLRRLSFMALSNNNLTGEIPSWLGVLERLQYLSLRNNSFLNGYVPKAVGNLKMLEELHLGNNSLEGPIPNEIGNLLKLESLGLGLNSLSGSIPVGIFNMSTLRMLDLFYNHLSGVLPSNMCHGLHNLEVIDLGGNNFSGAIPASISNCSKLTGIYLDDNKLSGPIPNSNGNLRRLEILSLSDNPLNGVLPRSIGNLSISVEWLVVRNCGLKGNIPDSIGNLSNLGVLILSDNSWTGSIPTAIWGLQKLWVLDLSNISLTGPLPRGLCGLQSLYILGLTQNQISGSIPECFNNLTSLRLLDIAFNRLTSTLPMSLWDLKGLEELNLSSNLLRGPLAPEMGELKDLTKLDLSNNQFSGKIPNTIWSLENLDHLSLANNSLQGSIPDNL >CDP20098 pep supercontig:AUK_PRJEB4211_v1:scaffold_896:9346:10900:1 gene:GSCOC_T00001282001 transcript:CDP20098 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLCKPMKIDGVSSENSSVQFLVQFPLPNSVHELPTRLRVVSEDLADNFLHRFDSANNVRVCTIHIRYGHTGNSGIGIVPVPGVPVMTRPSRTGLGRVGEPWCKLTVPVMVQWDCLNCNERMVPRHYLDWENPFIQDDIFCNNSNNHGFACIQPLLVFLNFIAEHHEDFWICKTYHIM >CDP22006 pep supercontig:AUK_PRJEB4211_v1:scaffold_8964:124:642:-1 gene:GSCOC_T00001125001 transcript:CDP22006 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVIFQENDFDMKNIFSPTKRTLSLLAFPLQSSISSWVESRMEEGEGAGVVGSSSEFHADEHPMELESQLEEEHEVDDEGEGTTANTMANIEGEEEDDDDEDEEEEGYKFRFSDDMDPLAFTKEDASGLQPYEQFQRLEHHYEALAAKKRKARLQAIPQGYPLSLLLTIC >CDP22007 pep supercontig:AUK_PRJEB4211_v1:scaffold_8978:1360:2229:-1 gene:GSCOC_T00004618001 transcript:CDP22007 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNDEGSKLHHGQAKIWKHLFGFVDSMALKSALELQIADIIHFHGRPLSLSEISSNITNSSSPNIPYLARIMRLLVRNKIFTSSEVRPGHGGDTPSTILYDLTPASNWLLNNNDPLSLAPFILMENHPWLLSPWHQLSACVREGGIAFQKSHGKEIWDFASQNPEFNKIFNDGMECTGMITVQAVLSGLKSANWDGVESLVDVGGGIGATIAEIVKAYPHIKGINFDLPHVVATAPKYDGVSHVGGDMFDAIPSAQAIFMKVLIFAKITAKSCCFLISPLYFLLWGDT >CDP22008 pep supercontig:AUK_PRJEB4211_v1:scaffold_8983:1179:2257:1 gene:GSCOC_T00001388001 transcript:CDP22008 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQGRQAHALWIKCKISGNLVVNSALMDIYFKCSSLSEGRLVCEKFLDRNFVTWSALTSGYGHHGRVVQVIESFHRMLDEGFNIWIWTSSNAMCRMCHMHWIELLHTMEKNCRAGHWRIFHHSDKSLVLRHMRMYALLKLTLYLRNRAASWSGLNCLNMHMIFGLPYTTLQEC >CDP20099 pep supercontig:AUK_PRJEB4211_v1:scaffold_899:29186:29629:1 gene:GSCOC_T00002434001 transcript:CDP20099 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRGGGCCPPMDLMRSEPMQLVQLIIPAESAHLTTDYLGELGLVQFEDLNAEKSPFRQTYTTQIRRCLSKQGSKQERLRQETEAAKNRAAEAAALARSHHPHLVGVHRHRQIFAEKIYAVRDPFSLKFIFLFKFIFLSLKQRMIS >CDP22009 pep supercontig:AUK_PRJEB4211_v1:scaffold_9002:2:1939:-1 gene:GSCOC_T00009192001 transcript:CDP22009 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVFITFYLSLILITPLSSSEVSISVTPKTVPKSGDNVTIQWSGVDSPSKLDWLGIYSPPNSSHSDFIGYFFLPSSPGWKSGSGSISFPLINLRSQYQFRIFRWYESEVNPKHKDHDQNPLPGTKHLLAESEGIGFEPARGPEQIHLAYTGKEDEMRVMFVTPDGKESTVKYGLNRENMDQVVGTRVVRYEREDMCDAPANDSVGWRDPGFIHDGVMVNLRRGKRYFYQVGSDSGGWSMTNSFVSQDGDSNEVVAFLFGDMGTATPYLTF >CDP22010 pep supercontig:AUK_PRJEB4211_v1:scaffold_9009:63:2734:-1 gene:GSCOC_T00003077001 transcript:CDP22010 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFLLVMLRSSRLTKWDARIYQCIVLPSLPCELQSKSSNPLHLVLWSLSGSFCCGSFDQPFNLKKPMK >CDP20100 pep supercontig:AUK_PRJEB4211_v1:scaffold_902:19623:22817:-1 gene:GSCOC_T00012621001 transcript:CDP20100 gene_biotype:protein_coding transcript_biotype:protein_coding MCTILKAWKAGSNFLGTHKKVEYNANYNFTSEYKSTRLVGLSPSVPVNVVLQDWIRHSDGKLSFLGFVRLLHGVPHEHFRRLETTNYLD >CDP20101 pep supercontig:AUK_PRJEB4211_v1:scaffold_902:32645:34289:-1 gene:GSCOC_T00012623001 transcript:CDP20101 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPLRDTESEDLLDYLDVCLDFIDRSRQEGSVLVHCFAGNLSRIAANITAYLMRTEELSHEDALESLRQSCEFVCPNDGFLDQVDIMP >CDP20102 pep supercontig:AUK_PRJEB4211_v1:scaffold_903:6625:7357:-1 gene:GSCOC_T00007643001 transcript:CDP20102 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQFIVMLERGNITQTYDLFNLIRSELSMKDTTEKDTYIVEAAKASGAANSSVTFIQRYCDKLPRNKYVYFILSNLSRRKKSSYQCQLTLPPNAAFQTMTGALCRNTVLSKQLVCLESCKKLHQMGALTDHLLPISEKPSQSSSHPN >CDP20103 pep supercontig:AUK_PRJEB4211_v1:scaffold_903:22828:24960:-1 gene:GSCOC_T00007644001 transcript:CDP20103 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGRVLVILDEIWKEVDFKSLGIPVSEKIKVIVTSQSPCVCRNVGAKIVGVNALPEEEACYLFKAVAGISDDSVLSDVAKQFAEECKGIPLALVVVARGLRTNCKTLKSWELALGQLQKYTLREFEGEKDLVYSIVKWSYGNLESVEAKSLLLLCSLFPEDYSIPVEFLVRYGKGLELFNERDTLGDVRCRVDVLVNDLRSYQLLLDDSEREDHVKLHDVVGETCLKIASKGEHVFLVGNAEEEERHQLPDSFCPCTAISLTLEGSIRLFPFGEECPRLKLLRLVFQSGKVNLSKDAFVGRVKQFTQRIFAIVARPNVNEFSDIVPGLLFHIVGANYEISRRDLSREYQFRKSFELHFRPYRRGFEQALDPIVSSIVKRAENLTFEGMPCLRNLVSDLDEDGFINLRRLKLKGEVHQCLIESTTNLVARQLFENLVFMELKDVNLEEICHGNLPPRCFGQLQEMKLEHCHRIVTLFSQSTLKCLVKLQKLVVHRCRNLESIVMREEGVNEEVLELPLLKTIVMQELGLLCFDSKRETARAFLNQVHSLSQFLCLSF >CDP20104 pep supercontig:AUK_PRJEB4211_v1:scaffold_903:31864:35986:-1 gene:GSCOC_T00007646001 transcript:CDP20104 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILGAIVGKIVEKCIDPIMRQFQYLFCYRSNVETLKNGIKKLKQTKTEVQRLVDAARNNGEKIKPIVTDWLSQADDLEKKAHTIFEGMGNVKVNCFKIVRLPNLKSRYLLGRHAAKRGNDVEKHLRREGQFDEVGYLPPLGKMPLSASTISFASRMSTKKGVMEALKQEKTSPMAICGMAGVGKTTLVEQIADQVKSEELFDRVPFVTVSQNPDMRDVQDQIAEQLGMKITEQADRARAERLYTRLTNSDERILVVLDDIWKELDLKSLGIPVKGECKSLKVILTSRSSNVCRGMGAEIFEVNILPKEEAWHLFKKDAEISDDSALSGVAKQVAEECKGLPLAIDVVAGALKSNHTPESWDRALRQLKEYTIRELEGDEDRVFSTIKFSYDYLKSAEAKSLLLLCSLFPEDYSIPIEYLVRYGKGLQLFPDRRRLIDVRDKVDTLIGHLKSSHLLLNDAKKEDSVKLHDVVRDVCLSIASEGEHEVMELHVPEIEFPLSWPGQMLRSLRTLCLDDCVLGTGLSSMLGQMTQLETLSFFQSRLADDQFPTEIAQLSNLKLLDLRVESSLHPLPSSILSSLKKLEELYLGSHYQLQLGKDDEEERGCLKEISSISNLACLQIVLYDLNLLLLSLQGFDTQRLSRFHIAVDDYRGALTNLIKNYQFRKSFELHLLDQDDEELNQVFDPNVTSIVKRTENLTLDLGRSSRLRNLVPDLCENGFIYLKKLYLHRGQHECLIDSTANLVAGHVFGNLVSMTLTYLELKEICNGFLPPRCFGQLQEVRLEFIGALKYLWKGPVEPRSLCNLRSIQVLLCDQITTLFSQSTPKCLVKLQNISFKFPLLTELIIVSCPKLKKFCSGKLNAPLLKKVQTVPSDTENFEAPVDLKVLIF >CDP22012 pep supercontig:AUK_PRJEB4211_v1:scaffold_9048:768:983:1 gene:GSCOC_T00013496001 transcript:CDP22012 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSGLMMALEQHITQQGWTQGEAAKQLGVTQPRVSDLMRGKIHLFSLDTLVNMVVAAGLHVEMRVLDAA >CDP22011 pep supercontig:AUK_PRJEB4211_v1:scaffold_9048:367:705:1 gene:GSCOC_T00013495001 transcript:CDP22011 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHKPLNFRGSALADLRAFPATVRQEVGYQLDKVQRGLEPKDWKPMATVGQGVREIRIRDANGAFRVIYVAKLAEAVYVLHCFQKKTQKTSKADLDLAEARYRALLSEVKQ >CDP22013 pep supercontig:AUK_PRJEB4211_v1:scaffold_9049:187:1041:-1 gene:GSCOC_T00010603001 transcript:CDP22013 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPNDRLEKATSSASVLDEEHQAVERTNSENKSTRHPRWTKQETLILIEGKNIAESQGRKGRRSSSIFVTGQHEPKWDSVTSYCRLHGVNRGPVQCRKRWSNLVSDFKKIRTWESQVKEEGESFWMMRNDLRREKKLPGHFDRQVYAVLNGNSYQAVMGMSVDGREADGTCVADTEDEDEEDVNRGIETGVVFDSGTHARPETLISHLEKCAQGKIFRGPNVQVARESPRSNSPAPMPLSGLIHAQVSFHPRLQQATNLVDCSKLPPTSFYLLFYTFKRYTV >CDP22014 pep supercontig:AUK_PRJEB4211_v1:scaffold_9055:140:1507:1 gene:GSCOC_T00004298001 transcript:CDP22014 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDSEAFQAAVLSRIGDLVKLDSISSTVDEVLEKRDSCHHVILSSYRVENCLRLCQEYGIVDAASFLLERVGDVGSALMLILSGLNEKFIVLEASIISLVF >CDP22015 pep supercontig:AUK_PRJEB4211_v1:scaffold_9057:59:1714:1 gene:GSCOC_T00004748001 transcript:CDP22015 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSNQLTELYLGGNQLSGTIPEGFGNFVNLYLLGLELNSLSGVIPRDFGKLQNLQFLNLGQNVLSGQIVSTLCNATGLSALDLSTNHENSFTGSLLPEVGKLIHLVDFDVSHNQLAGDMPISLADCSDLENLFMQSNFFQGTIPPNLASWKSIQQLDLSSNNLTGPIPKELEKLQFLSYLNLSYNDIEGEIPNTGVFSNARKLKVVILLSIVLPGTLLLLGALLLYFLVYRKRERRMVAGFSSMPSRTDELLQLSYHELLRATSGFSPENLIGSGNFGAVYKGRLEKHGNKLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIVSYCSSIDSKGDEFKALIYEFMENGNLDLWLHPSETTDQATSSRSLNLSQKLNIAIDVASALQYLHSHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPINTSSEQRTSSTIAIKGSIGYAAPGNYNSQPFYGQDVT >CDP22016 pep supercontig:AUK_PRJEB4211_v1:scaffold_9066:1:2052:1 gene:GSCOC_T00000076001 transcript:CDP22016 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVINYQPLNIFLLDDKFPIPNRFTIFSQISKANWFSKFDLKSGFWQLGLHPEDRYKTGFFVNYVREFIPKVSKHISPLTKMLKKKPPPWGVSQTQAIKILKKELLHLPTLHIPSDGKKILQTDASDKYWGAVLLEEDEQGTKHCCGFACGKFKKFSFFLISSHFLVEMDMGSFPKMLHFKQKTIPNPQLLRWSAWFSQYSFDVRHIKGKKNIVADFFSRKEPLPQQAEITPVSLCFMFSPISSEPPDIYEIPYPWEKENIERIRNQYELEIFSSYGGSILNPFGTNPEYPFCQIFIARHDDFPKPLLWYFWCLCHQYHILMEFQSPFFNQPLNPNLQVFLQWFRPLTFWSELFSTQSKYVVFHFHRPCHLINNQVQSCPSAVIYKEMDHTILDLDDEYEEAQRYLFQENRCIPPEIWPGHYGSWNYHSSHPHWTRIKRAKKEYQDKMNDTVMQDSQDPNDNIGTSQRATATSSVSQYSFKNRSKWKSIENKRKNRTAHQYHNEMLNITDPDTTPSDTPDDGYQWAWNDIDMEGSP >CDP22019 pep supercontig:AUK_PRJEB4211_v1:scaffold_9078:1075:2617:1 gene:GSCOC_T00008044001 transcript:CDP22019 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRAPTIYVNIFSWEAATQFPIATQTARGGANAMGLGKTVMTIALILARQGREYIKKKKKESDLKPFRKVKGSTLIICPMALLGQRKDELETHSKPDSISVSVFFGGDRSSDPRVIAEPNVILTTYSVLTAASYKNEKLAISIFLIDWYRVVLDEAHTIKSSKTLGAQAAFKLSSYCMWCLTGTPLQNKLEDLYNLLCFLHVEPWCN >CDP20105 pep supercontig:AUK_PRJEB4211_v1:scaffold_909:3:1657:1 gene:GSCOC_T00001450001 transcript:CDP20105 gene_biotype:protein_coding transcript_biotype:protein_coding NFFTGEIPSEFQSLQSLGTLDLSQNNLSGLIPNALAELPGLLHINLSFNNLEGPIPSGRAFVNLTLEGVKGNKGLCGNITGKEFVLIIVLPLLGSFTLLGALFGALKMYDRRKRNSRAEDKEVNKGGLFAICAYDGKALYKDIVRSTEEFSETYCIGKGGCGSVYKAKLPSGEVVAVKRLHNIPNVGKDKSFSNEIRALTEIKHRNIVKLFGFCSNAQHSILVYEYLERGSLAKILSIEEEAKELDWQKRLNIIKGVAHALSYMHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTSKFLRRDSSNWSSLAGTYGYVAPALGSCQWK >CDP20106 pep supercontig:AUK_PRJEB4211_v1:scaffold_909:43034:43765:-1 gene:GSCOC_T00001451001 transcript:CDP20106 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLLFFISLAIVLAITSQVVARELAEASTSVDNSETLNHRPDYEGGGRPAYGAPGGGGQGGDPGGWHRDGGYGGGEPRGPVGNPGGGWHRDGGYGGGGGGRYGGYPGQAVDAEP >CDP22020 pep supercontig:AUK_PRJEB4211_v1:scaffold_9090:628:2441:1 gene:GSCOC_T00002405001 transcript:CDP22020 gene_biotype:protein_coding transcript_biotype:protein_coding GLEIKTVHSNKLDYLYEVSIEPEVVNPTSIPTINPYSAYGKQSFSLTRVIKSLIRAHPKGVKEYIQASKVDQHPIPATRREQFITLHIPNDFPMQWKQQGYTHIHFGAIRISLSFHGRKGLPVVARIALLDIRFKQYQHACIATTETTLNAGTIFVTLFPNFNMSLAEPHLLEALKVQVQIIGAEQVLDAIAATLHYQMVYRVQNHALDLAIPGGENALLIRVDEKNGASCTHVPRQISKQELIQLLPNDWITDYEDLHTQANEPLESSNSRITHTKERRTSISFDHSHFKGLVSKTHPPSIMCAQVPMYYPSEFEKQWEIHDDHPQNLQQVHRSQDIIKYFDKEGLPVSWFQDPISGHIYFDVCNVCEECQVENILGLDLPDLSCKKRSKSKQVEPRPCKPDLDPQDPDTDTFVSQRSQFNKSKKEWNPKPFCKFEPLVPIHTSQIQECFMFSEADFPKLETFNKNGSRHTPKIQNISSTVLPSGEITRSNSTEDVLNWQTENSLVQNTALISIHKNISEAKDKIERIDTTVTTQQTQVSHMIEVFEKKTPRAQIHHALRSIYFS >CDP22021 pep supercontig:AUK_PRJEB4211_v1:scaffold_9092:1:790:1 gene:GSCOC_T00013513001 transcript:CDP22021 gene_biotype:protein_coding transcript_biotype:protein_coding IWVMPNLKGMLGREVQGTASTPGVFSQLQSLSLVGCPTLTLPLPHMPSLKELYISNCPNMVWASISNLTSLNSLKIEIIPELSCFPEEMLQNLSLLESLEIGYMNDLRALPRSLACLTALKELTIQNSPKLQSLPEEGLRGLASLQELYLVDCYNLVSLSMGTKALRSLTHLRIYGSDAIALPEEVKYFPALQKLELVKCPKLETLPSSIQMMTTLQSLTINWCDLLGRQCKRGGEEWHKIKHIPGLKIW >CDP22022 pep supercontig:AUK_PRJEB4211_v1:scaffold_9096:2:1019:1 gene:GSCOC_T00007482001 transcript:CDP22022 gene_biotype:protein_coding transcript_biotype:protein_coding KVYALRISHQMAFKHSFFVVLVLLASSVVVLGQDEAGEATETTNAVKTASRKMLPIGGQIIKMLGVGVHDGQEGECSPAGKPCRPVRCCDFCVCVVDYPTHVGTCRGNC >CDP22023 pep supercontig:AUK_PRJEB4211_v1:scaffold_9101:2009:2686:-1 gene:GSCOC_T00002042001 transcript:CDP22023 gene_biotype:protein_coding transcript_biotype:protein_coding MINHPFLCLVPILLIIAQSAEGCYTSIFAFGDSLTDNGNLLALSAPRIIHQGRLPNGETYFHHPTGRCCDGRLIVDFLAQQFGLPIPPPYTEVSKEMTMDIRAGVNFAVAGARALDTDFYDKIGIIDPVTNDTLRVQLDWFKHMLPSICGPEKGKFAFLQAPSPTKSK >CDP20107 pep supercontig:AUK_PRJEB4211_v1:scaffold_911:44524:45890:1 gene:GSCOC_T00001077001 transcript:CDP20107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator STERILE APETALA [Source:Projected from Arabidopsis thaliana (AT5G35770) UniProtKB/Swiss-Prot;Acc:Q9FKH1] QTCVAPFPSRQIERKNVRNSDCGCADFSVIESTQVCSTWRAVSRSDLLWQNLTRRIWNREQLLLTHNTWAEEFIYWHRTANNFRLHGYAYATLQLLPADYNNNNEGISCTRLALSDHYLAAGLSNGSVCLFHVPNRLHVSTFHPHHRDRLGRFSSSVSGIILSDTQVVFASLDGDIHVAMINYPNPPRRAHPGEVVNDGALVDFTGSNRWWVGLYAGVPGRAFHVWNSETEELVFVGGSLTDPEAVMGWHLLTDQLTEFVGRIRVTSHEAAVGCTSLRVVVFDLRNPDIILGEEVFQREIVVGCVDASGQSFMVVDNRGSASVRLVGTLEEVCRFTMRGASQRDVLGCMNGGYAMLCTAGVIRVWNIENGEYLYSLRERIGDANALVADERHVAACSRDTTIHLWDFGAQ >CDP20108 pep supercontig:AUK_PRJEB4211_v1:scaffold_912:14748:16203:-1 gene:GSCOC_T00003092001 transcript:CDP20108 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMKTLIRKTITLEVESSGTIDNVKAKIQDKEGNPLDQQCLIFTDSSASSCFPNFPQLICLNFFPKYFFAKFFVLPWQLAFLLPLRDSFLVNGVAFKKTFSYAGFEQQPKKFVNPKILLLNIELELKSKKENAEIRLLDPSQYQSIVDAEWNIIYDKLDKCVKSGAKIMLSRLAIGDLATQYFADRGVFCAGRVTEEDLHRVAAATGGTIQTTVNNVIDEVQFCCSVLDIIYALHLFSELVKYAIFIALFLFRKFRKL >CDP20109 pep supercontig:AUK_PRJEB4211_v1:scaffold_913:7525:13176:1 gene:GSCOC_T00000733001 transcript:CDP20109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sorting nexin 1 [Source:Projected from Arabidopsis thaliana (AT5G06140) UniProtKB/Swiss-Prot;Acc:Q9FG38] MIPTQLQRSGSGSTQSPRSPSSQEPFLSVSVIDPAKMGNGVQAYISYKVITKTNLPDYQGPEKIVIRRYSDFVWLRDRLFEKYKGIFIPPLPEKSTVEKFRFTAEFIEMRRQALDIFVNRIASHHILQQSEDLRIFLQADEQTMERARSQEIGIFKKKPADLMQIFKDVQSKVSDVVLGKEKPVEESTPEYEKLKRYIFELEDHLAEAQKHAYRLVKRHRELGQSLSEFGKAVKLLGTCEDNALGKVFSEVGDKAEIVSVKMQREAHHLLMNFEESLKDYVRAVQSIKATIAERANAFKQQCELAETIKFKEIDLNKLRLTRSEKLADAEREYDVLKADGEEATRRFEAIVQLMNEEIVRFQEQKTLDMGLAFHEFAKGQAHLANGIAEAWRSLLPKLEACASS >CDP20112 pep supercontig:AUK_PRJEB4211_v1:scaffold_913:23880:27926:1 gene:GSCOC_T00000736001 transcript:CDP20112 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLSLVTKPGSKLLNRFLILPGPNPILHQSSRTLETLAFEEVLSSPDKPYTYTAFILHGLLGSGRNWRSFSRSLASSLSGWRMVMVDLRNHGKSAEITGLSPPHTIGSAARDLADLVKSKGWDWPDVVIGHSLGGKVALDFARSCARGDYGEHACLPKQLWVLDSVPGKVDPEESDGEVEQVLQTLQCLPSSIPSRKWLVDHMMKRGFSKALSEWIGSNLKRSGDQETWAFNLEGAIQMFNSYRETDYWSLLDEPPKGMEIAIVRAENSDRWMQDTIQRIQRLAAKRVDEADGKVSYHVLSKSGHWVHVDNPKGLLQIVTPKLASIT >CDP20111 pep supercontig:AUK_PRJEB4211_v1:scaffold_913:17394:21495:1 gene:GSCOC_T00000735001 transcript:CDP20111 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSCLYSLVPSLHPSHVNFSKNLSFLSSSRVARPQGGALLNQSSTPQRIVCSSTDDPNSMPSGENIPSSFCIIEGPETVEDFVQMQLQEIQENIRSRRNKIFLLMEEVRRLRVQQHIKSIKVSDVTGEQENEMPDIPSSIPFLPHVTPKTLKQLYLTSFSFVSGIIVFGGLIAPTLELKLGLGGTSYEDFIHTLHLPMQLSQVDPIVASFSGGAVGVISALMLIEVNNVEQQEKKRCKYCHGSGYLACARCSASGTCLKFEPISTCSDSIRQLHGPTTQRCQNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >CDP20113 pep supercontig:AUK_PRJEB4211_v1:scaffold_913:32753:36506:-1 gene:GSCOC_T00000738001 transcript:CDP20113 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFCSEKILWVLALGFTCVLVSINGVEASHKVYPDYQSLTASNVGRLHRTAYHFQPKRHWINDPNGPMYYNGVYHLFYQYNPKGAVWGNIVWAHSVSTDLINWTPLDPAIFPSKPFDKYGCWSGSATVRPGNKPVILYTGIVDHNNTQVQNYAVPANLSDPYLREWVKPDNNPLIVPQAGENKTAFRDPTTAWLGRDGHWRILLGGKRKHRGVAFLYRSRDFLKWTKAKHPLHTAAGTGNWECPDFYPVLKQGSYGLDTSVLGPNVKHVLKVSLDVTRYEYYTLGYYDTDKDRYIPDDTSRDGWNGLRYDYGNFYASKSFFDHSKNRRILWGWANESDSAYDDVTKGWAGIQLIPRVITLDPNGKQLLQWPIPELETLRGENVHLSGQVLKKGDVVEVTGITPAQADVEVTFSFAASSLDLAEPFDPTWKALDAQDVCGRRGSTVEGGGLGPFGLLTLASENLEEYTPVFFRVFKAGYQHVVLMCSDARSSSLMDGLYTPSFAGFVDVDLTDKKLSLRSLIDHSVVESFGARGKTCISSRVYPTLAVSDKAHLYVFNNGTEAVKIETLDAWSMNRPRMN >CDP20110 pep supercontig:AUK_PRJEB4211_v1:scaffold_913:13495:16429:1 gene:GSCOC_T00000734001 transcript:CDP20110 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPVDTDLAKFETLLFQWGNSLCQGANLPLPVPLKVDKIAGGARLGFITIGDGKTEVLVYIDCLVFPATDSSGPIFRAIRNGPLKAQSAPGEPRIMRSLLAALQKSVEIARV >CDP20115 pep supercontig:AUK_PRJEB4211_v1:scaffold_914:13486:13701:-1 gene:GSCOC_T00004033001 transcript:CDP20115 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVIRAQCKGAGSVFKSHTHHRKSPTRFRSLNFGERNGYLKGVVTEIIHDPGRGALLARDPDSLRSVVYG >CDP20116 pep supercontig:AUK_PRJEB4211_v1:scaffold_914:33657:34400:1 gene:GSCOC_T00004036001 transcript:CDP20116 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKYLVDSGTEHYAYCHVVVKLISKVTGRLIILRDANRFHHFKDGVCSCNDYW >CDP20114 pep supercontig:AUK_PRJEB4211_v1:scaffold_914:5796:8001:1 gene:GSCOC_T00004032001 transcript:CDP20114 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASVDLPAEILLSKRVQEMVLDKKEPPAPYKCRDDADSEDDDPISMSPIPLIDLGCLLLSTSEVPAQEIVEVLEKINAALSSWGCFQAIGHGISNLLLDKVRQISREFFAQPMEEKKKYAKTVVDFEGYGADPVPEEGQSLDWSDRLFLNVQPEDQRNYKFWPMTPESFRDVLLEYTDEMKRVTELISRAMAKSLNLDENCFLKQFGERSQLQARFNYYSPCKRPDLVLGLKPHSDGSGYTIIMQDEVGLQVLKDDKWYKIPKNPHALLVLMADQMEIMTNGIFKSPVHRVLSNSERDRISVAVFYTPEVGKEIGPEDGLVNERTPKLFKMVKDYADTHFGYYQRGMRALHTAQA >CDP22024 pep supercontig:AUK_PRJEB4211_v1:scaffold_9179:547:1878:1 gene:GSCOC_T00006799001 transcript:CDP22024 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCALLHPSFSITDLFLSFLPYAYLDFSHGYEASKHILSCLCLIHISCSKKIVDLLHCYYINGYM >CDP20118 pep supercontig:AUK_PRJEB4211_v1:scaffold_918:34066:35090:1 gene:GSCOC_T00011342001 transcript:CDP20118 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKTRKLGGSLKVPFVQDLTKENPSSVPTRYIRPDNDQYPTISNGGSFHQIPVVDMQNLFSSAEEVSDLELQKLHSACKEWGFFQLINHGVSSSLVEKLKSEVQDFFNLPMAEKSKYGQEPGDVEGYGQAFVKSEEQKLDWADMLYIITQPEDLRKPHLFPKLPLPLRYIYLTNHAQHTQNGEFKSSVISLVL >CDP20117 pep supercontig:AUK_PRJEB4211_v1:scaffold_918:6725:7066:1 gene:GSCOC_T00011340001 transcript:CDP20117 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDRTRQEMLRTMTPLPTDHWPFMSKGDGKGIWIPSPHTPKAPRHGPRPPSRLKFTEMRQIAAVLFQESAFPSRCLVPSALSQPICKSLASNRALFYEEELCQAVAQNKLR >CDP22025 pep supercontig:AUK_PRJEB4211_v1:scaffold_9184:1374:2798:-1 gene:GSCOC_T00007769001 transcript:CDP22025 gene_biotype:protein_coding transcript_biotype:protein_coding GPSRGKKSTYGKQNSSHMYDTLEDFLQTNSRLMPIRYSYKLIKTMTKYFEEKLGQGGYSLVYKGKLRSGGRRRNVDAHAEHTSQIYFPSWVYDKFDQVEEMEIGDHAIEEEKTITRKLILIAL >CDP22026 pep supercontig:AUK_PRJEB4211_v1:scaffold_9187:1:2204:1 gene:GSCOC_T00006252001 transcript:CDP22026 gene_biotype:protein_coding transcript_biotype:protein_coding YKMVPLSFEDSWTLFCNKIFKGNGCPAHLTDVAKGILGKCQGLPLAILAIGGFLALKDLFIAEEWEMVRRSLVGELEGSGMLDRVRKILSLSYNDLPCHLKTCLLYLSIYPEDFEIRCHRLVQLWSAERFVGKREGMTMKDVGYNYLREPVNRSLIQVTQSFYEGIPYACRIHDLVREVVLSKAREQNMIAITTGHYTKWLSEKVRRLVVHSSSNNTEQHQESQCYSFNHLRSFITIESMNPLVFRALLCKVLKSSRLLKIFNLYHLRHLNLCRTGVKAVPKFIGKLRNLEYLNLRETEVKELPVEILKLKKLEHLIVHQKVDFSEARLLALQSLTTIDASSGSVIVKEIGTLTQLSRLGISNLRREDGNVLCSSLATLTSLQLLDIASIRNEGGDYEVMDLNHHPQQQHSRSSSMSSSFLQSLRMLILCGRLEKMPQWIAHLQSLVRIDLDWSGLRDEEDPLEPLHHLPNLVTIQFCGSYQGEGLCFKTGGFLKLKDLYLKKLEKLKWLKVEEGALASLHELCLDRLPLLEELPLDIQHLSQLRKLGLHELSSQLMEKLNSLNEDSEDYRKIGHIPEVEIVFLTNEGWKYRLLWGKKMM >CDP20120 pep supercontig:AUK_PRJEB4211_v1:scaffold_919:11384:19119:1 gene:GSCOC_T00013443001 transcript:CDP20120 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSSDNGAFMDKFILKSGSSSSQLPLSGLTFAVKDIFDVDGYVTGFGNPDWARTHSAATSTAPAVLALLNAGATCVGKTVMDEMAYSINGENVHYGTPTNPCAPDRVPGGSSSGSAVAVGAMTVDFSLGTDTGGSVRVPASYCGILGFRPSHGIVSTKGVIPMAQSFDTVGWFARDPVILKHVGRILLEVHDASSVAPSNIVIAEDCFKLMDFPGDQLTRVITQSVEKSYGRHCIKFASLGDYVEEKVPNLKHFRSTGNQEYSIASLAALSSAMRLLQRYEFKENHGEWVTTAKPNLGPGISERIWEAVRTMDEHIDVCHSVKSELIAALTAFLEDFGILALPTVAGPPRKLQQEASSLEIFRAKAFSLLSVAGVSGFCQVSVPLGMYENLPIAVSLLAKKGMDWLLLNVVETMYTTLKEQFELLNID >CDP20122 pep supercontig:AUK_PRJEB4211_v1:scaffold_919:32690:34728:-1 gene:GSCOC_T00013445001 transcript:CDP20122 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGQQFPPQKQDVQPGKQHVMDPTPKATCHEYKPSNKLQGKIALVTGGDSGIGRAVSHCFALEGATVAFTYVKGSEDKDATDTLGMLMKAKAPDAKDPMALPADLGYDENCKRVVDEVVNNYGRIDILVNNAAEQYKAAAVEEIDEERLERVFRTNIFAYFFMTRHALKHMKEGSAIINSTSINAYKGNAKLLDYTSTKGAIVAFTRGLALQLISRGIRVNGVAPGPIWTPLIPASFSDEEVQKFGSEVPMHRPGQPIEVAPSYVFLACNECSSYITGQVLHPNGGTIVNA >CDP20121 pep supercontig:AUK_PRJEB4211_v1:scaffold_919:27309:32238:1 gene:GSCOC_T00013444001 transcript:CDP20121 gene_biotype:protein_coding transcript_biotype:protein_coding MEARARAPGKIILAGEHAVVHGSTAMAAAIDLYTYVSLRFPTPSDNDDTLKLYLKDMDLEFSWPVGKIKEAIPELGSFSASSPMSCSLETTKAIAALVDEQNIPEAKIGLASGISAFLWLYISIHGCKPAKATVNSELPLGSGLGSSAAFCVALSAALLALSDSVKLDFGHQGWQIFGDSELDLVNKWAFEGEKIIHGKPSGIDNTVSTYGNMIKFKSGELTRIKTNMPLKMLITNTKVGRNTKALVAGVSERTARHPTAMAAVFNAVDSISNEMATIIQSPVSDDLAITEKEEKLEELMEMNQGLLQCMGVSHASIETVLRTTLKFKLSSKLTGAGGGGCVLTLLPTLLSGTVVDKVIADLESCGFQCLIAGIGGKGMEVSFSGTS >CDP20119 pep supercontig:AUK_PRJEB4211_v1:scaffold_919:3953:10678:1 gene:GSCOC_T00013442001 transcript:CDP20119 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNVCRNFPEDWWFEDVSSLRIYQFVEVVAPMRRKKIVSELLRSCVGHWWLSKIKDADCTMRQKSSETQLHRVIVEYLIRILAVEENSVPCNFLLHLVKIGHILKINSELLCQLERRVALVSKSYKATDLLGKHRTTLFDVNIVTKVVEVYFAEVSSNSTSNLTVVGRWVDEFLVLGARYKVKKMEHPQSKSDTLSPHPTPNRNPCPICLGPVIDESYLDQCFHKFCYNCILRWTKVVAYNYSAPPTSVKCPLCKTENFSIIHGCDGISFQRHFIGQDFHTSDFFTKAHKYRLQSYYCETGTLIDIFNPTRYWKLHKYLQPSHWLYDWMRREIQALTQEEDVDIVVHHILGVIESWRRTEQTSSRTSPEAKRERFRILVSEAARCFLTGRTNQFVNELEMFLASGLNIDAYDKVYLKNLGWKIPEISEDEEEPHEHAPAVPNLYLFDEDSDYTDGTSSIR >CDP20123 pep supercontig:AUK_PRJEB4211_v1:scaffold_920:9421:14544:1 gene:GSCOC_T00001952001 transcript:CDP20123 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFFVPKIIGVLGDVALKQLGRKINLVMGIEEEVENIKKSLETIEKVLHDAERRRLKERPVGKWLEELEDIAYEMDDVLDEWTVKIQKLENEGTHQNARLQPILWIKVRSLILSLCSCLKQLPVRSDNAQKIKGINEKLELTLKEADQFKFITRGGIPDSQDFQRIITTSMTDESKICGRESDKVALLDQVLSKSRAGGSGKTTLAQLLFNDDEVKDHFELKKWVCVSDPFDQKRIAKAVLEGPEKSSLGSLELETLLQLLKETFSGKRFLLVLDDVWTKDDSKWEPFQDSLKDGALGSMALMSDSDCWLIMQRIAFAKNSGDLELCKKVERIGQKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLEEAAVELFPHLYLSYNELSPELKRCFSHCAVFPKDHEIVVEELLRLWIAQGYVRPRRRGERLELVGLEYFNSLAMRSFFQELEKAEALYGLPEYMKCKMHDIVHDFAQFLTKNECHALDGIGRNSCSERARHLTILKGTEEEVFSSQDTNDLRQIPQGLGKLTSLCTLSRFIARSNSDDLAILKNLNQLERLVIEIDGEVDFGSAKLDKKINMREMFLLFSDGTHFIETPSCIETMEPPPNLELLGLTGYPGAQLPSWFVTKSHANNLTRLIIDSPRNVSSLPTLWKLSSLEELEFVGAEKLECLGKEFFGSSSSAEAVAFPNLRKLHFRHFQNWTNWEDLSEDDEEVAVSIMPRLEELKISHCYELEALPHRILSKISSLKKLDIRSCDKTLTLSYCELAEIPAEIGSLIHFRHLDLSWNPFVTLSEAICDLYYLETLDIRFCEKLSCLPERIEGFVHLRHLFNHGTSELRQIPQGLGKLTSLCTLTRFITRSNFDDLSILKDLNQLEILRVVIEGEVDFGSAELEKKINLREMDLCFRLGAHFIETPSCIETMEPPPNLQRLWLDGYPGARLPSWLLTKCHANNLTRLSISGPCNISSLLALWKLSSLEELVLLRVEKLEYLGKEFFGVAKALHDNSRDALDTLSNSESSSSAEAIAFPNLKKLYFGYLTNWTNWEDLSKDDEEVAVSIMPSLEELKIKDCKELEALPHRILSKISSLKNLNIRCCNKLRDRYSDKTRDDWIKISRIPRVDISDKD >CDP22027 pep supercontig:AUK_PRJEB4211_v1:scaffold_9204:144:1544:1 gene:GSCOC_T00002704001 transcript:CDP22027 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFENLPCGISRLTQLQHLALPSMKEDIQAADTSEVTSWKQKPSASHWSFSVVDRTVPNTSRSLLSYNGSLFLEFLDSNPSVLDSTSNHFHLFVHPTEVQNGARDMLFHRDELVCRDVYLLTRHCSKSEGRLVEIHHLSAFSEGIEVVLHDAEYIFFFDSLFFKSFSDLGAGNIKTLKGCWIEGCENMEFVIETSDLVGSSERGIALEILWISNASSLRSMYSENLRLGSFQNLKCLYLDCCPKLLSVFFSSHLLQMLEILHVRFCENLVALFGDDVEEHELPNLRTLRLWELPKLKSIGCIMPSLQSLEVGECPMLEHMLSSLHVPEKLEVLKVRNCSELGNLLEGLTPENCKLPHLAEVHLWGLPKLTRIGIESPLLRSLEIGDCYVLLHVSYMPENLEVLKVRFCDNLEAIFVGMTSENFILQSLHTVHLWGLPKLVGIGARLPPLQKSIIRDCPKLALPVS >CDP22028 pep supercontig:AUK_PRJEB4211_v1:scaffold_9218:149:1422:-1 gene:GSCOC_T00006255001 transcript:CDP22028 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTLNYAAGGHPFQLSSTSTPPTITAKQHLLLSRNTPPPSSTLSNFFLLPVSCPFSPFSRFTYMSKLSCHKKFQRTPILASSSSSSSDSTSNDNPLDHSASDAAAGFSWLHLSRRFFTNFKQQTGIDFQHDAIAILAQLAAPLRRSVQLPHFALERFRSHLLPDFVNWNKLDRWKDVKNWEAKRIGVLILYALVMVVSSRGIYKAIQAPVIDRERRELAEAYMEALIPEPTPTNVRKCVLLFLSHLILVWKSTISALTEEHMT >CDP22029 pep supercontig:AUK_PRJEB4211_v1:scaffold_9225:1201:2784:1 gene:GSCOC_T00004604001 transcript:CDP22029 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIIQAPYDATVRLMLASLERNLLPDAVIRRLTRLLLAGRLRSGYKPTSEQQLSDLLAFAHCNSSSCLAPEVLVPLKGHLSNVHLPYNLLEKCGVNSLREMPTAIQTEVPKSQHYELPTSFFKLVLGEHLKYSCCLFLDKSKTLEDAEKAMLELYCERSQIKDGHTVLDVGCGWGSLALY >CDP20132 pep supercontig:AUK_PRJEB4211_v1:scaffold_923:37280:40056:-1 gene:GSCOC_T00007347001 transcript:CDP20132 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGNENKAPAVIGLAWEPRLPRLPIPDTASTSSSRNRHNHHRNSRAKTSTGSFSFTSQQDNLIDGLYVPPNDPKKLNKLLRKQLQQTAGKHWFDMPAPTLTPELKKDLHLLKLRSAMDPKRHYKKGDSRSKTLPKYFQASHPIFDQVGTVIESASEFYAGRLTKKERKATLADELLSDSNLAQYRKRKVQEIEEQNRPAGFDKWKIRGRKTMKRAKQRRH >CDP20131 pep supercontig:AUK_PRJEB4211_v1:scaffold_923:34314:37062:1 gene:GSCOC_T00007346001 transcript:CDP20131 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMIKVRHNNVIHQFLDRFYMLRIGICMLIRQHVALHDPNPPPDCVGYIHTKMSTVEDACCICFQEYSSVPDFNIYGDPNFSFLYIPTHLHLVVFELVKNSLHAVQDRFMDSNEVAPPIRIIVTEGIEDVTIKAFPFASIIDLFFGTQVSDEGGGIPRSGLAKIFTYLYGTAKYPLDEQVDLGIFDTTTMAGYGYGLPISCLYARYFGGDLQIISMEGYVMDAYFHLSQLGDSQEPLP >CDP22030 pep supercontig:AUK_PRJEB4211_v1:scaffold_9244:1047:2781:1 gene:GSCOC_T00001372001 transcript:CDP22030 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIIQAPYDATVRLMLASLERNLLPDAVIRRLTRLLLAGRLRSGYKPTSEQQLSDLLAFAHSLREMPTAIQTEVPKSQHYELPTSFFKLVLGEHLKYSCCLFLDKSKTLEDAEKAMLELYCERSQIKDGHTVLDVGCGWGSLALY >CDP22031 pep supercontig:AUK_PRJEB4211_v1:scaffold_9251:1:2725:1 gene:GSCOC_T00008896001 transcript:CDP22031 gene_biotype:protein_coding transcript_biotype:protein_coding SYGLQYEGAAFEDGKGPSICDTYIHKYPDRSNGDVADDFYHRYKEDVQLMNYIGITGFRFTVSWPRVLPRKMFFFYVLVKVIIIFCQISSYSSFSNQISFYSYILHVWLAAYMCNTYCNLIGRDDFRDFAELCFKEFGDRVKHWLTFNEPWSFSVGGYDSSTFGGTIAPGRCSAWLNKGCPAGDSSTEPYLVAHRIILCHATAAKLYREKYKPSQKGQIGIVLITNWWIPYSNSKADALAAQRILDFFLGWFLDPLTFGDYPKSMRSFVGKRLPKFTEEQKLLIKGSLDFLGLNYYTSSYVLNAPYANSVNISYSTDLQVNFTGIRNGRPIGAPVSSFIRYLDELKLSKR >CDP22034 pep supercontig:AUK_PRJEB4211_v1:scaffold_9258:1464:2101:1 gene:GSCOC_T00010574001 transcript:CDP22034 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKGPDTMGDHVRRFIVWTKSAFEKLDEIYRQMTVNSSFPWLMVISRSLKENGLSNLVKGKFWCSIFCISFLVICVSEDD >CDP22032 pep supercontig:AUK_PRJEB4211_v1:scaffold_9258:336:653:1 gene:GSCOC_T00010572001 transcript:CDP22032 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSYVNNHLYHDALFMFKSMNSSNTNPDYYTFPCILKACSASMDLRVGLQVHTQVLKMNLDGNLYVGNGLIAMYGKCGSLTGARSVLDEMPRRDVVSWNSMVVG >CDP22033 pep supercontig:AUK_PRJEB4211_v1:scaffold_9258:725:1382:1 gene:GSCOC_T00010573001 transcript:CDP22033 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFKSKENVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIIPATLKKSAKPLGRPMGGPPGDRPRGPPRFDGGRPRFGDREGYRAGPMDLLVSLVVRKVELLWITSLLSGVLVEGLALAVDLELRPCPS >CDP20135 pep supercontig:AUK_PRJEB4211_v1:scaffold_926:41573:45090:-1 gene:GSCOC_T00008808001 transcript:CDP20135 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLYQGPPDTAIHDLKVTIQESQLVFPAQETQSKSMFLSNIDQVLNFNVQTVHFFPANLEFPPKIVADRLRTALSNVLVPYDFLAGRIKLNPHSGRLEIDCNSAGAVFAVASSEFALDDIGDLVYPNPAFGQLIVEKVDAIGSDDQPLCIIQLTSFKCGGFAMGVSTNHAMLDGLSFKIFLQNLATQAFDDKPLAIVPYNDRTVLAARSPPQVTFPHPELLKLKIPIGEEPSHPTFDCPQEELAFKIFRLSSDHISYLKEKAKASGPAAAAATATTNYRITGFNVVTAHVWRCKALSCDSEEGLERLSTVLYAVDIRPRMKPPLPASYTGNAVLTAYATAKCRELEEVPFSKLVAMVSEGAARMTDEYARSAIDWGEVYKGFPHGEFLISSWWRLGFAEMEYPWGKPRYSCPVVHQRKDIILLFPDIDAANANAVNVLVALPGKEMEKFQSLFHKLLEDN >CDP20133 pep supercontig:AUK_PRJEB4211_v1:scaffold_926:13087:14920:1 gene:GSCOC_T00008804001 transcript:CDP20133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BLUS1 [Source:Projected from Arabidopsis thaliana (AT4G14480) UniProtKB/Swiss-Prot;Acc:O23304] MFQYPLDSKGYQILDEIGRGASAIVYKAICLPMNSSTVAIKSIDLDQAKADFDNVRREAKIMSLLYHPNILKAHCFFTVDRRLWVVMPFMSGGSLQSIITSNFPDGLPEPCIAAVLKETLSALSYLHDQGHLHRDVKAGNILMDSNGSVKLADFGVSASIYESSSSYGPLQGSPSSNLMLTDVAGTPYWMAPEVIHSHTGYSFKADIWSFGITALELAHGRPPLSNLPPSKSLLMKITKQFRFSDYAKFHESKKNKFSKSFKDMVGLCLDQDPSRRPSADKLLKHSFFRYCKGPEFLVKNVLHGLPTVEQRFRQSKIQRLLSLNKTEEEDEEDSLRENIKQRRISGWNFNVEDFELNPVFPNEKEEDSIVKQVRFGGETIIQEKGGDTDGSISSNSPGQTVSVAGTQILSDQVSEVSDDLVQNVGSTSEAESVISASDQGSVDGEMMLSSLLFLKKSLEDQRNEVMTMIGLIQGKKFTEMSREEQLMQVIEKMKVELENEKKKNAALELELEFLKLQYSSGQ >CDP20134 pep supercontig:AUK_PRJEB4211_v1:scaffold_926:20052:25580:-1 gene:GSCOC_T00008806001 transcript:CDP20134 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGGDPLVVGRVIGDVLDQFTRSLSLSVTYGSREVSNGCEFRPSQVVNQPRVEIGGDDLRTFYTLVMVDPDAPSPSDPNLREYLHWYVLTLEMALCLSFSTFIESWETKITAEVVCYESPRPSMGIHRFIFVLFRQLGRQTVYPPGWRQNFNTRDFAELYNLGSPVAAVYYNCQRESGSGGRRR >CDP22035 pep supercontig:AUK_PRJEB4211_v1:scaffold_9261:547:1133:1 gene:GSCOC_T00000563001 transcript:CDP22035 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYYKFPPTFLSNFHQNTFCLAYALFTYLAPRRLSTCFIAIL >CDP22036 pep supercontig:AUK_PRJEB4211_v1:scaffold_9265:1899:2775:1 gene:GSCOC_T00000488001 transcript:CDP22036 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHDCFVQGCDGSILIDGAGTEKTAPPNLLLRGYEVIDDAKSQLEQACPGVVSCADILALAARDSVVLAGGPGWPVPTGRRDGRVSLASDATNLPGFRDSVDVQKQKFAAKGLDTQDLVALVGGHTIGTVA >CDP22037 pep supercontig:AUK_PRJEB4211_v1:scaffold_9266:1609:2724:-1 gene:GSCOC_T00001617001 transcript:CDP22037 gene_biotype:protein_coding transcript_biotype:protein_coding TFEYEDPLTTSFLSKFLCGGPKLLKVLNLREAELDNIPKEVFKLFHLKYLNLSDTRVKIIPKSIGQLQNLEALILTGTTITELPVEILKLRRLRCLAVGRKGDYSNNYAIWGFKSPDGIGKLISLESLAFIEANSGKIVMEIGNLIQLRQLWITKLRREDGKELVSSLLRLTNLQELYISSIKEEETLDLQHSISPRLGFLVRILLDGRLEGVPEWMISLQSLSTLALYNSELSEDVNAIDCLGHLPNLVDLILHRAYEGETLCFKAGRFPKLQRLQLGQLKRLKWVRVEEESLSSLQQFLIVGCKLMEGLPLGLQNLTELKFLGFYDMSDELIYKVQNLDKQSEDYQTISHVPQICTGHWINGEWKEEFL >CDP20136 pep supercontig:AUK_PRJEB4211_v1:scaffold_927:4922:8317:-1 gene:GSCOC_T00011279001 transcript:CDP20136 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAISATVKVVLGTVISIAADRVGMVLVVKAELERLGKTTATIQGFLADADEKMHSQGVRGWLKELEDEVFKADNVLDELHYHNLRQEVKYRNQPMKKKIRDINTNLERINQQANELGLVRKHQKEADAAGATASRQTDSIVVPNVVGRAGDESKIVEMLLTPSERVVSVIPITGMGGLGKTTLAKSVYNNTKIVENFGIKSWVCVAREIKILELFKLILESLPGTKVEVDGREAIVQEIRRKLGEKRFLLVLDDVWNRQWGLWNDFFTTLLGLSTTKGSWCILTTRLEPVANAVPRHLQMNDGPYFLGKLSDDACWSMLKEQVIAGEEVPQELEAIQGQILRRCDGLPLAASLIGGLLLNNRKEKWHCIVQESLLNEDQGEIDQILKVSFDHLSPPSVKKCFAYCSIFPQDTKLGEDELIELWVAEGFVLPDRENTGMIEERGGEYLRILLQSSLLEKVADEGRTYYKMHDLVHDFAKSVLNPKKMAENVRRNKAASIRSLFLHSGGGISADMNMLSRFKHLHVLKLSGYDVVFLPSSIGKLLRLRLLDISSSGITSLPESLCKLYNLQTLTIGGYALEGGFPKRMSDLISLRHLNYYHDDTEFKMLVQIGRLTCLQTLEFFNVSQEKGCGIEELGTLKYLKGSLEIRNLGLVKGKEAAKQAKLFEKPNLSRLVFKWESNLSRKSDNRDEDVLEGLQPHPKLEKLKIGSFMGNKFPQWLINLPKLVVLRIEDCGRCSELPALGQLPSLKRLCLKRLDNIRSVGDEFYAFMENLAEWKDADQVRSTIGEADVFPMLRNFHIQSCPQLTALPCSCKILDRLGIYSCDNLRELPVDVFGLSLQCLTISCCPRLISLGVNGKKCPLRCRSLRSVWVVSCPNLVSFSLNLQQTPSLEEFVLDDCPKLIPHNFKGFAFATSLRKLAIGPFSSDDSSIDDFDWSGLRSASTLRELYLQGLPRSKSLPHQLQYLATLTSLSLADFGGIEVLPDWIGNLVSLETLEISDCQKLQSLPSEAAMRRLTKLTHVQVDGCPLLRQRYSPQRGIYLEE >CDP20137 pep supercontig:AUK_PRJEB4211_v1:scaffold_927:40069:41893:1 gene:GSCOC_T00011280001 transcript:CDP20137 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGIMSMNHILAIKTLKVWSRQWKLWLHLFHWIFAYPFFLSNLIWSFGGKWVVNSLDFNKNYDASVFETTIRLVVGGLLSAYDLSGDKVFLEKAQDIADRLLPTYDTPSGIPYNVISLAHENLHNPGWTGVDSILTDSGTEQLEFIALSQRTGNPKNRQKVSKN >CDP22038 pep supercontig:AUK_PRJEB4211_v1:scaffold_9278:1068:2625:1 gene:GSCOC_T00009944001 transcript:CDP22038 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPDGVKIKMKAKQIEVEGPRRKLTRNFKHLNLDFQLITYEATGKRKLKVDTCHVENLITGITKGYCYKMRFVYAHFPINASITNSNRSIEIRNFLGEKKVRKVDILEEVTVIRSKKVKDELVLDSNDIELFLDGIYVSEKGQIAKEE >CDP22039 pep supercontig:AUK_PRJEB4211_v1:scaffold_9279:438:2354:-1 gene:GSCOC_T00005757001 transcript:CDP22039 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSNNTLSGRLPSSTGYGLINLEWLDLFLNELDGVIPASISNASKLTVLELGQNRFCGPVPNSLGNLRLLRHLELTGNHLSGPVPSTMEYLQNLQALFLGDNQLSGSIPDCICRLKRLYQMYVGQNIFRGSIPSCLNNISSLGEIDFAGNLLNSSIPGSLWNLTDLLKLNLSYNSLSGSLPYETGNLKVVTLLDLSGNHLNGNIPSSLGGLQRLAKLSLAQNKLQGPVPDSLNQMFSLEFLDLSNNNLSGPIPKSLETLIYLNYINLSFNHFRGEIPSSGPFKKFHVPPCRSPRIHKSSQKKVFHMLGILSGIAATIIAVTAAAILLLRRPRNDGVSRNTDLLPMGLPKRISYSELVQATNGYDERNLLGKGSFGSVYKGILTDGTVVAVKVFTLLADVNSRSFDTECEVLRNLRHRNLTKVIGSCSNLDFKALVLEYKSNGSLEKWLYSHNHCLDLLQRISTMMDVASALEYLHFGYTAPVVHCDLKPSNILLDESMVAHVSDFGMAKFLDEEDSVLHTKTLATLGYLAPGLAYLTSF >CDP20138 pep supercontig:AUK_PRJEB4211_v1:scaffold_932:1330:4424:-1 gene:GSCOC_T00006886001 transcript:CDP20138 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLVPCLSSAKNQHEQLHNNPIEAKVKTSSLRGKKKATMLRFEKFPWISQELQKIMDTNMQEVGARRLAREAFKDIQLSIDHILFKIPSDGLTMKESYEVNSKGLEYFSKSWVPQTSPPKAVVCYCHGYGDTCTFFFEGVARKLASSGYAVFAMDYPGFGLSEGLHGYIPSFDGLVDDVIEHFSKVKENPDFRGLPSFLFGQSMGGAVALKTHLKQPNSWNGAVLLAPMCKIADEMLPPWVLTQVLIGAAKLLPTRQLVPQKDLVHLAIRDVKKRNLLACNMIAYKHKPRLRTAVELLNTTQEIGQQLENVSLPLLVLHGKADGVTDPSVSKELYEKASSADKELILYDDAYHALLEGEPDEVILGVLGDIVSWLDAHSP >CDP20139 pep supercontig:AUK_PRJEB4211_v1:scaffold_932:14177:15523:-1 gene:GSCOC_T00006888001 transcript:CDP20139 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPFTGLKAASSFPISKKSVDITSLATNGGRVQCMQVWPPTGKLKNETFSYLPDLTDEQLLKEIDYLIRNGWIPCLEFELEKGHVYREYHRSPGYYDGRYWTMWKLPMFGCTDATQVLKEVRECLKEYPNCWVRIIGFDNVRQVQCISFIAAKPKGF >CDP20141 pep supercontig:AUK_PRJEB4211_v1:scaffold_932:30983:38000:1 gene:GSCOC_T00006892001 transcript:CDP20141 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRNNFLKQRPSAHFKKKAGPKKGKWHNSGHEESSEDSLSSDTVYRILCQSRKIGSVIGKGGGIIKALREETQAKITVADAVPGSDERVILIVSPSAKLARRQNADKDGDDQDTDSKEHLREPHCAAQAALLKVHDRIVEEDLGGADNDDKNEIVVTARLLVPNNVVGCLLGKKGDVIQRLRGETGASIRVLPADHLPSCAMNTDELVQISGKPAVAKKALYEVATLLHQNPRKDKLPLSFPAYGTQGFHPSGPPLANMPPPGNLTWSQRNHDDRGMPMPWPERYGNLPSGIGSDFDAFPPVGGEEAPSEFSIKILCSAAKIGGVIGKGGFNVKQLQQETGASIQVENVSPESDERVIRVSSFEVLLNPRSQTIDAILHLQNKTSECNEKGVITTRLLVPSSKVGCILGQGGHVINEMRRRTLADIRVFSKEDKPKCASDDEELVQISGNFGVAKDALAEIASRLRTRCLRDANPKGEPAPSRSVSGYVPSGNLPGRDPLLSGGIGAGSSGRYEFFKGRPHEYESPRYPVPPSAMGYSDAKIHNNTVGSMMGPGRSSVGEIAVTRLKLQDSLSGPGRLGDFWRSSDHLNAAQSVVQAFGSPAGKSNNFQRDPYQSYSAQQGIYHGTNASHNSYQNLNAQQAPYQNINMQQAPYQNIGAQGSFQY >CDP20140 pep supercontig:AUK_PRJEB4211_v1:scaffold_932:28645:28905:1 gene:GSCOC_T00006890001 transcript:CDP20140 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSENCSIKPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPEHLRPG >CDP22040 pep supercontig:AUK_PRJEB4211_v1:scaffold_9353:82:1752:1 gene:GSCOC_T00002406001 transcript:CDP22040 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLPNAVGDMKHLRHLDIRRTGITALPDSITKLYNLMTLKVRYLKEIPKKFCNLTNLRHFEFYTWEDGCLFPGIGQLANLLTLPHFRVSQDKGCQLEELEHLRNLGGELRIFGLENVSSCESAAKAKLSEKSSIQSLRLSWADTNEDCDDNNINSVMEGLQPHPNLKGLAIDGFKGSRLPSWMVAKDHSVHLRNLVHLSLKGLDKCEQVPPLGDLPCLESLVMESLHNVKRIGAEFYGLDINARSSASCSSSTSSREVKAVTLFPKLSHFELLGMGSLEEWSGAMVSSDSSSSSIKVFPNLRDLQIYRVPKLAALPDMENLTSLKVLDITGCGSLACIRNLNSLTSLECLYLYDCPALLDASLDMKNLRELTISGCDKLNPSLSNDLEKLTSLEKLAIRSHDPSCWPSMVLHHLANLRSLTLGGFSDILDLDHFPWPHSITNLVSLEHLQLRGWPKITSLPDQIQHLSTLRTLEIREFEGLEVLPEWMGSLRNLRDLWIADCYNLRQLPSAEAVRHLTNLNQLYIDTCPLLAERCTKGSGAERPKIAHIPRVVID >CDP20142 pep supercontig:AUK_PRJEB4211_v1:scaffold_936:41201:42499:-1 gene:GSCOC_T00010314001 transcript:CDP20142 gene_biotype:protein_coding transcript_biotype:protein_coding TCGLHDFLREIIVSKSKEQDFMTVATRYHTRWPEKVRHLAIHNFADNPQEFSSLKCLRSVVIFGYEDPLTTTFLSQFLRGDPKSLKVLDLDGAELDNIPKQVFKLFHLRYLSLTRTGVKIIPKSIGKLQNLEVIDLTRTNVTELPMEILNLRKLRSLWLGGWGDYSNEYAIWGCKCPLGIGKLICMENLTNIEADNETLDLQHSVFPKHEFLTRLILKGRLGRVPQWVTSLQSLRILLLLNSRLREDENVIGSLGHLPNLVLLTLYCAYEGETLCFKVGGFQKLQRLELVQLKRLKWVRVEEESMPSLRNLQLIGCKLMQELPSGIQNLTRLEFLGFYEMSDELMHKIQNLDKRSEDYQTISHIPQVSIGHWIDGRWKGTFL >CDP20146 pep supercontig:AUK_PRJEB4211_v1:scaffold_937:30105:32305:-1 gene:GSCOC_T00000087001 transcript:CDP20146 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEQDCSLQTLNKYGTNLTKLAAENKLDPVIGRQQQIECVTQILCKRKKNNACLIGDPGVGKTVIAEGLAQRIANATIPDKLQKKKVKSVPANVASYYKGEILLFIDELHTLIGAGSGGQALDAANILKPPLARGELKCIGATTLDEYKKYIERDPALKRRFQVVQVPEPSFDEAIEILKGLQEKFEVHHSVKYEEEALIASVKLSKQYISDGFLPDKAIDVMDEAGSRVQLYRSNASVDGNKHVAPVVTREDIKHVISSRTGIPIEKVSEEESIRLLNLANILQKHIIGQDEAIEAVSRAIRRARVGLNNPDRPVATFLFTGPTGVGKTEVAKLLADEYFGSKEAMVRVDMSEYMESHSVSKFYGSPPGYIGHEDGGVLTEAIRNRPHNLILFDEIEKAHRDVFNALLQILDDGRLTDGKGRVVDFKHTIIIMTSNIGFGSTLDDEKGKEGGVTMHLKKTFRPEFLNRLDEIVIFKKLGTTELMQILDIMLEEVCKRVVRMKHINLEITDKFKGMLILESCGSSNNYGARPLRRAITRLLEDKLAESILNGEVRENESLIVDVNQNNEVHFSNKKTKNEKK >CDP20147 pep supercontig:AUK_PRJEB4211_v1:scaffold_937:34721:37390:-1 gene:GSCOC_T00000088001 transcript:CDP20147 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKEKLARMYDVKDPNAIFVFKFRTHFGGGKSTGFGLIYDSVENAKKFEPKYRLIRNGLDTKVEKSRKQLKERKNRAKKIRGVKKTKAGDAAKGGKKK >CDP20143 pep supercontig:AUK_PRJEB4211_v1:scaffold_937:4665:7613:1 gene:GSCOC_T00000083001 transcript:CDP20143 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCSGRKRPLEMSYEPFDEMVLLRMLGQDGKMMLFKVKRNKPLKTLLMKYCDYKKFDYSTVNFLHLGRRVLVRSTPQELGMRDGDIIEAMVHVDGGGDVKDIQKMRPA >CDP20148 pep supercontig:AUK_PRJEB4211_v1:scaffold_937:38155:38597:-1 gene:GSCOC_T00000089001 transcript:CDP20148 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGVLLLGFICVIVAGVGGQAPPSPPTTSPSPPTLTAPTTAPPAPPTPTASPLPTTNPPPTPTPTSSPPPVPYLLLS >CDP20144 pep supercontig:AUK_PRJEB4211_v1:scaffold_937:17811:23383:-1 gene:GSCOC_T00000084001 transcript:CDP20144 gene_biotype:protein_coding transcript_biotype:protein_coding MSARQLKKVLEEQEATQQQQEELLNRNDESESPESSVPAQNPFDLLMDDNDSDDHHDSDKGDEVEAAHASRYQNVIEKHPSMVKGSVTSILSANQKSKKKKKKKSKADLSSNVEDHERSCNVTLENLSLEVDSSSQQRIASYSRKAKSANISGKDSIVKQLKPSILQVDPKFLSAENELRRIFGSKVVNSFEKGHQAGNSRQSLAGRRGSYSHRRTILVSPSEHWLRWDGSLSMELLESSNGVNYFRYVHSPSYSQAQRAFEAAKAIHDLNGIASILLHHPYHIDSLITLAEYFKFSGEHQMSADATAKCLYGLECAWHPMFTPLQGNCQLKYSHETNKPLFSTLFTHMKNMDRRGCHRSALEICKLLLSLDSDDPVGGLFCIDYFALRAEEYLWLERFSEEYGSDNSLWLFPNFSYSLAVCRFYLEKKEDTGDVDKTDGKASSNDLMKQALMLHPSVLKKLVNKVPLKEQVWTSILQHGFFKSEQSGSPTLDHLISMYVERSYLIWRLPDLQKFLRDSAHSVIATLKDKGTDANDWACVRKEAFSSEKNEYRHLLISDFSDSVPTMPPDNLQNFMVDPRMREAQNAEQVGGRPFPDRAPRDLTNRNALAVLLESMLPWFDYGQGDGEHNENDHHDQAN >CDP20145 pep supercontig:AUK_PRJEB4211_v1:scaffold_937:24431:27160:-1 gene:GSCOC_T00000086001 transcript:CDP20145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SICKLE [Source:Projected from Arabidopsis thaliana (AT4G24500) UniProtKB/Swiss-Prot;Acc:Q9SB47] MEESEKRKERLNALRREADQAGVHGDSENFMGASYTLANPLIEASVPPSVHAELQAAPRFDYYTDPMSAFSANKRGKVSHQISPDYFTPPRPVRPDMTNPWGHPAYQAQATYCADQSMFQSPRPYHRPGPFRSPRGTPSPFGTPEGGGSSGTPPYVSSNFSRGGSVGSPGFAPGGSPSFNDGHIRGYGCINSPESGFGNFGSPYPNSGRGQSRWLGNNSPQASGRGRGRGQGYNAPVSANSGRRVGSHDFLSAEHNPGRFYNKSMVEDPWATLKPVIWRRKDAPTLGTHHSKKSWLPESIRGKKAQVSEVSHKYSSGRSFAEDLAASFHAATNYESADDNDDGDDYVE >CDP22041 pep supercontig:AUK_PRJEB4211_v1:scaffold_9380:167:812:1 gene:GSCOC_T00006994001 transcript:CDP22041 gene_biotype:protein_coding transcript_biotype:protein_coding YVPCTGDTVLGIVVDSRSDNFLVDIKGPTLAFLPVLGFEGGTRRNIPKFEV >CDP22042 pep supercontig:AUK_PRJEB4211_v1:scaffold_9391:1:1113:1 gene:GSCOC_T00012137001 transcript:CDP22042 gene_biotype:protein_coding transcript_biotype:protein_coding DFNLTQLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEFEEHGASYVQRKCP >CDP22043 pep supercontig:AUK_PRJEB4211_v1:scaffold_9394:284:2682:1 gene:GSCOC_T00007656001 transcript:CDP22043 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWRLIKVAISFSSLLSPAIFADNVSIAPAAAPPDDVCAVKRCSPEGPAVRFPFLLRGKQPEECGYNNPGYNLYCDNENHTLLEFPPSSMKFVVKSINYKTQMIQVQFAEGCQLKYLRNLDLSSTPFQFSAPDYTIYRYLFRIDRYTLFNCSLANGDGWSSDDEYDFSCLDTPGYKVRATRSDTEIRFLSVELCTKMYDTNLVSGELFRMQDSMNLAWSLSACKKCEGKEGGICRWKNGTNNKFDCFGGKSGTYHLVFLPGPIVGCFFLILATSALYNVYKTKKIDRENQKRIRTFLEDYAAMKPTRYSYADIKRITNDFKDKLGEGGYGNVFKGKISNEIFVAVKLLHNSTGNGEEFINEVGTMGTIHHVNVVRLVGFCADGFKRALVYEFLPNGSLDKFIFPEGQEHHNLGMEKLQNIAFGIARGIEYLHQGCEQRILHFDIKPHNILLDNNFNPKISDFGLAKLCEKGRSAVSMTAARGTMGYIAPEVFSRHFGNVSYKSDIYSFGMLLLEMVGRRKNIDANVQNVSQVYYPEWVYGRLVQGEDLRIQVEEDGEDVIAKKLAIVGLWCIQWNPVDRPSITFVLQMLEGNGESPSLPPSPFTSTDPMNPSNPSLHRRHLASGLAVISELE >CDP20149 pep supercontig:AUK_PRJEB4211_v1:scaffold_941:3145:4664:-1 gene:GSCOC_T00007508001 transcript:CDP20149 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFNFITSYWFLISCQLLIRGDTSHYDAVANSAASGVLSAGLKSGVPCIFGVLTCDDMEQVNS >CDP20150 pep supercontig:AUK_PRJEB4211_v1:scaffold_941:13691:15088:-1 gene:GSCOC_T00007509001 transcript:CDP20150 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSCSSSIANNRLVHHRPHGIFKHNHQPIISVPLRHQQSSSTSLSLHLQPPKESVFGILWKRKADEKGQLGSLAAVRQLTGSLTIAEGLYFGIVVARFNEIVTKPLLEGALDTFKKYSVKEEDIDVSDFELVFVFLPFFLHPC >CDP22045 pep supercontig:AUK_PRJEB4211_v1:scaffold_9410:1127:2500:1 gene:GSCOC_T00002237001 transcript:CDP22045 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLLKCVITIGFLLRISVQVIVLLLTHSLRYSIGNDDDEDAIVIGAATSVLAARYAALEVYKTPVVIPKPPHVNRE >CDP22044 pep supercontig:AUK_PRJEB4211_v1:scaffold_9410:3:1058:1 gene:GSCOC_T00002236001 transcript:CDP22044 gene_biotype:protein_coding transcript_biotype:protein_coding SIWNVKNSFSKTVPKTSYPNRPYNLYLGSKLFSSSFHGSSKGFRSHQRHLIRKITFIPGISLFGISSVCFLFVSCARHCGCVCLCSLRSLFDGLSTISFPFLQ >CDP22046 pep supercontig:AUK_PRJEB4211_v1:scaffold_9427:1231:2087:1 gene:GSCOC_T00003534001 transcript:CDP22046 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPNVELEAAKFLHKLIQDSTDEPTKLATKLYVILQHMKSSGKENSMPYQVISRAMETVIKQNGLDIEALMSSRLPLAAGPQAGESGSSHVAGTPTVKLVVQRV >CDP20153 pep supercontig:AUK_PRJEB4211_v1:scaffold_944:10299:14648:-1 gene:GSCOC_T00011228001 transcript:CDP20153 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTFNSVDYILKVLEGTWGNDGDDAAEEEDAFRDPFENLKVELRLLRTFLKYVGNWRDTDDMIQADFLVLDAEFEAALSVVKRDLSTASYQKGYERSAEFLDSAIAQLQEKITFFRRKVMKIYEYMTNYSFQSEPPPLTKTRQWNEFFDSLEQNLKDLLHGKYKLIEPVLVRELMALEENVIPFKELAWWSIRGADFVESDVLVQFAELGLQAAHLSYSCWCDKMDDEKISLFTDRVVMLSDLQKKLKLNTPQVADIYLNVLRGIKSYPDWAKAEVVDYYVDFLVPKKDQQLEIINQGFVEFILFLLDSCDALFNKDEVQLLLRDVVVVAIQIGSIGCSMTENMDEKNEVALYKLHSKMELLKAEAFLSVVLKPEDATVCYEERIDAHREGLKLLRKLLTDSPQGKTESNKTIWIHISALAKEVRFAVSSLKGKLFARRHRDVLEVLKVFLTEAFFTEVLNSQPYSDLMINDRENIETIYEGLILIRTFLMGPGEKNEELLSGVVSVSKFVRSLYDSFLASNFREDLVGNINLLLPKLVKRIKPFREEIKNIYLQQRSSMDYNFPRTNGVGFMDFLLGNLGVLYRKKDLGKRFIDKFDSNACMKRYVEALSRQVKIMRSLLKNIIEQYNEHSDLNDLRSCIIDMAYETEYFIDKILVGGCVEWYHALWFSDLIEEFKVIKLQASAIFDKMYSIEVHNVVHTSGSLISPAIVPNVDEVVIDLDDQAELIMDRLTRGTLQRQVVSIVGMPGLGKTTLAKKVYNDPSITYHFHIRAWCYISQVYRKRELLLNILSDIMELTNDVLELSEDDLEFKLYQCLKNRKYLIVLDDLWSREAWNDLEFSLPDDKNGSRILITSRLTDVALTTKSDSIAHSLRLFSDDESWNLLQKKLFNRKDCPDELVNLGKKIARGCKGLPLAVVAISGLLQRTGKKQDWWETVARTLSSCIADDPETRCMDILELSYSHLPTYLKPCFLYLGAFLKDREIPVSKLTRLWIAEGFVQNPELDSLEHLAEKYLNDLIGRSLVIASKRKSNGGVKTCCVHDMLRALCLVRCKEQNFLHSITGYDELFASSHENLDYGVDPGHCHPSISITYKKYRLSICSRRKHFAMSMPSGPCVRSLLFFATNDMYPRCPYNVGFITDNFKLLRVLDLECINMGHCFPRGLEHLVHLRYLAVCGDLDSIPASISCLWNLETLLVRGLKGKVDLPHTFWSMVKLRHVHVKSFTSFSFIKEDSSQLDNLVTLSSPVLFSGEETEKLMRQLPQLQKLGCIFSESKVDTGQGYGFPLLGFLTQLEALKVTYSGRFCHPRKFDFPLNLKKLTLSKFCLPWDCVSEIGRLPNLEVLKLLSRAFEGERWNMKEGEFLKLKFLKLDSLNIAQWKASADHLPKLQQLVLRNCRHLEEVPSGFADIPTLVMIEMQLCRSSAEESVRILKEEQLGLGIDDLKVLINC >CDP20154 pep supercontig:AUK_PRJEB4211_v1:scaffold_944:32426:34516:-1 gene:GSCOC_T00011230001 transcript:CDP20154 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTRLKKLPSKLLFSRNHPFASFSQTLSARSNLPHYKDNPDKAKDNWNTNHSFVLSNPILSLLENKCKSISQLKQIQSQMIITGLISDGLASSRLIAFCALSEMADLSYCKTLLCSLRNPNAFSYNVSIRAFSDGENPIEAFILYKQMLCAWSNSGLRPDKYTFPLLFKTCTRLSFFYLGFEILVHVIKLGYERNIYVHNALIHFLASFGELDIARMVFNENCVRDLVSWNSLINGYVKSGKALNALRIFREMETEGVKPDEVTMIGLVLSCGQLEDLVLGREFHQRIEEYGLNLTVPLGNALMDMYVKCGELDEAKALFDRMEKKTVVSWTTMVVGYAKLGLLDIARKLFDEMPEKDVVPWNALISGYVQIKHCKEALALFSEMQAMNVMPDQVTMVSCLSACTQIGALDVGIWLHQYIERNNISINVALGTALIDMYAKCGNISKALQIFKEMPARNSLTWTAIICGLAYHGDSSDALSHFFEMIQIGLVPDEVTFLGVLSACCHGGLVEEGRKIFAQMSSKFNIPPKLKHYSCMVDLLGRAGLLEEAEELIKSMPMEADAVVWGALFFACRINKNVEMGEKAAMKLLELDPQDSGIYVLLASMYIEANMWHKSREVRKMMKERGVDKTPGCSSIEVKGDVWEFMVKDKSHPQCDEIYQCLMELKRQMELVEYSADVPLLEYDLLISSNSCC >CDP22047 pep supercontig:AUK_PRJEB4211_v1:scaffold_9454:1252:1949:1 gene:GSCOC_T00002282001 transcript:CDP22047 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVSNLWAPSSFALTEFKPQFIAQIRCSATQKSSILSADTCRFNGVSSAKEQERTILLIDRGHGHLPAGVSTGEKKKGEEEVMQEKLEPLWDDGYGTRSVKDYLDLAKDVIKPDGGPPQWFSPIECGSPLKNSPVLLFLPGMDGLGLGLILHHKAVGRSVLVV >CDP22048 pep supercontig:AUK_PRJEB4211_v1:scaffold_9459:1776:2054:-1 gene:GSCOC_T00009810001 transcript:CDP22048 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPEFEQLRGSLLHRSPLPTVDAALAELITEETRLDSQVPASLGSMDSVLAAAKAERSSTITKVKWHGSAGNRYSPPSAHYRYSTRLSTT >CDP22049 pep supercontig:AUK_PRJEB4211_v1:scaffold_9462:1634:2143:1 gene:GSCOC_T00008381001 transcript:CDP22049 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTNKLKAEKHRSNKLTTQHKLSKFSSDTMWKQKPRSYMCVTSVPLILVLVVLVLLQFLALMVVAKVKNFTRSEFPADFVFGSGSSAYQVVEGAAREDGRTPSIWDIFVRANKGKFCIEMNLYGL >CDP22050 pep supercontig:AUK_PRJEB4211_v1:scaffold_9465:605:2643:-1 gene:GSCOC_T00002451001 transcript:CDP22050 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDHSTPHPGPLDGSVLYLQSKHRSAAIFQGTGVDLDVRRCDMRFFQPLIHLDDRIAQYIDAAGFYGIRRAGYLTVDHDLINALVERWKQETHTFHLPVMGEATVTLQDVEVLWGLQVDGLPVTLVHRRRNLVERKQLIYDISGYWPENNMLNHDRLKLTSISRRLSTPLPADASDVMVRQYASMYILILLGGLLFADSCQNLVSLNWLDYVRDLDAMRQYSWGAATLACLYSRMCHASLVGTITTGGSYLSLQLWAWERIPVIRPDVLPYSEIGNFSRGERWAAEQTGVDPSSQPNIHYREQLALLRMDQFIWMPYTDDILHDYLITANGERTYGGQEQEIPEPVDTNRGSLHQLDLSGYPGRNWADFHKGWIAYWNARATAEVPGVPIDTFRPSNKYLEWYHNHTILYITPPIQQHAQYGQMLHGVSGQFEYLMGTMQHVGQQSRDALQLEDTDGHFHSHFATIVDGAYGSMQYLQRFDRMVVGDFNFFGHNPPVSQEIPKPNRVRRIPTQGGPSSSRSRRHRHGLQDEVIGEQTIQHTEVPDINVMPTTQNISAFSPQVTSFPYSSHIGLDVTPLPQFPPFGAHPTPSSYCPAF >CDP20155 pep supercontig:AUK_PRJEB4211_v1:scaffold_947:10775:21880:-1 gene:GSCOC_T00001708001 transcript:CDP20155 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLLLLLRLLIFLLIFCFTSSEIIFEERFEDGWRDRWVLSDWKRSEGKAGTFKHTAGKWAADPDDKGLQTYNDARHYAISAKIPEFSNKNRTLVVQYSIKIEQDIECGGGYIKLLSGYVNQKKFGGDTPYSFMFGPDICGTQTKKLQVIVSYQGQNYPIKKEIECETDKLTHFYTFILRPDATYSILIDNRERESGSLYSDWDILPPPKIKDVHAKKPADWDDREYINDPNNVKPEGYDSIPKEIPDPKAKKPADWDDEEDGIWRAKKIPNPAYKGPWKPKRIKNPNYKGKWKIPWIDNPEFEDDPDLYVWKPIKYVGIEVWQVKAGSVYDNILICDDPQYAKEVVEEIWEKNRVAEKEAFEEAEKIRIAREEEDAKRADKDGGKKKSRGRRHHRRHDPDEYLDYDYHDEL >CDP20157 pep supercontig:AUK_PRJEB4211_v1:scaffold_947:32506:32966:-1 gene:GSCOC_T00001712001 transcript:CDP20157 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVEGLGLYKGKVRIVRSEEAAEEIMLLWGLQQPILSKHNVFVHQSSPQLHIDACGRSLSIFNMEHHRTG >CDP20156 pep supercontig:AUK_PRJEB4211_v1:scaffold_947:28659:32381:-1 gene:GSCOC_T00001711001 transcript:CDP20156 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEYSKDGGGKGEEELEESEMLEVEGLGLYKGKVRIVRSEEAAEEIMLLWGLQQPILSKHNVFVHQSSPQLHIDACGRSLSILQSPSSLGTPGVTGAVMWDSGVVLGKFLEHAVECRKVLLQEKKVVELGSGCGLVGCITALLGADVVLTDLPDRLRLLKKNVVANLYGDVRGSAIVKELTWGDDLDQDLHYPLPDYVLGSDVIYSEEAVMDLLATLLDLCGTHTTVILAGELRNDAILEYFLEAALKDFIVGCINQEQWHPDYRSQRVVIYIMVKK >CDP20158 pep supercontig:AUK_PRJEB4211_v1:scaffold_948:34297:34461:-1 gene:GSCOC_T00003754001 transcript:CDP20158 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDLVEMKFRLADGSDIGPSKFNPSTIVLSLKEKMTSLWPKGYSLLKSTQKC >CDP20160 pep supercontig:AUK_PRJEB4211_v1:scaffold_951:33013:40583:1 gene:GSCOC_T00004657001 transcript:CDP20160 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVGGSFLSAFLQVLFDRMARPEFRTSQFNKFYNESLSLVVFIVQVSFILSSPGDMAAALVGGSLLSAFLQVLFDRIARPEFRNLFRNQKADVALLDKLERSLLAVEAVLDDAENKEIQSPSIKKWLEELHDTYYQAEDLLDKINTEALRIKVESEYQKSTSNWTRALRRFCLERILPCITSGNKFLKRIMPEIETIVASLEECKKESILLGLQIGHSRKESPPISETALVDETTIFGRDADKVRIIQKLLSEDADRDNFTVVPIVGMGGLGKTTLAQMVYEDLGVEVSFPTRAWVCVSEEYDPTRITKEILRQLGISFGESDDLRSLQVKLRGGLTEKKFLLVLDDVWSSNYNEWEKLRTPFKGGSRGSKIIVTTRDQNVARMMAKERLIDDLPLIPEEHCRSLFKKHAFENRDGNKDAELEEIGNEIVKKCGGLPLAVKTVAGVLRSKTTPEEWKGILTSEEWTRMDIPGGPMPALRLSYIHLPSYLKRCFAYCAVFPKDYQFRNEEIIQLWQANDLLGYPEENKRIENEGEKCIRELRMRSLFHKSTDHTFSMHDLVNDLARFFFGKYYCLRLEDHQEGNATISGGRHFSYHPSRYDTFRKFNLLSQNKSIRTFLPLRRNLHCYRTNSLSSKFLEDTLPQFMSLRVLSLSEYANIVKLPNSYSGFKQLRFLNLSATGIKELPEWICSFYNLQTLLLSCCWQLEELPENLGRLINLCCLDISGTPLKKMPPQMGRLINLQVLTAFVIGKDSGSTIMELGKLPMLRGKLILSGLENVSAGMDASMANMEGKEHLESLTLEWNGEANDSQVAAEVLDKLRPHPCIKHLNIQGYCGATFPNWLGNSSLSRLESLGLSGKYCLSLPALGQLQSLQSLKIVGMSCIPVLTQDFYGDISVTKPFPSLKKLRIVKLTEWEKWQIPKCEVFNRLEELSIIACPKLTGELPEQLASLQSLEITRCDNLISALKNLKELPLQLNQLSRLEKLTVDDCGSLLPSHVSRPPASLKSLNYKGCCNLELESSSGEVEWLASFPLLLHLSIRNTKSIEMLSVPATPAPGIGNQSGSVMPSLHYLRIFGCDGIMSFPDGGLAAPNLKKICISNCKKLTSLPESLLPSLRTLHIHNCPEIDGFPERGLPSSLQCLYIENCKILMSRRRGWGLEKLPSLTHLTIKGPDHEVQSFPEEDWLLPCTLQGLRLWHLHHLKVLNYSSLRHFTSLQWLDIRCFPGLLSLPEEGLPASLTSLEINHCKQLGPRLEWEKGQDWTKVAHLPCLIVDHKLVP >CDP22051 pep supercontig:AUK_PRJEB4211_v1:scaffold_9526:437:2407:-1 gene:GSCOC_T00012504001 transcript:CDP22051 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGPIPKRNLSEMFGIYPVLDFIDLSNNKFFGTLSSNWGKCKILKTLVVAKNNITGGIPPEIGNLTQLHKLDLSSNYLSGEMPREVGKLASMLKLDLHDNQLTGGIPQDMGVLMEFLDLSTNSLNGTLPELLGDLKHLFHMNLSNNVLSKKIPFQIGKLTQLSELDLSQNLFTGEIPSEFQNLQSLGTLDLSQNKLSGLIPKALAELPGLLHINLSFNNFEGPIPSGRAFVNLTLEEVKGNEGLCGNITGLRACERKELVLIIVLPLLGSFILLGALFGVLRLRDRRKQNSGVEDTEVNKGGLFAICAYDGKALYKEIVRSTEEFSETYCIGKGGCGSVYKAQLPSGEVVAVKRLHNIPNVAKDRSFLNEIRALTEIKHRNIVKLFGFCSNAQHSILVYDYLEKGSLAKILSIEEEAKELDWQKRLNIIKGVAHALSYMHHDCSPPIVHRDISSNNILLDPECEGHVSDFGTAKFLRRDSSNWSSLAGTYGYVAPEFAYTMKVNEKCDVYSFGVLTMEVIKGKHPGDLIANLMSSKLEEIELKDLLDQRLLYPNQQIEKSLISILKLARECLHVDPQCRPTMLIISRLITTC >CDP22052 pep supercontig:AUK_PRJEB4211_v1:scaffold_9529:3:1986:1 gene:GSCOC_T00013104001 transcript:CDP22052 gene_biotype:protein_coding transcript_biotype:protein_coding YLYLIRDQRDYMLYTTALSTVKGYSSYGAEQGEKVSIESCNCFNFYVDLSIEEDDIFKADGSKSDISRLQVRFGSKVTIAIQDPSYPIMFQTMYI >CDP20164 pep supercontig:AUK_PRJEB4211_v1:scaffold_955:15645:17157:1 gene:GSCOC_T00012110001 transcript:CDP20164 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLFSAVSTILTIAVSISVGFEVVSCSPGPKDCQILGSEKFPIINATGPESVVFDRYGGGPYTGISDGRIIRLSSERRWTNFAITTPNRNGCEGPQDHIPTESRCGLPLGLSFNQKSGKLYIADAYKGLLVVGPNGGLATPLANEAEGVPFKFTNDVVVDENSGIVYFTDSSTIYSVR >CDP20166 pep supercontig:AUK_PRJEB4211_v1:scaffold_955:33164:34776:1 gene:GSCOC_T00012112001 transcript:CDP20166 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLFWAASTILTIAVSISVGFEVVSCSPGPKDCQILGSEKFPIINATGPESVVFDRYGGGPYIGISDGRIIRWLSSERRWTNFAITTPNRNGCEGPQDHIPTVSRCGLPLGLSFNRKSGKLYIADAYKGLLVVGPNGGLATPLANEAEGVPFKFTNDVVVDENSGVVYFTDSSTIYSVR >CDP20165 pep supercontig:AUK_PRJEB4211_v1:scaffold_955:19993:22620:-1 gene:GSCOC_T00012111001 transcript:CDP20165 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKGFECKTSNFLFPSLSRQLRVYFHVYIFWSSKTSITALTFLSFPLMLRISHTLNFQLFLAVEMFLSAVEIKHFSGKSNLICFYGWFSTVSVVVVVLYLCSALSVLCDDFTMVSVPLGFEINGMDQSKNWVSENRVFAFGFLEKDGDDDLDSYAVGIRYNLGNITVNLPVWTVGGGIKVSRNSSIRLDMDGRLVLVQFPNGNPVWSSNTSTLGVAKASLLNNGNLVLLDGKDKVLWESFGSPTNTLLPGQSLCYPQNLRALSKKSTLSYYSLVISKFGELALVWEHNVTYWRSQLSSSVIVKEARFGPNGVLGLSDNNDKVFWSVSSKDFGDPSVTLRHLTIDQDGNLRIYSWDNVNQWKVGWQAVGDQCSVFGSCGLYSVCKYNSSGPVCGCLYSGSSEGGTTSAVVDSSGSGCQKMVDLGNCRMHPSMVDMKQTVLYGLYPPNDVNLFLSQNDCKNYCSNDSTCIAATSMNDGSGLCTVKRTSFISGYSTPSAPSTSFLKVCSVPQAVAAQGVNPHDDGGLVYSSIGRNKAGRGNIRVFIGAIALIVFITVSIVVGMEMFAFWLLRRRGQLKAQTRIPFGKDAQMNPHYSALVRLNFEEIRELTDNFATPLGPSHFKGTLPNKTVIVAKMLNDVAVPEKEFRVAVSALGGTHHRNLVAIKGFCFEPKHKLLLYEYVTNGSLDQWLFSSEEDVNRRIWEQRLHIAVGIARAIAYLHTECQQCITHGNLKLENVCLDENLVPKLTDFGLRTLLFKEAASSSETASEKDIYMLGQLLLQIVTCKRVVNGKNLQQVLDELSQEQNFGDIDDLKAVERVVKIAMWCMQIQPYLRPSIGEVVKVLEGTLSVDGPPSGFVFKHDNMDHGETAVEGEEF >CDP20170 pep supercontig:AUK_PRJEB4211_v1:scaffold_956:45291:45825:1 gene:GSCOC_T00007992001 transcript:CDP20170 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSKILIIGGTGNIGKYLVEASAKAGHPTFALVRESTISDPKRAAIIESFKSLGVMFLHGDLHNHQQLVNAIKQVDIVVSAVGGDLVAHQVKIIC >CDP20168 pep supercontig:AUK_PRJEB4211_v1:scaffold_956:24333:27432:1 gene:GSCOC_T00007990001 transcript:CDP20168 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLVKKVYDDAAVKKQFQSHAWITVSQNFQFRDIIKNLIQQLYNEIRQPVPPEVESMNDIMLSEFVRDFLQERRYILVLDDVWSIDAWEAIKCVLPDCNTASRVVLTTRIADVASASCLGSLDFIYKMEPLSDTESWTLFCNRTFQCNYCPPNLEEVAKKILKKCDGLPLAIVAIGGVLALKDKEKTDEWEMILHGFGGEADGSGKLDRIKRVLLLSYNDLPFYLKSCLLYLSIYPEDYSIDVDHILPKWIALGFLEEKEGMKGGITSTDIAMSYMKELINRSLIQVKSTWDDGRLITCGLHDFLREIIVSKSKEQDFTTVATRYYTRWPEKVRHLAIHNFTDNPQEFSSLKCLRSVVIFGYEDPLTATFLSKFLSGDPKLLKVLDLDGAELDNIPKQVFKLFHLRYLNLSGTGVKIIPKSIGKLQNLEVIDLTRTNVTELPVEILKLRKLRSLWLGGWGDYSNEYANWGCKCPLGIGKLICLEELYGIEADSDRIVMEVGKLTQLRGLAITKLRREDGKELLSSLLKLSNLRDLIISCIEEDETLDLQHSVFPKLGFLTLLILKGRLERVPQWVTSLHSLRMLRLENSRLRDDENVVGSLGHLPNLVSLTLHRAYEGETLCFKVGGFQKLQRLELGQLKRLKWVRVEEESMPSLRKLRLGDCKLMQELPSGIQNLTGLESLGFFEMSDELVHKVRNLDKQSEDYQTISHIPEVFIGHWIDGQWEGKFL >CDP20167 pep supercontig:AUK_PRJEB4211_v1:scaffold_956:7095:9100:-1 gene:GSCOC_T00007989001 transcript:CDP20167 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLPQKALLPLFLFLLVITNGAIFPLLSFIFPIDNNETKIWYEIWRFSLSFSCYFMLQLRNLQITSIILMLFSELFHPFLKVQKLEFSLILSKTTNKVVRKNKLEWD >CDP20169 pep supercontig:AUK_PRJEB4211_v1:scaffold_956:28858:33316:-1 gene:GSCOC_T00007991001 transcript:CDP20169 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLGTPKTEEKAKELAEEAMKVELKTKEELKPWEQHSAVISIPRFDYNAPSSILNHSHSGFLITCPIAIRFLIEML >CDP22054 pep supercontig:AUK_PRJEB4211_v1:scaffold_9581:2274:2692:1 gene:GSCOC_T00009414001 transcript:CDP22054 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRQKQTDCILRMLNLNQPRNIFAHSLAYSHLISCCAARHA >CDP22053 pep supercontig:AUK_PRJEB4211_v1:scaffold_9581:1384:2046:-1 gene:GSCOC_T00009413001 transcript:CDP22053 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGSGGGGECEGEAEGGATTSSLALRNRYWVLRHGKSIPNAKGLIVSSPENGILEEYRLAPEGVDQARLAGRSFQKAFLSVWVFRVVLKEEDGTKYWFPGLSLLGLCSYFSFNPLLNHVLKP >CDP22055 pep supercontig:AUK_PRJEB4211_v1:scaffold_9593:1242:2199:1 gene:GSCOC_T00003758001 transcript:CDP22055 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSIRKAFGPQCNYLKVGGLNRKIFRLSCKASEINFCNFSYNILSVAVVTADGASGYRSPAIFPVTKNY >CDP20173 pep supercontig:AUK_PRJEB4211_v1:scaffold_960:43829:45307:1 gene:GSCOC_T00001470001 transcript:CDP20173 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSRSGGGGVLDLPPEIIHHILSYLSAEESTRASLLSKSWFGAWQTRPKLEFNPKLYFHKKLKLRSPWLRSKKETTNICEEFFWHVKKTLKPYRKQGICIDTLNFRVEGVFSLLNPFVKECTKVAARNGLEECTKVAVQNGVRNLDFSLPDCDLPEIVFGAKSLVELSVRDGYIMPMSVGKIMCYELRKLCLIKVDLDVEMFDNITESCPLIEVLEVRYIEGFDNFKVTKLNNLKELAVGLLENQSVIVDAPELESLTCIDEENGNKDEDEYEQSTCLITLTASWYQNLKCLLFTGIGIGDSFFMEFAYKFPNLEDLIVRYCRELESIKISSQSLKRIQLMDNNRLVEAQFDVPKIVSFEYCSGRSIVPRFHFAAASSGWTSYFCLSKRVDVNSSWFVELRELLASVIQSKISLEIDFGCSISFDLDEIRNIVKIHEPQEVDELALQFDWMFSLEKGLSALDGLFWVCRPKYVYAHWDDDVARRRKRGGI >CDP20171 pep supercontig:AUK_PRJEB4211_v1:scaffold_960:16747:16968:-1 gene:GSCOC_T00001467001 transcript:CDP20171 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTTHIALLFMEVVWIANIHDCINGKIWPVMGAGYHTIHHTTYRHNYCHYTIWMDWMFNTLRDPEEDEAKKS >CDP20172 pep supercontig:AUK_PRJEB4211_v1:scaffold_960:18081:22194:1 gene:GSCOC_T00001468001 transcript:CDP20172 gene_biotype:protein_coding transcript_biotype:protein_coding MQELMAQHGVGNNQNSEQQKAQDDAKREAEERRQMMLSQILTSEARERLARIALVKPEKARGVEDVILRAAQSGQIAEKVSEERLISLLEQINTQTTKQTKVTIQRRRSVLEDDD >CDP20177 pep supercontig:AUK_PRJEB4211_v1:scaffold_964:30279:30513:1 gene:GSCOC_T00009616001 transcript:CDP20177 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFMRSMFSIERDVTIEEFYTC >CDP20175 pep supercontig:AUK_PRJEB4211_v1:scaffold_964:15775:17106:-1 gene:GSCOC_T00009613001 transcript:CDP20175 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIVGGSFLSAFLQVLFDRMATPEFLTLFRKRKADDELLKKLKMNLLAVGAVLDDAENKEISNQAVKEWLEELHELVYQADDLLDEINTEALRVKVETEYQSSTSFMVSASTYISSFSNQFFKRIMPEIEKVVISLDGFIQQINPLGLQVVESKIQSCRLPSTSLVDENTVCGRDVDKEKIIQMLLSEDEKRDSVTVVPIVGQGGIGKTTLVQLVYNDKRVKNHFTTKAWVCVSEAYDATKITKELLKELEISFSDSGEGLNSLQLKLQLGLTDKRFVLVLDDVWNRDYDDWDKLKMLLKGGSEGSKIIVTTRDNRIALMMGEKMSIHHLDLISEEDPWSLFEKHAFGDKDNENWRELEVIGKKLVNKCEGLPLAVKTIAGLLRSRGMVEEWEDILRNDIWNQTRNPNGILPALRLSYMHLSSHLKRCFAYCSVFHKGLWF >CDP20174 pep supercontig:AUK_PRJEB4211_v1:scaffold_964:13343:15626:-1 gene:GSCOC_T00009612001 transcript:CDP20174 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLINDLARFVAGKYCLRLEDHYPGHGTTARVRNFTYFPSEYDTFDKFKLLREGKNLRTFLPVGMYRSATGRISNKFVHDMLPTFKSFMVLSLYNRSIIKLPYSISGLKRIRILDLSRTHIEKLSDWICTLYSLQTLLLSYCKNLEELPKDLGKLINLYYLDVSGALLKKMPIQMDRLTNLQVLTTFVVGKDCGSAIKELGQLPMLGGNLLLYGLENVSGGREASMANMKGKKHLKSLTLEWKGDINDSQVPRDVLESLEPHSSINHLKINGYHGTRFPKWLETPSFCSIESLSLSNCAYCFHLPALGQIQSLKSLEIVGMSNISAFTEDMYFGNNCEIEPFPSLQKFKIENMQDLERWDIPECEVFCSLEKLYLIDCPKLNAKLPKQLLSLRKLEISGCDNLVLSNELSPELNKLDSLERLEISDCGSLISFPLGNLPTSLEKLVCNGCGGFESESASYQPVSLLEELKCKNCNSLKVVSLGLFPTLKVVQVKDCKRMEVLSVPPCRIGNGRLPAPNLRQIEIINCEKLKFLPARMESLLPSLRKLDLCNCPEIECFPKGGLPTSLQSLYILNCKKLLTSPKTWDLLRLPSLRGLRIDVTDEAVESFPNEDWLLPCTLEYLELRGCQNLKMLNYSGLQHLTSLQRLRITWCRLLQSLPEEGLPTSLTKLEIRDSPLLKPRLEWEKGQDWAEVAHIPCIIVDEELIP >CDP20176 pep supercontig:AUK_PRJEB4211_v1:scaffold_964:23762:27679:-1 gene:GSCOC_T00009614001 transcript:CDP20176 gene_biotype:protein_coding transcript_biotype:protein_coding MNENVVEEKKFLLSEVIGKIERFLEVVKKFYVYIPEASEFYSLRTHGIGYIDFILENLKKMLKQNCKFVPFVKHKVVMVQEELQSLRTFLTDKMDGQNEHEELKDLWRRTINVAYHAEHVTDLSLISCSCFWYSIICLSTVIQEIKITKTEIENIGNKHMKKPVILIANMNSMHPFAAQASNSRIDEAVIGFDDEAETIINRLTRGSEQLEIVSIIGMPGQGKTTLAKKVYNHPSICYHFIQCVWCCVSQEYEYRSALLEMLCNVTELSRHDTSEMSNDELANRLRKCLIGRSYLIVMDDIWDIRPWSELKRSFPDNNNGSRILFTSRIQKFSWQDECKCYFHTLRPFHEEECWQLLKQKTFHKDECPQDLVEVGMEIARKCKGLPLSIVLVAGILSRSKNSLYWWKQIAFNLSSSHPTEGSMDILELSYKHLPDHLKPCFLYLGAFSGGQKIRARKMTLLWISEGFIGRTDQRRLEDVAMECLMDLINHSLVIVSERSSDGGIKGCQVHNLLREFCIMKAKEEKFLQLIYQYDFDKDPSDGHDIDMHRLCFHGSLFYFADSHRICSPVHSIIFAYGMEFVDYCFFRTFRLLKVLDMERLYLKGSDLDALMLLVHLRYLAISGSIRRLPSSIANLWNLETLIVIRRLPWSIDLPDTIWQMKSLRHVNARAFANVSLGDYEFEELCQLENVHTFSTVLCHGRDTEILLRRLPRLRKLSCIIPESGKHQVGSCKVLDFSILSELEALDLSCMGGTEFSTPKIPAFEFPKVLKKLTLFKCFLPTAAISAIGQLPNLVVLKLRNIDFAKHILYVEDGEFLNLKFLAMHHSEFERWAVPDEPFPSLENLVLTDCTKLKEIPSSFADISTLKMIKIRGCCPSIISCCFFFLVNTCEILPK >CDP20178 pep supercontig:AUK_PRJEB4211_v1:scaffold_964:30669:31837:1 gene:GSCOC_T00009617001 transcript:CDP20178 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDSSSRFQFVVQRARPVTVEATVGSSIALQQFFKSFPDFNLGSDRPLIYLRLWYGGDFSLFSCMLSSLQFSSTLASVLL >CDP22056 pep supercontig:AUK_PRJEB4211_v1:scaffold_9643:776:1030:-1 gene:GSCOC_T00013321001 transcript:CDP22056 gene_biotype:protein_coding transcript_biotype:protein_coding ISSDEAIETTKLLALKEGLLVGISSGAAAAAAIKLGRRPEYAGKLIVVS >CDP20179 pep supercontig:AUK_PRJEB4211_v1:scaffold_966:9859:36865:1 gene:GSCOC_T00011573001 transcript:CDP20179 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAQKLSFKEDVGTLGMSEIFDPPNVLQQKIEELASLVEESKHLVVFTGAGISTSCGIPDFRGPKGVWTLQREGKGVPEASLPFHRAMPSLTHVALVELEKAGILKFVISQNVDSIHLRSGIPREKLAELHGNSFMEICPSCGAEYLRDFEVETIGMKETPRRCSDIKCGAKLRDTVLDWEDALPPKEMNAASKHCRMADVVLCLGTSLQITPACNLPLKSLRGGGKIVIVNLQPTPKDKKAWLVVHGMVDQVMAGVMERLNCQISPYVRIDLFHVIFNQTHCIGERKYTKWSLRVISVHGEKAPLSFIKSVEISFPDRPELKVAVLQKQPFVLKREMLLRPLDILLKINLSDGCGCQFVNIDFPVNFEESSGCVNLDKDKVIQKLKDVTVQDQCCGKFSVIERKNVPGQKKELSTYAVVTNIVKYGAIAPIAKLSVVNTVAKRSKEKVDIRSDDCLMASCEGEGTMKKLRVQ >CDP20180 pep supercontig:AUK_PRJEB4211_v1:scaffold_967:40111:43481:-1 gene:GSCOC_T00007710001 transcript:CDP20180 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTEAPPLYERKHLTKIIPRLIELTILFLCFSLLGYRLLHLKNHGFIWLVALLCESWFTFIWIVVVNIKWNPIAIKTHPERLLQRNLELPPLDMFVTTADPVLEPPIITVNTVISLLSVDYPANKLACYLSDDAASPLTYFCLVEASEFAKLWIPFCKKYNVPVRAPFRYFSDQSLFTGNSSSEFQEDWNTMKDEYRRLCQKIEEAAQKSVPCELTGDFAAFVDIDKRSHPTIIKVISENKEGLPDGLPPIVYISREKRPNHAHHFKAGAMNVLARVSGVMTNAPFMLNVDCDMFANNPQVVLHAMCLLLGVKDETDCGFVQFPQQFYDGLKDDPFGNQMYLGRGLAGHQGPLYGGTGCFHRRKVIYGSSPEDKSGHGMKAISCHLCFVFMHLGIMNWKDNLFHVGKHFLLIIYIPLRSVKET >CDP22057 pep supercontig:AUK_PRJEB4211_v1:scaffold_9671:2:760:-1 gene:GSCOC_T00007693001 transcript:CDP22057 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGLRMLEKGVQDRILEACRTVLRGSGFKFYDDWASVISGSDEGVYAWVVANYALGTVGGDPKETTGIIELGGAAAQVVNLFSIV >CDP22058 pep supercontig:AUK_PRJEB4211_v1:scaffold_9677:136:2024:-1 gene:GSCOC_T00008990001 transcript:CDP22058 gene_biotype:protein_coding transcript_biotype:protein_coding DAYSPSRPFIQVLNKNIDNYFAETEQLAFNPAFVVPGIYYSDDKLLQTRIFAYGDTQRHRLGPNYMQLPVNAPKCAHHNNHYDDFMNFMHRDEEFGYFPSRFDPVRHAARHPFPSAVLRGKRDRKQREREVQYFVPTIYANLWNTDMSFREMVAMCLT >CDP20181 pep supercontig:AUK_PRJEB4211_v1:scaffold_968:40056:43251:-1 gene:GSCOC_T00003073001 transcript:CDP20181 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPFFQPYHLIIVQDGDPSKTIKVPDGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKEINALEQHIKNLLSPSTPFFFNTLYDPYQEGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAIMTVPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQSVALPKDCATVQKCYLELSKQVKAKLGKVDDYFNKLADAMVTWIEAWDELNPSSASAEVANGPKK >CDP22059 pep supercontig:AUK_PRJEB4211_v1:scaffold_9686:3:2237:1 gene:GSCOC_T00001319001 transcript:CDP22059 gene_biotype:protein_coding transcript_biotype:protein_coding PTLSLSLSLSLSLSLSAFSIIFNSLVLYIYQYLLNSEIICNGFRLSISWPRVIPTGKLRRGVNKAGIAFYNSVINHLIPNTGITPFVTIFHWDRPQPLEDEYGGFLSPRITVKHWATFNEPWTFSQGGYHTGSLAPGRCSAWRNNDCPAGNSATEPYLVAHNILLSHGGIAKLYREKYKVRTP >CDP22060 pep supercontig:AUK_PRJEB4211_v1:scaffold_9693:59:1240:1 gene:GSCOC_T00002418001 transcript:CDP22060 gene_biotype:protein_coding transcript_biotype:protein_coding MEWYVKNPDWWGDVSGALVPHPRMLMMSGMGKSSSDVGSIDTSSVSNNSGPMRMVNPGSKNIHDAQKSSLKFLIYGRTGWIGGLLGRICDKQGIAYNYGNGRLQDRAQLLADIQNVKPTHVLNAAGVTGRPNVDWCESHKPETTITNVVGTLTLADVCREHGLLMMNFATGCIFEYDAGHPIGSGIGFKEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNSPRNFIAKIAKYDKVVNIPNSMTVLDELLPISIEMAKRNCKGIWNFTNPGVISHNEILEMYRDNIDPKFKWANFTLEEQAKIIVAPRSNNEMDASKLKKEFPELLSIRESLIKYVFKPNKKNLTAGTAI >CDP22061 pep supercontig:AUK_PRJEB4211_v1:scaffold_9709:1600:1824:1 gene:GSCOC_T00008105001 transcript:CDP22061 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRKAAILVLVFVIFVAIMGQVHVEAARLLTEEDFAGADHLDRFPSVYAKARDTMSYWFERLASGPSPKGPGH >CDP22062 pep supercontig:AUK_PRJEB4211_v1:scaffold_9716:1:549:-1 gene:GSCOC_T00008118001 transcript:CDP22062 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAHRPRPIDSYKDLSSEELVMDITPHVDLQQQQMILADSGGEDHELRAPKKRAETWVQDETRSLIAFRREVDALFNTSKSNKHLWEQISSKMREKGFDRSPTMCTDKWRNLLKEFKKLKQQDRGNNNCNNSGSASSANKMWYYKELEELLRDRAKNGSYKSPPPPPPPPPPSAKVEAYIHF >CDP22063 pep supercontig:AUK_PRJEB4211_v1:scaffold_9718:179:1325:1 gene:GSCOC_T00013707001 transcript:CDP22063 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDLAAGSDAVRSVFAIQDRYSQIDPEDRKGHMTEKIIGHTEVLYELLASWALSNVDFAYPARQDVIIFKGFSLTFEAGKSTAVVGQSGSGMSTIISLIERFYSPLGGTVMIDGQDIKSYHLRCSRRHIALVSQEPPLFEGTIRQNLAYCASEDVNESEIIVASKAANAQDFVAALQDGYDTWCGDRGLQLSGGQKQRIAIVAILKNPAILLLDEATSALDSQSEKLLQGALEGVMVGRTSVVVAHRLSTIQCCDIIAVLDKGKVVEKRTHSSLMTKGPNGAYYSLFSQPPK >CDP20182 pep supercontig:AUK_PRJEB4211_v1:scaffold_972:28199:28998:-1 gene:GSCOC_T00011719001 transcript:CDP20182 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGILNDPIPEEDGIAIVKKAFNKGFTFFDTSNRYGIDNANECLIEKALKQLPREKIQLATKFGILGYCHDHVIVKGTPEYARSCCEGSLKCLDVDFIDLYYIHRINTTVPIEETVSADSFVFLPVPFSVA >CDP22064 pep supercontig:AUK_PRJEB4211_v1:scaffold_9726:779:1611:-1 gene:GSCOC_T00011984001 transcript:CDP22064 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFHIAAAILALVFTIVHASDPSPLQDFCVAVPDANAGVFVNGKICKDPKLVEAKDFFFPGLKEPGSTSNPLGSNVTAVNVNQIPGLNTLGVSLARIDFAPYGLNPPHTHPRATEILVVLEGTLLVGFVTSNPGMNMKNKLFTKVLNPGDVFVFPEGLIHFQFNKGHSSAVAFAGLSSQNPGVITIANAVFGSKPPISRDVLTKAFQVDKNVVKYLQSQFWRDNHYYP >CDP20184 pep supercontig:AUK_PRJEB4211_v1:scaffold_974:3345:5354:1 gene:GSCOC_T00004062001 transcript:CDP20184 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRLLRDAEADGWETIRLPYHMRVLPRRQPLCSNDNTTLLDYIRKSKVAASEAGGITQGMGSYKVQVPFDGKPQTCGFRAMRARGARVIGIAVIVVATDDGIRPQTEEAIAHAKAAGVRIVIAINKCTYIYSKIFHCVLACISFSLICILLLMYET >CDP20183 pep supercontig:AUK_PRJEB4211_v1:scaffold_974:2592:3180:1 gene:GSCOC_T00004061001 transcript:CDP20183 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKKERHKTASFDFGGRFICSKTLKIFILPAKTLGQNTYPKFSFSRSTISSPPSPSLCGGVGRTAQAKNRHSNGQGRWPVKGLDVDSLFISHIQVNQAHKQRRCTYRAHERINPYMSSSCHIELILSEKEESVKKEVIHTSRM >CDP22065 pep supercontig:AUK_PRJEB4211_v1:scaffold_9755:186:1040:-1 gene:GSCOC_T00008852001 transcript:CDP22065 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPNDRLEKATSSASVLDEEHQAVERTNSENKSTRHPRWTKQETLILVEGKNIAESQGRRGRRSSSLFVTGQHEPKWDSVSSYCRLHGVNRGPVQCRKRWSNLVSDFKKIRTWESQVKEEGESFWVMRNDLRREKKLPGHFDREVYAVLNGNSYQAVMGMSVDGREADGTCVADTEDEDEEDVNRGIETGVVFDSGTHARPETLISHLEKCAQGKIFRGPNAQVARESPRSNSPAPMPLSGLIHAQVSFHPRLQQATNLVDCSTLPPTSFYLLFYTFKRYTV >CDP22066 pep supercontig:AUK_PRJEB4211_v1:scaffold_9761:289:2598:-1 gene:GSCOC_T00011746001 transcript:CDP22066 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNKQIEPQVFDVDELFQFTMKKNWKEVLRICKIYPSAACKAKLTKSEETVLHIAVSSYQVDEKGANALAAIIRQLVESLPVGQAVEILKAQNDKGDTALHLAAALGSVTICDCIARNRNQIYRELIMIRNRKGETPMFLAAHHGHMEAFQLLHELYNGNASEPDYSLCRRNDGDTILHSAISGEYFALADLITIKYDRLVNSVNQEGFSPLHILARKPNLFESSSHLRLCDRMLYRCVYVPEVKNRQSRRGDRRSLEETGEHYPKNYQTCVNFIRLISTAFWLIAPLGKGQDQGQRPGTEDPEEGKMEGQASDGEDSDSRQPGSTIDRETPIDGNQGLYYAFTDFLQFWNC >CDP22067 pep supercontig:AUK_PRJEB4211_v1:scaffold_9768:1567:2251:1 gene:GSCOC_T00010189001 transcript:CDP22067 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLSQFHSGEVSQQPAISSYEVPGHLNPSTEPSVKSLTMTPQEKIEKLRRRQQMRAMLAIQKQQQQFGNQMSSTEYSAMEGENIEAEENLSTVASLEPNSPIEQDDSNTACVPHDDCSVQDSILYQLQDIVAKLDMRIRLCIRDSLFRLAQSASQRQNASDTSSNNKSNRDEVLSKEEINTHNRLENLLPFMLNIDE >CDP20189 pep supercontig:AUK_PRJEB4211_v1:scaffold_977:34983:38903:-1 gene:GSCOC_T00000997001 transcript:CDP20189 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYANKGIAIDETEFWEKSYLLRTAKIKNLEIGSSSDVLLKDKKDITGRESISTREKEGGEIEHHVCPLFIKDMGKAIVSAGKSLQLIRHAPLPSLSDVPADNHEIRRCIAGLTLSEVFCVSLAALIGHSDHISEYVWRDNLIVSSFESPVGKEKEERNGEILPFISSDKVWYKILANVLGQKREIVSGSTHIDTDVFSLQKEKLGPDGVDDVPCVGTYCPQNPAITVCQRFLYGNKDAQSALSLSRNLYLPPLDDKELRKAVFYKNSGSCSEEKSTDFTFGFWFDESEYVRRQEETKMLEMLFPFMTLLPSFQEDLHMSEFLPFEKNSTLPSRILSWMQSAEPKVTPLPVVILQECLIAYIKKQADYIGWIILSKLLYDWRLLDELEVLRAIYLLGSGDLLQHFLVVIFNKLDKGESLDDDFELNTILQESIRNSADGSLLSTPDSLVVSITKNSDLSEDEQHGASIQISTPRKSRLQTMGIDVLQSLNFTYKVSWPLELLANVDALKKYNQVMIFLLKVKRAKFVLDKARRWMWKDRSTATTTRKRHWLLEQKLLHFVNAFHQYVMDRVYHSAWRELCEGVAAARSLDEVIEVHESYLLSIQRQCFVVPDKLWALIASRINNILGLALDFYSIRQTLSSGGAVSAIKTRCEMEVDRIERQFDECIAFLLRILSFKLNVGQFPHLADLVTRINYNYFYMSDSGSLMTTSGSDVITSR >CDP20186 pep supercontig:AUK_PRJEB4211_v1:scaffold_977:10528:18565:1 gene:GSCOC_T00000993001 transcript:CDP20186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved telomere maintenance component 1 [Source:Projected from Arabidopsis thaliana (AT4G09680) UniProtKB/TrEMBL;Acc:F4JKR3] MEGKTANILKISDLISCARPLTGVSSLTPGGNVPSSAPQPQDEPPMHFNKPTSQNPTIKLLKPLNHPAILIGAVSLPLHSNKNNDDVSTIECSCLQFTDGSVTICCDVLDFQLQMIGQKIRICAWNFIPLRVGCRLSGFLEIIRWEFIEYSSNLTEFSLGLGAFDCKDDSKVKYSLFGVLESISPVSVVPCSTGGSSSRCDSRNICGFLVKILVCECEFYLSDESCKNHCFLKCLIVYFCGSASSWHPVMVRLIGNLISLSGLKKKLVYIGKDDSELMYVTTDKALLRLPVMAKKYISKEKAEVRGFGEVGSYAGTVTGVYMQGMVVELDQGVLLLLTDHQLMVPHSLRVGAIVSVKNVHFVSAKYSWTKILLLGTCFMTCICVESFSPMETGCHRNSHSQNSLRKFVDSMVFPARLWVLLTVTCFRKKFAGILSEKEILGSKHEVGLAQTYTNSHLPASAYQMRHGVFLEYCRHGSCAYHKEEDYSHLKLVVPISCLWSDFENRWIKMLLDSEDEFDIINSRREKYYLSCCGKSYANLIRKTFQIQDTGVILLGNLKIPLSSGRLQLVDATGSIDVVIPDIPSNWDLKRVYEVEDFTIVMQGIPDYLDCSKLLASEPLTCRNIFENAPLVRETKISLFLYYHFGGKTSCHSSFSSKKSKESLQEFEGGNFHLLLLKHKFPLLHKCLGDQFISNKSSAFAEVAILPWDLVLPEKNDVAHLGVVPVDELKNVKYEIYGHLKRCKTDAVSIQAQESGLSEAANLTCGCSNDSYCTDFCTERKHCDASCPLKFPCLISSRSIKCPYQGLVHCTDKKEVTSSGCNPDGRRVFLEFDSESLNMYQRLRIGAFYMVKHHQNDVLCRAKVDDKALGGVILVSSETCLWRLSFSSDVVAKNSDPSPIVQQSDSCVSNDEITPDTTQQFQVEPLKFNGFSPESYSDFNLCVPADVISYFKIDANNSKTSLMKSPASLEEEIGIYNVHRTAITATVLSPETGHSNLLLPEGNLLTFRGQIVAIHDSSRTSFVEHLWNESPVNVHQPIFSQGTSIICIHALVDYHMAMIFGALDKQAYPTGFGTGVHATFHRILVLGQQNHYMLIPASFIEIDSVNVVDNGCNNENDPVANSIVACYATSPSAFPAALISEVTDGTGIKLMQLHCRVVGVHVLILQENKKASYSSTRLQSGSLMVEIPLAGFILDDGSSCCCCWANHERAANMLGLPTQFISTEACARTSQRLKIPVRRKTNNSSFDHLNRIIRQHKRVVVKNFGSMIDSSCLDLTFSVGGDKVIGSSDENLLRCLVMSACFSSLWTVVGSLMDSTAINRLEKQLSGLEMTLFPLPNIWASSVCRSDPLAQSRMILQALIKN >CDP20185 pep supercontig:AUK_PRJEB4211_v1:scaffold_977:6264:7301:-1 gene:GSCOC_T00000991001 transcript:CDP20185 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPSSFFINVKNIFLTYPRCGMALNIIKRGDPRSFIIHYDKLSSNLDRIFQKPPEPYVARFPQFERVPSFLIHWADKNVTGPDDRPHRPTFIIIEGPNRTGKTCWARSLNPQTHNYYADHIDPTHHSDNAWYNVIDDVNPQFLKHWKEFMGAQRDWSSNCKYAKPRKIKGGIPTIMLCNPGLNSSYHVYLSEPHNQDLLNWTKKNAAFFFLEQPLFALTNQE >CDP20187 pep supercontig:AUK_PRJEB4211_v1:scaffold_977:30121:31816:-1 gene:GSCOC_T00000994001 transcript:CDP20187 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDVYDQTLPQYITPGLHEAKTPTINLASGHRTYFLGMTAAKFDQNWTTFVADHEFRNGEVVLFMPQSKSCFSIIIFDRKGNERMGPLPIQNIVCRSVFRYWPPSKVSDTMCDSPLTRTAVAFS >CDP20188 pep supercontig:AUK_PRJEB4211_v1:scaffold_977:34689:34930:-1 gene:GSCOC_T00000996001 transcript:CDP20188 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLQVDKLKLGCFAYRILKRLRLEDHVFKTARILCLKEDSRTSAFSYC >CDP22068 pep supercontig:AUK_PRJEB4211_v1:scaffold_9774:160:1227:1 gene:GSCOC_T00000491001 transcript:CDP22068 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSFLYAMVVDDQNERCLFYGSTLQKSIRQDPSCTTIEAAQRIGEGLVKACIDLDINEISSYDRNGLARGDRMRAFEIAISRHGFLPR >CDP20191 pep supercontig:AUK_PRJEB4211_v1:scaffold_978:33984:37026:-1 gene:GSCOC_T00003317001 transcript:CDP20191 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGFNSVLKMKRKDLDQVNEEFSDFSLTSPARKIRRLDAELAPITEEEPEIPLVFERPENEGSFGGGNGRVGGGGVVIEELPNGVENEERAIVVFNPVNSPLLQSPSNYSISVSPPLISGLKNQLPWSNYSSQWRALESEDRTDDNKSGRQNECLAVVPWVPPPHLYSTSGDEAVPQIDVSDMMEADDAEVSTMDVEDNTVGSEQKVGMNVNEGLRQWQQQHCMIPQPPQNISTPIVWFQ >CDP20190 pep supercontig:AUK_PRJEB4211_v1:scaffold_978:7712:16307:1 gene:GSCOC_T00003314001 transcript:CDP20190 gene_biotype:protein_coding transcript_biotype:protein_coding MDYESNNWMWEGMYYYPHLFGGIMLTAALLGLSTSYFGGISVPTLPYLLPGFGIFHKKTRGKKPVRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIVANKGPPVLSMEERLALVSGLKWVDEVIVKAPYAITEEFMNQLFNKHKIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILASAKAVKVLEDGFILSDTEKSNNTKEIPIQAGQAKVGYVSQFLPTSRRIVQFSNGKGPGPNDRVVYIDGAFDLFHAGHVEILKTARQLGEFLLVGIYSDHTVSELRGSHFPLMNLHERSLSVLSCRYVDEVIIGAPWEVTNDMIKTFNISFVVHGTVAESSSALNGKSDPYAVPKSMGIFRVLESPKTITTSSVAQRIIANHEIYVKRNAKKEASEKKYYEEKKYVSGD >CDP20192 pep supercontig:AUK_PRJEB4211_v1:scaffold_978:44556:45020:-1 gene:GSCOC_T00003320001 transcript:CDP20192 gene_biotype:protein_coding transcript_biotype:protein_coding MIYMGDEYGHTKGGNNNTYCHDNYINYFRWDKKEESSSDFFRFCCLVTKFRHECESLGLNNFPTAERLQWHGHAPGLPDWSETSRFVAFTLVCAPMAI >CDP22070 pep supercontig:AUK_PRJEB4211_v1:scaffold_9788:35:2583:-1 gene:GSCOC_T00002700001 transcript:CDP22070 gene_biotype:protein_coding transcript_biotype:protein_coding MINVWPDSLALAAAYGVVESASTALFGPVVGRLVDKFTYIKVLRLWLLSQNFSFMIAGGTVVALLLYEDVKSENFIVFLSFILLINISGAVGVLFTLAGTILVEREWVVVISEGQHPGVLTKMNATIRRIDLVCKLFAPVVSGFIISFVSLTASALTLAIWNILSVCLQYWLLMSVYNGIPALSESSQKRVYRSLPTDSERSSLTDESRNSHCLGDNDLEPLGHGWMRKVIERVSRISYFSAWKVYLEQDVVLPGIALALLYFTVLSFGTLMTAALEWQGIPAYIIGIARGTSATIGILATFLYPVLESQLSTLRTGLWSIWSQWTCLVVCVASIWVPNKLGAAYLLMGGVALSRLGLWMFDLAVIQQMQDQVPESDRCVVGGVQNSLQSVLDLTTYVMGIIISNPRVISHFVFSVNLCMECESICQLY >CDP20195 pep supercontig:AUK_PRJEB4211_v1:scaffold_979:29746:33660:1 gene:GSCOC_T00013153001 transcript:CDP20195 gene_biotype:protein_coding transcript_biotype:protein_coding MERADESLLPGVYNEVGAALHTDPTGLGSLTLFRSIVQTACYPLATYLAVRHNRTHVIALGAFLWAAATFLVGCSSTFVQLAVSRGLNGIGLAIVLPAIQSLVADSTDETNRGTAFGWLQLTGNFGSIIGGLLSVLIASTSFLGIPGWRIAFYLVGLLSIVVGILVGLFAKDPHYFDCNGNAKEQAPHKPFCSEIKDLIKEAKAVISIPSFQILIAQGVFGSFSRPSLSFATMWLELIGFSHKTTAFLWTLFSLAESLGSLFGGKMGDVLAQRLPNTGRIILAQISAGSRIPLAAILLLALPDDPSSALSHGLVLFIMGLMMSWNAPATNNPIFAEIVPERARTSIYALDRSFESILGSFAPPIVGILAQHVYGYKPFPKGSTNSEEIEMDRENAAALAKALYTAIGIPMAICISIYSFLYCTYPRDRDRARMQALIEAEMQQIDTDTHPQEEEYIQPFVLQSDELQAKKSCVIDIDHESYESLEFDENDKKRLLSH >CDP20193 pep supercontig:AUK_PRJEB4211_v1:scaffold_979:213:3485:1 gene:GSCOC_T00013150001 transcript:CDP20193 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGDEEYDSRADSISAALMTSHHHNHPTPPPAAPPLNPTNTSSIFDSLSNYFDPISRPPQNPDSSLLNLEMSWSTALRSNPNLSDINPNMVSASSIQSLFGSNQQQQGSFATTTPSVSIPSSVQENTSATNTPRQQAAPEQNNLAQVVRNPKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFTSSSPFQRPRLDLYGTSPSSMRSNNLIDSSQLQPPYLRRPFPQKVQLPPPFMASSASSCSSLLNSSLVDHAAIASPNSTSTNNNNNNTSGLNISTSTNPTFQLPLQSANLFNLPTPILTSLLQSNHVKYQPSNCPVIGSKTAQSLDEFGLGQGHVNTSSLSGLPGLISSDQTTSRNGNNPVNWGSSSAGVGSNDGGGDQGHQSRSGNGNFSLSRSVNGAGNGKLSYSGSSSGFHGEKGSSENVAVAVAPPPARGEGMMESWICSSD >CDP20194 pep supercontig:AUK_PRJEB4211_v1:scaffold_979:20703:24686:1 gene:GSCOC_T00013152001 transcript:CDP20194 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKRVKGIKSETFTLILVNLAGIMERADESLLPGVYNEVGAALHTDPTGLGSLTLFRSIVQSGCYPLATYLAVRHNRTHVIALGAFLWAAATFLVGCSSTFVQLAVSRGLNGIGLAIVLPAIQSLVADSTDETNRGTAFGWLQLTGNFGSIIGGLLSVLIASTSFLGIPGWRIAFYLVGLLSIVVGILVGLFAKDPHYFDCNGNAKEQTPHKPFRSEIKDLIKEAKAVISIPSFQILIAQGVFGSFSRPSLSFATMWLELIGFSHKTTAFLWTLFSVAGSLGALFGGKMGDVLAQRLPNTGRIMLSQISAGSGIPLAAILLLALPDDPSSALSHGLVLFIMGLMMSWNAPATNNPIFAEIVPERARTSIYALDRSFESILASFAPPIVGILAQHVYGYKPFPKGSTNSEEIETDRENAAALAKALYTAIGIPMAICSSIYSFLYCTYPRDRDRARMQALIEAEMQQIDTDTHPQEEEYIQPFVLQSDELQAKKSSVIDIDHESYESLEFDENDKKRLLSH >CDP20196 pep supercontig:AUK_PRJEB4211_v1:scaffold_979:40037:42024:1 gene:GSCOC_T00013154001 transcript:CDP20196 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQDSSPKKVLVLCLALVFVSSVFICAYSTEVQAEKNGLFFGRRRMLELQDEDEQPIIKKKSSATTATTSLLSTKGKNQTKFAKATTSSSSKNQTKLIKTGSVAAKNQTKLLEFPSESTKKSNKTMLIKPVSVPSTLGGKNPTIKIPVKKLNSTSSKSSNSTKTSTFFSKKSSDLTTTSSSKNKTAKATTTTSKDSKTLLDKNLSNEPKSKSKTTTTSDTEKPSGTKPKSEKSPKQTLPRWIDQDDDDDLVSGFRDLPSKFQETLIPDLERISKTSKVYLNKANKEITRGFKPIVGNKYAPTIASFTSFAFLLIPLILVSLVFNKFKAYFSLQKILIFIQIYMSIYFSILCLSSLVTGLEPLRFFYATSQSTYVCLQVLQTLGYVLYLLLLLMYLILVFSTETGLATKLLGLGQTFVGFAIGLHYYMMVFHRAVLHQPPKTTWKVHAVYATCFLLICLLTRADRRKKAYLEGGAEGKLS >CDP22071 pep supercontig:AUK_PRJEB4211_v1:scaffold_9801:3:704:1 gene:GSCOC_T00006967001 transcript:CDP22071 gene_biotype:protein_coding transcript_biotype:protein_coding GSIEHDEVFVPKPKSEIEWYYKQYDRFASHYDPYSPIYNARERLQIYISDVGVIVTIYVLYHSALAKGLAWLICIYVLPLLILNGIIVFITYLHHTHTSLPHYDSSEWDSLRGALATVDRDYGVLNKVFHNITDTHVAHHLFSTMPHYHAVEATEAMKPILGEYYQFDGTPVYKAMWREAKECLYVERGEEIKGVFWYKNKL >CDP22072 pep supercontig:AUK_PRJEB4211_v1:scaffold_9809:2:1701:-1 gene:GSCOC_T00013036001 transcript:CDP22072 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGRRRHGNGHVEHSSQIYFPSWIYDKFDRGEELEIGDHATEEEKSISRRLILIALWCTQMTPEDRPSMREVLEMLEGDLSGLKLPHRLLFYPPDSPISMQGSSNISSPYSNQITHYREDKKLLKSKKKEKSKAPCYATRRKTKDDKKIVLIPCNLNSYSIQLLIDASLRAAALREKKNSAVLSSSELEIGDHATEEEKSISRRLILIALWCTQMTPENRPSMQEILEMLEGDLSGLKLPHRPLFYPPDSPISMQG >CDP22073 pep supercontig:AUK_PRJEB4211_v1:scaffold_9809:1792:2022:-1 gene:GSCOC_T00013037001 transcript:CDP22073 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGHPLSWKQTCENAKGVARGIEYLHQGCNMQILHFDIKPYNSLLDENFVPKVADFGLAKLYPMQKSVSSLTIVR >CDP22074 pep supercontig:AUK_PRJEB4211_v1:scaffold_9810:3:362:-1 gene:GSCOC_T00004773001 transcript:CDP22074 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSLLEESVAKTHKYGWWQWVPQESRSIITDATYSCDGNLLYASFRDGNIFLFTAAALELRCQISPSAYLPSNRSLHPLVIAAHPSEPGQFAV >CDP22075 pep supercontig:AUK_PRJEB4211_v1:scaffold_9834:835:2628:1 gene:GSCOC_T00008199001 transcript:CDP22075 gene_biotype:protein_coding transcript_biotype:protein_coding MERACYYFPVGLLLATSLLAVSTGDIITDKSALVAFKNHIVLDPQSIVVKNWSISSSVCDWIGVTCDSGRQRVVALNISNMGFAGSIPPQLENLSFLVSVDMSNNNFYGHLPKGMSHLRRLSFMALGNNILTGEIPSWLGVLDRLQYLSLRENNFVGHLPANICDNLPNLKELDLYWNQLSGQILSGLSNCSGLKSLDLSANQFNGYVPKAAGNLKMLEELHLYYNNLEGTIPPQLENLSFLISLELSSNHFRGHLPEGMSHLCRLSFMALSNNNLTGEIPSWLDVLDRLQYLSLTENNFLGDLPANICDNL >CDP22076 pep supercontig:AUK_PRJEB4211_v1:scaffold_9849:1278:2364:-1 gene:GSCOC_T00007650001 transcript:CDP22076 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSLDQFNGTATKPRLSVFCSDKQLYAMVVDDQNERCLFYGSTLQKSIRQDPSCTTIEAAQRVGEELVKACIDLDINEISSFEIAISRHGFLPR >CDP20197 pep supercontig:AUK_PRJEB4211_v1:scaffold_986:19057:20279:-1 gene:GSCOC_T00006364001 transcript:CDP20197 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRVLGDEKSYCFDFESDKKTHHSSLSYIPLSLQWYFLWGEDTNTDRGEKKLRVVEATIRLAHISPFLFRTAKKDFEYKGYKIPKGWKVICWLRYIHADPKNFEDPLSFNPDRWNGQPKPWTNLIFGGGPRICPGNMLGLLNFNKCWQPQFLNLEANIVDFSLAQF >CDP20198 pep supercontig:AUK_PRJEB4211_v1:scaffold_987:1617:3622:-1 gene:GSCOC_T00009566001 transcript:CDP20198 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSQFARLLHKRHYGFSLAIRPYFVYSSCHLHLLCSIKSETPGQSVRGLYGKFFKLQYSDAAKLSHLQLLSSIIPREERDRLFIRHSYQHSFSGFSAMLTKDETSILLGIFCPLSFPAPSPEKRRINNDIVEILEDKKLHDFELYNLSTGFSFLGIWPELPSFSDRGVGKIPSRWKGECMEGPNFKKSNCNR >CDP20199 pep supercontig:AUK_PRJEB4211_v1:scaffold_987:40440:43840:-1 gene:GSCOC_T00009567001 transcript:CDP20199 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENIEAEENLSTVASLEPNSPIERDDSNTACVPRDDCSVQDSILYQLQDIVAKLDMRIRLCIRDSLFRLAQSAGQRQNASDTSSSNKSNRDEVLSKEEINTHNRFLKASEAETETNPIDRTVAHLLFHRPLELTGKIVETPEPNLSAKLLHYDRQPSSSISLQTNNLPRRLENKQILSHEESEVPCLFRKENHKSLEGQLVPLCHGFGRSNAKAMTMEEVAHWKFIKSIFPSKGSISYV >CDP22077 pep supercontig:AUK_PRJEB4211_v1:scaffold_9870:2:915:1 gene:GSCOC_T00005999001 transcript:CDP22077 gene_biotype:protein_coding transcript_biotype:protein_coding VLLGMVIFFMARLSAVTGLIEKFIFTGLRRGQQALMVNFTGLLILLFGVSVGFTVLLPRSY >CDP22078 pep supercontig:AUK_PRJEB4211_v1:scaffold_9894:387:647:-1 gene:GSCOC_T00012165001 transcript:CDP22078 gene_biotype:protein_coding transcript_biotype:protein_coding MPWAAADLLIKKIVSLIRNEASLIGGIEDQLNELKDELTSMKSFLEDADKKRSKTAGEQSWVANVTAMVYDVEDIIDDFIYHTTAT >CDP22079 pep supercontig:AUK_PRJEB4211_v1:scaffold_9909:2:1018:-1 gene:GSCOC_T00006265001 transcript:CDP22079 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTQLVFVPSPGIGHLVSTVEFSKRLTERDDRLSIVVLVISSISASKMESYTQGVANSNTGIQFINIPQADPPSAESLKSRENYISLLMESHKSHVKKAIVDLVSEPYTSLAGIVVDLFCSSMIELANELGVPSYVFFTSSAAFLGFMLYLPIHYNQYGREFETSDSDSIIPAYSHPVPTNVVPSFAFNKYGGYASFVKHTTRFKETKGIIVNTFAELEPHAVHQLISDSETPPIYTVGPLLDQEGKRQDSDCERIMKWLDDQPPSSVVFLCFGSMGSFEPDQLAEMAIAIERSGYRFLWAVRSPPFKDDPTKRMGEYSNLSDVLPEGFLERTENRGL >CDP22080 pep supercontig:AUK_PRJEB4211_v1:scaffold_9916:1089:1593:1 gene:GSCOC_T00007660001 transcript:CDP22080 gene_biotype:protein_coding transcript_biotype:protein_coding MQELITFIELIENPRYNFWSRIFTRCAPEIENLHGQGGKLFNLASFFLFNFSNG >CDP22081 pep supercontig:AUK_PRJEB4211_v1:scaffold_9917:58:1912:1 gene:GSCOC_T00008866001 transcript:CDP22081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 57 kDa regulatory subunit B' epsilon isoform [Source:Projected from Arabidopsis thaliana (AT3G54930) UniProtKB/Swiss-Prot;Acc:Q9SV41] MFNKIRKKGHRKASKSDGAETPIPTNNAAPNPDVTVNHASRTAGAPSQLLSLDCLGHGSGVVEVLPLLKEIPLSERHALFIRKLQICCASFDFSDTLKNAREKEIKRQTLNELIELVQSGSSKMNEIMQEGLVKMISINVFRCLPPAMHENTGSESGDPDEDEMFTDPSWPHLQLVYELLLRYVVSPDMDTKIAKRYLDHSFVLKLLDLFDSEDAREREYLKTILHRIYGKFMVHRPFIRKAINDVFYRFLFETERHSGICELLEILGSIINGFALPMKEEHKLFLVRALIPLHKAKCVASYHQQLSYCITQFVEKDYRLADIVIRGLLKYWPVTNCGKEVLFLGELEDVLEATQSEEFRRCMVPLFRQVARSINSSHFQV >CDP20200 pep supercontig:AUK_PRJEB4211_v1:scaffold_992:4174:5139:1 gene:GSCOC_T00011524001 transcript:CDP20200 gene_biotype:protein_coding transcript_biotype:protein_coding MIASEVTARDLAENTNAAEKSTEGLEESKYGGGGGCHGYGHCGGGGGGGHCYHGCCGHGYGGCRCCTYAGEPKDAGYTEPETKPQN >CDP22082 pep supercontig:AUK_PRJEB4211_v1:scaffold_9920:403:1026:-1 gene:GSCOC_T00012107001 transcript:CDP22082 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLLFLISFLPFFISTVSSFASAAEPEPVLDVAGNVLRTDLYYYILPANVRGRFRGGGLTLSSIGNDTCPVGVFQELSAQRNGIPVTFSPVKPRNGVVRISTDLNIEFAYPETCGESPVWRVDNYVDPSADSFVSIGGVVGNPGPATLGSWFKIQKFGYDYKLVYCPTVCSYCDVICKDVGILYQNGERRLFLIDYPLRVVFKQA >CDP22083 pep supercontig:AUK_PRJEB4211_v1:scaffold_9922:1557:1673:1 gene:GSCOC_T00007579001 transcript:CDP22083 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARFIKCVTVGDGAVGKTCMLISYTSNTFPTVRFPE >CDP22084 pep supercontig:AUK_PRJEB4211_v1:scaffold_9929:2:2055:1 gene:GSCOC_T00013026001 transcript:CDP22084 gene_biotype:protein_coding transcript_biotype:protein_coding RIMVPFNFITSYWFLISCQLLIRGDTSHYDAVANSAASGVLSAGLKSGVPCIFGVLTCDDMEQALNRAAGKSGNKGAEAALTAVSQFHFVELLSCLFIFLSFYSMEYLFAVWQIVCFLNSIWFVFRLNQMSSIKNLFCFSFWFGCIGKG >CDP20202 pep supercontig:AUK_PRJEB4211_v1:scaffold_994:16591:17367:-1 gene:GSCOC_T00011498001 transcript:CDP20202 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNFRYVMNNLFDDQLPQSFQKLTKLKAFNILGNAFNKSIPGYIFEWDKLEILSLMGNNFEGHLPNKILGLPRLTYLAINNLPGGETDVLFPDIHNIVHLKSLTLRSCSLTGSIPDYIWRFTNLSYL >CDP20201 pep supercontig:AUK_PRJEB4211_v1:scaffold_994:8860:10608:-1 gene:GSCOC_T00011497001 transcript:CDP20201 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVTLLTNCIVVLVVPREPEPVPSNHKISSSVIAGIVGSALVFGILILALSWALFRIRLRKLRGILEPLNPGFKNFDFSKLNAATNGFDRRNMIDVAGNVYRGELDGIQVAVKRLSEKSEEGAHEFITAIGTISALKHPNLATLMGSCTEQNQLLLVYKYMENVSLQHALFAEVKSELNWETRYKICLGVAEGLACLHESKLQVIHCNIKPTNILLDKDFTVKISDFEYSQFHDSKHSFVCRGHMSGEQIQGFPLTPKADVYSFGIITLEIVSGQEICPLGGREEVCFFFFFWAYKHQVEGNLTALVDPDLKSSNYEPDEAHTMLCLAMTCVNPTADDRPTMSSVVKTLKDSEKFGGKKKNLL >CDP20203 pep supercontig:AUK_PRJEB4211_v1:scaffold_994:17926:21785:-1 gene:GSCOC_T00011499001 transcript:CDP20203 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLLDSWILGISLSRGFRLRYTNILDFSYLSGQDLTGSIPPEIGNLSHLESLYLCNNLFNESIPPEIGGCPKLEYLVLYNNSLSGKLPDELGHVSTLRGLNLAENQFWGRLPESLGSLALLEEM >CDP22085 pep supercontig:AUK_PRJEB4211_v1:scaffold_9952:2060:2603:1 gene:GSCOC_T00005165001 transcript:CDP22085 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTPSQAFDYADALSKSLLCFEGQRSGRLPYNQRVSWRHHSGLTDGLGQGVDLVGGYYDAGDHVKFGLPMAFTFHIDKEMGCYNVLMGCYREMEHALEAIKWGADYFTLDLMSI >CDP22086 pep supercontig:AUK_PRJEB4211_v1:scaffold_9954:2:1056:-1 gene:GSCOC_T00006630001 transcript:CDP22086 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHERLLRTSDDFVWTIDTTFQGRFVIDVEFLDLKICPLNGGEANPIWPSDGVMQSLATQSTLRCLSRMLFEGIHADVIINTADGTVHSHKAILSASSPVFHSMFLHNLREKESSTIDIEDMSTDSCTALLSYLYGTIKQEDFWKHRLALLGAANKYDIADLKDACEESLLEDVNTGNVLERLQEAWLYQLNKLKKGCLMYLFDFGKIYDVEDEISNFFRQADRALMVEMFQ >CDP20205 pep supercontig:AUK_PRJEB4211_v1:scaffold_996:8427:14283:-1 gene:GSCOC_T00008243001 transcript:CDP20205 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSGKPISLCNLCFQWTINFASPLQEVEEYFTNYIVNDSLGIIANAQTVFADREPLKARSEPCLELAQLFSIAVDFPKTSVPAEIPSHLGVKEYPDYMEKPDKPTYESRHVIGKLFREVKEIAPHTSSIRSLTREVARKAYDTDMEVDGFEDYVDEAFDCKSEYDYKLGILMDYYGIQNEAEILSGGIMKMSRSFDRRKDAEAVGMAVRSLRKEARTWFNRSGGHSDDPYAKASAWYHVTYHHRFWGCYWGVYNEGLNRAHFISFPWCVYDKLIQIKKAKKASTRSSQQISSLELQFSHGLRLL >CDP20204 pep supercontig:AUK_PRJEB4211_v1:scaffold_996:1238:4738:1 gene:GSCOC_T00008241001 transcript:CDP20204 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTFLDSPIQNLDWLEKNCSLASPLRDQVLTLKQKSRFLRTYLIATGISEAIPSASISLTSSFETDVAFSKAIDELSSACSLPVDLEKVDSSVSYMLENIKLWEPKIKNAYAILSEQPWRREVNSPLIDPASAMDLIDSVLENLEDILIGSTCLVSLMKKQVKILIEKLSFLRDFIGTAAKRSNIVHQEMATFLIYAHSFATKAACFSFLCWDGAQEEVMACHTNVKLSDLLQKIMPSSSDLTETFIQLLKASTPMGPGTAKTDAIASIVKLLLQNTVESLKDRFSSILEELIYLMKFLMVLPDESTGDLERILSDIRAAGREAASFQVNEVKEEQVVEMNLVLSRSLQRMKLIQAEIILIQQLDCQEVFMVSMKDKYTALHEGLKFLRSVPIDLLPEEKEEDGKLLSKHVEALQVEVISFIYSIHENNVMQDPVMETKLSLHILLLKIKLVKVDLSLMELHNHEAILVFDVKDHEIKSLHEHMEFLRTCLMGTIEVEANIEEWKLFVRHLDCVVSESSSLISSFGGSGMTEDMASELDLSIFRLLVKIKLIKAEVILIELIKTPKFGLMVHMNDEVKTLQEGVRFLRTFLINPPVEEVDQLMLAKCEAIANDAASLIFSFHEHVREEEMASEMSRSLSAFGDKIELFKAEIQDTCLQVLFSDCCQTSGTVGIFDLLDNIKDKAKSVVSVEYDIEMLVEEFEFLESLLMDTGEHYNNHQELKDLWACIKDVAWETAYIMGTGEHYNNHQELKDLWGCIKDVAWETAYITDLLVVRDNALCYFMLWIPSAVEDIKFIKAKVMEIYDEKRYAIGADSDATAGPLRIDNLVIGLDREVSNITYELTTGTKRTLIMSIVGMGGQGKTTLAQTVYNHPAVVQHFQIRAWCTVSQVYQTRELFFEILRDVMVVSNRIYDMDDDGLAGVLYKCLKQKRYFIVLDDIWDSAALDALRRSFPDDNWGSRIMLTTRKGNVASRGDWKTHIRYLNENESWHLLSRKAFGDTDLPYELRIIGKNLVKHCGGVPLLIFIVAGVLEKNKRNLSYCVELLKHLSSYHLLHGNVLLHALKIAYNSLPDHLKPCFLYLGEFPEDKEIPARKLFRLWITNGLIKETESKAPEDVAEEYLLEIVSRNLATISRRTHNGRVRGCRVHDEVRDACRSMKRGEVSLS >CDP20206 pep supercontig:AUK_PRJEB4211_v1:scaffold_997:4966:5859:1 gene:GSCOC_T00010874001 transcript:CDP20206 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKSKANGQEFINEVATIGRIHHVNVVRLVGLCVTASKHALVYDYMPNGSLDKLLFSNCQNGSPLNWKQICEIAKGVARGIEYLHQGCDMQILHFDIKPHNVLLDDNFVPKVSDFGLAKLYSMQKSIATLTAARGTLGYMAPELFYKKIGRVSNKTDVYSYGMLLMEMAGRRRNVEAHAEHSSQIYFPSWIYDKFDQAEEMEIGDHATEEEKTITRKLILIALWCIQMTPEDRPSMREVLEMLEGDASGLKLPPKPSFYPPDSPISMQRSSDSSSSDESTVPLCSSVALEIEQMND >CDP20207 pep supercontig:AUK_PRJEB4211_v1:scaffold_997:17585:18571:1 gene:GSCOC_T00010875001 transcript:CDP20207 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNFEEKLGEGGYGLVYKGKLRSGGAVAVKMLNNSKANGQEFINEVATIGRIHHVNVVRLVGFCVTASKHVLVYDYMPNGSLDKFIFSDCQNGSPLSWKQVCEIAKGIAHGIEYLHQGCDMQILHFDIKQHNVLLDGNFVPKVSDFGLAKLYPLQKSIATLTAARGTLGYMAPELFYKKIGRVSHKTDVYGYGMLLMEMAGRRRNVDAHAEHSSQIYFPSWIYDKFDQAEEMEIGDHATEEEKTITRKLILTALWCIQMTPENRPSMREVLEMLKGDASGLKLPPKPSFYPPDSPISMQRSSDSSSSDESTVPLCSSVALEIEQMDD >CDP22087 pep supercontig:AUK_PRJEB4211_v1:scaffold_9982:481:2598:1 gene:GSCOC_T00000069001 transcript:CDP22087 gene_biotype:protein_coding transcript_biotype:protein_coding MISTYTNPTFYVEQERKANEATRVFDNPLSSSLEEFHDDSVPYISTYTEYHDNSSKTTSSEDNISADTSDSSEDETDSSEESSDEKTIPHINMAEPEPEIVEPEEEQEQSPQYDQHQRASFPKSKGVPLFTIDNIPSEMWEARFQEFHAWMLAQNLTEESHFEILSVFTAHLAGILKDWWTSIGDADKMTFLTRQDFMENVHILHLTFLGNVREFQETKRKEFFQMKCLSYDRHDLNKHFKKMLQLFYSLGADINLKQPFVSSLPKPLADGAEMYIHNKYGSILNITIGQIKQAVFLSLDDLCHKRKVIREYHKGDVCLDQACKKPELITKGKCQACTPSHRRKGSRRFKRFKSFTKSYKNFPKKPFRKKWRYFRRKSKKFRGNKGNKCFICGKPGHFAKNCPQNQKGVKLISEIQNELHFTISDLESEFSEQEEPTDTTLLALQVPEEILSISPIASINKDKTEKDVYPQTLIHILLDKYSKPIPLIAFFDTGAYISIMRKDILPDSFWIPETNKFRAADGNIFETKFITKNSITLKLLPDCCVSSKFLGANFTGKDLIIGFDLYKQNKYLITGHGIKSKKFFKPFIEIPKLYMIQEDGIQKDQLHQLQTQIVQESCSINHQDFLKKCNHPLWENPDFYIRLLFKKNEDINPTKASHSGMNPEDTKLAEQECQELLKFGLIEISHSQWACQAFYVNKRSEQVRGK >CDP20209 pep supercontig:AUK_PRJEB4211_v1:scaffold_999:12643:17171:1 gene:GSCOC_T00012374001 transcript:CDP20209 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKPTQTPPTAAICTLQGSLLTLAIVTLLSFTCLSLKSLNSPSILSSTPPSTTLFAPQVRVVDKDGINQAERVEGEDEVSSDVFHSPEVFRLNYAEMERKFKVYIYRDGDPKTYYQTPRKLTGKYASEGYFFQNIRESRFITDDPDKADLFFIPISCHKMRGLATSYENMTVIVKEYVESLIAKYPYWNRTLGADHFFVVCQDVGVRATEGLPFLVKNSIRVACSPSYDVGFIPHKDVALPQVLQPFALPRGGNDVENRTILGYWAGSRNSKIRVILAREWMNDTELYVKDSRISRATGELVYQKKFYRSKFCICPGGSQVNSARIADSIHYGCIPVILSDYYDLPFNDILDWKKFSVILKQKDVYQLKQILKSITQTEFVSLHNNLVKIQKHFQWNTPPVKYDAFHMVMYELWLRHYLIKY >CDP20210 pep supercontig:AUK_PRJEB4211_v1:scaffold_999:17281:20841:-1 gene:GSCOC_T00012375001 transcript:CDP20210 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVQIKETSSPWKKLLGYIGPGILVSVAYLDPGNLETDLQAGADHKYELLWIVFAGLLFALLIQSRSANLGVATGKHLSEHCKKEYPKSVNICLWMLAEIAVIAADIPEVIGTAFALNILFRIPIWSGVLLAGLNTLVLLGLQRYGIRLLEGVISILLLVLGGCFFSVMVHARPSVKEIAMGLVIPRLSGGSATRDAVALLGALIMPHNLFLHSALVISRKITRSPDGIRSASRCFLLESSLALLFAFLINVAVIAVSGSVCSYPNISSESKKQCKNITLDSAAFLLKDALGKWSSKLYAISLLASGQSSTVTGTYAGQYIMQGFLDLRMKLWLRNLLTRCIAIAPSLVVCSVGGSAGAGRLIIIASMILSFELPFALIPLLKFTGSAAKMGLHKNSIVVSLCTWLLGLCSIAINIYFLSTSLLGWITNKKMPKAASILSGLLIFPLITLYIALLAYLILKPETASQGSSDFDADSNHHDEAESEPRAEFNHTQRTGEIEIDSITCS >CDP20208 pep supercontig:AUK_PRJEB4211_v1:scaffold_999:2:1058:1 gene:GSCOC_T00012371001 transcript:CDP20208 gene_biotype:protein_coding transcript_biotype:protein_coding SLLSLSLSLSFSHTHTHTLFWRELKETDVIAHFNRMVCSYFLTVVIHSMIKPYEQVEEPPFSFLYSWWDIPLHVTAQEDLILFPCVKRGLLKRFRVEYIASPSDFLSCFQTNGSTTTKKTTISYPALKKYIQLAYL >CDP22088 pep supercontig:AUK_PRJEB4211_v1:scaffold_9990:66:299:1 gene:GSCOC_T00008388001 transcript:CDP22088 gene_biotype:protein_coding transcript_biotype:protein_coding GAFTHQDFADHKGTIRTGDVQWMTAGRGIIHSEMPAAGGT >CDP22089 pep supercontig:AUK_PRJEB4211_v1:scaffold_9997:1280:2596:-1 gene:GSCOC_T00003676001 transcript:CDP22089 gene_biotype:protein_coding transcript_biotype:protein_coding PELVGEKLETAHAYDAVNAILYGRWGICYTYGTWFAVEALVACGRDYDNSSALRKACKFLLSKQLPDGGWGESYLSCSNEVYTNLEGNRSNLVQTSWALLGLVAAGQVSESPTGEKVLLR >CDP17052 pep chromosome:AUK_PRJEB4211_v1:1:37899885:37907764:-1 gene:GSCOC_T00004959001 transcript:CDP17052 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPHRRGGGGGEERCGGGDDRSAPESILRNSHPSDDSVPVSDPHYHHAHSHDNKSRGNPSYADHYNRQQERRRHHHSFNSEPNDYPAAARVDSNFTCHSLSPCSSSSGVRKRQFSHSNDSLQLQGTSAPDHYGNGCTIVKLYVAGVPKTATREDIGSVFAEHGNIVEIVLIRDKRTGQQGECCFVKYATIEEADRAIRALHDRYTFPGGVAPLTVRYADGQKERYGSFDQQLLKLYVGCVNKQSTDTEIEEIFSRFGIVEDVFIVRDEMRQHRGCAFVQFSRREMAVAAINGLHGSYIMRGCNQPLIVRFADPKKPRLGGPRAASYLDDRVAVVHNDFHPSTYNRCKPELACGSNASESMPNSSLSCSSFGAITGIDSSVECEWSEHMCPDGYPYYYNCVTSESRWEKPEEYALYERQLLKLEEQPQVQISH >CDP08481 pep chromosome:AUK_PRJEB4211_v1:1:22015179:22018726:-1 gene:GSCOC_T00027397001 transcript:CDP08481 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVNGPGRNHLFVPGPVNIPDQIIRAMNRNNEDYRSPAIPAMTKILLEDVKKIFKTESGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFNVDVIESEWGQGANLDILASKLAEDTAHTIKAICIVHNETATGVTNNLATVRKILDHYNHPALFVVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGIGIVCASPKALEASKTAKSVRVFFDWKDYLKVYKLGTYWPYTPSIHLLYGLRAALDLIFEEGLENVFARHARLAKATRLAMEAWGLKNCTQKEEWYSDTVTAVLVPPNIDSSEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMVLKDVGYPVKLGSGVGAACAYLQNTTPLIPSRI >CDP15551 pep chromosome:AUK_PRJEB4211_v1:1:25631426:25631530:-1 gene:GSCOC_T00015432001 transcript:CDP15551 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIMISGNLDPERKRSGGLRTKQAGRGSCRGS >CDP09667 pep chromosome:AUK_PRJEB4211_v1:1:24120504:24130660:1 gene:GSCOC_T00030079001 transcript:CDP09667 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGLGTKGQKIQLLTNHFKVAVKNVDGQFFHYSVALFYEDGRPVDGKGIGRRVLDRVQETYDTELAGREFAYDGEKSLFTVGPLPRNKLQFTVVLEDVTSNRNTGNSSPGGHESPNDGDRKRLRRPYQSKTFKVEISFAAKIPMQAIGNALRGQETENSQEALRVLDIILRQHAAKQGCLLVRQSYFHNEPRNFADVGGGVLGCRGFHSSFRTTQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPYSIDWVKAKRVLKNLRVKTSPTNQEYKITGLSEKPCKDQMFSLKQRSRDDDGEVQTVEVSVYDYFVNYRHIELRYSGDLPCINVGKPKRPTYFPIELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMSTLTTALKINNYDEEPLLRSCSITISRNFTEVDGRVLPAPRLKVGNGEDFFPRNGRWNFNNKRLLQPAKIEKWAIVNFSARCDIRGLVRDFMKCGEMKGIDVESPFDVFEENQQYRRAPPLVRVEKMFEEIQAKLPGAPKFLLCLLPERKNCDLYAPWKKKNLSEYDIVTQCMAPTRVNDQYLTNLLLKVNAKLGGLNSLLAVEHSPSIPVVSKIPTLILGMDVSHGSPGQSDIPSIAAVVSSRQWPLISKYRASVRTQSPKLEMIDTLYKRVSDTEDDGILREALLDFYVSSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDENWSPKFVVIVAQKNHHTKFFQPNAPENVPPGTIIDNKVCHPKNYDFYLCAHAGMIGTTRPTHYHVLLDEVGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQLGQWMKFEDTSETSSSHGGVSHAGSVPVPQLPRLKENVCNSMFFC >CDP15614 pep chromosome:AUK_PRJEB4211_v1:1:26745570:26748362:-1 gene:GSCOC_T00015531001 transcript:CDP15614 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQITFFSAFLFFFLLQPQEVICFTFLGDHLCRHDEAVALLQFKEMFSVSPTYASSVCDHSYPKTTHWKADTDCCNWDGVTCHNLTGRVIGLGLSCGQLQGVIHPNTTLFHLSHLRRLNLAFNNFTGSQISHRFGSLKSLTHLNLSGSNFQGEVASEISHLSNLISLDLSYNNYPRYEPSNFEAMLQNLTHLRELSLYSVNISSELRVNFSSSLTYLDLTNTGIRGNLPGDVFHLPNMRVLSLGDNENLTVSLPKLNCSISNSLRQLSLRGTNFSAELPDLIGCIGSLNSLNLGYCQISGVIPESIGNLTQLTELYLENNHLRGKIPDKFSISQKISSLLLRDNLLSGNIPISLLNLTHLGILDLSSNQLSGSIPPSIFTVPTLSVLDLSSNLFTGVGRDLYVDPNKLQNNAFNSEAPWNTTNNVSISYSNFMHLGLSSCQIKEFPEFLRNSERLYFLDLSNNMIRGEIPSWFMSKTFVKLHNLNLSHNFLTGTIDQLPVTPDLASLDVCSNSLQGPIPSSICDPSYLWILDLSNNNLSGPIPQCLGNSSRYLETMDLGNNSLFGTIPSTFSKGNSLRFLVLNDNQLQGPLPRSLANCERLELLHLGNNEIDDKFPGWLETLSNLEVLILRSNRFHGAIGNCQTKSPFPLLRIIDASHNELTGALPTEILNNFTAMKSSKHQQKEAQYMTGGLLLELMTGGSFVAGGGPYYAHSVSLLIKGVEYHLERVLITRTAIDFSSNRFEGQIPETIGSLHSLQTLTLSHNNFSGPIPKALGNLSMLESLDLSWNQLDGTIPRELVNLDSLGFLNLSENLLGGPIPLGRHFDTFREDSYRGNLDLCGSPLTKDCGDTEAPPPATPWEAEEQYDDSEFFDGFTWKAVLLGYGCGLVLGLVMGGLIFLTGKPRWVVLIVEESFKPRRRPMKWIHIRT >CDP09288 pep chromosome:AUK_PRJEB4211_v1:1:18329502:18332825:1 gene:GSCOC_T00028585001 transcript:CDP09288 gene_biotype:protein_coding transcript_biotype:protein_coding MFEADDGSNTAGWRTNESIQLMQAQRTKFEGKRKICKKKNSDNVEQHQVQCTGDKNFHTGTRHQRGKGKKRAEDEDVFHLERQNGQEKQSDHHLQRPLFNLNAPALDEHQPGWDCEISFHLRS >CDP03977 pep chromosome:AUK_PRJEB4211_v1:1:33756619:33759188:1 gene:GSCOC_T00016495001 transcript:CDP03977 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTSQVFNSVERKSFLPIDSNGNVFLDDELSTSMELCIGEDDKCVGQLMAMPLTENLIEPHIGMEFNSRDDARDFYVAYGRQTGFTVRIHHNRRSRINNMVIGQDFVCSKEGFREKKYVQRKDRVLPPPPVTREGCPAMLRVAFKDGAKWVVTKFVKEHNHSLMSPNKVPWRGCGKNLINQDEKDQRIRELTLELYNERQRCKRRCAVYQEQLQTLLKYIEDHTDHLSKSVQEVVERVQSIENEELEDSE >CDP16609 pep chromosome:AUK_PRJEB4211_v1:1:6149577:6153343:-1 gene:GSCOC_T00019055001 transcript:CDP16609 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLAEHIHNHLLENTQFNVYWISVSQDFTIKRLQGDVAKRLGLDLSDVDDAKVRARRLRDAFEKMKEMVVLMLDDVWEEFRLNSLGIDARNCRLILTTRSEEVCNRMQCHSKFELKTLDTEEASGLFERTLGSKTVLDGDLKDIAKSVAKRCDGLPLGIVTVAGSMRGVSNICEWRNALEDLKACSVGHDEMERDVFPILEWSFNRLNECQRNCFLYCCLYPEDWKIKRKELIDLFIWAELVSKRESWSKAFDEGQTILKKLIRVCLLEEAKDFMGDDCVKMHDLVRDMALRITHGNSKPKSSRDDVPRFLVKSLGWSNSKVTLEPKKWTQDLHAVSFHSDMFQHIKIKVPPAWSPNCPKLSTLLLSDVFIKEIPDSFFQHMCGLKVLNLSRCGGITDLPNSVSNLLKQMRDLDLSSTQIRDLPQELESLVNLERLNLKDCIHLRSVPPLGKLKQLRELDLSRTEIEDLPEGWESLVNLERFNLDKCLTVSRKIIPKGTFSQFHCLQLLFLPSYGGVQVNDPEVLNQLESFEGCLSFTDFYKITRWPKYYNNVYINDILTEDPSFVNEVRGFREKQLHFHQCKLGRGSNYLPDDMKCLIIEDCEGMGIRCLSDVFKNFINLSHLTELEIKELVEIEFLWQLSSASPRDQLEVSSFSPLHGLEELHLSRLPNLVGLFYGESEPSYLLPAGTFSSLRELWIYGCHSMKQLFTMQLLQNLQNLEELRVEDCEGLEEIAADGRGAPPATAAMIYESIEDIAIFGCPNLKRLPSFLSTIDGPPYLLPAGTFSSLRELWISGCHNMKQLFTVQLLQSLQNLRDLHVEDCEGLEEIAADGNGVGQRGGEGIQLTSSEGTATVVLPNLRKLHLRGLPQLKNICKAAMICDSIEDIEIFNCPNLKRLPSFLSTIDGPPYLLPAGTFSSLRKLWISGCHNMKQLFTVQPLQNLQNLEILEVNDCEGLEEIAVDGNGVGQGGGEGIQLTSSEGATANVILPKLWWLRLTRLPQLKNIFKAAMICESIWSISIADCPNLKRLPWFLSTIDGPPSPPSTLEIRGEKEWWESLEWDNSYPKDALDPLFSAW >CDP09291 pep chromosome:AUK_PRJEB4211_v1:1:18642580:18646862:1 gene:GSCOC_T00028590001 transcript:CDP09291 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKEGCSLLLKVHKPSIPFVLNASPILGVNQENDEIRKNPNLNESDVLKRLRGERDTYLALEYFKHVANVESFKHTTLTYQMMIEKLGQKHEMDGVQYLLQQMKLEGVNCSEGIFISVIDSYRRAGAAEQALKTFYRIQDFGFKVTVRIYNHLLDALLCENQFHMINPVYNNMKKDGLEPNVYTYNILLKALCKNNRVDGACKLLVEMLNKGCSPDVVSYTTIVSSLCKIGSTKDARKLALNYTPSVPAYNALINGFCRECNFREAFELMNDMLDKGLRPNVVTYTTLLNAMCDVGNFELSLAMLGKMFVSGCSPNIQTLTCLIKGLSLKGRVHEALAVWNQITREGLLPNVVTYNTLIHGLCFVGNLSEALCLLNQMERYGCLPNVTTFSTLIDGYAKFGDLRGASEIWNKMITSGCPPNVVVYTSMVDVLCRNFMFDRAYNLIEKMAMENCPPNTITFNVFIKHLCTSGRVDQAMELFDKMEHYGCACNITTYNELLGGLFKINNFRLAFELLVDMERNGIEFNIVTYNNIVNGLSRAGMFEVALMFVGKLLVKGIKPDTFTLNIVINAYCKCGKVESAIKLLDTMSSVGCNPDLVTFTSLICGICETIGLDLASVYLQRMIEKGICPNISIWNFLVRWLFRKLGYDASLTHFNNVMDGRLEETELQFQTKV >CDP13131 pep chromosome:AUK_PRJEB4211_v1:1:1879599:1880252:-1 gene:GSCOC_T00037956001 transcript:CDP13131 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEKMYSCRKLHTIKSWCRCKETPAQENAIRFQGPKKRAYAEKIPFPHIFMNRHATNLTEQSQQIT >CDP13149 pep chromosome:AUK_PRJEB4211_v1:1:1632997:1633898:-1 gene:GSCOC_T00037993001 transcript:CDP13149 gene_biotype:protein_coding transcript_biotype:protein_coding MARYYRSSYNHYLQYLSLPIHFFFFLLVVFLFLVFTWYINYENKYEDILQELKLVLLIFPVILLLVVHWLSRGEYSESGPSVTSSPEEDSPHRVGGSPVGVALLLIFLLFMVSHHTSFQERWFPLFSRR >CDP03957 pep chromosome:AUK_PRJEB4211_v1:1:33905872:33916345:-1 gene:GSCOC_T00016471001 transcript:CDP03957 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGARLCALLGELGYQGHELLDPDSFEWPFQYDDVRPILDWLCSSLRPSNVLSPSEVSQYEQFVQEGKLLEGEDLDFAYDSISAFSTRRDNQEAVFGTEEGLKEIRDATASLKTEALELQKQLRRLQSQYDMLSGQASALSQGRRARVAATSVVNGQLTSLEDSLSARNLEMNAVLGKMASTAQELAHYHSGDEDGIYLAYSDFHQYLLVDSSCMKELNQWFSKQLDTGPYRLVAEEGKSKCSWVSLDEISNVLVRDLEKSHHQRVSELQRLRSIFGTSERQWVEAQVEYAKQQAILMALKGQVTSDEAHIHLDLHSLRRKHAELVGELSTLHRKEEKLSSETVPDLCWELAQLQDTYILQGDYDLKVMRQEFYINRQKTFINYLVNQLARHQFLKIACQLEKKTMLGAYSLLKVVESELQGYLSAAKGRVGRCMALIQASSEVQEQGAVDDRDTFLHGVRDLLSIYSNAQAGLSTYVSAPGIVQQISSLQSDLMSLQSELEHALPDDRNRCINELCTLVQSLQQLLFASSTTAQPILTPRTLMKELDEMEKANAELAAAVEEVSLEHNKKKEIVKHHSQELALQRRVFVDFFCNPDRLRSQVRELTARVRALQAS >CDP17518 pep chromosome:AUK_PRJEB4211_v1:1:19735105:19736606:-1 gene:GSCOC_T00011463001 transcript:CDP17518 gene_biotype:protein_coding transcript_biotype:protein_coding MALQATSVSDVESSHCAETLQKAQKCAQGRVSLEPLKHLVALFTFSNSNMRLWFPIFFEERCGEFF >CDP03577 pep chromosome:AUK_PRJEB4211_v1:1:36705259:36707824:1 gene:GSCOC_T00015988001 transcript:CDP03577 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAWKLSSDAAQTRDRFLQRRYSGSHNPPTTSPSLNPSSCKTPTSASRLLRQCPPQTPSTTCQIVWPNIAPLFLNKWRSPGDSTAKQDQRDRVSALKEELLKYSGDAENIERVLEEKGVPLFRTYYDGSAVIELLKQLASSPDFALQIFNWRREQLDHGAPMTNEEYATGITLAGRLKNVDLAAELFAEAANKKLKETSLYNALMSAYMYNGLAAKCQLVFWDLRQEETCKPTIVTYNILISVFGRLMLVDHMEATLQEIKDLNLSPNLSTYKNLIAGYITAWMWDNMEKTYMIMKADDVKPDLSTHLLMLRGYAHSGELKKMEEIYELVKDHVNDKEIPLIRTMICAYCRSSVSNRVKKIEELLVLIPENDYRPWLNVLLICLYANEDSLEQMENLISEAFEHNTAVRTTAIMRCIVSSYFRNDAVDKLANFVKRAESAGWRLCRSLYHCKMVMYSSQRRLAEMEMVLDEMRQVNVYFSKKTFWILYKAYSQWGQKCKLKQVLGMMCKHGHSIPLNTCSS >CDP04026 pep chromosome:AUK_PRJEB4211_v1:1:33431335:33438309:-1 gene:GSCOC_T00016554001 transcript:CDP04026 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQQLGKASTFKEEIGSPYNPAPPPPLQLPDDVDGDGGTSSPSASSLAAKAIRASSAHRDSSLSSAYGQSAFSSPRDSNPLRSSSSSSSSPKGFSSYEYTSMKSLNEPKQGLWGVLARKAKAIIDDDNASQQHETPGRTTPQMSGRATRGQYDGTYSSSESRPKKDSPTLQKGLGALASSLNYIGGTIGNALEEGLAVVENRTADIIQETRKLQIRKKGSGSIPENQTSNTGSFRQQPLGQTHLQPQTHAELEIQLKASRDVAMAMAAKAKLLLRELKTVKADLAFAKERCAQLEEENRILRESREKGDNPEDDDLIRLQLETLLAEKARLAQENSVFARENRFLREIVEYHQLTMQDVVYLDEGTEEVTEVYPVKVPSTSNLQSLGTTPPSLPLPLASPFASHDATPLVTRDIAVHPVPSSEPKDVFQSVTPLSSYIPMPNEDLGRH >CDP06948 pep chromosome:AUK_PRJEB4211_v1:1:28114624:28115266:1 gene:GSCOC_T00024002001 transcript:CDP06948 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKIAQFFEGKTIFITGATGFLAKIFVEKILRIQPSVKKLFLLMRPSNSKSCSQRLYQEIIDTELFKVLREK >CDP03900 pep chromosome:AUK_PRJEB4211_v1:1:34295860:34300294:1 gene:GSCOC_T00016403001 transcript:CDP03900 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGTFCVCNSITDHSHAAAPGLSGQCHQRFFNFINRRRNFVIYSSGAAQKGPVLAVATDEPNAKQAGFQPSLADRLRLGSLTQDGLSYKEKFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTNTMRKLHLIWVTSRMHIEIYKYPAWSDVVEIETWCQSEGRIGTRRDWILKDYANGKVIGRATSKWVMMNQDTRRLQKVTDDVRDEYLIYCPKAPRLAFPEENNASLKKIAKLKDPAQYSKIGLVPRRADLDMNQHVNNVTYIGWVLESIPQEVIDNYELQTITLDYRRECQHDDIVDSLTSPELDDDAAILQTTNGSPTASRDTDKCCQFLHLLRLSGDGLEINRGRTEWRKKPAKR >CDP15592 pep chromosome:AUK_PRJEB4211_v1:1:26327270:26329009:1 gene:GSCOC_T00015493001 transcript:CDP15592 gene_biotype:protein_coding transcript_biotype:protein_coding MLGISYGELFLIIGATAALIVVIRARRGCIKNYKTLFPNLKPFVMRFELYLLWPRGQCCEYISYQWLFDRLGISQI >CDP07029 pep chromosome:AUK_PRJEB4211_v1:1:28955161:28963794:1 gene:GSCOC_T00024116001 transcript:CDP07029 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNPNSQPMRVLIRPPTPNPPPPSPPPPTPPDPSPTQNPTPIPSQQNGIVVVGFVGRRHGDVTQLINRVIDANVFGSGNLDTPFRFNKQELISEEIEEWFKLRCISYYFEEEKGILYLQFSSISCPIMDADLESQLGFDSLFEDREFGDLQGLLFMFSVSILVPYFYLAMQVCHVLILTQEGSRFDTQILKKFRVLQAAKHAMSPYFKSQSMQPLTPNSHASSSSRMFLSGASSNSPSPGRSRSILNRGAAVTLMSGLGSHSSLLPGQCYPVILFIFLDDFSDANPSSALGEQVEGSPLSQSSSSNNMARPNLPTKGSGSVVVLARPVNKPEGGFRKKLQSSLEAQIRFSIKKCRILSSSETGHAGTRSGGISSAAPLFLLDASKAVILVDLCSNHRGESLEFATRLVEDVIDGKATSDSLLLESNSQSANKEDILSVKEFILRQQSDILRGRGGMVTSTSSGPATGVGMVAVAAAAAAASAASGKTVSTPELPSLETWLSLSQPILHGILSVKPGYTGESEFSKRKPDQQYAAMPAVEGNAAKATDSLENAISILESGRGLCAKFSTLWCEKSLPIAKEIYLNELPPCYPTSRHEAHLERALVAFKSMVKGPAVQIYMKKLKDECTSIWSSGRQLCDAVSLTGKPCMHKRHSVGTDDLLVTDEIKPHSSGFVFLHACACGRSRQLRPDPFDFETANISFNCFPECDKLLPALHIPQGSVEGPIKPSCWRLIRVGGARYYNPAKGLLQSGFGTTQKFLLKWSIALGKQKISNGLSLSNQLQVYSNKLSGSDKDEPLAGKDTKKVGDAHFQAQEVQSEVEVPKRQPLLNNKASDKMMGVSNSTMRKPFSEVVAGSAGTHSGFPPLQTRKQPLPGSEKGIKQHDTREKGLSKAMEIANNQGSQKLPNIATIDHATNGVAINTNADANSDPLLQIGSNDVPLNMATGVKVREFIPLKHVSIYVGFEHECPHGHRFILTPDHLKGLGSPYAMPEESLVTSSIENSDHNMADSSKSGKNGGHARARKQSNGIINNAFRMTSNLEESKVRSTNKIVYENGQMQVSNILREQNLSEAKGTSSVMDLAAGFQSVNLDDGGTAFSLLNRSLPIYMNCPHCRESRKKKDTMNVKFASTISQLQRIFLVTPAFPVILAACPVVQFEDSCLPSTVPDCQEKLQFGLGCRVILPPDSFLSLRLPFVYGVKLDDGKVHPLTPFEDQPQLTAWITKGTTLQVVSQGSNLAGGAYM >CDP06986 pep chromosome:AUK_PRJEB4211_v1:1:28521473:28524097:1 gene:GSCOC_T00024056001 transcript:CDP06986 gene_biotype:protein_coding transcript_biotype:protein_coding MYNFLDNIFSVFTELESHSDGEDFSDEDEEEENQVDELQTAKLEPNVGPKSATNKALPAEPKPLEKSILKKVELKKDKTESNEDDDDSDEISEMEEDSSDASADTDSEDSDDENQDMVSQKTKSGKKRPPETATEMLVPVAAKKTKSAAPQILGGKSPSANGKSGSQVSGKSCSKTFNSKNAVQSRAKAKHGGK >CDP17513 pep chromosome:AUK_PRJEB4211_v1:1:19913532:19914145:-1 gene:GSCOC_T00011451001 transcript:CDP17513 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWPCRQQVSVTQKVVMISKHCERLKRVILEPLKHLIDFSHSPTATLGCGSNHFLRKMRSQVLKMEGSYL >CDP08969 pep chromosome:AUK_PRJEB4211_v1:1:30730869:30731403:1 gene:GSCOC_T00028120001 transcript:CDP08969 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CDP03962 pep chromosome:AUK_PRJEB4211_v1:1:33889796:33891393:1 gene:GSCOC_T00016476001 transcript:CDP03962 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAHNAGAAPNGAAAKEVVFKVAKPWLFVEAPKANDAVQFYKVAFGAEEVNRTVHPKRKAEQELPLLLSAELKLGSFSFLVSDLIADDSRAPVKTVGGGVAFCLETEEVEAAVEKAVGAGAISEDVSEADCCGADGRVVKLKDPYGNVWLVCSPASASADVEA >CDP17543 pep chromosome:AUK_PRJEB4211_v1:1:624484:628011:1 gene:GSCOC_T00011017001 transcript:CDP17543 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKAIFNTPQFDNHFDKKIWVCVAEKVDRIEEVFKMILESLTGGKVEGDRREVIVQKIQDELKEKRYLLVLDDLWNNNQDGLLDDFFSTLAGLNAINFTRLQEVETILSRHPLINFTRHELGKLCGNDCWSIMKKWANVEEEVPKELEDMRELVLRRCDGLPLAAKLIGGLLYNKKRKEEWLSIFEESLLNGNPGGIEQIIKVSFDHLSPAPVKKCFAYCSIFHQDTELEQDLLVELWMAEGFLQPDSQNQTMEKIGCEYLRTLLQTSLLEEVKKERRTWYKMHDLVHDFAKSILNRNSSNQDHVKELPTSIGKLIHLHLLDISGSWIRTLPESLCKLYSLQTLRIGMLVEDFPKEMSNLISMRHLHYDDNFTRTEIQMPSGIGRLTCLQTLEFFNIGRQEEGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELAKLSEKPNLHRLVFEWGNRDRESNKCDEDVLEGLQPHPNLKELQILKFMGDQFPQWFMNLTLTSLVELRVKDCTRCRKLPALGQLPFLKSLYLTGLENTTCNGLSFYSSSTFSRQTFFPALKFLSLESMKNLEEWKDAPKMRSTAGEVHVMDVFPVLEKLSISDCPQLTTIPTPSRFPSLDVLEIKKNCHVLLAEKVLSNMANLSSLELSGGSYQRIESLELVRRPESSLSIVGCNSLPADTLERLCLFPTLQRVELTSADNVTTLRGMSCAACLKRLTAHFCKNLRELPEDLYQFQALEHLEIWRCDALTRLPVEMFESCTSLRKLNLVYCDSLVSFPLDLRRTPSLESFSLCGCLNLITEMPTSSSSLRHMSLHGMPDTKSLPHQLQCLTAITSLSLKHFGAIEALPDWHGNLASLDELILFCCHELEYLPSVDAMERLKLRRLEITICPLLGRRCTPESGSEWPKISNIPERVIINPVRSHLKILINSFSLLDHLILPVFVPHSFSP >CDP16805 pep chromosome:AUK_PRJEB4211_v1:1:4135536:4139764:1 gene:GSCOC_T00019321001 transcript:CDP16805 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLVFEWGNRYRGSDNCDEDVLEGLQPHPNLKELQILKFMGDQFPQWFMNLTSLVELRVADCTRCRELPTLGQLSSLQHLYLTGLENIRSIGLSFYSTTLKKLSLEGMKNLEEWKDAPEMMSTAGEVHVMDVFPMLEKLSIRDCPRLTTIPTPSRFPSLDVLEIEENCHVLLAEKVLTNITTLCSLDLRGAQRLNFDWALGLASSSSLRHVSLRGMYGAKSLPHQLQCLTTITSLSLFGFGAIEALPDWLGNLASLDELILHYCPNLEYLPSVDAMERLKLRRLEIEDCPLLKRRCTPQSGSEWPKISNIPEHRIDFRGTRLLLKL >CDP03701 pep chromosome:AUK_PRJEB4211_v1:1:35745941:35751156:1 gene:GSCOC_T00016160001 transcript:CDP03701 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAEAEQRNRAFLRAAFDGNLTLLKTFGKSHAGFKDPNGRTALHLAAAAGKTEICGYLIDQLKLDMDERDDDLGDTPLILAIVENHNSTAAFLIEHGAEIMKSNYKAFTPLHYAAEEGNKEILQLLISKGAEIDSNSESGTPLQCAALSGKGEAVKILLDNKANPNSVTQLYFPPLMLSIIARSFNCLDLLLKAGADPNLGSCGKTPLIAAACEGETEIINCLLKSGADPNARDNCGVTPLEHAAMRGEHAAVKVLFPITSRISSFPDWSFTGIMKHICSAKAGKQRDCRRREVFQMSKSKGEDAFKRKDYLDAIHWYTEANLADPADATIYSNRSLCWALLNQGSHALSDAEMCVKLRPMWAKAHYREGAAWLLLNDYPNASQSFSEALKFDPANKEIQKAHREAVEAEFGIPVSENMRILRI >CDP06873 pep chromosome:AUK_PRJEB4211_v1:1:27340485:27344130:-1 gene:GSCOC_T00023907001 transcript:CDP06873 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQQENEITGSSSTKKNMEISMAEASSMFPGFRFSPTDEELICFYLKKKVEGLDNSVDVIPEVDICRFEPWDLPGKSVIPSDKEWFFFSPRGRKYPNGSQSKRATDLGYWKATGKERNIKSGSTIIGTKRTLVFHTGRAPKGQRTEWIMHEYCMSGKSQDFMVVCRLRKNTEFHLDDSPRRKPQEGRHPSAANNNAAASSGIEQTDASEGALLGDCWSKECSSSNNSHSTDQIESGFECDDKVPNELSPYASSSHQKDCDSIEEDYFADIMKDDIIQLDDSAIYASPQQLQAVAPDPESQNKSKQPAEDTPSCSLPFQGTANRRLRLGRQKLEYNEAHPLNRYRVDKANLPPKLVSQADLQQPPKLLVRLFSNVGSERLSIFFIALIILAALFLYVQVG >CDP17067 pep chromosome:AUK_PRJEB4211_v1:1:37781215:37785251:1 gene:GSCOC_T00004978001 transcript:CDP17067 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCCSTRCLLVLFLISAVPIGYVIRLETAGKAGRHVYEYQSVGWLRECSKWDDVNSRFIVSLFEGGMGVVPILAEHHHPKASALEEIQVVKDADLAGNATLGFTIDRPRNRVVVCIADILGNRYSALAAYDITTWNRLFLTKLSGPEDEKAFADDVAVDEEGNSYVTDPKGGKIWKVGATGQFLGTIRNPLFTPHGWQNQIVGINGIIYHPNGYFLVVHTMAGQLYKVATNAVAGKEAGPVVRLVKLVEGGSLKFGDGLELLSPTKLIVAGNPTRLVETTDDWDTARVSGVTKGATHRIVTAATVKDGRVYLNHILGLGYPKRKHILMEAVF >CDP17109 pep chromosome:AUK_PRJEB4211_v1:1:37430599:37434127:1 gene:GSCOC_T00005028001 transcript:CDP17109 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYVSSASLVVTNALVLLSFFSTSLLLCNAAAYPPHHRHPSFASHNYRDALSKSILFFEGQRSGNLPPNQRITWRKDSGLSDGSAMHVDLVGGYYDAGDNVKFGLPMAFTTTMLSWSVIEFGGLMKGELQNAKEAIRWATDYLLKATAHPDTIYVQVGDANRDHACWERPEDMDTPRSVFKVDKNTPGTEVAAETAAALAAASLVFRRSDPTYSKTLVKRAISVFAFADKYRGSYSNGLRPFVCPFYCSFSGYQDELLWGSAWLHRATKNPTYLHYIQVNGQTLGADEADNTFGWDNKHVGARILLSKAFLVQKIQPLHDYKGHADNYICSLIPGTPFSQTQYTPGGLLFKMSDSNMQYVTSTSFLLLAYAKYLTQAQAAVNCAGTIVTPRRLRTIAKKQVDYLLGDNPLKISYMVGYGPRYPTRIHHRGSSLPCLAAHPAKIQCSSGFNFMNSQSPNPNILVGAIVGGPDQQDRFPDQRSDYEQSEPATYINAPLVGALAYLAHSFPQQL >CDP03611 pep chromosome:AUK_PRJEB4211_v1:1:36432716:36433379:-1 gene:GSCOC_T00016031001 transcript:CDP03611 gene_biotype:protein_coding transcript_biotype:protein_coding MASANFKLVISSIYPLVAVLLLLLSGGPTTCAAQGSFRPHALVVPVTKDASTLQYVAKVNQRTPSVSLNVVLDLGGRFLWVDCDKDYVSSTYRPVRCRSAQCSLAGNAGCGNCTGAPKPGCNTDTCALFPENTVTHTSTGGEVAQDALTLSSTDGSNPGPSATVPHCLLPSVSTRNSPFEEVIFGW >CDP06943 pep chromosome:AUK_PRJEB4211_v1:1:28040406:28043021:-1 gene:GSCOC_T00023995001 transcript:CDP06943 gene_biotype:protein_coding transcript_biotype:protein_coding MILRPSLRRLKILGHSEILVRTHYSVLSQAIAFDSDVSAEGAGPWEFYNAYEPFGESSKRDISHYNHMLFECSRNNSYIEALDLFKCMHRLGVLIERSSFSCVLKVCGCLNDVAVGRQVHCQCIKAGLLDDVSVGTSLVDMYMKTKSIADAECMFGKMEEKNVVSWTSLLTGFASNKLNDRAIEVFRMMLLDRIRPNPYTFSTILGALADDGAVGKGAQVHAMIVKNGVESTVYVANSLINMYSKSGMAREASAVFCGMEDRNAVSWNGMIAGLVTNGHDLEALDVFYRMRLSGLNLTQMTFAPAIKLCARLRELTFARQLHSRVIRHGFEFDPNISTALMVSYTKCGEMDDALKLFSVVQRGQSVVSWTAMISGYLQNGGKEQAVELFGQMRREGVSPNHFTYSAILTAHPVISLFQMHAVVIKTNYENVPSVGTALLDAYVKIGNANEAAKVFDMMEDKDIVAWSAMLAGYAQAEDTESAVRVFCQMAKEGVRPNEYTFSSVINACNTPTAAVEQGKQFHASSIKSGYNNALCVSSALVTMYAKRGNIESANEVFKRQKERDLVSWNSMISGYAQHGYGKKALMVFEDMRRKKLAMDNITFIGVISACTHAGLVKEGENYFDMMVKDLQIPPTMETYSCMVDLYSRAGMLEQAVALINGMPFPAGATVWRTLLAACRVHRNIELGKLAAEKLISLQPQDSAAYVLLSNLYAVAGNWKARAKVRKLMDLRKIRKEIGYSWIEVKKKTYSFVAGDVSHPLSDRIYMKLEELGIRLKDAGYQPDTNFVLHDVEDELKETILSQHSERLAIAFGLIMTSPGIQIQIVKNLRVCGDCHTVIKLISKIESREIIVRDSNRFHHFKGGLCSCGDYW >CDP07140 pep chromosome:AUK_PRJEB4211_v1:1:30074771:30080139:1 gene:GSCOC_T00024269001 transcript:CDP07140 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 3 [Source:Projected from Arabidopsis thaliana (AT3G45290) UniProtKB/Swiss-Prot;Acc:Q94KB9] MGGGGGAASHSLQETPTWAVATVCFIFIFLGILIEHLIHLVGHWLQKHRKTALFEAVEKLKSVLMLLGFMSLILTVTQRPISKICVPHRVAYSMLPCRKIYATKTTKVYENVWSKSQNFLPPVEFEDGFHHERILAAAASATSNSSDHCGSKGMTSFISPDGMNQLNIFIFVLAVMQIVYSVLTMALGRAKMRRWKNWEKETQTVEYMAANDPERFRFARQTTFGRRHMSACTETPTLLWIKCFFRQFFHSVAKVDYLTLRHGFISAHLSTNKSFNFCKYIQRSLEDDFKVVVGISPFMWFVVVIFLLVDVHGWNVFLWVSFLPLFTVLVIGTKLEVIVARMALRLQDQNSIIRGTPVVQPNDSLFWLNEPKFVLTLLHFTLFMNAFEFAFFIWVTLQFGIKSCYHERVEIIVIRVVLAVMVQVLCSYITLPLYALVTQMGSRFKSTVLDEQTVHALKKWHADVKKKRKNQADTRDPNHYNDYSSTTRSISTNSTPDVSSHRRMPTLAEFASGTGADEIVEEQPHCQQQEIVHQNKEDRILEVSEVSPSDNGDVQIQMPELINAIRQ >CDP17363 pep chromosome:AUK_PRJEB4211_v1:1:4442421:4447569:1 gene:GSCOC_T00009712001 transcript:CDP17363 gene_biotype:protein_coding transcript_biotype:protein_coding MDHESKGRTWIGNIYHKFEAICQDVDDFVTKDNAKYVKNQVQTVGESMRTLYSNVVLDFQSSLEDIKQAKVQSGTIAQNNALGNNTRYILADKEKQRHASERGSSKEQDKVDFRSCDPDEVDHLGQLSPPPSADLSQVVEKDLHRREMSDAAFQNKIEMVLEENVKREDYLPTSNLNYPKDENSSELPLYWREQHQPTDNLEFPVDNYLYGPLDTFNEGDQRKSFQAEFSPKVLVEDVELRTSREDYMKCNGTDFSEETETYLVFEQDGEIAISKESKICAEDNDTVQERPASEHASSSEAKNLWELLWTQEQPDCYDSYALKDRSTPMRCSTDDDKKSSDLGKCSPEILVPDNVLGIDPVEHLADSNADPAEEAVKNLALEHNGSVGSTKHGMGLKENGRKENLVVDDRSYSSYGSSFDFSSHPREKHSKAADSNSLLDKGLCESLHVSMNDEYWPTIQAKSSPETSLNDEGLRASEEEDGEYNRPSCSNETETESDFSLDGYCSCMSSNFDVEDNGFGEKHAAPEVLGCPGHNSIFESYLCSSKLQVENDDFSSQNGESSPGLSPISRKEDHIITNSANFSPVSSVNDAGVRAFLKNETICNSLSDVLSTNLSSELVSSDTCWELKVAQTESSNYTASTELLDLLELPQANSSQKIEEVCFDDTDSTDCSSALSSISCASFSGLTSGNTAVSGMPAFPMTTYQQPFTGIFDDSVNDIDDAEMETIDLSDEVKVEDSCVVMDNEILHAVSCRPRKFRSFKKLIQEAFASRRRLIKEYEQLSIQFGDIEADTSFHSEQFLSPYMPSASRPSQAHDLGESEWELL >CDP09679 pep chromosome:AUK_PRJEB4211_v1:1:24245901:24246929:-1 gene:GSCOC_T00030098001 transcript:CDP09679 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLYFHLVMQFDLSTSANTLTIFLGSTFLLTILGGLISDTYLNRLHTCVLFGILEVLGLLLLYIQAKSHKLQPDPCGKSSCVKGSEAVMFYCTIFLLALGAGGVKGSVAALGADQFDQKDPKEAKALASFFNSYQFSITVGSIIGVTIVVWVAMNRGWHWGFLISLIATSIGVVILALGKPFYRIQAQGASPLVKISQVIVAAIRNRNVPLPQDANELYEIEDNDAISHTSQFRYCIRIIIKLASLTLKFSNNLNFQTDC >CDP17672 pep chromosome:AUK_PRJEB4211_v1:1:5389412:5390275:1 gene:GSCOC_T00013213001 transcript:CDP17672 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIPNPKFSSHSRSISLPSSSHPLIVTAEEHLQKLKSSEGASSTSHSLACQKLDGLKNLYEYLDDVLQPPLSQQALSNERLGTWEEEVLDGSLRLLDICGALRDIYLQTKESVQELESSLRRKRSGNLDNEVCSYMICKKNLNKMISKCYKELKKAEKNCNLAVVNKDSAVLNLIKEVQVVSLPVLESVLSFLSGSKAGSHPRGWFLVSKLLQQKRASQGGDSSIAAIEQIEIQLHLLNKNKSNKDVLEKLEGVGSSIEELAEVLEIVFRLLLKTRVSLLNIVNH >CDP07109 pep chromosome:AUK_PRJEB4211_v1:1:29754327:29757522:-1 gene:GSCOC_T00024227001 transcript:CDP07109 gene_biotype:protein_coding transcript_biotype:protein_coding MWHPKKNADLLQINVPPAVLVRFLREHRSEWADFNIDAYSAASLKAGSYAYPGMRPTRFTGSQIIMPLGHTIEHEEMLEVIRLEGHSLGQEDAFMSRDIHLLQMCSGVDENAIGACSELVFAPIDEMFPDDAPLLPSGFRIIPLESKSGDAHDTLPTNRTLDLTSSLEVGPASATTAADASSCYNARSVLTIAFQFPFENNLQENVATMARQYLRSVISSVQRVAMAISPSGVSPTVGPKLSPGSPEALTLAHWICQSYSYHMGAELLRADPLNGDTVLKNLWLHQDAILCCSLKSLPVFIFANQAGLDMLETTLVALQDVTLDKIFDDTGRKALFAEFAKIMQQGFAYLPGGICMSTMGRHVSYEQAIAWKVLAPDENTVHCLAFTFVNWSFV >CDP18574 pep chromosome:AUK_PRJEB4211_v1:1:272605:277291:-1 gene:GSCOC_T00012404001 transcript:CDP18574 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSRFNFSHGSHDYHQETLDNLRSAMDNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYSIKGDENMICMSYKKLAEDVKPGSVILCADGTISFTVLSCDKEQGIVRCRCENTAVLGERKNVNLPGVIVDLPTMTEKDKEDILKWGVPNKIDMIALSFVRKGSDLIEVRKLLGEHAKTILLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFLAQKVMVYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPDLAVRTMAKICIEAESTIDYGDVFKRIMANAPVPMSPLESLASSAVRTANSAKAALILVLTRGGSTAKLVAKYRAGMPILSVVVPEIKTDSFDWSCSDESPARHSLIFRGLIPVLCAGSARASHEESTEEALDFALQHAKIKGLCKEGDPVVALHRVGTASVIKIVTVK >CDP07121 pep chromosome:AUK_PRJEB4211_v1:1:29922186:29927162:-1 gene:GSCOC_T00024240001 transcript:CDP07121 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATPTETLSPPVNQQDSSNHVEPIEAPPELTPKTDSDTTAEKRKREDPDPESSPNKDREREIHPFWKTSLCSYFRRSKGSCSHGDTCRYAHGELELRPRPDNTWDPTSERAKKMARIDEGGGTGKEDRNDCMMTEAFADGEEGGGSSEAGLAKCVVNLPMKWTSDNLRNFLDENGISFKSAKKKKGMVVGFVSFESAEQVNSALKELDGKSIGSKNLKIGDVIQRSFDKKNKVAVPNAQEHDQNTVSVNGTSDIHESSIDTENGEPTDECSAPNNSDSKGRTARDVVTPLAHMPYADQLEHKKKSLTQTLKRLTRNARKACPNGVPLPEWVLKSREIGGLPCKLEGIIESPLVNEYRNKCEFSVGYSLQGKPTVGFLLGNFREGVTAVQEPVDCPNVSRIASRYAAIFQEFLSHSSFPIWNRLNNMGFWRQLTVREGRKPGKSAEVENSDTTVSEVMLMVQVCTTGFDDEKVNDELQKMARDFSSRATAESPCLPLTAIVVQDHKGISNAAPAEAPLHSLPIPRECSDSGVVAPYDGVEARIHDYISNLQFSISPTAFFQVNSLAAEKLYALAGEWAGLGPDTLLFDICCGTGTIGLTLAHRVGMVVGIEMNASAVSDAERNADINGIKNCRFICAKVSLAQFLYLYVFF >CDP03508 pep chromosome:AUK_PRJEB4211_v1:1:37200118:37200668:-1 gene:GSCOC_T00015904001 transcript:CDP03508 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVRMLLQDAFGESSDGEGGDEAQERKSQDLNKLSIFGEIQNWERIDQIKGLWLCRDFLSPDQQSSLLSAIQKGTSINFRIKLNLFEFD >CDP03542 pep chromosome:AUK_PRJEB4211_v1:1:36971329:36974947:-1 gene:GSCOC_T00015945001 transcript:CDP03542 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSSFGRSLQAMGVPPLPKNRNATCGQHREEMLFQLRQMSKLEPNINCGQADVEPLVGFQLPVPEQNLQFGQKDESLDHNSELVLGSSYEASAFQWGSDKVEDEVQDISTLLGENIELNHIVPGKDSGVGDSPGPLRGSHEEQMHPPAAETLQTLPRPAIRELNSQERGSAISRYREKKKNRRFDKHIRYETRKVRAESRTRIRGRFAKMAR >CDP13087 pep chromosome:AUK_PRJEB4211_v1:1:2674262:2675079:1 gene:GSCOC_T00037864001 transcript:CDP13087 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPIVAEEEEQLRRPCPRLKVGRIHLRRLEEAIDYVNEQLPSDDSSVPAVRLIVAINSTL >CDP03531 pep chromosome:AUK_PRJEB4211_v1:1:37044024:37050476:1 gene:GSCOC_T00015932001 transcript:CDP03531 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKQLSSSASHFLSHNTRSSSSIKSAKLLSHTYRTLNPKFSSPSRPLPIPSHRRRLHSFRILAMAEQSSQTTSTSQQQSHRHTNRLAAEHSPYLLQHAHNPVDWYPWGEEAFAEARKRDVPIFLSIGYSTCHWCHVMEVESFEDEGVAELLNDWFVSIKVDREERPDVDKVYMTYIQALHGGGGWPLSVFLSPDLKPLMGGTYFPPEDKYGRPGFKTILRKVKEAWDKKKDMLVSSGAFAMEQLAEALTATAGSNKLPDGLPQTALNLCAEQLAESYDSKYGGFGSAPKFPRPVEIQLMLYYSKKLMESQKPNEAKKALEMSVFTLQCMAKGGIHDHIGGGFHRYSVDECWHVPHFEKMLYDQGQLANAFLNVFSITKDMFYSRVCRDILDYLRREMTGPNGEIFSAEDADSAEFEGASRKKEGAFYIWTSEEVDKVVGEHATVFKDNYYIKPSGNCDLSRMSDPHNEFNGKNVLIERNSTSAMASKYGMPVEKYLDILGTCRQKLFDVRSKRPRPHLDDKVIVSWNGLAISSFARASKILKCESQGGRFHFPVVGTDPKEYIEVAEKAASFIRKHLYDPHKQRLQHSFRNGPAKAPGFLDDYAFLISGLLDLYEFGGSIFWLVWAMELQDTQDEVFLDKDGGGYFNTPGEDPSILLRVKEDHDGAEPSGNSVSAINLIRLASIVSGSRSDNYRRNAERLLAVFEKRLKDAAVAVPLLCCAADMVSVPSRKQVVVVANKASGEFDSMLAAAHASYSPNETVIHIDPTDEEEIGFWEDTNSNIALMAKNNFSSDKVVALVCQNFTCSPPVSDPKSLESLLSQ >CDP13135 pep chromosome:AUK_PRJEB4211_v1:1:1817760:1818655:-1 gene:GSCOC_T00037968001 transcript:CDP13135 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEKLSHFRFYWHDILSGTTPTSVRVVAPPKTASFTGFGAVNMIDNPLTLGPNLSSKVVGRAQGFYASASQEEVGLLMTMNFAFTEGKYNGSTITVLGRNPVFNKVREMPVIGGSGDFRFSRGYAQATTYFFDPKSGDAVVEYNVYVMHY >CDP09059 pep chromosome:AUK_PRJEB4211_v1:1:31408946:31412816:1 gene:GSCOC_T00028243001 transcript:CDP09059 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTTDEVKKIVSMLNEAEVPSEDDVDVVVSPPFVFLPIVKSLLRPDFSIAAQNCWVRKGGAFTGEVSAEMLVNLGIPWVILGHSERRLLLNESKEFVGDKVAYALSQGLKVIACVGEALEQRESGSTMAVVAAQTEAIADRVLNWSNIVLAYEPVWAIGTGKVATPAQAQEVHSELRKWLHENAGAEVAATTRIAATTRIVYGGSVNGANCKELAAKPDVDGFLVGGASLKPEFIDIIKSSTIKKSSL >CDP15761 pep chromosome:AUK_PRJEB4211_v1:1:16555894:16557150:-1 gene:GSCOC_T00015825001 transcript:CDP15761 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNATTWPEQELENLKAIKVLGKGATGTVFLVHHKSNDPAARCPFALKVVEKSSLSIKPNAESRARREISILNRLKQQPSLHSFLPYLLGTFETDDYLCWATPYCPGGDLNVLRFRQFDHVFSPAVIRFYLAEIICALEKLHSMGIVYRDLKPENILIQHSGHLTLTDFDLSRTLSPKKMETLLFTSDPEGEPSANIRKQHHRHRRNLTRFITLKMEKNKGLGSNKGLKKAKSARVSPVSRRNPTLSAERTNSFVGTEEYVAPEIIRGDGHEFAVDWWALGVLCYEMLYGTTPFRGKNRNETFWKVLMMQPEFIGKPNSLTDLIGKLLEKDPTRRLGYQRGASEIKEHEYFRGLRWDLITEVLRPPFLPYRDETELTELSKGGTDIRDYFQKLKVPPSPSWSPSLDECRHNVSLTEF >CDP07038 pep chromosome:AUK_PRJEB4211_v1:1:29032301:29036218:1 gene:GSCOC_T00024132001 transcript:CDP07038 gene_biotype:protein_coding transcript_biotype:protein_coding MDFERIETEVKIHVQKWVGEAQLLIQRTPPAQLYVAVAVVVFTMLLLILIKLFKRKTSNTIVLTGLSGSGKTILFYQLRDGSSHLGTVTSMEPNEGTFVLHSETTKKGKIKPVHIVDVPGHSRLQAKLDEFLPQAAGVVFVVDAVEFLPNCRAASEYLYEVLTAASVVKRKIPLLILCNKVDKVTAHTKDFIRKQLEKEIDKLRTSRTAVSEADVTDEYTLGTPGEAFAFSQCHNKVVIGEASGLTGETSQLEDFIRNLVKP >CDP03638 pep chromosome:AUK_PRJEB4211_v1:1:36222240:36223319:1 gene:GSCOC_T00016065001 transcript:CDP03638 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFPPSQSLTPCHAALPSFYFRPPPTSTTGAPPPPVPILHFSCFNYSLVTSLLSPKLTTTSISANKPFKPCAATKSNRSHDPRESSFFDEDGVVRDMDGYLNYLSLEYDSVWDTKPSWCQPWTITTTGVLLIAGSWLFLRSLIVTAGVMGLVCAWWYIFLHSYPKAYLEMIAERRKRVTSGVEDTYGLGRFDREAGEGDAGPSTK >CDP09132 pep chromosome:AUK_PRJEB4211_v1:1:31905663:31906429:-1 gene:GSCOC_T00028338001 transcript:CDP09132 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLFLVFSLLLQGAFGELICEELPAEMCAFSISSSGKRCLLETYAPTDATTTYQCKTSEVAANINMNGHVETEECIKACGLQRNVVGISSDTLLDSGFASELCSQDCSENCPNIVDLYNDLALAEGLNLSEMCKALKNSPRRIMAQVRSSGPASSAAAAPFAAEALNPASVESLYSAAWAPAPLLN >CDP03674 pep chromosome:AUK_PRJEB4211_v1:1:36007587:36010172:-1 gene:GSCOC_T00016112001 transcript:CDP03674 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLYPVKEEYPDQYHAGSSSSPPGTLAPQPMEGLHDAGPPPFLTKTFDMVDDSTTDHIVSWSRGGHSFVVWDPHAFSTALLPRYFKHNNFSSFVRQLNTYGFRKIDPDKWEFANEAFLRGQKHLLRNIRRRKAPSQPTSPQQALGPCVEVGRFGLDAEVDRLRRDKQVLMMELVKLRQQQQSTRAHLQSMEVRLQGTEKKQQHMMSFLAKAMQNPEFIHQLIQQKDKRKELEEAFTKKRPRPIDQGPGGGESSRSGEVRNHVKAEPSEFGDPYGYQVSELEALALEMQGFGRAARRDRDEEQDELDKELDEGFWEELLNEGFDEEGETGKEGGGEEDVNVLADRFGSLGSSPK >CDP03737 pep chromosome:AUK_PRJEB4211_v1:1:35464950:35466247:-1 gene:GSCOC_T00016211001 transcript:CDP03737 gene_biotype:protein_coding transcript_biotype:protein_coding MEANRSLFQFHDSIILDCPFESSSSFDSPQPHSFTPSSSSSSLSTSPSSSSWDEVLFQHNCDFLPFNFNDSQDMFLFDVLAEGAGASARDASLRQSSSETNSNSNSNSNSSGGGVREEEVTSNVTKETNKEKSYRGVRRRPWGKYAAEIRDSTRKGIRVWLGTFDSAEAAALAYDQAAFCMRGPMAVLNFPVDVVQESLRDMKCGSEGGGGGGCSPVVALKQRHSIKKKKSSIRNHKIAREAAAKVENVVILEDLGAEYLEELLNSSSEIPAAL >CDP15625 pep chromosome:AUK_PRJEB4211_v1:1:26931927:26932770:-1 gene:GSCOC_T00015545001 transcript:CDP15625 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASNSKLLIRIQHIIVVESENREYVVMYFLILNYQCSCGLTGTTILAAVENTVDQHTEVVHSEYPETQFLKSNKFSFAIVVVGELPYCESFGDDKKKELQMNSFQICGLWKKSTITISGRPLVIEPYLPKIDALVAAWLPGTEGQGVADVLLGDYGFTGRLAGTWFKTVDQLPMNVGDAHYDPPFQFGYGLTTLSAELRSSE >CDP06931 pep chromosome:AUK_PRJEB4211_v1:1:27926914:27929558:-1 gene:GSCOC_T00023982001 transcript:CDP06931 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRRFFGMMIGCLGLSDQPTLPSPSEAAETQPLDLAEVNKSPRIRLSDGRYLAYREVGVPKDKSNFRVICVHGFGSSKEMNFLAPEELMDELGIYFLLFDRAGYGESDPNPKRSVKSEASDIEELADQLELGPKFYVMGVSLGCYPVWSCLKRLPHRLAGSALIVPMINYKWRTLPKDLVKDDYRKNLCRWIIWLLRHTPGLLHWWLTQKVFPSSNVLDKNPAFFSSKDLEFLSNTKGYQLFAQNGFKDRHTFESIRKDCIVAFGRWDFEPMELTNPYAENESSVHIWQGCEDKVVPVKLQRYVSERLPWIRYHEVPDGGHMLVYDSSVCAAILRSLLLGEDPPEYKPY >CDP17061 pep chromosome:AUK_PRJEB4211_v1:1:37833995:37838757:-1 gene:GSCOC_T00004971001 transcript:CDP17061 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHSAQLLLVLSSSITGLLRKVHWLMMLGQVLICFFILSFTNADSRDNPWEAQVLSPVEMPLAPPAMPALPLPANRPLFHRSRWKNISPFGAPVLGLAPSPPPPHYGPFVTSHHHPPSSSRLSKSSMKNGGLNPPSGFSPPRFAQIAPVQSGALPAGLAQPPLSPHTSDCCGPDMVHKRGTQDCQCVYPLKLDLLLLNVSLNPNWNPFLEEFASQLGLQVSQIELINFYVLGLSRLNISMDITPMKGISFSATEAAQINSSLSSHKVRLDPALVGDYHLLNLTWFMPSAPSQAPLSHVPPAEAPQQLHSRPVASNSSNKGNHSSLVLVIAIGSGILIIAIISIFVICLCATHKEKRRTSVKEPAKMRTADAAPLAGSLPHPPHPTSTRFLAYEELKGATNNFEPASILGEGGFGRVFKGVLSDGTAVAIKRLSSGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRASSQNLLCYELVPNGSLESWLHGPLGVNCPLDWEARMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMAQPSGQENLVTWARPILRDKDRLEELADPRLEGKYPTEDFVRVCTIAAACVAPEASQRPTMGEVVQSLKMVQRVAEYQDSALSSNSRPNLRQSSTTFESDGTSSIFSSGPYSGLSAFDNDHHSRTAVFSEDLHEGR >CDP09248 pep chromosome:AUK_PRJEB4211_v1:1:32801770:32802575:1 gene:GSCOC_T00028491001 transcript:CDP09248 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPTPLMIETLANILFRSFASISYGRLKSKMNAVTSSPHDFLEDNAGVGSDSETNTDELPEYYQPISAEAGEDEEELDLFDQQNSNSDDDSGSDHRLPNGYVHCMENGVSSLDLSSDDEGEREQKEEEEDEELRMREESDVAIQRAFREDENRRNAPLTPENAVRVMEAMRGVSFAGLSPDWAGRIPEDQWIDQLRQIRRPPPAGSTVED >CDP06996 pep chromosome:AUK_PRJEB4211_v1:1:28639579:28645327:-1 gene:GSCOC_T00024072001 transcript:CDP06996 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAKVTGFRREGNDWFYNATLPSDITVVVDGVNFHLHKFPLVSRCGRISKIIEESEESTDGNFITTFEDFPGGSETFLVIIKFCYGGRVEFTARNIVMVYCAADHLEMTDEHGEDNLLSKSEGFFHNNVLKNWKDCLLALQSCEPVISRADKLQIVSRCLNAVSVMVCTDPSLFGWPMLMYGSLQSPGGSILWNGINTGAKIQSTMESDWWYEDISYLSVHLFERLIHTMEGKGIKPENLAGAIMHYCRKYLPGLGRWQGGQSGKPRTVASFSMTPAAVDQKVLLESVTKLLPEKKGTLFCRFLLGLLRVALILGVNHTCQDSLERRIGVQLDQATLDGLLIPCYSDSDTLYNTDCVERMIHHFMSSESRLTSLSPSSFDLETSPSSGPLKRVAKLVDGYIAEVASDVNLKPNKMRLLAEALPESSRSLHDGLYRALDIYLKEHPWLPEKDKEQLCNIIDFRKLSIDACAHASQNERLPLRVVLQVLFFEQLQLRAALAGCLHVLDAETAPPVPMTVPSDMAGQILQRDGWMTVARANQVLKVDMERMRSRVGELEEEFTKIKQEMKRVAKSYSSISSPRFIARRMGCNLLPQSSEAQTDVVESTAPTPRASGEHGRLSLQSRHRKSFSLF >CDP13146 pep chromosome:AUK_PRJEB4211_v1:1:1691456:1692160:1 gene:GSCOC_T00037986001 transcript:CDP13146 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSTTCFLFAISLQVNIALHVLTRPVNFNAWALPSRVHDTLKAVVAHYSASQLITQRETVSQEIRGLLARKAASFNISPNDVSITCLTFGREFTAAIEAKQIAAQEAETAIFVVEKAK >CDP17663 pep chromosome:AUK_PRJEB4211_v1:1:5227252:5230544:-1 gene:GSCOC_T00013200001 transcript:CDP17663 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDIVRNLKGAGPVAEKIKQLFDFQQRRDKQLRISSKCGWLSALEVLVLVLVLSDWNFWTLVGELSRSLQAILIEAFFHGCM >CDP03544 pep chromosome:AUK_PRJEB4211_v1:1:36958722:36960991:-1 gene:GSCOC_T00015948001 transcript:CDP03544 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGGRGLSLQAFARTNHYNPALIKKQREFYKNAKYVRKFKRSLGQHEQQMTARHLEERNETEEATDLDHNIKKRKKNSAKSLRDLYEEKRQEAEKARLEREASIQAKKKERQRAEARRKSLKKKMFKKTKSGQPVMKHRIEHLLQMIQGSTS >CDP08490 pep chromosome:AUK_PRJEB4211_v1:1:22240361:22248848:-1 gene:GSCOC_T00027415001 transcript:CDP08490 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPFPPISCKIFPNQSQNFPVLPLHLPYSSSVHSLFRLKSSASSSRKVSSSSSSPSTSSVSSVSDTLVEEEVLQSPNPESVEVSQKNPSSKLVLVAGGSGGVGQLVVASLLGRNIKARLILRDPEKATSLFGKQDEAKLQIVRGDTRNPQDLDPAIFEGITHVICCTGTTAFPSRRWDGDNTPERVDWEGVRNLVSALPPSVKRIILVSSIGVTKCNELPWSIMNLFGVLKYKKMGEDFVRNSGLPFTIIRAGRLTDGPYTSYDLNTLLQATAGERRAVLLGQGDKLVGEVSRLVVAEACLQALDIDLTEGQIYEINSIKGEGPGSDREKWQELFKSAHTQSLQKQS >CDP09674 pep chromosome:AUK_PRJEB4211_v1:1:24178667:24179882:-1 gene:GSCOC_T00030087001 transcript:CDP09674 gene_biotype:protein_coding transcript_biotype:protein_coding MKFESTINCKPYYLEPHSFVLNIIDQRACPLTCIPHLGEERGLGSADLVSFSLELSFHATEEVLSKNVWFHQDLAGLGRVKLQQGRKESWFIRIKLATNLSISLSDTSSRKQGYVVVETNFRMYAYSSSKLHCEILRLFSRCEVTVLA >CDP03793 pep chromosome:AUK_PRJEB4211_v1:1:35043480:35048805:-1 gene:GSCOC_T00016277001 transcript:CDP03793 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPFAFIKAKYFKPSFFQCYACRCVLIFLTIYLSGKDGPFFHIRGASLFQRGVVKLYERCLIACANYPEYWIRYVRCMEASGSMDLAENALARATQVFVKRQPEIHLFAARFREQHADVAGARSAYQLVHTEISPGLLEATIKHANMEHRFGNLEDACSLYEQAIAIEKGKEHSQTLPFLFAQYSRFLFLVAGKVEKARDILDQALENTLLSKPLIEALIHFESVQSLPKRMDYLDSLVDKFIVPTADNPNVASITEREELSSIFLEFLDLFGDAESIKKADDRHAKLFLPHGSSPESKKRLAEDYLISDKTKLAKSSLPSSAPSVMGAYPNAQNQWPAGYGLPQGWPQVAQGQAQQWTPGYAQQSAYSAYGAYGTGYPQQQVPASVPQNAAYGAYPPTYPTQAFGQQSYAQPATAATLTPAPQSSAVPPTAYYGSYY >CDP06906 pep chromosome:AUK_PRJEB4211_v1:1:27593615:27596177:1 gene:GSCOC_T00023948001 transcript:CDP06906 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRGDPSFILNTQSGQLPEGDETFLLSFTLSLKSSSDVAGAPKNWKVHNLVRNLVLILFL >CDP17100 pep chromosome:AUK_PRJEB4211_v1:1:37494478:37494693:1 gene:GSCOC_T00005019001 transcript:CDP17100 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVGTHEYLAPEIINGEGHGSAIDWWTFGNFHYELLFGKIPFKGSGNRATLFNVVEQPLQFPVSCHHIFG >CDP08992 pep chromosome:AUK_PRJEB4211_v1:1:30914376:30917212:1 gene:GSCOC_T00028154001 transcript:CDP08992 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIVVFHHQLVPRISVGQRYSFRTVGAGVGLGIYGKRPCVSTCWAVGVPGGLSDESSSSSQINPNGSLFGASDEPSSTTFGALDAEITPETIDFFVSDAEGDPDCPTAGYSSIEQALNMLRKGKSVIVVDDENEEIEGNLVMAASYANPQAVAFLLKHGSGIVSVGMKSDDLERLQLPLMSPENEDDSSAPSFTITVDARVGTTTGVSASDRAKTVLALSSPSSRPEDFRRPGHVFPLKHRNGGVLRRAGHTEASVDLVMLAGLRPTSVLSAVVDGEDGSIASLPCLRKLALEQNIPIVSITDLIRYRRKRENLVERTAISRLPTKWGLFQAYCYRSKLDGTEHIAVVKGEIGNGQEVLVRVHSECLTGDIFGSRRCDCGNQLDLAMQLIEKAGRGVVLYLRGHEGRGIGLGHKLQAYNLQDQGHDTVEANLELGFAADVREYGIGAQILRDIGIRTMRLMTNNPAKFIGLKGYGLAVVGRVPVLTPITEENKRYLETKRAKMGHIYESGERGLLDAFINPDIDENDPPEEIQRK >CDP04082 pep chromosome:AUK_PRJEB4211_v1:1:32945437:32946005:1 gene:GSCOC_T00016623001 transcript:CDP04082 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDKNFFKKTVNSIFSSGTGEFDEEEVYEKTPKDLNINVEKAKRLVHDLARSRLSNLLIQAMALLRQRNHAGVVSSLNYLLAYDKAVPSTSLTWEVPEELVDLYVIYLKNDPAPEKLSRLQYLLNISDSTAETLRAMKDRTLPNGNAAAGEEEFVF >CDP06885 pep chromosome:AUK_PRJEB4211_v1:1:27459292:27466352:-1 gene:GSCOC_T00023922001 transcript:CDP06885 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILVQRGSAGSSSSSNQSRPSASASAPSCSSSTPAPAQSVVANNNNNYQNPVVSAEKDDELAIEAQEKNVVDDCSDISGAFESKGVSNDDLSPTSLDSNENEADEKTVENESLPRDDFTSTGDLLKDFGGLSVVEEEIEGLVGSSLQMVTGSTYPPPPPVPPPRPSSMNSNLRRSASGTSSAVRVGSSRRTAGWPTVSTRTSPTGSRPSSPRSHCESEGYNSADEQSPCFGSSYDDTERERQFEMDIRRDKGLEVKKMLEDGNCLFRAVADQVYGDSELHDLVRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYTTEPINIFHGNYNTDTPPIRLSYHHGNHYNSLVDPRRLTVGAGLGFSSLRGTNVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYLSNNTFKQQLGHRESSTSGAEPSSSGARSSISETKKEGGRDSALPECVLSSSMQIVLSMGFSYLQAIEAYSIFGDDVDSMVYYLVETSSSSSSRRKGKATE >CDP08467 pep chromosome:AUK_PRJEB4211_v1:1:21532902:21533841:1 gene:GSCOC_T00027375001 transcript:CDP08467 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLLLLHWGAVYNSYKEQETRPCKNLGLVLDFLVLFGFHWISKMGSHEGNIINGNGVFGRDMGMSVLPLGTKNKYKRMDSQLTDGGDDDLHHQVELENRRKTTRKFVFACAVFASLNNVLLGYGKIYVFSHSCVYFVQ >CDP08989 pep chromosome:AUK_PRJEB4211_v1:1:30893980:30898523:-1 gene:GSCOC_T00028149001 transcript:CDP08989 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MAPLKSLESEYPIIDSDFRQFCASHAIFSVEDFLVHDIYVLMALAEEHDTSDRLKQAITQVLSIIDAQHQPWLDGLQLLGYSQKSKSILSTGCERIDAFLRGGFQEGVLTELVGPSSSGKTQVCLLAASNVTKSGLGRVLFLDTGNSFSPTRVAQFVSSSSELADTESSKILPQVLDNIECRSVFDIFTLLDVLHQLLQNLRYQVGQNLRMLIIDSVSSLITPVLGGAGTHGHALMASAGFVLKKLAYEYNLSVLMTNHMVAGDGGMIKPALGESWKSIPHIRLLLSRDVLNNICSMSILRHPSMAIGERVEFAIL >CDP07122 pep chromosome:AUK_PRJEB4211_v1:1:29932432:29934724:1 gene:GSCOC_T00024241001 transcript:CDP07122 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVLKWQMLRGTLMKRMFVKAFALGSALLVLSIIQMTHDARKMEPILLNLDACPLNFGSSEYYNFSEFSNPLSVFRFSLYGGFRMLSKETENLANNVFKELMGKNLLDPNSKTLCVGEGSASAVSALRELGFRHAVAVGSHPSFSLLKRRFVCELDFADNSFDFLFSRALDGVSVPALLVLEIERVLRPGGIGAMLVGAHDFYSGSLIRSATPVSLYLKSSDIIHVCGVGSNALVIFKKRFENAAFFEQFQLPNECPAITNNKPFMKFIEPLTDEKSLRTKREVSYLPKFLNTSTRNRLIHINVGADESTNLSIYELFKPYSSFGKRAFSVFLMDHNTSVLSSHIKTPGITFIYHPGLAGENAAPELDSDEYLSAPMDIEGFDFARWFNETVEDDDFVVLRINTRTLKLNILGELFKTGVICRVDELFLQCSESLDCKGAICEDCMSLFRSLRNSGVFVHQWLGD >CDP03999 pep chromosome:AUK_PRJEB4211_v1:1:33619918:33630766:-1 gene:GSCOC_T00016522001 transcript:CDP03999 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP5 [Source:Projected from Arabidopsis thaliana (AT2G40930) UniProtKB/TrEMBL;Acc:A0A178VRF5] MTEASPKLTPEEEKLTIRDITVSAEAQTKEGDTFYLLNQRWWQEWLEYVNQSPATNTNNGSSFEHHDSVDSSSLKGPSSIDNSDLLYEVTSDKSTPRTELHETLVEGTDFILLPQEVWNQFCAWYGGGPPVARKVINSGVSKTELSVEVYPLRLQLHLMPKGDRATTRISKKETIGELHKRACEIFDLNPDQVYIWDYFSHRKHALMNDMEKTLDDANIQMDQDILVEVIDNNIGSITSSLRENGTADNGTLAFVEPSQSGFSIAGGLSASKGSSRNGGSLQNLGSVRETDKTYGSIGVSTRGSSCGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYYQEINRQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSKDADGRPDEEIADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCNKISVTFDPFMYLSLPLQFTSNRSMTVTVFTCDGSAPPAAYTVAVPKQGRCRDLIQALAIACSLKHNEKLLLAEIRGHNIYQYLEDPLISLASIRDDDHLAAYKIPKLVKNTKFLQLIHRREERETGGAQGAMSWKPYGTPLVSSILCEDVITSDDIQSIVHTLLLPMLRAENVGHPSLTSSRTSAAASESSRAAGLTEECTETYVSGRHGNGGSSRARNLQKLPLVFVDENNVCIDLSVGEDKALKYSSSSPSILVFIDWSKKLLKNYDTHYLENLPEVSRYGPTAKKARTEPLSLYTCLESFLREEPLVPEDMWYCPRCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIHDFDLTNYVANKNNSQRQLYELYALTNHYGGMGSGHYTAHIKLLDENRWYNFDDSHISPINEEDVKSAAAYVLFYRRVKADKAILSIGAS >CDP09018 pep chromosome:AUK_PRJEB4211_v1:1:31096103:31101755:-1 gene:GSCOC_T00028187001 transcript:CDP09018 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVISGSSAYLRALTQEIEKKLQRALASAPQRRNLLQELFADIALEVDDRARDIIFRREDVSSVAEDYTEGPICFYNVLADYFVCMPQNGKPILDLIVQLWSQSFASNIFTLLFHKWLFEVQLENPETLLRYSSALVQGATNVFWIDIQSNTRRFQSLFWYLLEDVALVPERLKKIPLQAQRDLFLLLSRFIFFYNAAEKLESFLKQFPEMPNAFLIGGPADVFVTEIADLLLKLKVELALLHYLSQIKVLQGLELRMTTSTRLKTCLYSFTSPGGPTYPTRAVRHAAWDALDVLFPVGRYLRHLISLFFRLLYPCYWPSSCWNFIVSCVQGIFYSLLRLIFSSWDKLNKENQE >CDP16809 pep chromosome:AUK_PRJEB4211_v1:1:4157787:4158403:1 gene:GSCOC_T00019327001 transcript:CDP16809 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGNFSTQLTRSTRELVQVCKICLGSRVHVFGQRTPTFLFCSYENVKREELFSLISQFVEMMSGLCQACRK >CDP09269 pep chromosome:AUK_PRJEB4211_v1:1:17350029:17352002:-1 gene:GSCOC_T00028544001 transcript:CDP09269 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTLQLNSDDSQPPSNSLAMSNPEKTLLQSNSNDSTTNISHLLFAILGSEGAWHHRKGYGEAWWRPNVTRGHLYLDTAPEGDLLPWSSASPPYRVSENIEKLIKEIAPAVPPIIRLVHTILEAFKEGDKEGFRWLVMGDDDTVFFVDNLVDVLAKYDHTDYYYIGCQSDYVKSNFWFSFNMGFGGAGIALSYPLAKALAKDMNGCLRRYAHMNTFDIIAMYCISDLGVNLSPQKGLHQVDMNGDISGFLSAHPKTPLLSLHHFDEVEPIFPGMDRAEAIRHLMKAGNIDQSRLLQQTICFHRKHRWTVSISWGYSVNLYERIIPRSWLQIAIETFQKWVAPNADPPHFMFTTRFTENDPCETPHVFFFESMEKMPGNVILTSYYRSRPRELPPCFASLPADYVSHVHVYSPATKRRQVSTRLYIFSAFNFP >CDP11057 pep chromosome:AUK_PRJEB4211_v1:1:9148320:9149051:-1 gene:GSCOC_T00033052001 transcript:CDP11057 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRYRSLCAYNGDDDLFSSDLSEEQLRQRLGHMSHTPCQCHYNIRVLQIIFSKADECVPEYVDKKAFVERLCRVMNGAEKVEIEWGNHALSNRGWDDPWS >CDP03549 pep chromosome:AUK_PRJEB4211_v1:1:36923777:36930765:-1 gene:GSCOC_T00015953001 transcript:CDP03549 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNALFGTGIGSDVDQLYSITLFVALLSACMVIGHLLEENRWINESITALLIGLCSGIVILLTTNGKSSRILEFDEQLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGVVGTLISFCIISFGAKSFFASLDIGSLELQDYLAIGAIFSATDSVCTLQVLNQDDTPLLYSLVFGEGVVNDATSVVLFNAIQRFDLSHVKSKIAAQFMGNFLTLFITSTLLGIVIGLLCAYLIKKLYLGRHSTDREVALMMLMAYLSYVMSEMFNLSGILTVFFCGIVMSHYTWHNVTQSSRTTTRHAFATLSFVAEAFIFLYVGMDALDIEKWKFVSESPGKSIAVSAVLFGLVLVGRASFIFPLSFLSNFTKKSKSDKFDLKQQVTIWWAGLMRGAVSMALAYNQFARSGHTQQPGNAIMITSTITVVLFSTIVFGLMTKPLLKFLLPQSIIPEHGMESETAGTRPILMPLLDDEENVEVGKESSNTHLPSSLHMLLTTPTNTIHHYWRKFDDAIMRPVFGGRGLAQLDSGSSEEASEYLVAE >CDP03538 pep chromosome:AUK_PRJEB4211_v1:1:36996944:36997496:-1 gene:GSCOC_T00015940001 transcript:CDP03538 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIRDLASKKAAVIFTKSSCCMCHSIKALFYELGASPAVHELDHDASGREMERALRSLGCNPAVPAVFIGGEFVGSAKDVISLHVDGSLKQMLINARAIWF >CDP09138 pep chromosome:AUK_PRJEB4211_v1:1:31961243:31963298:-1 gene:GSCOC_T00028347001 transcript:CDP09138 gene_biotype:protein_coding transcript_biotype:protein_coding MFELRLVQGSLLKKVLDAIKDLVNDANFDCSSSGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNFSMGMNLNNMAKMLKCAGNDDIITIKGDDGSDTVTFMFESPTQDKIADFEMKLMDIDSEHLGIPEAEYQAIVRMPSAEFARICKDLSSIGDTVVISVTKEGVKFSTRGDIGSANIVCRQNTTVDKPEEATVIEMNDPVSLTFALRYLNSFTKASPLSNTVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEETKP >CDP17064 pep chromosome:AUK_PRJEB4211_v1:1:37815139:37816182:-1 gene:GSCOC_T00004974001 transcript:CDP17064 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWNSETTLLEKAVLRPIKQQGGGGSWRDPFRILTTLLLSLLLPLSFLLLARLSTARHISAPATSQPSTNCRDDDHLLCFLFLFHHFINTSTIVYALVCLVTVAAFVHGLTAGPPASLNVLSSNRRCQSPVSVEPDDAFRRLRLYAAWIILCTLQICVGLGIEGSTSTDAGCSLMMRHFHGSPTPGWQERISSLLSRVTFIVGLHETTHFWSKSVVKPVVDDTVMGCVVKEERWMERVGMAMSFGGLWWWKLKDEVDALAAVVMKKELQPMLLVVQEQGAGGLGVADLVGWWLYYLTAAIGSIRLIKGGIWLGMILLFKFRRGYLRRRRRQDSASDTPASDHDYQV >CDP17020 pep chromosome:AUK_PRJEB4211_v1:1:38140274:38144513:-1 gene:GSCOC_T00004919001 transcript:CDP17020 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAAAEQVPDDYYHYWLRWQVFVCALIFLLPALLSLILIIKSRSTTRTPPPPSQQQRGHRASTYRQLWLPCWTTLHPTWLLYYRALAFLTMTFFLYQIVRAFGFFIFFFYTQWTFALVAVYFALATIISAHGCWIHSKTPFAQSGDKDKLLKKNLEEESKNDEYVSSTSIMNQDDRGFIKCQAQAPIDQQPGFWGVLMQCIYYTCAGAVMLTDIVFWCLLLPFMSGEHFQLTVLMACMHSVNAVFLILDSALNSLPFSWFGFVYFVLWSSSYVVFQWALHVCCITWWPYPFLDLSNAWAPIWYLALALVHVPCYGSYVLLAKGKDSLFSRMFPHAYLRISAEKKQKN >CDP09226 pep chromosome:AUK_PRJEB4211_v1:1:32614410:32615881:1 gene:GSCOC_T00028464001 transcript:CDP09226 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKVSRSASRPPIYPKFNSSTPLPTFTMMFPSTLAEAFENSSHGAGAGAGQNGKSVAISPEHRVDLNAPPSPHVTLDAEEEETDKNQIENTPPSTSKKCHINQDDEEEEFSHKAKRIMTLDEAIQFEKNDRDLSLEDERKLRRTVSNRLSAQRSRLKRAQYIDELLKKIKDLQDYVESLTSQLESCIEGQKMLKMQKAILEQRLKNCIDKSALCDREIEEKQAEIRRLKYLYEALQKEGMMLRGIEQYLNLDAMNVSSFNATDAM >CDP09036 pep chromosome:AUK_PRJEB4211_v1:1:31207650:31209662:-1 gene:GSCOC_T00028210001 transcript:CDP09036 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLLTNLTIENYPSTFLSMDSIANSHDDSDRDMSRQSALQPPDINLPFSVEHSPPPQPWNHEAFDMLEVGLGHQITDPDKLLDLPKIGRKCAKRLDSVWGAWFFFSFYFKPVLKEKSKCNIVRDSNGVSGFDKSDLQLDVFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIELVPSPNLVVMDEEEQKKWMELTGRDLNFSIPPEAKDFSSWRNLPNTDFELERPIPALKSNLQAPTKKLLNGSGLNLSTQPSNHVNGNGIDLSSICNKKRKDIFSPGNDDDCCLAANNSDRHQDADIHPVEPPWMGEFSGVIRNVYGPVTAAKTIYEDEEGYLIIITLPFADPERVKVHWWNNLTHGIVKISSISTACMPFIQRNDRTFKLTDPSPEHCPPGEFKREIPLPTRIPDDAKLEAYFDKSGTVLEIKVPKHRTGPEEHEVPVCLRPPNEFGLS >CDP03700 pep chromosome:AUK_PRJEB4211_v1:1:35753866:35759986:-1 gene:GSCOC_T00016158001 transcript:CDP03700 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQVVKVKRETIAACMTCPLCNKLFRDATTISECLHTFCRKCIYKKLSDEELECCPICNIDLGCGPLEKLRPDHNLQDVRAKIFPYKRRKVKAPEIPPSVTLPVRRKERSLSSLVVSTPRVSAQSGMTGRRSKSIARKSLRGSSFSIEKPVKKEEDSGEDRPESSSSPETLNKFTQNIRQNSSNGDPSSHSLSDKETKNGAETWEGKVDLWKPLNCLVEVANRSKSSKFTSQGSAAKSEPPHSGENEGHARKSKGKELGQKSKLQDEKNTNESPALNSERPKKLRRIRQKKAPAFADFNVTPQAVLDGVSARCEKRINPIWFSLVATKDQDGDASLPQISASYLRIKDGNITVSFIQKYLMRKLDLPTEDEVEIRCMGQSLVPTLALNELVDFWLQNTTSERVPAIIGSSAKDFVMVLAYGRKIPTA >CDP03989 pep chromosome:AUK_PRJEB4211_v1:1:33701579:33707443:1 gene:GSCOC_T00016508001 transcript:CDP03989 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNQGKIDISCWDGTISQHEFHTAASAFAERWNKFNAALPQCSWVARPISPYLSSTSKDEGYLSVENVIMFPTPAAESCYGGDDKEGEEELSCSRSQEDDFIDGATMLQNHDDASHHYDFHVVYNASYRVPVLYFRAYCSDGRTLVLDDVEKSIPAISAKLLMLSKWTFITQEDHPYLNCPWYTLHPCGTSEWMKMLFSHEPAVDDGGVAVAKYLVSWFSVAGQVFGLKIPFEMLSSIGN >CDP08480 pep chromosome:AUK_PRJEB4211_v1:1:22005457:22011513:1 gene:GSCOC_T00027396001 transcript:CDP08480 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQEQTNQFAGAIENGKVLNLDESNFDAAIATFDYIFVDFYAPWCGHCKRLAPELEKAAPVLARLKQPIVVAKVDADKYRKLASKHDIDGFPTLKIFVHGIPTEYYGPRKADLLVQFLKKFVAPDVAVLSSDSAIREFVEAADIHFPIFIGFGLDESMMYNVAVKYKKKAWFAVAKDFSDEIMAFYDFDKVPAVVSLLPEYSERSIFYGPFEEHFFEDYIKQSLLPLALPINQETLKLLKDDQRKIALTIVADESDEKSRDLVKLLKAAASANRDLVFGYVGFKQFEEFAESFEVNKRTQLPKMVIWDGNEEYFSVIGSESIKEVDQGSQITRFLEGYREGSVIQKNISGPSFMDFLRSFLGMRTALIIIFIACMFILILALNKEEPLRVGTREDADPDSRSTSQPDIRELRRDGDKED >CDP07052 pep chromosome:AUK_PRJEB4211_v1:1:29166226:29169722:1 gene:GSCOC_T00024150001 transcript:CDP07052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 1 [Source:Projected from Arabidopsis thaliana (AT2G28090) UniProtKB/Swiss-Prot;Acc:Q9ZUV1] MSKKKNKKVSDEGQKKKEDDKEENQQQKGGEDGGAAKKDNNNGNGGNAVVLKIDLHCDGCVTKIVKCIRGFEGVEAVKVDSGSGKITVSGKVDPLKLREKLEDKTHKKVELLSPVPKKDKAKNDDAGDGKEEKKKDSKEKKPKDNSKNKKDEKNSKELPVTTAVLKVPLHCQGCIERIHKIVSKTKGYQEMKVDRQKDLVTVKGAMDMKDVVENLKKHLKRDVEIVPAKKEDKKEKSGGGGGEGKPAGGEGGGGEQMEGSKKQLQQQQQIPNGPLGYDYPSSSPFVYGGPAYMGDPYQFQYHAPQLFSDENPNACSVM >CDP16610 pep chromosome:AUK_PRJEB4211_v1:1:6003479:6016594:1 gene:GSCOC_T00019057001 transcript:CDP16610 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVYYKGSPGGWRSASFMLAGGSLERFAYYGVKSNLISYLTGPLGEPVATAAANVNTWIGVVSLVPVLEAYLADSFLSRYRSIIIASILYILVNSQFYFYINCYWHFLGLGFLSLSASRLYLMGLTLIILFHL >CDP09623 pep chromosome:AUK_PRJEB4211_v1:1:23396516:23401951:1 gene:GSCOC_T00030011001 transcript:CDP09623 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFEFRRILELFPIVRPRDYCLDVESSGQSTSRSKGNKKVTKLLDGDGKDQYSPLQSHDSFWDKLKSAAEKKVGPTEAEKICKAFQQVYKRVVYEELSLEAAQKIINSSGNPKI >CDP16772 pep chromosome:AUK_PRJEB4211_v1:1:3502924:3503400:-1 gene:GSCOC_T00019271001 transcript:CDP16772 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPTSSSSSKMIVLNSSDGATFEVEESVAVQSQTIKHMIEDNCADTSIPLPNVNGVTLARVLEYIKKHAEAKDDEEGLKSFDAEFVKVDQASLFEIILAANYLDIKGLLDLTCQTVADQIKDLTVEEVRAIFNIMNDFTPEEEAKLRNDHAWAFE >CDP09730 pep chromosome:AUK_PRJEB4211_v1:1:25276594:25279538:-1 gene:GSCOC_T00030177001 transcript:CDP09730 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNQEVSNTVGKPIHCRAAVARKAGEPLVIEEIIVAPPKARELRVRVLCSALCFSDIHFWRLKEPHGYYPRIFGHETVGVVESVGEGIEDVKVGDTVIPSFLAYCGECPDCTSIKSNQCSKLRFELSPYIRDGTSRFSDTKGETIYHFGYTSGFSEYTVVDITHVTKVDPALPASRACLLGCGVSTGVGAAWKTADIEEGTTVAIFGLGVIGLAVAEGARLRGAKTIIGVDLNPDKVEIGKKFGITHFINPRELGGKLASEVILEMTDGLGADYCFECVGLPSLSQEAFTCCRKGWGKTIILGVDKPDSQFILNSLVNNHSGKTITGVQYGGLKPNLDIAILAKRYLDKELQLDLFVTHEIKLEDINKAFKLLIEGKCLRTVIWFDQERAKADGVTFNEI >CDP06958 pep chromosome:AUK_PRJEB4211_v1:1:28262779:28266150:1 gene:GSCOC_T00024018001 transcript:CDP06958 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSAAATDSSTKPFSVLFVCLGNICRSPAAEGVFRDLVKKRSLDSKFNIDSAGTINYHEGDQADPRMRAASKRRGIEITSISRPIRPSDFREFDLILAMDRENREAILSAFERWRHREPLPADAAKKVRLMCSYCKKHEEDEVPDPYYGGPQGFEKVLDLLDDACESLLESILSENTELADS >CDP17354 pep chromosome:AUK_PRJEB4211_v1:1:4699736:4703694:-1 gene:GSCOC_T00009695001 transcript:CDP17354 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAINTTINFVLGTAVSLAADRIRMILGVKKELERISATAETIQGFLADADGKMHSPGVQDWLKQLEGEVFKAEYVLDEVNYENLRQEVKYRGQVKKRKVCFFFSFSCFSTIVFRSRLASKIRDINTNLDRINGKANELGLVVNMVQSRGRGLKPTTSRQADSILVPNVVGRASDESKIVDMMLSPSDKVISVVPITGMGGLGKTTLAKSVYNNPRIDEHFGKKIWICLAKEIELMELFKFVLESLTRKKVEVDGSTSECKFFPALKSLTLEDMVNLVEWRDPDERLSISGCSQLTTAPTPSHFPTLEELEIRFYCHFSLAEKRLCHFPSLQHLQVSYCPNVTSLRRLNCGTCLESLKLFDCDNLRELPENLYNLLKSLKSLTISDCDGLTTIASEMLESCSPLQSLQVYECPNLVSFPLDLQQTPSLETCILTNCPELINDMPKGFAFLTCLTTMMIGPFSDYSLVDWSGLLSSSTLCELELNGMSDMESLPHQLQYLTTLTSLLLRKPYQS >CDP03926 pep chromosome:AUK_PRJEB4211_v1:1:34116209:34118376:1 gene:GSCOC_T00016433001 transcript:CDP03926 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASSKVHVLALPFPVQGHINPLLQFCKRLEAKGVKVTFANTVSLSKSMHADPKRSINFETISDGYDDGGYAAAESFEAYVEKFATVGSKTLADLITKLKDDGEPVQAVIYDPHLTWALDVAKRFGLVTAAFFTQTCAVNSIYYHVYHGLLPVPLSDSPISLPGLPLLQPKETPSFIYLPDSYPAFRHILLNQFSNVDQADWVILSNFHKLEEDVLNWMARLWRVKTVGPTVPSMYLDKRLEDDTGYGINLFKPDSSLCINWLDNQPKDSVVYVAFGSWIGIEAEQVEEIASALMEIGYRFLWVVRALEKEKLPSNFVGETSEKGLVVTWSPQLEVLAHESVACFVTHCGLNSAMEALSLGVPVVAAPQLTDQPTNAKFIEDVWGVGVRAAADEKGIVRRETLVSCIREIMEGERGKQIKENGIKWKTLAKEAIDEGGSSDRNIDEFVAELISGAGQPDA >CDP06914 pep chromosome:AUK_PRJEB4211_v1:1:27661022:27666401:1 gene:GSCOC_T00023958001 transcript:CDP06914 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQVLLRHPIFCNLFLLLVYVQACSNLAMAGSIVKFLPGFEGPLPFELETGYIGVGESEDVQLFYAFIKSESNPESDPLIIWLDGGPGCSSFIAFLFGIGPVILEPLSFDGTLPKLVLNPSTWTKVVSIIFLDSPVGTGFSYAKTAKASQSSDFQASDQAYEFIRKEMRTALNHVLILRSIPLMIFSFLVYLILIDYILFGEKFTFLSLKLRRLHLWLQGYILGNPITKVSGILNYRVPFAYGMGLISEELYESLKVSCKGEYEIIDPSNAACSKNMQAYNEASNHIYAIFISIIQLLYKKFEELEIRESTPVKCRMEWITLVDHWANNKSVQEALHVRKETIGQWVSCIYTLPYTENAGSVVPYHANLSTKGYRSLIYSGDHDLLAPHIETQAWIRSLHYPIIDDWRQWIHEGQVAGYTRTYANKMTFATVKARNSCFYCFSARFVHMVTTNNKGGGHVAYEFKPAECRTMLERWISHQPL >CDP08932 pep chromosome:AUK_PRJEB4211_v1:1:30294690:30298306:-1 gene:GSCOC_T00028069001 transcript:CDP08932 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSQLIGLLNFLTFLASIPILGGGIWLSSRANNTDCLKFLQWPLIVIGVAIMVVSLAGIAGSCYGNTFLMYLYLWAMFVIIATLIGFVIFAYAVTDKGTGRPVSNKVYQEYYLQDYSGWLEERVTSQSYWPKISSCIRDSHVCGKLRRDFGGIPESADMFSMRKLSPIESGCCKPPTGCGYVYQNETVWIPGSGTVGTDLDCGRWNNDQGTLCYNCDSCKAGVLGSLKKSWRRVSVINIVILIILVIVYVVACAAFRHDKRMDNDEPYGETRMEKVQPTRIHF >CDP03648 pep chromosome:AUK_PRJEB4211_v1:1:36173851:36174660:1 gene:GSCOC_T00016082001 transcript:CDP03648 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRSRAVTNNQALTSDYHSSLVSSPTTPTQNTTSIASSFLRSPRIFDGILASRSLSDADNAKSPTPILDAKPFSNFVKPFGYDRNSSVKSHSSSLDNTTGTNKHTYEKVGGVGLALVDSLNDEKYDANFSKPNSKMVLFGAKLKVGIPPLPSSAFSSAATPKSPADFGIKTRNSICLSSLSGLGGSPSCCIRGKDSPVELAEGLSLSEMELSEDYTCVITHGPSPKTTHIFHDCVLENCCGVVKLSDLEKDGGLLTDDPTSVCRSIF >CDP11111 pep chromosome:AUK_PRJEB4211_v1:1:6993885:6994677:-1 gene:GSCOC_T00033151001 transcript:CDP11111 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIVGATVQVLLEKALFLATDGTVLAFGFKDELENLRGSVAMIQATLADAEEDKLCQNKVVQLWLKRLKEVAFDADHVLDELHHESLRREVESRNKQLKGKLPFPRVMN >CDP14431 pep chromosome:AUK_PRJEB4211_v1:1:9993840:9995186:1 gene:GSCOC_T00040880001 transcript:CDP14431 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLCIHLIFFLFLFPISALAQKNGIVPLGSTLTAGETSASSWLSPSGDFAFGFRQFQDKDLFLFSIWYYKIPDKTVVWFVYSIDLVPRGSTLKLDARSGLVLRDPQGLQLWSADVNSSQVDHGFMNDTGNFILKGSDDSRLWESFRFPADTILPYQDLILGDSLSSRQSATKFSQGRFYLRFLYDGNLVLATRSVPTNVYDDAEYYNSQTSDPTVLLNSGYQVTFDGRGALYIRKRNNETKELSPVSIPPASEYYHRATIDFDGVFTYYFHPRTLAGNPNWKVLWYLPENICFITGEKGSGACGFNSICHLEHGRPACACPEGYILLDPDDKYSSCLPNSSLGCGAVKEGSAENLYDFVVINDIDWPLSDFEQIYPSDETVCEQACLQDCFCVVAIFRDNSCWKKKLPLSNGRVDTSLRSKAFIKYRKSDAPSVHQTFRPVPVGSMS >CDP18598 pep chromosome:AUK_PRJEB4211_v1:1:76277:77218:-1 gene:GSCOC_T00012435001 transcript:CDP18598 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMKMKMLVAAMLVIMMISVSSMKGVAAADAPAPAPASDATIFVPTLFASIAALAFGFLF >CDP17042 pep chromosome:AUK_PRJEB4211_v1:1:37950560:37971213:-1 gene:GSCOC_T00004948001 transcript:CDP17042 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVSSIPKEPEQVMKQRDGSVLGKKTILKSDHFPGCQNKRLIPQIDGAPNYRKADLLHVHGVAIPTIHGIRNVLDHIRAQMPGKQTHVLWINLREEPVVYINGRPFVLRDVERPFSNLEYTGINRQRLEQMEDRLKEDILLEAARYGNKILVTDELPDGQMVDQWEPVTIASVKTPLEVYEELQKLKYLVDYERVPITDEKSPKEQDFDILVQKISQADMKTEIVFNCQMGRGRTTTGMVIATLIYLNRLGVSGSIPRTNSIGKVSDCSSSITDNLPNSEEAILRGEYAVIRSLIRVLEGGVEGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYLHTEREALNAKLPDGCSFTDWMKARPELYSIIRRLLRRDPMGALGHTILKPSLTKIAESADGRPCEMGQVAAMRNGEVLGSQTILKSDHYPGCQDSSLSERVDGAPNFREIPGFPVYGVANPTVDGIRSVIQRIGSCKGGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDCERVERMEARLKDDILREADLYHGAVMVIHETDDGQIFDAWENVRPGAVQTPLEVFSCLEADGFPIKYARVPITDGKAPKSSDFDLLSMNIASASKDTAFVFNCQMGIGRTTTGTVIACLLKLRIDYGRPIRVLTDNTSPEEFGGGISSGDESECHASTSTAMTTKPQRYTSYAFGINDILLLWKITRLFDNGVECRDALDAVIDRCSALQNIRQAVLQYRKLFNQQQVEPRERRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCRQGDSNITFKNWLLQRPEVQAMKWSIRLRPGRFFTVPEELRAPHESQHGDAVMEAIVKDRNGSVLGKGSILKMYFFPGQKTSSHIQIHGAPHVYQVDGYPVYSMATPTIAGAKGMLAYLGAKPDPTGSTPQTVNVTDLREEAVVYINGTPFVLRELNNPVDTLKHVGITGSVVEHMEVRLKEDIITEIRHSGGRMLLHREEYSPVSNQVSVIGYWENIFADDIKTPAEVYAALKNECYNIAYRRIPLTREREALASDIDAIQYCKDDSAGSYLFVSHTGFGGVAYAMAILCIKLEADANLTSVVVAPRSVVVAPHSFPLLEEKLASQTSDEEAQQMGDYRDILSLTRVLKHGPESKANVDTVIERCAGAGHLRDDIFYYAKELEKLPDDDDENRAYLTDMGTKALRRYFFLITFRSYLHCTSATATETRFTAWMDARPELGHLCNNLRIK >CDP15562 pep chromosome:AUK_PRJEB4211_v1:1:25849838:25857331:1 gene:GSCOC_T00015451001 transcript:CDP15562 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQD5 [Source:Projected from Arabidopsis thaliana (AT3G22190) UniProtKB/TrEMBL;Acc:A0A384K8F3] MGVSGKWIKALVGLKKPERSQSSEKDENRTSTTGKFWHRRKHSVEIDTDILQDELSHDAARPAEDASALSLSDATGTPSTSLQVADAAQIQYSREEWAAIRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRMALENQTAQQKLQQQLEHDAHVREIEEGWCDSVGSVEDIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQATPAGFEPDKSNWGWNWLERWMAVRPWENRFLDINTKDGMALQENGSTEGKNGINTQTKSTGKKSMTSNHPNEKTGPSRSSINLNLLNEKLAASHSDGSSSSPNKLATVQDTTPTVSANPRLKQIHDDLAEGGSLKPSVISRSNSNPKERSMQSDKQGKKRLSLPSGQGLGSQTTRQPSRNAVKGSPTVQKPLKDRSKANGTDLKPTKSVAQSVDN >CDP08458 pep chromosome:AUK_PRJEB4211_v1:1:21056129:21058293:1 gene:GSCOC_T00027361001 transcript:CDP08458 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETTSLPTIDFSNPGLKPGAPEWDLVKDQVRKALEEYGCFEALFDKIPLELQKSLFDALEELFDLPLQTKVRNSSKKPFHGYVGQYPMVPLYESMGIDDATISEKVESFTDLLWPEGNSNFCKTVHSYSDQLSDLDKIVRRMILESLGAEKYMDEHMDSTNYLLRVMKYKGPQTTEPKLGLNAHTDKNIVTILSQNQVQGLELLTKDGHWIDVKPSPGSFIVMIGDSLLAWTNGRLHSPYHQVMMTGNEARYSAGLFSIPKAGYIIKAPEELVDEEHPLLFKPFDHVEFLSFYYTEAGQRAQSALKTYCGV >CDP03521 pep chromosome:AUK_PRJEB4211_v1:1:37144216:37146148:-1 gene:GSCOC_T00015919001 transcript:CDP03521 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHLFINLSKDCLDINCHLGLPLHVSKIWDGQSVVGYTFAPVILVWFRFNAEIFIKYDPAVVKAINPAYIIDNFRRNKKDAWITHGRVVLAITGQLTIDLYLIKLCQSIHSISTQLLPALVLAYTGQASFPLKHGFDVSETLYKFIPGFNKWLITVHIRIAVVFVMTLASSFLALIMIMIWKTNILLVISYVLVIGSAEGDSLHELGSLQIRSGLALAFAMVLMFVMFVWNNVYQKKCCFELEHKVPALHSVLVFVSVRSLPISKVPVEEWFLFRRVRPNYVYVFRCTEAWHRKVIAGKGASIGKMMDCAYNFLKRQCNKVFEIPHKRMHKLVGMIYEL >CDP03582 pep chromosome:AUK_PRJEB4211_v1:1:36683089:36685936:1 gene:GSCOC_T00015994001 transcript:CDP03582 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQLQKRFLELISTVYQEGFLDDQFVQLQKLQDESNPDFVVEVVSLFFEDSEKLLNNVATALQQPIVDFKQVDAYVHQFKGSSSSIGAQRVKNACGAFRNFCEEKNLDGCLKCLQHVKNEYALVKSKLEYLFMLEQQIVAAGGMIPVIP >CDP19130 pep chromosome:AUK_PRJEB4211_v1:1:25482362:25483403:1 gene:GSCOC_T00009386001 transcript:CDP19130 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYHKVHPAGESSSSLEQPAMATTVKRPEKKSSEAPLLPAGSAQSSSPSSPPPAEKPLPAPPGTYVIQVPKDQIFSYPPPENASNFQKLSSRKPRRSCCRLCVCYTVFVLFLVIVAAAISAGVLYLVYRPKAPKYAVLDVAIRGLNSTSTSAMSPEFDVSIRAENPNKKIGIYYLSGSEIQVSHGDVGLSNGAWPVFYQPSKNVTVFQTALKGSDVVLSDDVRLAMANELREGNVPFRLNIKAPVKIKVGSVKTWKITVKVKCDVAVDALNQQSKIVSKDCDYSVKLW >CDP03525 pep chromosome:AUK_PRJEB4211_v1:1:37104888:37114557:1 gene:GSCOC_T00015924001 transcript:CDP03525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein LUMINIDEPENDENS [Source:Projected from Arabidopsis thaliana (AT4G02560) UniProtKB/Swiss-Prot;Acc:Q38796] MELQLANENQLELVVSNSPTSLQDLLDSQTDLFRGQIDELENIVLTQCQLTGVNPLSQEMAAGALSIKIGKRPRDLLNPKAIKYMQSIFSVKDAITKKETREISALYGVTATQVREFFTVQRARVRKFVRLSREKSNRSSSCKEVLDGIPQGCDPNEPLTPVPLDSVGPTSTEEGPTSLTQNEVLPSADQSDKYFLDNIFSLMRKEESFSGQVKLMEWILQIQNSSVLYWFLNNGGVMILATWLTQAALEEQTSVLRVILKVLCHLPLQKALPVHMSAILQSVNSLRFYRISDVSNRARVLLSRWSKAFARSQALRKSNGTKSAIDAQDEMLLKQSIHEVMGNESWDSKIDVLEDNSTLMDESLGNFRKLESQPAKLLTASADDQNRKLIRGALASQNRERRKVLLVEQPGQKSAGRTTQTARSTTAPQGRPLSADDIQKAKMRAQFMQSKYGKTNSISDASPQMQLEGANKSALSNTSILVPPSKAHTGTKIEETNKSGCSPVGVANPEDASVDKQNNCHSEEPPWKKCKRFQIPWQIPPEIGISVSWRVGAGENSKEVEVQKKRIHRERETVYKTFQEIPSDPKEPWDQEIDYDDSLTAEIPIEQLPDGEFAGASVSPRENERTAGTSGNSSSLIAGGSMPEPDLELLAALLKNPELVFALTSGQAGNLSNEETIKLLDMIKANEMNSLANITASSGKSKPEEKVEVSLPSPTPSSDPVTSARKPDYAKNPFSQQKTTLTNEILGIPGTAAIRSQESVPASNVVQSHNLPTGAMVAEPSTTFPQLAQHAIPHALLSEEKLRASGLVQPQVLPATVLAPQQAATVQQLAQQMAPQVLGSHEQRLLPLTSSLHHNIPAYASNAQLKSSSELLLNMNNTSLSRSPPLTNSMNGVAPAMVVNPSSMSLVGSPLRPQTQMQPSYAPEAPLAHSWRPRPGLDPISRQNNVTPDEYEAFVGASARAPVARSSWEKNDLMVGPDFESWSPENSPTRSHGYVPGWNVQEPMVNPGQSYRPERPIYRHAGYPPSSGYHDPGISGTKRWRDRRR >CDP15608 pep chromosome:AUK_PRJEB4211_v1:1:26618029:26618896:-1 gene:GSCOC_T00015515001 transcript:CDP15608 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKHDQKEFQYMKSNVSNDYYIHSVSLVIKGVEYSLERVLITRTAIDFSSYRFEGQIPEIIGSLHSPQTLTLSHNNFSGPIPKALGNLRMLESLDLSWNRLEGTIPMELLNLDSLGFLNLSENRLVGPIPRGRHFDTFGDDSYRRNLDLCGFPLAEDCGETEAPPPATPWEAEQQYDDSEFFDGFTWKAVLLGYGCGLVLGLVMGGLIFSTGKPRWFHSFSTPIILLLYFFLNHNSFLNDKFLVWLIRKI >CDP09061 pep chromosome:AUK_PRJEB4211_v1:1:31427382:31431013:-1 gene:GSCOC_T00028246001 transcript:CDP09061 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFHFTNGETKGDDEDVDDAVLSRTSKVTWARSLSVASSSVDSVRRSEFGSESRDFTDSFGFYEFLSQRRANDLRVFSFYELKAATRGFSRGLMIGEGGFGCVYRGVVNVGGQPDPDSEEKMEVAIKQLNRNGFQACPFFIQGHKEWINEVNFLGVVNHPNLVKLFGYCAEDDERGIQRLLVYELMRNKSLEDHLLARSASPLPWIQRLKIAQDAARGLAYLHEEMDFQLIFRDLKASNILLDEDFKAKLSDFGLARQGPTAGFGHVSTSVVGTVGYAAPEYVHTGRLTAKSDVWSFGVVLYELITGRRVLERNLPRGEQKLLEWVRPYVSDSKKFHLILDPRLEGKDCLKSAQRLASVANKCLLKQSKSRPRMSEVVEMLGNIISETACLDRVPEGVNETEDVKEEVAGVELESGKQGSNDRRRGFDLKEMVSFRNKSIGRLDWRNWTPGLNKNFLICTGLSLRASLANALS >CDP17576 pep chromosome:AUK_PRJEB4211_v1:1:1261553:1262871:-1 gene:GSCOC_T00011071001 transcript:CDP17576 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFKKVCVFCGSNSGYRKIFSDAAFDLGKELVQRKVDLIYGGGSIGLMGLVSQTVYDGGCNVLGVIPKALVSVEISGEAVGEVTIVSDMHERKAEMARRADAFIALPGGYGTMEELLEIISWSQLGIHDKPVRMFHVPTLWHHS >CDP06851 pep chromosome:AUK_PRJEB4211_v1:1:27036321:27040170:1 gene:GSCOC_T00023877001 transcript:CDP06851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 [Source:Projected from Arabidopsis thaliana (AT1G08460) UniProtKB/Swiss-Prot;Acc:Q94EJ2] MDPGFLDVLEKHPENSDRIRNMVSILKRGPISPFISWHVGRPAQISELLTFHTQEYINELVEANREGGKMICAGTFLNPGSWDCALLAAGTTLSAMKHIIDGCGKISYALVRPPGHHAQPNQGDGYCFLNNAALSVQLALGSGCQKVAVIDVDVHYGNGTAEGFYHSDEVLTISLHMNHGSWGPSHPQSGTVDELGEGKGFGYNLNIPLPNGTGDRGYDYAMRQLVVPAVEKFKPDMLVLVIGEDSSAFDPNGRQCLTMDGYRVIGQTVRGLADKHSHGCLLIVQEGGYHITYSAYCLHSILEGVLKIPVPLLSDPIAYYPEDEAFSVKVIESIKDYQINTVPFL >CDP08970 pep chromosome:AUK_PRJEB4211_v1:1:30734610:30735733:1 gene:GSCOC_T00028122001 transcript:CDP08970 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKVFISLFTLIKVIICLCSIQAVSASQSNLFREYIGAEFKNVKFSDVPVYSNVDFHFILSFAIDYGTSASPSPTDGNFNVFWDTANLSPDDVSAIKSQHPNVKVALSLGGATIGDAQPVYFQPSSVDSWVSNAVSSLTDIIQKYHLDGIDIDYERFHADPDTFSQCIGKLVSTLKNNGVISFASIAPFDDDDVQSHYQALWNSHGQLIDYVNFQFYAYARGTTVDQFMDYFAQQRRNYNGGKILASFISDGSGGLAPQDGFFTACTRLRSEGQLGGIFVWCADDSKAEDFQYEKQSQALLATPR >CDP03517 pep chromosome:AUK_PRJEB4211_v1:1:37164278:37165693:-1 gene:GSCOC_T00015914001 transcript:CDP03517 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKFRNSTSISSKIVQLLGTNCNSPAHVYQIQAQLIIQNLYTNTTLASHFISACRSLGLSQAAFLLYTLNPQKPQTFICNQLLRAFSHSDAHHYSISLYSHMHKNLIFPNNYTFPFILKSLSDLRSLKQGKCIHAQIVKLGPLNDIYVQNSLLNLYASCGDMVSSGYVFDEMPHKDVVTWTVVITGYRECSMFKDALIAFEQMQNAGVEPNQVTMVNALAACASFGALDMGVWIHEFIKRKGWTLDIILGTALINMYGKCGRIEEGLKVFKSMEEKNVFTWNALIKGFALAENGQEAVMWFSEMEREGANKPNEVTLIAVLCACVHSGLVKWGEEIFSSLLHQKYGFSPGVKHYACMIDLLARDGRLEDALRIIDKLPFQSTKTIWGAFFSGCRVHGNLELSEVAARKLVDLEPENCAYYVVLSNLYAEMGRWDDVEEIRRLMKNKEFWKDSGNSSIELEYLEDVSKWLD >CDP17519 pep chromosome:AUK_PRJEB4211_v1:1:19708131:19721249:1 gene:GSCOC_T00011465001 transcript:CDP17519 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQRLQEKDPEFYEFLKEHDKELLDFNDEDVDDEAETDVDSEDIEEDAETDEYLMKHVPSVAVEAKSSKNVITTAMVDSWCKSIQENTSLGAVRALMKAYRTACHYGDDSGDDNANQLSIMSSSVFNKIMLFVLSEMDGILRGLLKLPPSGGKKETLLDLMSTRLWKNYNHLVKSYLGNSLHVLNQMTDTKMISFTLRRLRYSSIFLAAYPALLRKYVKVVLHFWGSGGGALPVVSLLFLRDLCLQFGSDCIDDCFKGMYKAYVLNCQSFTATKLQHIQFLGNCFTELLRVDLGAAYQHAFIFIRQLAMILRETTTRTKKNSKLSFKELFRKVYGWKFMNCLELWTGAICAYSSEADLKPLAYPLTQIITATARLVPTAQYFPLRLWCIKMLNRIAASTGTFIPVSLLLLEMLEIKELHRPPTGGVGEAVDFRTMLRVKKSALKTRAFQEMCVFSVIEELTEHLAQWSYSAAFFELSFVPTVQLREFCKSTKVERFRREMRQLIRQIEANCEFTNKKRMSVAILPNDPGAASLLEDEKMKGSSPLSQYATVLRERAQQRNDSITQSSVTVGERASIFGSKITDNDEQDDSVNEEGVTAFNSGWLPGSDSTTSHAEKVKEKRKRKRPQEEAAFDEDVVEELILSSDEDEDEDSMSNAQELEDTEEEPVISKRQKMSQQPLASLSNLSKKKRKYKSKKSNKKK >CDP08974 pep chromosome:AUK_PRJEB4211_v1:1:30762929:30769573:-1 gene:GSCOC_T00028127001 transcript:CDP08974 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQDYGKKEILGEKKESNREAYPAWSKDVKECEDKFQVRRDFGLSSDEVEKRRRIYGWNELEKHDGPSIFRLILDQFNDTLVRILLVAAVVSFVLAWCDGEEGGEMQITAFVEPLVIFLILIVNAGVGVWQENNAEKALEALKEIQSEHATVIRDGRKISSLPAKELVPGDIVELRVGDKVPADMRVLSLISSTFRVEQGSLTGESEAVSKTSKAAAEDVDIQGKKCMVFAGTTVVNGNCVCLVTDIGMNTEIGKVHSQIQEASQSEEDTPLKKKLNEFGEILTAIIGVICLLVWLINLKYFLSWDYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVAMGSMGSALRTFNVEGTSYDPSDGKIQDWPKSQIDANLQMIAKISAVCNDSGVEQSGHHYVASGLPTEAALKVLAEKMGLPAGLDAVSSSANNGGLRSSNIWNKIEKRIATLEFDRDRKSMGVIVQNSNSGRKSLLVKGAVENLLERSSFVQLRDGSVVELDQTLRNLILESQHEMSTKALRVLGFAYKDDVPEFDTYTGDEDHPAHKLLLNPANYSSIESKLIFVGLAGIRDPPRKEVRQAIEDCRAAGIRVMVITGDYKNTAEAICREIGVFGSHEDISSRSLTGKNFMDLRNPKSHLRQLGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVFSIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDVMKKPPRRSDDSLISPWILFRYLVIGLYVGLATVGIFIIWYTHDSFFGIDLSGDGHSLVTYAQLANWGQCNSWKNFTASPFTAGNLVVDFDTPCDYFQTGKIKAMTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPYLLVAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLLVALPVILIDEVLKFVGRCTSGVPTSARATKHKAE >CDP19134 pep chromosome:AUK_PRJEB4211_v1:1:25430568:25432924:1 gene:GSCOC_T00009391001 transcript:CDP19134 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFDDIGFCGDLEFFSAPLKEADSVTPQAEPESDPVVDDDYTDEEIDVDELERRMWRDKMRLKRLKEMNKGKDGVDVAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLREWWKDKVRFDRNGPAAIAKYQADNSIPGKNEGSNPVGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGNEEWWPQLGLQKDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEETLARELFPDRCPPLLSSGGSGSFAMNDSSEYDVEGVEDEPNFDIQEQKPSNLHLLNMATDRFKDRLPVQQQSHAIKDEVVTNLDFARKRKPANELDVMIDHKIYTCEFLQCPHSELRHGFQDRSSRDNHQLSCPFRNSPQFGVSNFNVNDVKPMVFPQSFVQPKSAALPVNANPPSFNLSGIGVPEDGQRMINDLMSFYESNIQGNKNADSGNAAVIKEQSVQQPGMQCQSDNYLHGQGIMMEGNIFEDTNVSSNRSIFQQGDRFEDTNIPASRPMFQHGDRFDQCKITSSPFNNSNENFQFMFGSPFNLPSVDFTEGLPGISRDNASKQDLPIWYH >CDP06886 pep chromosome:AUK_PRJEB4211_v1:1:27467636:27468513:-1 gene:GSCOC_T00023923001 transcript:CDP06886 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSHSTKSNPETAILIDSKSAKGKSTAAPAAITATTKATPYRKGGWKRGVAIFDFILRLCALIATLTATITMGTTDQTLPFFTQFFQFQASYDDLPAFSYFVVANAIASGYLVLSLPFSIVCIVRPHAAGARLALLIFDTMLLAFTTAAAASAAAIVYLAHNGNQNANWLAICQQYTDFCQRVSGAVVASFMAAVTFVFLVVLSAVALRRR >CDP18585 pep chromosome:AUK_PRJEB4211_v1:1:188034:190837:1 gene:GSCOC_T00012416001 transcript:CDP18585 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSGPAAHKFLFGNENKLVQVWWPPSAKKLLGNGLSNSVGEEAKHTRKMLSYFLTPDALMRLYIKTVDQVTQHHIAIHWQGKEEVKTFPVVKLYTFELACRLFMSLEDPRHIERLAALFNVFLKGVISIPLNFPGTRFYRAIRATGAVRKELMVILKDRRLALEQKTASPSQDLLSHLLASPDDNGKFMSESEIINNILLLLFAGHDTSSVAITLVMKVLGELPQVYQKVLREQTEIASSKGAEGFLQWEDIQKMKYSWDVVCEVMRLWPPIMGAFRESLVDLNYAGYDIPKGWKLYWTPASTHTDPSFFRDAMNFDPSRFEGAGPTPFSYVPFGGGPRMCLGKEYARVEILVFLHNVVNKFRWNLLVPDEKIIYDPMPTPVEGLPICLHPHNP >CDP06859 pep chromosome:AUK_PRJEB4211_v1:1:27170389:27177756:1 gene:GSCOC_T00023889001 transcript:CDP06859 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFFRWLVQKYPKIVSDANSDENHHGLEFDNLYLDMNGIIHPCFHPEDMLFPPSTFEDVFSNIYDYIDQLFNIVKPRKLLYMAIDGVAPRAKMNQQRARRFRTAKDNEAAEEVETKLRKKFEREGKKVLPREESELSDSNIITPGTEFMYLLSKKLQNYIKRRMTNDSRWSKIKVILSDANVPSEGEHKIMSFIRAQRSSEGYDPNTQHCLYGLDADLIMLALATHEVHFSILREDVLVQEEILNGVSELERSAHKAESYSGKCRGWFKQVVDKSESLPKPASCHIEVVSASEKSDSCSEASKKLNRRLPVMKKPFQYLHIWLLREYLHIDLNISDPPENLNIDLERIIDDFIFICFFTGNDFLPHMPTLEIHEGAIDLLMYVYKKEMKNLGGYLVDTHWIEDKKGRYIKLKRVEKFILSVGEYEEKIFKKRLEIKERKLRRIQSELLNADTEDDGSIEANLWSSLGNTDNAFQDRKVSEIVDSTSGLDEKDISLTDYSEVLNNTKELMQELKDRIQHQSDTFRNGGVISDKVKLGVQGWKKRYYEVKFSAKTDEVEQRRRSLVAKYTEGLCWVLKYYFSGVPSWTWFYPFHYGPFASDLKGLTQVNVKFQKGLPLKPFDQLLAVLPPKSCHALPEAYKRLMVDADSSILEFYPARFETDADGKRFMWQAICKLPFIQEDRLLVETKKAETELKDHEKERNAETADQLFIGSSSGLGEQISSVYKNCTSFMPNDYIKINDMLNDGLSGFMHLCEADQDIFCAFYKLPSDYVPKRHLAEGVNIPEESISEADIVKRELWHEYNGSAPNRALVNRNHVQKSSLKNKEPAVISKFAGTGWSVGRGKLNNSTTQTGPVPALKSYAHCVGNRSFLSSSTSTSASGHNWRLQKQSNNSASDNSWSRWQNTDKDSWQSSSTSNRPISSQIF >CDP15587 pep chromosome:AUK_PRJEB4211_v1:1:26304559:26306622:-1 gene:GSCOC_T00015487001 transcript:CDP15587 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTAIPEAPPAASATATEPYEVDECRGVLRVYSDGSIVRSTKPSFEVPVEDDGSVLWKDAFFDPERNLQLRLYKPATATSSKKLPIFYYIHGGGFCIGSRAWPNCQNYCFKLAKELEAVIISPDYRLAPENRLPAAIEDGFMAVKWLQAQAVAEEQDTWLTDVADFGSVFISGDSAGGNISHNLAVKLGAGSPQLAPVRVKGYVLLAPFFGGTVVTKSEAEGPKDAFLNWELIDRFWRLSVPAGETTDHPLINPFGPLSPNLEIADLDPILVVCAGSDLLKDRVEEYAKKLKGWGKKVEYEEFEGQQHGFFTINPNSEASKKLMGIIKQFITEYAA >CDP03579 pep chromosome:AUK_PRJEB4211_v1:1:36693518:36694075:-1 gene:GSCOC_T00015990001 transcript:CDP03579 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTQASTYALLYKSGFLNQKTRQYDSVVITKSKCKTHSNKTNQPMGKRGEAGKILYVYIYISTVMYVRPPPKCAS >CDP09247 pep chromosome:AUK_PRJEB4211_v1:1:32798433:32801499:-1 gene:GSCOC_T00028489001 transcript:CDP09247 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVSQYYLSFHSIPEDGGTWRRRRRRTGSSVCCTPPVPSIPSSSPPPVVGPELSLSFGAHVIPHPKKVEKGGEDAFFISSYNGGVVAVADGVSGWAEQNVNPALFSRELMSKASSLVEDEEVSYNPRTLIQKAHAATSSVGSATVIIAMLEKNGTLKIASVGDCGLRVIRKGQVVFSTSPEEHYFDCPYQLSSEAVGQTYLDATVSSVELVKGDTLVIGSDGLFDNVFDHEIVSAVGTTSDGVTNTAKVLANLAHTHSLDLSYESPYSLEARARGFDVPWWKKILGMKLTGMT >CDP06917 pep chromosome:AUK_PRJEB4211_v1:1:27704552:27705287:1 gene:GSCOC_T00023962001 transcript:CDP06917 gene_biotype:protein_coding transcript_biotype:protein_coding MFELRLVQGSLLKKVLDAIKDLVNDANFNCSSSGFSLQAMDSSHVALVALLLRSEGFEHCRCDRNFSMGMNLNNMTKMLKCVGNDDIITIKGDDGCDTVTFMFESPTQDKIADFEMKLIDIDSEHLGILEVEYQAIVRMPSVEFARICKDLSSIGDTVVISVRKKGVKFSTREEATVIEMNDPVSLTFALRYLNSFTKASPLAEMGYIGFYLAPKIEEDEEETKP >CDP17121 pep chromosome:AUK_PRJEB4211_v1:1:37354481:37359716:-1 gene:GSCOC_T00005044001 transcript:CDP17121 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKRKTRDENGHKNRGEFKKKKKNGRNSSKQDREARRRTGPRLPNALRKELDLLNSTTQLSDDDAASDSDVAATNDLYEYEEALPEEESKKNKRFDSVDNYEYELPEEFEDEDVASDDGNDEGDEANRDGDELDNEDDGRHSRMLQEITGLPGDAFEGKRKNNDFVISEAYPESEYNPSRDILEGDSRISIEDLLDPLHGKSGFSKLRKDVHRMERKSLSLNAPLPRSDQERLERKAAYELSKKDITKWEPLVKRNREAPTIYFDEETDVGFSTVGSIASEFKPRSVFEKKIASLVNDNDIIEAHRKDGARLLELNKISVEDVRDQQNRLAKMRSLLFRHELKSKRIRKIKSKTYHRLLKKDRLKATAAAMEMNPEAAKELAMKQEFKRAEERLTLKHKNSSKWAKRILQRGLNIQDDGTRAAIAEQLNQHALLTRKMNSMMGSSDESSDEDDSDDILIDSDQEGPSTMLKKAKEKTLEVLEGNEELPKSGVLSLPFMVRGLKKRKEAADEEARLALQDYELSLKQLEDKNEEDSENLHVSSGRRVFGATKRQVQEFKDKNNSDNYYGNSDSDEGLEAIGGDEEDGMDKNNESLTDVNINPDVLREESEIGHDPIFKSFEDIVKEPGPRTTYEVALFASNSSKKKKNVDEKIGVQNEEVSDCHTTRYTEMRDLDMEGENADSDTESEGQMVDGILSSGPKSTYEQPSQEELIRRAFAGDDVEEEFEKDKEVVLNEENPEPEKPTLLPGWGQWTHIQKSKGLPSWMMEEHENAKKKRAEALKKRRDAQLNHVIISEKLDKKAEKLHMKTLPFPYTSKEVFEQSMRMPIGPEFNPATAIGALNRPEVVKKAGLIIKPIRFEDVDPHEKVENNRSKRQKQQMSKSKGKSNKNMKSRGP >CDP08508 pep chromosome:AUK_PRJEB4211_v1:1:22683743:22685883:1 gene:GSCOC_T00027440001 transcript:CDP08508 gene_biotype:protein_coding transcript_biotype:protein_coding MKGALVLPLLILVCLVASVQCFAGKKHDPLLKHLKARRSSKKSVNYVTEELGNEYSPVYVGPQEGLKEADRITTLPGQPNAVNFDQYSGYVTVDPKAGRALFYYFAESQNSSAKPLVLWLNGGPGCSSIGAGAMTELGPFRVNKDGSTLWLNPYAWNTGKNLINELQSNRHQNFEKFTINSTSFVSFNVVANVLFLESPAGVGFSYSNTSSDYITGDTKTAADSYTFLVNWLERFPEYKTRDFLITRESYAGHYVPQLAQLILHNNKITNQTVINLKGIAVRNTSDACQTYEDQADSAKGNIDDYNIYAPLCSSSSNTRTTISEFDPCSDHYVYTYLNTPQVQKSLHANTTVIPGPWDSCNDYIHLNLDDEPDTVLPTIKELTESGISVWIYSGDTDSVCSVTTTKYALNKLGLLKLMINVTKKVSFKFYSHPT >CDP06941 pep chromosome:AUK_PRJEB4211_v1:1:28028579:28031078:1 gene:GSCOC_T00023993001 transcript:CDP06941 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHTLQEAEISLGRNLTFAETLWFKYSAQKSDYILYCHNTLFLFIFYTLFPIPFVILELLGSKKIDKYKLQPKFKNSFSDMMECYKKVMWTFVYAVGPLQVLSYPVIKWIGIRTSLPLPSGTEVFWQLVVYVVVEDYANYWLHRLMHSPWGYNKIHRVHHEYTAPIGFAAPYAHWAEIIVLGLASFLGPLMVPGHMLTFWLWFILRQLEAIETHSGYEFPWSPSKLIPFYGGAVYHDYHHYVGGKSQSNFASVFTYCDYIYGTDKGYRYQKNVFEKTRDSLRSQSDIKSE >CDP03911 pep chromosome:AUK_PRJEB4211_v1:1:34214658:34215400:-1 gene:GSCOC_T00016415001 transcript:CDP03911 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMKFSVGTELGNNRGRYQSGRVSGFRNEGVRGRGNYGGGRGYSRGDFGGRNEFNNRGGNRGVASNRGGDGYQRSDSNGGRMNRSGGGMANGTTKNMTPRVSATA >CDP06935 pep chromosome:AUK_PRJEB4211_v1:1:27991676:27992993:1 gene:GSCOC_T00023987001 transcript:CDP06935 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGVSIRIQKLQDEGGKIFEKDGILYNCAFTLCNQKRGNNFHIMQLIIVPENRLHMYQKRGRIGDIERADDQLNERENIDNAITEFVKIFEEVTGNEFEPWEREKKFEKKPGKFYPLDMADGVEVRHGAIGFRQLGLAVVHSKLDPMVANFIKILCSQEIYRYALTEMGQDYPEFPIGMLSNVHLNRCKAHFCNLLLPPTMKKVKHDINNGTEEVFKSIGCTYAKED >CDP08439 pep chromosome:AUK_PRJEB4211_v1:1:20182988:20186725:1 gene:GSCOC_T00027322001 transcript:CDP08439 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGFLSNMNIPWFNSQSSENMASTVLSSGVGLKPNKQMASFDIKFFGWSLLSLIPWTINSKQKIQMPTTVNKELKKHAKPNYGAKSLNQYSAIRFRPYVSKVPWHTGIRAILSQVFPRYGHYCGPNWSSGKNGGSPIWDKRPIDWLDFCCYCHDIGYDTHNQAELLKADLAFLECLEKPNMSNRGDPCIANLYRTMCISGLRSVLIPYRQHLLKLQAGQLTVNFGWLDDLKLRGWNLQKDLKRDLSSFSRGWLRNMKWKGWNLDKV >CDP17372 pep chromosome:AUK_PRJEB4211_v1:1:4269952:4270788:1 gene:GSCOC_T00009723001 transcript:CDP17372 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVCFFFSFFSAIGFSSSLASKIRDINTNLERINRQANELGLVRKHQKEADATGSMTSRPSDSIVVPNVVGRAGDESKIVEMLLTPSERVVSVIPITGMGGLGKTTLAKSVYNNTKIDENFGIKSWVCVAREIKIVELFKLILESLTRTKVEVDGRDAIVQEIRGKLGEKRFLLVLDDVWNCEQEFWSDFFTTLLGLSTTKGSWCILTTRLQPVANAVPRHLQMNDGPYFLGKLSDDACWSILEKLVVVGEEVPNELEALKKQILKNVMASHLQQS >CDP08463 pep chromosome:AUK_PRJEB4211_v1:1:21473812:21477657:-1 gene:GSCOC_T00027370001 transcript:CDP08463 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILILAVILMIMRTKGRRANLSPPLGLKVTGSPSIYTVVYHLQVLTSWGRLRRPSLRKATADKKSSKVSHEVSLPSQSSYGQAVVNGINVLCGVGILSTTCAVKEGGWVGLSILFIFAVVSYYTGILLHSCLDSQPGLETYPDIGHAAFGNVGCIVSFEELQDLQHSHLDNLYCGILEER >CDP17515 pep chromosome:AUK_PRJEB4211_v1:1:19871169:19874690:-1 gene:GSCOC_T00011454001 transcript:CDP17515 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIRVALQTVVSLAADHVNLAREFPKELERLEKSAAMIRGFLAGADKDMRRPGVQNWLEQLEDEVFKADNVLDELNYKNLRRKVKYQNQPLKKKVLFCFSFFNKIGFRWSLGSTIREINTNLERIHGHARGLGLECKGQVEETSGAATSRQTDSKIVRSDVLGRDEDESKIVKKLLTESESDRISVISITGPPGLGKTTLAKAVIVEGIETELKEKRYLLVLDDLWNHQEGLLNDCFTTLEALKPKKGSWCLVTSRLQEVAIVLSRHRRINFTCHDLGKLYDDDCWSIVKNWATVGEEVPKELEDTREQVLRRCDGLPLAATLIGGLLSKKRKEDWLSILEESLLNGDQGGIEQILKVSFDHLSPAPVKKCFAYCSIFDQDTKLEQDLLVEHWMAEGFLQPDTQNERMMEQIGYEYLRILLQTSLLEEVKEERRTWYKMHDLVHDFAKSILNRSSSNQDRHLAVYSSERTNEKASASLRTLFLEGGMADDMLSKFKYLHVLKLFGADVKELPTSIGKLIHLHLLDISGSWITILPKSLCKLYCLQTLRIGKLEEGFPKKMSNLISMRHFHYDDDAGRKIQMPSSIGRWTCLQTLEFFNIGHQEEGRGIQELGTLQDLKGSLEIRNLELVNGKDNAKLANLSKKPNMHRLVLEWGNRDPESDKCDEDVLEGLQPHPNLKELHIRKFRGNHIPQWLVKSSTLVELHLINCRELPVPTLSSLQHLYGSGTTSIQTFFPALKILSLECMKNLEEWKDANVMDVFPVLEKLYIRDCPQLTTIPTPMIRPENSLSIDGRDSLSTDMLERLCLFPSLQSVELWFCSNITTLRGMSCAACLKRLVVIDCENLWELPEDLYQFQALDLLKSLEKLTIGDCKGLTRFPVEMFESCTSLRELQLLNCPNLVSFPLDLRRTPSLEVFSLKSCPNLITEMPSGFGYLTSLRGLLIGPILDYSVMEFDWAGLASSSTLRYVSLWGMPDTKSLPHQLQNLTTITSLRLQNFGAIEALPDWLGNLASLEELFLFSCQKLEYLPSIAAMERLKLRSLEIYYCPLFS >CDP07080 pep chromosome:AUK_PRJEB4211_v1:1:29414887:29420636:1 gene:GSCOC_T00024187001 transcript:CDP07080 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADLQLHLPTQRKKKHSGQLKKEELEREVDVLRKMLDHEEKVHEFLERAQHRQDGTSSSSLGIPNFLPPKMKELLAELAMVENEITRLESQISQLQAEVKLEKEATAESKSKQLRQPPPSQNMNPDLDHFPSHPEEGNKELLKEKVSFETKALHFISKAIKGDYNLSDFSISDKALKSRILSDQKENQFQEQSDAHRNKGGKRSGMLIPASPMREPRQPTPRRDRRLETSSDPPSKIPSAPQDAEEESINKWAPNKLSENIVKCLHFIFVRLLRTSRTMELEKSGPISRSTNFSLSFRAEPSLNSKASLLLQKDSRQQDPYGIFDLEESITRDIGPYKNLVRFTANSIDPKCISNSSSIALFQKLKLLMNNLQKVDLKHMNYQQKLAFWINMYNASIMHAFLQYGVPSNSSPEKLLSLLNKAKLNIGGTAVTARTIEQSILRKPESSLIKEVPGQADKKDNTNLESKVRERYGLEPADPNVTFALCCGTRSSPAVKIYTAEGVMAELEKSKLEYLQASIIVTGTKRIAIPEHLLRHMHDFAQDVESLIEWVCHQLPTSGSLRKSMVDCFRGIPVGKASTIIDKIPYDFEFQYLLAI >CDP03731 pep chromosome:AUK_PRJEB4211_v1:1:35508017:35512190:1 gene:GSCOC_T00016204001 transcript:CDP03731 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEPSPLDLLFKSKKILHSSTPTPSDKNPQPHPHPDPNPSPWSSKTPEKPIVPPRRTRNSAAALSLKEVRLAAKNLQEPALHQKPRPDPLMPAALKERIPSWPESSPAKVNKPDNSIKLPEKYDILDKFFSSLDSSIRLLRMKGSTTTFTNISPKMECLTDRRFTYSHLAQLKFILPEAIEIKRILMHDERTSCMKPDLYIALHVDELEKNVKKKSDGGNVQLRKIFHSRLLDFFKSHPEGDEVPEEALPEPFNQSKTIIETNLPRISESSTVGETQAVALSEQQPIAASHLSRSFTRHFSHKVTGYETGESKQQERVVSDHASSLVSEPDFAQINLDPKNCTKVPSKMRRIAESSSTRACPLSPPPATPVKDTSSCMGADCPPKETAAIQGTPSGSASTPVKFMSATPVLQPPKRCYMSPDDDLNQLPNKLVRRPSRSRSLKFDNPVKSAAGDDEVQKAEFSSVDSDVLDVLSDNLIQSIREKERKAFLEQDPAISQAKWRKQMLASLPRLFDMIYFLFQSIRRSVITKEELMHNIISNQLRVVDRREVEEQLKLLRELVPEWIYEKSSSSGDSLLCVNKISSPEILRTRLAEAK >CDP08988 pep chromosome:AUK_PRJEB4211_v1:1:30887501:30890324:-1 gene:GSCOC_T00028147001 transcript:CDP08988 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMLVVVLLWTTIFVFLSTLVYRWIFSSKDQQVPRGPSALPIIGHLHLLGPNLHRSFHQLSLHYGPLLHLRLGSVPCVVASTPELAREFLKTNELSFSSRKLTPAIKLVTYDASFAFAPYGPFWKFIKKLATQELLGSTNLRNFEKIRKMEVHAFLSDLMRKAKVGEEVNVTEEIMNLANNIISQMMFSLRCSDGESDQAQQARTVIREVTEIFGEFDVSDIIGFGGSLDLQGIRKRAKNIHTRYDTLLEKIISDREILRSAKRLQNREDGGSNEANDFLDMLLDTMENQTSEVKVTRNNIKAVILDFFTAATDTTPIAIEWALVELINNPRVLEKAQEEINKVVEGNHRLAEESDTPHLPYIQAIIKETLRLHPPIPMLIRKSVNECNVAGSKIPAHALLFVNIWSIGRNEKYWDNPLEFRPERFLEPNGDGDPSRIRDIKGHHFELLPFGTGRRGCPGMSLAILELPNILALILQCFDFEVPALHAGKTEGAVLDMAERPGLTAPRANDLICRLKSRIDHPLNILSSPQSRDMS >CDP03834 pep chromosome:AUK_PRJEB4211_v1:1:34711497:34714276:1 gene:GSCOC_T00016326001 transcript:CDP03834 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKICQVCDDAQSKYKCPRCLVPYCSLVCFKKHKEIPCSKPESSSQAPKRAVHLGKPYYVHDPSESLQQVQLESIACSSEIRDILKDKELQKLILNVDGSAEAEKELGKAMEVDAFRIFTEKILSIIGPKV >CDP07018 pep chromosome:AUK_PRJEB4211_v1:1:28877569:28878313:1 gene:GSCOC_T00024100001 transcript:CDP07018 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTTSCFWLVRCIFGSVLYSLYFFISLYLSKKLKPIAYEWHILVIYMVGQCRFAVGQTNPNEQLWHYESPPSDMDNLNPT >CDP09105 pep chromosome:AUK_PRJEB4211_v1:1:31717374:31719305:1 gene:GSCOC_T00028302001 transcript:CDP09105 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTRNKKLDFPERLFHCSWLMIFCTHSLCHLFNPTYVMFFLINFAAQTSRTIKQKVMLLCKVLSGKICKSLAISGLFLLLMYLYLSSHASNQSSALLSAVQSRWKPSSPNLNSPTKISDIVFGIAGLSKTWGYKRWYVESWWRPNTTRGYLFLDTAPTRHFPWPRSSPPFRVSEDISKYDKYYKHGRPFFIRILRVIEEIFRVESEGARWYVMGDDDTVFLIENLVEVLSRYDHRKYFYIGMPSECVISNFVNSFEMAFGGAGYALSYPLAKAVVKNMDVCIKRYSTAFASDFIMHSCIADLGVPLTRERGFHQIDLHRDISGFLSALPHTPLLTLHHIDAIDPIFPSMNRPESVAHLMKAAKVDQSRLLQQSVCYFKRSNWTFSVSWGYSVQIYEDIIPPSILHKPIATFIPWKKGANPPYMFNARPPSTNPCEAPHALFFGGVEEARANHFVTSYTQRSQRGLGTCSSSGNHSAEFISKIYVLSPMEKLEWDGSRRECCDVVQLAGMNSRGIKLRTCMKDEIVA >CDP09712 pep chromosome:AUK_PRJEB4211_v1:1:25047088:25047928:-1 gene:GSCOC_T00030152001 transcript:CDP09712 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLTEAAQSGDINILYELLRLDPTLLDKFDKPSFLDTPLHTAAAAGSTHFALEVLSLKPSLGIKLNPDGYTPLDLALQNRRFQTVKRLIQQDPELIRGKGRERYTPLHHVAEVGTADLLADFLVACPKSIQDLTIRGETAVHIAVRKTKLEALQVLLGWLQRTNNEQILNRKDDNGDTVLHVAASKNQLEASVFPFFIFKDFSCVFAA >CDP09070 pep chromosome:AUK_PRJEB4211_v1:1:31487594:31491448:-1 gene:GSCOC_T00028260001 transcript:CDP09070 gene_biotype:protein_coding transcript_biotype:protein_coding MRERKSAKFNFQEQQQQNGHLSPFKFAKLFDPDASWDKDQLGDVLHWIRQVVALVCGLLWGAIPLVGGIWIILFLVLSSGIVYGYYAVILKVDEEEFGGHAALLQEGLFASFSLFLLAWTLVYSLAHF >CDP09619 pep chromosome:AUK_PRJEB4211_v1:1:23310465:23312557:-1 gene:GSCOC_T00029995001 transcript:CDP09619 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVLALLALFLLIARISGAQYIGISYGLNGNSLPSKQDVINLYNRIGIHRMRIYAPVPEVLNALRGTNIELLVDVANEDIQSIATNPSAAVNWVQNNVKKYSPAVKFRYISVGSEVPLSSNIAQYVGPAMEKIQNALASAGLQNQIKVSTSISAGLLSVSYPPSQGLFSNEAKPFIKPIIDLLVRNNAPLLVNVYPYFSYIGDPVDISLDYALFTSRGIVVQDGSFGYQNIFDAILDAHYAALEKEGGSSVNIVVSETGWPSDGNPPAASFANAGTYYWNVISHVKSGKGTPRRPGRGIETYLFAMFDENQKPGAQTERHFGLFFPDQQTKYGITFD >CDP03964 pep chromosome:AUK_PRJEB4211_v1:1:33868131:33876235:-1 gene:GSCOC_T00016479001 transcript:CDP03964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fimbrin-2 [Source:Projected from Arabidopsis thaliana (AT5G48460) UniProtKB/Swiss-Prot;Acc:O50064] MAGYVGVLVSDPWLQNQFTQVELRSLKTHFSTIRRENGGVLKVADLPARMCKLKHVGESLTEEERSAFLQDSYQNLDEDVDFELFLRIYLKLQAHASARMGSVKTSSAFLKSPTSTLLHTISESEKASYVAHINNYLAEDEFIKKYLPIDPSTNDLFEIAKDGVLICKLINVAVPGTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGNEDFIEGRRHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSKDIEELMSLAPEKILLRWMNFQLKKAGYKKTVTNFSSDVKDAEAYAYLLNILAPEHSSPSTLATKDPLQRAKLVLEHADRMGCKRYITPKDIVEGSPNLNLAFVAHIFQHRNGLSAQTKQISFLESSPDDTQISREEKAFRFWINSLGTSIYIDNIFEDVRDGWVLLEALDKVSPGIVNWKIASKPPIKMPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRCNMLQLLKNLRFHSHGKEIMDIDILEWANTKVKNSGSNSRMCSFKDKSLSDGTFFLELLSAVNPRAVNWSLVTKGGTEEEKRMNATYIISIARKLGCSIFLLPEDLIEVNQKMILTLTASIMYWYLKQPTEDQTSCGSSDSESLLDTTSNSATDDTASESSADENSNR >CDP11108 pep chromosome:AUK_PRJEB4211_v1:1:7009131:7009475:-1 gene:GSCOC_T00033148001 transcript:CDP11108 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAATTTITILFFLSSHHNASTRLFLRPSASAAFHSSSRPSFSIKSLSTTLTQDDLKKLTADKAAQYVKSRMALGLGTGSTAIFVVAKLGELLATWTLSESPPQNEPKNRWLL >CDP07026 pep chromosome:AUK_PRJEB4211_v1:1:28933028:28934035:-1 gene:GSCOC_T00024109001 transcript:CDP07026 gene_biotype:protein_coding transcript_biotype:protein_coding MDALEEFSGSNDHSIVIKGKRTKRRQRPLSPVGRAAVVTSSSSSGGGGDDQGGGKDGEVLGYIYSLIPSCNTSTSDISISATSTDQEDEDMANCLILLAQGESCRKQVDQDRESKMEKFTSRRFNEMATTTTGGKVGFFVYQCKTCNRTFPSFQALGGHRASHKKPKINIEDNKATIVTPSNDHHHHQEEEEEEEEEKESAGEEQAAGQLIGRQSPPLSIQSTNKPCSTAASNKASKSHECSICGAEFASGQALGGHMRRHRNSMTASTSKVATILETSPSIDHHDQKPRNIFPLDLNLPAPSEDDVHRDLKFQFSANQQPHLVFSAPALVDCHY >CDP09189 pep chromosome:AUK_PRJEB4211_v1:1:32352601:32354054:1 gene:GSCOC_T00028416001 transcript:CDP09189 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLTVSFFSVSAMFSEIMSLLFYNLIRYRFEPLVLLRRLEKTFVVAPQNIRLVKTEAKFDLV >CDP03863 pep chromosome:AUK_PRJEB4211_v1:1:34530567:34533930:-1 gene:GSCOC_T00016360001 transcript:CDP03863 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFSSHEKKASKKYERGPHLVVRPPTEPPQQQPRPAQCQQPRPGKFDSSSQDGSFTNNPRKFPGEATNHKADHKDGANNIAAQTFTFRELATATKNFRQECLLGEGGFGRVYKGRLEKTGQEIAVKQLDRNGLQGNREFLVEVLMLSLLHHQNLVSLIGYCADGEQRLLVYEYMPLGSLEDHLLEVRPGQPPLDWFTRMKIALHAAKGLEYLHDKANPPVIYRDLKSSNILLGREFNAKLSDFGLAKLGPVGDKSHVSSRVMGTYGYCAPEYQRTGQLTVKSDVYSFGVVFLELITGRRAIDTTRSPQEQNLVSWAEPMFREPSRFSELVDPLLQGNVPRKSLNQAVAVAAMCLNEDASVRPFISDVVTALSYLWVGPDSPGLTANSPPVTSTDTVENNREVDDISANERQKAVAEAIEWGSNSRTQNIRSVSNGSSV >CDP08459 pep chromosome:AUK_PRJEB4211_v1:1:21210476:21216117:1 gene:GSCOC_T00027363001 transcript:CDP08459 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETIKLPAIDFSQPGLKPGNPEWDSVKGQVRKALEEFGCFEAMYDKISLELQKSFFKALEELFNLPLQTKQRNASKKIYHGYIGQYPIFPLYESMGLDDANILEKVESFTDLLWPEGNSNFCKTVHSYSEQISELDKIVRRMILESLGLEKYMDEHMELTNYLLRAMKYEGPQTTETKVGLPAHTDKNILSILCQDQIRGLQVLTKDGHWIDVEPSPGSFTVMIGDALFAWTNGRLHCPFHRVMMTGNIARYSAGIFSMPKAGYIIKAPEELVDEEHPLLFKPFDNVEFLSFLRTEAGKGAQFALKTYSGI >CDP09200 pep chromosome:AUK_PRJEB4211_v1:1:32425277:32426771:-1 gene:GSCOC_T00028429001 transcript:CDP09200 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLTSSSSTASSTITSTTASTSFGSNVHNSRSTPAGCIAGVFRRLLCLKSLPTHPSDHFKDTDHDSVSVELDRLQCTSTVSAEERVESSAAPSIVARLMGLESLPQTDFSAAEKSPSSIERSRSMNSVDLMKELVSIQGRHRRVKSFRETAPTFLELEDEDFFVLSFETIVGEESRKSETRSKQKKQRGRGANDKSQSSTGRRENVYEKNKENQDPMNVLNNQKQERTTDSRKASQRAVSEVMSDGIRPLSISSSQNSFEGKEVESRAKPINRKPENEFRRRMKKRKDDCLPVKKVESDCSDSENSSPNSVLDIVKFPCDPEVTSSEGLSRLTNSKSRRTLTEELENYRKLNHQYVDKSLTSNASGKSRTRSEGKCVELRKNDRGRQNNSANMWGENCELAEKDTIRSNWIPLSKEIQRFEGYREISGEFEIQIFEELLGELVDQLGGGTCHQNL >CDP16782 pep chromosome:AUK_PRJEB4211_v1:1:3724542:3730216:1 gene:GSCOC_T00019293001 transcript:CDP16782 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKAVYNKSQIHKHFDKKLWVCVAEKVDRIEEVFKMFLESLIGGKVEGDRREVIVQKIQDELKEKRYFLVLDDLWNDQEVLLNDFFSTLAGLNAKKGSWCLVTTRLQEVATILSRHPQINSTCHELGRLCNNDCWSIVKNWANVGEQVPRGLEDIREQVLGRCDGLPLAAKLIGGLLYNKKRKEEWLSILKESLLNGDQGGTEQIIKVSFDHLSPAPVKKCFAYCSIFYQDTELEQDLLVELWMAEGFLQPDSQNERMMEGIGYEYLRTLLQTSLLEEVKEERRTWYKMHDLVHDFAKSILNRNSNNQDRRQEEGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELANLSKKLNLHRLVFEWGYRDRESNKCNENVLEGLQPHPNLKELHIRYFRGDQFPQWFMNLTSLVELRVVNCRRCRELPALGQLPSLQHLYLTGLENIRSIGLSFYSTISRQTFFPALKFLSLERLKNLKEWKDAHEMRSTAGEVHVMDVFPMLETLSISDCPRLTTIPTPSRFPSLDVLEIKKDYHALLAEKVLSNIATLSSLELRGGFRQRTDVELRFAKNITTLRGMSCAACLERLIVIGCDNLREIQYCRRIDSFGYPNPKNSFGQKGLLKSLEEFSVEWCRELTRLPVEMFESCTSLRELKLSNCRSLVSFPLDLRRTPSLESFSLFKCPNLIAEMPSGFGYLTSLRKVEIGPFSDYSVIEFDWAGLASSSSLRHVSLHGMPDTKSLSHQLQDLTTITSLSLRDFGAIEALPDWLGNLASLDELFLFNCQKLEYLPSMAAMERIKLRRLAIRSCPLLKRRCTLESGSEWPKISNIQERDIRHKCQ >CDP13112 pep chromosome:AUK_PRJEB4211_v1:1:2188266:2192559:-1 gene:GSCOC_T00037909001 transcript:CDP13112 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MMNRDINGEGRKLNRGEEEEEEDEDEESGRGRDAWERAYADERSWESLQEDESGLLRPIDNKALHHAQYRRRLRTATTAGIQKGLIRYLYIVIDLSRAAGEMDYKPSRMVVVARQVEAFIREFFDQNPLSQIGLVTLKDGVAQCLTDLGGSPESHIKALMGKLECSGDSSLQNGLDLVHDLLNQIPSYGHREVLILYSALSTCDPGDIMETIQKCKGAKIRCSIVGLSAELYICKYLCQETGGLYSVALDEPHLKELVLEHAPPPPAIAEFAIANLIKMGFPQRAAEGVISICSCHKEAKFGGGYTCPRCKARVCELPTECRICGLTLVSSPHLARSYHHLFPITPFDDVSPSITNIPQKLPKSCFGCQQSLLNPGNLPGPCVACPKCKQHFCLDCDIYIHESLQNCPGCESFKQSNSVTNMEE >CDP04019 pep chromosome:AUK_PRJEB4211_v1:1:33496184:33497867:1 gene:GSCOC_T00016546001 transcript:CDP04019 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGMDSLLDKVQGVFHHDHSRNSSDEIDGDKHSKHPEKFRLFGRQKPVHSALGGGKPADIMLWRNKQISACMLAAATVIWLLFEWIGYRLLPFLCHSLILVLALLFLWSNLSFFVNRSPLELPEIVLPENLCMSFALLLRDRFNQAFGIFRQVASERDVKKFLGAIVALWLVSIVGSWFDLLTLVYLMFVALLTVPLLYEKHEDRVDAYAEKATVKLKKQISALDEKVLHKLPNITLKQR >CDP03881 pep chromosome:AUK_PRJEB4211_v1:1:34406076:34417071:1 gene:GSCOC_T00016382001 transcript:CDP03881 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCSSASALIVHAEVDSMGGVVEGGVDVGVGTKTSPRRAAIEKVQAELRQEYDVREERRRELEFLEKGGNPLDFNCGKAASVSVQSTSQTDQQPELFVTSEAKGSFAFTASPHGDSVESSGRPRAHSTCEPNSADNLMLFDGENDFIEGDRVAAQPNRTNVVSTEHLSQRDGNSNAKELGDSAAFSLPRKAYKRRTRPSRDGARSSSTDAVLARGSHGSSLPLRHGLRETKVLVSDSENQKEEKVSPNSDSKPTSSNGVKVCKSAPSEGQVDMELDCVKAVESVTNLIKGDALDAVVSSNASENIQNDQVNQQSVLDAQKSVSKVAFEETGSFKGKEEAVDMGLECQPHVPVMQPENQSSSGQVNGFSSIKGDDKRNDDHNNSASLGTKVLDSESSCTQTSLSLDGNNDTEMCTNVTIIDSNGIVKEQTSVVEGKPIIDGGQLVEEKTEIKADDSFTFVNDECNSAQQCHKENGYIEKAQEEITEGISDLQNEEKNRSGNEVRDHIVESTEADGCTGLGSGTEKRIIVLFGVNSDPKNENGCSVIPQGSADSSIPKVPEAASPGRVSIAASEGHTSSDVNFTATKADEDSILEEARIIEAKRNRISELSMTNLPMENRRKTQWDFVLEEMSWLANDFAQERIWKKAAAAQLCHQVAYMSRLRFHEQNNSWELKKVAHILARAVTEFWQSVQEEKKVQELQCSRKDCSLALQEYAVRFLKYTSSDVAHSQAEAPMTPDRISDVGITDISWEDHLTEENLFYTVLPGATETYRRSIASHVVKYEKTGSSIQEEVETSAYDAMADADFGSQENAYEEDEGETSTYDTSAAFEGSKALRFAQKKWKNSNKAYNSRTFEVVADSPFMQCMENKAVNQQPVLMGKRPAGSLNVSFPTKRVRTNNRQRVLSPFSAGTSGCVQMTTKTDGSSGDTNSFQDDQSTLHGGSHLQNNMEVESVGDFEKQLPFDSTEISTKNKKKKKPKHLGSAYEHRWPLDANFQNEQREHSKKRSESLQLESNGSSGLFGQHIVKKPKMMRPSLDNSFDSGAPIGGSAPSPVASQISNQNKLMKMFSNRDRGRKNKGLKTPASQSGSGSQWSLFEEQALVVLVHDLGPNWELVSDAINSTLQFKCIFRNPKECKERHKMLMDRTGDGADSAEDSGSSQPYNSTLPGIPKGSARQLFQRLQGPMEEDTLRCHFEKIIMIGQKLHPRRKQNDIQDPKQLQPPHSSHLLALSQFCPNYPSGESIPTPLDLCDATTPNSDIVPLGYQGPHTTGLAMANQGSMAPMLNTCAANSSGPGSSNMIIGNNFSSSPGPINASVRDARYAVPRSASLSAEEQQRMQQYNQMFSGRNIPQPNLSSPGALPGNDRGVRMLPGGNAVGINAGINRGMPIARPGFQGIASSSMLNSGNMIPSGMVAMPCPVNMHTGVGSAQGSSTRPRDAVHMMRPNQNQDSQRQMMGPEFQMQASQGNNQGIPTFGALSPSFPNQTASPPVSSYTVHHQQPHGMSPQQPHVINPHHPHLPGTNHASSPQQQAYAMRLAKERHLQQQRIMQQQQQQFASSNSMMPHVQPQTQLPISSPPPNNSQIQSQTPSPPVSLSPWSYKSGQQAKAAPAAAATVSANWQASSSAATTNSVSTASQSFKRREREHDDASEYCD >CDP15753 pep chromosome:AUK_PRJEB4211_v1:1:15543419:15548682:1 gene:GSCOC_T00015810001 transcript:CDP15753 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVKTQLKSSSSSGENGKEGEKQNHFSYAMQLVSSASLTMVLYNAVKLNLFEIIAKAGPGAKLSPSEIASQLPVTNNPDAASMLDRMLRLLSSYSLFTCDVVEVAVDGGGGGETNVGYERVYGLSPVAEYFVPDEEGNSVAPLVELLQDKVLIDSWYELGNAVLEGGIPFNRVHGVHAFDFPSRDPKYNELFNKGMVGPTAIMMKELLQQYKGFEHLQTLVDVGGGLGITLHKIISKYPSIRGINFDLPHVIENAPSYPGVEHIDGDMFESVPGGDAIFMKMILHDWSDDHCLKLLKNCFKALPGHGKVIVVDLILPVKPDTSAFVKGIFQADALMMTQNPGGKERSESDVRALAIRAGFKDVKLQCLVGNVGVLELYK >CDP03748 pep chromosome:AUK_PRJEB4211_v1:1:35396767:35400976:1 gene:GSCOC_T00016223001 transcript:CDP03748 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G06210) UniProtKB/Swiss-Prot;Acc:Q9LNC6] MDKLDKLKMASSSFGERLKTGGAQMSRIVSSKMKEILQAPTPESKMVDEATLETMEEPNWGLNLRICALINSEEFNGTEVVKAIKKKMLGKSPVSQGLSLDLLETCTSNCDKVFSEVASEKVLDDMMKLIDDPKTDHENRVKAMQLIRAWGESEDLMYLPVFHQTYLSLKTRTLPSGLPDGNMPPMQHPLETYMDQDPLSPPESYPMPDTGLHHSENATYNGYAGKSVEEKRESLAVTRNTLDLFSSILNSEDDQKPAKNELTDSMLENCKQSLPVIQGIVESTVDDEGLLFEALSIHDELQQIISRYQQMEDPLVPGGTEPKHGGAGGREPDASGVKGGSSLVQVQNQSETERTEVSKVESGSDNLRSVLLESGGVEGGAKERLDAH >CDP03766 pep chromosome:AUK_PRJEB4211_v1:1:35239801:35246593:-1 gene:GSCOC_T00016245001 transcript:CDP03766 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSASVELESYFPVRPQRQEDVPKTRFKPRVGKTLSPRRWNAAFSQDGQLDIAGVLRRIQRGGIHPSIKGAVWEFLLGCYDPNSTFEERNEIRQRRREQYAAWKTECKEMAPVIGSGKFITAAIITDDGQPIIETSVNGNLPDDFGGKPSDNGSADKRVIQWKRSLHQIGLDVVRTDRILVFYETEKNQAKLWDILAVYSWFDGDIGYVQGMSDICSPMVILLDDEADAFWCFERAMRRLRENFRCSTTSVGVQSQLSTLAQIIKTIDPKLHQHLEELDGGEYLFAFRMLMVLFRREFSFVDSLYLWEVMWAMEYNPNMYLLYEEPNSSCQNGSQKINNKLLKLYGKFERKNVQTGWTEQKTALAVFVVASVLETKNKRLLKEAKGLDDVVQILGELTGNMDVKKAVKEALKIHKKYLSKAKKS >CDP03844 pep chromosome:AUK_PRJEB4211_v1:1:34645706:34649679:-1 gene:GSCOC_T00016338001 transcript:CDP03844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative kinase-like protein TMKL1 [Source:Projected from Arabidopsis thaliana (AT3G24660) UniProtKB/Swiss-Prot;Acc:P33543] MEEGEDEESGGGGGGGGEGKLILFQGGEHLTLEDVLNATGQVMEKTSYGTVYKAKLADGGTIALRLLREGSCKDRGSCLPVIRQFGKVRHENLIPLRAFYQGKRGEKLLIYDYLPNKTLHDLLHESRVGKPVLNWARRHKIALGIARGLAFLHSLETPITHGNVRSKNVLVDDFFVARLTEFGLDKIMVPAVADEIVGLAKVDGYKAPELQKMKKCNSRTDVYAFGILLLEILLGRKPGKGGRNGDFVDLPSLVKVAVLEETTMEVFDVELLKGTRSPMEEGLVQALKLAMGCCAPVASVRPAMDEVVRQLEENRPRNRSALYSPAETRSESGTPF >CDP17123 pep chromosome:AUK_PRJEB4211_v1:1:37343071:37345857:1 gene:GSCOC_T00005046001 transcript:CDP17123 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVSPTPPAPAIANTRGSLSHAAAIRKRNLTPRSKLNHQNDSLYQAAIERASLPVLASADPLFVDPYAGCLVPTNKFIEVDVTPRLPLYCLATKFIDDKLLNALGDDDDLRQVVLFTDGMDTRPYRLNWPRSTLVFDISPQRVFGETTLKLKEVGARIGRSCMFIHIPCESANIEEMMRNRGFKGARPSIWVFQGLPLVNLARFKEILSVISNLATKGSVLLGELPSWLSAVDAGIKSTKTQWMDNLFMSNGLRVNIIGYENVARDFEKELEKGDDNHILFTAEQLRFSDEQMETWSREFQRIEEEADEEGFEEL >CDP03723 pep chromosome:AUK_PRJEB4211_v1:1:35563789:35573074:-1 gene:GSCOC_T00016195001 transcript:CDP03723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Poly(ADP)-ribose polymerase (EC 2.4.2.30) [Source: Projected from Oryza sativa (Os07g0413700)] MASPPKAWKAEYAKSSRSSCKTCRMPIDKEKLRLGKMVQATQFDGFMPLWNHADCILKKSKQINSIDDVEGLELLRWEDQQRIRKYVDGGGPSNASTAAVIERGVEVSQTSRASCRNCGQKIMKGEVRISTKPDGQGPRALAWHHASCFMEMSPTTQVEGLSGWDTLSSSHRATLLALDKKASSNAKDKEPLLDSTSKAGAKRKRAVGGNGKSICAKGEEDPSASEKLSDRTNDSLGTKSAKAPELDIQLEEQTRALWALKDDLKKHVSTVELREMLEINSQDSTGSELDLRERCADGMHFGALAKCPLCSGRLRYLEGMYRCHGYLSEWSKCSYSMTEPVRVKGKWKIPKETSNEYLLKWFKSQKSKKPARIMPPSTPTGPSGNQAANRILQASKSESLGDLRVAIVGELKASLEEWKSRIKEAGGQVHLKIKNDTNCLVVGGPLSDQDTEIKKARRMKLPIVQEQYLVDCIKRRKKLPFELYKIEAVGETHSMVTVKVKGRSAVHESSGLQDSGHILEEGKSIYNTTLSMSDLSTGVNSYYILQIIQDDQGSDCYLFRKWGRVGNEKIGGNKIEELAKSDALSEFKRLFLEKTGNPWEAWEQRKNFRKQPGRFYPLDIDYGVNKNLSKKKSFDSTTSRLPPALVELMMMLFNVETYRSAMMEFEINMSEMPLGKLSKRNIQKGFGALTEIQNLLSSTAHDPARRESLIIAASNRFFTMIPSIHPHVIRDEDDFKSKVKMLEALQDIEIASRLVGFGGDDGDSLDDKYKKLRCDISPLPHDSEDYLLIEKYLHSTHAPTHTLWSLELEEVFALEREGEYDKFAPYREKLGNKMLLWHGSRLTNFVGILSQGLRIAPPEAPASGYMFGKGIYFADLVSKSAQYCFTDKKNPVGLMLLSEVALGEVYELTNAKYMEKPPAGKHSTKGLGKNVPQESGFLKWQGDVVVPCGRPVSTLKPSELLYNEYIVYNTAQVKLQFLLKVRFHHK >CDP06882 pep chromosome:AUK_PRJEB4211_v1:1:27434274:27437927:1 gene:GSCOC_T00023919001 transcript:CDP06882 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAIPLPLRLSYSNLYSPKRRRPTSLVPAILSVLRTSQHVSEFAGDEVLEAFIKEREVTGDFIAKVSDRIWLKEAIDSLNTNSQKTIAADFSDNTPQLSEQEVLDENEGGGFLKLKRTNEWVLGDTLIAPVNKKMSIKELQNDGEKRRKLNLLRYEALKRELLLLTAGIGTACGGYCLVVLSVQAALSYGIGVLFSCLYFQLLCQHADNLSKEKVPDIFMQKKSKKIGIRSEDLQDLFERSIKGTGLALSSPRLVIPAAIYGLWELSQHFAHDLLDFQLVPAMLGIFAYKAAALVQVYRDNEDLQFIFPENEERSGN >CDP13124 pep chromosome:AUK_PRJEB4211_v1:1:2073759:2074082:1 gene:GSCOC_T00037929001 transcript:CDP13124 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTSAAVSIPSFTGLKASGAPASRVSSTVKVFAASPKLAVKASLKEVGAAVVATAASAMLATNALAARDLAWFFWWRADFRPKRVQCGFWRENCFSRTMPGSPTM >CDP06863 pep chromosome:AUK_PRJEB4211_v1:1:27266593:27267643:1 gene:GSCOC_T00023894001 transcript:CDP06863 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKTKQMFKVIFTANGGCGCGKPKPNEVIEPKPKGKASSNTPIYHSSSSSWDRGGGGNSIDDTSTTPLPKFGDSVAVVKESDDPYQDFRQSMLQMILEKEIYSKDDLQELLSCFLRLNSPSHHEIIVNAFMDIWNGVVSEKSDPANKQKNQQQEIQCHGGINKLV >CDP15560 pep chromosome:AUK_PRJEB4211_v1:1:25833668:25838273:1 gene:GSCOC_T00015448001 transcript:CDP15560 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEILKLRLLLLAWFHLKSRSTSVYFVKCFSYKDLKKATDRFKRIQDSSINGTTYRAKFHNGHIAVVKEIRMFDNLDDDAFCRKVQLLSRLHHRHIASLSGYSAGNKRFLVYEHLEKGSLKDYLSDPLKTPLNWRTRLQIAIGIASALEYLHFFCDPPIYHVSINSSTVMLDDNFSPKLCDVGLPSSAGSHKTLPNSSERERCDKSCNDSIFQLGLLILELITGQSSDNGGVDLVQWVQESRLPTSISMMIDPDLGNSYNSRELRSLLAVARLCIESVNKPSKCSSQILLRYLTTK >CDP04063 pep chromosome:AUK_PRJEB4211_v1:1:33123594:33126551:1 gene:GSCOC_T00016600001 transcript:CDP04063 gene_biotype:protein_coding transcript_biotype:protein_coding MENTVSVLMERYELGRLLGQGTFAKVYYGRNISTGQSVAIKMIDKEKILRVGLIDQIKREISVMRLVKHPNVVQLYEVMATKTKIYFVMEYAKGGELFYKVAKGKLKEDVARRYFQQLVNAVDFCHSRGVYHRDLKPENLLLDENENLKISDFGLSALAESKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADVWSCGVILFVLLSGYLPFHDSNLMEMYRKIGKAEFKCPNWFPPEVRRLLLRILDPNPSTRISIAKIKDHPWFKGGLNSKLVKPNIENKEVASSSTDAGTRSLENSSRATDGTQDLLALTNLNAFDIISFSAGFDLSRLFEESSRKKEARFTSWQPAAVIISKLEEVAKRLKLKTTKRDRGLFKLEGKKEGRKGILSIDAEIFEVTPEFHLVEMKKSSGDTLEYLKILDDGLRPGLQDIVWVWQGEQERKESEQQEQQIQHRQLETQVSEDQQQQQQQPPQLLILQDKLP >CDP08955 pep chromosome:AUK_PRJEB4211_v1:1:30563323:30565945:1 gene:GSCOC_T00028103001 transcript:CDP08955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 13 [Source:Projected from Arabidopsis thaliana (AT1G07880) UniProtKB/Swiss-Prot;Acc:Q9LQQ9] MENDKENENNKAMVQLKGIPTHGGKYVQYSVLGNLFEVTSKYIPPIQPVGRGAYGIVCCATNSETKEEVAIKKIGNAFDNRIDAKRTLREIKLLTHMDHENIIKIKDIIRPPEKEKFNEVYIVYELMDTDLHQIICSPQALTEDHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTTETDYMTEYVVTRWYRAPELLLNCSEYTTAIDIWSVGCILLEIFKREPIFPGRDYAQQLGLIVELLGSPDDEDLGFLRSENARKYVKKLPIFPKKPFSHKFPDVSPVAIDLAERMLVFDPSKRITVEGALNHPFLSSLHEINEEPTCPSPFIFDFEQTSLSEEEISELIWKESAKFNPDKTES >CDP03845 pep chromosome:AUK_PRJEB4211_v1:1:34641441:34645607:1 gene:GSCOC_T00016339001 transcript:CDP03845 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSIKCFSLCSLLTIMLVSLNAGAERDSYVKDGEVLQSTGNSTIPLRPNEEAEFKHEHAVDDPEMVASMVDMSIRNNTERRKLGFFSCGTGNPIDDCWRCDPNWQRQRKRLADCAIGFGRNAIGGRDGRFYIVTDPGDDDPVNPQPGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDGRGVNVHIANGACITIQFITNVIIHGLHIHDCKQTGNAMVRSSPSHYGWRTMADGDGISIFGSSHIWIDHNSLSNCADGLIDAIMGSTAITISNNYFTHHNEVMLLGHSDDYVRDKSMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWKMYAIGGSAEPTINSQGNRYLAPANPFAKEVTKRVLTNEGVWQHWNWRSDGDLMLNGAYFTSSGLGASAGYARASSLAAKSSSLVGSLTSGAGVLSCRRGHQC >CDP09148 pep chromosome:AUK_PRJEB4211_v1:1:32024884:32027199:1 gene:GSCOC_T00028360001 transcript:CDP09148 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNKPEFQAHPPRTRRLSSCHLHPADPATGICASCLRERLCGLDSSADLLEPSSSAAVIPSLGNGDLAGAGPVNCLNKAAAATSSPELRRCRSVFTAKCEASTSFAEPRRRSCDVRAQNVKSLAHLFDVDDECSNGSNRESKVESKNITTAAAAEFGEEIKVENAGGNRDPHVAFNGDEEEEKEDDLEDGEVKTMKEHIDLELQSKGQRSKDFKELAGNIREAASVFSKKLQKWRQKQKKKVLYGNDGGTVGGINCEVHGPKGKKLEETQSVAADYAMGRRSCDTEPRFSVDAGRLSVEGGRISIDEPRASWDGYLIARTIPRLAPMLSVVDNAILSGGNRIDHHRVSVDGQMHSIMEDETSSGGSAQSNSDSSSSQRRSSFDRSSSVRSFGKKMVVLDGDYAKASPGRLVITERELKDWHLNSIKDDHNKKFESSSRENSPALEDRSNLVSKKPVRWRRVLNVLGFKQKSCENKFEKPMGDCSPAQACEKQEKEAGEDIKAATHWKLMRSSSIVGARRSCEVIRSSNGMMSLGDDSDWANRSREEFVLGRNHRATYASSNLDDGVLPFYLTPLRTSWNGKSRRDKLQDSHFVDGSLLRLN >CDP15593 pep chromosome:AUK_PRJEB4211_v1:1:26338550:26343685:1 gene:GSCOC_T00015494001 transcript:CDP15593 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRRRQQDSKYCPEIILEKLQLLGDEGVFSKDDGSFRMLKRDLEELKDFIPNARALEDSFFSLVEFVHPNGQQFYSYFGLDQDFSRFHLIGEDSSLRDFLKNFMQQVRCLEESVHQSRMLFSPFHVGEAATFRDILKNFRQDIGCLEDSIHQNCKVFYSLLLKDGLPPTGGSCFQESLENLRQQIRHLCEELWNSMVQFFSESAEIYSKLLLEHGWTGEWYSSILDRLQGEHSSWTYELMRLFNSFREKFCCFLRVARLRDSRLGLVVAIFERDLKALNVSSDYLWNWAEGFINEQNVVVALFATHLCCLLFRGDNQAFDGTDICLSTNTMTCIDSILDFCKDDHALNELNVELRLVKTFFLCARKLCNPSMAGLLEDSVNENGQQFNSFLLQLEDGLHPRELAGAASNFRRILKNCRQKISKMYVEMLDSLLKSVSQGRDRYDYCNKSHNSYLESFLESSSPSRDEFLEFFYFLLENLEDIVIWGEACDSRLAKLFEPLQEKLVFLKNFILFASLQGKPKRNLLEHCAVVALSAAHLSYICWSSKYENQELDGLGLKISELIDKIKPADHRALLTYIRVVESGSTSPTLSTKKDIIIVGEFVDSLLGHLWELLLNCPPCLMVSLKHQLRMLYEGLQFLRNILTKEKCDGLDERIKDLVGALVNDAGLIIFSLYQNNIREASAKKIDIQLFCLLEKIKIIMAEVEEKYRVVSNFKFLTTNALGLLDFLQEKLKELASYEVDPLFSFAEDRVHLLRSYLKNAMEQHNQDANLQAQTIQEDLLFLKSFLENNLEQHTEKEKLHIQTMQDGLLFLRSFLENNRNPRNHLEELQALRSRIMEVAHKTEFVIDSLIVGDVSFYSLMLFDKVTEEIKLLKTKTREFDCIKAQKPTNSSRDVPQGSISQYLKKMMILAVQILFMIFHSLCNFFKTAGSKWTGAFHQVPSQGNISTIHKTSVYLKDQEQAIIDQLIGGSMQLDIISIVGMPGLGKTFLAQRVYHDPSIASHFHILAWCCISQVYCKKDLLLGILGCIDPKAQYSEMDEDDLAHKLCNHLRKQKYLIVLDDVWDIEAWNALKISFPDYANGSRIILTSRHHGITGKPHHLRALDEEESWELLKKKLSITIEGGYPVELSVLGRQIAKNCNGLPLSIVIISGILGTLDQGRWGEVAERLDSNSKIGATEQCKSILELSYIHLPDHLKPCLLYFSAFREDQEISVKKLIWLWIAEGFLQKKESESLEKLAEGYLIDLINRSLVMEGQKRSIGGVKTCHIHDLLHVFCLGKAKDQNFLHLIQGCDGFLNFNEPHYLYRLSIHSQPKHVAKSKVFCPHVRSLLHSSRGIGSRGVSYNLSFVCHLKLLQVLDLEQISLGFTSLCELGLLIQLRYLAVSGWIKCIPPSLENLSNLETFCVTTYYSDFVLSSLEDIFWKLQKLRHLQVRGALIDLRLEKENPESSCMLYNLHTFSTPKLYLGQSMEKMMMKFPNMRRLKCCLLQSEQSSSEGTRIAAMDSLSQLESLKLLLGKVPANCIEFHLPLNLKKLTLEDFSWSIICTIRKLPNLEALKLIRQADGEKEWDMGDIEYMEEEEIFPKLKFLKLESLKTVRWMGSGEHFPSLERLILEGCAELEELPCCLWETFTLQLIEVHGCLYSAGDLVRDIKKQQMDYGNMDLKILISEEVDESSSWSDGDSDGWLPEEIEESSSSSEIHTDGQANDHLP >CDP11102 pep chromosome:AUK_PRJEB4211_v1:1:7267517:7269323:-1 gene:GSCOC_T00033134001 transcript:CDP11102 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVANFNLGGEEVKKPLDSKVESDMVSKNLSNGNLDSSQNSLQSKPFRIFIGYDPREDIAYEVCKYSLLKRSSIPIEITPIKQSEIREKGLYWRERGKLESTEFSFSRFLTPLLANYEGWAMFVDCDFLYLGDIKELTDLVDDKYAIMCVQHDYTPKETIKMDGSVQTVYPRKNWSSMVLYNCGHPKNKVLTPEVVNSESGAFLHRFQWLEDDDIGEIPFVWNFLVGHNRVVEGDPSTFPRAIHYTSGGPWFEAWKDCEFGDLWLKELEEYKEAKEKSLV >CDP11077 pep chromosome:AUK_PRJEB4211_v1:1:8135739:8138800:-1 gene:GSCOC_T00033092001 transcript:CDP11077 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGSFLQPPTYGNSITILSIDGGGIRGIIPGVILGFLESELQKLDGEDARLADYFDVISGTSTGGLVTAMLAAPNDKNRPLFAAKEIKDFTLTIALKSSRKKPVTGPKYDGKYLHNLLKQKLGETKLHQTLTNVVIATFDIKLLQPTIFSSYALKHHPSLDALLRDICIGTSAAPTYLPAHKFETEDSDGSVKEFNLIDGGVAANNPALVAMNHVTKEVSQGNSDFFSIRSQEYSRFIVLSLGTGTAKEEGKYDAEQAAKWGILGWLTSGGSTPLVDVFSQASSDMVDFHLSTIFQTLQSEENYLRIQDDTLTGDLASVDVATEENLQNLVKVGENLLKKPVSRINLQTGVFEPLNKGTNEEALKRLAETLSKEKRLRDLRSPTGHVPKRKK >CDP18595 pep chromosome:AUK_PRJEB4211_v1:1:109059:113429:-1 gene:GSCOC_T00012431001 transcript:CDP18595 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVELFGTSCCQQRRRRRRRGVSLGVRLAVLFLIYLCGGGGGGFISLSSAARLSGVSRQKLEVHKHLKRLNKPPIKTIQSPDGDIIDCIPISHQPAFDHPFLKDHKIQMRPSYHPEGLYDENKVSEGTKQSRANPITQLWHMNGRCPEETIPVRRTKQDDVLRASSVKRYGKKKHRSIPKPRSTDPDLVNQSGHQHAIAYVEGDKYYGAKATINVWEPKIQQANEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSAFRNSQYDISILVWKDPKEGNWWMQFGSDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGKHTSTQMGSGHFPEEGFGKSSYFRNVQVVDSSNNLKAPKGLGTFTEQSNCYDVQTGSNGDWGHYFYFGGPGRNPNCP >CDP03630 pep chromosome:AUK_PRJEB4211_v1:1:36293500:36297727:1 gene:GSCOC_T00016054001 transcript:CDP03630 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAN GTPase-activating protein 1 [Source:Projected from Arabidopsis thaliana (AT3G63130) UniProtKB/Swiss-Prot;Acc:Q9LE82] MDSKTFSMKLWPPSQSTRLMLVERMTKNLSTPSVLSRKYGLLSKEEAEEDAKQIEVVAFASASRHFEKEPDGDGSSAVQLYAKESSKLMLDVIRRGPRTKEDGNFIESDRVRVSHETVFDISGGRRAFIDAKEAEELLKPLRDLHNKFTKICFSNTSFGLDSAHVAEPILSSLRDQLTEIDLSDFIAGRPETEALEVMTIFSSALEGSNLRYLNLSNNALGEKGVRAFAGLLKSQHNLEELYLMNDGISEEAARAVCELIPSTDRLRVLHFHNNMTGDEGAVAISELVKHSPLLEDFRCSSARVGSEGGVALAEALGSCTSLKKLDLRDNMFGVESGIALSKVLRRFSDLTEIYLSYLNLEDEGSLALANALTESVPSLEVLEMAGNDITAEAAPALAACVAAKQFLTKLNLAENELKDEGAILIAKALEEGHGQLNEVDLSTNSIRRAGARCLAQAVVSKPGFKLLNINGNFISDEGVDEVKEVFENSSCVLGPLDDNDPEGEDYDEEAEEGDDDEGELESKLKGLEIKQEG >CDP17677 pep chromosome:AUK_PRJEB4211_v1:1:5450903:5457197:1 gene:GSCOC_T00013220001 transcript:CDP17677 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRLPPSVAERIDRLLSENASSSSDDKSLDLTFSGLGESYKTYDDSVLIKSADIGQMIMVKEEGDSVPDEVEYRHGLTPPMRDARRRRFRREPDLNPELVLRAEKDLQNIMAGGTAENIDILPVILAFRT >CDP17686 pep chromosome:AUK_PRJEB4211_v1:1:5593730:5597890:1 gene:GSCOC_T00013234001 transcript:CDP17686 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPDFAEPMAITEEECRKNCLDNCSCTAYAFYVGIGCMQWSGILIDSEQLPLDGTNLYIRVPNSEHDTKRGFKVAIASTVSAAAVLLALCVCLCWKCIAKHKGNEQQAKLSRMEEVHKVEDMVTVTMNQAKFEKLPLYTYEALANATDNFHSNKIIGKGGFGPVYKGKLFDGLEIAVKRLSNSSTQGIEEFMNEVMVISKLQHRNLVRLLGCCVEREEKMLVYEYMPNKSLDAYLFDANKPNILDWRRRVIIVEGIGRALLYLHRDSRLKIIHRDLKASNILLDEELKPKLSDFGFARIFGGNQDQANTNRVAGTYGYMAPEYAMEGRFSEKSDVYSFGVLLLEIVSGRRNTTFYSDENELSMPGHAWKSWNENEAAKLIDPAIFDLGAITEMLRYIHVGLLCVQESAKDRPDVSAVLLMLTSEISNLPRPKFPAYTGRIGFSEKSSRQRAYTINDMSLSSIEGR >CDP11068 pep chromosome:AUK_PRJEB4211_v1:1:8561313:8569111:1 gene:GSCOC_T00033075001 transcript:CDP11068 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLEELLAEEGFRRHKAKMMPRASFGLEAIGMPFYPLDDRHKPGPSGVRKTQRTRSDIPRYHFRGEFLAGDELSDQKHVKKFSNGSSDDLPGSEISSTARSNEIIEVGGIRKYDDIHSNDVYSPEERDKKYGARSEKMESPRQIIEKNKRVDKKKGHNSNKTSTVHKSLNETSRKSRKKSETSSGRSNRSSQQSKSLKDSKSRRKPDIEQSIATPALDVAAVQALISILNGYVKCFIRDEDFRALHRQRSFASVDTIGQLEGFQTEGKVIANLEEAIETVERAAEERATAKALKKASLQLSVIAGLNSNDLKDGFTSGIPNNELSACAHFYLSVVYKLQKKDRIAAKHLLQVFVDSPFQARNVLLPDLWEHIFLPHLSELKVWYGNEANFLLNSPTKTRKLKLLEKVYNEILDSGTYQFAVYYKDWLTEGVEAPLLPSIQIPSIPFHLVEKADLDNQSPDASSPASTFLPQTMVSKTLYDSVFKHSVKPAPEVAGCEEESFDISARSSHDAAVEENSPSEIVKRTLRDDAASYLVSDFCESSFLASFEELLFIIVGAHRWGRDNSSERHPNEKVGSHDINLKTSKSVHICTTPPAHNYNQLIVSTVANAVFEQQQPENPINAAGTSFLSIPQDFICPLTEMLFDEPVTLETGQTFERSAIIDWFGKGNTTCPVTGKILEYRAVPLTNFILKRVIVNWKKEHSRNLLDLASQIGESVESKDEIAVFILEQLVAASSQEDGIRSTKQLISLGGLQFLIRRFQCGDLEERTRVSALLLICILADPDYRNHVARNIDKLSLLELLHSRQLKSKRNVVSLLTQLICLNRRKDAKCFLEGLQKEETKDALHVLLVYLQSCLFEERPLVAVLLLHLDLMVEPQEYSAYREEAVDTITVALESSLTDEKVRMKCCRALLILGGHFSFGGKVMTEDWILKQAGFLVGPVYDSPDNENNILVDESIMMLMEDADEEKSREKWLMNLSASLLGDGHKSFLAATARCLVSENSDLVRVCLTTVAWLSSALVSLSEAEFQLSAFSALITGLKGCLENELVEHKILASMSLLNFSKFPECRLLLMTMAEDIAASLQSLTEVTWTAKELYSKICTY >CDP13129 pep chromosome:AUK_PRJEB4211_v1:1:2005275:2005760:1 gene:GSCOC_T00037944001 transcript:CDP13129 gene_biotype:protein_coding transcript_biotype:protein_coding MVISDASSKKIPIKEALSRSNKIPLKSIKSPDGDIIDCIHIYHHPAFDHPLLKNHTILLNLSFAHKYTNEFAK >CDP07048 pep chromosome:AUK_PRJEB4211_v1:1:29105854:29109487:-1 gene:GSCOC_T00024144001 transcript:CDP07048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MALFLHFGTNTFTGSEWGTGHVDPSVFNPTKLDATQWVKVAKDFGFSKVILTAKHHDGFCLWPSEYTDYSVKSSPWKNGSGDVVGELAEAARIAGVKLGLYLSPWDRHESCYGKTLDYNEYYMGQMTELLTRYGVVEEVWLDGAKGEGEKDMEYFFEDWFSLIHQLQPRAVIFSDAGPDTRWVGDEGGYAGNTCWSLFNCSAAKIGDTDPKYSQEGDPFGCDWVPAECDVSIRPGWFWHASEIPKSARTLLDLYYKSVGRNCLLLLNVPPNSSGLISDEDIQVLREFNELRSSIFSNNLAEGGLLSASSVRGGLAGTRFGPQNILEKGIFSYWAPDKQQANWVIYLDFQEHVTFNVLEIQEPIHMGQRIIEFHLDILNEKGQWQTVIDGTTVGYRRLLQFSIVESYHLRLVIDKSRGDPLVAYVGIYLDSFSIVGYAAHKRSNSFFNKALKPFSKLKLSSL >CDP09103 pep chromosome:AUK_PRJEB4211_v1:1:31703545:31709335:1 gene:GSCOC_T00028300001 transcript:CDP09103 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELEHLVDMTKVKMDVMRPWIATRVTELIGFEDEVLINFIYGLLDGKEVNGKEVQISLTGFMERNTGKFMKELWSLLLSAQKNASGVPQQFLDAKEEETRKKKAESDRIANEIRRKKEKESQELGQEGTKKMDHGNDISKDADMGVHPTSKHQPGGMLTGEKESVQRNGSRGRSRASRSPHSADHSPSTRGRRSRSISKSFSNSRSYSGGRRRSTSLSASPRRRGRSSSSEMVYRSSPRRSLTPRGRRSPHRSFTPSRRRSSYYRQRSSSRPRRRSPSPTRYRMRSPLRRRSRSPLRRRSRSPIWRRPKSPIRRSPPRGRSRSPLRHRSSSARRRSPSPIRRNSPSPARYGSPSLMRQKYRRSSSTPPRRSPSPVMRRSRSPIRRRSPLPIRRRSPSPIRRRSPSPIRRRSPSPGHRVLSSPVGRRSPMGRRRSPTPTSHKSDSPQVSSSPSPRRNIPSPIRRKSPRRQRRSPLQSPRERKRSREKYSPVHHAAPRNTVERPTNDRHRSNSSERQSQSVSSDRNNSQRKVRRLSPSPNRSPASEADREPSLNEKRRENKSQGQQKKPAQRDSQMIKDALHGDKGKQSPLGERHRAVEKNQSYSNDTKINDRHFEAASKLARKVEHNDENASVGSDSEESDRTRARVKDKRKKRRAERRRSESASESSYDSYEEERKEAKRKRKEEKKLKKEDRRRRREERRRRKDERRAEKHKLKSKDAISSPSDADRNHVARKGP >CDP16612 pep chromosome:AUK_PRJEB4211_v1:1:5795777:5801788:1 gene:GSCOC_T00019061001 transcript:CDP16612 gene_biotype:protein_coding transcript_biotype:protein_coding MREDSSISLYRDRLDKTLSCHDLTDVETLGTLVKDQILRSSEVENEDFINNIAETRTKEVSHFLGMLSSASVDDVQRSKYSEASHRGWKVKQDTEEFRVMYREGPEGTPFHTLLVEGYVDGPLDVCLCISWEAGLYPKWWPQITVPSFKLISSQCLQKVRIGEQICLVRLKISWPLSTREAIIHYFEFEYLRDDLVVVLLNSISDLESIDISSHGFTRDGIPDAQDVIRIDVVGGFALQKVSANRSYVRTIANMDVKLDFIPPALINFVSRQILGSGFRLFKKEVASVAKGDEDFAKALKDPLYAYVGQSFYSNGLSTKTPQPAEMNNDTSCLLKEQLEERENVSSSQEMVHNHDSAVNSQAGDSFVQDKKLYGEIEEIKENDSEGSQCLAELDNNSSINLPTNQIDSGFSTDNKKVVIHPEVEQALRILDDVISVFQECRPNHETRILPGSPKEESQYLENNKSREAGYSEANRICKNTEVRGQPTEKEDPEAIGSYEPRNSSSSHCIRHTGSSTYCRYANHNKIAPALQDFSGPCEIDHAVSLSSQNQRMELTLTEKATNDEDVFSPDANGVGGDEARRSKNRKIRFCCLSSLSWQYQLEN >CDP09193 pep chromosome:AUK_PRJEB4211_v1:1:32371794:32375841:1 gene:GSCOC_T00028421001 transcript:CDP09193 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNISRPANRSPSSSFFIPRFFVVVAALSFTILLFFEVDSFVQSFVSSTKTIAGHNLEPTPWHPFPPKPSSLDQESKYSVASKILQCSYLSCGRSTTDNISQHNPPKHDLSKSESCPDFFKYIHRDLEPWTKSKISARHIMGAQNFAAFRILIIGGKLYVDLYYACVQSRAMFTIWSFLQLLRRYPGKIPDVDLMFDCMDKPTINRTEHASMPLPLFRYCTTPAHFDIPFPDWSFWGWSEINIQPWEEEFRSIKEGSQAHSWRKKSPIAYWKGNPDVASSIRMALLQCNDTEMWRAQIMRQDWGEAARGGFKQSKLSDQCNHQYKIYTEGYAWSVSLKYILACGSVPLIVSPEYLDFFSRGLTPQKNYLPVQPSDLCLSIKLAVEWGYGHPDMAEAVGRAAQDFIGNLTMDRVYDYMYHLLVEYSKLLDFRPRQPPSAMEVCVDSVLCFADENQRGFLRKSLAFPSSSLPCSI >CDP06946 pep chromosome:AUK_PRJEB4211_v1:1:28077109:28081770:-1 gene:GSCOC_T00024000001 transcript:CDP06946 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSNTVTNMRNKKRKNLTPEIQFRLNLDHCSKNKDLSTAISLFDSVPTTGSEIHLTHHHYNSLLYICSNSVNSVHPSTKSSAIEFGFRVYHHMIANHISPSEATVTAVARLAAAKADGDAAFELARSVGNQGKLRTYAPALLCFCRNGMADKASEVEEHMGSLGLRLEEPELSALLKVNVEKGKGDKVYEYLHKLRASVREVNESTANILESWFCGEVAADVGLEIQNVDHVNQVKLRNGDGWHGLGWLGKGKWVVHRSNVASDGRCCTCGEQLTCVDIDTTETERFAQSVASLAMEREVQSNFKEFQDWIEECSDYEAIVDGANVGLYQQNFAEGGFSIPQLDAVVRELYSRSKKWPLIILHKKRIRQLLEKASNRDLLQDWIDQGLLYGTPYGSNDDWYWLYAAVKLKCLLVTNDEMRDHTFELLGNSFFLRWKERHQVKYTFVKGNLKLLMPPTYSLVIQESEKGSWHVPVAGETIDESLRTWLCITRSGSCDALASSLNDDNQLSNSNKLETLANRNNSVGHSDDKLTSITGKRKERSSSSPEV >CDP16603 pep chromosome:AUK_PRJEB4211_v1:1:6443678:6467779:-1 gene:GSCOC_T00019040001 transcript:CDP16603 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKGYLSISSCPWDHWKIIFMASFQALLFIILSIVCGLVVACSERKYYSDLPPNKEPLDWNTRIKIAADVAKGLEYLHDKANPSAIYRDFKSSNIFLDERFVPKLSDFRLAKLGLAGDKLHVSTRVMGTYGYCALECAMTGQLTVKFDVYSFGVVFLELIIGQPNLYTITSSINQFLQYDWISFVSFYQFYTSRSKHSLVGAFLYTICSQLHSLVI >CDP09732 pep chromosome:AUK_PRJEB4211_v1:1:25312427:25318604:1 gene:GSCOC_T00030180001 transcript:CDP09732 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGKHIASDNFTVGGYQWAIYFYPDGKNPEDNSTYVSVFIALASEGTDVRALFELTLMDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRAMLESSDYLKDDCLKINCTVGVVVSAIDCSRLHSIQVPDSDIGAHFGMLLENMEGSDIIFNVSGEIFHAHKLVLAARSPIFRSDFFDGSEGNLQEIIVTDMEPNVFEAMLHFIYRDTLVEDELVASSSSSNPSDSLTAKLLAAADRYDLGRLRRMCESYLCKEICVNSVAKTLALADCYHAAELKAVCLRFAAENLAAVMRSDGFEYLKENCPSLQSEILKTVAGCEEDCSSGGGKSRSVWAQLSDGGDTNGRRVRQRT >CDP09239 pep chromosome:AUK_PRJEB4211_v1:1:32747810:32757411:1 gene:GSCOC_T00028481001 transcript:CDP09239 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLPFLYTALQLLTLLSTVHFSRVIATGVNWGTSASHPLPPAQVVQLLKANNVTKVKLFDADPDVLQALAGSNIYVTVGIPNSMLRSLNSSLKAAESWVHDNLTRYVSDGAGSVRVEFIAVGDDPFLQSFGEQFYPFVVGAAANIQTALVKVNLANKVKVVTPCSFDAFQSESGLPSRGQFRPDLNKTMAEVLTFLNKHRSPFFVSISPFLSYHQNKNVSLDFALFREGAHPRNDSHRSYKNSFDLSYDTVVMALSTAGFPRMDIIIGQIGWPTDGAANATSSVAQVFLKGLVDHLDSRLGTPLRPRDLPVETYIFSLLDEDQRSRTPGNFERHWGIFTFDGQAKYQVDFVHGSGKLINAQNVQYLSPKWCVVNNNKDLSNISAHALEACSAADCSALSPDGSCSDLSWPGNVSYAFNSFYQQHDQSADSCDFGGLGLITTVDPSVENCRFMIGLRNSLSVSLRRSSQFHWFISPVATMLLCLVCVICNKKLAPFFFLLAWHSPSLSLLLFRHRISKNMYGQQGDGSGPPPMGGGGYGGNGSSGGYGGGGYGGSGGGGGGGGYGSSGGGDGGYGGSGGGYGGGGGRGGGRGGGGGGRGGGGYGGNMQNRGGGGGYQGGDRGGGGGYQGGDRGGRGGGGGRGGGRGGGSGRDGDWRCPNPSCGNLNFARRDKCNKCGALPPAGAGDRGDRGGGNYNRDGNNAGYGNNRGGRGGGSRGSGYGHGREDSGYSQGRGDGGYGQGRDDGGFGQSPVVAPSYGGHGGNYAPPPSSYGGNPNYAQDAVPPPSSYSGGPSSYPPSYGAPPGGYDGGYGGGPRNLGGGYGGAPAEAPVKVKQCDENCGDACDNSRIYISNLPPDVTIEELQELFGSIGQVARIKQKRGYKDQWPWSIKLYTDDQGKNKGDAVLSYEDPSAAHSAGGFFNNHDLRGYAISVAMAEKSAPKPASQHGYGGGGRGGYGGDRRRDNYRDGGGSGPDRNYHGGNRSRPY >CDP06937 pep chromosome:AUK_PRJEB4211_v1:1:27996772:27997935:-1 gene:GSCOC_T00023989001 transcript:CDP06937 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTVHHLFSVLFLLGVVGLLSFRVVLRQSSIQLRNQSPFLEVANFRVDNETLLKYAAIDVGEPKLKQEIEQLLEGNFRNQGRHRSFLSSGKYRIDVRARSARGLPVQVRSPEFNQLWLSFRRHLHDWSRNRRFQSDIMLDLVNELKDLMDKNGGKTEGLGRKYKSCAVVGNSGILLKTAYGNLIDSHEVVIRLNNARIGSFESKVGKKTNISFVNSNILRLCARREGCYCHPYGADVPIVMYMCQPAHFLDFLVCNSSHKAPLIVTDARFDVLCARIVKYYSLKRFLEITGKDVEAWSAVHDGANFHYSSGMQAVMLAAGVCDKVSIFGFGKSAATKHHYHTNQKAELGLHDYEAEYDFYGDLVARPKVIPFISDKFRFPSVTIYQ >CDP14082 pep chromosome:AUK_PRJEB4211_v1:1:10847594:10848188:-1 gene:GSCOC_T00039276001 transcript:CDP14082 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLEKELFSKVETIDYYTTVLKIKGLEHIPKGFYYFEEFMIDPATLGNPVAMQRFYGDTDIFLFWSYGNSSDIRGSTVTDLAINAVENMGGQVQKVVLQRQFKYFPHVSSEDMKNGFYDKLEHELQGQNSTYFIGGLMAFELTERNASYAMALMRKHFASNTPVPDFPYVKA >CDP11109 pep chromosome:AUK_PRJEB4211_v1:1:7000134:7003753:-1 gene:GSCOC_T00033149001 transcript:CDP11109 gene_biotype:protein_coding transcript_biotype:protein_coding MENLEDLYHLDLGNNNLTIKMISTIPSFLGSFKSLRYLDLFGAGFQGMIPYQIGILSSLCTFSIEGYDLITLDTTCNHLNGPIPSTVGNCTKLKVLRLSHNILTTTKWLNNSTSLEVLELRGNALSGSIPSNLGKLSSWEYLDISENKLTGTLPKSLGQLSKLEKVFVWNGVFLREISTFSVNIIFNYFFILHTSNLSAMCKLDSACPIYDILDLGSWRLGPQFPTWLQSQKNLDVLNLFSTGILDTILPWLFSASINYVDLYHNQLHGKIQISLKLGLYLHCKFLSGEIPDYWMNYPSMKYFTLSSGNFTGSIPRSLVNLECLNHLDLGNNSLTGLIPSTL >CDP18597 pep chromosome:AUK_PRJEB4211_v1:1:83279:85762:1 gene:GSCOC_T00012434001 transcript:CDP18597 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQWGNRKRLRCVKVKDKNNSSSAASTSINGKSDGAVVVKKKITSRFADSCNNNNKEAQPQHNASLPPLPSPHRINNRDLGMSRSNGNENRKASMSLSPEKEDRYYTTRGSVGLDENHKMFVDAKEEKKKFVWPKLLVTLSNKEKEEDFMAMKGCKLPQRPKKRAKLIQKTLLLVSPGTWLSELCQERYEVREKKTSKKKPRGLKAMGSMESDSE >CDP09060 pep chromosome:AUK_PRJEB4211_v1:1:31422860:31426495:1 gene:GSCOC_T00028245001 transcript:CDP09060 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSARIKAESPYHTGLTSKNVSTDGNSLKSSSGKVSSGSVPPPPRSEGEILQSPNLKSFSFSDLKMATRNFRPDSVLGEGGFGSVFKGWIDENSFAATKPGTGVVIAVKRLNLEGFQGHREWLAEVNYLGQFCHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRLRVAVGAAKGLAFLHSAENKVIYRDFKTSNILLDSSYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTSKSDVYSFGVVLLEMLSGRRAIDKNRPTGEHNLVEWAKPYLTNKRKIFRILDNRLEGQYSLDVGYKAANLAFRCISMDPRFRPTMNEIVKELEHIQDSNSKEKIGNNAPARSRPRRLSADDARKRNIPAAYPRPSASPLFSK >CDP07147 pep chromosome:AUK_PRJEB4211_v1:1:30162305:30163165:1 gene:GSCOC_T00024277001 transcript:CDP07147 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLNTQTVTGLPICLSGFTHPVALSKEMADHDRRRKGFKKLRFGASKDLHAVADQETVRRLLSQRNDEEEEERVSQEIRSGEEVAGDLAAADVVLVLHDLSCISLSSCTAKAA >CDP11116 pep chromosome:AUK_PRJEB4211_v1:1:6963286:6965248:1 gene:GSCOC_T00033156001 transcript:CDP11116 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSIEGKVHKVPFQYERLPILCFYYGRIGHGKRDCELKLDAATYETGDLQYGGWLRAKPEKSFSGQQRSRPAATVSDNENRVPQGTDKGKRSTASASPDCLEFSKSDHAQSATLDSDLERYQVLIKGKSSASTSNNSDLLQPKSKSTAAPWTTNNSSAFSTQSTDDSFRLPRRKSTDSPKSSLMSSTAQSSHKRLLGSKLKRPDNCSLSDDVDMEDVSLSKKSKTAGSTTSHDISMAEANLDISLNRFASNFIDANVHMPNYTWRFTGFYGHPNASKRKYSWDSLRQLSTQSRLPWLCIGDYNEVLSQNEFQGFGPQNNWQIMNFCQALMDSNLHDVGYEGFTYTWSHSWAYPNTIRARLDHACATQDFVDLFPDSKLKHVHYIL >CDP09254 pep chromosome:AUK_PRJEB4211_v1:1:32866362:32872518:1 gene:GSCOC_T00028502001 transcript:CDP09254 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSENQEGGEAHLQAITSQSYANYRSAMTTLSSSFAASHHPLSFPTPADSDPLLSPPSPQPPLLKSPNSPKPRTTATDDPRHLDPPSYADVVSSPLDDSIEANGGSESPSQHSENSASSFSRSPFSSSDYLEIVVSNPQKEAESSKNSIVPGNNAYVTYLITTKTNISDYGGSQFSVRRRFRDVVTLSDRLSEAYRGFVIPPRPEKSVVESQVMQKQEFVEQRRVALQKYLRRLAAHPVIKKSDELRVFLQVQGRLPLPTSVDVASRMLDGAGKLPKQLFGDSRSVMGPQDVVQPAKGGRDLLRLFKELKQSVANDWGASRPPAEEEDQEFLDRKQWLHDLEQHLTNASKQAEALVKAQQGLADTMGELGLAFIKLTKFENEEAVLNTQRVRAADMKSLATTAVRVSRYHRGLNAQTVKHLDTLHEYLVLMLAVHNAFSDRSSALLTVQTLLSELSSLHSRAEKLETASSKIFGGDKSRIRKTEELKDTIRVTEDAKGCAIREYERIKENNRCEIERLDRERRTDFVNMLKGFVTNQVTYMEKIGIEWTKVAEETNRYAKETA >CDP06898 pep chromosome:AUK_PRJEB4211_v1:1:27552828:27553442:1 gene:GSCOC_T00023938001 transcript:CDP06898 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKWSWASALVGAATATAASAILSSKPRDPTFHLISINLTSLKLNFPVLDAEMILTVHVSNPNVATVRYSSANMSIYYAGCLLGSAVVEAGSQPPRSCQFLHLPGRLSGLELAHHAKEFLADVARREMVLNAAVDIEGDAKVLWWDHRFKAHVDSHVTVDPVFLDVIDQENKSEMMLLNA >CDP03947 pep chromosome:AUK_PRJEB4211_v1:1:33953958:33955219:-1 gene:GSCOC_T00016459001 transcript:CDP03947 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHKLLLLLTALCICTAESAELWSQFCNDNSPINSTQLSANIDSLLAELVSGTVLNGFTTSSYGKAKDQVFGLGQCRGDVSNEDCSSCIKDASKQIRKLCPTQADARIWYDYCFLRYETEKFFGRVDTSIGIFFYNVENVTDPDSFNKELGKLSDKISSEAVAPGNNGLGRGKKELSPFLTLYELVQCTRDLSQLSCEQCLAIAIGEFPTFCNNKKGCRVLYSSCIVRYELYPFFFPLDPKETLVNMPMKYYHSSTVIKP >CDP17136 pep chromosome:AUK_PRJEB4211_v1:1:37269326:37271182:-1 gene:GSCOC_T00005062001 transcript:CDP17136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome A-associated F-box protein [Source:Projected from Arabidopsis thaliana (AT4G02440) UniProtKB/Swiss-Prot;Acc:Q8LEA8] MSESGFSRLSDDVVLNIFFKLEDDPRNWARLACVSTKFSSLIRNVCCKSKCSQTIPSVVNDLLSTSASPSAVPPGGWASLYKLAVCCPGLLQAGVLLENSDFGLERELGPDENYQVSAIFRSAAPCSSNRDVNSDVTASGPDCSWSLFDDLLFDTVYDASESSEERPELPVEPERGVVKPSCDFRGRKRRKICRSLMSHLAQGVWNLSREQGNKLLASRFKGDCLYICDWPGCVHIEEKRNYMLFRGIFKNFKQSRVWRTINDGHRSKIELHCAFCSCKQTWDLHSAFCLRRYFGYHDDGEPVVRAYVCENGHVSGAWTDWPLYT >CDP07113 pep chromosome:AUK_PRJEB4211_v1:1:29864525:29865624:-1 gene:GSCOC_T00024231001 transcript:CDP07113 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVIFQQHRSIHQSISETQSLFFPQYQLKERMYDKQQGLDLAHLWNKAVDQTFGPVIVSLSSKGW >CDP09039 pep chromosome:AUK_PRJEB4211_v1:1:31230677:31231420:1 gene:GSCOC_T00028213001 transcript:CDP09039 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGTLYINPKKFGGLQKPCLKETMTFLNCMALNHNKDDHCERQKKLLSDCMDAQAGKNKKPWGSINYQLQRLMRGRK >CDP17041 pep chromosome:AUK_PRJEB4211_v1:1:37972883:37977096:1 gene:GSCOC_T00004947001 transcript:CDP17041 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLKDILRVANGLSLVAKEALGDVLRQSQGEGGEVGNKLLQSLVKKTIFSATDLTGLTKGKIRPVSVTTTSNNINNSDDTRGQPGSVGGDPLRRLPQSDSIRHVSCISDDNEALITAPSNSGGSAGKIPSDLKAEDPTAAAAPPPPPLGAGTNRDGDVVSPTQTQTPPLSGKKPRKPRERRVPSTPFSRALGFAGLGAGLAWGTFQESAKRLVFGNPNLQEKQSVVSPFLSEKNAERLALALCRMRGAALKLGQMLSIQDESLVPAPILAALEMVRQGADVMPRSQLNQVLDAELVPNWSSKLINFDYEPMAAASIGQVHRAITEEGLEVAMKIQYPGVADSIESDIENVKLLLNYTNLIPERLYLDNAMQVAKDELSRECNYELEAENQKRFRHLLSDAQGFYVPLVVDDLSSRRVLTTELVGGIPIDKVALLDQETRNYVGKKLLELTLTELFFFRFMQACLVCSYYVVLIMRFFIF >CDP03994 pep chromosome:AUK_PRJEB4211_v1:1:33673306:33675027:1 gene:GSCOC_T00016514001 transcript:CDP03994 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASSKVHVLALPLPVQGHINPLLQFCKRLEAKGVKVTFANTVSLSKSMHADPKRSINFETISDGYDDGGYAAAESFEAYVEKFATVGSKTLADLITKLEDDGEPVQAVIYDPHLTWALDVAKRFGLVTAAFFTQTCAVNSIYYHVYHGLLPVPLSDSPISLPGLPLLQPKETPSFIYLPDSYPDFRHILVNQFSNVDQADWVILSNFHKLEEDAVNWMARLWRVKTVGPTVPSMYLDKRLEDDTGYGINLFKPDSSLCINWLDNQPKDSVVYVAFGSWIGIEAEQVEEIASALMEIGFRFLWVVRALEKEKLPSNFVDETSERGLVVTWSPQLEVLAHESVACFVTHCGLNSVMEALSLGVPVVAAPQLADQPTNAKFIEDVWGVGVRAAADEKGIVRRETLVSCIREIMEGERGKRIKENGIKWKTLAKEAIDEGGSSDRNIDEFVAELISGAGQPDA >CDP03806 pep chromosome:AUK_PRJEB4211_v1:1:34939456:34951481:1 gene:GSCOC_T00016292001 transcript:CDP03806 gene_biotype:protein_coding transcript_biotype:protein_coding METKEEDGGCQEEWPTSPMQALEDAVRVAGEALQGVYSSKLSLPPLSPGGLVHRRTRSEILTSFQKRSNSFQRLKSHMQRALRWGSNSGEQSLSPPFNPEILANQKRQWCQVKSKSLDYKRYKEPTSLFEHFIIAGLHPDTNLEVVEDAFSKRRKWELEMEKSDILDFRMMQNRGPPVPTLEPQIIYAYPPGKRLPLRSKELAAFCFPSGVKAHLLKRTPSFTDLNKLVYGQEHLSRDDLSFIFSLKVADNATLYGVCLHVQEVVQRAPAICGGLSPLSQSSVGCSRFLVAAPRCYCLLTRVPFFELHYEMLNSVIAQGRLNRITRFVTELSLADYAPSASKSPYVSENSESPHYVTAGDWTATAIPVDHAVALTAAAAGIISDDEIPSSSSRWESFSIESVAASDASDHSQAKELDKYGGKSLERFDVDGSEGSDNHLDFEERTHMVYENSPTSDVGTCNGTRNRNVEHLESPESSFSSGRSIASDDDSDILFSNDTSLGEEMTPEWTKGNKNDLLQIVCNYHCRPLPPRGSEMVFQPLEHLQAIQYRRPPVSSLGINDELLDLQMHDIKEGVDVNLKLDAAEEAGALSIWTTATICRILSLENILALITAVLLEKQVVVVCPNLGILSAVVLSLIPIIRPFQWQSLFLPILPDKMLDFLDAPVPFIVGVQHKPVNLKTRTSSLVHVNVVKDQVKMCNLPVLPRHKELISKLEPVHARLSGEDSIAQRHPVYKCNEVQAEVAAQFLAVMRHYLESLCSDLRSYSITNVQSNNDRVSILLKDSFIDSFQSRDQPFIKVILTKRKLF >CDP15757 pep chromosome:AUK_PRJEB4211_v1:1:15670148:15677070:-1 gene:GSCOC_T00015818001 transcript:CDP15757 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVANTKFPEVGELLLRRIILQLQRAYKRNDKPQLLAAVKFIAHLVNQQVVHELIALELLTVLLENPTDDSVEVAVGFVTECGSMLQDLCPRGLHGIFERFRGILHEGEIDKRVQFLIEGLFALRKAKFQGYPAVRPELDLVEQEDQLTHEVSLSDEIDPEITLDIFKADPNFLENEKKYEELKKSILGEESDDEADSDGGSEDEDEDEDESDEEEEEKMQIKDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLSYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPTMQDSFESIFPKDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQQKPVSESDESGSASSDDSDSDESESSSSSKESESDSGSDDRRRKRRRR >CDP09716 pep chromosome:AUK_PRJEB4211_v1:1:25172953:25174806:1 gene:GSCOC_T00030159001 transcript:CDP09716 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASTEFEPTDLVDYAVNQGNGVNGLMKLGLKTIPERYILPPEERLDQNHIVQEESIPIIDVSNWDDPKVAARICEAAAKWGFFQIINHGIPLDILENLKAAMHKFFDLPNEERRKYLKENSPSPTVHLNTSVNPLVDKVLLWKDYLKHLFVPDDQTSQLWPSVYKLICNVCFFEVYMSYLFHEEL >CDP08936 pep chromosome:AUK_PRJEB4211_v1:1:30338129:30342298:1 gene:GSCOC_T00028077001 transcript:CDP08936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase/prephenate dehydratase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G07630) UniProtKB/Swiss-Prot;Acc:Q9SSE7] MAATTGKSPVSSSFVQKLNPRTSYCYQASYSTINLPRRLRRIHSQACNNISSSSDQVPDSVHKQAKAIELQKLIDDDSRDSSISLPRPLTSASLSNLATEGSRLRVAYQGVRGAYSESAAEKAYPNCEAVPCEQFDTAFEAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKLAIRHCLLANPDANLEELKRVLSHPQALAQCENTLTKLGLVREAVDDTAGAAKFIAFHKLKDAGAVASETAARIYGLNILARDIQDDSDNITRFLMLAREPIIPGIDKPFKTSIVFSLEEGPGELFKALAVFAMRNINLTKIESRPLPKQALRAFVDSVRNFPYLFYVDFEASMADQNAQYALGHLEEFATFLRVLGSYPADTGTP >CDP06872 pep chromosome:AUK_PRJEB4211_v1:1:27334302:27337266:-1 gene:GSCOC_T00023906001 transcript:CDP06872 gene_biotype:protein_coding transcript_biotype:protein_coding MANMAIASNIMKRLQGKVALITGGASGIGESTARLFVKHGAKVVIADIQDDLAKKVCQDLDPSSASHVHCDTTQESDIENAVNTAVSKYGKLDIMFNNAGIAGSGTSNILDDKKSGFERVISVNLVGVFLGAKHAARVMIPNRCGSIINTASVCSTIVGAAPHAYVSSKHAVVGLTRNTAVDLGRYGIRVNCLSPYFVPTPMAVDFIERINGGVSKVYTYLDGAVLKADDVADAALYLASDDSKYVSGHNLVVDGGYSIVNSAMCSTLMISGNLHVAVYSRFVCHEECGLIIKSGSTIIGTKRTSVFHNGRAPKGKRTEWIMHKYCMSGKSQVKWCF >CDP09190 pep chromosome:AUK_PRJEB4211_v1:1:32354597:32356930:-1 gene:GSCOC_T00028417001 transcript:CDP09190 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSQTLRVLFCSLLILGLTAVAQAKKSDNDLKEVTHKVYFDVEIDGRPAGRVVMGLFGKAVPKTAENFRALCTGEKGTGKSGKPLHYKGSKFHRIIPNFMIQGGDFTLGDGRGGESIYGEKFADENFKIKHTGPGLLSMANAGADTNGSQFFITTVTTSWLDGRHVVFGKVLSGMDVVYAIEAEGRQNGTPKSEVKIADSGELPL >CDP03986 pep chromosome:AUK_PRJEB4211_v1:1:33715849:33719787:1 gene:GSCOC_T00016505001 transcript:CDP03986 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLCSSSSSLLSLCSLQLLRLSPIASPSDQFLKPTIMPQGILKKKKQKHSLLFCRSSNDESNEDYYLDAPVSVGDGFSFSGGKYSDEPSPADEWFEQGKIVKAHPVGGTGDKAKDPIFGLAMGGGSQTSSDLFRWFCVDSGSADNHPIVLIHGFPSQAYSYRKVLPILSKKYHAIAFDWLGFGFSDKPQPRYGFDYTLDEYTTSLESIIDETTKGKATLVVQGYFSPVVVKYASSRQEKITDLILLNPPLTAKHANLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYVIKEDVAMVYRRPYLTSGSAGFALNAISRAMKKELKPYIEEMRRILMDENWQVNTTIIWGERDRWLSYNGVEEFCIESKHRLIRLPMAGHHVQEDSGEEVAQLIAQVVGR >CDP08940 pep chromosome:AUK_PRJEB4211_v1:1:30366762:30374764:1 gene:GSCOC_T00028082001 transcript:CDP08940 gene_biotype:protein_coding transcript_biotype:protein_coding MEWESKQLGLLPEDGSLIQQQTPHSHKSPYHQPRISPATAKGINVRNDPASVKLNSLSHQDMTNKENITTNKLEGPKLSMEPMQMKKKKKGGGYNLRKSLAWNQAFFTEDGVLDPIELSMITGTLGNSSREALCAITEEATTSLSNDIQFNRDSANPCTPEKNLFKASPAACSGKDRGTVCLSLKHTSPAHIHQASTSVSKFPASSCFSHRIVDPSHRRGKLGDWRPPQLPAKIPGVVDGQMLTSRVSRSRFNLSAVSIDAITPDVGKDAMMDGKKIPHEPNHCELESDKNRLLSITDTNGKFKNHVKGESITAVDKDGEAEMKENINLLKIPSCRSMTEVGNAKSCTLGHTASTESAGNYFEHSLFYQPEEFAIGVTGTRNMNTNSCEDENSGSSTVNDVINGGVMNSASVRCMQNLDQSSSLQSDRLETSNFEELLVPDEDKPSMLVEGNHKKMVGDSWICGTEKIDNQTVEDLELTNSRLENLEFSSEHIYASLSKDSFVRNEMAENRQNLKQSLEVIELKDTSAMLLQTQTACPEFEQISKSIHGLASADCILLEKDIGSEKYKVAVNEMFPIDEKGAIEMDNSGVENAETFGGMEGEGFDTNQIISHDFLSEPNEMAENTQSLKQSLEVVELKDTSATLLQTQTACPEVEQISKCIHGLTSADCMLLEKGIGSEKYQVVVNEMFPIDEKGAIEMDNSGVEIAETFGGKEDEGFDTNQMIRHDFLSEPTLVSFEVAPLVPNHDSALDTSVTHVILSDRATSFDMHRCSSEASEFLVGEVHKSFHGDKVKNSEVNERNLVIVEPVCQSLFSGPHLESLVADNNHTSLYLESKVCHDDQKSDTQTIAGTDSTFDGYPGIEIAMQTREATIEATSGDNISQTGDDPEFFADSSVPTKCCIDHISVVRDHSATDANCTEESEQLLLSNCFTLVEEVSGLKNMLFPRDSSYEETTESAKSKESNVLGSGDAIVVEFEHCESEYRSYKELTDAPLRACDRGNHKFNGDLSIEETKPSCTEIEPSSEKCELSSGSQTRHYIELGGGLTSPRSVNQSIGVIDSALTDEIKPEVCQDMDSLVKDTKCDLKTSLCQEQDGNSTAAIGSNKAVSNSDKTNLIIFPPRNAVPFSDEWLAAVEAAGEEILTVKSGAVQNSPPDKCLPEPSPWSPVKKKSNAIGPYDCTKFTNNLSSDPQ >CDP09109 pep chromosome:AUK_PRJEB4211_v1:1:31754691:31761458:-1 gene:GSCOC_T00028307001 transcript:CDP09109 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLESGWTYLITHFSDFQLACLGSFFLHESVFFLSGLPCIYLERAGWLSKYKIQTKNNTPAAQEKCISRLLLYHFCVNLPVMVFSYPVFRYMGMRSSLPLPSWKVISTQILFYFILEDFVFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPAITGPHLITLWLWMMLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKALKSYGDEAAGKDI >CDP09691 pep chromosome:AUK_PRJEB4211_v1:1:24465029:24467100:1 gene:GSCOC_T00030118001 transcript:CDP09691 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFKFLWQLSGECPEGTIPITRNQKARNFKRYAKKKHKSFSQLDDPSTHEHAVAYVQNNVYFGAKATINVWQPQVQTSGEFSLAQIWVLAGANSFLNSVEAGWMVSPSLYGDNNTRLFTFWTRDGYQSVGCYNLFCPGFVQTNTTIALGATISPVSTYHGAQYDIILCIFKDPKQNVWWLQYGNDGVIGYWPASIFTSLADSASLIQWGGEVVNTAQGGQHTTTQMGSGHFAEEQAGAASYFRNLQVVDQSNTLVPPGDITSAAPKPNCYDIILAKNDDAGDFFFYGGPGRNPNCP >CDP07068 pep chromosome:AUK_PRJEB4211_v1:1:29333551:29335267:1 gene:GSCOC_T00024173001 transcript:CDP07068 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFMRAKQYYVHANITYGLAHQSERSKQRLSQHSRRQSLSSECQCPHGFSEPRDGEFHVASLPCNPDLNVMSDLRDATTGDPNVVHSCVSMKECEKYNKMKMAKGITRHKHSLRQPSEGWSSIVNELRPRREGKNAGSIQDGSTRPPNPYEKMYVRRETPRQCRRILPLF >CDP14616 pep chromosome:AUK_PRJEB4211_v1:1:15098323:15098691:-1 gene:GSCOC_T00041202001 transcript:CDP14616 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRNKSKTELLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKNKKYLPLDLRPKKTRAIRRRLTKHQASLKTERQKKEMYFPVRKYAIKV >CDP03984 pep chromosome:AUK_PRJEB4211_v1:1:33723992:33725899:1 gene:GSCOC_T00016503001 transcript:CDP03984 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLTLQASALTFPAPPYSNTLSNDSQDNASKNISSISLLRKCRTFKEIPPTHANILKNGHQNDPFIVFELLRMCSKTNSINYAFEIFHHIREPNVYLYTVLIDGVVLSGLYLDSIRIYCQMVEDLILPDNYVISSVLKACGFQMELKFGREIHGQATKLGLCSNRSVKLRLIELYGKCREFQDAKRVFGEMPERDVVAATVMISCYSDHGLAEKAVDVFNLVRIKDAVCWTAIIDGLVRNGEMSKALKFFRRMQREGVRPNEVTCVCILSACAQLGALELGKWVHSYLEMHDIDVNHLVGSALITMYSRCGDIDEAERIFNVLRERDVTTYNSLIMGFALNGKSGKAVEMFQGMITKGIRPTNITFIGVLTACSHGGLVDLAFDIFDSMETKHGIEPEIEHYGCMVDLLGRVGHLEDAYKFIWSMKITSDHIIWGSLLSACRIHKHFYIGERVAQVLVDIGDADSATFILLSNFYAAQGKWEAAAQARAKLQDGGVRKEPGCSSIEVENEIHEFLLGDTRHLKREEIYKKLEDLNQMLRLKGYSPDTEVISQDIGDQEKKWALAIHSERLAICYGLISTKPHTTIRVVKNLRVCSDCHSAIKLITEITGRNIVLRDRNRFHHFENGICSCGDYW >CDP09671 pep chromosome:AUK_PRJEB4211_v1:1:24168075:24170384:-1 gene:GSCOC_T00030084001 transcript:CDP09671 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKAIQADNFTNRLFLFFTECTSSSCRKNSLASVPENVTDQIRLWESDLNRVESIPAHFFEELPSTSREVFEAACDHARECGSFLWENSKNNVACSESRKFFLREGISSWKGAIVFWHFP >CDP07097 pep chromosome:AUK_PRJEB4211_v1:1:29661818:29668199:1 gene:GSCOC_T00024213001 transcript:CDP07097 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSHRSGASKKSSDGARLVITTIMGIVFGYFIGVSFPFVSLAKINFFEGAMNRDRHSLDTRSFPETVGSGSTPLAPKIYVPTNPRGAESLPPGIVVSESDFYLRRLWGEPSEDLKRKPKYLVTFTVGLDQRNNIDAAVKKFSEDFQIMLFHYDGRTSEWDQFEWSKHAIHVSVRRQTKWWYAKRFLQPDVIAAYDYIFIWDEDLGVEHFNAEKYIQLVRKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKVTEEKPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGQSENGKAPWQGVRERCRSEWAQFQDRLANADKKYIEQLGRTLN >CDP18592 pep chromosome:AUK_PRJEB4211_v1:1:135870:136467:1 gene:GSCOC_T00012425001 transcript:CDP18592 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFHVSIARRTNWNRIIQTIATELKKSSDILLEAAAAVAHHIEE >CDP07071 pep chromosome:AUK_PRJEB4211_v1:1:29362117:29362761:1 gene:GSCOC_T00024177001 transcript:CDP07071 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIKHLASSSSHFSAFLHSSSAPNVLKTGQVLKLARAFSDSDVVDYSKLSLDGNPLHFEPECARIAGFADRLVPGMLVASLFPRIIAFHFPGAVYVSQTLQFKLPAYIGEEITGQVEATSIRKLKNKYVAKFLTKCFKDDNTVVIDGEATALLPAPA >CDP09196 pep chromosome:AUK_PRJEB4211_v1:1:32387330:32389717:-1 gene:GSCOC_T00028424001 transcript:CDP09196 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPAFPSTTITLLIIIILTTCCQHGVTSLGSGSTIAVSYTSSDSTNTVCGIIASKLTQRIQCYKNCQTISIQPEISYESISGGLGYFCGLQSGGLSIICWDTMSFRAKRIYYSKYNRLKGLSVGNYQVCALQFTTGAALCWRIPSPEEGTKFRAITSGEGFSCGILKNAGRVHCWGRSEIGAEIEIQFKSLPMLNLIAGESHACGVTKAGTLICKGSNIGGQLNVPSHYAFEFSSLALGANHSCGIRKKNGLVVCWGGGSRKLEFSSNVVDEVSFENIVAGLDFTCGLTTKDLSIICWGPGWSRNLTAGDVVLLEPVLPGPCMQMSCRVCGVYPNSGSLCAGSGSICKVCEVELPIPVNLPPLMPSTPGSQVPEIASSPSRTKNRLFLAFGVVGCIGAFAGICTIAYCFCAGLCGNEHKNVYKPVVLQPTSARSNLEDYLAASNASILPPSGSSSIKRNNSWVLRSQRSGTSSRQTERTENFTLSELAAITSNFAQENKIGGGSFGRVYKGKLADGREVAIKRAECSSKTKKFQEKESSFLSEIALLSCLNHKHLVGLVGFCQENDERLLVYEYMRHGSLHDHLHGQRNTDSSMLNSWRMRIRIALDAARGIEYLHNYSIPPIIHRDIKSSNILLDGNWTARVSDFGLSLMWPESEDEPMYMKAVGTVGYIDPECYVLNILTVKSDVYGFGVVLLELLTGKKAVFTNEGAGPMGVVEYARPWIVAGELEKILDKRVGLPEMNEIEAAEVVAYTALHCTSLQGKDRPTVSDVVAKLERALALCDDSSAIVIASPA >CDP07008 pep chromosome:AUK_PRJEB4211_v1:1:28786478:28790076:-1 gene:GSCOC_T00024088001 transcript:CDP07008 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGSLLSLWLPLLLLLCFSTSISSAVYHPHKIPRLSPIRRTNLRDPDDTLTKASRSEDFKSYFYEQTLDHFNYNPKSYTKFNQSYVINSKFWGGAKSNSPIFAYLGAEAPLAGDIETIGFLPDNAPRFKALLVYIEHRFYGNSVPHGSMEKAMKNKAIRGYFNSAQALADYAEVLMHVKHKFSAKNAPIIVVGGSYGGMLASWFRLKYPHIALGALASSAPVLYFDDITPQNGYDSIVTKNFKEESEHCYQTIRKSWSEIDEVASKTNGLSILSKRFKTCAPLSSPSELADYLASMFTMAAQYNAPPRYPVAELCSGIDKAPEGTDILGRIFAGVVSFRKDESCYDGIIISGSLRIKWQSRSLVCLLFPLLTCSEMVMPMGHGSNDTIFPSSPFNLPDFIKDCKSSYGVSPRPHWITTYYGGHDIKLIFQRFGSNIIFSNGLKDPYSSAGVRENLSDSLLAVYTAKGSHCLDILAAQKTDPSWLVAQRKLEVEIIEGWIKQYYADLEIFFFKNKN >CDP09157 pep chromosome:AUK_PRJEB4211_v1:1:32087954:32093247:-1 gene:GSCOC_T00028371001 transcript:CDP09157 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVYKDPNAPVEARVKDLLSRMTLEEKIGQMTQIERSVATPDAVKNRFIGSVLSGGGSKPFEKANSADWADMIDGFQKGAVESRLGIPIFYGVDAVHGNNNVYGTTIFPHNIGLGATRDADLVKRIGQVTALEVRACGAHYSFAPCVAVGKDPRWGRYYESYGEDTELVRKFSCLVTGLQGQPPAGHPNGYPFLAGRKNVMASAKHFVGDGGTDKGINEGNTILAYEELERIHMAPYLDCLSQGVCTVMVSYSSWNGSRLHTDHFLLTKVLKEKLGFKGLVISDWEALDRLYHPHGSNYRQSILSTVNAGIDMVMVPFRYELFLEELLSLVQSGEIPMDRINDSVERILRVKFVAGLFEHPFTDRSLLELVGSKPHRELAREAVRKSLVLLKNGKDPKKPFLPLDRKAKRVLVTGVHADDLGYQCGGWTCTWTGTSGRITIGTTILDAIKEAVGSNTEVIYEKNPSPETFTSEEFSFAIVAVGESPYVETGGDDPVLKIPFNGDELISTVADRVPTVVILISGRPLVLEPSTLEKVEAFIAAWLPGTEGRGITDVLFGDYAFHGRLPVTWFKSVDQLPMHIESNSYDPLFPLGYGLTGKNEEL >CDP17658 pep chromosome:AUK_PRJEB4211_v1:1:5101257:5106496:-1 gene:GSCOC_T00013191001 transcript:CDP17658 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVRGLFSSFCCNLFLLFVLVSVRPNLAVAGSVVKFLPGFESPLPFVLETGYIGVGESEDVQLFYYFVKSESNPQIDPLLIWLTGGPGCSSISGLAYEIGPVKFQRVLYDGTLPKLMTNPYSWTKLASIIFLDSPVGTGFSYARTAKASQSSDLQASEHTYEFLRKWLHDNPEYMSNSFYIGGDSYAGINVPILAQLVSNGNDAGIEPHIHLKGYILGNPAPTTQGDGNHVIPFAHRMALISDELYESLKATCKGEYVNIDPSNAPCLKNIQAYNKLIDNINIEHVLEPTCPDVSSKPNNLFSGRRSTVETFYKKFEELDVLEFNPVQCRELLYYWANDRKWIRCNYSILYTKNAGSAVPYHANLSTKGYRSLIYSGDHDMISPYLGTEEWIRSLNYPTIDDWRQWIHQGQVAGYTRTYANKMTFATVKARNSSFSCFSPAECRSMFGRWISYQPL >CDP09655 pep chromosome:AUK_PRJEB4211_v1:1:23829585:23832058:-1 gene:GSCOC_T00030064001 transcript:CDP09655 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAANGKELFVAKKSGHVVQLHLQNSAPIVDDLIDAEFFSDQNDFNGIPIPSFLGSFKSLRYLDLSGAGFQGMIPYQIGNLSSLCTFSIEGYTSDLQADNLQWLAGLSNLKHLDMTNVDLSAAFNWLEVPNMIPSLITRLNNLISFNLGNNQFESCLDGIWNWSSLTSLDLSDNDLSTFLPSLLSTLTSLVSLVLSHNDFQGYIPGSIANISCLRLEVLELRGNALAGSIPSNLGKLSSWECLDISKNKLTGTLPESLGQLSKLEKKYITLSGGNFTGSIPRSLVNLEYLNHLDLGNNKVSLGRYRRLCKSYTSLLDNVDNVVKMGRSSAHGITSFRNCPQTFRELLGQEVSGVAFHGAP >CDP06926 pep chromosome:AUK_PRJEB4211_v1:1:27867218:27871249:-1 gene:GSCOC_T00023973001 transcript:CDP06926 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAARRNGGGVLEGLYKVVMRRTPVYVTFVIVGAFVGERVVDYGVHKLWEHNNIGKRYEDIPVLGQRQSE >CDP11104 pep chromosome:AUK_PRJEB4211_v1:1:7083002:7084540:-1 gene:GSCOC_T00033140001 transcript:CDP11104 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRLFPVLNTLHLEDMGKLEEWMEPEGVAVDVFPMLEKLFITGCPQLANVPTFPSLYKLTITDCEGLISIMLEATMSLRKLTITDCEGLISIMLEATMSLRKLTITDCEGLISIMLEATMSLRKLTITDCEGLISIMLEATMSLRKLTITDCEGLISIMLEATMSLRKLTITDCEGLISIMLEATMSLRKLTITDCEGLISILLEACLSLSHLCVNDCPNLVSFPMVERLHESPNLERVCLRNCPKLLTTPKAFASLTCLRELELIHLHLHGFGGMEALPDWIGNLASLAYLSLYDCEKLRYLPSEAAMRRLTELSLLCMCGCPLLKERCNPHSRPDSEL >CDP17557 pep chromosome:AUK_PRJEB4211_v1:1:836237:839958:1 gene:GSCOC_T00011037001 transcript:CDP17557 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIQVALQAVVSLAADHVNLAREFPEELKKLDKSAAMIRGFLAGADEDKHSPVVQNWLKQLEEEVFKADNVLDELNYENLRRKVKYQNQLTKKTVFFCFSFFNKIGFRSRLGSVIREINTNLQRIHRDAEATTSRQTDSTIVRRDVLGRDKDESEIVKKLLTESESVISVIPVTGMGGLGKTTLAKAIYENEQIVGHFDKKIWVCVAEKVDRIEEVFKMILESLIGGKVEGDRREEIVQKIQHELKEKRYFLVLDDLWNDQEVLLNDFFSTLAGINAKKGSWCLVTTRLQEVETILSRHPQINFIRHEIGRLCDNDCWSIMKKWANVGEEVPKELEDMREQVLRRCDGLPLAAKLIGGLLSKKRKEEWLSILEESLLNRDQGGIEQIVKVSFDHLSPAPVKKCFAYCSIFHQDTRLEQDPLVELWMAEGFLQLDSRNERMMEKIGCEYLRILVQTSLLEEVKDEWGTWYKMHDLVHDFAKSILNRNSSNQDRYLAVYSPERINEKASASLRTLFLQGGIADDMLSKFKYLHVLKLFGADVKELPTSIGKLIHLHLLDISDSMITTLPESLCKLYCLQTLRIGKLEEGFPKEMSNLISMRHLHYYDDAERKIQMPSGIGRWTCLQTLEFFNIGRQKEGRGIQELGTLQDLKGSLEIRNLELVYGKDDAELANLSKKPNMYRLVFEWGNGDRESDKCDEDVLEGLQPHPNLTELHIRNFRGDQVPQWLVKSSTLVELRLVNCRELPTLGQLSSLQHLYLTGLENTTCIGLSFYSSSTISTQTFFPSLKILSLESMKNLEEWKDAHEIHVMDVFPVLEKLSISDCPRLTTIQLQVISQVLMYWKSQRISMFGWQKSIVGCNSLPADMLERLCLFPTLQRVELTSAHNITTLRGMSCAACLKILRVFNCGNLRELPEDLYQFQALEYLEIQYCPRIDSFGHPNPKNSFGQKSLLKPLEEFTVEGCDALTRLPVEMFESCTSLRELKLVRCGSLVSFPLDLRRTPSLESFSLFGCPNLTAELPSGFGYLTSLREVTIGPFSYYSAIEFDWAGLASSSSLRHVSLLGMRDTKSLPHQLQVSTTITSLSLHHFGAIEALPEWLGNLASLEDLILYYCRKLEYLPSLAAMERLKLRRLEIDGCPLLEQRCTPQSSSEWPKISNIPELEIYYKSSF >CDP08507 pep chromosome:AUK_PRJEB4211_v1:1:22665556:22667281:1 gene:GSCOC_T00027439001 transcript:CDP08507 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAPFRVSNGGKMLWENPCARNNVANVLFLESPAGVGFSHSNTSSNYIIGDTKTAADSFTFLVNWLERFPEYKTRDFFITGENYAGRYVPRLAQLILHNNKITNQTVINLKGATIGNGEYDIETQNRGTYDYYWTHALISDEIHQGIVSNCNFSSADPPSDACQTYKSQAHSDIGHIDSNNIYAPLYEYDPCSDNYVYPYLNTPAVQKSLHANTTGIPGPWKNYKYICYDVFGYIGHNWDDEIDTVLPVIKEHPSGGISVWLYNGDIDSVCSVTTTRYALNKLRLSAKTPWHAWYTQGEVGGYTVEYENLTFVTVRGAGHLVPSYQPARALTLFSSFLVGKLPPSN >CDP17131 pep chromosome:AUK_PRJEB4211_v1:1:37296388:37297678:1 gene:GSCOC_T00005055001 transcript:CDP17131 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCRLVAKAHVNGVLSSFKIDREGGRMGRAAEALRAYRSVLRATRKSFAGDTLMLRESAAEVRKKFEENRHVTSEADVQRLLEEAREASQFISTMIVQAKLNERGGYEVKLDKQHADATLELPSEELLKKSR >CDP17030 pep chromosome:AUK_PRJEB4211_v1:1:38050272:38053650:1 gene:GSCOC_T00004933001 transcript:CDP17030 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEAKLLLGFPPHSHPSPSQVKTAYKSKVWDTHPDRFPPHLKSNAEHRFKLISEAYACLRSASYSKVVRSGVPRACGSGGHRALIAAPFLLIVLSTVAFGGSIVTRSYKRQKEAYPSHNPFLP >CDP08438 pep chromosome:AUK_PRJEB4211_v1:1:20146240:20172755:1 gene:GSCOC_T00027320001 transcript:CDP08438 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRIGTSNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNDPTALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAESSEDLYEWKTALEQALAQAPSAALVMGHNGIFRSDANDTIDGSFHQWRDKRPVKSLVVGRPILLALEDIDGGPSFLEKAVRFLEKYGTKVEGILRQSADVEEVDRRVHEYEQGKSEFGPDEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARVNALRSAILETFPEPNRRLLQRILKMMHTISSHASENRMTPSAVAACMAPLLLRPLLAGECELEDDFDINGDNSAQLLAAANAANNAQAIITTLLEEYDNIFDDDTLQRCSISADSQIENSGSEDSTDEETLNTKDNGYHDAQNEVDAETDDDHDRVLSGKLSESSGSVASDLYDYKACGADDSDFGSPKGNHALGTESNLCVDSQTRRDSNIPFNDQVDLQKKTNLGEMSASGQLTGSEPQRSMGEILSSMDHGIPQSISGPESSGEKASGKLSASSANVKKSTFWGRNNARKTPSVESFDSSGEEELAIQRLEITKNDLRHRIAKEARGNAILQASLERRKQALHERRLALEQDVARLQEQLQAERDLRAALEVGLSMSSGQIAGSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDASDRYQHAPNHVSQHKYYQQDFDATLAFCNHERKQRNEELLGSDLRNIKGQVLTSGSSSRQPSRKQFIDSTSLSDSKSTEASTSLSVDEFGAVDSVAIPSTSRAGEVIDYSRNPSTASSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGTAAHDLVYKATSPRWH >CDP17116 pep chromosome:AUK_PRJEB4211_v1:1:37383407:37384561:1 gene:GSCOC_T00005039001 transcript:CDP17116 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFPNAKLISAFVVQRISVAASRHARGYAAGASQGAVPGSMRGGSNANMMRKKGGEESSSKTTSWVPDPVTGYYRPETHAAEIDAAELRNMLLKHKN >CDP14085 pep chromosome:AUK_PRJEB4211_v1:1:11013773:11020894:1 gene:GSCOC_T00039281001 transcript:CDP14085 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein RCF3 [Source:Projected from Arabidopsis thaliana (AT5G53060) UniProtKB/Swiss-Prot;Acc:Q8W4B1] MDRSRSSKRYYYDHPADYDSEFNPSRNKPPAGGGGGGGGGRKMQDPSLTVTTSYRILCHDVKAGGVIGKSGSIIKAIRQHTGAWINVHELIPGDEERIIEISDTRRRDPEGRIPAFSPAQEALLLIHDRILESDIAAGGGGGGDVVVTRLVVSRMHVGSLLGKGGKIIEQMRMDTKTHIRILPRDHTLPRCVAMSEEIVQVVGDVNAVKNALSVISSRLRESQHRDRSQFHGRMHSPERLFPDEDFIPHMNNSGRRTSADGSSFGSRFPTGGRANNYSSRQSGHASESEVTPISDNAQLFPGEDLVFRILCPVEKVNCIIGEPDGIMDLLQNEVGVDVKVTDPVAGSDEQIIIVTSDEGPDDELFPAQEALLHIQTHIVDLVPEKENFITTRLLLQSEEIGCFNGREGSLSDVRKIAGADVQILPREELPACVSENDEIVQIVGEIKAAREALLEVTSKLRSYFYRDFFQKDMPLPSLSTSSVMEGALRHDGASKNVIPSPEDHGGKDPPTSTYQNAPNTATAQPTNTTTAQPVKDSVTSTSDSGKQNENERQEDIPTAVNRISVPLVTRSTLEVVIPAHAASKLVTKSRNKLAQISELSGANVQLVEDRPEATEKTIQISGTPEQAERAQSLLQGFILSIEEDGP >CDP09019 pep chromosome:AUK_PRJEB4211_v1:1:31103416:31106995:-1 gene:GSCOC_T00028188001 transcript:CDP09019 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSDDAVVIHKGKVPGDPYVITVNCPDKTGLGCDICRTILDFGLCICKGDITTDGRWCYIVLWVIPHARSPAVRWPNLKERLLSVCPSCSVSFYLNQPSSIGTPSPVYLLKYCGLDRKGLLHDVTQVLCYLELTIQRVKVTTTPDGRILDLFFITDNLELLHKKERQDETCAQLQAVLGESCFSCELQLAGSGYDNLQSMSSLSPAVAEELFRYVLSDKEIRAQALSSDVTKLKKSSVIVDNSLSPAHTLLQINCVDHKGFLYDILRTLKDYGIQISFGRFSLVNNGHRELDLFIRQKDGKKILDPEKQESLCSRLKVEMLHPLRVIITNRGPDTELLVANPVELSGKGRPRVFYDVTHALKTLGICIFLAEIGRHLTADREWEVYRFLLDENCRFQLSNMVVRNQIVDKVRRTLMGW >CDP09653 pep chromosome:AUK_PRJEB4211_v1:1:23816713:23818755:-1 gene:GSCOC_T00030060001 transcript:CDP09653 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRADGYSNFGSEFLQPDFDSQDSLSEGNLVNDCQFDHLLNNVSGPSTFSSLPDDISATQCELEDCTVERDYFDGVFKYLQQMLMDEEDLVDKHCMLQDCLAFQSAEKSFYEVLNENHPSSLGSSPSALDNSAEIWPNEVNDPVVSHHTFQSNFQLTTPAESSDLSVHYLVDPCLSPSQTLQSSTERNGTVVAAGEKSSNDRSKKKNTRRDPEGGDQDKDERPSKQLASHTDEIDNIEDYDDALLCPARNPNFYGESPARGGTECPEIEGRKKQQYVPPTTAKRGRPRASQKQDSIREVVDLRDLLTRCAQAAAGYDNWIANELLKQIRQHSSPYGDPTERLAHCFANALEARIAGTGAALYSALTAKRTPAADVLRAYQAYMEICPFQRMSNAFANKSIGRLTSKVTRIHIIDFGILYGFQWPCFIQGISLRPGGPPKLRITGIDLPQPGFRPAERIEETGRRLENYAKRFSVPFEFNAIAKRWDTITTEDLVVDKDEILVVNCLYRLRNVPDETVVPSSPRDAVMNLIRKINPDIFVHGILNGMYSAPFFLTRFKEALYHFSSLFDMFEATLPREDLNRSMFEREVIGREVMNVIACEGTERVERPETYKQWQVRNQRAGFRQLPLNKEIIREVRAKVKSSYNGHFLVDEDSDWMLQGWKGRVIYALACWKPAGL >CDP03783 pep chromosome:AUK_PRJEB4211_v1:1:35104235:35111356:1 gene:GSCOC_T00016263001 transcript:CDP03783 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLVTGSHARNEHDHVIHGMEDERPPTRESGNKTKICRVCGDEIGLKENGEVFAACHECGFPVCRPCYEYERSDGDQCCPQCHARYKRHKGCPRVEGDDEDNFDDDFEDEFQLRNHHDTSPDRRQRYWENGEYNHQHLHTNGAAHHSVAGSVVGGKEEGEEDDQKETYSTSEWKERVEKWKTRQEKRGLVTKLDDGGNDPGDEDDFLIAEARQPLWRKVPVPSSLVNPYRIVIVLRLVILCFFFHFRILAPAYDAFPLWIISVICEIWFGLSWILDQFPKWLPINRETYLDRLSLRYEREGEPNRLSPVDVFVSTVDPLKEPPIITANTVLSILAVDYPVEKVSCYVSDDGAAMLLFDTLSETAEFARRWVPFCKKYSVEPRAPEFYFSEKIDYLKDKVQHTFVKDRRAMKREYEEFKVKINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSEGALDVEGKELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYLNNSKALREGMCFLMDPHVAKKICYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNRQALYGYDPPASAKRPKMTCDCWPRWCCCCCGGSRKAKSKKKGSSVKGLLDGIGTFSKKKKMQGKSYTRKSSAPVFDLEEIEEGLEGYDELEKSSLMSQKNFEKRFGQSPVFITSTLMEEGGLPEGTNPGSLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCSPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGKLKWLQRLAYVNTIVYPFTSIALLAYCTLPAVCLLTGKFIVPTLNNFGSLWFIALFMSIIVTGVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTAKAAEDTEFGELYMFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYTSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSVLLASIFSLVWVRIDPFLPKQTGPILKQCGVEC >CDP03906 pep chromosome:AUK_PRJEB4211_v1:1:34247509:34249508:1 gene:GSCOC_T00016409001 transcript:CDP03906 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSAGDETTPEVIASPSSSSSSALDVWKNRIIIPTLVAGIGGGGVGLISKHRKVHGVAKMSATYAANFAIVTGCYCGAREFVRVSRSGKPDDLFNSLIGGFGSGAILGRLQGGPVAAARYSVIFAVVGTTVDYAALKTKPLLRNFYNSLVGDSDKSQQWLKLPEWSPIQVLDEEALAAKRAREEQIYRSVRNLKKEET >CDP03843 pep chromosome:AUK_PRJEB4211_v1:1:34654112:34660375:1 gene:GSCOC_T00016337001 transcript:CDP03843 gene_biotype:protein_coding transcript_biotype:protein_coding MVINRQLVLTYLYLLVYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMGFSGLVAFFLVRVFKVVTPVKMTFEIYATCVIPISAFFASSLWFGNTAYLFISVAFIQMLKALMPVATFLMAVICGTDKLRWDIFLNMVLVSIGVVVSSYGEIHFNIVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWYLLEKPGMEVSQIQFNFGIFFSNALSALALNFSIFLVIGRTSAVTIRVAGVLKDWILIALSTVVFPESTITTLNIVGYAIAICGVIMYNYLKVKDVRASQLPIENLAERTAKEFKMEKKSSDLYVPDDTINSSSGLRIGRNSASDVNVDEEAPLLASSRLSHLGQSHYSSHSA >CDP09001 pep chromosome:AUK_PRJEB4211_v1:1:31005220:31005962:1 gene:GSCOC_T00028164001 transcript:CDP09001 gene_biotype:protein_coding transcript_biotype:protein_coding MANCLMLLSNMAKTTSSPPSLDRVFTCKTCNKQFSSFQALGGHRASHKRTRLVAADGLHDQNHSPAKPKTHECSICGLEFPLGQALGGHMRRHRNDGTAGDKSEETQSGSQKSSSHSDSHRALLLDLNLTPRENEFKFGRLDSFM >CDP18567 pep chromosome:AUK_PRJEB4211_v1:1:349809:351482:1 gene:GSCOC_T00012396001 transcript:CDP18567 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTLVALLLCLSAGALLVQAEDPYLFFDWKVTYGTIAPLGVPQQGILINGQFPGPRINCTSNNNIVVNVFNQLDEPLLLTWAGVQQRKNSWQDGTLGTMCPILPGQNYTYHFQVKDQIGSYFYYPTVGLQKAAGGMGPLNVHSRDLIPVPFDWPADEYNVLVGDWYTKGHKALKNMLDSGRSLGRPDGIQINGKSAKVGDNVEPLFTMEPGKTYRYRVCNVGLKTSINFRIQGHPLKLVEIEGSHTVQYDFDSLDVHVGQCLSVLVTADKEPKDYYFVASSRFIQAPLSSVGIIRYTNGKGPASPELPPSPPVGSAGIAWSMNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKLVNSESRVGGKLRYAINGVSHIDTPTPLKHAEYFGVADKLFQYNLVKDDGSAVNTPAPIIAPSVVNATFRNFVEIIFENHEKTIQTYNLDGYSFFAVAVEPGTWSPEKRKNYNLLDAISRHNVQVYPGSWAAIMTTLDNAGMWNLRSEMQHRAYLGQQLYFSVLSPARSLRDEYNLPDNQPLCGGIVEKLPLPAPYTA >CDP13119 pep chromosome:AUK_PRJEB4211_v1:1:2098821:2101485:-1 gene:GSCOC_T00037923001 transcript:CDP13119 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLLDKFPKIRANELLASQKFELTIRAAHEPEFELQLTSLIDSSSNLTFFSRVKLELNSLGLSSPNSNSSPSIFKSSSGR >CDP11090 pep chromosome:AUK_PRJEB4211_v1:1:7825002:7826709:-1 gene:GSCOC_T00033111001 transcript:CDP11090 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAADPGQRYKVSEVLRDASAAGLSVCRTWAFGDGGDRALQISPGSYDERVFQALDFVISEANKHGIRLILSFVNNYNDFGGRRQYAQWARNAGAHVNSDDDFYTHPTIQGYYKDHIRRVVTRFNTITRISYRDDPTIMAWELMNEPRCQADYSGRTVNEWTQEMASFVKSLDRKHLLEIGMEGFYGDTMPEKKQLNPGYQVGTDFIGSNLLRDVDFATIHAYPDQWLSGKDDKAQLVFMQRWMSSHWEDSRTILKKPLVIAEFGKSSRDPGYSLSARDDYMSNVYRITYGFARSGGTMSGSLIWQLMAQGMDSYDDGYAVVLGRNPSTTAIMSRQAHAMSALSHLLAGADDAHSHARGQAHPRLMNHPHPSRRALLHHAKQHGHHHSPSLL >CDP07087 pep chromosome:AUK_PRJEB4211_v1:1:29533748:29559541:1 gene:GSCOC_T00024201001 transcript:CDP07087 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKNPAPRLEQRKSPRNEGFFPEPWIQESRVFFKPRAERMANDARSRQRNKDFEGDSSGKSMDSGEGTLNSSTLKVDASRLRQTTQGASSSGQMTSSPSSTRKSERLEKRMPSTPLPVVRKSERLEKQSAPSPLRRSDRSRALGSSTSYGSKSLEKVSSSADRMSRREKKEKSVKQLTMGTENVNHGEKWNQESFGVKRKRMDARSYKALFKRKRICSASGREDELERHDVLPQVDSGGNMSCLKQLEDKNEGHECGMRVTEDSREKPLERAVSGAAFGLKDCDAKISEPNINSTTGNSQIPEMVTLTCMASPLGCNTKLESGARCMQSEEKGSAINVAPDVTGILVSKSVSAPVAESDPAASRENDIYGFVGNCAVCCKRRRSDYDSPKEELCSCGATLSCTSGDISRNKDSGNLEAAFNSESVGSRKCIPRSKETLSVTKTDVQNVCVMCKKGGKLLWCCNGESCRRCYHVSCLDPSLVDETPGVWHCASCVKKKIEFGVHTVSKGVESIWDVREVVVSDMKGIRRQKQYLVKYQGLAHFYNHWVSETLMLHESPSLVEKFNREYQIVTWNPEWRLPHRLLRKRRLMSFSHQEEYPSSNNDAVPYCQFEWLVKWRGLDYEHATWEVDSMKFLRSPQGQRLVREYEIRHQKTRKVSDKSAKGAFTELQKLPPGGSFRADDNMLNNVNKLQEFWCKNQSAVVFDEQDRLETVILFIKALSEHHQPFLIVTTSAALSQWEVEFMRIAPSVDVVVYSGNRDTRSIIRTLEFYDESGGILLQVLLSTMEIVSEDLQTFKEIKWEAVIVDECQSRSMNTNVALIKVLQTNVRLLLFSSQLKDVVAEYQYVLSLLDSSGDLKLNLSDNLVKLKESLSHFTAYGSKFGSSKFVEYWVPVPISNLQLEQYCSTLVTNTITLCSPSKRDGVGALLDILKTLRKCCSHPYTVDLYTKTSVIKGLQAPEMLDVGITASGKLHLLDLILSKIRRRKLRVLILFQSNGSSEGTPIGSILEDFLAQRFGQNSYEGFGATFDIPTKRQATMDRFNSKEGGEFVFLLDIRACMPSIKLSVDIVVLFDTDWNPANDMKALQRISFISHSDQIKVFRLYSSYTFEEKVLILAKHNKNVESNLRSTSRATDDTLLMWGASYLFRRLDKYHAEKSTASAADVSSGQQGLLDDIVKDFMAKLLDVSKNNNEHDSIISKVFHSEGVYHSDCLLPGEREVKSADGEERQIYWKKLLEGRNPRWKLLPGSTLRSRKRVHYAENDEPAKKHQKVLDGSDSPSFQPELEERIQAPGSKAAANQSESLPISVSCTLGDASKAIPLSGENPFSHESDMAHLEERTPNEQKSLHILLRAEMAKLCDVLKLSNGVNSMVQNFLEYVMENRHVNKERASILQAFQMSLCWIAASIMKEKIDRRDSLNLAKHILNFQCTKEETDIVYDKLRPLKTKFLQLLRNKNGLKPSKSVVSASEDVTEQSLKAGEPPSEFFKLQNVKVEIEESSFNLEPSQWGTIDQLTVHDVVRKKFKKLQKKRDKNMLKLHQKQEEEIQKFHEMREQTRIQLEDEHRLESAFIRTTYNQTAMEMDKLKIADSEFEKKIQEHECIMEMQLKQLEARHAAAIEEESKRVANFLAMMKSGLSEHRNVNEQHMHGSEYCEMGSSEGSGRITSEYSENEVLHSRPDLGEQSPDRIVHVSRGSIVIVSHIPVTAADDATGYSTQSKTVPIAVNSVSDEALEIVAAEASSVTRVDQSKESSRTSNFTPEVNAKHAGSCSVPDETSPVLLHSTSEKALETIPVGASSFIIFDQPKTAEYLSQTSQEITPNCSSNRAEEIVCINLATYNGQNTREISSSGQDNEIPLGLPRTAGSEIGNAFTSEMGALLEFNTENGTGNSIGSDVGGNQEGRTHNSICGTALSGQMQSVDLIMVQTFPPPDSPPQCIEDLPIAGDLNTAVGLVSSTPLEAGLNIQLDSEQLQLASSSSSPEQSQPAAEAVHQVPIEGSNSLQNSDASPQFFERSAKLSHEAVLLAGENLVQLVSECSPPVCSQSTAANAVRQAHTETSEACIGENLVHPASDFSSRVCSQPATSEAVQQSHVEGSNSRQTSDTSTQLVEESLELSHQALSQDGENLVQLASHYSSPARSQPTFAEAAYQNNVDGSNSVQTSEALTVDRLVQLASNLSSPVCRLPPIAEAVHQGQIKGRNSLQGSIQLVDTSAELSLDNVPQNCENLIHPASNSFTPLFSQPTMAASVDQGHIERANSLRISEASNLSSPVCRQPTITEAVHQGQIAGSNALQTSEASIQLVDRCAEHSRDDVPQSSENFVHFVSNCSSPPVCSLPSAAESVDQGHIETDNSLQTSEASSPVVEGSVVLSQLAVSLNGENLVPPPSTESRSGLNLQTITGTNHDCIAELSVVSHNSVVPTQAVDNAAELINQALQQSRPNVAVAEGSAHLSVRQPHQVASSNLPLPLQVDPLQNELERIRKEVEQTTTLHDSTKLRFQSECEKEIEEMIAQIRSKYEAKHRDAETAFLLKKTELDTNQKKVLMNKILAEAFRSKCLDLKPSAVLSMQQGAPVSFIQRVNQLSLQPTGRHPSVATLSPAGLPSVSQQTAMPPVQSLHRSSGLFPSVPARPPQISAITPSTGGVRVSGEKRAPAPHLQPFRLPGCTPAAASSSCIPGNIPNQQVPINLPARSVSLPPVPNQQPLPLPSQFLLQQNPLPPTQPTAALQPSRISQAVSSSRPSQPESGVHPGCHNPSQSAVELLMDIDNQAGGHLPTILQHLAGSGLNTSMLDPSVTRAPGNVDRSLKLPAVASDVVCLSDDDD >CDP03890 pep chromosome:AUK_PRJEB4211_v1:1:34352547:34356568:-1 gene:GSCOC_T00016392001 transcript:CDP03890 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVRSIFLFVLLFFAYETSLARAEVGENEGFSEALLLRPLPDRKVLAHFHFQSAVPPTNSYGRHHHLFPKSIYQLVQKFHLREMELSFTQGRWNYERWGGYDPISSSNAKPPGVELWAVFDVPQHQVDASWKNLTHALSGLFCASINFLEHSTAYSTPEWSFRSSGGYLKYGTLPREAVCTENLTPWLKLLPCRDKAGLSTLMDRPSIYRGYYHSQRLHLTSNEFDSNGLISGIVLDQTLTIVLQPNIKGTGAIFSSGSTLQPSWSLSSLFGRKVGERCVLSKSSNVYVQLEQNLVSQLIKLGNDTGNGDKGSHHEVDISQNFVGDRGFELSIPPDRQIREVDRFDTGSLSILHQFSVENYSDLKPFDLEFRWKLPLVWSCQQAPLSVSRYLMGSGNERGSIAISLMSTRMSECMQNADSSASECWLRVDIFQVVPWYVKVYYHTLKVFIDERFQTVANAVERMHVLPSEDKVSPGVMELTLKLPCGVRSAALTVEFDKGFLHIDEYPPDANQGFDIPSAVVVFGDFETSIQFADDDYLHNIPLLSKLQDKSRVVSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNALRRRVGEEGRLLKTKATKETGKLSSLLARLSAKLRGKPWEPSEQSSSSASFFNRKLILKVLLVAGLAVAWQYYSG >CDP09215 pep chromosome:AUK_PRJEB4211_v1:1:32530237:32533452:1 gene:GSCOC_T00028446001 transcript:CDP09215 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSLEPSWLSYLGGSYESLVTSTQACLVQEKSYYNQSYGFLWMGIYIRYVAFEYANSGLLNKKCDVYSFAVVLLEAITGRDPVNYGCSAPEGVHPCDKRSINQYQCLFLAIDFSLAKSDEDTLWKANVRETKEEVAVRGMNFKKYLLTRRERERWLLLLIVDSCFIHYPHLVMTVTLQSRKKSLSSKFSCY >CDP13147 pep chromosome:AUK_PRJEB4211_v1:1:1676519:1677103:-1 gene:GSCOC_T00037987001 transcript:CDP13147 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFMGEEAQSCLKAPAIIFNAFDEFETKALEAVISKFKFPNIYAIGPLQLLSRHVVPESQVNSLNSSLWKPDLKVFEWLDRRAPNSVVYVNYGSVTTMTNHHFREFAWGLATSRQQFLWIVRPNKVLEHSAIGVFLTHCGWNSMMETICAGVPVICWPFFVD >CDP09611 pep chromosome:AUK_PRJEB4211_v1:1:23066579:23067054:1 gene:GSCOC_T00029981001 transcript:CDP09611 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGSFKNGAILLARLFVYDIFWVFFTQVMISVVKSFDAPIKVSVSYCVLYIFNRNSCTWILSIIVCN >CDP03596 pep chromosome:AUK_PRJEB4211_v1:1:36547853:36550714:-1 gene:GSCOC_T00016010001 transcript:CDP03596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM2 [Source:Projected from Arabidopsis thaliana (AT1G03330) UniProtKB/TrEMBL;Acc:A0A178W785] MVILFYFQYILFFEAISLLNFLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQENYPHMLSVRNCFIRGSVVRYVQLPPEGVDIELLHDATRREARGG >CDP08994 pep chromosome:AUK_PRJEB4211_v1:1:30940463:30941777:1 gene:GSCOC_T00028156001 transcript:CDP08994 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRIAVGSPAEASQPDALKAALAEFISMLIFVFAGEGSGMAFSKLTDNGSTTPAGLVAAAIAHAFALFVAVSVAANISGGHVNPAVTFGAFLGGHITLLRSVLYWIAQLLGAVVACLLLKFSTGGLETAAFSLSSGVSVWNALVFEIVMTFGLVYTVYATALDPKKGDLGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWTGHWVYWLGPFVGAAIAAIVYELFFIHQTHEQLPVAEY >CDP03568 pep chromosome:AUK_PRJEB4211_v1:1:36759415:36771931:-1 gene:GSCOC_T00015976001 transcript:CDP03568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MRNSKEQVFCSTEPLTLSSSLRRNDSVLDFISAVKGLHKLTSQELGRLIREAENSVIHCTAENGCQVQIDVDRLARHLPLHLIAALVNWRPDEALFEYLLSGFRLLHSLCDLAPRPPKIEQIFVDDTKVSEQILDLIFFVLAILATCRQEHNISDQLVHLHSTLVSSSLYLLTACVSSQWQELTQLLLQHTKVDIFMDVAFAAVQLDIQFLHTRLSAENANFHTSPNAEETLNHLCQQCEASIQFLMSCCQQKLFRERLVRNKELCGKGGVLLLAQTVLDVNVSPFFVESSAVVAAVSRMKSKVLSILLHLCEAESVSYLDEVASNPGTLNLAKSIALEVLDLLKKMFGGDSKQPIACSAKIYPKGLLQLNGMRLADIFSDDSNFRSYITTYFTEVLTTIFSLPHGEFLSSWCSSELPVWEEDATLEYDPCAAAGWALEFFSSSDLLYPCCLESTFIPCNVPRASYAHQRTSLLVKVIANLHCFVPDICKEEKDLFLNKFLQCLQSEVPKISHRISALSDAEKAIIVNRNLSSLLSHAESLIPGFLNEEDVQLLRVFISQLESHIKPALFEENRVQDDQSKGQLLPLVEASNSNNRSDDFKGNLLKTAAFNEADSFNFRENGVDKKSVDVGKRIDKVKCNGDAGQIKSDTQNFVMIEPDLSSMGGKAPTNQIVDNESTKDVSVNIQREEKMETVQNEEKHQRKRKRTIMNDKQVALIEKALVDEPDMHRNAASLQLWADKLSDLGSEVTPSQLKNWLNNRKARMARVRVLSDGDNADKQSAPVNLPPHDSPSCPVGDVKVVSTAKGNQTTPIEIAQSEPVNLEPGQYVLLLDQNAKVIGNGKVHQVNGNWYGYNLKDSGTFVVDIMDLSIERWAKLPYPSEFTGISYDQAEKKLGSMRVLWNSAKVSGLASR >CDP17035 pep chromosome:AUK_PRJEB4211_v1:1:38005469:38016711:-1 gene:GSCOC_T00004939001 transcript:CDP17035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MALLQLESLPTCSLSSYLPATAAPCLFPPHRPRPPLLLHSSAHYSSSDKLLVQLPPPLQQQPFLGPLRSRRFRTLASSSSSLGSLFGGIFKGTDAGQSTRTQYADTLALINCLEPQISSLSDSQLRERTFLLQQRARLPDSSLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALTGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQNMTSEQRRENYSCDIIYNVDELVLRGFSYCVIDEVDSILIDEARTPLIISGPAEKPSDRYYKAAKIAAAFERDIHYTVDEKQKTILLTEQGYADAEEILDVKDLYDPREQWASYILNAIKAKELFLRDVNYIIRSKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEALPIQNETVTLASISYQNFFLQFPKLCGMTGTAATESTEFESIYKLKVTIVPTNKPMIRKDESDVVFRAANGKWRAVVVEISRMNKTGRPVLVGTTSVEQSDALSEQLHEVGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVKHAEGVFVSVKKPPPKKTWKVNENLFPCTLSKANTALAEEAVQLAVKTWGQRSLSELEAEERLSYSCEKGPVEDVVIAKLRSAFLEIVKEYKVYTEEERKKVVSAGGLHVVGTERHESRRIDNQLRGRTGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALESEDLQSLLVEYAELTMDDILEANIGSEAPKESWDLEKLVAKLQQYCYLLNDLTSDSLATKCTNYEELRDYLRLRGTEAYLRKRAMVEEQAPGLMKEAEKFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFIEMMAQIRRNVIYSIYQFRPVLVKEQDQGEQTRAEKLDSNGKVTDNSMTNAAKPSR >CDP09620 pep chromosome:AUK_PRJEB4211_v1:1:23320037:23336063:1 gene:GSCOC_T00029996001 transcript:CDP09620 gene_biotype:protein_coding transcript_biotype:protein_coding MITFSFNSRLFLSWQTLSIGSPIDSPDLIVDTILINSIGGATETGERILKIHQSKSLADQVYSPVRREVSSRSSFLMKSLDKDDETDLEKGKFLEKDREKTTRSHRAIAAHNKALLSGLAYCISSCCMILVNKYVLSGYGFNAGISLMLYQNFVSVVVVSVLSFFGVISTEPLTWRLIKVWLPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITAIGEMYLFNKHHDNRVWAALFLMIISAISGGITDLSFHAVGYTWQIINCFLTASYSLTLRRVMDTAKQVTKSGDLNEFSMVLLNNTLSIPLGVLLILIFNEVDYLSKTPLLRLPIFWLVITLSGLLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGILLFHVPTSLENSASIFFGLLAGVFFARAKMRERS >CDP09076 pep chromosome:AUK_PRJEB4211_v1:1:31543762:31546890:-1 gene:GSCOC_T00028268001 transcript:CDP09076 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRELSSTLKNLKFMQRVAQKEDKAKKEEEEKELVPDGNFPSCSGSQKCVIIMEGDPQPGAIRGRMSFLNFNPSIDKLNDEASNSVQPEAPSTSSGRERETNTDRGDGSPQVELENEELENSGCGSNGDLKRKTADGYEPQHPNKSQKSFQGDERSSPHNNRTPHKQPKREKLDWNVLKPPKSRSKGK >CDP03910 pep chromosome:AUK_PRJEB4211_v1:1:34215585:34219426:-1 gene:GSCOC_T00016414001 transcript:CDP03910 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAAQQPVSAQVVGNAFVQQYYHILHHSPELVYRFYQDMSKLGRPGDDGSMSITTTMQAINEKILSLNYEQFRAEIRSVDAQESFNGGVQVLVTGYLTGNDNMIRNFSQSFFLAPQDRGYFVLNDIFRYVENGNQQDANQGVANEVVAPVTPEQDPPPPAVQENHVSEQSTSSAEEISGGEVYNPPENGEIPNAEEEVPVAEVLDEVQDEMQIVVESNTKIEEVPKKSYASIVMDLKESAVAFSSPTPAPRKSVPNSQDPPPERESDGLVPPDGYSIYIKGLPMNATVTLLENEFRKFGPIRNGGIQVRSNRQQGFCFGFVEFEVPSAVQKAIEASPIAIGGRQAIVEEKRSTNSRGKLEIAKLSYKCFVYVVYI >CDP08442 pep chromosome:AUK_PRJEB4211_v1:1:20233295:20239548:-1 gene:GSCOC_T00027328001 transcript:CDP08442 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSPLLLVLLALGFGFATYNLVTMVMHNRGLSSGKFWVTNEASEWYDPVINMPDEVKGLGSSNVKFHVALTATDAPYSKWQCRIMYYWYKKMKDMPGSDMGGFTRVLHSGKPDNLMEEIPTFIVDPLPEGLDRGYIVLNRPWAFVQWLEKAIIEEEYILMAEPDHVFANPLPNLAHGGHPAAFPFFYIKPADNEKIIRKYYPQEKGPVTNVDPIGNSPVIINKLLLEKIAPTWMNVSLRMKDDPETDKAFGWVLEMYAYAVASALHDVRHILRKDFMLQPPWDLEVGKKFIIHYTYGCDYNMKGELTYGKIGEWRFDKRSYLRGPPPRNLPLPPPGVPDSVVRLVKMVNEATDNIPGWDVQ >CDP08521 pep chromosome:AUK_PRJEB4211_v1:1:22881606:22882204:1 gene:GSCOC_T00027457001 transcript:CDP08521 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVQISLKLLMVYMCIIVKHIQWLFPLGYSTHHRSIEERRSSSSISGGLSQALCEVKNENQGLWYLKLRENSLSGEIPECWMNYPELFHIDLNSNNFTGSIPRSLFHLEGLEYLGLGNNSLTGPITFDFVNHE >CDP08503 pep chromosome:AUK_PRJEB4211_v1:1:22552740:22557029:1 gene:GSCOC_T00027433001 transcript:CDP08503 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G66055 protein [Source:Projected from Arabidopsis thaliana (AT5G66055) UniProtKB/TrEMBL;Acc:B9DF91] MSPSATFLLPPSPTSPTSPALFFPAPSKIYRTQQNFPQIPSIFKRACTLSTNSLQFSNGNVAGVEEDDELVIGDCVVFEEGIFDDPFLQDENFNSKENPRGKGKNSKEIEPENLVPEEWGDMQREINISKKERRKIAQEVEFGRKVEKKRAGLRPIEGGNVGNVNVEKFMKYRDKKLKQLNPIVLDKPEFLRNERVEKGDDEVRGSGQGNSVVRESSSRVAPRNPRMAVYGVGLEDISEFFRSGNYDPDAAKRSEGPRKLFTKDEKVLLNGRVPDLAVASSVKWQPLHTLAASGEFHLVNTLLKHNVDINAMDKDGLIAIHKAILGKKQAIFNYLLRESANPFVRDREGATLMHYAVRTASSQMIKILLLYNVDINLQDSDGWTPLHLAVQSRRTDVVRLLLIKGADKTLRNKDGLTPLDLCLYSGRDTRTYELIKLLKQLPRSPSG >CDP07034 pep chromosome:AUK_PRJEB4211_v1:1:28999868:29009272:1 gene:GSCOC_T00024123001 transcript:CDP07034 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSAFDLLMSNASKKKSEQKQQKPSPKKRKTTTPTITSQNSAPISDAQLGKSEEAVVKKPKVVITPDESLLELKDKATSFDPKKAAYWGKGQRVPFMFVAKALDAISKESGRIAITAIVCNMLRTVMETTPEDLVAVVYLLANRIAPAHEGLELGIGDASIIKALSEACGTKEAQIKKQYKELGDLGLVAKASRSSQSLMRKPEALTVVKVFDTFRLIAKESGKDSQEKKKNHIKALLVAATDCEPQYLIRLLQAKLRIGLAEQTLLAALGHAAVYADKQSSTPANIDSSLEEAAKIVKQVYSVIPVYDKIIPALLADGVWDLPKTCSFSPGVPVGPMLAKPTKGVSEILDKFQDIEFTCEYKYDGERAQIHYMEDGTVEIYSRNAERNTGKYPDVVDAISRFKRPSVTSFVLDCEIVAFDREKKKILPFQVLSTRARKNVVLSEIKVGVCIYAFDILYINGQPLLQAQLDSRRQHLYESFEEGPGFFQFATAVTSNDLEEIQKFLEAAVDASCEGLIIKTLKKDATYEPSKRSNNWLKLKKDYMDSTGDSLDLVPIAAFHGRGKRTGVYGAFLLACYDVNNEEFQSICKIGTGFSEAVLEERSASLRSKVIPKPKSYYRYSDTINPDVWFEPIEVWEVKAADLTISPVHRAATGIVDSDKGISLRFPRLLRVREDKNPEDASSADMVADMYRAQKHNQSNRDDDEQ >CDP03655 pep chromosome:AUK_PRJEB4211_v1:1:36133003:36133274:1 gene:GSCOC_T00016090001 transcript:CDP03655 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWIQSTRFFLQHEFSVKDSFSPTHLREIDYLNQMKLLLCK >CDP06964 pep chromosome:AUK_PRJEB4211_v1:1:28328504:28330393:1 gene:GSCOC_T00024027001 transcript:CDP06964 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQIPVLEQQQQQSQMMRLKTSGVLNYSGSPLNDDKEEEMTKSALSAFRAKEEEIERKKAEVRERVQAQLGRVEEETKKLAEIREELEALADPMRKEVALIRKKVDLLNRELKPLGQTCQRKEKEYKECLDAFNEKSREKTQLVSKLMELVSESEKLRMKKLEELSKSINSLN >CDP09115 pep chromosome:AUK_PRJEB4211_v1:1:31797975:31799123:1 gene:GSCOC_T00028315001 transcript:CDP09115 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKEDSASRENEQLKAQMPQVSEEASYNNNQLEFSCSHICSFSNLEYSISDSAERSKSRVKLIGLIIFYSVVMVVEIVGGMKANSLAVLTDAAHMLTDIAGSSISLFAVWVAGWASTPRQSFGFGRLEVMGVLLSVQLIWLICGILIYEAVDRILHKNAKVNGKLMFLIALFGFLVNLLMIFWLGHDHTHHSHSHESHETCNSGEHDHEMEELDVMGVEDTIGLVSPSPLNVKILNINIQGAYLHVMTDIIQSVGVMISGLIVWVKPEWLVVDLLCTLVFSIAALATTLPMLKKVFCILMERAPDEIDIALLENGLKSIEGLHDVHDLHVWAITSGKFVLACHIVIGPGASQNEMLSKVRDFCERSFKIHHVTIQIDRQVH >CDP08510 pep chromosome:AUK_PRJEB4211_v1:1:22726253:22730878:-1 gene:GSCOC_T00027442001 transcript:CDP08510 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKEVDTCTSDMLGNNDIEDVRWLCSLSESELDMLIALKMLAMRRAKVIGHPSLAKEFDLKKLRHLSFTMMEHLKEQLEDLSAGPDPAEGSKLLDECNLSRLHVSDSFSSMSIEELWEYICPKKSEVPTQEKDRSSRAAEKSCEDELPTKRRRLAKKWLPKS >CDP15563 pep chromosome:AUK_PRJEB4211_v1:1:25860442:25862974:1 gene:GSCOC_T00015452001 transcript:CDP15563 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVSYPSSPLIPTLTLLLCLPVLFYFIAPSFLPPRRLTITAPDEFDDLSLFHKAISLSSSTSTSVKSHLPSTKSRLGSTSTFRPKIAFLFLTNSDLYFIPLWEKFFNQTRPSLYNIYIHADPSVKITPPTGVFADKFIPSKRTQRSSPTLISAARRLLATALLDDPSNAYFTLVSQNCIPLHSFNYLYKFLFDLHKLSKNLEYLSYIEILSDSPTLWDRYNARGENVMTPEVSFDQFRVGSQFFTLSRRHALKVIEDRRLWNKFKMPCINVESCYPEEHYFPTLLSMTDLEGCSQYTLTRVNWTDSVDGHPHTYNPPEVSPELIHRLRRSNSSYSYMFARKFSPGCLKPLMEIADSVIFKD >CDP03632 pep chromosome:AUK_PRJEB4211_v1:1:36280011:36286788:1 gene:GSCOC_T00016057001 transcript:CDP03632 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARMADILLO BTB ARABIDOPSIS PROTEIN 1 [Source:Projected from Arabidopsis thaliana (AT5G13060) UniProtKB/Swiss-Prot;Acc:B7U179] MENQSQRRHEQLPSSSAARRSLKRKLDEDFEDDRKIEALSPPDAHQDLVREVRTQVQILDATFSSIEADRASSKRAIQILSELAKNEEIVNVIVDCGAVPALVRHLQAPPPPVREGDSAPRPYEHEVEKGSAFTLGLLAIKPEHQQLIVDAGALPHLVDLLKRHRSGQNSRAVNGVIRRAADAITNLAHENSSIKTRVRIEGGIPPLVELLEFIDTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIDMLQSPDPQLREMSAFALGRLAQDMHNQAGIAHSGGIMPLLKLLDSKNGSLQHNAAFALYGLADNEDNVADLIRVGGVQKLQDGEFIVQPTRDCVAKTLKRLEEKIHGRVLSHLLYLMRVGEKVVQRRIALALAHLCSPDDQRTIFIDNNGLELLLELLESTNFKHQRDASVALYKLASKASTLSPVDAAPPSPIPQVYLGEQYVNNSTLSDVTFLIEGKRFYAHRICLLASSDAFRAMFDGGYRERDAKDIEIPNIRWDVFELMMRYIYTGSVNVNLDVAQDLLRAADQYLLEGLKRLCEYSIAQDISVENVSLMFELSEAFNAMSLQHACILFILENFDKLSLMPWYSHLIQRILPETRQYFLKALIRPTQAELRR >CDP09717 pep chromosome:AUK_PRJEB4211_v1:1:25174905:25176746:-1 gene:GSCOC_T00030160001 transcript:CDP09717 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLQWLVYTFLDVQQQVFGYIKDSISRRMNSWKNKLLSQGGKEVLLKSVSMAMPVYTMSCFKLPNKLCKEVTSIFANYWWGESEGRNKMHWCSWGRLARDKKEGGLGFRELQNFNKALLAKQVWRVISKPNLLVSKVLRAKYFHKESIFKCKIPKCASWIWQSLMNVRDFVRKGTRRKIGNGKATNIWEDNWIPGNKDGKVTTVMPQSCNIRRVEELISGFRWRIPLVSRIFNRKDAKEILDIPISIAGREDSNYWLHSGSGTYTVNSGYKALCQETSQHKGRRDNEAGTSSANSNEKQWKWLWKLKVKSKIKHFIWRSLNGLLPVNDLVFKRIHQGDPICDGCGEQEESIEHLFFQCSRAQEVWKMAPIQWDGLTEQTRNILVWWNSMLEATNRIEGREHVELTVNILWQIWKRRNEWKFNAKRRHPWESIKKALQEWQEQASAWREEKSTPVEAERDRETAETDEGGRDEMQIRLSTHVQEQTNRVGTGIIATNFNHQLVSAWALTDRYAGSHLQTSAEAVKMAIIKARQLQWQKITVHLLSPQLLKMITNGLAKDIKMATLTDDINSLRALFQKCSFCLDRNLDSRCEWISDYALGISQDEEWINPQCV >CDP03553 pep chromosome:AUK_PRJEB4211_v1:1:36899358:36900860:1 gene:GSCOC_T00015958001 transcript:CDP03553 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATCWFTALTSATGPSRYSLTSQRFFATTLRRHSHLIAPNSETNQNAETHAAVNPNRKSRTSKKPLPSSPRKSSAQKEAVGQRFPGTSKDEVHFSRADARGSKTGRSQRNQPVAFKSFGLQKKGNKGGNNVFDIKEQQAETGNFQDAAFLNAVVKVYCTHTAPDYSLPWQKQRQYTSTGRQVYCLHHPLIFLVVDVKESSVFISLSFR >CDP16763 pep chromosome:AUK_PRJEB4211_v1:1:3196635:3197561:1 gene:GSCOC_T00019251001 transcript:CDP16763 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIKLTPPSILVGCSSLHVILHSKININLDNSLLLSFVMKSYDPLLNQILFQSDQLKTSRMEFSFALCLGWLKPEIFLVGVVLLNCPADSAICRHVN >CDP09276 pep chromosome:AUK_PRJEB4211_v1:1:17747209:17751403:1 gene:GSCOC_T00028560001 transcript:CDP09276 gene_biotype:protein_coding transcript_biotype:protein_coding MVRATRGVVEGAWYYEITVVHLGDTGHTRLGWSTDKGDLQAPVGYDGNSFGYRDIDGSKVHKAVREKYGEDGYKEGDVIGFYINLPEGNLYTPKPPRWIWYKGQKYVSAPDAKDDPPKVVPGSEISFFKNGVCQGTAFKDLYGGRYFPAASMYTLPNQPNCTVKFNFGPDFESFPEDFGGRMVPRPMVEVPYHGLDVRVENGSAWYPTRMCPMLNVVKEQGTKIVEAAEMVS >CDP07025 pep chromosome:AUK_PRJEB4211_v1:1:28923218:28926434:1 gene:GSCOC_T00024108001 transcript:CDP07025 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASLSWGSSSWLSSHCLTQCMRPEATKLPEKTSILMVVAQKKAKKTRKIILKEDVADLGKKGQLMDVKAGFYRNYLFPLGKAQIVTPLLLKEMKMEEERIEAEKKRVIEEAQQLALIFETVGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQTQRDVDKRIVSVPEIRETGEYIAELKLHPEVTARVRLIVYAN >CDP17574 pep chromosome:AUK_PRJEB4211_v1:1:1252369:1254735:1 gene:GSCOC_T00011068001 transcript:CDP17574 gene_biotype:protein_coding transcript_biotype:protein_coding MKELNSVSDPIGQNLIKFISNVCFSVFVFSVLIVTVIAITYQPPDPWESSRALTKVFTQVENATFKTDTSVLKTGEDIAESPRLAPAGVSVSITEDVIERTEADLKNVSLKSGCEDRGVINCSDPRVLITIERFNLRAFRSLAFLDYQHPVNGSAPNECDVAWRFRNKKEKSWRKYRDFRRFTIGFKDDCSYKVIHAGRWHSGLNARHPRIRTNATRSGLRNKTAPVVRDDEINDTLPVVGSDLAFRNGRYLYYSHGGDYCKGMNHYLWSFLCALGEAQYLNRTFVMDLSICLSSSYTQSHRDEEGKDFRFYFDFEHLKETASVVDDEEFHKDWKRWDKTHKKKIPVRKVADYKVTPMQLKKDKSTIIWRQFDAPEPENYWYRVCEGPAGKYIQRPWHALWKSKRLMNIVTAISGSMDWDYDAVHVVRGEKAQNKELWPHLDADTSPEALDQILQTAVTPWRHLYVATNEPFYNYFDKLRSHYKVHLLDDYKEMWGNMSEWYNDTRLLNGGHPVEFDGYMRVEVDTEVLYRAKTRVETFYNLTKDCKDGINTC >CDP14102 pep chromosome:AUK_PRJEB4211_v1:1:11524000:11527972:1 gene:GSCOC_T00039312001 transcript:CDP14102 gene_biotype:protein_coding transcript_biotype:protein_coding MTINRIMDHQFPNLVNSVNDSEFGNGINLASSEQSNLDIEFEKFWNSVNGFEFNSSVVFPSFEQQPLSSCTFAPLYNVSSEVDFPGDYESYPMLKYINQMLMEDFSEEQPNISPDPLALKAAEKSLYHVLGKSYPPPHEPVAALDQIAESSQESTDSCSQHYTDGCDTDTSTIDSQPILDPVESSGKGHQPWDKYFKSSFQTSSLDSVHSLSSSSNSGDGLIGFLTNENMISSSVSDSDTILQFKKGLEEGNKFLPAGKLMNIDLDKYTLPSKLQDVGLVTTGKKDEEYLRTGMRGRKHQHQDDGDAHLGRKYKQSAVSMEEVELSEAFDRILLFGDSRGQDLCCNVDVEQPTELSEALDHESDVSKSTEERQGTDNEALDLSALLLNCAHSVVADDRRTANDQLKLIKQHACSTGDPQQRLAILFANALEARLAGNGPELYATVKSKRRSAAEEAKTLHIVDFGIGYGFQWPSLIQQLSNRDGGPPKLRITGIEYPKPGFRPAERIEETGCRLAKYCERFNVPFVYQAIPIKNWEKIRLEELKLTRDEVIVVNCQLRFKNMLDQVVDGDCPRDAVLRLIREINPAIFVSDVLSGQLCTPFFLTRCREALFFFSAVFDFLHHNLPPDDKQRLKFEQEFMGTEVMNIIACEGSERVERAETYKQWQIRYKRAGFKMLPLNQDLKKELRSKVKEGYHKDFLFDEEGGWILQGWKGKIITACSCWVPA >CDP13154 pep chromosome:AUK_PRJEB4211_v1:1:1590736:1597626:-1 gene:GSCOC_T00037999001 transcript:CDP13154 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESGGMSAKGSAGLEDDASLASFPDKVQVGGSPMYKVERKLGKGGFGQVFLGRRVSGGERTTGPGAVEVALKFEHRNSKGCSYGPPYEWQVYNTLGGSHGVPKVHYKGKQGDYYVMVMDMLGPSLWDVWNSSGQSMSGEMVACIAVESLSILEKLHAKGYVHGDVKPENFLLGQPSTPQEKKLFLVDLGLATKWRDSTRGQHVEYDQRPDIFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGFQGDNKSFQVAKKKMATAPEALCCFCPAPLREFLETVVNLKFDEEPKYSKLISIFEGMIAADPATRPLNTDGAQKIIQIGQKRPRLNLDEDEEEQPRKKVRMGVPASQWVSIYNARKPMKQRYHYNVSDARLAQHVERGVEDGLYISSVASCSNLWAIIMDAGTNFTSQVFEISPFFLHKEWIMDQWDKNYYISCLAGATNGSSLVVMSKGTMYNQQSYKVSDSFPFKWINKKWKEGFHVTSMATAGTRWAVVMSRNSGFSDQVVELDFLYPSEGIHKRWDSGYRITATAATWDQAALILSVPRRKLLDETQETLRTSQFPSTHVKEKWAKNLYLASLCYGRTVC >CDP11099 pep chromosome:AUK_PRJEB4211_v1:1:7336754:7337116:-1 gene:GSCOC_T00033129001 transcript:CDP11099 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFDLQTPAAFDPFAEANADNSSAGSKDYVHICIQQRNGRKSLTTVQGLKKEFSSQLRERGRGVAGEGEGERKSEKAKKNKNQLQSSSSGVGVRGGGERRGREGEGGGEGKGPENFPMA >CDP09079 pep chromosome:AUK_PRJEB4211_v1:1:31555634:31558233:1 gene:GSCOC_T00028271001 transcript:CDP09079 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWSNALFLIILALLGFAISFANAETHYHDFVVEAKPVKRLCRTHNIITVNKKFPGPTLTVRNGDTLVIKALNKARYNVTLHWHGIRQMRTPWADGPEYVTQCPIQPGATYTYRFTIEDQEGTLWWHAHSKWLRATVYGALVILPKLGSPYPFPKPRHEVPIILGEWWDRDIISVLRQALFTGAAPNVSDAYTINGQPGDLYRCSSKGTFKVSVNSGDRVLLRVINAALNQQLFFTIANHQLTVVGADAIYHKPFATRVIMLGPGQTTDVLLTANQRPARYYMAARAYASARGAPFDNTTTTAVLEYNTARGGSSSTSRPLLPRLPAFNDTATATAFENGLRSIPSEAAKVPTKIDHSLFFTVGLGFVNCNPGPRCQGPNNTRFAASMNNVSFVLPRRTSLLQAYYNNIPGIFTTDFPPVPPLQFNYTGNVPRSLWQPRFGTKLYKLKYGSTVQIVLQDTGIFSTEDHPIHLHGYHFFVVGQGFGNFNPRTDPARFNLVDPPARNTIDVPVGGWAVIRFVADNPGSVWLFHCHIDAHLTWGLAMSFLVENGIGELQTVEPPPADLPRC >CDP03586 pep chromosome:AUK_PRJEB4211_v1:1:36653095:36659389:-1 gene:GSCOC_T00015998001 transcript:CDP03586 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLSTFVAVCGAYEFGCCAGYSSPTQSAIREDLNLSISEYSLFGSILTFGAMIGAITSGPIADFVGRKGAMRLASGFCVAGWLAIYFSKGAVPLDIGRMATGYGMGVFSYVVPVFIAEIAPKDLRGALTTINQFMIVAGVSVSFIIGTLLAWRTLALVGLLPCAVLLLGLFMIPESPRWLAKGGRRREFEVALQKLRGSKADISEEAVEIQDYIETLERLPKAKIVDLFQRRYLRSVTIGVGLMVVQQLGGINGVCFYTSSIFETAGFNPDVGTITYAILQVVITGLGATLVDRAGRKPLLLVSGAGLVIGCLLTGISFYLKVHELALKTVPVLAVTGVLVYIGSFSAGMGAVPWVVMSEIFPINIKGVAGSLATLVNWFGAWLCSYTFNYLMTWSSPGTFILYAAINALGILFVTIVVPETKGRSLEQIQSAINASH >CDP03698 pep chromosome:AUK_PRJEB4211_v1:1:35773366:35779015:1 gene:GSCOC_T00016156001 transcript:CDP03698 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFRRCSRILILSLLVVTVFIPIGLLSYRLKHLNADASGGFEDLSIIKHRTEAQKLSAIKEDDDEFVKVPVQEVYKYPDHISAVSLTSPGENNSLSDPGDGVGVTYLSERNGTSDEAKEDYHQSQEEKSLSGVKDRSTPTRIQQHQTVQVGSRRVHDEKVKQMKDQVIRAKAYLTFAPPSSNSHFIKELKLRIKESERAIGDSTKDSDLSKRALQKMKAMGATLLKASQLYPDCSAMVKKLRAMTHNAEEQVLTLKNQTTFLVQLAGRTTPKGLHCLSMRLTAEYFSLQSDERELPNQHKVHDPNLFHFAVFSDNILASAVVVNSTVSVAREPEKVVFHVVTDSLNLPAMSMWFLLNPPGKATVQVQNVDNFEWLSTRYGAVLQKQDSLDSRYTSALNHLRFYLPDIFPFLKKIVLLDHDVVVQRDLTGLWSLNMKGKVNAAVETCQEGEPSFRRMDMYIDFTRPLVAQRFDANACTWAFGMNVFDLQVWRKRNLTGVYHKYLHLGNKRPAFKAGSLPIGWMTFYKQTTALEKKWHILGLGYDSGVRLSDIEQGAVIHFDGIMKPWLDIGLDKYKQFWKRHVLYDHPYLQQCNIHA >CDP08441 pep chromosome:AUK_PRJEB4211_v1:1:20223823:20232565:1 gene:GSCOC_T00027326001 transcript:CDP08441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C2 [Source:Projected from Arabidopsis thaliana (AT2G26870) UniProtKB/Swiss-Prot;Acc:O81020] MARILIMIVMLSNYHHLYCVATATSPIKTVVVLVMENRSFDHMLGWMKKLNREINGVDGSESNPISTADPNSPRIFFGNQSHFVDPDPGHSFQAIREQIFGSNDTSANPPPMDGFAQQARSMDPNMTQSVMNGFVPDMVGVYKSLVSEFAVFDRWFASVPASTQPNRLYVHSATSHGATSNIAALLAKGYPQRTIFDNLSDAGLSFGIYFQNIPATLFYRNLRKIKYLGNFHPYDLSFKNDAKNGKLPNYVVVEQRYMDSKLEPANDDHPSHDVYQGQMFVKEVYETLRASPQWNRTLLIITYDEHGGFFDHVATPVSGVPSPDGIVGPDPFFFKFDRLGVRVPTIMASPWIEKGSVVHGPKGSPYKTSEYEHSSISATVKKIFNLPSFLTRRDEWAGTFESVLQTRKEPRTDCPEQLPTPVKIRQGEAKEDAKLTEFQQELVQLAAVLKGEDILASYPQQIGKEMTVKEGKQYMKDAVKSFFEAGFSAKRMGVHEDQIVKMRPSLTTRKSKPSSQNHH >CDP07067 pep chromosome:AUK_PRJEB4211_v1:1:29327147:29331208:1 gene:GSCOC_T00024172001 transcript:CDP07067 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQKLLQNLRTVKKCQLPNPSQNPKAKIADTIISAILITSTFQPIPATTTNLLSKLTSNFVHLILSDPRIQIPKCLDFFNFLVKNQALLSFQLSIETHLTLLCRLVKSRKFEDAEDLLRAFLILHENGRCPFSAIASFFQNNCYKPFIRAKVFNLMIKVYSDNQEFNKATQTFDYMRNNGVEINERTCTILLIEITRCDQLELGIEFFYRIVESGIEISVFSLTVVVDGLCKSGEIRRARELVEKMVGYGIRPNIITCNTLVDACAKRWNFDELDKTLELMRREGVEFSVETYKFLIDGFSSSGRVRDSERMVFEMLDKGFKMDVYTCNLIIAGYCRLDNVERAFSFFCTMDKRGIGGNADTYRILVCGFCKVGKIEVIQELVTQMNHKGFEIDRGTFDTLIGAYCKQGKVGEAFSFLKLMEEKGVIADFAVHEMVLSGLCESGRTEESKLLFSTLMKRGLASKGSRFVPLAESSTSQENQKQVHGDEEMKISPSSDLLRSVPLIESSRNQEHQGLVSTDEEMQICSSSDLYSGLIAILRDGN >CDP03663 pep chromosome:AUK_PRJEB4211_v1:1:36099293:36105659:1 gene:GSCOC_T00016099001 transcript:CDP03663 gene_biotype:protein_coding transcript_biotype:protein_coding MGNADFMNGESTHRAAVPPPQPFLKSLQNAVKETLFPDDPLRQFKNQPPGRKLILGLQYLFPILEWGPRYSLDFFKSDLVSGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYAIMGSSRDLAVGTVAVASLLTASMLGREVNAAENPALYLHLAFTATFFAGIFEAALGIVRLGFIVDFLSHATIVGFMAGAATVVCLQQLKAILGLDHFTHATDVVSVMRSVFSQTHQWRWESAVLGGCFLFYLLLARYFSKRKPWLFWISAMAPLTTVILGSLLVFLTHAEKHGVEVIGHLKKGLNPPSIMDLAFGSPFLSTAIKTGIVTGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGMMNIVGSCTSCYLTTGPFSRSAVNFNAGCKTAVSNIVMAFAVMLTLLFLTPLFHYTPIVVLASIIIAAMLGLIDYEAAIHLWKVDKFDFFVCMSAYVGVVFGSVEIGLVIAVALSLLRVLLFIARPRTLALGNIPDTNIYRNVDQYPDTRNVPGLLILQIDAPIYFANSSYLRERLSRWIDEEEDKLKSSGDSNLQFLILDMSAVGNVDTSGISMLQEVKKNIDRRGLKLALANPGAEVMKKLNKAKFIEAIGQEWIFLTVGEAVGACNSWLHTYKPKPATDETEKWSNNV >CDP22018 pep chromosome:AUK_PRJEB4211_v1:1:30186356:30186607:-1 gene:GSCOC_T00003313001 transcript:CDP22018 gene_biotype:protein_coding transcript_biotype:protein_coding AEEQAAGRDGGALLANGQGKPKKGRGGPRDAKRSRQSSEPDFDYDDDADLM >CDP14449 pep chromosome:AUK_PRJEB4211_v1:1:9255343:9262421:1 gene:GSCOC_T00040928001 transcript:CDP14449 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLGGCVCVCDGRSQIQRVTRHLGQIAHVIYLIKLSEPSTFFNPCTQICKVCLSGLILSIFCVRNGKVTRCFSSYGSIISGGKSHSSWKSRWIRSVGICSSQTNGSHVLVVLMKSKQDSRSRKALANYSLPAGRTVSIRILMGFSQVSIFIACSYNQLYFLQKADLLFVDYPVETCYSFVENVKNTTLFMKTDVEAVKDGTKFLIDFFNRNETLQKSPLYIVAESYGGKFAITLALSALKAIEAGKLKLNLRGVASGDSWISPEILSYFSWVPLLKDISFLDNNGFQNSIRMANQINKQIAVGTFAEAIDTWNKLEDLITDYNNNMGFDLILLSSNVDDPLSLLATSELKQRIAVKRSSPRGDGDLYAFMNGKIKKKLKIIPPNVIWGGQSGPVFEALKGDFMRPRISEVDELLTKGVNVTISNGQIIGCNTTATNAWLDKLKWNGMKNFLNKDRTPLYCEGDRSVKAFTKSYRNLHFYWILNDSRFVSQLTIVIFCCISFIGLNYCHSCLLGKIKKTRHTIIPELEQIRLSYVTVRHGYCTFFII >CDP06864 pep chromosome:AUK_PRJEB4211_v1:1:27271180:27272046:-1 gene:GSCOC_T00023896001 transcript:CDP06864 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIQENDDVGLTQPDKPIKIREVWADNLESEFELIRGVVDKYPFISMDTEFPGVIFKPEKASFGYRKKLLPSDHYKFLKRNVDVLELIQLGLTLSDENGNLPDLGCGENCQFIWQFNFSDFDYERDPHAPDSIDLLTRHGIDFEKNRDFGIDSARFAELMMGSGLVCNDSVSWVTFHSAYDFAYLVKIITRCALPEDLDEFLEYMRVFFGNKVYDVKHLMRFCASLYGGLDRVAKTLEVNRAVGRCHQAGSDSLLTWHAFQKIRDVYFVKDGGPEKYAGVLYGLEVY >CDP13142 pep chromosome:AUK_PRJEB4211_v1:1:1758496:1760657:-1 gene:GSCOC_T00037980001 transcript:CDP13142 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLETTRTTEKPHAVLLPLPTQGHVTPLMRLAKLLHAKGFHITLLNTEFNHKRLIRSKGPESVKGFDDFRFETIPDGMPPSDKDATQDVPRLCDSVRKNCLAPFKEMLIKLNSSSEVPPVSCVISDAGMSFGIKAAEDLGIPEVQFWTASACSFIGYLHYRELIRRGIFPFKNDDYLTDGTLDKPVDWICGMSNIKFRDLPSFFRTTDPNDIMFDFLGEAAQSCLKAPAIIFNTFDELEREALEAVISKFDFPNIYTIGPLHILARHIVTESQVNSLNSSLWKPDSKVFEWLDQRAPNSVFYVNYGSITTMTDHHFKEFAWGLANSQQQFLWIVRPDVVQGGESAMLPEDFLEEIQDRGLLTSWCAQDKVLEHPAVGAFLTHCGWNSTLESISAGVPLICWPFFGDQQTNCHYSCKKWGIGMEINHDVKRNEVAELVRKMIIGEEGGEMRFKAKEWKKKAEEATEVGGSSYINFDKFIIEALHYNGCAAQNVSPVAKKKNGLY >CDP16774 pep chromosome:AUK_PRJEB4211_v1:1:3515894:3516352:1 gene:GSCOC_T00019273001 transcript:CDP16774 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLNSSDGETFEVEESVILQSQTIKHMIEDDCANSAIPLPNVTGTILAKVLEYCKQHVKPNDGEESSKDEAERLKSFDAEFVKVDQKTLFDIILAANYLDIKGLLVLTCQTVADMMKGKTPEEIRKTFHIKNDYTPEEEEEVRRENSWAFE >CDP09046 pep chromosome:AUK_PRJEB4211_v1:1:31319408:31324848:1 gene:GSCOC_T00028227001 transcript:CDP09046 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSPTHPPARTEDLFSGFSPPATFRAGFARRSESMATTQSSEIVEEGGEKVYVAVGKSVAKAGALLQWCFRTFVGSEICILHVHKPSPLIPTLLGKLPASQANPEVVTAFRNEEWEATGKLLQNYKKICSTSKVKASIVTSEADQVQLEIVNLVSRHDIKKLVIGAIPDCSKLKKCSSKASYAAKMAPPFCKIWFVNKGKLVWTRQDSQSSSSFALISQELAAASNLRSQSAPSSKSNDIYHPECIRSRSAQYPACSGTRNCFQKEGAKVEPSSLTLPTRCYPSTFQFFSPASLSSSSGYASSAVSRVSSDSNSKVEKEGLYGWLKELKKEAEESRDEADSELLMSQKLEAEAVEAISNAKAFESAYEREVKLRKAAEEELRTTILEQEKLLEEREVLTRELQKAMRNIAVLDSRAREANCRREDVAGELKLIQSSLASLRQERQKIQQQKIEAKQWLDHWKSGSQVNGENINGLVQFSGESLELAEFSLLDLQAATCNFSESFKIGRGGNGAVYKGEMLNRTVAIKMLHPHSIQKQPEFCQEAQILGRVHHPHLVTLIGVCPEAWSLVFEYLPGGSLQDRLFHGSNVCPLDWKTRVQIISEIASGLLFLHSSGPEGITHGNLKPNNVLLDSENCCKVGDFGIFRLAPVQTLRCPSFRSYTETGGAFPYTDPEFHRTGILTPKSDVFSFGLIILQLLTGKPPAGLACEVRKMVCCGKITAILDSSAGEWSTFVARRLVELGLQCCELNGRDRPSLTPTLVRELEHLHVLEERLVPSFFLCPILQEIMHDPQVAADGFTYEGEALRGWLENGRETSPMTNLKLSHLTLTPNHALRLAIQEWLCKS >CDP09058 pep chromosome:AUK_PRJEB4211_v1:1:31405989:31408694:1 gene:GSCOC_T00028242001 transcript:CDP09058 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSQRHSMAVLSQMLKLPPIKALSLFNLATQSGFQHTPQSISIILHHLLSFRKLSHAQSLVLKLLSSRISSTSFTVPSLLSHLTAQNHFSSCSLFYESIINAYVQYQSVEKALFYFHEMVNKGLAPTSNTFNNVLNFLVKMRNSDKVWSFFDEMKERVVLDKYSFGILVKGCSDIGDLDRAFEALGCMEKMDLKPNVVIYTSLIDGCCKSGEIEKAKELFCEMGELGLAANQYTYTVLINGFFKKGLKKDGFELYEKMKTDGALPDLYTYNTLIHEFCNGGRILRAFELFDEMHERGVACNVVTYNTLIGGLCQHMRALDAEELVDQMKGGGLTPNLITFNTLIDGYCKAGRVDKAVSLLNQMKSSGLRPSLITYNILISGFSKVGNLSRVMDLVRELEERGFAPSKVTYTILIDAFARSNDMDKAIEMLSGMKKAGLVADVCTYGVLIHGWCSEGNMMEAFKLFRSMSEVDLKPNHVIYNTMINGYCREGNSYKALMMLKQMFEKGMVPNEASYNMTIQVLCNDRKWKEAENLCNDMIKCGVQPLVAIDMIHEAKVKDS >CDP08468 pep chromosome:AUK_PRJEB4211_v1:1:21641050:21644176:-1 gene:GSCOC_T00027377001 transcript:CDP08468 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFVPKIIDQLGDVVVKQLGEKVNLLMGVEEEVGNISSKLATIEKVLHDAERRKLKEKGVAIWLEKLEGITYEMDDVLDEWNFKIQKPKNEGTHQNARMQPSCLPIRSNIASKIKKINEQLELTLKEADQYKFISSGGVPDSQDFQRIMTTSIIDESEIYGRASDKAALLDQVLPESSSQGRDRVQIISVVGAGGSGKTTLAQLLFNNDKVKNQFELRNWICVSDPFDQKRIAKAILESAGRSSHEMSELDSLIRLIKETFSSKKLLLVLDDVWTEEDSKWKPFKDSLKDGAPGSVILVTTRSLRVARVVGTTHTHPLGLISDSDCWLIMQRIAFDGRSEEWCRKVESIGQKIAEKCKGLPLAAKMIGSLLRFKDSIQQWQNILDSEIWQLKEAAVDLFPHFYLSYNELSPELKRCFSYCAVFPKDHDIDVEELIRLWIAQGYVRSNRRGECLELMGLEYFNNLAMRSFFQELEKDSDIFLREYMKCKMHDIVHDFAQFLTKDECHVLGGIDYEQGTRGNSSSERPRHLTWLGTEEMLSSPVLDIGRLRSFIALSPETVPLDLFHSLNCARTLILRDCHLRKVPVELGSLIHLRYLDLSGNPFKALPEAICDLYYLETLDITMCDKLLRLPQRIEGLVHLRHLVNYGTYELLQMPQGLGKLTSLCSLTQIIAKSNFDDLAILKDLNQLERLRIIIDGEADFGSANFGGKIYMRELFLGFNCEFKTACWLDSMEPPPNLQQLALLGYPGAQLPIWLVTESLINNLKKLTISFAGNLSSLPNLWKLSSLEELRLFVVHKLEYLGKEFFGITEVLHENIYPNLRKLSLANLSNWTIWEDLSEDDEKVDVSIMPCLEELEIVNCDMLKALPHRILGKIPSLKSLEVRHCKKLRKLYSDKIRDDWMKISNISRVVISRY >CDP07127 pep chromosome:AUK_PRJEB4211_v1:1:29966070:29972775:-1 gene:GSCOC_T00024252001 transcript:CDP07127 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTMVLFLFMIVFLSAVTTSFAATVTYDHRALVIDGKRKVLISGAIHYPRSTPQMWPDLIQKSKDGGLDAIETYVFWNLHEPVRGQYDFEGRKDLVSFIKLVKEAGLHVVLRIGPYVCAEWNYGGFPLWLHFIPGIEFRTDNEPFKVEMQRFTAKIVDLMKQESLFASQGGPIIFSQIENEYGNGDIEPYYGNRAKPYVDWAAGMATSLNTGVPWNMCQQKDAPDPIINTCNGFYCDQFTPNSDKKPKMWTENWTGWFLSFGGAVPTRPVEDVAFAVARFFQLGGTFQNYYMYHGGTNFGRTTGGPFIATSYDYDAPIDEYGLIRQPKWGHLKDLHKAIKLCEEAMIATDPTITSLGPNLEAGVYRTGSGLCSAFLANVGTQSDATVNFNGNSYKLPAWSVSILPDCKNVVFNTAKINSMRMLSRFISQSSEDVASFSGWSWVNEPVGISSQNAFMKLGLAEQINTTGDKSDYLWYSASIEIKGNEPFLQDGSQTALHVQSLGHALYAFINKKLAGSVKGSSGNAKVALEVPISLVTGKNTIDLLSLTVGLQNYGAFFDLKGAGVTGPVQLKGLTNGSTIDLSSQQWTYQIGLKGEEIGLSNGGSSLWISQPALPKNQPLIWYKTNFDAAGGNEPLALDLSSLGKGEAWVNGQSIGRYWPTNNAPNGGCVSSCNYRGSFNANKCLKDCGKPSQLLYHVPRDWLKPSGNILVLFEEMGGDPTQISFATRQIGSLCSRVSESHPVPIDIWTSDQEAAKKAGPTMLLECPSANQVISSIKFASFGTPGGACGSFSHGKCSSKNAISIIQKACVGSRKCNIGVSIATFGDPCVGITKTLAVEASCT >CDP03562 pep chromosome:AUK_PRJEB4211_v1:1:36823828:36829788:-1 gene:GSCOC_T00015968001 transcript:CDP03562 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQKPRKHSEDSVKLFVGQVPKHMTEAQLMAMFEEFAIVDEVNIIKDKTTRASRGCCFVICPSREEADKAVTACHNQKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVTDAEVSDLFSQYGSIKDLQILRGSQQTSKGCAFLKYETKEQALSAIEALNGKHKMEGSSVPLVVKWADTEKERQARRAQKALSQASSVPNAESRQHPSLFGALPMGYMPPYNGYGYQASGTYGLMQYRLPPVQNQHAFPNMIPSVNQGSALRGVVPDVSPGLGPRNYTMSPANYVGSTYGVQYPMAYPGGIMSGRPLSGSSGSAPPANSNSPSAASSSVSTSSGSQVEGPPGANLFIYHIPQEFGDQELAKAFQAFGTVLSAKVFVDKATGVSKCFGFVSYDSPGAAQSAINMMNGYQLGGKKLKVQLKRDNKQSKPY >CDP04016 pep chromosome:AUK_PRJEB4211_v1:1:33504605:33507648:1 gene:GSCOC_T00016543001 transcript:CDP04016 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVKQLVRVVPCLSSGVAIARGGLQISTGPYTAKLGSTTHRLIRRLSNSYSSNRMDTETYAFGPYKIDPKEVFYSTHLSYAMVNLRPLLPGHILFCMCALSVSTSNVVHLYVLLLQLCFLREVKRFVDLTADEISDLWLTAQKVGSQLESYHKASSLTFAIQDGTQAGQTVPHVHIHIVPRKGGDFEKNDEIYDAIDMKEKELQQKLDLDKERKDRSMEEMAEEAQEYRKLLS >CDP03637 pep chromosome:AUK_PRJEB4211_v1:1:36226546:36229470:1 gene:GSCOC_T00016063001 transcript:CDP03637 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex catalytic subunit NAA20 [Source:Projected from Arabidopsis thaliana (AT1G03150) UniProtKB/Swiss-Prot;Acc:Q8LGI8] MTTIRRFCCNDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHVAEAPGNRIMGYIMGKVEGQGESWHGHVTAVTVSPEYRRQQLAKKLMNLLEDISDKIDKAYFVDLFVRASNTPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVEKKSIIPLKRPVTPDELEYD >CDP03792 pep chromosome:AUK_PRJEB4211_v1:1:35048856:35054656:-1 gene:GSCOC_T00016276001 transcript:CDP03792 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEAVVAQTSSATAFTAAGYPPVYNDTNSDASGAAATKNVEDLTATGATTTMEDSNISFGATELTNYIADGSDLGASHHVAGTNSSLEASDKLSGPTSATDSFQPSLPDPSKNGNIVNEVGNSTSTGVAENGIISDDTHGSNVVHELFDGSALSDEEERLWSIVRANSLDFNAWIALIEETEKMSEGNILKIRKVYDAFLVEFPLCYGYWKKYADHEARLGSMDKVVEVYERAVQGVTYSVDMWLHYCIFAISTYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYTQQDWSRVALLYTRVLENPNQQLDRYFEGFKELVANRPLSELRTGEEAAAAALENSENGGQEVEGENLPNAVEQSSKPVSASLKDAEELEKYIAVREEMYKKAKEFDSKIIGFETAIRRPYFHVRPLSGVELENWHNYLHFIEGVGDLSKLRNICRIICLIG >CDP08926 pep chromosome:AUK_PRJEB4211_v1:1:30235799:30239880:-1 gene:GSCOC_T00028061001 transcript:CDP08926 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEVLNNVDYAYVEGSSSSSSSSSSVDENEIYSVYFKGLVREEKANDSEMGGVGIGIGVVICDSMKHMIFESSKAMKSRGDTCRLEARLEALIEGLTGAKQLGIRRLRFFCKSRKLYNYLIDDENAEEGLNMETAEELRMIPTIGRVKSLRAQFEECSPSLVRKDDAEFGRRADNLARKAKFAQVSCPEVISKETCEICMEDTDVVNMLSVHNCTHRCCSSCAKKHIEVKLREGTLPKCPQDGCHSDIKIDKCINVLSPELVEVLNQRVKEAKIPVLDRIYCPHPRCSNLMSKTEVLNYSKSRNNNTENGYGICFKCHGPFCINCKVPWHNEMTCSDYKELHPYSCIEDEMLSSLAKRNSWQQCIKCSHMIELDVGCYHIICRCGHQFCYTCGSEWKENKATCNCPLWDEDRIIY >CDP17554 pep chromosome:AUK_PRJEB4211_v1:1:774012:774615:-1 gene:GSCOC_T00011033001 transcript:CDP17554 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILHTKGGEDEESYAKNSTFQRSVFMNVNHALNRSIQEFCQANLAEAECIRVADLGCASGPNTLLAVESIIDSINRECHNMNILKLPNIQVFLNDLMSNDFNSIFKLLPSFYQKLEESYGCGSRSCFIAAMPGSFYGRLFPPCILYTLLTAFIGFLRFANLLLYCL >CDP03919 pep chromosome:AUK_PRJEB4211_v1:1:34155452:34156774:-1 gene:GSCOC_T00016426001 transcript:CDP03919 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEVISSGHLQLFPTSSNTRHCGSQLPFSGRASTGSIALKPRRRPGPLRITARAAVYAPTQTQAETFYDMLGISETGSISDIKKAYKQLARKYHPDVSPADRTEEYTKRFIEVQEAYETLSDPQTRALYDMNLGRGLHFAFSTGRRNERMDDVEEWKLRWQSQLDELRLWSMHRDNVSSAVRGKAAASPSSSWGSRMRRKRTDIYDLGFR >CDP09043 pep chromosome:AUK_PRJEB4211_v1:1:31272498:31272980:-1 gene:GSCOC_T00028220001 transcript:CDP09043 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGRKTNVFDPFSLDIWDPFDGFFVTSPSVANWPSSARETAAVATARIDWKETPEAHVFKADVPGLKKEELKVEVEEGRILQISGERSKEQEEKNDKWHRSERRSGKFLRRFRLPENAKVEEVKASLEDGVLTVTVPKVEEKKPEVKSIEISA >CDP16798 pep chromosome:AUK_PRJEB4211_v1:1:3972179:3974764:-1 gene:GSCOC_T00019313001 transcript:CDP16798 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQQVLRMRGGDDHASCAKNSAFQILISMKVSSELKRSIQEFCRANLPAAAGCISITDLGCASGSNTLWAIQDVIENFDRKCHDSNIYLPRPSIQYYEGSPGSCFIAAMPGSFHGRLLPDNSMHFIHSCYSLHWLSQVPRELVTQKGMPLSKGNIYIGKTSPRSVSKWVIWAGMTMNDMVIEGLIEEKAVDNFNLPHYQPSVEELRTIIEKNHAFKIGYLDIIEVQWVDAEAADYGKNYTFDKNTNGIIDDFFRMFDPKISQYHGKIKDSSNNHAVSLSRI >CDP17394 pep chromosome:AUK_PRJEB4211_v1:1:12449999:12453266:-1 gene:GSCOC_T00004449001 transcript:CDP17394 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLGRLLSQLLPVLLLFLCFSTSISSAVDHPHKIPRLSPIRRTNLRDPDDTLTKASLSEDFKSYFYEQTLDHFNYNPQSYTKFNQSYVINSKFWGGAKSNSPILAYLGAEAPLAGDIEAIGFLTDNAPRFKALLVYIEHRFYGKSIPLGSMEKVMKSKTVRGYFNSAQALADYAEVLLHVKHKFSAQNSPIIVVGGSYGGMLASWFRLKYPHIALGALASSAPVLYFDDITPQNGYYSTVTKDFKEESEHCYQTIRQSWSEIDKVASKPNGLSILSKRFKTCTPLNSSSQLKDYLDSMFSSAAQYNSPPKYPVTEVCSGIDKAPKGTDILGRTFAGVVSYKKDESCYDMLEYARSTETNVGWQWQTCSEMVMPIGRGSNDTMFPPSPFNLPDFIKNCKSSYGVSPRPHWITTYYGGHDMKLVFQRFGSNIIFSNGLKDPYSSGGVRENLSSSLLAIYTVKGSHCLDILAAKESDPSWLVAQRKLEVEIIEEWIKQYYADVHSIGKQRYA >CDP06979 pep chromosome:AUK_PRJEB4211_v1:1:28452064:28453066:1 gene:GSCOC_T00024046001 transcript:CDP06979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative phytosulfokines 6 [Source:Projected from Arabidopsis thaliana (AT3G44735) UniProtKB/Swiss-Prot;Acc:Q8LA14] MKHRNFSSFLLLILTILLLASSQTAARYLASKGGEGKLINVYAVADESSFLNMETTESIEKLMGLEECENGDRECFNRRVLAEAHLDYIYTQHHKGP >CDP14103 pep chromosome:AUK_PRJEB4211_v1:1:11545089:11595233:1 gene:GSCOC_T00039314001 transcript:CDP14103 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFEYDFPSITSSGPLNLSNFPVDALPGEHDGDSSLPGDTFGAVLQYLNQMLMGEDLEQRPCMYQELSALQAAEKSFYDALTGVEIIKVADEKEKERDKFTNGSAKKRNHYRQDDEHVEAGRATKQFASYAEEPTEIFDEALLCSSSNAGSWDLSYCEAKPGRRNNQQIGLTQEPKRGRPRASERKLNIREVVDMRSLLTQCAQSISDFDNRTANQLLNQIRQHSSPHGDGNERLAHYFADALEARVSGMGTTMYTAFSTRVSAADTLKAYQAYILASPFRKMSNILTGKTIQKLTTEASQIHIIDFGILYGFHWPCFIQALSKRPRGPPKLCITGIDLPQPGLRPAERVEETGRRLAHYCKKFNVPFEYHAIARKWETISLEELKIDRSETVIATCLYRLRNVPDETSVTSSARDTVLHLIKKINPDLFVHGILNGTYNAPFFVMRFREALHHFSSLFDMFDKTLPRHDQDRLVFEKEVLGRQSMNVIACEGTARIERPETYKQWQARNERAGFRQIPLNKDIVKEVRAKVKLQYHKDFLVDEDGKWILQGWKGRILQGWKGIVFDALSRWNFVQE >CDP09268 pep chromosome:AUK_PRJEB4211_v1:1:17182322:17189361:1 gene:GSCOC_T00028534001 transcript:CDP09268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA-RELATED 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12470) UniProtKB/Swiss-Prot;Acc:Q94CJ5] MECGVGMFTKTAAEYERRRENFFKELEIVFADVVMAVIADFMLVYLPAPTVSLRAPISANAGRIAKFFYGCPDNAFQIALGGTSFSLLQRFGAIVRNGAKLFAVGTTSSLVGTVITNALINAKKAVSSVDETENVPVLSTSVGYGVYMSVSSNLRYQILAGVIEQRILEPLLHQHKLFLSAICFAVRTGNTFLGSLLWVDYARWIGVQKAQELEE >CDP03859 pep chromosome:AUK_PRJEB4211_v1:1:34548200:34548787:-1 gene:GSCOC_T00016356001 transcript:CDP03859 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLVSPIWDSPVRIPSKAARQNSSNSKAWIQIPNPLSSKLFRSSCSPADSRLWKFILPPSSPRGVISGRRTKSKKEEKGSEANSKRWINFSGMVIKMAVRVRNFKEIPRLVPKFYSVCYGSYQSSRGGIDNLWLRWLSSSHECLRNNKKLEWSRSLHSQR >CDP03824 pep chromosome:AUK_PRJEB4211_v1:1:34793655:34796499:-1 gene:GSCOC_T00016314001 transcript:CDP03824 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKRTVKTTITENPVVVYSKTWCSYSSEVKTLLKKLGCEPLVIELDQLGPQGPQLQKVLERLTGQHTVPNLFIGGKHIGGCTDTVKLHRRGELESLLSEASAKKTEI >CDP16801 pep chromosome:AUK_PRJEB4211_v1:1:4114701:4115398:-1 gene:GSCOC_T00019317001 transcript:CDP16801 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQVLHMRGGDDHASCAKNSSFQKLISLKVSSELKRRIQKFCRANLPAAAGCISITDLGCASGSNTLLAVQDIIENIDRERHASNIYLELPRIQVFLNDLVSNDFNSIFQSLPSQGHAFIAAMPGSFHGRLFPHNSMHLIHSCYSLHWLSQVWQSCDLILFFQVNECLIISNVKQGSDAPPVE >CDP03645 pep chromosome:AUK_PRJEB4211_v1:1:36194565:36196877:-1 gene:GSCOC_T00016076001 transcript:CDP03645 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPIKFCVVDAFADQAFKGNPAAVCVLEDDKDEEWMQSVATEFNISETCYLTRIVDSDSQSTTPRFKLRWFTPVAEVKLCGHATLAASYFLFSYGLVNSDKIEFLTLSGILTAKKVPDSKTSNSIDHQNGDIQEDYFVELDFPVVPIAAPNSAEISEISKSLNGASVVEIHKTTTEEDLLVVLPSGKAVVEAEPLFDEIKRCPGRGLIITGPAPPESGFDFYSRFFCPKYGINEDPVCGSAHCALAPYWSKKLGKCDFVAYQASPRSGVLHLHVDEKNQRVLLRGKAVVVTEGSILV >CDP17659 pep chromosome:AUK_PRJEB4211_v1:1:5126747:5129364:-1 gene:GSCOC_T00013192001 transcript:CDP17659 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNVQETITIYPSKTPFTDDHVLPLSHLDTDRNLHVTFRYLRVYLNSDAQQQPKKPDSDPFHVITTALSAALVHYYQFTGSLSRREPDGRLELHCQVGRGVPVIRASVDFPLSEADYLDDDPDESFVEALVPDPNPDEVISHPMTLQVTAFKCGGFVLGAAIHHSLCDGLGATQFFNHMAELARGAGEIRVEPVWDRVNLLGPRNQPRVEFPVQEFLSLDRDFPPYSEKTGRVVREFFNVKDEWLDRLKAILLEQTGSKFTTFEALGAFIWRARVKASGIPSDEKVKFAYAINVRKLVKPPLPAGYWGNGCVPMYVQAKAGDLVAQPIYKTAELINKSKYNVSDEYVRSFIDFQGLHYHEGITAGAKVSGFTDWRHLGHSTVDFGWGGPVTVFPLSRNLLGSVEPCFFLPYSSANEGKKDGFKLSVYLQEHVVSGFREDMDKLKNLEPGFL >CDP07088 pep chromosome:AUK_PRJEB4211_v1:1:29560756:29565127:-1 gene:GSCOC_T00024202001 transcript:CDP07088 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGTDSYGVTTGFGATSHRRTKQGTALQTELIRFLNAGIFGNGTESGHTLPHSATRAAMLVRINTLLQGYSGIRFEILEALTKLLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSRCVGPNGRSLDATEAFQIAGMNSGFFELQPKEGLALVNGTAVGSGLASMVLFEANLLVILSEPKQDRYALRTSPQWLGPLIEVIRSSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMAAYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKAAEAVDILKLMSSTYLVALCQAIDLRHLEENLKNAVKSTVHQVAKKVLTTGINGELHPSRFCEKDLLKVVEREYVFTYIDDPCSATYPLMQKLRQVLLKTLLPIEVESARNACESGNPAVPNRIKKCRSYPLYKFVREDLATGFLTGEKAKSPGEEFDKVFSAICDGKMVDPLLECLKDWNGAPLPLC >CDP03566 pep chromosome:AUK_PRJEB4211_v1:1:36793680:36805395:-1 gene:GSCOC_T00015973001 transcript:CDP03566 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEQVASEIVTRRSKRTRAQTRANDDRAQPQAPHSKAANSTRGEISENEEKEREESSDDFEESRSKAKRNRATAVGVSASGRKPSQRLIEIIKGNGKQIPQLVKHWVERYEKDPKPATVELLTMLFEACGAKYHIKEEFLDKTDVDDVVVALVNLASKGAVEDYQSSKKEFKIFKDNLVAFWDSVVVECQNGPLFDQGLFDKCMDYIIALSCTPPRVYRQVASLMGLQLVTSLINVAKMLGVQRETTQRQLNAEKKKRTDGPRVESLNKRLSMTHERVTMIEEMMRKLFTGLFVHRYRDIDPEIRMSCIQSLGVWILLYPSLFLQDLYLKYLGWTLNDKSSGVRKASVLALQNLYEVDDNVPSLGLFTERFYKRMLELADDIDISVAVCAIGLVKQLLRHQLVPDEELGSLYDLLIDEPPEIRRAIGALVYDHLIAQKFNSSQSTEFSFAGDEDDSSEVHLSRMLQILREFSTDQILSTYVIDDVWEYMDAMKDWKCIISMLLDENPSSELSDVDATSLIRLICSSIKKAVGERIVPATDNRKQYYTKAQREMFDNNRRDITIAMMKNYPQLLRKFMVDKEKVPFLVEIIVHMNLELYSLKRQEQSFKNILKLVKEAFFKHGEKDALRSCVKAFNYCATESRGELQDFAQNQLKELEDELIIKFRSAMREIADGDDEYSLLVNLKRLYEFQLLRQIGFDSLYDDFCLILQRFRNIDEEVISFVLLNMYMHVAWSLHSIITSEKVSEATVASLLLKRTTLCEQLEHFLLHPENEEESKSGSQLACRVCTILAEIWCLFRMDNYASTKLESLGFCPSEPILQKFWRTCEQQLHVSDETEDEDVNKEYVEETNRDAVMIAAAKVVATEAVPKDYLGSEIISHFVMHGTSVAEIVKHLIAVLRKKDGDMSIILLDALKRAYQRHLVVLSTGRDDSLASKSFQDCKHLAARLSGLFVGVSRNKYRSDILYIVSAGIEYAFRDAPKQLSFLDGAVLHFVSKLPPTDILDVLKDVEKRTENVNTDEDPSGWRPYFAFVDTLREKYDKDEGLQDEKEGTTVRRRGRPRKKQNLQGKKLFDENSSSEEEDSISESDQFAEGEEEKQEEEEEDAPLIRSFKSSSKLRALRVSREEKRSQAKTGDTSRAAGDLAASRTSGASS >CDP03676 pep chromosome:AUK_PRJEB4211_v1:1:35987830:35995376:1 gene:GSCOC_T00016116001 transcript:CDP03676 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSSAASLPRMRMFDKIPSAEEFISEIEPLNVPAVFSGCIKNWKAFSQWNPSNGGLDYLQEKAGSSTVEAMLSQQAPVFYGDIRSHERVSLPFSTFIGYCKDNLCDRCDGEDSFLESKKHTLTESHTGQFEFPEQIYLAQVPILNIECTEKVQLECLREDVEMPPFLESKTISSINLWMNSAQSRSSTHYDPHHNLLCIVSGCKQVVLWPPSACPFLYPRPIYGEASNHSTLDLDEPNFCAHPRAEHSDEYSQKIILHGGDALFIPEGWYHQVDSETLTIAVNFWWRSDMMSGLSDHMDSYYLRRILRRLIDKEMNQLLHLPSLVDDKIDGNQSDQPNTRVPGGVQLRQMSVLHETDQLAVSALQKLVSLVHERVNPNQPVNSTPANNLAVEGKDESNEIMKPDLFNLKDDPVALLLWTLQPLALRCVLLAMAHNFPRTLEALILLVLSPVGAEVLTRKFEEMDQLIGEEDRSQFFQIFYGVFDNQIAAMDALLNGKESFARQAFKNVLDQYLGVHFDGSKALVK >CDP09694 pep chromosome:AUK_PRJEB4211_v1:1:24562950:24565265:1 gene:GSCOC_T00030125001 transcript:CDP09694 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLCDASSKKTQVRKTLSSSKKIPVKSIKSPDGDIIDCINIYHQPAFDHPLLKNHTILMRPSLQPRKGPIGGGELFQSNAHGQEDKKPIAQLWQLGGRCPEGTIPVRRNQKARYAKKKHRNFPQLAHFSNHEHAYAYVQSNKYLGAKATINLWQPQVQGSGEFSLAQIWVLAGANSDLNTVEAGWMVFPSHFGDSNTRLFTYWTRDGYQTTGCYNLDCPGFVHTSNSIALDVALSPVSTYHGAQHEIILQIFKDPKQNVWWLQHGNDDVIGYWPASLFTDLADSASLIEWGGEIINNAQDGQHTTTQMGSGHFAEEQAGGASYFKNLQVVDQSNTLVPPGDITTVAEKPNCYNIVSGKSDDAGDYFYFGGPGRNPNCP >CDP08471 pep chromosome:AUK_PRJEB4211_v1:1:21753453:21758969:1 gene:GSCOC_T00027381001 transcript:CDP08471 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKDNLTGFILALLSSGFIGASFIIKKKGLRRAAAASGVRAGMGGHAYLLEPLWWFGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKEKLHKLGILGCVMCIAGSVIIVIHAPQERSISSIQEIVNMATQPAFLLYVGSVMVLVFILIFYFAPQYGHTNVLIFTGICSLMGSLSVMSVKALGTALKLTFEGRNQLIYLETWFFMFVVATCVITQMNYLNKALDTFNTAIVSPIYYVLFTTLTIVASIIMFKDWDGQSAGSIISEICGFIVVLSGTILLHVTKDFERSSSFRGNAGNYTPLSPTLSTRLCSGNGESLKHDVEEGPSSEEVSSRRQDLYT >CDP03561 pep chromosome:AUK_PRJEB4211_v1:1:36835671:36839258:-1 gene:GSCOC_T00015967001 transcript:CDP03561 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASGENPISGLKKVKEGHVWGIPISTIVSHFGTSGISVAAATGITHPLDVLKVRLQMQLVGQRGPLIGMGGLFVQLVKSEGMKSLYLGLTPALTRSVLYGGLRLGLYEPSKYVCELAFESTNILVKIASGAFSGAIATALTNPVEVLKVRLQMNATSSKGPLHELQKIAHEEGMAALWKGVGPATTRAAALTASQLATYDESKQALMRWTPLEEGFYLHLISSTIAGAVSTLMTAPMDMVKTRLMLQRESKQVGMYKNGFHCAYQVLRTEGPRGLYKGGLTLFARLGPQTTITFIICEKLRELAGLKAI >CDP09142 pep chromosome:AUK_PRJEB4211_v1:1:31976484:31992134:-1 gene:GSCOC_T00028351001 transcript:CDP09142 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIEAGQEMAFRVGFTGHSGHLTIEPLPPVQRSTPLSSLPDFILPPAFPRETPESIKEYIKETHLLPRLDPDEFSAEKSGKQWEFDWFDRAKIPLEPSLPRSIVIPTWELPFRRTKRSSGHDIWEPRSEQVDIAELTAGAEDSGVLPRIVGPAKDYVKGSLSSHPFRPGGLEDSHSLGRILPDGAVNGEWVWEVLNGGPAQSIAPSFKDGLDLGDLRAHSSSWNVFVDQSDVKPVQDVKLNELSLQFDDLFRKAWEEDVSGYAADGDTSKVQVETKELGSVESESETNVDNSSVASNIAKIESSVLDEVLSAELQATTTILNGDQDGSIEQPKKAWVVSGASGKIVEQFHELVPDMALNFPFELDPFQKEAIYYLERGDSVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINFVLLSATVPNTIEFADWIGRTKKKQIRVTGTTKRPVPLEHHLFYSGELYKVCENEEFVPKGLKAAKDASKRKTTSAVAGGAGSYPGSSPSNDRARAHRHESSHQGKQTRHSGSQNLGNSRAVWGNQNNGLGPNTGLRRSEATMWLSLINKLSKKSLLPVVMFCFSKNRCDKSADSMTTTDLTTSSEKSEIRIFCDKAFSRLKGSDRNLPQIVRVQSLLHRGIGVHHAGLLPIVKEVVEMLFCRGLVKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLSGEYTQMAGRAGRRGLDETGTVIVMCRDEIPDERDLKPVIVGRPTRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEKQQLLMRKLAQQTKTIECIKGEPAIEEYYEMSSEADTHSTKIVEAVMQSPGSQHYLSPGRVVVVKSESAQDHLLGVVVKAPSSNNKQYIVFVLMPELPLTLQNPSDSSNLKDDKGAGLQILIPKSKRGLEDDYYSSVTSRRGSGVVNIKLPHRGTAAGMNYEVRGIDNKEFLSICNCKIKIDQVRLLEDVSAGAYSNTVQQLLLLKSDGNKYPPPLDPVKDLKLKDLDVVKAYHKLNILLQKMAQNKCHGCVKLEEHIKLARELKRHREEVNTLKFEMSDEALQQMPDFQGRIDVLKEIGCVDADLVVQIKGRVACEMNSGEELICTECLFENQFDDLEPEEAVAIMSAFVFQQKNTSEPSLTPKLSLACKRLYDTAIRLGQLQASFKIQIDPEEYARENLKFGLVEVVYEWAKGTPFADICELTDVPEGLIVRTIVRLDETCREFKNAAAIMGNSALYKKMETASNAIKRDIVFAASLYVTGL >CDP03768 pep chromosome:AUK_PRJEB4211_v1:1:35231398:35232993:-1 gene:GSCOC_T00016247001 transcript:CDP03768 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKMKGLLKGLRYISQIFDEDKEPDMQIGFPTDVKHVAHIGWDGPSVDSPSWMKEFKSPTGFQSAPLAIPGDPKENPEIKWVSEGLSYGKNARGKSSSAKDQSEAPRSSRRQSSTENSSDSPKKEKSRHSRKHHSKDSSDSVKNRQTQDSGLGSESPSNLPDIPKKSRRKKSKESISGGSSGSVRSRNRGNTSGTCTSPVSDAGNNSEAMNSRKDELSQTSNLKPRIEEGEKESSGIF >CDP03528 pep chromosome:AUK_PRJEB4211_v1:1:37062333:37069033:1 gene:GSCOC_T00015928001 transcript:CDP03528 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVERRRRPLVLSSTRALVDSVLSCSRIQERDDVNDDGKIGTQDPQDSQPNLQLKAGILRISDNPTDNSQATTKLASLDRSALLGLSTSTLKKLSITSGSLVRVQNADNNFHRIAQIVALDAPFLDENLPDNQVLKSHSPQTMLLFPSCDYPQNRCIQLDPDVAYLSPILLFNLYLHVSCLKSIVHQGKETISSLFECQLDGEGNCKANNTSAISLAIKPSTHSPQYASHLRAAFVRIPECNTLESLKRSRYVEDECRQELIDVELNNYFTVDRFLSTGDLFSISINWNCRSALCICCNRQKKKGTNDMIYFKVVAMEPSEAPVLRVNRTQTALVLGGNVASAVLPAMLIRGLNVSIPVQQNVVKMLASILTPPLCPSALSSKFRVAVLLHGLRGCGKRTVVKYVAHQLGLHVVEYSCHNLVASSERKTSAALAQAFSTGNRYSPIVVLLRHFDIFQDLAHEASPHEQLGVNSEIAAVIKKFTEPVIEDEDDHSGGRLDCHSTDSEQIYRHRLLLVATADRTEGLPPTIRRCFSHEISMGPLTEEQRIQMLSGSLQRVAELLPNNSLEDLVQEIVRQTSGFMPRDLQALIADAAVNLVSSHPPEKAQKNSFESEQFEDCKSTSEATRDMGKEDLLKALEQSKKRNASALGTPKVPDVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFEKARSARPCVIFFDELDSLAPTRGASGDSGGVMDRVVSQMLAEIDGLNDSSQELFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSETSYRERVLKALTRKFKLHEDVSLQSIAKRCPPNFTGADMYALCADAWFHAAKQKVLVAGADSSSLNDRTDSVVVQYVDFIKVLGELSPSLSIAELKKYELLRDQFEGASR >CDP09212 pep chromosome:AUK_PRJEB4211_v1:1:32510522:32512207:1 gene:GSCOC_T00028443001 transcript:CDP09212 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10K1.14 protein [Source:Projected from Arabidopsis thaliana (AT1G07150) UniProtKB/TrEMBL;Acc:Q9LMK8] MDKVKVPNSWMRTAVIGRGSFGTVSLAVNKSNGALFAVKSVDTNSALPSQIEALENEIGILRSLSSPYVVECLGDDVTVEAPSMTPLRNLHMEYLPGGTVADVARSYTPRGGGADEWIVKNYTFCIVSGLKYLHSQGIVHCDVKGDNVLVGPAPGTAKLADFGSAMEIRPCRKASITPRGTPLWMAPEAVRGEYQGPESDVWSLGCTVIEMVTGKPAWEDKGAADTLCRIGYSDELPRLPTQLSELCRDFVEKCLRRDVSERWSCDQLLQHPFLSMCSPSSDLPTYYWSPRCVLDWFKSDSDEEDEEETPSSGSRISDSDVRGRLGRLAEGRGAIWESDGWVEVRGLASSSSTERGEEVSLPVSEAGRCGGGGEGTSSEYGELMRMEISGAEVGYGDSLGIGEFSRRTSGECLADSDCSAVAEARIDSHHDGVVTDSGRVERPQAGDSDGGCTCPFSALLCLFFYFQPTRRQNLHPIPSFRGIYEKVLLHLLLCKTTAVYHLNFVNFYESLKLPRLPKIYEYPDLQHESNSTNKSDLVQAGGFFVTRSHAFACILLNSSLN >CDP14445 pep chromosome:AUK_PRJEB4211_v1:1:9518631:9520378:-1 gene:GSCOC_T00040916001 transcript:CDP14445 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELHYTQRGGDITYHGPHQAILYPIISLRDIGFGARKYVETLELTMIELASLHGVKAHAGESGETGVWVGERKIGAIGVRISSGITSHGVAFNIDPDLSYFEHIVPCGIADKEVTSLKREVDSDLPAEELIHEQLISCFVRLFGYNNVIWKDNPSLCDQQS >CDP06974 pep chromosome:AUK_PRJEB4211_v1:1:28375783:28377918:1 gene:GSCOC_T00024038001 transcript:CDP06974 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKKVHLLQALLLLKISFPTSVSQETTISNCGKIRIQSPFFLHNSTYLSPLNHMLLCKAQKLYFRTSLGLFQVSSIDYETKLLTISHSSCSSASHFISPTSLSAGFPHPPQPNSLVLYNCLSQKNSASPYMSNCSSLPALGGSCSKLHQQELRKGISSCFVVQDVRKLDGDFHPKQMNCTHYRRMYRNASVDDNSCGFELGTRISFDIHVPNPCDECKKPDGNCGVGLRCICHARECKDKVVSLGVVLNPFGSVLFSFVCFVIMMDLFNSP >CDP15576 pep chromosome:AUK_PRJEB4211_v1:1:26036074:26048765:1 gene:GSCOC_T00015468001 transcript:CDP15576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G22010) UniProtKB/Swiss-Prot;Acc:Q9C587] MKQHEKSSAGNGNAAKPKTAEKPAVAASRLDDSVKEGQESASRRKTSKYFASDKQNAKDAKEIEEFSAKRKAPMASKEIHGDVKPPPGKKVHKNEDDDNDEDFVDTTPRKVSTPSKKLKSGSGRGIARKSADVDESDEDDVIGTKSHSKPAGRGRGGKSGITAPGSRKGMVVDESDEDEPGDKESKSAKPGGRGRGGRGSSATPSGGRGRGGGGYGGFMNFGERKDPPHKGEKEIPEGAPDCLTGLTFVISGTLDSLEREDAEALIKRYGGRVTGSVSKKTNYLVCDEDIEGRKSTKAKELGTAFLTEDGLLDMIRKSNKSKTTEPQELKKPVDKVLPSSKKSTPTPASKNHVGSLSTKGAAVKGSSASVTSAKLKSQATEEAWLPWTEKYRPKVPNDIIGNQSVVKQLHDWLRSWNEQFLKTSSKNGGKKQNDSGAKKAVLLSGTPGIGKTTTAKLVSQMLGFRTIEVNASDNRGKADAKIGKGIGGSTANSIKEVISNEGLGLNMERSQHPKTVLIMDEVDGMSAGDRGGVADLIASIKISSIPIICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLLHVANAEGLQVNEIALEELAERVNGDMRMALNHLQYMSLSMSVIKYDDIRQRLQSSSKDEDISPFVAVDKLFGFNAGKLRMDERFDLSMSDPDLVPLLVQENYINYRPSSAGKDDNGLKRMSLLARAAESIANGDIMNVQIRRYQQWQLSQASSLSSCIIPASLLHGQRETLEQGERNFNRFGGWLGKNSTMGKNYRLLEDMHVHLLASRESYLGRSTLRLDYLTLLSKQLTHPVRVLPKDEAVEKVVNFMDSYSISQEDFDTILEISKFKGHPSPMDGVQPAVKAALTKAYKIGTDSRKIRTADMVTVQKRDGSSSHVIKTADMVPGTKKALKKRVAAMLEPVEESLAEENGDALEENEKNSSDAEDLEDSDNTDKGLQADLQSLKSKGIEVQLNLKGSENSSAKKGSSARGKRSSEPAEKKGGGRGSGSASKRKR >CDP09153 pep chromosome:AUK_PRJEB4211_v1:1:32052637:32056507:-1 gene:GSCOC_T00028367001 transcript:CDP09153 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRFIGIRLSSLGPSTTCHLFCHGAPGLINSARENSCLIAHPLLDIMEADDYYTKDGTVDYRKNPADKRKTGTWKACPYILGNECSERLAYYGMSSNLMIYFTKQLNQHTADASRNLSNWSGTCYIMPLLGAFLADSYLGRYWTIASFSTIYFIGMTLLTLSASVPGLKPTCSAKDVCQPTGTQTTVCFIALYLVALGTGGIKPCVSSYGADQFDDADETEKNFKGSFFNWFYFSINIGALIASSLLVYIQLHVGWGWGFGIPAAAMAIAVVSFFSGTRIYRYQIPGGSPLTRLCQVLVASFRKHSVPLPADKSQLYEVADETSAIVGSRKLEHTDSFSCFDKAAVETESDRANESKVSAWRLCTVTQVEELKSIVRLLPVWATGIMFSAVYGQMGNLFVSQAEVMDTHLGKTSFEIPEASLSVFDTLSVIIWVPIYDTIITPFARKITGRKNGLTQLQRMGIGLVISVFAMVSAAVLEIVRLGIVKKHNLYDAELIPISVFWQIPQYFIIGCAEVFTFIGQLEFFYEQAPDSMRSLCAALSLTTTALGNYLSSFLVTIVTKASTRGGKPGWIPDNLNYGHLDYFFWLLAALSVLNFGVFLVIAQRYTYKKAVGTLR >CDP09213 pep chromosome:AUK_PRJEB4211_v1:1:32518772:32522748:1 gene:GSCOC_T00028444001 transcript:CDP09213 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT3G51800) TAIR;Acc:AT3G51800] MSDDEREERELDLTSPEVVTKYKSAAEIVNKALQLVLSECKPATKIVDLCEKGDAFIKEQTGNMYKNVKKKIERGVAFPTCISVNNTVCHVSPLASDEAVLQQGDIVKIDMGCHIDGFIAVVAHTHVLQDGPVTGRAADVIAAANTAAEVALRLVRPGKKNQEVAEAIQKVAAAYDCRIVEGVLSHQMKQFVIDGNKVVLNVSSPEMRVDDAEFEENEVYAIDIVTSTGDGKPKLLDEKQTTIYKRAVDKNYNLKMKASRFIFSEISQKFPIMPFTARDLEEKRARLGLVECVNHDLLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRITSHSLQELNPTKTVDSDPEIKAWLSLPIKTKKKGGGKKKKGKKGDKAEDSMQTEPVDEAANGASQ >CDP03851 pep chromosome:AUK_PRJEB4211_v1:1:34594447:34596569:-1 gene:GSCOC_T00016348001 transcript:CDP03851 gene_biotype:protein_coding transcript_biotype:protein_coding MSILQILQWLQKVTHEPPRHKDYCFTGNKKEGLKGDQGVKSKDTALLSKNPKIKRRRKKAEQTDLACKNLSPFKLLRKKGIAKACFYCTLNLKKVGSCHKRQQFVNSMKMKKEDLARGLGVISNHKAENKVLPISDQNTASSSSTNDADHRCASTTAEKKEKTNKGDKAKTLSKMKELLRWAAAAKVEKGGKYISRKVLHFRNKAALKAVPDDDQLSNDSPKISFRWEVESCSTTSSAYSAISSIASSSKNFDQTSTTQLQPSLLSTPLHNFDLSVVRPGNWITTDSEFVVLEL >CDP06862 pep chromosome:AUK_PRJEB4211_v1:1:27256992:27258664:-1 gene:GSCOC_T00023893001 transcript:CDP06862 gene_biotype:protein_coding transcript_biotype:protein_coding MATALQVPSYFCFHRKKNDRKLNSLISNASISPSSSKSNYSTSFRLRAVKEKTEEIKSSAPSSAEDVTKKYGLEVGLWKIFSSKEEGDEGNEEKKSKGDQAKELLAKYGGAYLATSITLSLISFALCYALISAGVDVPALLQKVGISTNEAGEKVGTFALAYAAHKAASPIRFPPTVALTPIVASWIGKKVDKEN >CDP08501 pep chromosome:AUK_PRJEB4211_v1:1:22524879:22538494:1 gene:GSCOC_T00027430001 transcript:CDP08501 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVGRRVKKEFQGYGIFGGTVRSFDSSRGYYRIEYEDGDSEELEMSDMASLLVRDEVDGDEAVEGQGNKRRRLGDSAESGNCCSSSGSGSNKNEFDLNAAVGCGGLDLNVNFNLNEGLDLNCGVNEGLDLNKRVNVDDDDGGGGCGVGANANSSVEVKVEKSRGEIIDLNLDATENENESENLNGNSKEDGCLSERKGHCFDLNLGFEEEGKGLEEEVKGFLGGDREVQIKECSCEGAQINAPKEEEGNCGNEVLEGAQYENVENNGCIGVLENELTESNLVEVELKGPLDINDGGSNMIESNIDVVPVGTPKKRRGRKRKVVPDMDTNSPTETVLRRSTRRARKAALLDQDNISSTVGVPDAVNDLSSSPAVSAVTEEKVAEVVGREVSEERIVLPPKLELPPSSGSLNLEGMPVLDIFFIYSFLRSFSTLLFLSPFELEDFLACLSCNSPSVLFDSIHVSLLHTLRKHLESLSEESSQSASNCLRSLNWDLLDIITWPVFVAEYLLMHCSGLKPGFDIGHLKLFESDYYNQSPSVKIEILRCLCDDVIEVESIKSELNRRSLATEPSIDFDRIIKPETTKKRKAVVDVTGSSCVTQEDNDILDWNSDECCLCKMDGTLICCDGCPAAFHSRCVGVVSNDLPEGDWYCPECVIGKDRPWTKVGKSIRGADLLGIDPYGQLFYSCCGYMLVLENCHSETSFKYYSRNDLPVIIEAMKSSQIVYCAIINAILKQWDLPSEVDGAKEEMGSQIFVAVDPERPIPATFTQLSTHSETHLKDAILNKGRAEDKSFVSVNIGEVSGLVTVKSDIVDHAVNMENQILSSEGSAEVFEAVTATRNFERADSSLITTSLEEKVTDSAKHNCLASATKPRILSQGNCGISYTNYYCFARTASSVAEVLTRKSSDKNSEAALKSVDEIISEQMLAISDKFMEFCWPNVPIMNADTRKESCGWCFSCRVPEDERECLVSMYCNSPVLEKYTSDMLGIRSRKNKRSHLVDVLCYLLCTEDRLQGLLLGPWLNSHYSNFWRKSAARVTGIAAVKSMLLKLESNLHPQALSADWTKHVDSAATVGSSVHVIRSSARGSSRNGIGRKRARCPDPDSNTSSSSASGLGLLWWRGGRLSRQIFNWKVVPRSLASKAARQAGGMKIPGILYPDGSEFAKRSKNVAWRAAVESCRSVEQLALQVRELDANIKWDDIENTNLSLKVEKDSKKPVRSFKKVIVRRKCSEGTIVKYLLDFGKRRFIPDIVVRHGSKVEESSSERKKYWLEESHLPLHLLKAFELKRIARRSSKISAKLKVRRRLMKQPFKKKGFSYLFSKAERSENYHCGHCNKDVLIREAVSCQYCKGFFHKRHVRKSAGAVTAESTYTCHTCQDQKNVKNDAKKERLETKKRRKASKQLMPLQSKIRKNAGKDKQLRQIAKNKNGPVVIPLRRSPRKAKCVSLQNKKIRAHKRGKQNKATTGASKKRLKSSWQKKRMQRHPIYWLNGLHLSKKPNDERLLLFKSKNLLVLSGDSTAMVDKPRCILCCEQEFSPMLNYIACELCGDWFHGDALDLKMEQVGRLIGFKCHNCLKRNPSCCPHLGATKTEGAKLVGLDYNEGIDSISKETNGPSSEAFLEENIQLYEESKKLSLASDLDEKQPLGTTFGPNQMLKSDAENGQLSPNSVQKAAVPEFSNEDSGHPDQSMASKEDDSWQKDAIIFEKPHGESTTPVGIESLSCKSSEDVSEKDLTSLMHNHMKNGLVNQQPFCHAVVDKAFDPIDYHPM >CDP09627 pep chromosome:AUK_PRJEB4211_v1:1:23428355:23433237:1 gene:GSCOC_T00030015001 transcript:CDP09627 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKNGTNFFQFSCYQISSKKKRNQEQNEIYFHWFPNSSASNFHFSSFVNQNPGKKLTKMIVDSSSPAPAKKSLLLSSEADLQRTQTPYVTGTSVIAIKYKDGILIAADMGGSYGSTLRYKNVERIRPVGKHSLIGASGEISDFQEILRYLDELILNDSMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLILGGVKNGQKYLGTVNMIGVHYEDNHVATGFGNHLARPILREEWNENLTFEEGVKLLEKCMRVLLYRDRSAVNKLQIAKITDEGFTISQPYSLKTQWKFKAFENPTVGAEGSW >CDP09219 pep chromosome:AUK_PRJEB4211_v1:1:32549269:32559868:1 gene:GSCOC_T00028451001 transcript:CDP09219 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSSKNPDCSSSHGSDDREDQGGGQLYVSLKMEENSYLLKSELIPHVYGSVPLVGSWDPSKALAMERESSSMWELSFVVPPNHETLDFKFLLKPKYSNSPCVVEEGPNRLLTGGTLQGDARSAVFRLSGGEVLLEYRVFIKADRVSPFDLAASWRAYQENLQPSTVRGIPDVSMNSVPESGTENCSSASLELDLEHYVVPAPTTSANSGLLYAANMTETPRSLSHANAFSKAAGGASHPVSIGVPTERPATIKEMEVIVPDPTIVYSSSGIVESKSVGTLSSLQKQDGQRGLFVDRGVGSPRLVKSPSLTTFTVDQNVHSEAKDPIPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKLGTNQSADFFRGDNPEGIEARNEVAALAMEDMIAWMQEGGQVGIFDATNSSRKRRNMLMRMAEGKCKIFFLETICNDRQIIERNIRLKIQQSPDYAEEPDFEAGYRDFRSRLDNYEKVYETVEEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDSVLSDTGEVYAKKLANFVEKRLKNERAASIWTSTLQRTILTANPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPDEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEVPLHTIIEIQMGVSGVQEKRYKLM >CDP18568 pep chromosome:AUK_PRJEB4211_v1:1:339278:347158:1 gene:GSCOC_T00012397001 transcript:CDP18568 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSGGTGGGGGNDVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPFDGISWFLDLFNYYVNSDKQDVFYFDVGENRRGRFLKVSEASVSRNRSTIIVPAGSARDEGWAAFRNILAEINEASRLFILPNQQNSEASERLVGLSDDVGAGFISGHSSQPTASDLTVDRTIDLPPPDEIGNLGVSKVIRADQKRFFFDLGSNNRGHFLRISEVAGSDRSSIILPLSGLKQFYEMVGHFVEITKDRIEGMAGANVRTVDPPQR >CDP08453 pep chromosome:AUK_PRJEB4211_v1:1:20847549:20848126:1 gene:GSCOC_T00027352001 transcript:CDP08453 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFYGELFLIIGAIAALIGPKDLPIIARTTGRLAERAIGYVQMARGQFDSVMQQSQAPHVWYSC >CDP09244 pep chromosome:AUK_PRJEB4211_v1:1:32787675:32789888:1 gene:GSCOC_T00028486001 transcript:CDP09244 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVNGNSNFRFRVVAVPFPGRGHINPMMNLCKIMANRRPNHFLITFVVTEEWHGILSSEPLHANNIRFATIPNVIPSEIGRAKDFTGFVLAVLNKMEGPVEHLLDQLEQPKPSVIICDSFLKWGLGVANRRNIPAASLWPMSTTCFSLLRHYESLVENGHLPSNPVEQGDHRVTCIPGVPSIRLADFPRELILHGTSQTTVRIALEAVALASKAEYLLFSSVYELESRVIDSLKAELPNPIYSIGLAIPSFNVRNGSNNDHIAWLDAQPRSSVLYISQGSFLSASNGQLAEIIAGVHDSGIRFFWVDRQDISTFQASGGGTGNGVVVHWCDQLKVLRHPSIGGFWSHCGWNSTKEGAFAGLPMLTFPLAWDQYTNSKQIVEDWKFGRRLKRDDEGLVKREEISRSLKRFMDLECDEGKEMRRRAQEIQEICRRATAEGGGSSELEIEAFIENIA >CDP17545 pep chromosome:AUK_PRJEB4211_v1:1:697656:698456:1 gene:GSCOC_T00011021001 transcript:CDP17545 gene_biotype:protein_coding transcript_biotype:protein_coding MPYIAQNVEMKHAENRVACARLVESSMDGEELERSIVAGTGKLSRYNTYLKKTGRLWKEVRHCRQHWQSFGRHK >CDP09108 pep chromosome:AUK_PRJEB4211_v1:1:31749349:31751523:1 gene:GSCOC_T00028306001 transcript:CDP09108 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCGVVSESEAASSVCRPSSRAARRRRMEIRRIKFVAHPEDGAENGKKRPRLEIYPAASVSRECDCAVEDQNLVSKVKNGMNKEMTGEFPPILNGAPIFLTAQLASTLLVNSKPFPKFGMASVCGRRRDMEDAVAIRPSFCRGDFEDAALLHYFAVYDGHGCSHVAAKCRERLHELVKEELESREESTEWKNAMERSFIRMDEVVTSSGSLMASNCRCELRTPECDAVGSAAVVAIVTPDKIIVANCGDSRAVLCRNGKAIPLSDDHKPDRPDELNRIQAAGGRVIYWDCPRVQGVLAMSRAIGDNYLKPYVSCVPEVTITDRTAEDDCLILASDGLWDVVSNDTACGVARMCLKKGKGPPCSPGEEVGNENSDKACSDASMLLTKLALARRSADNVSVVVIDLRKDVSRDRLI >CDP09021 pep chromosome:AUK_PRJEB4211_v1:1:31111052:31112844:1 gene:GSCOC_T00028191001 transcript:CDP09021 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVCVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKMLGFFY >CDP16608 pep chromosome:AUK_PRJEB4211_v1:1:6161308:6163902:-1 gene:GSCOC_T00019054001 transcript:CDP16608 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRGLKAIWAWLVIDSISNIGIYGMGGVGKTTFAKHIHNHLLERTQFKVYWITVSQEFSIRRLQDDIAKRLRLDLSYEDDEDGRAAILSRELVKQSVLILDDVWQEFSFEKIGIPLGANKCRVILTTRSLELCNRISCQRVFEAKTLAIDEAWDLFKHTLDTKTVLHEDVEEIAKSIMKRCAGLPLGIITVAGSLRGGNDICEWRNALEQLEKCSVGHDEMERDVFPILEWSFNRLNECQMNCFLYCPLYPEDCKIKRKELIDLFIWAKLMDKQNSRSRLEAFDQGHTILNKLIKVCLLEETTDLEEDDCVKMHDLVRDMALRITNGNSKLKMSRDVPRFLVKSLGRRDSKVTLEQKIWAEDLHAVSFHTLYHHTKIKVPPVWSPNCPKLSTLLIPHFSIKRIPDSFIRHMRGLKVLNLNGCIGITELPNSISNMVNLAALILGHCEELRSVPPLGMLKQLRELDLSRTKIQDLPQGLESLVKLERLNLDHCRSFTRKIIPKGTFSKLHRLQRLVLPPYEYYYLYINDDFSSFHDYIPLVDDIGYRKRLYFHQCKFGKGSNYPVLLLPSDMKCLELKGCVGMGIRCLSDIFKNFTSLKDLSSLKISAYLLPPGTFSSLRRLSIYKCHNVKQLFTVQLLRNFESLRVFSVDDCKGLAEVAANDNGIEQGGGEGIQLTSSEATSTVILPNLMLLDLNRLPQLKNICKATMICDSIEEIVIFDCPKLKRLPLFLPTINRLPAVPSTLHKIRGDKEGWESLEWDNPCIKNALDPFFTTQRWSC >CDP08930 pep chromosome:AUK_PRJEB4211_v1:1:30275804:30280191:-1 gene:GSCOC_T00028066001 transcript:CDP08930 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKKILILGAGLFFEPYIDYVVTKVCFHPIIQNFDSAFSLLEVHSCCCLLIVTFRCDLEGGSESLSELLYAGYEIQGLYESFCTLPLLFSQSAGWHALCSSYNQITVLDFFHVLLWIRHFSFSWKMVLNMQILCFAIEKFPGSEPILTTQMKSVGGSMAVGQTWGWGCAQIKELDWDWDWDCLRYSLRVPSPDCIHTICVAMKRGMKVNDIRELSYIDKWFLTQLKELIDVEQYLLSQKLSDLTKDDLYEVKKRGFIDKEIAFATKITEKEVWLKWLSLGVKPAYKRVDTCAAEFEAQTPYMYSSYDFDCESAPTQRKKDLILGGGPNQIDQGIEFDNYCSHISFTLQIYCYETIMMNSNPKTVSIDYGTSDCPYFEPLTVEDVLNVIDLEGPDGIIVQFGGQTPMKLALPTQQCLDEQKPKCKSGAVYVGIWGT >CDP09236 pep chromosome:AUK_PRJEB4211_v1:1:32723388:32725387:1 gene:GSCOC_T00028476001 transcript:CDP09236 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASGGPDLSGGGEAGPSSASGSGSAEGVGGSTAAPPSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHVAGCPYFGHPNPPAPCQCPLKQAWGSLDALIGRLRAAYEENGGRPESNPFGARAVRIYLREVRESQAKARGIPYEKKKRKRPAATAVPAVSVAASDVGASCSGGGDVGGGGGGDGGSGGGPGGTPTTVVVTTTTV >CDP04068 pep chromosome:AUK_PRJEB4211_v1:1:33067135:33069540:1 gene:GSCOC_T00016607001 transcript:CDP04068 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLLRLHFHDCFGCDASVLLDDTSSFTGEKGAGANVNSLRGFDVIDTVKTQLESICPGVVSCADILAVAARDSVVALGGPTWNVQLGRRDSTTASLDDANKVANIPSPAMDLSDILTVFTNKGFTTKEMVALLGSHTIGQARCLTFRNRVYNETLIDASFATSLKTNCPITLGDDNLTALDASSPILFDNGYFHNLVKNEGLLHSDQQLFSGGSTDSQVSAYRANFITFFVDFANAILKMGNLSPLTGNNGEIRTNCRKVN >CDP03624 pep chromosome:AUK_PRJEB4211_v1:1:36342805:36345689:1 gene:GSCOC_T00016047001 transcript:CDP03624 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRLLRRSLAVVRYFSAEAVGATVKSPPSGGASSKITSTGGRDTLGRRLLSLVYTKRSAVIAIRKWKEEGHVVQKYELNRIVRELRKLKRYKHALEVCEWMRIQENVKLLSGDYAVHLDLIAKVRGMNSAEKFFEDLPDKMKDHTTCSSLLHTYAQHKESAKAEALIEKMSECGFLKSPLPYNHMLTLYISTGKLEKVSAMIQVLKKNTSPDVVTYNLWLSVCALQNDVETAEKVFLELQKETLDPDWVTYSTLTNIYIKNSLKKKAASTLGEMEKRISKKVRPAYSSLISLHTSLEHKDEVYWIWKKMKSMFHKLNDSEYMCMIASLVKLHELCKAEKLYMEWSSISPTGDSRIPNILLAAYINDGHMEMAEKFYKQMVEKGMTPSYTTWELLTWGYLKLKKVERVLDCFNKAIGSVKKWEPDVKMVKEVSGMLEASGNIEGAEKLLVTLRHAGHLNTGIYNSLLRTYAKAGKMPVVVAERMKKDNVQPDEDTRQLIQLTSRMCVTEVPSSIL >CDP17666 pep chromosome:AUK_PRJEB4211_v1:1:5246216:5247296:-1 gene:GSCOC_T00013203001 transcript:CDP17666 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIVLLSLIPSSFIYVGFAVDPQPLQDFCVRDPRSSIRLVCSSTCKNPTLVTADDFSFSGLHKAGNTSNDPFRSNSNVVDVNVYSAPRTLALILHQGELIVLNFTPEHPRLLQLLKVLGLGQSICGPT >CDP08454 pep chromosome:AUK_PRJEB4211_v1:1:20848292:20853427:1 gene:GSCOC_T00027353001 transcript:CDP08454 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGPLTRSLVENGVHTSPTNDETGLPKADKESISAIPAAKIHHDYASRASASSDMRSQATSYAKLAESKSLNPASVNNEVLNELTNKSGLTILPVSAESARLLPNRKDTVIGSDIVLEAVLDAEVARNTKDSFSQPQNLEKLE >CDP17120 pep chromosome:AUK_PRJEB4211_v1:1:37364905:37372324:1 gene:GSCOC_T00005043001 transcript:CDP17120 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLKVDELRSELCKRGLSTTGTKPALVRRLESAVKEEEEEKGKKSRDADGDLISRKRQRDDDNGDSNDKVKATEDFQEMSVKELRKEASLRGISTTGSKKQLIERLSSDADADRKDEDHNLEEEDKSKKAKQITATRKGAAVLDQWLPDEVKSQYHQDEDIYDATLNQTNVGDNNNKFYIIQVLESDDGGRFMVFNRWGRVGSKGQNKLSGPYTSKQTAIDEFQTKFYGKTKNNWWERHEFVAHPKSYTWLEMDYSKIDQESTVQEPSASKMDRQPRETKLEARLAKFISLICNVSMMKQQMIEIGYNAEKLPLGKLSKSTILKGYDVLRRIADIIGQSDREILEQLSGEFYTVIPHDFGYRKMREFVIDTPQKLKSKLEMVEALGEIEVATKLLEDDTAMQVDPLYSHYQRLHCELTPIEADSIEYKMIEKYMKNTHAKTHSSYSVDIVQIFRASREGESERFKKFSRTHNRLLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYSSSNAHAGVLLLCEVALGDMAELLHADYNADKLPQGKLSTKGIGATAPDSSESRTLEDGVIVPSGRPKQLGTKASLLYNEYIVYNVEQIKMRYVLQVKFNYRR >CDP03642 pep chromosome:AUK_PRJEB4211_v1:1:36207066:36207809:1 gene:GSCOC_T00016071001 transcript:CDP03642 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNLGRNINLCFTNITAPSSPQSSIQAEHSRSLSSSAASVLIKNFNSLYDFAFDCTTYSKSFPHPTSATTTSDNDLSSSESYTTSSTPDFSTIFASQRFFCSSPGRSNSIIDSSSSLSSTSSSSPGIPTEPDSVVGGSIAVATYSPDPFMDFRRSMQEMVEAHDLIDVAANWDYLHELLTCYLSLNPKRTHKFIVGAFADLLVSLITSSSPDDERCRCTKSKFSDDGRKMSGMGWADVKFSRAPSH >CDP03867 pep chromosome:AUK_PRJEB4211_v1:1:34504761:34508884:-1 gene:GSCOC_T00016364001 transcript:CDP03867 gene_biotype:protein_coding transcript_biotype:protein_coding MEISDKVKKLLEDGLDDHDDSSEKISESFICCICLELLYKPVVLACGHISCFWCVHKSMSGLRESHCPLCRHPYHHFPTICQMLHFLLFKMYPVAYQRREIQIREYEKEQDCFSPQFNGPVRVPQTEQELNHTDSSQRSEISSLDLSKDPSCSGNSKVMFNMEQPESGLIDQENLKMAVGDIEATSSIVDRGDKLHQGIANGTRQPISVDDVLCTLCYQLLYRPVVLNCGHAYCESCIVTQTNETVKCQKCESRHRGQTPKVCLEFDNFLEEQFPADYALRSIIQLKQSCSQRESLSTCSSEPAKENSDLLTSSGENAFSWWGDHKVHIGAGCDSCGIYPIIGDRYRCKDCTELIGYDLCGDCYGTCSKLPGRFNQQHTPEHKFEVMRPNSMRNIMLRVLRGQLGDGSAGPNAISDVSGNSESVVLALSDDAQETAESGFSTPNETEEDQNNHQ >CDP03816 pep chromosome:AUK_PRJEB4211_v1:1:34872030:34873954:-1 gene:GSCOC_T00016302001 transcript:CDP03816 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYMCIDIFKIMIGYVDQISFNLLQFTSLSVCQKNVSAENAQSEETDIDGLCDILKKKKDSNRNSPTCISSGVKKLCAKGIGTVTGTLFVGTAENIPPGELVDTTGAGDSFIGATLYAICANMPPEIMLPFAAQVAAIKCRALGARTGIPYRTDPRLASFLVAGSQEVAAV >CDP04009 pep chromosome:AUK_PRJEB4211_v1:1:33548892:33549847:1 gene:GSCOC_T00016535001 transcript:CDP04009 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKQKIAAAILRLSIKRLTKILTLLGVEDFEAPSCRSPTTVPVDMDRLSNAFSLLELDATDDREHTTSFAAEGEYEKERFTLALISGKCLSLLYIHFV >CDP03584 pep chromosome:AUK_PRJEB4211_v1:1:36665945:36669548:-1 gene:GSCOC_T00015996001 transcript:CDP03584 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLIFFFIVHLSLQTQLISLPVFARQLPGRTLLQNPNASSPCPLDFNILRQLFQGSTRPNLDTSTQCQYIRQSLRLVLSDYLRRTNSFLPPLSSADSCWQAYQSLVNEFVPSIDIRRSCGFQTSWISEGCMGINTRAQFESTVSQSALHDVVSNCNQSLENGSPCAACTTSLSSLLASYLTGPSVGNLTDCTAYGSIYAAAFANQFGPTDKGTAKCLFSLDFEATNSSNKRKRIEVSVIVVVGVVVLVVLFSGLWFRRQRRKRKIKASERWKLSQANSGSGPAASGLDSISGSTNLVRFTLDEIKAATNNFSRENIIGRGGYGNVYKGVLPDGSEAALKRFKNCSAFGDATFTHEVEVIASIRHVNLVTLRGYCVATAPYEGHQRIIVCDLIMNGSLHDHLFGLNANKLSWPTRQRIALGTAKGLAYLHYGAQPAIIHRDIKASNILLDETFEPKVADFGLAKFTPEGMTHLSTRVAGTMGYVAPEYALYGQLTERSDVYSFGVVLLELLSGKKAIIATNDGQPVVVTDWAWSLVRNGRALDVIEDGMPEIGPPEVLEKYVLIAVLCSHPQLYARPTMDQVLKMLETDDVPVPSVPERPIPLTADIDDIQRSASSSGSGHLSTANGYQPYTLEKECSETPRNSRKPS >CDP17688 pep chromosome:AUK_PRJEB4211_v1:1:5686972:5689815:1 gene:GSCOC_T00013237001 transcript:CDP17688 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGASTIGTSQITSWRSPSDPSIGTFSAGLNLFQTPQLFVWNNSSPCWRSGPWSGTTFIGIAGMTSAYDNRLDVLKDNGFVKLANMKVPDFAKPIANSEEECRKDCLNNCLCTAYAFYNGIGCMQWSGILIDSQQLPYDGANLYIRVAYSELDAKGGINVVIASTVTVVTSVLVFCAFLCWKWIAKQKGKEQQAMVPSVEEVHKVEEKFTINFNQAKFEELPLYTYETLANATDNFQSNNKIGKGGFGPVYKGKLLDGREIAVKRLSNSSTQGIEEFMNEVVVISKLQHRNLVRLLGCCVEREEKMLVYEYMPNKSLDAYLFDANKQKLLDWRRRVIIVEGIGRALLYLHRDSRLKIIHRDLKASNILLDEDLKPKISDFGLARIFGGHQDQANTNRVVGTYGYMAPEYAMQGRFSEKSDVYSFGVLLLEIVSGRRNTSFYNYENELSLLGHAWKLWNESEAAKLIDAAIIDPAFKTEMLTFIHVGLLCVQEFAKDRPDVSAVLSMLSSEISNLPRPKFPAYTGRLGSSGKSSRERVYSINNISLTTVEGR >CDP09708 pep chromosome:AUK_PRJEB4211_v1:1:24875724:24876347:-1 gene:GSCOC_T00030145001 transcript:CDP09708 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILAEAAQSGDINALYDLLRQDPTLLDKYVEPSFVDTPANLAAAAGSTHFAIEVLRLKPPFRTKLNPDGYGPLDLALRSGKTGTVKRLVKHDPELIRVKGREGFTPLHYVAEVGDAELLAEFLEACPESTEDLTIRGETAVHIAVRNMNVRALQVLLSWLERNDGERILNWTDENGDTALHIAASTNNFEARNLFPNFFSFTLIN >CDP08933 pep chromosome:AUK_PRJEB4211_v1:1:30308187:30314209:1 gene:GSCOC_T00028070001 transcript:CDP08933 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP interactive partner 5 [Source:Projected from Arabidopsis thaliana (AT5G60210) TAIR;Acc:AT5G60210] MQTPKARNSTGETPQKISPRVVSSEVASKPSPRSVSSDVPLKLSPRVVRQLKTASQDSDSATSSCRASRTPKDRSCKVAERKSPRSPVPEKKHPSRVAELEFQISQLQNDLKRAKDQLVSSEAWKKQAEQDAEESKKQLLEMSLKLEKSREQVLKKSGSEEALLIQPQKITEDQDSLLQSQLEAIKKQHSLDSAALASAVNEIKELKIQLESVAESEAARTKHAELSQIELNSLKQNLTETLAVMEDMKNELKDCKESEAQARVLVGETLMQLETAKRTVECLRSDGVKAVEAFDSIASELEQSRARVNLLEGIVSELKADTKIVDGYGSQTAGDQEITFGTEEKANLGNSNEAELNSLKSEVEQLKSALEASEIRYSEEQSRNAAEIKSAYKLVEQIKSSSIDKEAELEAELQKTIAEVEELKADLMDKETELQGICQENEDLNLRLENFLSSRTSYELEKEIQKAMESIEHLKANLMDKETELQNIVEENEMLKSEIKKKEINKGKVNDEIVDELESARAAEREALMKLGYMTEEVDKSNRKVARVSEQLEAAQTANSEMEAELRRLKVQSDHKYSPRSGRISSPYSDDGDDDLLKRKSPNMLKKIGVLWRKPQK >CDP04032 pep chromosome:AUK_PRJEB4211_v1:1:33398761:33401895:-1 gene:GSCOC_T00016560001 transcript:CDP04032 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDNNANTYEEARKQRVLDNKKRFEDLGILSISKSLSDVSKSEKKSKRSLSRPKPNDVYMVEPRRSSRARTQVTSYRDDVDVDLPRMRKRSKWSSSWASYLARPMEEVRAASYEERSRARLSAEMLQSNLQLENPSFIKSMVRSHVYSCFWLGLPSSFCEDHLPKSTVDMVLEDEDGLEFEAVYISKRSGLSGGWRAFALEHKLDDGDALVFELIEPTRFKVYIVRAVSCSTQEEGGSDAGERPKEKTKQRKKDNTRSKDSIRPEEDASSKASGRRRSSRLK >CDP09277 pep chromosome:AUK_PRJEB4211_v1:1:17781827:17784466:-1 gene:GSCOC_T00028563001 transcript:CDP09277 gene_biotype:protein_coding transcript_biotype:protein_coding MINLSLPANSDICGDRGKYNYYSAIYLGKFVEAIHYYSALFDSLGACYGEESEERQVVEQQLLSREIRNVLAVGGPSRSSDVKFNNWSNLTGASVSSPIKGAGLPCNGTFSTCFLEGSMESEGEMGVEDNGTLKLGWRDLCLLTASEWRPS >CDP16598 pep chromosome:AUK_PRJEB4211_v1:1:6825836:6827352:-1 gene:GSCOC_T00019027001 transcript:CDP16598 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWLFDPAVFDKYPDSMRRLVGNRLPEFTLEQATDLIGSFDFIGMNYYTANSVENSPPTRTTFYNPESQAICHTVTNISPLIILQAGSSWVYSLPQGLVKLLHYVKEKYNNPILYITENGIDEVNDENLSMWEALYDHDRVNYYRVHLEALKQVISEGANVKGSYAWSLTDNLEWASGFDSRFGLNYIHFGRELERSPKLTAGWFKFFLEK >CDP15583 pep chromosome:AUK_PRJEB4211_v1:1:26261034:26262249:1 gene:GSCOC_T00015481001 transcript:CDP15583 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCITAHGQQQHTAAHSAVVTPATSPIVLPLGHSLSSFSAAVAVAAAAEPPGNNGCLLFIVGDSIITC >CDP09241 pep chromosome:AUK_PRJEB4211_v1:1:32769249:32772643:1 gene:GSCOC_T00028483001 transcript:CDP09241 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEVSRQISLFSTQMQNRIFGDGTIAILESLLASKDVKSSLEIRSALKEFMRHESLSIIREITEKSVENKLLIADFLIRAFALIGDVESCLALRYEALLLRDEKACSDTRLEVSYHEWLTLAEQLFDNGFYSAATKTCERALLCIQMDNGICTEDDDCFNCEHAFENIKRLKDAAIILAASQTVQVQASEYMKKKINQQLKQQESICTETYSSGSTLFRNGIKRRNLRKLQEHQCL >CDP09170 pep chromosome:AUK_PRJEB4211_v1:1:32172450:32176251:1 gene:GSCOC_T00028387001 transcript:CDP09170 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G07290) TAIR;Acc:AT1G07290] MSSEIKLESIVCKNGEEPALNHLDGDGSFSHRKNEVHKIQDGFRSHGDWSVSDSPTTAERRAAASHRFFKGNRAALGEIVSLLFNGNEKQVHGFGRKSGPLVAGTAYCLSSCSMILLNKIVLSSYAFSAGISLMFYQNFISSLVVVVLGLCGAVSVEKLNWKLIKVWIPVNLIFIGMLVSGMYSLKYINIAMVTILKNVTNILTAIGEFYLFRKRQNHKVWTAMFLMITSAISGGVTDLSFDPTGYAWQTLNCILTASYSLTLRRVMDRAKQLTKSGSLNEVSMVLLNNSLSLPFAVLLILVFNEWDYVINATVVRMPMFWAVATASGLLGLAISFSSMWFLNQTSPTTYSLVGSLNKIPISIAGIIVFRVPVNFPNFFSILFGLFAGIFFARAKMS >CDP09235 pep chromosome:AUK_PRJEB4211_v1:1:32698584:32710742:1 gene:GSCOC_T00028475001 transcript:CDP09235 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSNSQSSIQDDDDQEEEALLSVFLYLFLSYHKTKRDIYFSLVKFLQIEHFDDFTLASSWERFISEIEAVCRQWLATGPKNLVKKDAVCLDFSKNIYKVRSELKYAMKNYSMEYYFEPFNDGKVADWISTSHDLQQSFGVKDFLVIAPQSASGVVLDAPEASKLLSAVSIAMSNSSSLWPAFVPVHDPSRRAYIGIQNMGTIFTRRFEADRIGSQVPIKLMHLEGLYELFVSKFAYSTVDLSMHLFKVHFRMKLTYRTLPTDDDPEVQQESEFLESGGHSEFETHNKIQWDDDCPWSEWYSAEDPVKGLELLAIWSERTVDSSLDMAELENASPLEADKWFIFPNLSPHLESSDGSTIGFASQLRLLVNALDSSLGAQFMEDFVSVENSGSDNMKSSAVIPPPTVLDRVLKDLFHEDAEVKLDFADAENKNSRAIKGAPLESPFAQFCLHALWFGNCNIRAIAVLWIEFVREIRWCWEESQPLPRMPTNGTVDLSTCLIYQKLHMLAICIEKKHQENKEHPDVGDKKTSPYIEGKAQITKQSSSLLEVTEDSSGERDSLTARNDLGSHGVATSLSNSEQQNFASPTDTKLSEYIRMGSAGVVGSTMLLNSCQQMHAPFTQDPPLMTEDMHEERLKAVEAFGDSFSFSAQLEKEILSSDMSAFKAANPDAVFEDFIRWHSPRDWENDDNGELAVSQAKAGEVSKNEWPPRGRLSERMSDHGSSWRKIWNEAPSLPAFKQKPLLDPNREGEKVLHYLETMRPHQLLEQMVCTAFRAAADTLNRTAFGGLKLMTSKLGQLCLTMASTLKSLQRNSLSVDSEIIEDLTRLCVIFEHVEKLLTVAASLHRKFLQAPRLSEAIFSDFYNFYLPKMGTGSGSHDIDKSQEFTKKQQIMAREKEVVASMFTPPTANQSWRKVLSLGNLLNGHEPILREIIFSKRDHVSGGYYAGSYAGGYPQEIETYRMYLCGTSNDLRVALAVASCD >CDP03837 pep chromosome:AUK_PRJEB4211_v1:1:34698051:34699092:1 gene:GSCOC_T00016329001 transcript:CDP03837 gene_biotype:protein_coding transcript_biotype:protein_coding MARWFVMLFVLALVVAQTGAARDIPNDKGGLADQKNFFNYGGVGGFNGIGSNGLPFGGVGGAVGGGGLGGTGGGLGGIIGTGGLGGLGGSGGGVVPVGGVVGGVVPGGGSGNLPSP >CDP14424 pep chromosome:AUK_PRJEB4211_v1:1:10545664:10547685:1 gene:GSCOC_T00040852001 transcript:CDP14424 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTGNFVLKGRDNSSLWESFSFPADTILPLQELETGSVLNSRHSETNFSQGRFSLRFLDNGDLVLTARTLPNTTYDDVVYYDSQTSKPTNTSNSGYRLVFNRSGIISIVTSNNQTEQIGSPTLFPPVSEDYFRATLASDGILASYYHPRNSTGNQNWTVLWSKPDDICETAAAATGSGACGYNSICNLVNGRPVCECPDGYTLLDPNDKYGSCMPNYTQSCDEVEQGTAGELYDFVVINGADWPFSDYQVMTPSTEVGCREACLHDCFCAAAIFRNNTCYKKKLPLSNGWNSGVLNPVALLKYRKTCSGFYLIYKKNIVKSHPNGETNLRYFTYKELVEATNGFKEELGRGSFGIVYKGELQISSKSSAIAVKKLDRVAQDTEKEFRAEVNTIGQTNHKNLVRLLGFCDEGQNRLLVYEYMRNGTLSSFLFTTPKPSWKLRTQIAMGIARGLVYLHEECSTQIIHCDIKPQNILLDDYYNARISDFGMAKLLVMNQSRTLTNIRGTKGYVAPEWFRNTQVSAKVDVYSFGVLLLEIICCRRSVEDIESVEEGYAILTDWVWDCFQERRLDTLVENDSEALNDKTMLERFVKVGIWCVQEDSSIRPKMRKVSQMLDGIVEVMVPPCPSPFAS >CDP03514 pep chromosome:AUK_PRJEB4211_v1:1:37172660:37175649:-1 gene:GSCOC_T00015911001 transcript:CDP03514 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKLEYKGEKALKELEKLTANAAEVQEEVLKMILTQNKGAEYLNKYMTGVESKSQVPHFKRCVPVTTYKDVRPYIQRIANGDNSNLITSQPVTEMLCSSGTSAGEPKLMPSIEEDLDRRTFLYNLIMPIINQYVGGLDEGKAMFLYFVKAEMSTPCGLPARTVLTSFYKSQHFKNRSHDPYNDFTSPDQTILCYDSNQSMYCQLLAGLVFRHQVLRLGAVFASAFLRAISFLERNWRKLCQDIRTGKLDHAMITDAQCQSAMYSRVLLRPQPLVADEIESICSSKSWKGIVRRLWPKAKYIEAVITGSMSQYIPSLEYYSDGKLPLVCTMYASSECYFGVNLKPFCKPADVSFTLLPNMGHFEFIPLGESATWSIDLDEEEEEEEEVPPNKLVDLVHVRVGCYYELVVTTFAGLYRYRIGDVLQVTGFHNQAPQFRFICRRNVVLSVDNDKTNEEDLHKSITAAKKLLEPYNALLVEYTSCADASTVPGHYVIYWEIAYNNGLVDEAFAIDPTVLQECCAAVEERLDYTYRRCRTLDKSVGPLEIRIVEAGTFESLMDFFINQGASINQYKTPRCIKSKAALKLLNSNVKASYFSSRDPCWNP >CDP17569 pep chromosome:AUK_PRJEB4211_v1:1:1166187:1166906:1 gene:GSCOC_T00011055001 transcript:CDP17569 gene_biotype:protein_coding transcript_biotype:protein_coding MKELNSVSDPIGQNLIKFISNVCFSVFVFSVLIVTVIAITYQPPDPWESSRALTKVFTQVENATFKTDTYVLKTGEDIAESPRLAPAGASVSITEDVIERTEADLKNVSLKSGCEDTGVINCSDPRVLITIERFNLRAFRSLAFLDYEHPVNGSAPNECDVAWRFRNKKEKSWRKHRDFRRFMIGFKDDCSYKVIHAGRWHSGLNACRTRIRTNATRSGLRNKTAPVVRDDEINDTLPM >CDP07081 pep chromosome:AUK_PRJEB4211_v1:1:29427419:29429071:1 gene:GSCOC_T00024188001 transcript:CDP07081 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLCLCLLICLFLQCPFSYSWKSLTFLDLGSNLLQGPLPASLCNLEKLQYLLLSDNKLTGTIPHCFGNISTQLTVLDLRRNDFHGMIPTTFPERNELRNLGLNGNQLEGPLPRSLINCKSLEVLDVGQNHITGPFPDWLGTLPELRVLILKSNRLQGPIGNSSNKSSFQKLKILDISYNNFTGYVPAKMLENFRAMKKNGSETSQRQYMGDGSYYLDSVTVIMKGLEYQVKRILTVFTTIDLSGNQFEGNIPKSIGDLNSLVVFNLSHNNFNGLIPQTLGDLSELESLDLSYNQLEGRIPPEVCNLHFLEALDLSQNHLVGPIPSGGHFNTFPNSSFTGNPGLCDFPLKDCGEVDGPQPSPWRVSHHGDLLDLTSGFTWKPVVLGYCFGMILGVAIGFLMFSTRTPRLFVAMVEDVCKRSKRPRRVLYVPLAQGLSKRSKRPQMT >CDP09245 pep chromosome:AUK_PRJEB4211_v1:1:32790447:32792916:1 gene:GSCOC_T00028487001 transcript:CDP09245 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSLEPTVTCHVVAMPFPGRGHVNPLMNFCKLIAASPPRNTNTLITFIVTEEWYGFLSSDPRPANIRVVTVPNVIPSEIGRAKDWPGFIRAALTKLQAPVEQLLDRLEQPKPNVIVHDTYLKWMVGVGNRRNIPVASFWTQSATVFSIFHHLDLVLQNGHFNFNLAEKGKEQVNYIPGVPEMRTSDLPTPFFSARGQEVLDDCVEGVSLVSKAQFLLFTSTYELEGSVIDALGKEFAFPIYSIGPAIPCFNLNGNAATNAAGGDEPEYVQWLDAQPTNSVLYISQGSFLSVSSSQLDEIAAGVHDSGVRFFWVTREDTSHNPKRGDTKGIIVPWCDQLRVLSHNSIGGFWSHCGWNSTKEGIFSGLPMLGFPIFWDQTTNAKQIVEDWKIGWRVKKDDESLITRQEIARLLQKFMDLESDEGREMRRRAKELQVICQQATEKGGSSKSAIDAFMEDISCHIR >CDP09692 pep chromosome:AUK_PRJEB4211_v1:1:24513622:24515784:1 gene:GSCOC_T00030122001 transcript:CDP09692 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCDASSKKIQVRKTLSSSKKIPVKSIKSPDGDIIDCINIYHQPAFDHPLLKNHTILMRPSLQPRKGPIGGGELFQSNAHGQEDKKPIAQLWQLGGRCPEGTIPIRRNQKARYAQKEHRNFPQLAGFSNHEHAFAYVQSNKYLGAKATISLWQPQVQGSGEFSLAQIWVLAGANSDLNTIEAGWMVFPSLFGDSNTRLFTYWTRDGYQTTGCYNLRCPGFVHTSNSIALDVALSPVSTYHGAQHEIILQIFKDPKQNVWWLQHGNDDVIGYWPASLFTDLADSASLIEWGGEIINNAQGGQHTTTQMGSGHFAEERAGGASYFKNLQVVDQSNTLVPPGDITAVAEKPNCYTIVSGKSDDAGDYFYFGGPGRNPNCP >CDP08509 pep chromosome:AUK_PRJEB4211_v1:1:22721686:22724087:1 gene:GSCOC_T00027441001 transcript:CDP08509 gene_biotype:protein_coding transcript_biotype:protein_coding MKGALVLPLLILVCLVASVQCFAGKKHDPLLKHLKARRSSKRSVNYVTEELGNEYSPVYVGPQEGLKEADRITTLPGQPNAVNFDQYSGYVTVDPKAGRALFYYFAESQNSSAKPLVLWLNGGPGCSSIGAGAMTELGPFRVNKDGSTLWLNPYAWNTVANVLFLESPAGVGFSYSNTSSDYITGDTKTAADSYTFLVNWLERFPEYKTRDFLITGESYAGHYVPQLAQLILHNNKITNQTVINLKGIAIGNPYVDIETQGSGTYDYYWTHALISDEIHQGIFSNCNFSSENTSDACQTYEDQADSAIGNIDDYNIYAPLCSSSSNTPTTISEFDPCSDHYVYTYLNTPQVQKSLHANTTVIPGPWDSCNDYIHLNWDDEPDTVLPTIKELTESGISVWIYSGDTDSVCSVTTTKYALNKLGLSVKNPWYAWYTQGEVGGYAVEYENLTFVTVRGAGHFVPSYQPSRALTLFSSFLAGKLPPSN >CDP07099 pep chromosome:AUK_PRJEB4211_v1:1:29677248:29685070:1 gene:GSCOC_T00024215001 transcript:CDP07099 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWLYLIRSNRFGLQYSRKRYFVLQDHLLKSFKSIPPAHDEDPLRSAIIDSCIRAADNGRESIQRRVFFIFTLYNTSNHSDQLKLGASSPEEAARWMHSIQEAALKVDQNQVVIDSSEPDAKSLRLDCSSKTHYSNSIDWTLRSSRVIDAMTSDVVAPSPWKIFGCENGLRLFKEAKDRESHAKWDDHPAIMAVGVIDGSSEAIFQTLMSLGPSRSEWDFCLYKGSVIEHLDGHTDIVHKLLYRNWLPWGMKPRDLLLRRYWRREDDGTYVILYHSVFHQRCPPQVGYVRACLKSGGFVISPVNQGKQSVVRHMLAIDWKFWKSYLQTSSARSITIRMLGRLAALRELFRAKLGNNSVSDFSSGELTKESRLHQIGEGLRIEVPTRLETRKSIDDAEGEEVVKTPSEHASLLGLNDAADEFFDVPEPLDYDQSENGWSSDFSSETYSQDTRTPKLSTAAVFVKKLHDLAVQKRGYVDLQEMAREDSMSCNYGFTLPKDQTCNLLSSWTETDPSTFLIRGKTYLDDHKKIKANGTLMQMVAADWLRSDKREDDLAGRPGSIVQKYAAKGGPEFFFIVNIQVPGSTTYNLALYYMMSSSLEDAPLLERFVKGDDADRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFHGKNYIELGVDIGSSTVARGVVNLVLGYLNNLVIEMAFLVQANTTDELPEFLLGTCRLNHLDASKAIPVKH >CDP15756 pep chromosome:AUK_PRJEB4211_v1:1:15653607:15661932:-1 gene:GSCOC_T00015816001 transcript:CDP15756 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLMLSRLLLLSTLFSLLCEELVVSKECTNTFPELSSHTFRYELLTSKNETWRNEVLAQSHYHLTPSDDSYWAKLLPKRMVRQEDQISWMMLYRQIKDYGGNNNGNAGGFLKAVPLKDVRLDPSSIHGMAQQTNLEYLLMLDVDRLVWSFRKTAGLPTPGQPYGGWEAVNCELRGHFVGHYLSASALMWASTNDNTLKTKMSAVVSILSDCQKQMGTGYLSAFPSEEFDRFEALKTVWAPYYTIHKIMAGLLDQYTFADNDQAFKMLNWMVDYHFNRVQNVILKYSIQRHWSSLNEETGGMNDVLYRLHAITGDQKHLVLAHLFDKPCFLGELAVKADDISGFHTNTHIPVVIGAQMRYEVTGDPLYKEIGTYFMDMINSSHAYATGGTSVGEFWSDPRRLASTLQTENEESCTTYNMLKVSRNLFRWTKDIRYADYYERALTNGVLSIQRGREPGVMIYMLPLKRGGSKAQSYHKWGSQFDDFWCCYGTGIESFSKLGDSIYFEEEGKVPGLYIIQYIPSSFQWRSGGFLINQTIIPVVSWDNRLRVTVAISSEQEAAVVSTLNLRIPTWTSTNVAKAILNSQDLILPVPGNFLSVTRKWSPGDKVTLEFPLSLRLEAIKDDRPEYASLQAILYGPYLLVGLSSGDWDIKTEPGASLADWITPIPADYNAHLISLSQVSGEAEFSLTKSDDSIQLQKLPEPGTNDAVGATFRLILKEPSDVFASPEDAIGKSVMLEPYGFPGMLVENQGVDETLRVVPDSSNDKDAAIFHLVSGLDGMDGTISLESENHKGCYVSSGLNDGSNNITLSCKSGSSNADFSQAVSFKLDKGISEYHPISFVAKGTDRNFLLAPLFSLRDESYTVYFNIQ >CDP03933 pep chromosome:AUK_PRJEB4211_v1:1:34063798:34067029:1 gene:GSCOC_T00016443001 transcript:CDP03933 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGKQKFLKTVRCLQLITTLFIYHVFADDAAIMAELAKGFSNAPPGWSGNTPCKWTGVQCDSSGRVISIILISKSLSGKLPSGLNKLSSLQSLNFQRNQLLGPLPSLSNLTSLREVYLDGNNFTSVPPDFLMGLTSLQTFSIGENLNLPPWTIPNTLADSTALVSFIANKANIAGEIPDIFGSFPSLQSLRLSYNNITGGLPPSFAESGIQNLWLNNQIAGLSGGIDVLGAMSQVTQVWLHVNKFSGPIPDLSGCKSLFDLQLRDNQLTGVIPPSLSSLPELQNVTLENNLFQGPVPKFPAGVQVTDGSSDGKNSFCNTSPGPCALQVTILLQVAGALNYPSALAESWKGNNPCQNWRFIVCDSGSVSVINFGNQNFPGLISPAIANLTSLRTLDMHANKLTGSIPATLTSLTKLKVLDVSNNNLSGKIPEFAPGVSVKTSGNPFIGIELPPSFPPGNASSGPIDKAKASVSPWIIVVIIVVAVIMVVVLGFIVYRRYYVKKQSREYKSAKGSKNGKTSLEGDSDAISSDLPSQMDTENYDFHFSDGISVAIPIEVLREATNHFSEQNILGEGGFGIVYKGQLHDGTQIAVKRMESSFMNKKGLGEFRAEIEVLSKVRHKNLVALHGFCVNGNERLLVYEYMPQGTLGQHLISWQERGTLPLTWKQRLSIALDVARGVEYLHGLANQSFIHRDLKPSNILLGDDMRAKVSDFGLVKIAPDGKHSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVILMELITGQKALDDAQPEEKAHLVTWFRRVIFNKDEIRSSLDPSLRPDEETFKSIWKVAELAAHCTARDQHQRPIMGHAVNVLSPLVEQWMPGSDDEEEDSFGIDLNMSLPQALQRWKANEEMSTNSDLLTDTSPEFTSTPQLPSRYTSSFS >CDP09267 pep chromosome:AUK_PRJEB4211_v1:1:17151347:17151870:1 gene:GSCOC_T00028531001 transcript:CDP09267 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGKTRVGRGGPQSWPDLEVLPLAWLTDPGSSRGPHRKCHLNYNEQITQVWLSRFVNS >CDP03833 pep chromosome:AUK_PRJEB4211_v1:1:34715076:34721090:-1 gene:GSCOC_T00016325001 transcript:CDP03833 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCVPDFEMDDDYGIPTSSSINASTTARPKKPAIGEEEIMELLWQNGQVVFQSQNQRSFKKSPNRGGEPDQLHQSGASREIPSILENDNLSAAAPPQHQQQQLFMQEDEMASWLHYPLDDSSFDRDLYSDLLYPPPPSASTTTTMTPTPSVPPRELRTTIVEIRPPPAVIPPRPPVPPPSKGTYPIEAAAPRLQNFMHFSRLPKSRNESGYTRELTVVDSNETQTTVPESRVPEGDVRCGAVSCTAAAATSAAARDLATTACELTVTSSPGASGGSVSATAEPHHRPPTSIHKPSTSGVEDRKRKGRETEETDCPSEDIEFESADAKRQARGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQLMSMGCGMLPMMYPSVQQYMPAMGMGMGMGMGMGMDLGMNRPVMPYPSFIPGSGMPSPAVAANMGPRFPMPAFHMQPVPVPDSSRIQASNQLDPMLNSLVTPNPNQPRVPNFADPYQQFIGLHQAQIPLPQAKSSGSTAQQAE >CDP09646 pep chromosome:AUK_PRJEB4211_v1:1:23734793:23735260:1 gene:GSCOC_T00030046001 transcript:CDP09646 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYTRASHSPSFSTCCSFKGLEGDKFQLEILYAGYRYQNGLPSFDDEFLKHG >CDP17563 pep chromosome:AUK_PRJEB4211_v1:1:1101782:1106658:1 gene:GSCOC_T00011049001 transcript:CDP17563 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRLPPSVAERIDRLLSENASSSSDDKSLDLTFSEDGRSGTFSIGNDHFPAFLLDLPGIVESYKTYDDSVLIKSADIGQMIMVKEEGDSVPDEVEYRHGLTPPMRDARRRRFRREPDLNPELVRRAEKDLQNIMAGGTAENIDILPVILAFRT >CDP07033 pep chromosome:AUK_PRJEB4211_v1:1:28991789:28995275:-1 gene:GSCOC_T00024121001 transcript:CDP07033 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRAFMKKHYSNNNNSSSSSCSSSRQVNNGSSASPERAKVWTEKSPKYHYHQPRDSRVAVVYYLCRNRQLEHPHFIEVPLSSPDGLYLRDVVERLNVLRGRGMASMYSWSCKRSYKNGFVWHDLCEDDLILPAHGNEYVLKGSELFEDSNSGRFTPAGNVRLQLPKALPEPPSSRSQDDSSSSSSMNERATKHSQDDELSPPVQRPGSSAVSPESSVGKNSSWNGSLSLAEYKVYKSDGLADASTQTDENGNRVNKTRETCTRGVSTDDGTSEPESGATQPRDSQVKETSEICRDRDYVSPPPSSSSASSSGARTDTLESLIRADARKFNSFRILEEEEFRMPSSTKFKASNMLMQLISCGSISVKDHSFGLIPAYKPRLTGSKFPSPFFSSSFMLGELDCLTENPRFIGLRLEDKEYFSGSLIETNARKEGVATLKRSSSYNADRSNKQFDLEEDKEETSTTQSKCIPRSIKASLSKQPRSESMRSPLSDGPRISSDGVESSRSISSNVSNGGSKRHTEPSSGNNQSRRTDSFRGEKENVIKIEES >CDP17024 pep chromosome:AUK_PRJEB4211_v1:1:38110317:38112011:1 gene:GSCOC_T00004923001 transcript:CDP17024 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGTSISFKDQFTFEERCAESQEMMAKYPDRLPVVVEKYLKTDLPDMEKKKFLVPRDMSVGQFIHVLSGRLHLAPGKALFVFVENTLPQTSSLMQFVYESYKEEDGFVYMCYSSEKTFGCDL >CDP06868 pep chromosome:AUK_PRJEB4211_v1:1:27294934:27296241:-1 gene:GSCOC_T00023900001 transcript:CDP06868 gene_biotype:protein_coding transcript_biotype:protein_coding MANMAIASNIMKRLQGKVALITGAASGIGESAARLFVKHGAKVVIADIQDDLAKKVCQDLDPSSASHVHCDTTQESDIENAVNTTVSKYGKLDIMFNNAGIAGSGTSNILDDKKSDFERVISVNLVGVFLGTKHAARVMIPNRCGSIINTASSCSTLGGGAPHAYVSSKHAVVGLTRNTAIDLGRYGIRVNCLSPYYVPTPLAADVVERIYGGVSKVYKFLDGAELKAEDVADAALYLASDDSKYVSGHNLVVDGGYSIVNSAVCMFENSQK >CDP03921 pep chromosome:AUK_PRJEB4211_v1:1:34145169:34146445:1 gene:GSCOC_T00016428001 transcript:CDP03921 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRLPDPTACQFRRFHHHHHPLPHHHHYYHLLPQCPLHSYMLGFNNYHSPACRASARSHPPSQNHPQTALSFYPPQTTLNSQISGSKLSEFSTQGVAHKEDEELEEEEHQQLYEEEEEEDEEQDPVFVLTDEWREFFANSEAKRRLAKKQSRKEGKNRMEQ >CDP03583 pep chromosome:AUK_PRJEB4211_v1:1:36673600:36677477:1 gene:GSCOC_T00015995001 transcript:CDP03583 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKETVCSPDTVLEDYLRNLESETDSSKASTSDLGAPKDPKPSSRWAEFLQLFKTKSKRHLSTFHPLKLSKRFSSSLREEIILVPDPMLDTSLNYFKPQWKNFALSDLQTATKSFSQECLVGKGGYAEVYKGSLRDGQLVAVKRLTRGSMEERTGDFLSELGIMAHVNHPNTAKLIGYGVEGGFYLVLELSPHGSLASLLHSAKEKLKWNIRYKIALGTAKGLLYLHESCQRRIIHRDVKAANILLTQDFDPQICDFGLAKWLPRQWTHLTVSKFEGTFGYLAPEFLMHGIVDEKTDVFAFGVLLLELITGRRALDYSQQSLVLWAKPQLKKSRIRELVDPSLAEYDPIEMNLMVLAASLCVQESAIRRPRMSQACLAASERQLW >CDP07149 pep chromosome:AUK_PRJEB4211_v1:1:30172653:30176851:-1 gene:GSCOC_T00024279001 transcript:CDP07149 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDIL5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) UniProtKB/TrEMBL;Acc:A0A178WDX4] MSKVVHLLLLMFASFFLLNPIINSKAEVITLTADTFNDKVNEKDTAWFVQFCVPWCKHCKNLGTLWEDLGKAMEGEDEIEIGQVDCGTNKEICNKVDIHSYPTFKLFYNGEEAAKYKGARDVESLRLFAVEETEKAATKAQLDSDQEL >CDP14083 pep chromosome:AUK_PRJEB4211_v1:1:10848271:10849653:-1 gene:GSCOC_T00039277001 transcript:CDP14083 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSVSVDDLFSRLHPCFPVQTRIAIVGAGPSGLSAAYALSRLGYCNVTVLEKYQSAGGMCESVDIDGRIYDLGGQVLAANSAPTIFHLAKETGAELEDLDKDIFALIDSRGGTIRDMKLVDDYVSMISLTLKLQDEAKETGHLGVHGVSKIASDLSPAFLRNHGLKSFPKSVSYGFTASGYGFVEDMPYAYVHEFTRTSMAGKIQRFRGGYMGFWQKLCERLPVEFCWNTEVISVRRNSDGIILNVKDENEIVQVMEFDQIIISGAFPFKSGKIYRSPSTNLPGLLN >CDP20989 pep chromosome:AUK_PRJEB4211_v1:1:27011307:27014813:1 gene:GSCOC_T00006216001 transcript:CDP20989 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKYGLQLRVKPSQQKKLPPRPPLPKSLGFRDDDDDDNRVEAEISRQAYKNKSLRDVEEQHKKALEEDPSAFDYDGVYDQMKHEVARPVANDRQERKPKYITTLMEKAKQREREHEIVYERKLAKERSQEDHLYADKDKYVTSAYKKKLAEQAKWMEEERLRELREEKEDVTKKTDLTDFYFSLGNNVAFGGGKAEFTKPKKQSDEPVNRLPEEVPPLPNSEPESTKEIKRHENESQRSPREQSNDGDANPSSEASEHEKAKDEPSVSEQPRDNHHKRNQDALAAAKERFLARKMAKTN >CDP07131 pep chromosome:AUK_PRJEB4211_v1:1:29998357:30000768:-1 gene:GSCOC_T00024258001 transcript:CDP07131 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVKKKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARFAPIPSANLNFRNELFNGGLSSLQPAGNPQFSNSIAGLDPATGQLNLNGQKPRLPLWLDNANTQLNNPIGNHPGNSNAFLASSSTSLPELVQMTPTNMLGLSSQNQWFNIGGNASSSGLPRVLKEEEENRGNLSDHISSLYYNNGQNSSQHETAPAHMSATALLQKAAQMGSTRSNSAIFGTGFGLMSSSLSSLSNFNSLNQSRNELQNFGQAENLNGLMTSTSQSTMTTNQGDGLLLGNMSSSNNLVGNLRHPSAPPTIMPGSTDRGGSQSKSSGNEAEGGLTRDFLGVGGNESRPFLQQNELVKFASSMSSAMDFGNQ >CDP09033 pep chromosome:AUK_PRJEB4211_v1:1:31194117:31195446:1 gene:GSCOC_T00028207001 transcript:CDP09033 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPQFLYRLLLLWLLLTTFQHHFTFTSKVQAAKSADLKISPAEFNSRSKMGHTASNFANEKKTRKAPSGPNPSGNHLPPSRP >CDP09278 pep chromosome:AUK_PRJEB4211_v1:1:17805065:17810657:-1 gene:GSCOC_T00028564001 transcript:CDP09278 gene_biotype:protein_coding transcript_biotype:protein_coding MAISIPLRRLSRSFSAIASNPLRVCVVGSGPAGFYTAEKVLKKHERAEVDIIDRLPTPFGLVRSGVAPDHPETKIVTNQFSRVVQNEHCSFFGNVSLGSSISLSELRDIYDVVVLAYGAESDRVLGIPGEELKGIYSAREFVWWYNGHPDCSKLDLDLKSTDTAVVLGLGNVALDVARVLLRPTMELTSTDIASHAVAALEESCIRKVYLVGRRGPVQAACTAKELREVLGIQDLYVHIDEADLVKTPADEEELKNNRIHRRVYELLSRAASSGVASGSGRRELHFVFFRKPDRFLESEDQGGHVAGVRFEKTIVREELESGKQIAVGTGQFEDIECGLVLKSIGYKSIPVDGLPFDHQKGMVPNVGGRVLSDASGDEMQFEKGLYVCGWLKRGPTGIIATNLYCAEETVASITEDVEKGLFTRTPSSQQKPGREGLLQLLDSRDIRFFPFGAWEKIDQEETRRGLLKNKSREKLTEWLELLNIASA >CDP03588 pep chromosome:AUK_PRJEB4211_v1:1:36601891:36602703:-1 gene:GSCOC_T00016000001 transcript:CDP03588 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLSILQHSTCPENVVFHFLWVRHETEVYSNIKSTFPYLNFKVYRFDSSRVRGLISKSVRQALDQPLNYARVYLAEIIPAEVKRVIYLDSDLVMVDDVGKLWGVDLEDKVLAAPEYCHANFTNYFTEAFWADPELPRIFQGRDPCYFNTGVMVVDADRWRRGNYTQKVEEWMAVQKQKRIYRLGSLPPFLLVLAGNIKAVDHRWNQHGLGGDNIEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCNVDHLWAPYDLYRSSKHSFED >CDP21167 pep chromosome:AUK_PRJEB4211_v1:1:26996698:26998587:1 gene:GSCOC_T00010703001 transcript:CDP21167 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLIASHIVKPARPIPRKVMYLSECDQCKPLTHATTVHFYKPENPELLKDATRVLKDSLSEALAAFYPLAGRLYQKDGGRVELRCNSMGALLLEAQSELKIEDFGDFCPTPQIRALIPPIDYNNTPLHEVPLLLVQITKFACGGVSLGSAVSHVIVDGQSGCHFVAEWAKIARGEKSDDQPYLDRTILQQYEECPSSIAPKLQYPDFYPLPVLIGQSSSLEERKKATTCAMFQLSKEQIEQLKNNVNNHHDLVHKTSNHPPFSRFVAVSAHIWKCLSKARMHSPDQETVLYVTVDFRNRLKPPLSGRYFGNAVLPVPARAIAGDLQSRPPSYASSKIKEAIDKVTDEYVRSYLVCMKDMPEVSSSRHFHTVGCAQGLFFGNPNLLITSWVGLDVYKVNFGWGEAISMTPGSLGYDGRLFLIPGPNGDGSLIIPLRLQVEHINAFKKYFYEDI >CDP03641 pep chromosome:AUK_PRJEB4211_v1:1:36216489:36217391:1 gene:GSCOC_T00016069001 transcript:CDP03641 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFNESGVQVADSSPASSNSSKASQNLNLMGQGVSIGIRSSTNECVCKVDIKPWLFTPRKGFRSSEADSTAIDIYWDFSSAKFGFGPEPLEGFYLAVAFNQELVLLLGDLQKEVYKKIGTSPVASNTVFIAKREHIFGKRVYTTKAQFCDHGPIHDIEIECDTGGINDPSLAISVDSRAVLQVKRLRWKFRGNETILVDGLPVEVYWDVHSWFFGNVMGNAVFLFQTCLSAEKLRNSHSTVSSNPSVFSRSGSQKSGSRGEGFSLILYAWKNE >CDP08491 pep chromosome:AUK_PRJEB4211_v1:1:22282681:22291591:1 gene:GSCOC_T00027416001 transcript:CDP08491 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSALSRLSNLNNHINPTKKGGSEDGFGGLIKISPEVSEALSLGKAVVALESTIISHGMPYPRNLEVAKEVEAVVRDNGAVPATIAILDGTPFVGLSSEQLEMLAKLGKKVRKTARRDIAHVVATHGNGATTVSATMIFASMVGIPIFVTGGIGGVHRHGENTMDISSDLTELGRTPVAVISAGVKSILDIPRTIEYLETQGVCVAAYGTDEFPAFFTESSGCKAPCRVDTPEDCACVIDANTKLNLKTGILIAVPIPREHSASGNLIESAIQRAIQEAREKSIIGNAETPFLLARVNELTAGASLAASILLDYWIWVEF >CDP15613 pep chromosome:AUK_PRJEB4211_v1:1:26703276:26706204:1 gene:GSCOC_T00015527001 transcript:CDP15613 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNQEVSNTVGKPIHCRAAVARKAGEPLVIEEIIVAPPKARELRVRVLCSALCFSDIHFWRLKEPHGYYPRIFGHETVGVVESVGEGVQDVKAGDTVIPSFLAYCGECPDCTSIKSNQCSKLRFELSPYIRDGTSRFSDTKGETIYHFGYTSGFSEYTVVDITHVTKVDPALPASRACLLGCGVSTGVGAAWKTADVEEGTTVAIFGLGVIGLAVAEGARLRGAKTIIGVDLNPDKVEIGKKFGITHFINPRELGGKLASEVILEMTDGLGADYCFECVGLPSLSQEAFTCCRKGWGKTIILGVDKPDSQFILNSLVNNHSGKTITGVQYGGLKPNLDIAILAKRYLDKKLQLDLFVTHEIKLEDINKAFKLLIEGKCLRTVIWFDQGRARADGVTFNDI >CDP06901 pep chromosome:AUK_PRJEB4211_v1:1:27567279:27573531:-1 gene:GSCOC_T00023942001 transcript:CDP06901 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSFDSRGNSIPTILLLMQGRLYAQGGLQAEGIFRINAENSQEEYVRDQLNGGTVPEGIDVHCLAGLIKAWFRELPRGVLDPISPEQIMQCQTMEDCAALVRLLPPSEAALLDWAINLMADVVQLEHLNKMNSRNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLIEKTLRDREDSVVEQASMFHREPYDENGHQSPEVCVEDATESNEEPEPLFVAGEPEPDSASECQQVDNISVAECVSSSTSPEECSDESVSSGTPVGPYTATNLASGPRTDIKESIPESRTGQSSDSIQMNCTKRTEEQHMVALAIGVQNKSKGISNLSRINSLTERIEAWR >CDP03610 pep chromosome:AUK_PRJEB4211_v1:1:36436342:36437673:1 gene:GSCOC_T00016030001 transcript:CDP03610 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNPQEKQWHLFKFKLQFFSVVSFLCIHLSSSAVLAPILQDPSTRLQFLSLYLRTPYQQPTKLLVDLTAPFSWVECTCSNRSSCTYRYHPLPCASPLCNWLNSPACSNCSSEPTADPICSDGLCHLFFARPRNRKRVRVQALADSLALPVTNGRNPGLLVVVPEFVFSCSERSLLKGHVPRGVTGLAALGRSKFSLPAQVSNSLSSPNVFALCPSDSPSAPGVAFFGTRGPYFFLPEIDLSKHLNYTPLLSTDEDEEYFIGLTSIRVNGRVAVKLNRSVLTVDENGFGGTTLSLSTPYTVLESSIYASLVEAFVNESAAAAFKLTATQAVSPFSVCYDAGDVLVTSAGPAVPTIDLVMQSNDVVWRILGSNSMVRMAREGVDVWCLALLDGGVNPPATIVIGGQQMVDNLLQFDLDSQQLGFSSSVLVHDTMCANFNFTVNA >CDP03692 pep chromosome:AUK_PRJEB4211_v1:1:35814408:35818484:1 gene:GSCOC_T00016147001 transcript:CDP03692 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 17 [Source:Projected from Arabidopsis thaliana (AT3G54650) UniProtKB/Swiss-Prot;Acc:Q8W104] MRGPLPHPVTPAPGGGAATPVSETFQEVQRGGKKRGSYNCGRCGLPKKGHSCHIPKNATTTTPTATPSTDSAASSVAIPSPLSTARPPPPPPHRQHFSNLRRALSFDDDIDARDSQDEGEDEEEHQEEEEELLELEPEPDLAGSGKLPMSCLWEVLRRLPPPALLSAARVCKGWRDTSKRLWRAAEELRLRVPAKAQIGFVGSVLHKCPGLVRLSLRMESDVDATMLACIAFSCPNLETMEIFTSDTSINRITGDELGRFVADKRCLTSIKMEGCSNLGGLVLCSTSLSTIWLSDLQCLAKIVFSCPNLTEISLNFSRQENDSTDLTTMVSSLGRTCPRLENIHIASLRLSHTVVLALTDANLRGLRMLSLVLGSEITDASVAAIASSYSNLELLDLSGSGISDSGIGMICNVFPETLSRLLLALCPNITSSGIQFAAAQLPLLELMDCGMSICDPNSKGSSSLERNEGNLQKTLNNTMHIIYQKLIIKHSRLKKLSLWGCSGLDALHLNCPELNDLNLNCCKNLHPERLLLQCPNLESVHATGCQDMLVDTIQSQMCSDYTAVDSLVPCKRLPDGSKRVQAPHFSPKPSGDEKIWKRASKRHCTVFLNS >CDP14430 pep chromosome:AUK_PRJEB4211_v1:1:10191146:10193075:1 gene:GSCOC_T00040873001 transcript:CDP14430 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSKILIIGGTGYIGKFIVAASTKAGHPTFVLVRESTVSDPVKGKLVEGFKNSGATLVHGDLYDHESLVKAIKQVDVVISTVGNGQLNDQRKIIDAIKEAGNVKKFYPSEFGVDVDRQNAVEPAKSAFAGKSQIRRATEAAGIPYTYISSNAFAGYFLPTLLQPGATAPPRDKVVVLGDGNAKAVFNEEHDIGTFTIKTVDDPRALNKVVYIRPPKNSVSFNELVAVWEKKIGKTLEKEYIPEEQLLKNIQEAPIPLNVILSINHAILVNGDTTNFAIEPSFGVEASELYPDVKYTTVEEYLDRFV >CDP07014 pep chromosome:AUK_PRJEB4211_v1:1:28858930:28859767:1 gene:GSCOC_T00024095001 transcript:CDP07014 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL57 [Source:Projected from Arabidopsis thaliana (AT2G27940) UniProtKB/Swiss-Prot;Acc:Q9SJJ7] MALTILVLLTALFFMGFFSIYIRRFAEEDSTDLSRRRRHPPRLRDGNNQKNGGVDPATIKSLPLVSYRGGAKQLITDCPICLSEFEETEIVKLIPHCAHVFHPECIDRWLGSHVSCPLCRSTQLLEVVDDHQGVRLAVEEEEHGNGGSELGGRSTVDDCDTCSNPGMRRVCSCTSVGDRLVLHRSMSF >CDP09249 pep chromosome:AUK_PRJEB4211_v1:1:32802805:32805606:-1 gene:GSCOC_T00028492001 transcript:CDP09249 gene_biotype:protein_coding transcript_biotype:protein_coding MIELENKSVPGAQAVGMGAEAQKVPAAAELYKKANEILGFDLLDICLNGPKEKLDSTVLSQPAIYVTSLAAIEVLRTRDGGQQIIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDAADAAQSAMVSIIGLDSEKVQKLCDAANEEVDEANKVQIANFLCPGNYAVSGGVKGVEAVEAKAKSFKARMTVRLAVAGAFHTSFMEPAVSRLEAALAATEIKTPRIPVISNVDAEPHADPETIKKILARQVTSPVQWEATVKTLLTKGLKKSYELGPGKVIAGIVKRMDKGADLENISA >CDP13111 pep chromosome:AUK_PRJEB4211_v1:1:2193236:2196663:-1 gene:GSCOC_T00037908001 transcript:CDP13111 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFDDGNSLFDFVVKDGNGVKGLVDSGITKVPERFIQPPSERIVKVVQSSKDHFSAVPIDLSKLDGPDHDQVVEAIVRAAETLGFFQVINHGVSFDLLESLKNAAHRFFAQPADAKAVYLKRVSPSPLVKYGTSFAPEQEKALEWKDFVSMVYTNDGDAQQHWPKVCKEEALEYLKLSSKMVRRLLEILIGNLGVALDDSRLESLTGNKIVNMNFYPICPNPELTVGVGRHSDMSILTVLLQDNVGGLYVKLEEDILDGRKEEWIEIPPIPGALVINAGDSLQILSNGRYRSAEHGVRTTSKQSRVSIPVFNLPRPSEKIGPLPHVAEVDGGAVYREVMFEEYMSNHVGHAHDGKKSLDFVKIKAN >CDP07106 pep chromosome:AUK_PRJEB4211_v1:1:29733700:29743177:-1 gene:GSCOC_T00024224001 transcript:CDP07106 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLTALSASSPVESVLDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLRYVIEEPPEDADSKRTFKFPFIACEIFTCEIDVILKTLVEEEELMDLLFSFLEPNRPHSALLAGYFSKVVICLMLRKTVPLMNYVQAHQDVFRQLVDLIGITSIMEVLVRLVGADDHIYPNSMDVMQWLADSNLLEMIVDKLSPSSPPEVHANAAETLCAITRNAPSPLATKLCSPSYVARIFSHALEDSHSKSALVHSLSVCISLLDPKRSIPSPLTYSIRSQHVYESPIHVNPDTVGAMLPKLVDLLMLLNVSSDEMILPTTYGELRPPLGKHRLKIVEFIAVLLKTGNEVAEKELVSSGTIKRILDLFFEYPFNNALHHHAESIIYSCLDSKSNAIIDHLFQECNLIGRFLQADKFPTVTADLSLPSVPADGREAPRAGFIGHITRISNKIVQLGSNESSIGTHLQENNEWNEWQSSVLQERNIVENVYRWACGRPTALQDRTRDSDEEDVHDRDYDVAALANNLSQAFRYTIYDNDDAEEGHGALDRDDEDVYFDDESAEVVISSLRLGDDQGSLFTNSNWFAFQDDRAGDAPMSTSATEMMDEINLNGTSNCGNSSSDDEVVVGEDDELADGKNSASGTSSSSNGILNGFSGENSGNEGDEKEKTGASGDMGFFRFESPDNDDLFGDRPIPDWVAWGEASDFQVSGSSVNPFDDQSNSNIVPKAVEPVVTPVSSSSSGDCVSNGTTSPSADSSGSSSGSDSSQKAVSIPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRTIAPKVPEKENPDDDGVGVKEYNDANYWRVDQEVAVLE >CDP03686 pep chromosome:AUK_PRJEB4211_v1:1:35873067:35876709:-1 gene:GSCOC_T00016136001 transcript:CDP03686 gene_biotype:protein_coding transcript_biotype:protein_coding MNRARAGSCIHAPIYIESSDSSLVVLNEHSALTGLTAESAVHGVVNQEAQEYVEGVVNSYSNATMTFKTTADNGDNGETVPIQTIQVPIAGDLTR >CDP06902 pep chromosome:AUK_PRJEB4211_v1:1:27573585:27574762:1 gene:GSCOC_T00023943001 transcript:CDP06902 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQKYIDQFLSFGVKVDVINCSSLNFQFIEFSFNPLRKSIPGFIYSLFFFFIFKHPFVISSSFILCFSSCLDSNFSKRN >CDP09022 pep chromosome:AUK_PRJEB4211_v1:1:31113119:31114569:-1 gene:GSCOC_T00028192001 transcript:CDP09022 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQIITILLSFMFRHFLGRISSPVHFPVTHTYYCQLRLSLLESMHAAEIVSAVSYLHANGILHRDLKPENVLLDAERDMQC >CDP11103 pep chromosome:AUK_PRJEB4211_v1:1:7094959:7096771:-1 gene:GSCOC_T00033139001 transcript:CDP11103 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSTLPFWLLIPLFSVFWYCIHSLLVSNSNDHESKKLPPGPYPLPVIGNWLQISGLLHRSVTKLSQTYGPLISIKVGSNRMIVVSSPEIAKEMLQKHDLEFSGRRLQDAVTVLDHHKLSLLWLPPQSQWRNLRKLCKEHIFSSERLNASRGLRQEKVQRLCNYVHEHCLKGQAINIGEAAFSTSLDLMWNTFFSVDFAKSHSISDSTSSEEMKELGLRRRAKVHYGKLLDIIDGIIRQRSQERDTSITYLRKNDFLETLLDLNQQNESVWSCEDTKHLILDLLIGGTETSSVSVEWIMAELLRNPEKKSKARDEIRKVIGQNELVQESDISNLPYLQSVIKETFRLRPTVPFTIRQAQSDTKINGYLVPKNADILVNVWGIGRDPSLWSDPTSFVPERFMDSEIDMKGQHFELLAFGTGRRICPGLPLADRMVHLMVASLLHKFEWKLEEGIKPEELDMTEELGGTIHKAVPLKAIALLEP >CDP09106 pep chromosome:AUK_PRJEB4211_v1:1:31721003:31723383:1 gene:GSCOC_T00028303001 transcript:CDP09106 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRYNLMSQTNSTELIRSQQNVAQFSNGVAKKLYKLVVISGLVLYIMYNLWPGFHCCYSFSVLTHLRPTVVGNLESSYSSGTGTNSATSISHIVFGIASTSNSWRNKRWFIESWWRPNVTRGFVFLDTFPVDLIPWPASSPPFRISENTSRYKDYDKHEMTHAIRLARIIREIINEEDQNDEDVRWYVIADDDTVIFINNLVEVLSRYDYNKYFYVGMNSECHASNYFHSFEMAFGGAGYALSYPLARALAKNLDVCIRRYPSLYGSDHIVQSCVADLGVSLTQEKGFHQIDLHSDISGLLSAHPQSPLVSLHHLKVVDPIFPSMTRNQSLNHLMKAANADESRLLQQTICYHKQNNWSFSISWGYSAQVYEAIYPPSILRLPLQTFRPWMFAKPFYILNTRIPSTKDPCQIPHVFFFYSVETITDIIGRQHLIATTYTRRRPRFLPACSSTGNHSAAHINMIRVLSPVLEMHDGVGRRRECCEVEYESRSNTTKVQIRACVEDEIIP >CDP04003 pep chromosome:AUK_PRJEB4211_v1:1:33577300:33581347:-1 gene:GSCOC_T00016528001 transcript:CDP04003 gene_biotype:protein_coding transcript_biotype:protein_coding MLENPAIDSSAAANVAPVKRYAPPNQRNRALGRRKSGGDRLERANSYPNDGEKTQISVPKNVPLINHGDAGSSKRVNENSLVGLIPIHGCCNSEAFQLLNDRWAAAMNALNSLPEDSSERPVLYSRSGSPWGQPMLPHMLMPSADSSGLKKDFLSELRYAMRNANAVSDA >CDP08941 pep chromosome:AUK_PRJEB4211_v1:1:30375601:30378067:-1 gene:GSCOC_T00028083001 transcript:CDP08941 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTVLNSANGEDPEPTSISSRGKKGGWTTFPFTIATVAGIALAFGGLTGNLIVYLIQEFNIRSISAAKIFNVVNGCTTILPIAAAIIADSFTGCYSVIWISSLISSLGLLIIVLTAAISKLRPPHCENGSNLCKYPSEVQLAVLYIGLALGSIGMAGTRFTIGSMGANQFDKPKHQGIFFNWYIFTMYMATGISSTLIVYIENSVSWTLGFGICLAANIFALAIFLAGSGFYHHLKPQGSPFVRLARVIVASFRKRKMVLSLKREDYFQGPDYKMTTSPSKFFKFLNRAALRAEGETEPDGSTRQPWKLCTVQDVEDFKRIIKIFPLWSTGFFLSTPLVILGSLSVLQALAMDRHLGPHFQIPAGSVFLFTLMPTCFTVFLLDRFLFPLWEKFTGHPVRPLQRVGIGHLLDIVGLAVLALVEAKRLKIARLHDLQGQDNAVVPMSVFWLVPPLAIAGIGEAFFFPGQIDFYYQEFPASLKSTSTAAVALYMGIAYYLGNAVIDLVRRTRGWLPDDLNKGRLDNVYWLVCVLAGLNFCYYLVCSYFYKYQNVETVKVTDDSTV >CDP03789 pep chromosome:AUK_PRJEB4211_v1:1:35071021:35079534:1 gene:GSCOC_T00016271001 transcript:CDP03789 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNPRVAKAFRAMRDLGIAEDKVKPVLKNLLKLYEKNWDYIEAENYRVLADAIFDNEEAMVNQAAQSKKKLESPQEPVTEEEAQEQGEPARPLKRLRLKYQGQASESCNNSNRLAATPLIIPKDEPVELPEVHPQRQLRSMVGSTPTHNGHRSIESQHLSRTVPHQMSLRNGRMGALSPQPASVDKRLESERLSHKVSKEKTVGVQSLVQPKEEPFTCDTPVFDLPLAVIHPETSNRGDSLRENSSIEEPHDGSEPPLILEHPGGKSMSDGIPSLSSETRVNSQLSTVADGSSSQLQVASSPLGEVKISLSCKISPERPDFHMPSLDAVVKLVEDRCLRSYKFLDPNFSVMKLMKDMCDCFLELGTESCSESEGNMQVSPRNDVLESFPSGDPLVGDGVHFHMPDGLYNAQSETEVVFPKTLQLSTPCTGIHDCAQPHQEASQCNRIHEDTEQKDLDDPNCRSLVVCRQHELTPDQIRYLHDVIDISKGQERVVISLVNEINSECPPSFHYIPQNAVFQNAYMNFSLARIGDNNCCSTCCGDCLSLSTPCACAHETDGEFVYTAEGLVKEEFLNECVSMNRKPEKHCQYFCKECPLERSKNEDVIEPCKGHLVRKFIKECWWKCGCSKQCGNRVVQRGITRNLQVFMTEGKGWGLRTLEDLPKGAFVCEYVGEVLTNAELFDRVSRNAKGEVHSYPVLLDADWVCEGVLKDEEALCLDATHYGNVARFINHRCFDSNMVEIPVEVETPDHHYYHLAFFTTKKVKAMEELTWDYGIDFDDVDHPVKAFHCQCGSKYCRNIRRPSRSRSSLRR >CDP09700 pep chromosome:AUK_PRJEB4211_v1:1:24747236:24749385:1 gene:GSCOC_T00030134001 transcript:CDP09700 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRNTHWCYQCSRPVQLQSGNLVCPYCDGGFVQELNEVRSNNFRDVEELSQHFRTLEEIEILRIDLWNLFQVLDLKLWMHLMLL >CDP08993 pep chromosome:AUK_PRJEB4211_v1:1:30918035:30924040:-1 gene:GSCOC_T00028155001 transcript:CDP08993 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFASLWSYQESVDDLKQKLLCTALELEQLKVEANEEMRKNKEYVKQLIQLLKMACQERDEARDQLQKMLNKPAKANSSITESNSFSETHNYPSHGSSPGESFLDAVSSPELSNINMGIPKVDHASLVIDNLAQGKNLPQKGKFLKAVLDAGPLLQNLLVAGPLPRWRNPPQLQTFHIPPVSIRGCDADMLNMKSATNMSLLASRSLTSRPYAEIPPPTSGFEHSQWNPMVIALVVVVCCIFLLFGYQKILQRHCSHFGSITNSRNQGQSRRLHDAVADDPSLQLQSRGLDSFVMHSLPITQFKKNNEEETCKGITDCAVCLGEFEEGEWLKHLPHCSHVFHVSCIDAWFQTHSSCPLCRSYVFNLTMHQEHSVSVYTLLETLRREEFSQERAENYQILRSHVLQTSTEDGNSNSH >CDP09683 pep chromosome:AUK_PRJEB4211_v1:1:24315837:24318893:-1 gene:GSCOC_T00030106001 transcript:CDP09683 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMEDRVLVATGLRLVCREGTRTHRWGHRAFGHHKVVHLIWDMDTRSVGRFLHKCTNLLFWTGDSSEVPGSLANEVFITLQKLLFA >CDP09091 pep chromosome:AUK_PRJEB4211_v1:1:31643278:31650444:1 gene:GSCOC_T00028287001 transcript:CDP09091 gene_biotype:protein_coding transcript_biotype:protein_coding MISELGRRPMLGSNENSFGDELEKEIGLLLREQRREDADDREKELNLYRSGSAPPTVEGSLSAVGGLFNHGVGGGGGGGPVFSDFARDKGVNGFMSEEELRSDPAYLTYYYSNVNLNPRLPPPLLSKEDWRFAQRLQGGSSAIGDRRKVNRNDSGAGTRSLFSMPPGFNSKKQETENDSDKVQGSVEWGGDGLIGLPGLGLGSKQKSLAEIFQDDLSRATSASGHPSRPASRNALDQNADPLCPAEAEMAQLHRDLASTDSLRSTVSAQSASAPQHVGPPTSYSYAAALGASLSRSTTPDPQRIARAPSPGLAPIGGGRASTSEKRNINSPSSFNAVSSQANDSADLVAALSGMNLSNGVVDEENRLASQIDQDVDDHKNYLFNLPGGQNNSKQHGYFKSNSGLSNSSGSDLSNSALQTDSRKTGILRNNSYQKGSSTSNMLNGGGGLLSQYPHLDSPNSSFSNYNSSGYTVNPLMGNLGNFNLPPLFENAAAASAMAVPGMDSRILGGSNIGSPVSEHNLSRMGNQMAGNGLQSPYMDPAYLQYLRTAEYVANQVAALNDPSLDRNYMGNSYMDLLQKAYLGSVLSPQKSPYGVPAGTKNTGSNHHGYYGNPAFGVGLSYPGNPLASPVIPNSPGAPGSPLRHNDFNMRFPGGMRNLAGGVMGPWHLDNIDNSFASSLLEEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVFEEIIPQALTLMTDVFGNYVIQKFFEHGMAAQRRELASKLFGHVLTLSLQMYGCRVIQKAIEVVDVDQKIKMVEELDGHVMRCVRDQNGNHVIQKCIECVPEDHIQFIVSTFFGQVVTLSTHPYGCRVIQRVLEHCSDPKTQSKVMEEILGSVSMLAQDQYGNYVVQHVLEHGKPHERTAIIQELAGKIVQMSQQKFASNVVEKCLTFGDPSERQLLVSEMLGTTDENEPLQAMMKDQFANYVVQKVLETCSDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIALQNPHPA >CDP09167 pep chromosome:AUK_PRJEB4211_v1:1:32152525:32154906:1 gene:GSCOC_T00028384001 transcript:CDP09167 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGGGSDTRTTLRGRWGEPGHPSQIKFWNQIMKNYTTYKFDTIKWDLSVLADVKIKCKKWLTEAVTFHPWKIIPQIQSRRLSSSEVARLRDSCAHHPFPPPSSRLGQFPRADLLSAVPQG >CDP03808 pep chromosome:AUK_PRJEB4211_v1:1:34926969:34930407:-1 gene:GSCOC_T00016294001 transcript:CDP03808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04130) UniProtKB/TrEMBL;Acc:F4I456] MALWMEAGSEPKTESEVVDLEAISALKQSTAIELKENGNEYVKKGKKHYSDAIDCYTRAISQKALSDAEQSILYCNRAHVNLLLGNYRRALQDAEEAIKLSPTIVKAFYRAVKASMSLSLLAEAKSYCEKGLELSPENEELNKLAGQIDLLKSEQDRRKAEVSKSVTAVKDMISAFEERKIKIGKALYQERTGRLCPVTLLRTFVRLTCFQLTWTKYPFYFDILPYMFSESSPPLPWDNEHAYTRDALELYYEADSGVRLSKREIFHCLADGTAAANLEKCDIEENDAAEESSSSTTVLGGGGMRWIKVNERRPLYDVLKEPNLVVPGIPVFYVVSKKSSFYKEFKSGNWAPFGKYTSSSSRG >CDP17026 pep chromosome:AUK_PRJEB4211_v1:1:38088155:38095024:1 gene:GSCOC_T00004926001 transcript:CDP17026 gene_biotype:protein_coding transcript_biotype:protein_coding MEARTRLGLGGQAELLTRAVGSGDSKAAANKRSNLEWDLNDWKWDEDLFLATPLINSSSTTSPLINYHPQQSTHFFPLETGGVNNHYHHRDVAAGSSSSTSSSCSDEFKNLGGGVGAGSRRELDKRRRVLVVRDDNLEDEAAPASLALKLNSRGGRDCYTPECERDASGKKTKAAATAASRAVCQVEDCKADLTKAKDYHRRHKVCEMHSKASRALVANVMQRFCQQCSRFHALQEFDEGKRSCRRRLTGHNKRRRKTQPDPMANETSSNDSQASGYLLMCIVKILSHIHSGKTNQTDDQELLSHLLRSLGGHGALHGDKDISRLLQLPQNFPNNGAEMVSALLANGTQGLPISKHPEMSQNILHNRDVQVEDLPTSSSQPTQSSVAYVQVNENHVERNKLNNFDLNDAYIDLEAAIEDLERSPVPVDVAPSNLEYHSWMRQNSHQSSPPQTSGNSDSASAPSPSSSSADARSRTDRIVFKLFGKQPSDFPGSLRGQILDWLSHSPTDIESYIRPGCIVLTIYLCTSVLAWEELSHNLGSSLSRLLNVSDDGSFWRTGWIYARVQNRIVFICSRIVVETSLPSQSSYYGAIVSVKPIAVPPSERAEFTITGVNLSRPSTRILGALRGTYVLFEDNGQSLERIDSFKEHDELQCLNFACSIPVVTGRGFLELEDLGLSSSFFPFIVAEKDVCSEIRMLESEMELPETDCLGVKTESRKLAMDFIHEFGWLLHRSQLKSKLGHSDQNSHPFAFTRFKWIIQFSADHGWCAVVKKLLDLLLDGNIGAREQLLLSSAMFEMGLLHRAVRRNSRPLVELLLRYVPERIANELSLEYASLVGSEGSPLFRPDAVGPAGLTPLHVAAGTDGSEDVLNALTNDPGQVAVEAWKNARDNNGFTPEDYARLRGHYSYVHLVRRKIHKKILVGHVAVVDISNAVSSGWTKQNEGEEARKTSFEIARSEIGSAGLHRCRLCDRKFVATGGGNGSPLYRPGMLSMVAIAAVCVCVALLFKSSPEVLFVFRPFRWEMLDYGSW >CDP09293 pep chromosome:AUK_PRJEB4211_v1:1:18659645:18660457:1 gene:GSCOC_T00028592001 transcript:CDP09293 gene_biotype:protein_coding transcript_biotype:protein_coding METKADKGNVNSFLVGSVPTVIYLPDFITDEEEQHLLNTIYTAPVSKWKVLKNRRLQNWGGIVHEKGLLAQDLPSWLTIITARIYEKSGLFPSAINHVLINEYLPDQGIMPHQDGPAYFPVVAILSLGSPAVMDFTPHPSLQLSTEPLENKETQNNTANEGPMLMNTDRSSDKHPPFSVVLMPRSLLIFKDEAYSDYLHGIKDQEYQQFDKAVNIPQITKSLALIQSAARPEEEIDRNETRCDELIHRTATRISLTCRAVTKVQRNLFKF >CDP09016 pep chromosome:AUK_PRJEB4211_v1:1:31085560:31088958:1 gene:GSCOC_T00028184001 transcript:CDP09016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MMMICRFVSRSPWSRRFFSSDADRRLANAISEIHKEMESVFGEPPASGLAGSAENHSVTLNFNDSQIRSEGCTENKLVSGLTHIGSKGEAQMVDVSLKEITKRVAVASCKVILGREVFDLVASEQMKKGDVLSVAKMAGICGAKQTSNLIPLCHNINLTHIRVDLSLNPQDFSVDIEGEAASTGKTGVEMEAMTAATVAGLTVYDMCKAVSKHIQITNVQLEHKAGGKSGDWRRKNR >CDP03941 pep chromosome:AUK_PRJEB4211_v1:1:33994304:33996522:-1 gene:GSCOC_T00016453001 transcript:CDP03941 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGHHNDLPNHPVASLDLKSLKVISALGRGAKGVVFLVRSENRESLALKAISRASIEKNHKTDAASTDRRPADEEYRRICLERDVLASLNHPLLPKLRGVLSTDKIIGYAIDYCPGRDLNSLRRKQTEKMFSDDIIRFYAAEIVLALEYLHGLGIVYRDLKPENVMIQENGHLMLVDFDLSAKLSAKSPETRQLGDSSPSSLSIKNKHRNRKKNRQRFPEFLSFCKSGITESDSVHPVEFSSESGRQESDSVEKSNSFVGTEEYVAPEILIGKGHDFAVDWWCLGVMLHEMLYGTTPFKGSNRKETFYRILAKDPELVGEPTPLRDLIKKLLEKEPKRRISVEGVKGHDFFKDVDWAHILEIQRPPFIPAAEDTQQGNKDIDVESFVQQVFSAGIDGDEKVGNKENSENKKNILDDKNKGVWVEGLNNPPAQSANFFIF >CDP11085 pep chromosome:AUK_PRJEB4211_v1:1:7953141:7953494:-1 gene:GSCOC_T00033104001 transcript:CDP11085 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNEKCDVYSFGVLALEVIMGKHPGDLILSTLSASSSTSTAHDILLKDNIVDPRLSSPSKQESKQVTLVAKLALSCIEPNSQLRQTMKQVCIQLLKEIPSQFNIFPMVTIGQLLDL >CDP03795 pep chromosome:AUK_PRJEB4211_v1:1:35033247:35035904:1 gene:GSCOC_T00016279001 transcript:CDP03795 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPVLGSLLSSLSETPNNNNQPNCEVPNANPHPYGKICCKHYHAAAGPQNFTKSLYNSSPVSPSISGNIHTGGFRRAQSEGNLEGLVRASSDSDDGLNLSIPTKKFSRRPPHCSILEAIPSFSFQNPRNRNEDQGSEEEEESLHGENGLVHNSVAKENPYNLKGDRTYASAYKNSAGVGEEMHLARGLGVTDFHFADVGGHIRGGSGGGGRDYRPVALGGDGGGDSNGLIMEEHYKRMLEENPSNPLCLRNYAQFLHQTKKDPRRAEEYYSRAILADPEDGEVLSNYAKLVWELYRDKDRAKHYFERAVRAAAQDSHVQAAYASFLWETDEEVEEEDDRSLKTPQPMLALIKEEITA >CDP04076 pep chromosome:AUK_PRJEB4211_v1:1:32990843:33006178:-1 gene:GSCOC_T00016617001 transcript:CDP04076 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGDGARSKSRPSSSSLAASLLPSGAAAVGFGGYVGSSRVDSSFSAEAPTVSLDIDGEVAQHLKRLSRKDPTTKLKALTSLSQLLKEKSASEVAPIIPQWAFEYKKLLLDYNRDVRRATHDTMAYLVRAVGRDLAPHLKYFLGPWWFSQFDSVYEVSLAAKRSFQAAFPAQEKRLDALILGTSEIFMYIEENLKLTPQSMSDKVTATDELEEMHKQVISSSLLALSALLDVLVCLQSERPGFENIKAEPKNASKARATAIAYAEKLFSANKYFIDFLKSKNPGVRSATYSAMRSFIKNIPHAINEENVKTLAVAILGAFQEKDPTCHSPMWETVLLFSKKFPESWTLLNVQNVILNCFWHFLKNGCFGSQQVSYPALILFLDAVPPKAIVGEKFFFDFFKNLWEGRSLSHSLTADQQAFFLALKECFLWALRNASRYCDTLDAIQHLQYALTDEVLLKLMWCEYSQFVSPKDKSAMVPGASPVSSEDTIQTSNMERTENLKMKYPVGYEQDLGKCIVEILSGVYSLENNLLSVFCSVFQNHCIEIFQQIESSGNVEVVIRFVLLLDQHVVKKGETWPLDYLVGPTLAKSFPLIKELDPPDALRFMAAVAYVFGPHKIIQELMGIELGKEQFLQAFNELFIPWCLKDWSVSTSAKLDFLLALMDSEYFTEQWNSIVTYAIYPKDSTLGTSDSKIPVLAVLMEKARERLRKANTLRGSQPEDWQHEFLDIAALSVVNANPPFGTSDARFLRALIGGETKEESSFISRNTLSLIFKEVLRKLLTFVADSTFAWVKCVCSLIPCAGKLSEVRWRSSNHVLEEANFALEVLTGSFFCLKKLDCEIEMIAGILGAIFVIDWEYNSIRTVISSELDVECMEQVKMRMPFCESVHAFRSNISCQFLKSFCLEIRKNLRSILVHMGRWAVLKEDKLDIDKITSLSCLWLLEVMECLCLDQFEEQMLLDEFLGRSDFWPLWIMPNANSQERSAVLNTDCTTIDESGNQKFVILIDKLISRIGFHRVIAGAVAHDSPTVSEEPTVNLTTSEVSYSRAWLAAEILCTWKWPGGSAFSSFLPLLSAYVISQDYSPAHGLLDSIVSILLDGALMHGESGELTPGNVWPGLYHEAESISEPFLRALIALLSTLFQKNIWGKVKAVSYFRMLREKLFIGETVNLNCLNVLPACMEVFIAPLSIASDASHKSDQPDDFIECELHVTVVDWLRKTACFPPLNTWQSGKDMEGWFQLVLSCYPVSAAKGVNCIKKQRSIDSLERGVLFELFRKQRQNFGAATLINKLPMVQVLLSKLLLVSVAYCWEDFNHDDWEFVLYRLRWWIESTVVMMEEVAESVNDAITSSSTCSDLEATLNKLMLTASNVDHSAINIARNALAAFSLFCGHLGNENNELEDNLNPLTNDRWEIMKDRIYECILRLFFSTGVAESIEGSFCSESSSFIAASRLEDSQFWELVASSVAESSSHARDKAAKSVDMWGLSKGPLDSLYAILFSSKPLPHLQFAAYTLLSSEPISHVAFISEEFKTSFDEDTSSNQGSVLPDLASEQNFRLRDEISFMFERFPREVLDMDLLACKRVNLFVIWSLLLSHLVSLPSSTSAREKMVQYMQDTADSTILDCIFQHIPLESLAGSSLKRKELPPAVSRAATAAAHAITTGSVLLSVENLWPLEAEKMTSLAGAIYGLMLCMLPAYVREWFNSIRDRSRSSMIESFTIRWCSPLLIKNELNQIKKADFADENFSVSVSKSANEVVATYTKDETGMDLVIRLPASYPLRSVDVDCTRSLGISDVKQRKWLMSMMLFVRNQNGALAESIRIWKSNFDKEFEGVEECPICYSVIHTSNHSLPRLACKTCKHKFHSACLYKWFSTSHKSTCPLCQSPF >CDP16778 pep chromosome:AUK_PRJEB4211_v1:1:3646440:3650344:1 gene:GSCOC_T00019280001 transcript:CDP16778 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYAQEHTYKHPWERVTTASWRKFADPENKSTLSHILEVDTLNRKLDSTSGKLYTTRAITIHAPGPWFIRRIIGQDICHCVESTVVDANSRSMQLATRNISLQKFIEVEEKIRYDPHPQNPNEWTICKQETSIRIKPLSSLASMAEKIEQKCVDKFQQNSAKGREVMERICKYLEAEASGISI >CDP13082 pep chromosome:AUK_PRJEB4211_v1:1:2901448:2904595:1 gene:GSCOC_T00037856001 transcript:CDP13082 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAISATIQVALETVISLAADRVSLVLGFGEELERLRHTAETIRGILADADRKMHIAGVKNWLEQLEGELFKAEDVLDELNYENLRREVKDRNQLKKKVCFFFSHFNTIGSRSRLASKIRDINLNLERINRQANDVGLVFRFQIEAALPAATGATTSRQTDSILVPNVVGRVDDESKIVDMLSSPSEKVLSVIPITGSGGLLVNKEKEEWKSIVKESQTDEDQDEIDQILKVSFDHLSPASVKKCFAYCSIFPQDTELREDELIQHWMAEGFVQPDRQNQRLMEEIGGEYLRILLQSSLLEKVEEPRTYYKLHDLVHDFAKSVLNPKSSSQDRYLALHSYEEMAENGRRNKPASIRSLFLHLEGGISADMNMLSRFKHLHVLKLSGYNAKFLPISIGKLLRLRLLDISSSGITSLPESLCKLYNLQTLTIDDDELEGGFPKRMSDLISLQHLNYDDYHVELKIPVQMGLLTCLQTLEFFNVSQERGRGIEELGTLKYLKGSLEIRNLELVKGKEAANQAKLFEKPDLFSLMFKWESGYRESDNRDEDVLEGLHPHPNLERLEIRYFMGNKFPQWLINLSKLEALHIIGCKRCSELPSLGQLPSLKRLELVRLDNIRFIGDEFYGEAEADVFPMLRNFHIRNCPQLTTLPCSCKSLHVLWIYSCDNLRELPDLDLFGSSLQQLTIARCPRLISLGVNGQKCPLLLGLKELSIDDCEGLTTISDKMFQSCRSLRSLSVERCPNLVSFSLNLQETPSLERFILFESDLSSSGC >CDP17054 pep chromosome:AUK_PRJEB4211_v1:1:37887818:37891571:1 gene:GSCOC_T00004963001 transcript:CDP17054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g31400, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31400) UniProtKB/Swiss-Prot;Acc:Q9SIC9] MASSTPPPHCALTTSKPYQSHHPHPHPHSPHPHRHHHHHHHPKVSLNHHRASQPLPHPPAVARPPPPAALSTTNPPSFPHLSSNSELSADFSGRRSTRFVSKMHFGRPKSASSSSRHSSVAEEALLQAVRFSGDDARFDSILLAFEPKLCGTDDYTFLLRELGNRGEWSMAMRCFDFAVARERRRNDQGKLASSMISILGRLGKVDLAKRVFDDAVSRGYGNTVYAYSALISAYAKSGHCDEAIRVFETMKDLSLKPNLVTYNALIDACGKGGAGFKRASEVFDEMLRNGVQPDRITYNSLLAVCSGAGLWDTARCLFKEMLFRGIDQDIYTYNTLLDAACNGGHVDAAFDIMSEMPAKNISPNQVTYSTIIRGCAKVGKLDRALNLFHEMKHAGMRLDRVSYNTLLAIYASLGRFEEALTVAEEMESMGIKKDVVTYNALLDGFGKQGMYDKVKELFSRMKADNLSPNLLTYSTLISVYSKGGLYREAIQVYKEFKRQGVKADVVFYSKLIDALCKKGLVGSSALLLDEMMNEGIQPNVVTYNSIINAFGWSMPTEYPLQSDQQTESSLSIAAANVAESKPDAENKDRIIKIFEQLATGKSDFDESVNRGRQDFLCVLGVFQKMHEMEIKPNVVTFSAILNACSRCNSFEEASVLLEELRLFDNHVYGVAHGLLMGHDEKVWMQALSLFDEVMQMDTSTASAFYNALTDMLWHFGQRRGAQLVVLEGKRRQVWESTWSNSCLDLHLMSSGAARAMVHAWLLNIRSVVFEGHELPKLISILTGWGKHSKVVGDGALKRAVEALLNGMGAPFRVAKCNIGRFISTGAVVASWLRESGTLKVLVLQDDRVHPEASRFDNASGLEPLPL >CDP13090 pep chromosome:AUK_PRJEB4211_v1:1:2608168:2625558:1 gene:GSCOC_T00037868001 transcript:CDP13090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ALWAYS EARLY 3 [Source:Projected from Arabidopsis thaliana (AT3G21430) UniProtKB/Swiss-Prot;Acc:Q6A332] MAPARKSRSVNKRHLSINEVSPIKGSSNGRRNSKRKRKPTNKLGPQWSKEEITRFYEAYRKYGQDFKKVAAAVRNRSGDMVEALFSMNRAYLALPEGTASVIGLVAMMTDYYSNLAITDSEQESNDGAGPSRKAQRHTEGKGQPSTTKASDGHFSRSPTVTAGYGFQSLLKKKRSGGSRARAVGKRTPRVPVAYSYENNKGEKFFSTTRRGDDDVAHEIALALTEASQRGGSPQVSQTPSRRTESVMSSPARNAETRRAELKMANYKHVESDVDEEELEGSMEADTGELSRYKTYLKQTGRVGSLVPKGRRFDGKRLDVDDSSDNHLEDTREACSGTEEGQRFGAARYADARNSKSSYQGPRKRSKKVLFRRDEDSAFDALQTLADLSLMLPAEANEDELMVEIKDEHVDHVDESGSLEAIPAPHQRDKRRSSGQKIKGDQSTMARLEMASTKIPKSGKMSLYDVSVVPEVKDEVYPSKSIRKKPKILTSKIRKSESHVNSQLSEPQEAETRDLGKMIKNKKSSHSSSPKLVKNVDHSSSADPRIEGSDSAQSTIQAPPANQVNLLTRVRSRRKRDLNKPQIQKDLKLSEKISNDRSNVPLPSVNDTAFSVKGKLTNCLSNHRLRRWCAYEWFYNAIDYPWFAKREFVEYLYHVGLGHVPRLTRVEWGVIRSSLGKPRRFSQQFLKEEKEKLNKYRDSVRTHYTELRKGIREGLPTDLARPLTVGQRVIAIHPKTREIHDGSVLTVDHSRCRVQFDRPELGVEFVMDVDCMPLDPMENIPTMLARHIAVDKFFENYNELRMNEQAKEYIKISSGDNVENINGLSNLSSSTYPVTNLLKQTKVAPEDANLQIRAVQMETPTNTYSQPCIPAQVQAKEADVQALAQLTRALDKKEAVVSELKRMNDDVVENCSLKESESFKKQYAAVLVQLHEVSSALICLRQRNTYQGNISLGWPRPVANLGDPGSMLSSLDRSTSQSQDSGSHISEIIESSRKKARSMVDVALQAMSSLKGRENTFEKIEEAIDYVNEQLPSDDSSVPAAPDPNLKNATDRNEAQIPSELITQCVATLLMIQKCTERQFPPADVAQILDSAVTSLKPCCPQNLPVYTEIQKCMGIVRNQILALIPT >CDP17403 pep chromosome:AUK_PRJEB4211_v1:1:13231811:13234791:-1 gene:GSCOC_T00004467001 transcript:CDP17403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH122 [Source:Projected from Arabidopsis thaliana (AT1G51140) UniProtKB/Swiss-Prot;Acc:Q9C690] MDFQRSNQHQQMSGGLTRYRSAPSSYFSSFFDAAADIIPRSGGGFGGDDLDHFLNRFMPSNRVNAQAEDSNSNNSFANSMNMQQSQSQFVASMKQEAEVMQQPEQLQQLQQTNQNDYSQISQQMMYQSQAQVQQNTNNTQLSSASAGDNSYRLLSSVNSNRLTPAKIESGGGISNLIRYNSSPAGLFANINIENEYGAMRGMGNFGAGNNANAEASFSSASRFKSQMDFSSAQATSSGLMSPISEIDSTGMGDNNLGDKKFGEGQRNDSGYITGFPVTSWDDSALLSDSFLKGLGDDDDDSKTLSNAVASENQSNEGRNRPSTLLAHHLSLPNTSDELSAIETLMQDSVLCKLRAKRGCATHPRSIAERVRRTKISERMRKLQELVPNMDKQTNTADMLDLAVDYIKDLQKQVKTLSDNRAKCTCFNQKSCGSEGSS >CDP09266 pep chromosome:AUK_PRJEB4211_v1:1:17148319:17151279:1 gene:GSCOC_T00028530001 transcript:CDP09266 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPCTLGSPSLVCRLGVRTPLADRSKKKVNANTPILDVSAGRAYEESGRRWDARDTAIKHLTCSWMHNGFMSVNTKLGVGKAFVRSLYYQYAGWGIDFGTVIVYYFSPAIKHDCVFGDDLNLDEIQFVSQVLGERHWPIVYSVSPGTRVKPEMAKQQPSQHVQDNWR >CDP14617 pep chromosome:AUK_PRJEB4211_v1:1:15099826:15108242:-1 gene:GSCOC_T00041203001 transcript:CDP14617 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTVCVTGASGFIASWLVKFLLHRGYIVKASVRDLNDPKKVAHLLALDGASERLQLFKANLLEEGSFDASIDGCDGVFHIASPFHDPRNDPQAELIDPAVKGTLNVLKSYFCKETKSWYILAKTLAEDEAWKFVKENDIDMVAINPAMVIGPLLQPTLNTSGAVILNLINGAETFPNSTFPWVDVKDVANAHILAFENPLASGRYCLVEQVAHYSKVMKILHELFPSLKLPVKCADDKPFVPEYQVSKEKAKSLGIEFTPFEQSIKETVESLKEKKLLVASVAL >CDP07013 pep chromosome:AUK_PRJEB4211_v1:1:28852417:28853700:1 gene:GSCOC_T00024094001 transcript:CDP07013 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKTRSGKLFGTVVTKKVIEETVQVVVSPKPTELETNCENNDERVVEVVASSTKENEKIEVISSPLKEPVRRTIVVEDRAKGAEQEEQQQKGQEAQRDDQDETQQASEPETPPTPPPNYEAGPNIEQQRDDQERQPRQADVDVAREGTKKRKTSPGIEDSTNGKKEKKAADTQRRRKRAKMGSGGGTNVGFKRYVLRVMKQVHPECAISSKAMTIVNNLMSDMFERIAEEASRLSRYSWRRTLSSWEIQDAVKLVLPGELGKHAIAEGSKAVRTYASSVSGQSKKSKS >CDP03897 pep chromosome:AUK_PRJEB4211_v1:1:34313894:34317791:-1 gene:GSCOC_T00016400001 transcript:CDP03897 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 4 [Source:Projected from Arabidopsis thaliana (AT3G25070) UniProtKB/TrEMBL;Acc:A0A1I9LMJ4] MAARPHVPQFGNWDSQENVPYTLYFDNARKGRGGKMINPNDPEENPEMFRQFAPPAPAPSNIRPQTEEPVGRGAVRAQHEYRGSREDGNFRQFVDSPARTDNPGRRTSGESTHHNSGRPTRHSAGSEHSFERSPLHSHHPAKLAGRGGTSPAWDGKSSHDSSHGTYGKSRMKQVSRVEESPDRGAAVPRFGDWDENNPQSADNYTHIFNKVREERHTGPSNLQAGNNFEPSYHMKRKQNASGDRKGCCFPWFGK >CDP15603 pep chromosome:AUK_PRJEB4211_v1:1:26568354:26570309:1 gene:GSCOC_T00015509001 transcript:CDP15603 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLFIRNPIFLHSCTSSTATVRYACLNSLLFFSSIPQIEKIPPFTSSSPAVNDDVETVFRILTSSRTSVELRQSLRSAAASIPFSNDLIDKVLKRVRFSHSNPLQALEFFKFTSRKKGFLHSAFSLDTMLYVLGRSRKFDDIWEVLVEAKKKDQSSITSRTVQVVLARIAKVCSVKQTVISFKRFRRLVLEFDTSCYNALFRTLCQEKSMSDARNVYHNLKHEFRPNLQTFNILLSGWKSTDEAEGFFEEMREMGVEPDIVSYNCLVDVYCKTREMDKAYRVVEEMRERDISPDVITYTSLIGGLGLVGQPDKARDVLEEMREYGCYPDVAAYNAAIRNFCIAKRIGDAYGLMNEMVKKGLSPNATTYNILLRTFYWWNDLISSWNLYLRMRETGCLPNTQSCMFLIRLLRRHEKVEMALELWNDMVEMGFGSYILVSDVLFDLLCDMGKLDEAERSFLQMVEKGQKPSNVSFRRIKVLMELANRQDALRNLSEKMALFGGSIKLAGSEESDLETSGSMTC >CDP03942 pep chromosome:AUK_PRJEB4211_v1:1:33988509:33992030:1 gene:GSCOC_T00016454001 transcript:CDP03942 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHNRTYCAEIAHNVSTKKRKDIVERAAQLDVVVTNKLARLRSQEDE >CDP09258 pep chromosome:AUK_PRJEB4211_v1:1:32896252:32900468:-1 gene:GSCOC_T00028511001 transcript:CDP09258 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIVSSVSGSVPGSYRQELLVCQFTDMMNSKGLVWSGGNPFSFTLPVLLAQLSVAFLLSRTVYILLEPFKQSMLSAQLIAGIILGESFLGRSSLSKQLFPPGGRLPLETLADFALLFHLFLLGIRVDPSMVKKTGRDAVAIGLTGFVLPFTFGIIAAFVVPHMMEFDGSIQESILRIVTINSLSSFPVITSLLADLNILNSDVGRTATLACSVNECCNYTVYALLTVMSSIIRHKQWYAMYYVAWATSLFVLYRYIARPWMLHVARHMPDGQPLKEIQFLSIMITTLMCGFFTELIGVPAGIGAFIFGMAIPDGPPVGSYLVQKIDTICTGLLLPAKFAVTGLNTDFFSIAANNSALIYSAVIILGYLGKFTGVLLSALYFQTPLRDAVSLALVMCCKGIIEVAIYIILKEDQMIDQEAYGLLLISMIVTTGIARPLLSFLYDPSRRYSSYQTNSVLYSNPKNDLRMLVCVHSQDNVPTIISLLESSNPSRSMPISVFVLNLMELSGRAAAVLERNVYRGRLTSMRSRSEHIAKAFNYFAQKNEGVMSLQHFTSIAPYASMHMDICTIASDVMANILILPFHRVWEIDGTIGSNLPAIRTVNQNVIAKAPCSVGILVDRGHIAGNLSILPGNTLFRITVLFLGGADDREALAYGSRMVGHPQIGLTLVWLRLWDHRKGFEEAPVNNVESSIDSDMISHFRANTIGYESVTYKEEMAKDAVGTTRVLRSLEEACDLCIVGRQHAPDSPLTLGLTDWSECPELGDVGDMLATSDFQFSLLVVRQRPPAGTGFSKRHTLQPLASSHSVVTN >CDP17352 pep chromosome:AUK_PRJEB4211_v1:1:4751718:4753782:-1 gene:GSCOC_T00009692001 transcript:CDP17352 gene_biotype:protein_coding transcript_biotype:protein_coding MCAASLSFPAKPSVKVGNGNFLPYRYRKGTVRAEVLIADPKRGERIFPELTIQGIPHSDHHIHEIVQRQSETSSFSRKDALRRPQFPPKFLEEAYERCKKICAEYAKTFYLGTRLMTEERQKAIWAIYVWCRRTDDLVDGPNAVYLSSAALDRWEKRTEDIFNGRPYDLLDFALTDTVYKFPLDIKPFKDMIEGMRLDTWKSRYANFEELYQYCYCAAGTVGLMSVPVMGIAPESHLSSQSIYDAALSLGIGNQLTNILRDVGEDAQRGRVYLPQDELAQFGLCDDDIFSRKVTDGWREFMKKQIRRARFYFNQAEEGASQLDKASRWPVWSCLIIYRKILDAIEENAYDNLTKRAYVGRAKKLLTLPQAYTRALSMSNLAFQSNLSCEKHITGGQ >CDP06907 pep chromosome:AUK_PRJEB4211_v1:1:27596258:27600608:1 gene:GSCOC_T00023949001 transcript:CDP06907 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVEYEEVEEEEEVEEIEDDEEEEVEEVEVDEEEEIEAANGSISGVSGVNEQDDNKKHAELLALPPHGSEVYIGGISRDASEEELRGFCESIGEVTEVRIMKGKDSSENKGYAFVTFKSVELATKAIRDLNNTEFKGKKIKCSTSQAKHRLFIGNVPRNWGDEDLKKAVMEVGPGVTSVELVKDIKNSQNNRGFAFIEYHNHACAEYSRQKMINPNFKLDNNAPTVSWADPKHAESSAASQVKAVYVKSLPKDITQDQLKKLFEHHGKITKIVLPPAKAGQEKNRIGFVHFAERSSTMKALQNTEKYEINGQVVECSLAKPQAEQKPTGGPNVQKSALLPSYAPPVSFGLVGGAYGAVGAGFGTTGFAQPFIYGRGPSPAGMAMMPMLLPDGRIGYVLQQPGGQPYTPPQQKADSRISSGSGNKSGSNSGRGRQSNNSSQGRRYRPY >CDP09659 pep chromosome:AUK_PRJEB4211_v1:1:23994079:24001783:-1 gene:GSCOC_T00030068001 transcript:CDP09659 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MCSLSIGSGSITNPAFQPCKLNSNFSFLCKMPLHFFQVSKRPSKDQYRTCRFPKCPVSESSISSPLEAEIADEGDDPTAELRYLDPEVDPQSISEWELDFCSRPILDIRGKKIWELLVCDNSLSLQYTKYFPNNVINSVTLKNALVSICDEFGVPLPEKIRFFRSQMQTIITRACTELGIKLIPSKRCLSLLLWLQERYETVYMRHPGFQKGAKSLLALDNPFPMELPENLYGEKWAFVQLPFSAIKEEVSSLEKRYAFGAGLDLDLLGIEVDDKNFIPGLAVATSRAVPLAAWMNGLEVCSVEADLARASLILSVGISTRYVYATYKKTPATTSEAEAWEAAKKACGGLHFIAIQDDLDSEDCAGFWLLLDLPPPPV >CDP03643 pep chromosome:AUK_PRJEB4211_v1:1:36202687:36203028:1 gene:GSCOC_T00016072001 transcript:CDP03643 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEQKLNQQWHIMPIIKLSDVLARATSKFIDDKPVTREAAEGVIGAETRDRPDMTTHPGGVAASAVAAAGVNKDV >CDP17111 pep chromosome:AUK_PRJEB4211_v1:1:37407722:37410492:1 gene:GSCOC_T00005031001 transcript:CDP17111 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIQHRQVPVNGINLHVAEIGDGPAILFLHGFPELWYSWRHQLLSLSAKGYRALAPDLRGYGDSDAPPSPSNYTALHIVGDLVGLLDSLGLDRVFLVGHDWGAVMAWYFCLLRPDRIKALVNMSVVFTPRNPKRKPLEAMRARFGDDYYICRFQEPGEAEEEFARVDTARIIKKFLTSRRPGPLCVPKEVGFGGSPHNPIQLPSWLSEDDVNYFASKFSQKGFTGGLNYYRAMDLNWELTAPWTGLQIKVPVKFIVGDLDVTFTTPGVKEYIQKGGFKRDVPFLQELVVMEGVAHFVNQEKPEEVSAHIYDFIQKF >CDP17053 pep chromosome:AUK_PRJEB4211_v1:1:37895523:37898824:1 gene:GSCOC_T00004961001 transcript:CDP17053 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNDPVNPIFNVLKCNKDDWFDLSDGKLLVNSVPLLFEVPGNVTLKSFSSISKSPSSSSAGAPRPLYQRVLKSSHKGGFLGFSKDQASSSDRLMNSLGKFSGRDFLSIFRFKTWWSTQWVGSSGSDLQMETQWVLLDVPEIRSYVIIIPIIEGKFRSALHPGADGQVMICAESGSTQVKAWSFDAIAYVHASENPYELMREAYAAVRVHLNTFKLLEEKSVPPIVNKFGWCTWDAFYLTVDPAGVWHGVKEFADGGLSPRFVIIDDGWQSINLDGQNPHEDAKNLVLGGTQMTARLHRLDEGEKFRKYKGGSMLGANRPRFDPKRPKKLISKAIEIEQAEKARDKAGAASELWELESRIERLKNELEEMFGGEEEVEPEEDKKSRGSCCRCSCRESESENLGMKAFTGDLRSCFKGLDDIYVWHALCGAWGGVRPGTTHLESKVIPCKTSPGLDGTMTDLAVVKIVEGGIGLVHPDQVHDFYHSMYSHLSQVGITGVKVDVIHTLEYVCEEYGGRVELAKAYYDGLSKSLANNFNGTGLISSMQQCNDFFLLGTRQISIGRVGDDFWFQDPNGDPNGAYWLQGVHMIHCAYNSMWMGQMIQPDWDMFQSDHLCAKFHAGSRAICGGPVYVSDSVGGHDFDLLKKLVYPDGTIPKCQHFALPTRDCLFKNPLFDGKTILKIWNFNRFGGVIGAFNCQGAGWDPKEQRIRGHSECYKSMSGWVHVAEIEWGETKEASEMGEAREYAVYLSQAGKLVLTNPASPATEITIEPSSFEIYSFVPTKELGLGRGAKFAPIGLADMFNSGGTVRALEHKDDSGVVDVEVKGGGNFIAYSSVPPEKCYLSGAETGFQWSGEEGKLMVNVEWNEEANGISHLTFVYWVAKP >CDP09689 pep chromosome:AUK_PRJEB4211_v1:1:24419297:24420669:-1 gene:GSCOC_T00030114001 transcript:CDP09689 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGGSSKVYKGCLPDGKELAVKLLKPSEAWFKVSFLGIHVSQNHLVPFVLEIEIITALNHKNIISLFGFCFEDNHLLLVYDFLSRGSLEENLHRYKKDPLAFGWNQRYKVAVGVAKALEHLHNRDAQPVIHRDVKSSNILLSDDFEPQLSDFGLAKWASTTSTHITCTDLVDSLEGSEALDDETFSHSNLQSHSHLNLALHGVEDSLSSTEQTISL >CDP15617 pep chromosome:AUK_PRJEB4211_v1:1:26835224:26838775:-1 gene:GSCOC_T00015534001 transcript:CDP15617 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQITFFGALLFFFLLQPEEVISFTFLGDHLCRHDDAVALLQFKGMFSISTYASPNCDDWRPKTTHWKADTDCCNWDGVTCHNLTGRVIGLDLSCSLLQGVIHPNSTLFHLSHLCHLNLAFNNFTGSQISHQFGSLKSLIHLNLSQSYFEGKVALEISHLPNLNSLDLSLNKLLLGYNLLSGNISNSLLNFTHLSFLDLSKNQMTGKIRDFKSRLLLRIRLENNQLSGSIPPSIFTIPTLSSLDLSSNYFTGIGQDLFVDFNQFQKSPLLNEAPWNATNNVNISYPDYLSYLNLSHNFLTGSINQLPMTPSLEYLDVSSNSLHGPIPSSICNASLLKILDLSTNNLSGPIPQCLGNFSQHLDIMDLGNNRLSGTNTIPTTFSKGNSLRFLKLNDNQLHGPVSRSLVHCEDLELLDLGNNEIDDKFPVWLEFLSNLEVLILRSNRFHGAIGNCQTKSPFPQLRIIDASHNELTGALPKDILSNFKAMKSSKPRLKEARYMRRLAIRVYYYIHSVELFIKGAEYSLERIIGSRHSLQLLTLSHNNFSGPIPKAFGNLSMLESLDLSWNQLEGTIPRELLNLDFLGFLNLSENRLVGPIPLGRHFDTFGDDSYRGNLDFHAYSLSRSLANVKKLEILLVGDHNISDIFPTWLENLPRSKFLSSKADGFLEPLAPSRIK >CDP03679 pep chromosome:AUK_PRJEB4211_v1:1:35949599:35950914:1 gene:GSCOC_T00016122001 transcript:CDP03679 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWEKEIQELRLLGASNEKANAGYLLQNVPDQSWRTCEICGAPAMNIAGEQAIEVNNGTGIATAASTAPMVFSDTRSYCHGRGVMNFLLGCMVFAFIISWVFHFKILP >CDP04010 pep chromosome:AUK_PRJEB4211_v1:1:33542411:33547955:-1 gene:GSCOC_T00016536001 transcript:CDP04010 gene_biotype:protein_coding transcript_biotype:protein_coding MATFISPPASTTATQPTGLKPTVIEKEKVDYLNLPCPIPYEEIHREAFMSLKPELFEGLRFDFTKGLNPKFALSHSVLMGPTEIPSQSPETIKIPTAHYEFGANYLDPKLMLVGRIMTDGRLNARIKCDLSENLILKSSAQLTNEPHMSNVMASFDYKGKDYRSQFQLGSGALFGASYIQSVTPNLSLGGEVFWTGQHRKSGIGYGARYNNDKMVATGQVASTGMVLLSYVQKVSEKVSLASEFVYNHMSKDVTATFGYDYILRQCRLRGKIDSNGCVGALLEERLNLGLNFILSAEIDHRKKDYKFGFGMTVGE >CDP17577 pep chromosome:AUK_PRJEB4211_v1:1:1269798:1277241:1 gene:GSCOC_T00011072001 transcript:CDP17577 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEVDIYTPATYKCSVLLWVVRQIFKLFRYKPTIYFLFRDKRSCFRWWKNFLKLQVWILDSKILSAAKPINHLIVLKVMMPLARELDAGISSCICLNDHVSRSFRSSSWGSGRSDR >CDP06876 pep chromosome:AUK_PRJEB4211_v1:1:27358112:27363789:1 gene:GSCOC_T00023911001 transcript:CDP06876 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPTNSHSQVNEGPRFAEVDMGADSSATSIRATVVQASTIFYDTPATLDKAERLLAEAASYGSQLVVFPEAFIGGYPRGSNFGVTVGNRTAKGKEDFRKYHAAAIDVPGPEVDRLAAMAGKYKVYLVMGVIERDGYTLYCTVLFFDSQGRYLGKHRKVMPTALERVIWGFGDGSTIPVFETPIGKIGAAICWENKMPLLRMAMYAKGIEIYCAPTADSRDVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYLFLGTEEDLPPDSVVCAGGSVIISPSGTVLAGPNYEGEALISADLDLGEIARAKFDFDVVGHYSRPEVLSLVVRDHPTRPVTFTSESEKGEGTQK >CDP09697 pep chromosome:AUK_PRJEB4211_v1:1:24687315:24689112:1 gene:GSCOC_T00030128001 transcript:CDP09697 gene_biotype:protein_coding transcript_biotype:protein_coding MHDCFKWQSPDGDIIDCINIYHQPAFDHPLLKNHTILVSLSIPPKSKTREKSLQDKKPINQLWQLGGRCPEGTIPIRRYQKARYAKKEHRNFPQLADFSNHEYAFAYVQSNKFLGAKATINLWQPQVQGSGEFSLAQIWVLAGADSALNSVEAGWTVFPSHFGDSNTRLFNYWTRDRYQSTGCYNLDCPGFVQTSNSIALGATISPVSTYHGAQHEITLHIFKDPKKNAWWLQHGNDDVIGYWPASLFKDLADSASLIEWGGEIINNAQGGQHTTTQMGSGHFAEERARGASYFKNLQVVDQSSTLVPPGDIKAVATKPNCYNIVPGKSDNAGDYFYFGGPGRNPKCP >CDP09201 pep chromosome:AUK_PRJEB4211_v1:1:32429411:32433832:-1 gene:GSCOC_T00028430001 transcript:CDP09201 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD3 [Source:Projected from Arabidopsis thaliana (AT2G29980) UniProtKB/TrEMBL;Acc:A0A178VX43] MCQDLGHSLSPLSADKKGQVENTKPGRALVGECEETERPVNSSSGIISKDMDEVDFDPSAPPPFKIADIRAAIPEHCWVKNPWRSLSYVARDLVVVVGLIALALHFDNWMVWPVYWAAQGTMFWAIFVLGHDCGHGSFSNNPTLNGFVGHILHSSILVPYHGWRISHRTHHQNHGNVEKDESWVPLPEKTYKNLDYSTKFMRYKIPFPMFAYPLYLWSRSPGKTGSHFDPYSDLFQPSDRKYVVTSTVCWTLMLVSLFCLSAVFGVMQMLKIYGIPYLVFVMWLDFVTYLHHHGYEQKLPWYRGQEWSYLRGGLTTVDRDYGWFNNIHHDIGTHVIHHLFPQIPHYHLVEATRAAKSVLGKYYREPRKSGPIPFHLIENLVGSISQDHYVSDTGDIVYYQTDPNLNGSHHKSKGQ >CDP03773 pep chromosome:AUK_PRJEB4211_v1:1:35176577:35182251:-1 gene:GSCOC_T00016253001 transcript:CDP03773 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEKLGKEQKRAQVKVKLRKVMNCLCSGELAKADEMIPSSESLATKDYSTSVYSSQAGEAERKPDAGNIEEAESSLRESGSLNYEEARALLGRYEYQKGNIEAALHVFEGIDIAAVTPKMKITLARRGDHPRKQSQNYSSLPMSLHAVSLLLEAILLKAKSLEALGRYKEAAQSCSVILDIVESSLPAGLPENFAADCKLQETLSNAVELLPELWKLADFPGETIISYRRSLLHPWNLDAETVARIQKEFAVFLLYSGGEANPPNLRSQMDSSFVPRNNIEEAILLLMILLRKVSLNRIEWDPSVLDHLTYALSVSGGLRALAYQVEELLPRTIEQKDRYEKLALCYYGEGDEWAALNLLRKLLSRAVDPTCVPALLLASKLCGDSCSYAEDGISFAQRAIESLKGRCDQLTGVANFLKGISLSNSSRSAVSDSQRVQRQTEALHSLEIAGKITQMKDPMIIYHLSLENAEQRKLDSAFYYAKFLLELENGSNPRAWLLCARILSAQKRFVDAESIINAALDQTGRWDQGDLLRTKAKLQIAQGQVKNAIETYTQLLAVLQVQLKSFGSGQKLEGGWKQNRNLELETWHDLASIYIRLSQWRDAEICLSKSEGINRHSAVRWHTAGMLHEAKGQHKEALKAFAHALSIDPSQVPSLVSLAVVLRRMGTQSPATVRSFLTEAIRLDRMNASAWYNLGLVFKDEGPGSATEAAECFEAAAFLEETAPVEPFR >CDP17396 pep chromosome:AUK_PRJEB4211_v1:1:12662015:12664612:-1 gene:GSCOC_T00004455001 transcript:CDP17396 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDTSNSLFDFVVKKGNGVKGLVDSGIQKVPDRYVQPSFERIGKLVVGSYENSSPIDLSKLDGPDHDQVVEAIVRASETLGFFQVVNHGVPVDLLDSLKDAAHQFFAQPPESKAVFLKGISPSPLVKYGTSFAPDKEKALEWKDYVSMVYTNDSEALEHWPKDCKEMALDYMKSSIKMVRRLLEILFGYLGVEVDDSRVESLLGLKMVNMNFYPACPNPELTVGVGRHSDMGTFTVLLQDGIGGLHVKVENDTVEGQKEDWLEIPPCPGALVINVGDALQILSNGRYKSAEHRVRTTNKQSRVSIPIFTVPRPTEKIGPLPQLVERDGVAHYKEVIFEDYMNNFFGKAHQGKKSLDFAKIN >CDP06992 pep chromosome:AUK_PRJEB4211_v1:1:28578953:28586127:-1 gene:GSCOC_T00024064001 transcript:CDP06992 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVTDELVLMVRSIVGAEYSNMDIVRALHMAKNDPTAAINIIFDTPGFRKPEIPKKPEPLNRNLSPEPATAANPSVNGTDDHDSKAKNQNLKVEDARCSSSNGCSSSSSMGSEWWLVGCGEVAGISTCKGRTLKPGEEVFFTFPVERKSSSPSPGKSGGGRGRQVSSSSEIVRFSTNACGEIGRIPYEWARCLLPLVRDKKVRIDGHCKSAPPVLGIMDSIILSVSVYINSSMFQKSHQASLKAASNDESVIHPLPTLFQLLGLSPFQKAEFTPGDLYTKKRPLNLEDSFNVSAPLLPIPKFKSQSTLDGSKMENEESISDNDLDKIVGVADSSELLEMEPPSTLQCELHPYQKQALHWMIQLERGHCLDEAASTLHPCWDAYRLADKRGLVIYLNAFSGDATTEFPSTLRMARGGILADSMGLGKTIMTIALLLTHSERGGSLGNQLTAQTLTGNGEASLISDQSPTAKKAAKISGFEKLLKPKSFLTCGGNLIICPMTLIGQWKAEIETHAQPGTLSIYIHYGQNRSRDALVLGQSDVVLTTYGVLASEFSAENADVTGGLFSVRWFRVVLDEAHTIKSSKSQISMAAAALVADRRWCLTGTPIQNNLEDLYSLLRFLKVEPWGSWAWWNKLVQKPFEEGDARSLKLAQSILKPIMLRRTKSSTDKEGRPILVLPPADIQVIYCELTEAERDFYDALFKRSKVKFDKFVEQGRILHNYASILELLLRLRQCCDHPFLVMSRGDTQEFSDLNKLTRRFLKVGQDIVDGQARDVPSLAYVEEVVEELRKGEVGECPICLEAFEDAVLTPCAHRLCRECLLASWRSSTSGFCPVCRKMITKQELLTAPTQSRFRIDIERNWVESSKVSALLHELEILRLAGSKSIVFSQWTAFLDLLQIAFSRSDIQFLRLDGTLNQQQREKVIKQFSEEDNIMVLLMSLKAGGVGINLTAASNAFVLDPWWNPAVEEQAVMRVHRIGQTEKVMIKRFIMKGTVEERMEAVQARKQRMISGALTDQEVRSARIEELKMLFA >CDP17342 pep chromosome:AUK_PRJEB4211_v1:1:4914174:4922023:-1 gene:GSCOC_T00009671001 transcript:CDP17342 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSGTWIAVLVRIVPVVGWAMWWWSYLCFVLPVKFRCSGWDTKLPAGNMGFPLVGEMLHFLWYFRVVKRPDDFINNKRNKYGDGEGLYKTHLFGSPSIIACSPSANKSILQLDQVFAMGWPGTKITGNKFLIALEGSSHARIRGLVVKALNQPDALRRIAIMVQPGIVAALQQWSKEGRVVVFNKAKKVTFENIGKYFCGLEPGPHLDTLDHLFAGIMHGVTSAQINIPGTAYHHALQCRKKANTFFADVINKRKYEGDAARAEYDLLDQLLHLKDDDGKQLQDTEVLDNIVGLIIAGYESTSLSIMWAVYYLAKYPNVLKKLQVCNSTHLTRLLPPDQSPEGPHIHASSLAMTCKQVVEETIRLAHISPFLFRTAKKDFEYKGYKIPKGWKVICWLRYVHADPKNFEDPLSFNPDRWNGKPKPWTNLIFGGGPRICPGNMLGRMQIAIFIHHLVVGYRWELVNADAGMSYLPLPRPIDGVEINITTI >CDP17676 pep chromosome:AUK_PRJEB4211_v1:1:5431238:5431758:1 gene:GSCOC_T00013218001 transcript:CDP17676 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYAMEGRFSEKSDVYSFGVLLLEIVSGRRNTSFYNDENEVSLLGHAWKLWNANEATKLIDAAIVNSGIQTEMLRYIHVGLLCVQQFAKDRPDVSAVLLMLTSEISNLPRLKFLVIQEDYVPQKSLVPTGFIAITT >CDP03914 pep chromosome:AUK_PRJEB4211_v1:1:34194181:34197847:1 gene:GSCOC_T00016419001 transcript:CDP03914 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRNSSAMNKLFTWVRRQSMKVKAFLAVTCLLSALVALKLLIKDHDHFFVASEAAHFLGIIVLIYKLSSQKTCSGLSLKSQELTAIFLGVRVFCSFFIEGDIHTVLDFVTLVSTLWVIYMIRFKLKSTYTAELDNMPIYYVVVPCAILAVLIYPYTSHATIYRILWAFCVYLEAISVLPQLRMIQNAKMIEAFTAHYVFALGVARFFGFAHWIILVSDTAGLNLLLVKSGYLWRLAVFLAEVVQTFILADFCYYYVKSLVGGQVLASLPV >CDP09147 pep chromosome:AUK_PRJEB4211_v1:1:32020509:32021946:-1 gene:GSCOC_T00028359001 transcript:CDP09147 gene_biotype:protein_coding transcript_biotype:protein_coding MENARESLQLAKEESDRVSQLTFPPHRPHLDSSFYEYYALRGIRVDRVEPGYVSCTFKVPSRLIDRNGNLASGAVANLVDEMGGALVHVEGLPMNVSVDMSISYLSTAKLDDELEIVSTLLGRKGGYSGTLVIVRNKLTGELIAEGRHSLFGKHASKM >CDP06962 pep chromosome:AUK_PRJEB4211_v1:1:28305118:28312627:1 gene:GSCOC_T00024023001 transcript:CDP06962 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILKVVEDDNERFLTRLRDRTDRVGIEIPKIEVRFQNLSIQGDAYVGTRALPTLWNSTLNTLEVISCSVPPLRLDTAAIGLIGLAQSKKRVVRILEGVSGIVRPTRMTLLLGPPGSGKTTLLKALAGKADDDLRVTGKITYCGHEFHEFVPQRTSAYISQHDLHYGEMTVRETMDFAGRCLGVGTRYDMLVELSRREREAGIKPDPEIDAFMKATAMAGQETSLITDYVLKILGLDICADIMVGDDMRRGISGGQKKRVTTAEMLVGPAKAFFMDEISTGLDSSTTFQIVKFMRQMVHINDITMVISLLQPAPETFNLFDDVILLSDGRIVYQGPRENILEFFEYMGFKCPERKGIADFLQEVTSKKDQQQYWYRKNQPFRYVSSEDFANAFNSFHVGQRLTADLRVPYDKARTHPAALVKEKYGISNWELFKACFDREWLLMKRSAFVFIFKTTQITIMALIALTVFLRTEMKTGHVNDAARFWGALFFSLINVMFNGMAELAMTVFRLPVFFKQRDCLFYPAWAFALPIWVLRIPISVMESVIWIIFTYYTIGFAPSAARFFKQLLAFIGIHQMALSLFRFIAAAGRAQVVANTLGTFTLLLVFILGGFIVAKDDIKNWMIWGYYVSPMMYGQNAIAINEFLSERWSNPSNGSEPTVGKTLLKDRGLFTTETWYWIGVGALFAFSLLFNVLFIGALTFLNPIGDTKAVLVEDDDEKKTRNEASNSAGLWTKKMRFSYAVIATGIQMGVRNSQANGNSMVSATNNQATRGMVLPFQPLSLAFDHVKYYVDMPAEMKSQGIEEDRLQLLRDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQETFARVSGYCEQNDIHSPYVTVYESLLYSAWLRLASDVKTETRKMFVQEVMDLVELNALRDALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVTYAGPLGRHSHKLVEYFEAVPGVPKIKEGYNPATWMLDVSTSAMEAQLEVDFAEIYANSDLYRRNQELIKELSAPEPGSRDLYFPTQYSQSFLVQCKACFWKQHWSYWRNSQYNAIRFFMTVVIGIMFGVIFWDKGTKIFRQQDLLNILGAIYAAVLFLGATNASAVQSVVAIERTVFYRERAAGMYSELPYAFAQVAIETIYVAIQTLVYTLLLYSMIGFDWTAEKFLYFYYFIFMCYAYFSMYGMMVVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRPLIPVWWRWYYWCSPVAWSIYGIFASQIADRTTGIEVPGSDTKVPINQFLKEYLGYDHDFLIPVVFAHVGWVLCFFFVFAYGIKFLNFQRR >CDP04075 pep chromosome:AUK_PRJEB4211_v1:1:33014111:33018295:-1 gene:GSCOC_T00016615001 transcript:CDP04075 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSVPDESEQYVDDARFRIPFDLFVSKKQKNGILGSGSCIRFNDSCGNLVFKVERPPPPNSRDQKSAATCHQNPPIKLIFNASGNTLFCIRKLTDGSWQGFRVTDSREELMFRVQKTVDKLTRTEFEIFLIGEESEDSKTDFKMRGSPFKRSCTIYKGNSIMAETSLMYKMGIQKAFVPRSRFRVTIFPGHIDLALVVSLVVIFFDGRKLWI >CDP18570 pep chromosome:AUK_PRJEB4211_v1:1:310211:329956:-1 gene:GSCOC_T00012399001 transcript:CDP18570 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEKNSEGPGKPAIQLDQVIEGDTIGSRNYRFVKVGESVPIKPPGKDDSLYDSHNPPSKPLAVSERFRLLFIAHSNGFYVARTKEVMGLAEEIKDNGSSQSIDELSILNLSIGRVSILALSSGDSLLAASVANQLHFFSISALLHKEQKPAFSVCLDDFSCIKDMKWARKAEKNYVVLSSDGKLHQGIGQGSPMNVMDNVDAVEWSPDGAFVAVARKNVLGIMSSQFTEKLSFSLSSTSIIGTLSILWSLIHLDSIRWLRTDSIVLGCLEGGDESEQGSYFIQVIATQSLEITDACSKPVVLSFSDAFLDYQDNVVPGATGPNLFVSYLDHQKLAFIANRKNLSQHIVLLDWSQDGNKNEPAIVELLNDAWRAYIESQGNGDDNLILASYVDKVSQNHEIRCIVGEAETELSPCCILLCLTIDGKLSVFHFFSATGALGSPEVIADSDGKEDGYAVMLPEHGLSEISSEVREQNVQHVSLCLEPDDSGMAEPHTVDDVVTGNSKLSASWESKKPDKQSSFDNLEQKPPKSFEKPNGDNEETFTIPMPNQDGGNQELLSAEKPGPKSEDLPSKTCHLEAPGIRDRDFCKTEAFSVAKPHVNSFSVSTDLSSQSISKNLQASGSLEFRENYVLTDLRTASPSFSIAKSTLLETSDEKSLLSSIGIIDKKSPGIPDRNTLHSTGCLFQSPPKSKETAAPAVTIDSSGQRPMAKMGDIGSVSAFGNSQVILQESFASGLSSVPRIYEENLSASSQWPNNEQKLSKQICNVEVMARKMDSLLEAIGGAGGFRDASTTSQEDSVVALEEGLWTISERCRMWRGVLEERLREIQLLLDKTVQVSAKRICLQGIFQQATDKWYWDLWNCQKLSSELELKRKHIIEVDQDLTSQLVELERHFNTIEFNRFGKSEVAQTNPRAIQRSHGKSRQQSFYSLQNTMGAQLAAAEKLSECLRKQMAALNVQCPAKKQNLRRELFETLGLTYDGASYNSPSNQKASDTLTKQLLKTSCSSVSAKELSCRNQYTPVKASESESVRRRRDSLDQKWSSSEAPKTTLKRIIVQGEHENSSTNRLPSKAEEKHLKLRPPKGSAAAHSILSDSSATSTLQSKSPIVAEKHVKQSIEYSMAPSQSADALADPAKQVSREGSPAVWQLSASTASANLNGTRENHTSLWKSKSGLPLVRTSESLSGSDSRCIQQSKLPCFDAPSIPERLPGVSMTSSKNDVEIPNNEVPRKEVKNTLTTTKSSLSDSNSSYKASVSPAEPIALSPSFSQKSVDTEIAKSKSSPGETTLPSPASLTPQVNLSSTTSSSLSKASAATSSSAMLSGKFSPSEFRTETHQNASNLIVSSSLTSASSLPFSIPKLDGFSSTTLLFKNGNAGTLETGSQPLVSVFGSKRDGDSTKGTRLSNSSSAIGEAFELPVSVSQLGLANDTSNFGTERTTQSTPVTELSPSLKSENQPSISSMSDATTEMAPYGKLQQPWPSTTNSPAATVSGMPNDGKNGSSAVSDEDEMEEEAPEGSLTTELALGNLGGFGIGSTPNSTLGRPNPFGGEMLHKAATSPSSPFTLPTPSGELFRPASFNFQSPLYSQPSQSANIGAFSSAFNTGNTSQGATASGFGQPGQFGSGQQALGSVLGAFGQSRQLGASPPRSGIPPPSGLTGMSTGGFGGGFSSVASAGGGFASLATGGGFAAAAMTGGGGGFAAAATAGGGFAAAAPAGSGFASGGFGAFSTQGGGGFSTFATSSGAGRPPSELFTQMRK >CDP09203 pep chromosome:AUK_PRJEB4211_v1:1:32439800:32445703:-1 gene:GSCOC_T00028432001 transcript:CDP09203 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASSSSSHAAVEAIHRALSDVSVSDDRQYAWENARRFSGYAKRMHFLVNQLLRSTVPENLPPSVLTALKGITVDLTQVAETLAVYKHKSKIFVLINCLELCASLQERTLAIAAWLALLGSAVQDDGIPDLQNKIADLSRDMKQAHFRVTENEERVYCTLKKEGQGRQCSKAVQSAMVMDLARALGIDSNNHLALADQVKLLRNDIGNSSSISDRRILTSLAKIVENWAIQPDILTQKFEFNSEEEGAQLLPFKNFLCPLTKEIMKSPVVLESAQTYEKTAINYWFERCLEDGREPTCPVTGVVLKSLELKPNIGLAGAIDEWVNRNIEVQIKRAVEYLSEDSSSMDSIDRSLDSIYKISEEHPMSRYRVRNEGIVVLILKLLRNSSKVIGSLLRSKALMVLFSMAKDEESRVIMLEEGITRSAIHGLIGSSEKEKEFAVRLLLDFSSDEDFCIKIASEKGALVLLSCMADNLENPSLSHLAEEVLKRIEKVEQNVEHLAVAGRFEPLMKRLCEGPDDVKIEMASVVGRMTLTNSSKEQIACQGARSLVELLSNLDGRAASLQALYNLSCFAENATILTDSAVLPALTEILFENQVVSLELKALAASIIANIVMSPGHWELASADKAGHPLQSESIISSFLGLLLLASPPCKLSVLQILYRIASSPQASESVTTLIRSGDGIKTIITFLEHPEIEHRNYALRLTRVLSERFGEELASALRTSNKFVMLKDKVLDSQSRDGERSDAACILANLSLSENEVKTMLGTGFIKWIVSTLKGQHRNTNGRSSRSNSTMAEGLLGLLLHFCRSSDPQCLGVVKEHQVMTIFRDQLVFASTVRMKQLAALGLKYLSESGMSLAAAGDFDPSPPQGFCSSFFICTRALPAHSLCPIHATPCEEGSQLCLLKSNCIKPLVDALSDRDTTVQVAALEALSTLLQENSAGLKRAMGELESLGMANAVVVLFTESRPGELQEKAIGMVDKMLRADSFAHRQSLNQSLVRALVEAFKYGSVMTKSHAQDALTSLKQISGVSGQPSSQSRGQR >CDP17679 pep chromosome:AUK_PRJEB4211_v1:1:5481002:5481184:-1 gene:GSCOC_T00013224001 transcript:CDP17679 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKTWPSKSDTPHFTALPFTRKESDHPPQPPPLFLQIQYLRAHEEFYLFLVENPCPQQP >CDP08465 pep chromosome:AUK_PRJEB4211_v1:1:21524713:21526353:1 gene:GSCOC_T00027372001 transcript:CDP08465 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQSITSNFIPCFLVAAILLTLIKELKRSQKTKTIQKLPPGPWKLPFIGCMHHLIGSLPHRCLKNLAQKYGSLMHLQLGEVSLIIVTSPNLAKEIMKTHDLSFATRPELLAFKIICYDTKDIAFSPYGDYWRQMRKISVLELLSAKSVRSFENIRQDEVLHLVEAIRPLAGKKVNLTEQVFSYASSMVCRAAFGQVSKEDQYEFVQLMKQVAALAGGFDIADLFPSYKILHVLTGMKPKLLKIHHKMDSIFEKLIEEHIKNQTRNKKLVADSNQEDLIDVLLRIRDSGNLQFPITNNNIKAIIFDMFAAGTETSSSTVEWAMSEMIRNPDVMAKAQREIRQSFKGKQNIDEADVQGLRYLKLVIKETLRLHPPFPLLLPRECREQCELNGYTIPIKTKVMINFWAIGRDPEHWSDPESFVPERFDNNPVDFTGNHFEFVPFGAGRRICPGMSFALANVELPLALLLCHFNWRLPDGMKSRELDMLENNGITATRKNNLYLVPSLYDPSTDLE >CDP03609 pep chromosome:AUK_PRJEB4211_v1:1:36446899:36449143:-1 gene:GSCOC_T00016028001 transcript:CDP03609 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPVKFCVVDAFADQAFKGNPAAVCVLEDDKDEEWMQSVATEFNISETCYLTRIVDSDSQSTTPRFKLRWFTPVAEVKLCGHATLAASYFLFSYGLVNSDKIEFLTLSGILTAKKVPDSKTSNSIDHQNGDIQEDYFVELDFPVVPIAAPNSAEISEISKSLNGASVVEIHKTTTEEDLLVVLPSGKAVVEAEPLFDEIKRCPGSGLIITGPAPPESGFDFYSRFFCPKYGINEDPVCGSAHCALAPYWSKKLGKCDFVAYQASPRSGVLHLHVDEKNQRVLLRGKAVVVTEGSILV >CDP03907 pep chromosome:AUK_PRJEB4211_v1:1:34240949:34246292:1 gene:GSCOC_T00016410001 transcript:CDP03907 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRRRKLLGSGRCNPFLAPLPKFSENGYTPEIRMQNTKPFSVHPIPSIDVDETKEKLSPLKASGSSNVPACTELKEQHTRQFPEVKRRKRHRRKHFENQEQCVMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRNFKWDEFLAITRSAINHKKHHRRNGAGSRRKSESMMLKRALESEEGGNGLSGSEDTAEPDTSGS >CDP09279 pep chromosome:AUK_PRJEB4211_v1:1:17883017:17887031:1 gene:GSCOC_T00028569001 transcript:CDP09279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-activated RelA/spot-like protein [Source:Projected from Arabidopsis thaliana (AT3G17470) UniProtKB/TrEMBL;Acc:A0A1I9LR76] MVAELVGAFNHSSNSNCSSNLSTSSSRLLFKTLKLAIPILQALPPTPPGHRPPLSKALSVAFILADLQMDAEVISAGILRQVLEAGAMSFFQVKDRIGTSTAHLLHESLRLNNIYSKVQILDDDSAAALRKYCLTCYDSRALILDLALKLDSMRHLNYLPRYQQQLISLEVMKIHAPLAHALSTNILSLELEDLSFRYLFPYSYVYVDTWLGSHQTSGSEHLLDIYQEQLLQSLRSDPILTEIVDDISVKARSKSRYSTMKKLLRDGRKPEEVYDILGLRVILSPSQVNSSQLGEKACYRTREIIRSLWKEIPSRSRDYIARPKANGYRSLHMVVDISDSGRHRPMMEIQIRTAEMDMLAADGTASHALYKSGLTDPEEAKRLKAIMIAAAEFAAMRLQDLPSANTRCLEIDRRDRVFCLLDKDGDGKISIEELMEVMEELGAEGEDAREMMELLDSNSDGSLSSDEFDLFQKQAELMRNLDARDDQYKTLLDEKLQISDSSGVIQAYT >CDP09665 pep chromosome:AUK_PRJEB4211_v1:1:24098562:24102130:1 gene:GSCOC_T00030076001 transcript:CDP09665 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDKDIVIVGAGISGLATSLGLHRYGLQSLVLESSESLRTTGFALTLWTNAWRSLDALGVGDYLRQLSLAFRGFQIANVDTGLPSGEVLLEESTYGNYEARCVRRKDLLQTLAKELPEGTIRYSSKVVSIEESGHLKLVHLADGCVIRAKVLIGCDGVNSVVAKWLGFKKPIRVGRSAIRGYVEFPAAHGFKPQIYAYFGGGVRFGFAPCDDKSIYWFCTFKPSTATGHENMSDNPVLLKEFVLRKTANVPKEVYGIVERTELESISCAELKMRLPWDILIRDFAKSSICLVGDALHPMTPDLGQGGSSALEDCIMLARCIGECFPKMTSRKFGEEKEDVNVKMAAFNKGLENYAKERRWRSFSLIATAFVVGFIQESDNKFIRFLRERFLSKYTVPTLLWMADFDCGKLNIP >CDP03791 pep chromosome:AUK_PRJEB4211_v1:1:35060040:35062285:-1 gene:GSCOC_T00016274001 transcript:CDP03791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 56 [Source:Projected from Arabidopsis thaliana (AT5G43980) UniProtKB/Swiss-Prot;Acc:Q8GXV7] MGSSCTLSILLLTSFINLGFSADYTSLVYKGCADQKFQDPSGDYSRSLENLLDTLTSRSSSTKFYKATSGQGQSAITGLFQCRGDLSSNDCNNCVKRTPDVSRRLCGNAIAVRVQLGGCYLRYEASGFRQVSATELLFKICGSTQASGSGFQDRLDAALGEIGKGVGSSGNGFYTGGYQSVYVLGQCEGDLASGDCADCVKAASQRAKSECPASISAQIYLQQCYVSYTYYPNGVPPRSLSSSGSGGTGPNTQKTVAIVLGGTVGVGLVIAVLSVVRSALNKKGRPKYTGG >CDP19194 pep chromosome:AUK_PRJEB4211_v1:1:444874:445382:-1 gene:GSCOC_T00005541001 transcript:CDP19194 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFKEKRWCRKTLFDNILVSLQVKSGTLFDNVLVSDDLEYAKKLAEETWGKHKDAEKVAFDEAEKKREEEVLFINSPLLHDVLSTFEFHFCIVWTLDFLYTALFEQ >CDP09681 pep chromosome:AUK_PRJEB4211_v1:1:24274978:24285520:1 gene:GSCOC_T00030101001 transcript:CDP09681 gene_biotype:protein_coding transcript_biotype:protein_coding MAENEGTGGDDQKEVASGPPKSPWKTTAPVDSSSSPVTSDSWPALSDAQQRTPKSISIDSRSPKSPPPLQQSAPAGAEPSSPAPPGSAEQQKSNGRGNPKASHKSSGVRPQKMGPKHYPNGAPHFAVPLPYHQPGMPPVFHTMVPMPHIPVHGYVYQPAPGPFPSADTHVPMQAFTPVDGSYRPSPRPGTKAYDAKSLKRRPELQDQNFQSTPRQNQQPVASKENVQSQQPMGPRPFMRPPFFPPPGFIDGPGFPGPAGPIYYIRPAPPGSARMPYPPFFPLHPLSPGPPMVPSATVSLRGSIVKQIEYYFSDENLQNDHYLLSLMDDHGWVPISIIADFKRVKKMSTDISFILDALQASTTIEIQGDKVRRRDEWSKWINHKLSPLVWSSPKTLENNDSSLSATNEMNHDKDSFDKANRISIRNERVVDYTSSRSSTQDVMVTDDAEKNYRAIQIGNVVSVPELVSPSKRQSTRFCPNKAMPECSQGPSAKSDVNEGNANRCAKLPSDATKQKVEDLSNDFSSTFMLDEELELEHKTDSKHTSATGRVDDEDEEMVVNDQAVDRLVIVTQNTRMVDESGSVVKGPNSMSCELASAINDGLLFYEQELKARHSNNGNKISSHENRDDSSKSSVAAPNMSKSRAVNTLAGGSSSESPGSSSSRRKQNKGFPKQHSNFMQRLFPSTFRNHGTGRNSFGTISESPPSMSVGFFFGSTPPDSHGIRPSKLNASPRGNSSVGSPPVGSVPKSFPAFQHPSHKLLEENGFKQQLYKKYHKRCLGERKKLGIGCSEEMNTLYRFWSYFLRSIFVESMYNEFRKLALEDAAANYNYGMECLFRFYSYGLEKEFREDLYADFEQLTLDFYNKGSLYGLEKYWALHHYREEHGERSPLKRHPDLDRLLREEYRSLDDFKHAKGKFPAVKEDG >CDP13092 pep chromosome:AUK_PRJEB4211_v1:1:2556788:2568202:-1 gene:GSCOC_T00037875001 transcript:CDP13092 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLEQSSNYSQSTATSVPASGSKISKFATKSGFLIPKNKISGSLVPFIRGGKKGGGDAANGENNKEGQRKTKWGPDLTQDTAVRKGRALAYQTRVDQIAQQLRLGKLETEENQDLSSPFQTEEHKFSDRQLEAQELELLELERRDATGEILKLNPSYKVPADYKPVLKEAKVPIPIKENAAYNMVGLIFGPANDTQKRLEKETGAKVRVYGTRAVTGEKVEVTTPDGNETCGSYDELHVHVSADTYEKIDAAVALIELLVTPVSGNPASITVTSTATSGDNVNSSAPSQGTPGTNVPAGVASGVAQPSVGSGPAPSPNHFQQYSGPWFPVGAPQTHAFPSSGFAAAPVHLSPSQFNPSSMLPIFGPRPVVTVGFTPVPQNPSLITSGPQQPQVLQRPYISHPPPLSASQPAPIQSNVTSPQLSTNQSAPTGPTQFGSSISISYQPPYAGSSIVPVNTPTSIGAGNMMSMTPATTGLQGHPSMASHPLAVSRAAPPNIFPITQQSALQSAVPGPMQSVMPRAVIPNSSPNVVPGSTPLLSPVTSSSTLLHPASGIPNSGPAGAVSFNAINPANMTAPRPQQPSSNDFTFQPHRPHNPALEVAHRPNTQPILLHPNQSAQPYQESQISPVQPGMHMLRLPPANPGFSRPNVGNQMMQPRAQTSVNFPSSPTALLGPPRHAPFPGSNAAPLLQPRNFNLDPPFVNADGIPRAGGPMQIQQNYPPSATRPPSFVAPNQHINSNISFQPAAGLSSRASGVQQVYDPFSPTSVSLNTHLSSNRAKIQKQESDPEYEDLMASVGVK >CDP11082 pep chromosome:AUK_PRJEB4211_v1:1:7970247:7973771:-1 gene:GSCOC_T00033099001 transcript:CDP11082 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQNYSICPISLVSVCLAILHLLSSSRINAASDQMHSASSTGSEGQALLTWKASLDNYSQSKLSSWSSSANPCSTWDGVRCNKAGRVSVINITSSGIKGTLDHLNFSSLPHLTTIDLSQNALHGTIPSNIGYLSRLTNLAFWSNQLSGAIPAEISQLTNLRLLNLSDNSFNGSIPTSIGRNLTNLIDLRISQNNLYGAIPPELGTLKLLAYINIFQNQLSGTLPNGFNNLTHLNRLALSQNHFSGHLPQNICIGSSLTWFIVSENNFVGAMPRSLKNCSSLRVISVSYNQLSGNISEEFGVYPHADYIYLNNNKFYGQLPWNWSGYLNLTVLGISNNNLSGRIPAGLGEVSRLQKLHLSSNHLHGKIPRSLGKLTLLLELKLDGNDLSGNIPSEIGQMSRLLNLSLSANNLSGSIPEQIGNCTQLLDLNLSQNALIDSIPSQIGNLPSLATLDLSQNMLESKLPPELGEMKSIETMNLSHNRISGFIPKSFDHCFSLISIDISYNQLEGPLPNISAFQKAPFDSLRNNKGLCGSVAGLKPCSQSTQKNTSRKTTKRMIFLVVAPILATIFLSVMVVGIFIRGRSHTRSMENKPQEFTRNMFSVWSFDGKMVYENIIDAIENFDPKYCIGAGGFGSVFKAELPSGQVVAVKKLHETDGGALRRPKDFTNEIRALTNIRHRNIVKLYGFCSHAQHTFLVYEFLEGGSLLHLLSNDETVAMFDCIKRVNIVKDVANALSYMHQNCSPSIIHRDISSKNILLDSEYQAHISDFGTARILRPDSSHWTSFAGTYGYAAPELAYTMEVNEKCDVYSFGVLALEVIMGKHPGDFIVSTVSASSTSTVYDILLKDIVDPRLLSPSKQESKQVTLVAKLALSCIEPNPQLRPTMKQVCVQLMKELPSQFNIFPMVTIGQLLDLQMTNV >CDP09672 pep chromosome:AUK_PRJEB4211_v1:1:24170839:24173326:1 gene:GSCOC_T00030085001 transcript:CDP09672 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTFGILCEMIRDTGGLKATRNMSIEEIVAMFVYVLAHHKKSRTICGLFWRSRETVSRQFNLCLLAVLKLHTILLKKPEPITEDCTDERWKCFKNCLGALDGTLIDVTPPTEQKSRYRTRKGSIATNVLGVCCYYLVDAGYCNADGFLAPYRGQRYHLNEFNVMKKMENDEIVRGRGKNKCFWTGEEVKVLIESLQELACDPMFHAIVEMCKESGCSWNDAEKKISYEKQWYDDWCKTHKDAKGLWDVKFPYLGDLEIVYGRDRATGNVAEDFTQTVQDMEAVQNLEEGDEGLDAMSNSDNDKVEEDEVNSMEQSTQPSSTSTRNSKKQKKQSPPIANVSKKMKSASTTRGDLDASLQLLTSKFGDFVEGIQANFTTIAAAMSNEDKREQLVSDRRDQVVAELMKLALPSGDVMNAADILSEQISKLHVFYNLPAEMKRQYVINLLYPPSTR >CDP07115 pep chromosome:AUK_PRJEB4211_v1:1:29875643:29876731:1 gene:GSCOC_T00024233001 transcript:CDP07115 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFHIFTLALLVLLFNSPVSFSVDPPQTSPSPSPEHGADVPSLEPKKSLPPSPSPSVNSPPAPPPNLAPESSPSPAPTPANSTEPLKKSPAPSPSEAGDVSHADSSDIEAEDESSEGMSRGKKAGIAIGVVAGVCIVGIGALVYKKRQQNIQRAQFGYAARRELL >CDP17548 pep chromosome:AUK_PRJEB4211_v1:1:718549:719124:1 gene:GSCOC_T00011025001 transcript:CDP17548 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEELQRSIIAAIGELSRYKTYLKKTGRADTLIPEVTTFDGKKFCYCSILSVMF >CDP16599 pep chromosome:AUK_PRJEB4211_v1:1:6822092:6823185:1 gene:GSCOC_T00019028001 transcript:CDP16599 gene_biotype:protein_coding transcript_biotype:protein_coding MEITVWKLKKSLKSHPMNGGADSKSYAQNSSYQVLLISLISPTKLYFFFHFLYLTIPSHFKNDWPRTFVIADYGCSKPLAYFAQFRKDLNRFLNARAEELVGGGLLVIQLPGVPSGALPFNTSARFLQELLGPCLFEMALSSKCLKNHLNPIIVAEVIAEIQKCVKAINFPSSYVTNLIKVICLR >CDP19122 pep chromosome:AUK_PRJEB4211_v1:1:25578964:25587081:1 gene:GSCOC_T00009375001 transcript:CDP19122 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSKDQLIARLKELQIDFDLYEHPVVLTVEEQAKYVGHLDGALSKNLFLKDKKSRFYIVSALSNTKVDMKILSQRLGLGKGGLRMAPEEALGEILQVPRGCVTPFALVNESARSVSLLLDQGLKTQGHCFFHPLSNDVTISLNARDLDKFLNSIGKPPAYVDLEANPPVGKDQPPDLAAFVPSDATIPPDNLEKTASSVAPEKNHISADIKSKVVTDKPNKPPNGPKNEKSTNAPAVSASFSDPEKFVEEILEKTSRVVLSEIKGEAIKQHGDQLGSVVSNSIRRQLTAELKNIATIFKNTAYTEGFHASNHQQPKRS >CDP18583 pep chromosome:AUK_PRJEB4211_v1:1:195322:200129:-1 gene:GSCOC_T00012414001 transcript:CDP18583 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFAGMSSVGSLAAPSTCIIDNNKLAASSNKLSSLACISSSSFGRKRNVVLRKSRSSQITASAKELYFNKDGSAIKKLQIGVNKLSDLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTKVLVSELKKMSKEVEDSELADVATVSAGNNYEVGNMIAEALSKVGRKGVVTLEEGKSSENSLRVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVEKKITNARDLVNILEDAIRSGFPVLIIAEDIEQEALATLVVNRLRGALKVAALKAPGFGERKSQYLDDIAILTGGTVIREEVGLTLDRADKEVLGHAAKVVLTKDATTIVGDGSTQEAVNKRVAQIRNLIDAAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCALLRLACKVDDIKETLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSSDNLKYGYNAATGNYEDLMAAGIIDPTKVVRCCIEHAASVAKTFLMSDCVVVEIKVPEPVAAGNPMDNSGYGY >CDP11066 pep chromosome:AUK_PRJEB4211_v1:1:8712063:8713356:-1 gene:GSCOC_T00033072001 transcript:CDP11066 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGRTSAPTTRTVQTKNPINRAPHWKPPFTISDIRKAIPPHCFKKSLLRSFSYLVHDLTLVFLFYYIATTYFDLLPSPYNYLAWPIYWILQGCVFLGVWVIAHECGHHAFSDYQWMDDTVGFILHSALMVPYFSWKYSHRRHHSNIGSIEHDEVFVPKPKSEIEWYYKYLNNSPGRVITLAITLVLGWPLYLAINASGRQYDPYCPINNARERLQIYISDVGVIVTICALYHSALAKGLAWLICIYVLPLLILNGIIVFITYLHHTHTSLPHYDSSEWDWLRGALATVDRDYGVLNKVFHNITDTHVAHHLFSTMPHYHAVEATEAMKPILGEYYRFDGSPLYKAMRREAKECLYVEPDEGSKGVFWYKSKI >CDP06989 pep chromosome:AUK_PRJEB4211_v1:1:28538614:28545230:-1 gene:GSCOC_T00024060001 transcript:CDP06989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G22740) UniProtKB/TrEMBL;Acc:W8PUD8] MPEISAANLIPESFDFRGSTADIAGQIGLIWELIRAPLIVPLLRLAVYICLAMSLMLFIERLYMGIVIILVKIFWKKPEKRYKWEPMRDDLEIGNAAFPMVLVQIPMFNEKEVYKISIGAACNLSWPSDRIVIQVLDDSTDPIIKDMVEKECQRWASKGIHCRYQIRETRGGYKAGALKEGLKHDYVKDCEYVVIFDADFRPEPDFLRRAIPFLMHNSDIALVQARWRFVNSDECLLTRMQEMSLDYHFTVEQEVGSSTHAFFGFNGTGGIWRIAAINEAGGWKDRTTVEDMDLAVRAGLKGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVMEIVRNKKIAVWKKVYVIYSFFFVRKVIAHMVTFFFYCVVLPLTILVPEVEVPKWGAIYIPCIITALNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLEAKRANEWVVTEKLGDALKNKSNVVKAKPKKIGLKIGDRIHLTELGFAVFLFFCGCYDFLYGKNNYFIYLFLQVITFTIAGFGYIGTIVPTS >CDP19193 pep chromosome:AUK_PRJEB4211_v1:1:443413:444149:-1 gene:GSCOC_T00005540001 transcript:CDP19193 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYVHRIGRTCRAGSSGRATSFYTDRDMYLVAQIKKAIVDVESGNTVSYATGKVARRMEKEAAAAHKEARIALSKVSLLGTAPLNVEDKYKHMIFPAMARKEGAADDAWDD >CDP07074 pep chromosome:AUK_PRJEB4211_v1:1:29371624:29373146:1 gene:GSCOC_T00024180001 transcript:CDP07074 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLAEPDPSDMVQAITKAINILPQIDPQTMHLRMKKLYSWHDVARRTETVYDRALRCSSQPLLERLSRYLTCGAWAGKLFCLVMLIDCMLWQFLQLWQPDRDVEVVPDATLTSFRPEEMSWDSETLR >CDP03866 pep chromosome:AUK_PRJEB4211_v1:1:34510371:34518230:-1 gene:GSCOC_T00016363001 transcript:CDP03866 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIHDYLLKRKLHNSAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIETQQMKAREHQQQLQLQQLQLMQQRNAQLQRRDPNHPPLGGSINALNSEGIMGQPSASVLAMKMYEERMKHPHSMDSDTSPALIDANRMALLKSATNHQGQLVQGNSGGMSAALQQMQGRPQLTADIKTEGSMGATQKSLPMDPSSIYGQAILQSKSGLGSAGLNQGVTGLPLKGWPLTGIEQLRPSLGLQVQKPNLQNQNQFLLASQQQQVLAQAPSQGSLGSSPNFGFGGLPRGNFNPKDGQPPRNDGSICSPVQSNSPKMKMTQMQQSSSQQQEQLQQQQQQQLQQSNRKRKQHSSSGPGNSTGTGNTVGPSPNSPPSTHTPGDGMTTSSSMQHVNSVPKSFMYGADGAGGIASPTNQLDDLENFGDVGPLEDNVESFLSHDGGDANIYGTLKQTLTEHKTESSKSFSFGEVGCIRTRNKVLCCHFSSDGKLLASAGHDKKAVLWNMHTLQTESTKEEHQYLITDVRFRPNSTQLATASFDKSVRLWDAANPSYCLQAYTGHASHILSLDFHPKKNDLFCFCDSNNEIRFWNTSPFSCAQISKQGGSAQVRFQPITGHLLAAASDKVVTIYDVENDRQTHSFQGHSGVVNYLCWDLNGDYLASVSEDSVKVWSLASGECIHELSSNGNQFHSCVFHPNYSALLVIGGLRSLELWNMVENKSMTVPAHENIIAALAQSPVTGMVASASHDSSVKLWK >CDP09086 pep chromosome:AUK_PRJEB4211_v1:1:31608464:31609902:1 gene:GSCOC_T00028281001 transcript:CDP09086 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYMDEKWKLSRDDPNNHSYSSSSKSYLRKSVSQKSSTTKPPLSRSSSQRSSPTKSSLSRSSSKRCSEFTRKCSSMAKEQRAKFYIVKRCIAMLLRWNKNGDS >CDP03838 pep chromosome:AUK_PRJEB4211_v1:1:34687698:34694995:1 gene:GSCOC_T00016331001 transcript:CDP03838 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDEQVMSVNGGCGEREIWFGRDHRGHDQDNSLLDDDDNDADVNNDPCSLFYTDFPPIPDFPCMSSSSSSSSTPLPARAVASTSSSSSSSSSSAATSWAVFKDMNCMNAMENFGYMDLLDNSDIWDPCSIFESENHHQPLEEDGNDNGNDGGLYFLQANSELGVIFLEWLKQNKDHISAEDMRSIKLKRSTIDCASKRLGSTKEGKKQLLKLILEWVEQYQLHKRRATEMVVITKGTRQNSHGDGVEADCRMSKNASEALQAGDDGDGLIKGMDVIMEESAFPATAFDDDEDHMKQDEQVMSVNGGCGEREIWFGRDHRGHDQDNSLLDDDDNDADVNNDPCSLFYTDFPPIPDFPCMSSSSSSSSTPLPARAVASTSSSSSSSSSSAATSWAVFKSEADDSFHPNSEAEMQRYHPLERQCDEVVNAAAPAAALSSTASMEILPLPDDYCSNRDMNCMNAMENFGYMDLLDNSDIWDPCSIFESENVNPPQQDHDHQFQERKPAPSAYGNDNGNDGGLYFLQANSELGVIFLEWLKQNKDHISAEDMRSIKLKRSTIDCASKRLGSTKEGKKQLLKLILEWVEQYQLHKRRATEMDPSTACLSPSPSPWVPPAPPCESCPNSLAAPFPPTMGGYARDPYSSAAAATVAVPVPVSNQTMNNANLYLVPAEYHQPTDSPQSWSGPYSMPQAQYNPFPDNTKLPVSGSQAQALYANPYPYQVFDGSSGERLVRYGSSATKEARKKRMARQRRISLHQYRHHHTHQNQPQSLVNEQHATRIPAVGDDCTSNSRANPGNWIYWSPAVPPPAPAPMASTMPPLVSSDKPSHRQAPTQPVPSSDRKQSWKTEKNLKFLLQKVLKQSDVGNLGRIVLPKKEAETHLPELESRDGIPIALEDIGTSNVWNMRYRFWPNNKSRMYLLENTGDFVRVNGLQEGDFIVIYSDTKCGKYLIRGVKVRQPGSKSEAKKPARRNQRNVPQAANNFAFASFKQTVKRTTN >CDP03677 pep chromosome:AUK_PRJEB4211_v1:1:35963321:35983030:-1 gene:GSCOC_T00016117001 transcript:CDP03677 gene_biotype:protein_coding transcript_biotype:protein_coding MKWATLLKDFKEKVGLSQTPSAAASSSSSSSAVSSPFPDHNAFSSNQEFSSSPSSRDKYELELDFKRYWEEFRSSIAEKEKEKALNLTIDVFCRLVKQHGNVAQLITMLVETHIFSFVVGRAFVTDIEKLKLSSKTRSLEVRRVLNFFAEVTKDGVRPGANLLHAVEVLVSGPIDKQSLLDSGILCCLIHVLNALLGPDGGNQRQKNLDHQEPLLTEGIQNDDNGYARRLEVEASVVHVMKALASHPSAAQSLIEDNSLQLLFQMVANGSLVVFSQYKEGLVPLHNIQLHRHAMQILGLLLVNDNGSTAKYIRKHHLIKVLLMAVKDFDPDCGDSAYTMGIVDLLLECVELSYRPDAGGIRLREDIHNAHGYQFLVQFALVLAKDKGGQRFHSNCIPSEDPALGNLSSDDCIESKNTGEKGSELSSKCLSPTLSRLLDVLVNLAQAGRADSYGSPGSKVSRGSHAKPAGHGRSRTSSADRLTDELWEKDNDKIKDLEAVQMFQDIFLKAGSRELQAEVLNRMFKIFSSHIENYKLCQQLRTVPLLILNMAGFPPSLQEIILKILEYAVTVVNCIPEQELLSLCCLLQQPITSELKHTILSFFVKLLSFDQQYKKVLREVGVLEVLLDDLKQHKLLLGPDQQKNDLNQLERKSSSSNFKKHMDSKDTILSSPKLLESSSGKLPLFEIEGTIAVSWDCMVSLLRKAEANQASFRSSSGVPFVLPFLVSDIHRPGVLRVLSCLIIEDSAQVHPEELGALVEVLKSGMVTSASGSQYRLQDDAKCDTFGALWRILGINGSAQRVFGEATGFSLLLTTLHSFQNDGYTKEYSLAVYIKVFTYLLRVITAGVCDNAVNRVKLHSVISSQTFYDLLLESGLICVECERQVIQLLLELALEIVLPPFLSSEAVASSDNLENGSVSSLMIIPSGSSVPDKERVYNAGAVRVLIRSLLLFTPKVQLEVLNMIEKLARASSFNQENLTSVGCVELLLETIYPFLSGSSPLLSYALKIVEVLGAYKLSTLELRVLVRYILQMRLASSGRFLFDMVEKLILTEDMDSENVSLAPFVEMDTSKLGHASIQVPLGERSWPPAAGYSFVCWFQFRKFLKSPLKEAEASRPGSSRRQSVTGGQLPIFLRIFSVGAADSGSTFYAELRLDEDGVLTLATSSSSSLSFSGLEIEEGRWHHLAVVHSKPNALAGLFQASFAYVYLNGKLRHTGKLGYSPSPAGKPLQVTIGTPATCARISDLSWKLRSCYLFEEVLSPGSICFMYILGRGYKGLFQDTDLLQFVPNQACGGGSMAILDALDTDLLLSSGTQKPEGAGKTGSSKADRSGFVWDSEKLGNLSLQLLGKKLIFAFDGTSTELLRASGTSSLLNLVDPMSSAASPIGGIPRFGRLLGDVFVCKQCVIGDSIRPIGGMAVVLALVEASETREMLHMALTLLACALHQNPQNVRDMQKYRGYHLLALFLHRRMSLFDMQSLEIFFQIAACEASFSEPRKVEIPRNLSPTLSPPETSFEELNLSKFRDEFSSVGSHGDLDDFSAQKDSFSHISELETSDMPSETSNCIVLSNADMVEHVLLDWTLWVTAPVPIQIALLGFLEHLVSMHWYRNHNLTILRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLASELEHVVRFTIMTFDPPELMSRHQITREAMGKHVIVRNMLLEMLIDLQVTIKSEELLEQWHKIVSSKLITYFLDEAVHPTSMRWIMTLLGVCLASSPTFTLKFRSSGGYQGLARVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPNDGNYRELKFVELLDAVVAMAKSTFDRLSMQSMLAHQTGNFSQVGVGLVAELVEGNADIGGDLQGEALMHKTYAARLMGGEAPAPAAATSVLRFMVDLAKMCPPFSAVCRRAEFLEGCVDLYFSCVRAAHALKMAKDLSVKVGEKNINDGDDTCSSQNTFSSLPQEQEQSIKTSISIGSFPQGQVSTSSEDVAIMPNNMVGHISEVYNTASQQEVEKVVQEDVQSIPNSDVEPGDQGSTVTSGSNELSFRDAKSTQDQVLEDSQFESPNVSERSSSRISVTTSSTPIVALTSWLGSVSHSELKGHLADTPSMESSTSINEIEPSSDLKSGSQGQFSANTLFALNPKLLLEVDDSGYGGGPCSAGATAVLDFMAEVLSDFVTEQIKSAPLIETILESVPIYVDAESVLVFQGLCLSRLMNFVERQLLRDDEENEKKLDKSRWSLNLDALCWMIVDRVYMGAFPRPADVLKTLEFLLSVLQLANKDGRIEEATPTAKGLLSIGRGSRQLDAYIHALFKNMNRMILFCFLPSFLITLGEDELLSSLGLQMEQKRLFPNSLPEDRTVDICTVLQLLVAHRRLIFCPSNLDTDLNCCLCVNLISLLRDNRQNVQSIAVDILKYLLVHRRVAFEDLLVSKPNQGLPLDVLHGGFDKLLTGSLSAFYEWLHLSEQIVNKVMEQCAAIMWVQYIAGSSKFPGVRIKGMDGRRKREMGRKSRDISKLDQRHWDQVNERRIALELVRDAMATELRVVRQDKYGWVLHAESEWQAHLQQLVHERGIFPMRKSSTSEELEWQLCPIEGPYRMRKKLERCKLTIDTIQNVLHGQFELEDLELSKEKPENELNTSDESDLFFNLLNGNIKEDSSDGEMYVESNLKESDDVKDVASSRAGWLEDRDSSINEMSVHSAAEFGVKSSAASFRRADSVQGKSDLGSPRQSSSMRVDEVKVVEDKSDKELNDNGEYLIRPYLEPFEKIKYKYNCERVVGLDKHDGIFLIGELSLYVIENFYIDDSGCIFQKESEDDLSVIDQALGVKKDFSLSMESHSKSTSSWGAAVKTYVGGRAWAYNGGAWGKEKVYSSGNVPHLWRMWKLNSVHEILKRDYQLRPVAIEIFSMDGCNDLLVFHKKEREDIFRNLVAMNLPRNSMLDTTISGSTKQESNEGSRLFKVMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESEDLDLSDPKTFRRLDKPMGCQTPEGEEEFRKRYESWDDPEVPKFHYGSHYSSAGIVLFYLIRLPPFSTENQKLQGGQFDHADRLFNSIKDTWSSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVNDVILPPWAKSSVREFIRKHRGALESDYVSENLHHWIDLIFGYRQRGKAAEAAVNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFLKPHPKRRSDRKLPPHPLKHSLHLVPHEIRKNSSSISQIVTFSDKILVAGANTLLKPRTYAKYIAWGFPDRSLRFMGYDQDRLLSTHENLHGGNQIQCTSASHDGQILVTGGDDGLLCVWRVVKDGPRALRQLQSERTLCAHTAKITSLHVSQPYMMIVSGSDDCTVILWDLSSLVFVRQLPEFPSPVSAIYVNDLTGEIATAAGVTLAVWSINGDCLAVVNTSQLPSDFILSLTGCTFSDWLEANWYVSGHQSGAVKVWKMVHCSEDVVPTKMTGNLTGGLNLGDEVPEYRLVLHKVLKFHKHPVTALHLTSDLKQLLSGDSGGHLVSWMLPDESLKSSINQG >CDP07002 pep chromosome:AUK_PRJEB4211_v1:1:28685321:28692573:-1 gene:GSCOC_T00024081001 transcript:CDP07002 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSIDSAEEEGFSGPPSEENEDFQPQASHHHPYAPSHEVFDISTTVDPSYLISLIRKLLPPEYSNQSLDSEVHVSPSKGPRTENGERTMVSPFNGGEVQPCAGCENAVRNICENFSEAHNPPGFTEDAMEDQQKHRSASGEEAAWEEHGCTLWDLAANETHAELMVQNLILEVLLANLMVSQSARITEISLGIIGNLACHEVSRKHIASTNGLIKTIVDQLFLDDAQCLCEALRVITLCFQSGEGVVWTEALTPEHILSRILWIAENTLNLPLIEKSVGLLSAILGSEQEIARVLLPPLMKFGLPNLLINLFAFEMSKLTEERMPERYPVLDIILQALEALSAADDFSSYICSNRELFNLLNDLIKLPDKTEVASSCVTAAVLVANILPEVEHLASEISQDFCFSQGIFDIIPFAYDDIEAKGALWSILERLLICIEVSECNPSSLHQYISILVSKSDVIEEEFVDLQLADASEEGKSFTDGTYRRTRTRTLRRIFDILKQWEFLKAQLKDAPLSEVNVVNEGDVNKLLQYCRKCLECEKASSFI >CDP07011 pep chromosome:AUK_PRJEB4211_v1:1:28818184:28834083:1 gene:GSCOC_T00024091001 transcript:CDP07011 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKVGEKFLSSVRSARSLGLLPSSSDRPEVPERAAAAAVAARVLASLPPHQRYSLASSSEELSSIYGSKPDGQVIEELEEEFYEEEFDPVRYVLEHIPCEENELAYFEEKAALRLAQLDRISERLSRHVMEHHEEMVKGMNLVRELEKDLKVANVICMNGRRHLVSSRNEVSRDLIVTKSSRKKQALLDMLPIVTELRHALDMQVVLETLVEEGNFSKAFQVLSEYLQLLDSLSELSAVQEMSRGVEVWLGKTLQKLDSLLIEVCQDFKEDSYITVLDAYALIGDVAGLAEKIQSFFMQEVISETHSVLKTIVKGDLDNHDAQSSRLTYSDLCMQIPESKFRECLLATLAVLFKLMCSYYDVLRFQLEDEVSEHKMSFLEQKPDDVHGLSSDFHYGDPKSSCSSDSLKYDEKLSTTNDDTEILSSVQEPAITSPTLPDTDGSNGFRHADYANNERDDGSAASSSGSPWFQLRKDATTLVSHTLRRGRRNLWQLVTSRVAVLLSSSAVCSTSTYQFLKNYEDLNTFVLSGEAFCGIEAVEFRQKVKTVCENYFVSFHRQSIHALKMVMEKENWLTMPPETIQVVSFAGLFGDGAALIVSSNRISNVQLLRANKSVNEGETGSKRSGFENWLKSGNPFSPELSNSPKEYSDSFLPNGSSVPGEAGTTLEKSFLNKATSKTAGGQNFNGNAAFSEDENEDLLADFIDEDSQLPSRISKPNHSRSHSSHWNDEEMRAQTGSSLSLLRLMDKYARLMQKLEVVNVEFFKGICQLFGIFFHCIFENFCQMNIHHSGKGLNDFLSQRLKTALSRITQECDQWIKPQPASASSSSPTSPNTAFSLSDVMPASPPSSLPSTSFSLKERCTAADTVSLVAHLLHRSKTHLQSMLLQRNPAIIEDFYAHLVDAVPDLTQHVHKTTARLLLHINGYIDRITNAKWEVRELGMEHNGYVDLLLGEFKHYTTRLAHGGIRKEVQDLLLEYGVEIVAEALIEGHSRVKKCTNEGRALMSLDLQVLINGLKHIVSFDVRPKLQIAYYLPETEYVHWARAHPEYTKSQIVGLINLVATMNGWRRRARLEVLERIDSLP >CDP08923 pep chromosome:AUK_PRJEB4211_v1:1:30205781:30223489:-1 gene:GSCOC_T00028057001 transcript:CDP08923 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MLSRGLVSQRIFSGFTLALYSRSSSSSHYHSSPHHLISSRSFLLLRSRSISFSSSLVHSTMQRKQPRSAARGQRWIEKPKSDRPSSTAKEVSSVGGVEAVTDKFSSLSIPQNDGESSVPPADQQFAGVGLVNNNPRQGFWETKSYGTTSGAMAVEVEKGPAAQVVGPAQGGEAQAASGGLNNAVLSKLFKGNLLDNFTVDNSTYSHAQIRATFYPKFENEKSDHEIRVRMIEMVSKGLATLEVSLKHSGSLFMYAGHEGGAYAKNSFGNVYTAVGVFVLGRTFHKAWGAQATKKQAEFNEFLNRNRMCISMELVTAVLGDHGQRPREDYVVVTAVTELGIGRPTFYSTPEIIAFCRKWRLPTNHVWLLSTRKSVTSFFAAYDALCEEGTASPVCQALDEVADISVPGSIDHIKVQGEILEGLVARIVSHESSKDMEQVLRDFPLPTVDEDAKDLGASLREICAANRSDEKQQIKALLQSVGTSFCPNYLDWFGNEGSDPHSRNVDRSALTKFLQTHPADFSTIKLQEMIRLMREKRYPAAFKLYHNYQKINSVSSNNLHFKMVIHVHSDSAFRRYQKEMRNKPGLWPLYRGFFVDLNLFKADKEKAAEIAGTEKGAKKVDENNGTFTNESLADEDANLMIKLKFLTYKLRTFLIRNGLSILFKEGPSAYKAYYLRQMKIWNTSPTKQRELSKMLDEWAVYIRRKYGHKMLSSSVYLSEAEPFLEQYAKRSPQNQALIGSAGNLVRSEDFLAIIEGGRDEEGDLEQERDAGPVTTVKDRVAKDEGLIVFFPGIPGCAKSALCREILNAPGVLEDDRPVNTLMGDLIKGRYWQKVADERRRKPYSIMLADKNAPNEEVWKQIEDMCRRTKASAVPVVPDSEGTESNPFSLDALAVFIYRVLHRVNHPGNLDKSSPNVGYVLLMFYHLYQGKSRKEFEAELIERFGSLVKMPLLKPNRSPLPVSVSSVLEEGINLYKLHTSRHGRLESTKGTYATEWTKWEKELREILLSNSEYLDSVQVPFEFAVKQVLEQLKAVAKGEYSAPVTEKRRLGAIVYAAVSLPVAEIHEFLQRIAEKDPGIETFFKEKNLKDSLTKAHVTLAHKRSHGVTAVANYGSFLNERVPVDITALLFSGKLAALEAHTGSVNGEKITSKNQWPHVTLWTGEGVAAKDANALPQLVTEGKATRVGIDPPITITGVLQFY >CDP16602 pep chromosome:AUK_PRJEB4211_v1:1:6470540:6473295:1 gene:GSCOC_T00019039001 transcript:CDP16602 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVECANVGNSTLFKAASDQGMSFMVFVVYSFGLCSLALIPIAFIFHRNTPLPRFTLPVFGRVCLLGVLGFAAQMLGCKGLEYGSPTLSYALSNLLPAFTFALAIIFRMEKLDLKSFTSQAKILGTLVSISGALVVVLYKGLPVIRVIRTSSQYQSLNQPVGLVSSQSNWALGGLLLALDYLVLSIWYIVQTQTVKMYPAEFLVVLFYTAGVTIVSAPVCFFLEPDLKTWSISTTIMLISLLYAAIIGTGFGTVVHTWGLKVKGPVYVALFRPLSIAIAAFMGVVFLGDTLYLGSVIGAILISIGFYVVSWGKAQEEMSEDGGVLRIESAASENVPLLG >CDP11087 pep chromosome:AUK_PRJEB4211_v1:1:7884355:7887982:-1 gene:GSCOC_T00033107001 transcript:CDP11087 gene_biotype:protein_coding transcript_biotype:protein_coding MHAASSVRSEEKALLTWKASLDNYSQSKLSSWSSSANPCSAWDGVRCNKAGRVSVINITSSGIKGTLDHLNFSSLPHLATIDLSQNALYGTIPSNIGNLSRLTYLDFYDLTLLYLFQNYLSGPIPKEIANLTKLNELELSRNQLSGTIPEQIGKLKSLTKLSLANNTLTGRIPLSFGNLSGLTLLYLFQNYLSGPIPKEIGNLTNLNELDLLGNQLSGPIPEQIGKLRSLILCSLGMNLLTGSIPESIGNLGNLTFLYLDTNFLSGPIPKVIGNLLSLEKLSINYNNLTGNIPNSIGNLKIGYLALTYLSISNNNLSGNIPSGLGMISRLQKLHLSSNHLHGKIPRSLGNLTLLLELKLDSNDLSGNIPSEIGQMSRLLNLSLSANNLSGSIPEQIGNCTQLLDLNLSQNALTESSIPKSFDHCFSLISIDISYNQLEGPLPSTSAFQKAPFDSLRNNKGLCGSVAGLKPCSQPTQKITRRRTTKRMIFLVVAPILATIFLSAVVVGIFIRARSHTRSMENKPQEFTKNMFSVWSFDGKIVYENIIDAIENFDPKYCIGVGAFGSVFRAELPNGQVVAVKKLHETDGGALRRPKDFTNEIHALTNIRHRNIVKLYGFCSHAQHIFLVYEFLEGGSLLHLLSNDEIAAMFNWIKRVNIVKDVANALSYMHQNCLPSIIHRDISSKNILLDSEYQAHISDFGTARILRPDSSHWTSFAGTYGYAAPELAYTMEVNEKCEVYSFGVLALEVIMGKHPGDFILSTLSASSSTSTAYDILLKDIVDPRLSSPGKQESKQVTLVAKLALSCIEPNPQLRPTMKQVCVQLLKEIPSQFNVFPIVTIGQLLDLQTTNV >CDP09614 pep chromosome:AUK_PRJEB4211_v1:1:23181305:23182263:1 gene:GSCOC_T00029988001 transcript:CDP09614 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKHSLPEQNVNKISKLKISCCVSCFLCYDLILRDSLTLYCRCSVSSIHLHKQLPLCNMASKPIWWRGRIWARSFCSNDNSGKFFCKTADCGSGEVSCNGAAAIPPASLAEFTLAADNGMDFYDVSLVDGFNLPLSITPQSGSGSNCTSTSCPVDLNAICPQGLAIRDDSGGATIGCKRKFGSPQTCGSPQTCPPTSYSLYFKNQCRQSYSYAYDDLTSTFTCTGGPNYLITFCPCETRLGFWGLA >CDP16791 pep chromosome:AUK_PRJEB4211_v1:1:3920040:3920867:1 gene:GSCOC_T00019304001 transcript:CDP16791 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFHIAAVILTLVFAIVCASDPSPLQDFCVAVPDANATVFVNGKICKDPKLVKPKDFFFPGLNKPGSTANPLGSNVTQVNVNQIPGLNTLGVSLARLDFAPYGLNPPHTHPRATEVLFVLEGTLLVGFVTSNPGMNMKNKLFTKVLNPGDVFVFPQGLIHFQFNQGKTDAVAFAGFGSQNPGVITIANAVVGSDPPISPDVLTKAFQVGNDAIKKLQSQFWWDNNYNP >CDP09704 pep chromosome:AUK_PRJEB4211_v1:1:24797670:24802028:-1 gene:GSCOC_T00030140001 transcript:CDP09704 gene_biotype:protein_coding transcript_biotype:protein_coding MFYQIAVGGYRRILGRICLELEIEAEEMSPASKAKSKDKKAVKEPSKASSKSSGHANTGAGVPASGYNPLLGTFHTIETAPSSSPAPLHVNGRFRNIDETDDHSGNSFGTGVEYDSVSNNGSWSGESEDHKEKASHPPTRQETVPGADNDKREKIRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSQERATMALILNEGRVEESVAWLFEGGEEADKHREHNLDSGSNLKIDISEELARIADMEIRYKCSKQEVERAIVAGEGDLDKAEESLRVQKQDPPSAPPKPEETGDPPTIGNGKLPVAASQNPLRIQSKPSPAITIQQKRDEKDFNYTRIAVTTGPPLDPGNKNLQSLKRIQPSKMEWAKPQQIGVPAEKRWPIAGSNPSVSYSLASSLQASPPPSKAEARFVTLGSELKNLQIGSVREPVIVMQRPQNMNVKQTPSTSVSSSSPGTAVGWYPNSMETLKPNGLMPPVSGVRSLSPNSASTNQLYNQFQYQPQQQFVSSSGPMESPGISRGNGLWSRTGASPTLAPASNLGLFSGLGTNGSSGSSSPVDWNTGGSMMQLDYTNIDWSLDRGSSISRPGGLWPGMNSFMQNEGPRTYDSFSSGMGIKSAMRPDGVGPSETSTSGSREWTSPFEEKDLFSLPRQFVSSPSL >CDP03754 pep chromosome:AUK_PRJEB4211_v1:1:35343610:35347745:1 gene:GSCOC_T00016231001 transcript:CDP03754 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIGATLPPGFRFYPSDEELVCHYLYKKIANGEVSRDTLVEIDLHTCEPWQLPDVAKLNSNEWYFFSFRDRKYATGFRTNRATTTGYWKATGKDRTVLDPTRRSIVGMRKTLVFYRNRAPNGIKTGWIMHEFRLENPHVPPKEDWVLCRVFHKAKVESVDNDVYDATAGGGCGGGGDISPTFAASPPNVDHCTAGGYNQNSSFSQSPPHQSQNSSSTAALPNMSAVLGRNYLQSSSQELCSVTASRNDQMVHSKSELDEYGFLFNMNFGEANLRDGGVPSGLEEMHFDDDSSLVFI >CDP03612 pep chromosome:AUK_PRJEB4211_v1:1:36423021:36423831:-1 gene:GSCOC_T00016033001 transcript:CDP03612 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEVTSPRTRGVAEVSTLTICLSSSTRASGVAFFGDGPYNFLPNIEAAGFLRYTPLFINPVSTAGVSSQGEPSDEYFIGVKSIKVNDKAVPLNATLLTIDSRGNGGTKISTVNPYTVLETSIYKAVTEAFISEAAAWNISRVAGVAPFEVCVSSKNVFSTRLGPSVPQIDLVLQSQSVVWSITGSNSMVYVNDNVLCLGFVNGGVNPRTSIVIGGYQLEDLLLQFDLATSRLGFTSTLLGSRTTCANFNFTSTA >CDP09240 pep chromosome:AUK_PRJEB4211_v1:1:32760427:32764709:-1 gene:GSCOC_T00028482001 transcript:CDP09240 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLQHLLASKRAAIEYEKKMHATNLEQSQIIEKNMISMAHEIEKLHAELANAEKRARAAAAASTAATPAPGYASVYSDAVYGGNLYSDHYPMQQVQGGINVSTQYGPGGVPHGTSAPYDVQWSNVHR >CDP09169 pep chromosome:AUK_PRJEB4211_v1:1:32166773:32168677:1 gene:GSCOC_T00028386001 transcript:CDP09169 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPLLSNLLYLLLLLLLHLGCFIFNTSSSSSHRQSKKRKLSDTPVTPSPPASRLKFTPSKALSSSWSFLKRAFCSKPATPTSSPAAIPILSPSSSTRSLTQPATAIHLGSSDTLLSDHQTSIRPVSESDISSDQPFFPLRNNIYPCPICGEIFQNPSLLEQHQSVKHAVSELVDGDTGKNIVNIIFRTGWPGMAKSPAVHRILKIHCGPKILMRFEEYRECVMSAAAGNGGVRRRDERCIADGNELLRFHCTTFLCELGQNGNSSLCNHQYCSVCGIIASGFSPKMDGISTLSTSWRAHASIPEDMEEEFKYLRVKRAMLVCRVIAGRIGCDPEDADKLDPGFDSLVGRENGVPARLDEEDELLVFNPRAVLPCFVIVYTV >CDP13104 pep chromosome:AUK_PRJEB4211_v1:1:2360146:2361991:1 gene:GSCOC_T00037897001 transcript:CDP13104 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSPGTFSEKKKMFEREETTAEAQDRISQLPDAILCHMLSLLPTKLAAQTGILSKRWRDVWLSIPALEFQMHLRANYEGDMTAFDSFAKPKIESFTNFLDRLFAIRDTSSIKKFRLVFDHPVDSRCLNNWLSALHKIQELDLELLVQREFPWSPLADKLLEILKLSCISLPNIPSSVSFPRLKVLHLHSATYVDDASVEKLLSSCPVLEDLQISRWEWDNVRNFVITVPSLKRLTLDFTTHETNLYDDDHYEDGVEYKLIITAPNLEYLSLIDYMSDSIQVNSMARVTESHLSVCKILECDNRTVEQTSNYESNVREIFRSIPNVKHLTIGDFTTNSLSESLDSRLPVFQNLVHLEISFQHVNGAILLPKLLKISPKLESLILPRGIISQILFSFISEENQFKPPQDVPECLLFSLKNVEIWHITGRVEEEVQLLIYLLENARVLEKMTIWYEEYYVSGGPMDTTNYPTVRRMEDRLSFTDELMNCTRGSAACQLDIQMPELQL >CDP03772 pep chromosome:AUK_PRJEB4211_v1:1:35196047:35202089:1 gene:GSCOC_T00016251001 transcript:CDP03772 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLLGKLASRSLSVAGKWQQQQLRRLNIHEYQGAELMGKYGINVPKGVAVASVEDISKTIKSVFPDKDEIVVKSQVLAGGRGLGTFKNGFKGGVHIVKSDQVEDTAGKMLGQILVTKQTGPQGKIVSKVYLCEKLSLVNEMYFSIILDRASAGPLIIACSKGGTSIEDLAEKFPDMIIKVPIDVFKGITDEDVAKVVDGLAPKAADRNDSIEQVKKLYELFCKCDCTLLEINPLAETSDNKLVAADAKLNFDDNAAYRQTEIFDLRDPTQEDPREVDAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKYHGGTPANFLDVGGNASEDQVVEAFKILTSDDKVKAILVNIFGGIMKCDVIASGIVNAAKQVQLKVPVVVRLEGTNVEQGKRILKESGMTLITAEDLDDAAEKAVKATR >CDP13137 pep chromosome:AUK_PRJEB4211_v1:1:1791623:1800743:-1 gene:GSCOC_T00037970001 transcript:CDP13137 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVIDGLTFRGEGLDSILIDYSGNFSLLEIENLEVFQLELLEKGLVKEMREGLRSNARQKGEREMLDLNKEPSENKMPSSASPSSTDYFSAQEDGRDVGSSVNMEVADNADADDVGLGEGGEGKEENNLKSNGGEYSEDAVGKAEFHFPSTNAGLVTEQVSESEPLACRTNAADIGVVNVDVSGGASISGEVVGKKRRGRKRKVAGSGLSGDGGAELESLKVESAKLAKIGEDGSTHEVLEDGRDADMVGDVVADPSTGESRQETSKGRRGRKRKTNPSGDGVSEDGGKKVEKLAVEARPQILGRVLRSRTIASTGSVKQAQGEGNAGDHEGQLDIIKIKVEADESVRPAWRGRKTVKGRRGRPPKVHGKSGNLKVAAGQNTKAGSERNFNLRRAKVVKVKKHKEKVKSDMPKLQEEKSNHKNEGEVGRNTEKQLLRNRIISILKEAGWTIEYRPRMSKEYCDAVYVDHQGRTYWSVTLAYKKLKGMVENGTADAKAISAFTLIPEDELSKLYRITKEKGNKCKNLPKVGGSKTGKGHDSTALSKCKGNSNTNPHLGEKPSRKKKGDISEQGNQVIFSGRRKSKSRKKGERKPCTLLARCPENDHDADGFEMYKGKRTLLSWMIDWGTVSPGVKVQCMNHERTALLLEGRITSEGISCDCCGKVVTCADFKSHAGSSLGQAYENIFLESGHSLLQCIRESWSKQEKTDNIGFHQVNVDVAAGDDPHDDTCNICADGGELTCCDGCSSTFHHRCLQMEHEPVGYWRCVYCSCKFCGMAFSAEFFDPVLIDYMDDYERFRCYFCEEIFHTHCRSGKDAVDNHAADFSFCGRGCQKLYENLQKLLGVRHELEAGYSWTVLRRQDVVTDVVGDADSLEVLSNSKLAIALSLMDECFEPIIDERSNINVIQSVIYSCGSNLRRLNFQWFYTFILERGDELVSAAAVRVHGNQVAEMPFIGTRFTYRRQGMCRRLLTAIELALSSLNVEKLVIPAVVEVRETWTKAFGFLPLEESKRQEMKYMSMVAFPGTDMLQKPICMEGTTSTMGGEVSKPTIEFVNGATTPCNLDASTADKLEENVETVAAVGSGGLKHNTIVLVA >CDP14437 pep chromosome:AUK_PRJEB4211_v1:1:9729375:9730648:-1 gene:GSCOC_T00040894001 transcript:CDP14437 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMKVADLIDSSRNWRESLIEVLFPNQIAHKILTIYIPQPGGEDHMIWEFEKSGAHSVRLSFGPYYSSKPECVIILII >CDP07030 pep chromosome:AUK_PRJEB4211_v1:1:28971656:28973472:1 gene:GSCOC_T00024117001 transcript:CDP07030 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVIRVPFRRIAFGNREEIRQPGAIKAALAELISTLIFVFAGEGSGMAYSKLTGGAPSTPAGLISAALAYGLGLFVGVSVSANISGGHVNPAVTIGAFLGGNITLFRGILYIIGQLVGSIIACLLLKVSTGGMKTSALSLAHGVSVWDAFILEIVMTFGLVYTVYATAIDPKKGDVGTIAPIAIGLIVAANILAGGAFDGASMNPAVSFGPAVVSWTWTHHWVYWAGPIVGAVIAAAVYEIFFMDHSHEAVPAADY >CDP03594 pep chromosome:AUK_PRJEB4211_v1:1:36559919:36564023:1 gene:GSCOC_T00016007001 transcript:CDP03594 gene_biotype:protein_coding transcript_biotype:protein_coding MAELCLVASHGSHPGLFVHPTEQGGLGRVFKGYQPFLTNTGSYQGSLQPWYDKPRLRWTEDQSKPSTGMLDSNEYFRADSTPRRPLLIDVQDLPANSLFFSSGIVNQCIIRERVLRYLMSGLNEEERGGVDLTLFYDLVGPQAPTLHMPQEPLLPFYGRTFLDSEAQPSLVSLSSETLSEKPLFAGDVGHVSEITYDPYHPDDRLSIASSGTEMEDILTALSKLYLSKNSIKLKKQQMVVPYFDRRTRKAPRNDITGSPPKFGTVKSSEKVKYRATSNKKSNMKAAKERDLYRKNLFHACESLLSLTVDKKLHGRTAILSLKKSGPELPKALTQFSASIAGTGLAVLFSVVCKVACARVPFCASKLLNTGLGIGLVWLSWAVNRLGDTVISIKNSNKLDVREEEIMNKLDKSLKDIYFRAAAIMTVAVLRLV >CDP17032 pep chromosome:AUK_PRJEB4211_v1:1:38031776:38038860:-1 gene:GSCOC_T00004935001 transcript:CDP17032 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDEESGSPLSGHPNTNQLPAAATTQGQIHATTAAATNGDLVVTAQQDKEEPTTTTANTVPFLKLFSFADSTDIFLMIIGTIGAIGNGLSLPLMTVFFGELTDSFGQTQNIKDVVRVVSKVSLKFVYLALGSAVAGFLQVSCWMITGERQAARIRSLYLKTILRQDVGFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIIAFAKGWLLTLVMLSSIPPLVIAGGLMSLVISRMASHGQEAYAKAAIVVEQTIGSIRTVASFTGEKQAVADYDKSLRKAYRSGVHEGLATGLGLGSVMCLVFCSYALAIWFGAKMIAEKKNTGGEVLNVIIAVLSGSMSLGQASPCMTAFASGRAAAFKMFETINRTPEIDAYDASGKTLDDIRGDIELKDVYFSYPARPDEQIFSGLSVFIPSGHTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNLKDFQLKWIREKIGLVSQEPVLFTASIKDNIAYSKERVTIEQIRAAAELANAAKFIDKLPKGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIHRGKIIEKGTHSELTNDPEGAYSQLIRLQEVNRDTEQYIEEKDKSDITIESSRQSSQRMSLKRSISRGSSVGNSSRRSITVSFGLPTGLTMSEHTMAEPDVNTQDITSKPSNVSMRRLASLNKPEIPVILVGVIAAVANGAILPTFGILISSVIKSFYKSPHELKKDSRFWALIFMALGVASLLAYPSRTYLFGVAGCKLIRRIRSMCFEKVVHMEVGWFDESEHSSGVIGAKLSADAASVRALVGDALAQLVQDTSSTIVGLAIAFSASWQLALIILAMLPLIGLNGYVQIKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMELYKKKCEGPMKTGIRQGLISGIGFGLSFALLFCVYATSFYAGARLVEDGKITFSDVFRVFFALTMAAMAISQSSSIAPDSSKAKGAAASIFAILDRKSKIDASDESGMTLESVNGEIELQRVSFRYPSRPDVQIFRDLSLKIRSGKTVALVGESGSGKSTVIALLQRFYDPDSGHITLDGIEIQKFQVKWLRRQMGLVSQEPVLFNDTIRANIAYGKEGNGTEGEIIEAAKLANAHKFISGLQQGYETMVGERGVQLSGGQKQRVAIARAIVKGPKVLLLDEATSALDAESERIVQDALDQVMVNRTTVVVAHRLSTIKGADVIAVVKNGVIVEKGKHDALVKIKDGVYASLVALHMNANAPAAAASSSSSL >CDP06955 pep chromosome:AUK_PRJEB4211_v1:1:28229954:28237078:-1 gene:GSCOC_T00024015001 transcript:CDP06955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP71 [Source:Projected from Arabidopsis thaliana (AT3G44600) UniProtKB/Swiss-Prot;Acc:Q8W4D0] MEEAAGAQKDNGSSDTPTPTTVDATDDDPMVGPGPAPQPRRKRPLQFEKAYLDSLPSANMYEKSYMHRDVVTHVAVSPAEFFITGSADGHLKFWKKKPIGIEFAKHFRSHLGPIEGLAVSVDGMLCCTISNDRSVKIYDVVNYDMMAMVRLPFIPGCAEWVYKQGDVKAKLAISDRNSSSVHIYDARAGTNEPIISREIHLGPIKVMKFNQVFDAVISADDKGIIEYWNPGTLKFPEDGIGFRLKTDTNLFEIVKCKTTVSTLEVSPDGMQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRRDVPLYRLEAIDFGRRMAVEKEFEKTENAPQPNAVFDESSNFLIFATLLGIKIINLQTNKVARILGKVESNDRFLRIALYQGDRGSKKVRKIPSAAVNANESKDPLTDPTLLCCAFKKYRIYLFSRREPEEPEDPTKGRDVFNEKPPADELLAVSELGKAVTTSLPDNVILHTTMGDIHMKLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMVQTGDPLGDGTGGQSIWGREFEDELHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVLKGMDVVQAIEKVKTDKADKPYQDVKILNVTVPKS >CDP09166 pep chromosome:AUK_PRJEB4211_v1:1:32146665:32149683:1 gene:GSCOC_T00028383001 transcript:CDP09166 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFFLDFLQVFSQTSSTFKAIDSFEMSAQKGNGRANNVGSHTTVHQKPAAELQKQKSCKKEDNTPRCTRSCKFRLPRKKELSPLKYLKHIGGKMFAVLQMMSPRRCSPKVTSSERAKPSVAPVDSHRAEAIDDCIEFINSSSSLPRSNSTLQ >CDP17098 pep chromosome:AUK_PRJEB4211_v1:1:37509719:37513812:-1 gene:GSCOC_T00005017001 transcript:CDP17098 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDVYEEEDDEEEEEEEGEEPVSLGFVESPKHSWSLSRELFASVAGGTPAWLDPTNLPSGRSCLCDFCAQPLQFLLQVYAPLLHKESTFHRTLFVFICPSMPCLLKDQHDQWKRQPEKAFRSVKVFRCQLPRFNSFYSSEPPRHDGSDRPTRNGAILCSWCGTWRGDKICSNCRIARYCSEKHQTAHWRSGHKIECRQPYMAPVASASTISDLPAETQKVASNHLWPQFEIVNEDECRDKKSEYDGFDKSLVSQSQMDEVKSLLDTFEVGGDKRSWAAFQERISREPEQVLRYYRLAKTKPLWPISSGRPSKLDIPRCNCCGGPRACEFQVLPQLLYYFGIENHADSLDWATIVVYTCEASCENRVVYKEEFAWVQLLPQSAATL >CDP13117 pep chromosome:AUK_PRJEB4211_v1:1:2116719:2117339:-1 gene:GSCOC_T00037919001 transcript:CDP13117 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEVKSQMKVGVAIEVLWRNYAKEISFILPKLAPNLVRSVEVIEGDGGLGTVYLIDLGSEKSSLGYQKEKVTVFNESLHQIGMQVIEGGHLNHGFTSYTTVIQFNAVGESETGVDVKVLYETEAEETSMPEETAKAILAFIKRLEDYLLKQGS >CDP09141 pep chromosome:AUK_PRJEB4211_v1:1:31975183:31976434:1 gene:GSCOC_T00028350001 transcript:CDP09141 gene_biotype:protein_coding transcript_biotype:protein_coding MECCTRPNRSDVHVSKEEEAKLEESTRDYFDAMAPKRHTKPQRSDYSKTYTDSCSDDVIPEHVRFQLLENDPQKLGRTSGSQATEEFVETEYYKDLNCIDKQHHTTGTGFIAIESMKGKSFSLEPDSATDYHGSCKGNPATNEWIPAAADEAGFVSDKPKRSDN >CDP13081 pep chromosome:AUK_PRJEB4211_v1:1:2977459:2977653:1 gene:GSCOC_T00037854001 transcript:CDP13081 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKSIFNNLKINENFGIKSWVCVPREIEIVELFKFILESLTRTKVGVDVWNCEQEL >CDP03969 pep chromosome:AUK_PRJEB4211_v1:1:33792121:33798186:-1 gene:GSCOC_T00016485001 transcript:CDP03969 gene_biotype:protein_coding transcript_biotype:protein_coding MYISSSSSSSSSCYCCIFWFNWVLCLISFSSATDPYVFFDWTVSYVTASPLGVKQQVIGVEGQFPGPILNVTTNWNVVVNVKNNLDEPLLLTWNGIQQRKNAWQDGVSGTNCPIPAGWNWTYQFQVKDQIGSFFYFHSLYFQRAAGGYGGIVINNRDVIAVPFETPDGDITLFISDWYIKSHKELREQVESGVGLGAPDGILINGFGPYRYDSAVVPDGIAFQTIYVDPGKTYRIRVHNIGISTSLNFRVQNHNLLLVETEGSYTVQQNYTNMDIHVGQSYSFLVTMDQNASSDYYIVASPRFVNSSAWTKAIGVSILHYSNSLGPASGPLPDPPNEYDTYFSMNQARSIRWNVSAGAARPNPQGSFKYGQITVTDVYVILNRPPELIDGKWRTTLNGISYLAPSTPLKLAQQFNIPGVFKLDFPNRLMNRPAKVDTSVINGTFKGFMEIIFQNNDTTVQSYHLDGYAFFVVGMDNGVWTENSRSTYNKWDGVARCTTQVFPGAWTAILVSLDNAGIWNLRAQNLDSWHLGQEVYLSVVNLEMDKNELPLPDNTIYCGALSSLQKDQAQRVNFSRASRCPVAMKTILAAVFVALFGTCFT >CDP03513 pep chromosome:AUK_PRJEB4211_v1:1:37184410:37188405:1 gene:GSCOC_T00015910001 transcript:CDP03513 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVASLALHRHCPVSPASSSSSVTRVRTWIPLPNSARRPFWTRTLSESTDTAHSSSSLVCLSSPSSSSSSSSSPEVTTPPTAESCINLGLSLFSKGRVKDALSQFETALTLDPNPIEAQAAFYNKACCHAYRGEGKKAADCLRTALKEYDLKFGTILNDPDLASFRALPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVALTAAAGISMFFTIPRLYRSIKGGDGAPDIWETAGNAAINIGGIIVLVALFLWDNKKEEEQLAQISRDETLSRLPLRLSTNRVVELVQLRDTVRPVILAGNKETVSLALQKAERCRTELLRRGVLLVPVIWGQNSDKPAEKKGFGLSPKAAASLPSLGEDFDKRAQSVVTKSKLKAEIRFKAEPLSPVEWERWIKDQQKSEGVTPGEDVYIILRLDGRVRRSGRGMPDWQQIVKELPEMDALLSKLER >CDP17521 pep chromosome:AUK_PRJEB4211_v1:1:19601396:19606469:-1 gene:GSCOC_T00011472001 transcript:CDP17521 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSSATTKTEDKKNPLKRVPYSKPPFTVGDIKKAIPPHCFKRSLLRSFSYVVYDLTLVSLFYYIATTYFHLLPSPYNYLAWPVYWIFQGCVCTGVWVIAHECGHHAFSDYQWVDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSLERDEVFVPKPKSKMAWYSKYLNNPPGRVITLTITLTLGWPLYLAFNASGRPYDRFACHYDPYGPIYNDRERLQIYISDVGVIATSYLLYRVAMAKGLAWLICIYGVPLLIVNGFLVLITYLQHTHPSLPHYDSSEWDWLRGALATVDRDYGVLNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPLLGEYYQLDGTPFYKAMWREAKECIYVEPDEGGKGVFWYKNKL >CDP03971 pep chromosome:AUK_PRJEB4211_v1:1:33782071:33785228:1 gene:GSCOC_T00016487001 transcript:CDP03971 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQQKGTKSSSSSCSSKTIRGTSQQGQAPDRYKEVFERFKDMAALDQALERLRVLVKNNVWGYCIVWKLGDDPSSFIEWRGCCCSGGNWLENVKEESGKDQYLFAQCRDGHFKHPIRTKACEKLAKFPLSIPLYSGIHGEVVMSNQARWHVNSNNTSSDEAIGTQVLVPVAGGLIELFSTNLVQETQQIIDFILAQYVPVELETMASHRRTELNAAEPPHKPFLDDCFNNLPASVCHMISVPNLQYPTFIPNSSNHPSFEGSSISSDLPKDYQLLNVCSGSASCSTSPEKSSGRHPGYLDSRRMKDPSCGFGSAKWAAETNENLRGRNKTERDNYHSKNLITERNRRHRINHGLLTLRSLVPNISKMDKASTLADAYDYIQELQKSVEEYQGKLRDLAEQEANMYSSEQEVPRSCREIQRTDYQPTIEQGDSRRHSSTNDKKQGKVKIEVSQIGTRDFLVKIICTQKRGCFLRLMQAMDSFGLQVTDANVTTSNGLVLNVLKAEAFSEEIQATTLKNSLMELFLQDGQGKIQLA >CDP09643 pep chromosome:AUK_PRJEB4211_v1:1:23706024:23707931:1 gene:GSCOC_T00030043001 transcript:CDP09643 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVLYFHLVMQFNLSTSANTLTNFLGSTFLLTILGGFISDTYLNRLYTCLLFGFLEILGLVLLTIQAYSDKFQPDPCQKSTCVKGGQAVMFYASISLLALGGGGVKGSVAALGADQFDSKDPKGAKGLASYFNYYQFSVNIGSIIGVTVVVWVAMNKAWYWGFFIGLVGAFIGFAVLALGKPFYCYQPLATSPLIKIPQVNLLLLLQPFETENYQYHELYEIDDKERDASEEAIAHTSQFRFLDKAAVLKDEMSPQPWKVCTVTQVEEVKILTRMLPILLSTVIMNTCLAQLQTFSVLQGYDMDAHIGSIQIPTASIPVIPLLFMAILIPLYEFLIVPIARKITGHPSGITQLQRAGVDLVLSIISMGIAGVIEVKRRDQALLVPPKKISLFWLAFQYGVFGIADMFAMVGLMEFFYKEAPKGMRSLATSFALLSLSFGYFLSTAFVSIVNAVTKRVTPSKQGWLEAPYLNQNKLELFYWFLAILSFLNFANYLYWSSWYKYKSDSKNSEAEDKAIDPFLRQETSIEDKE >CDP09209 pep chromosome:AUK_PRJEB4211_v1:1:32483138:32487330:1 gene:GSCOC_T00028439001 transcript:CDP09209 gene_biotype:protein_coding transcript_biotype:protein_coding MLQANQSSCSLFVGSWVRDDTYPFYPSSCPIVDPEFNCQAYGRPDSDYLKYRWKPANCDPPRFNGLEFLIKMKGKTVMFVGDSLGRNQWQSLICMISAGVPSSPTQYQRGDPLSTFKFSEYGVSVSFYRAPYLVDIDTMQGKRVLRLDDIRGNGNAWRGVDVLSFNTGHWWSHRGALQGWDYMESGGSLYRDMDRLAALEQGLRTWARWVDANIDASSTRVFFQSISPTHYNPSEWSTGSATAAKSCYGETSPMTGTTYPGTYPDQMTVIKTVMRDMNYPPFLLDITLLSAMRKDAHPSIYSGDLTPEQKANPDHSADCSHWCLPGLPDTWNQLFYTAMFF >CDP06865 pep chromosome:AUK_PRJEB4211_v1:1:27279504:27284059:-1 gene:GSCOC_T00023897001 transcript:CDP06865 gene_biotype:protein_coding transcript_biotype:protein_coding MALGASGGSSVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPDKPPSVRFHSRVNMTCVNPETGVVEGKKFGMLTNWQREFTMEDILTQLRKEMAAPHNRKLVQPPEGTFF >CDP06981 pep chromosome:AUK_PRJEB4211_v1:1:28479844:28485015:1 gene:GSCOC_T00024050001 transcript:CDP06981 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTSSRNISLAALLQPVSYKNRAPLQPLNPQYAEPDGIYRSVPTLKLSCSGPRPRNRLIIRCSSEVLVEPMIGNGFGEDVYCRDLNLEDSHHLYLIGVEGLRESIIQNGVVRDGCNCYRDRYLQELFEAVEGFEERRTGIRVSEDHYKRYWAGKPIDMILRDHLKGACITGVPSDLLRPWWDVQRAANGGSELINVRSVSKTFYSRILRKQSPTQHVRFGMASSSPFPPEPININIISQSNIIFNGSHLSQHIGPEIRSRPTIEAGSGGDGGNGNGRLPPSGCARGGGGKDDDDGDVYDDQFSRFMKAAAEKMRAEANANAEAAKAEAAAKSMGTLGLMSRFGLLVASCWILGNPILADPSLLFKVGIATQVVTGSCFSTFAELKKKGMEFWSRLFAADILFGITVDIALGGVLATYARMGLMPSASGGFFGHMQRAYSSLPSSIFEGGSPGFNISLQQQGLTYFYEGILFGTAGLGCGLIYHAIVNLIRTGNRNAKKSEEENLVSSIVKNVTLWGVFLPLSSDTRHQIVKGLEKQIKASSVAKQVPFTALAFAVGIRFANSVFGGLLFANWAKWSGIMKSES >CDP07061 pep chromosome:AUK_PRJEB4211_v1:1:29266274:29268627:1 gene:GSCOC_T00024163001 transcript:CDP07061 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRFAARQIKRNSCKVLQRSTYSPSVLKLNQPECRTCRIFCALPETAASIAVAATIVGAAATLLQRRTEASETTEASTKICDDCGGSGVCPECKGEGFVLKKLSEESAERARLMAKNAATRYTAGLPKKWSYCTKCSSSRSCSNCGGTGKLGF >CDP14090 pep chromosome:AUK_PRJEB4211_v1:1:11234930:11236938:-1 gene:GSCOC_T00039287001 transcript:CDP14090 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFASLWSCQGSADDLKQKLLCTTLELEQLKVEANEEMRKNQEHVKQLFQLVKIACQERNEARDLLQKILNRVMSTTNSTEFFPGLLQFQLDSPLLKPTKANSTATESNSFSETYNNPSDGSSLGESFLDAVLSPELTNINMGDSSSIAFVNQPLIQDCNRVISNSMVPSAIPKVDVASLMTESFSRGKNLPQKGRFLKAVLDAGPLLQNLLVAGPLPRWRNPPQLQKAHIPPVSVQGCDADIINMKSATNMSPVASRLLISRPYAEMSCSPSQILSPSMLNTNGSTCLGDDRLISSGDANSYIPLGKRQRFC >CDP08995 pep chromosome:AUK_PRJEB4211_v1:1:30942260:30946332:-1 gene:GSCOC_T00028157001 transcript:CDP08995 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPFKLYLLSYNSLLALGWTLSLFKILSNFSLTKSVSGTYASAGELICFLQTIAFLEVIHGAIGIVPSGVLFPLMQWGGRTHFLLAVVRGINEVQKLPSVFITFVAWSLSEVIRYLHYAINSTGNPPYWITYLRYTAFIVLYPIGVAPGEMWLMYQALPFIKAKNLYADFFAFLPFSYYTFVVILLIFYPFLWLKLYLHLFKQRSSKLLNQHKKKKN >CDP08983 pep chromosome:AUK_PRJEB4211_v1:1:30847847:30849256:-1 gene:GSCOC_T00028139001 transcript:CDP08983 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYFMLLYCLPLFYFFLFLVKIIDRMRHQSCYILDYECYKPTDDRMLSTRFCGELIRRNKNLGLLEYKFLLKAIVSSGIGEQTYAPRMVFHNREECPTQDDGIEEMEEFFYDCIDKVLKRNGISPSEIDVLVVNISMLAAVPSLSARIVNHYKMRDNIKTYNLTGMGCSASLISVNIVQNIFKTQKNLYALVITSESLSPNWYPGNDRSMILANCLFRSGGCAILLTNKVALRNKAMFKLKCLVRTHHGARDEAYDCCIQKEDDQGRVGFHLGKNLPKAATRAFVDNLKEIAPKMLPIRELLRFTVVSFIWERMRSNTKDGSSSSSRPVINFKTGVDHFCIHTGGKAVIDGIGQSLNLTEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDRVFMISFGAGFKCNSCLWEVVRDVGGGNAWEDCINSYPPKTLTNPFLEMYGWLQNEDPDTFYVPEDFVIP >CDP03527 pep chromosome:AUK_PRJEB4211_v1:1:37076377:37085560:1 gene:GSCOC_T00015927001 transcript:CDP03527 gene_biotype:protein_coding transcript_biotype:protein_coding MISVRGENGRKEIGLGFGRGNMEETELEEGEASLDSSIDPDIALSYLDEKVQDVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYQRSPSSSHAKTPPEVYNHNKQIFPNNMQLEDARQNSFSAPTASFSARPGTTSSSRPEPRAPSGTDEAIQDVSMLSNIVDDLASKVELEKSTNFSDGKALKFRIKVGIDNLSTRKNAEIYSGLGLDVSPSSSLEDSPMDSEGLLCHDLRDIPYESPTSILQIMTSVGLFGGLLLSPISNDVNRLTEKGWLCGDSKPKIIQKANLGGSRLARSGSDLAMTNGKVHGEKKPKLVEKSGVSVDLSTNNCKDTLDGVGITLKKETDVDHSSYEDLVSNALKLPLLSNACVADAKEVVKSVTVSTTVPKSSVKYDNQSNVGEEELLEPVAQNCRVEKSNRKLSLSEKVRESSKPTYTDEKSVHQKKEVNHKEDKAEFSIKIESNVSGERKYPKVDDSSNHNVDQKVASHNEYDLKSNTGELQSSSGGKKKSKGNQSQCTQGTDPVEDGLTSNSSMVPKSKKTSNSDIHLSKNDSEGLRKGYGKATDKYKDFFGDLELGQEDEEIASEEVPSVQMVKDSVLVEKRSMSESNIVNERPNCKKVEGTSVTGNHPKSSSYRPLPAGKGLNHDAATTMVAPLVKEDWVCCDKCQTWRLLPLGTNPESLPEKWLCSMLDWLPHMNHCSISEEETTNALRALYQVQASVAPFAAASSSQLNQHAHPGRTVLGVSPVDMRRSNEDCHFSGLQAMAAGGKKCGSKEVTSANSQDGPIQSSNLKKNLLACSNSRNLNEVDISPLFDEFGSQCMGQAGRSVVGRYVKEKEKKILLDSNSGEGDGTKSKLKNPRESDIDGLRASKKIKTEDVRNRDENCTSDHGVTSSKAGQSSSSASLNDPYKYSNYSRDSKGDPKRKWSSEKSEVQSLKMDKSGHDNFMKKKKGNGHLNAEVDCLPLPSSQHHSQGSKGFSDDTGENDRRKEKKARVSKSEGKDSRGNKDVTSERKARGLTDQKMEQDLDRAPSQRSIDAADSFRRDLGSGQPSVAATSSSSKVSGSHKSRTNHQEMKGSPVESVSSSPLRISNSDKLPQVRTVAGKEDLQDAGFFAEASPRRSLDGEDVGLSEQSLKVKDDTPSVIHHRSLESTVNDLQGRDLDDVASLVDKAEVVSSTGFVAHYASESKVNAQGQRSYASRTKTSEVIQDEGKRNYDQYASNVPHSKKSGKGSSSRSKEKIWSSISEFENGNESSYEEKLKAGRNRSQEKSSISSDRTESHVVSKKDSDGKTVRDTSRIDNPQKAGSRNGSIVRPDVVGSQDLKQTVAQDNDNDRSSRKLISDKAGVEVSGRGKSHSLPPSMRGQVDTLARPKPIAESQKEVGENKELDVIHRALKQSKNAEKQNGSHPVNLRHPTPPTYNTRDLDTSSPVRRDSSSQAVTNAVKEAKDLKHLADRLKNSGSTESTGLYFQAALKFLHGASLLESSNSENTKHNEMIQSMQMYSSTAKLCEFCAHEYEKSKDMAAAALAYKCMEVAYMRVIYTSHNSASRDRNELQAALQILPTGESPSSSASDIDNLNNPVNVDKAVQAKGVGSPQVAGNHVFTARNRSSFMRLINYAQDVNNAMEASRKSRNAFAAANPKLDGPRHKEGISSVKTALDFNFQDVDGLLRLVRVAMEAINR >CDP09180 pep chromosome:AUK_PRJEB4211_v1:1:32253268:32266577:1 gene:GSCOC_T00028402001 transcript:CDP09180 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFKDDLDPAFRGAGANPGLEIWCVQNLRLVLVPKSSHGKFFSGSAYLVLHTFFLRSGSAWHEIHYWIGRDAKEVDSILASDKALELDLALGSQAVQYREVQDLETSTYQVSLFTCKGDRVVHIKEVPFTRSSLNHNDVFILDTAFKIFLFSGCNSSSQERAKALEVVEYIKETKHSGKCDIATIEDGKFVADPDAGEFWSLFGGYAPIPKDLPCNSLQLPECLATKLFWITTQGKMCENAPGILVKEMLRSDKCYMLDCEAEIFVWMGRSTSMTERKTSISATEDFVRAQGKSTEIKLTFLTEGSETASFKSYFGDWPEKAEPKLYEEGRGKVAAIFKQQGYDVKELPDDNEEANLFMDICGERKVWRVDSGNLNVVPVVEPMKFYSGDCYIVQYTYLSRGREENLFYAWLGQNSLMDDRVQAISCMNDLVNSVKGDPAMAQIVEGREPLQFFFILKILVIFKGGKSTGYKTFIAENDNADETYHDSKTALFRVEGTSSYNMQAIQVDEVASSLNSSYCFILKTETSTYTWIGNLSSVRDHDLLDRMLDLINPTWQAVLVREGSEPDSFWTTLGGKAEYTREKKIKEFIEDPNLYVCAESDTNAIFTLQVKEIFNYSQDDLTTEDVLILDCHSDIYVWVGRHSSVNSKHQALTLGLKFLEKKKSLRQHQLEPPLYVVTEGSEPPFFTCFFQWDPSKANMLGNSFERKLALLKGKTEKLGETSYMQIRTSWKAYSMETVSKDLKSQTNGVNDSRRSLSPMSTDFSSSLRSSDFRRLSSPPPVKKNLSAGSPNLDNNNGFVSKVSNLTRSAESLQLNEAECDVHLVAHPYDRLVVQSNNPVADIDITRREAYLSNEEFQERFGMSKQNFYQLPKWRQNKLKMSLHLF >CDP06918 pep chromosome:AUK_PRJEB4211_v1:1:27731734:27733436:1 gene:GSCOC_T00023964001 transcript:CDP06918 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSTKVHVLVLPYPAQGHINPLLQFCKRLVAKGVKTTFVNSVFVSNSIPADPKSAINFEAISDGHDEGGYAAAESTGAYLEKLATFGSKKLADLIRKLEDKGEPVQAVIYDSLLTWALDVAKQFGLVTASFFTQTCAVNSIYYNVYHGLLPVPLSDSPISLPGLPLLQPKETPSFVYLPDSSPAFLHLLVNQFSNIDQADWVILNNFHKLEEDALNWMAKLWRVITVGPTVPSIYLDKRLEDDIGYGINLFKPESSLCINWLNSQPKDSVVYVAFGSWTEIDVEQMEEIASALKETGFKFLWVVRAFEKEKLPSKFAEETSEKGLVVTWSPQLEVLAHESVACFVTHCGFNSVLEALSLGVPVVAAPQWTDQPTNAKFLVDIWGVGVKADADDRGIVRRETLVSCISEIMEGEKGKQIKENAIEWKALAKEAIDDGGSSDKNIDEFVAGLAGQKAKK >CDP16787 pep chromosome:AUK_PRJEB4211_v1:1:3858070:3858905:1 gene:GSCOC_T00019299001 transcript:CDP16787 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFHIAAAILALVFTIVHASDPSPLQDFCVAVPDANAGVFVNGKICKDPKLVEAEDFFFPGLNEPGSTSNPLGSNVTTVNVNQIPGLNTLGVSLARIDFAPYGLNPPHTHPRATEILVVLEGTLLVGFVTSNPGMNMKNKLFTKVLNPGDVFVFPEGLIHFQFNKGHSNAVAFAGLSSQNPGVITIANAVFGSKPPISRDVLTKAFQVDKNVVKYLQSQFWWDNHYYP >CDP15596 pep chromosome:AUK_PRJEB4211_v1:1:26360440:26362162:-1 gene:GSCOC_T00015499001 transcript:CDP15596 gene_biotype:protein_coding transcript_biotype:protein_coding MLKENCPIILIRNLNPVEGLCNGTRLICRELGRHTISAEIVFGQHQGKKVLIPKIPLQTPDNQKNSIAFIRTQFPVRLCFALTINKSQGQTLDYVGIYLREPVFSHGQLYVALSRVRTSAELKILIVPGTFDGIKTDCKTRNIVFKMSNLLPIGDIMPAMKNWSCIITVQEKQQVTNSMGTPTKKQKFVFYDSEGSKVEGIIFNADIPRMSPMLQVYKRYKISNADVRNIPLKFQTAELTKQWMITSKTVIDEIDGDEDIMPVQFAFTKFADLAEYMDDRSKSVDVLGVVISSLAMKTITKNSKQSSVQKFVLLNEESQTVLLSLWDDFLNNEGQVILNNMQSYPVIIGRRLKVNNYNGVSLSTCFDSALLVDPPIQEARQLKNWCNDIN >CDP03956 pep chromosome:AUK_PRJEB4211_v1:1:33916812:33920508:-1 gene:GSCOC_T00016470001 transcript:CDP03956 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFAGRIRSSALRPWPQLVHLRLARTDSGSSSGHNRRRQKFAPSNLLKKADEDKSEWWVVDGEMHEIGENVPPRERFVIPRENIPNKRRKQLREQFMRRTRLVLKESEHEPWCKRYMELYNELRENWERLYWDEGYSKKIAQDHAGYDSAEGDDEDFNPYRRRQNRAEQFKDQGMGRSREANTWQKVRQIRDKFEYDRERRMRGKAFAPMSGGNDFSTDDFASRNQPFNAERYISESDSE >CDP17135 pep chromosome:AUK_PRJEB4211_v1:1:37272221:37273117:-1 gene:GSCOC_T00005061001 transcript:CDP17135 gene_biotype:protein_coding transcript_biotype:protein_coding MWILNWVVSGTFADLHQDPDPPHHLARNVLTKAQKGAARVRVKAGVGAVLVVGAKLHQGELWYSLVFAAP >CDP11086 pep chromosome:AUK_PRJEB4211_v1:1:7935702:7939189:-1 gene:GSCOC_T00033106001 transcript:CDP11086 gene_biotype:protein_coding transcript_biotype:protein_coding MHAASSTGSEGKALLTWKASLDNYSQSKLSSWSSSASPCNTWDGIRCNKAGRVSVINITGSGINGTLDHLNFSSLPHLITIDLSQNALRGTIPSNIGNLSRLTYLDFWSNQFSGAIPIEISQLTNLRFLYLSDNSFNGSIPTSIGNLTNLAELDLGTNKLSGSIPEEIGKLKSLTKLSLANTYIDIFQNQLSGALPDGFNNLTHLNELGLSQNNFAGHLPENICIGSSLTWFTVFENNFVGAIPRSLKNCSSLRVINVADNQLSGNVSEEFGVYPYADYINLRNNMFFGQLSWNWSGYLTLTELRISNNNLSGRIPAGLGEVSRLQKLHLSSNHLHGKIPRSLGKLTLLLELKLDSNDLSGNIPSEIGQMYRLLNLSLSANNLSGSIPEQIGNCTQLLDLNLSQNALFDSIPSQIGNLPSLATLDLSQNMLDSKLPPELGEMKSIETMNLSHNRISGFIPKSFDHCFSLISVDISYNQLEGPLPNISAFQNAPFDSLRNNKGLCGNVAGLKPCSQSTQKNTSRRTTKRMIFLVVAPILATIFLLVMVVGIFIRARSHMRSMENKPQEFTRNMFSVWSFDGKMVYENIIDAIENFDPKYCIGVGGFGSVFKAELPSGQVVAVKKLHGMDDGALRRPKDFANEIRALTNIRHRNIVKLYGFCSHVQHTFLVYEFLEGGSLMHLLSNDETAAKFEWIKRVSIVKDVANALSYMHQNCSPSIIHRDISSKNILLDSEYQAHISDFGTARILRPDSSHWTSFAGTYGYAAPELAYTMEVNEKCDVYSFGVLALEVIMGKHPGDFVLSTLSASSSTSTVYDVLLKDIVDPRLISPSKQESKQVTLVAKLALSCIEPNPQLRPTMKQVCVQLLKEIPSQVNVLPMVTIGQLLDLEITNV >CDP17094 pep chromosome:AUK_PRJEB4211_v1:1:37551833:37554085:-1 gene:GSCOC_T00005010001 transcript:CDP17094 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDQDWEIRISTSSSSSKKGTAACLPPPDSYIDVEEEEDAEEYDDDVDDDDTGSDEKLGLACPFCSEDFDVLELYCHVDVVHHKEARAQICPVCVSKVDANMAVHLITQHETILKISFTVSSSYCWL >CDP09705 pep chromosome:AUK_PRJEB4211_v1:1:24828256:24832400:1 gene:GSCOC_T00030141001 transcript:CDP09705 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPASRIKKIMQADEDVGKIAMAVPLLVSKALELFLQDLCDRTYEITLRRGAKTVNSLHLKQCVQSFNVFDFLRDIVSRVPDLGGSEAAAEGSSARKRKAIEDEGNDSDNEAKKSHVSETGHTSGRGRGRGRGRGRGRANRTLEKESISQCEKYEDDLDISLQNGDKKSINIKRMDNGANPDDTKISLVAQNAEAPVRNFDLNVDLNENADSGAIPAGTPSTSSAKPPMEVRPEEYPGWSLADMESMAIDPVQLAKLNQRIEEEEEDYDEEG >CDP17102 pep chromosome:AUK_PRJEB4211_v1:1:37480845:37484863:-1 gene:GSCOC_T00005021001 transcript:CDP17102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response sensor 1 [Source:Projected from Arabidopsis thaliana (AT2G40940) UniProtKB/Swiss-Prot;Acc:Q38846] MENKNYPSYYCESSTSTLSNAVMISCDCVEPQWAAEEQLLNFQYIADLFIAFAYFSIPVELVYFVQKSAFFPYRWVLIQFGAFIILCGASHFISLWTFSVHSKTVAVALAIAKMSTAVVSCATALMLVHIIPDLLSVRNQELFLKSKVDELDREMGIVIKQEESGRHVRMLTHEIRSTLDRQTILRTTLVELGRCLQLEECALWMPSRRGLNLQLSHTLNSLIPAGSTVPVNLPDVSEVFNSAKAVRLPHACPLARIRPAFRRYSAPEVVAVRIPLLNILDFQIHNWPEVSSKSYALMVLILPMNGVRKWRNHELELIEVVADQVAVALSHAAILEESMWAHHKLIEQNVALNSARKEAEAAIYARNDFLAMMNHEMRTPINTVLLLCSLLLETELTLDQRVVIEMILKSCNLIATLISDVLELSSLEDGSLKLDVKAFNLHGVFREVINLIKPIACVKKLSVSLILSPDLPLYAIGDENKLLQTILNIVGNAVKFTKEGSVRIFVSAPKLKYIRDWELSEAAFCNGQFYYLQVQVMDTGQGIDPQEIPLVFTKFVKRYSSSSQSGSGAGLGLAICKRLTNLMGGHIRIESEGLGKGTTVTFIVKLGICKPK >CDP07054 pep chromosome:AUK_PRJEB4211_v1:1:29182195:29188314:1 gene:GSCOC_T00024152001 transcript:CDP07054 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKRDAQKKAAAAAKRGGKSAAASSKAAKEVAAAAAAATTAAENGSADSLANGVGMLQISDRTCTGVLCSHPLSRDIRIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLAAIGCRELPIPDHMDIYHLTREIEASDISSLEAVINCDEERLKLEKEAEALAGQDDGGGEQLERVYERLEAMDAATAEKRAAEILFGLGFTKKMQEMKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVVSHSQDFLNGVCTNIIHMQGKKLKPYTGNYDQYVQTRAELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDKVLVFRFTDVGKLPPPVLQFVEVSFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLAEKLDLEMPALQFMIKEYPGNEEERMRAAIGKFGLSGKAQVMPMKNLSDGQRSRVIFAWLAWRQPHMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCADQTVTRWQDDIMSFKEHLRQKAGLSD >CDP03636 pep chromosome:AUK_PRJEB4211_v1:1:36232113:36239469:-1 gene:GSCOC_T00016062001 transcript:CDP03636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-copalyl diphosphate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02780) UniProtKB/Swiss-Prot;Acc:Q38802] MASATAAATALLGLCNTTRRFVSFPPNSGPSESTLISGTWPRPGKSLHHNFRLRCSTLSSPPTKELDEGSQNGKPVTKWQGILEEGSTENGIVEVSTSSRIEESIESIRSMLRSMDDGDISISAYDTAWVALVEDVNGSGGPQFATSLQWIADNQLSDGSWGDSKIFSAHDRILNTLGCVVALKSWNMHPEKCEQGLLFIRDNIHKLEDENAEHMPIGFEVAFPSLIEIAKKLSIEIPADSAILQEIYDRRNIKLTRIPKEIMHTIPTTLLHSLEGMPDLDWQRLLSLKCEDGSFLFSPSSTGFALMQTKDADCLRYLTKIVQKFNGGVPNVYPVDLFEHLWAIDRLQRLGISRYFKPEIEECIDYVHRYWTEKGICWARNTHVYDIDDTAMAFRLLRQHGYTVSADVFRNFEKDGGFFAFAGQSNQAVTGMYNLYRACQVMFPGEEVLADARKFSSEFLQDKRASNELLDKWIIMKDLPGEVGYALDVPWYASLPRVETRWYLEQYGGEDDVWIGKTLYRMGKVNNNVYLELGKSDYNNCQALHQLEWRRIQKWYAECGLGEYGLSERSLLLAYYLAAASVFEPERSKERLAWAKTTALIHTLTSYFSSEQMAGDHIEAFLRDFQRSSSNLDHTAGERYGPTQGLLRTVLGTLNQLSLDAVLVHGRDIHQYLRRAWEKWLIALQAGGEMGQEEAELTVRTLNLCAGGYPSEELLLSHPKYQQLMRLTSRVCHQIRHFENKKVQHGRDNNGSANAGGITSVSSIEADMQELAKLVLTGSPSDLDADVKQTFLTVARSFYYTAHCNPGTVNYHMAKVLFERVL >CDP09232 pep chromosome:AUK_PRJEB4211_v1:1:32666978:32671160:1 gene:GSCOC_T00028471001 transcript:CDP09232 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKAAGTDSGEESRMIKVNVKFGGRSIPVEIPLDSTVRDLKSILQPLTNVLPRGQKFIFKGKVLVDEMTMRSSEIINGSKIMLMDTQGLHQGDGPIKQEAPAIEKNLRRRTSELLKANKEVSVPKSQLERWKATGVIALSECNLKAIPEEVWMCGPSARFLDLSHNSLLQLPDKIACLSSMQKLLLNANDLSDKLISWEGLTSLKSLTILSLNQNCLAVLPSAIGALTSLRQLLVENNKLTCLPTEIGLLTNLQILKAANNRINDIPASIGECISLVEVDLSSNLLVELPETFGNLKDLKALYTSNNGLRSLPSTLFKMCSQLSILDLHGTEVTMDVLRQFEGWDDFDNRRRLKHQKQLDFRVTGSAEFDEGADKRY >CDP03982 pep chromosome:AUK_PRJEB4211_v1:1:33727586:33729681:1 gene:GSCOC_T00016501001 transcript:CDP03982 gene_biotype:protein_coding transcript_biotype:protein_coding MEESETYPKDYYSQEPRRTTSDPTSSYASSSTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPHQHSLENSACDAGIDVAKKLLVFEVVSFSFFLFSSLIAQGLKLAINLLNSKDVDEAFRAHISLRVLRFGMLGSAIGSVMGCLFLMLSMVNVIEIRLGMLSCGSKSTVHAVTALIVLVSSALLVYISTALYAFLH >CDP04012 pep chromosome:AUK_PRJEB4211_v1:1:33531988:33535452:1 gene:GSCOC_T00016538001 transcript:CDP04012 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDSLNNLRPIYGLIFLFKWRPGEKDDRVVIKDPIPNLFFASQVINNACATQAILSILMNCPDVDIGPELSALKDFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKAAGKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCPGGHNDIEWLQMVQPVIQERIERYSKNEIRFNLLAVIKNRKEIYTAELKELQRRRERILQQLATLQSERLVDNSNVEALNKQLLEINAGIEGATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLRPLIEKAKQKTANPK >CDP15568 pep chromosome:AUK_PRJEB4211_v1:1:25918885:25922973:-1 gene:GSCOC_T00015457001 transcript:CDP15568 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSANNLDDLLLKQFFAEVSEVERDNEVNRILSCFKLNPFDYLNLSFDSSVDDVKKQYRKLSLLVHPDKCKHPQAKEAFAALAKAQQLLLEPQEREYVLNQVNAAKEELRAKRKKQLKKDTASKLKSIVDEGKYEQEFEQSPEFQQELKLKVKEILTEQEWRRRKMQMRISEEEGRLKKDEEESKEMWKRKREHEEQWEGTREQRVSSWRDFMKGGKKVKKGEIRPPKLKTEDPNKSYVQRPVKRG >CDP08972 pep chromosome:AUK_PRJEB4211_v1:1:30750481:30755276:-1 gene:GSCOC_T00028125001 transcript:CDP08972 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFDLNIPYHESDRHVTETTTYKSNRLKLVIKAAELGYTGVAYNRVIKGVMSESDRCSTSLFPLSSLLKHAPSFSSSVKFHRDLLNVPVSTPFRQYSRLTVVIDCPAQAAALNSGNPVIKSYDIVAVRPINQNAFEQACQTSEVDIIAIDFSEKLPFRLKQSMVKAAVKRGVYFEISYSSLIVDAQVRRQTISNCKLLVDWTRGKNLVISSAAASVSELRGPYDVANLFSLIGLPFEHAKAAVSKNCRSVIVNALRKKHYYKDAIKVEVMPSSGKVNPKESVFSDWLKWDPISSGEGDLLLDDIEKSFSASGSVHNTVKTVGFASALNSLPSHGLQIKEILSAVESASEALDIGKNLSGADESKLTVSVSGISEELSRTNLLPEEIQTSENDRHQSPRHQDSEMRTLPNGSVNDSTLAEKEINHVVATMELKTAKDLDADLPASDREFHNLHSQSCLDSYEQVPLADHMTNRYSADDADTAHTCHDIANAEILFHSKDVLSTFHGEEAKMPISSTKGLYAESGSVVDKIEMDRENKKIPAFAVSDTHSNEEFRENKQFQEKLENLAAFAIEIPNEESHDPAKKANGSLVSEVEPIEEDMASELMEEDEMEGRRKLKGEVAMHYQFLSKSVSGRGRGKRRSVHQKIPFNLKHILNSRPFKRKARKLNTT >CDP11058 pep chromosome:AUK_PRJEB4211_v1:1:9096509:9100034:1 gene:GSCOC_T00033053001 transcript:CDP11058 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRTKKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYYIWGSLTLFFVKELIYKRGYGKVNKQRIALIALTDNSIIEQALGKHSIICIEDLAHEILTVGPHFKEANNFLWPFKLKAPLSGLKKKRNHYVE >CDP11095 pep chromosome:AUK_PRJEB4211_v1:1:7457282:7459595:-1 gene:GSCOC_T00033124001 transcript:CDP11095 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 23 [Source:Projected from Arabidopsis thaliana (AT3G05320) UniProtKB/Swiss-Prot;Acc:Q9MA87] MDLWHCKRLRFFGLHLNSLGCKCVALVVIVLVLRAVLISPFAGFGGIEQSKLIFFRNNSLPQNLQLHIGKQKFLEVPQIIWGLNNQKIAFARACLTARFLNRTLLMPSLSASLFYKEIDLLQPISFDKVFQFERFNCLCKGFVQLSHFTNVSNRSDVLELQKGSGRKWTVEKDLDQLTQHSKPPYDEYETIRIVGKNPFLWHDHWPVKDYAKIFECLAFVDELLKEADKVVSKIREIGRQARHKSEQPQNGLESESRLLQYVPYVAVHLRIEKDWMIHCKKLEQRFNISEICSSKEQIIERVGNIKGLNHPTVVYLAVADSLLEDNSILDGWKEGLLPFEKKKLGVLEIYQKHPYLFKSAIDYEVCSRSDVFVGNSFSTFSSLVVLDRTQKMINMGVSKQCGMDVRWPSYAYNLPGDLDGPCPWATNMSDSSLQVISYGSNHVSC >CDP09719 pep chromosome:AUK_PRJEB4211_v1:1:25182653:25188017:-1 gene:GSCOC_T00030162001 transcript:CDP09719 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLAGGFSKTLVPLVSKEVPKALLPVANRPVLSYVLELLEQNNLKDLIVVVESESAALLIGGWISSAYVDRLHVEVAAVPEEIGTAGALRAIDHHLTASDILVVSGDLVCDVPPGVVAATHRRHDAVVTAMLCSNPVSGSTESGSSGVKDKAKKRYNIIGLDPTKQFLLHVAAGVEVEKDVRVQKSILRAVGQMDIRADLMDAHMYAFKRSVLQEVLNKKEAFQSLRRDVLPYLVRSQLRSELLLNGVQSEENGNDKDASQNPKIVLSQLLANASTPSFHELHALGPNGFSLSPRKTHKCCVYIASNSNYCVRLNTIQAFSDINRDVIGDASHLSGYSFSAQNNIIDPSAVLGSKTTVGPQCMLGEGSQMGDKCSVKKSVIGRHCRIGSNVKIVNSIVMNHVTIGDGCSIQGSVVCSNVQLLERVVLKDCQVGAGFVVTAGSEHKGESLAKKEK >CDP03965 pep chromosome:AUK_PRJEB4211_v1:1:33859769:33861079:-1 gene:GSCOC_T00016480001 transcript:CDP03965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IBH1 [Source:Projected from Arabidopsis thaliana (AT2G43060) UniProtKB/Swiss-Prot;Acc:Q9SKX1] MNIPLSRRSIRTRLAYRFLRALKKLNKQRTDTCRRYHMVKMAAYASMASAVGSKRAWSRALLWKIRNRGLNRWLVKRNKSLGLEEAHQELRKLVPGGEVMDVLSLFDETAHYIKCLTSQVQIMRNIVDFYSA >CDP03846 pep chromosome:AUK_PRJEB4211_v1:1:34622197:34631708:1 gene:GSCOC_T00016342001 transcript:CDP03846 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLEKVDQARTSSTSSEVSVELEKEAEGGSIRNGYGGEVHTVDCSSSDAPVSNGGGTAGSISRVTTLQPEILSLDKLEPPLNNFTLERAKTEGQRHHNILSEEAAQIFDDKIPVQQKLKLLNRIATVKDDGTVEFEFPGDVEPQAIGIASEQPSEADDIPLDDTELRYIPPLQIVVLIVGTRGDVQPFIAIGKKLQDYGHRVRLATHSNFKEFVLTSGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPIQRNQIKEIINSLLPACKEPDVDTGIPFKADAIIANPPAYGHTHVAEALKVPLHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSLIWLGIRDMINDARKKKLKLRPVTYLSGSQGSESDIPHGYIWSPHLVPKPKDWGQKVDVVGFCFLDLASNYQPPEPLVNWLNAGPKPIYIGFGSLPVQEPEKMTQIIVEALEKTGQRGIINKGWGGLGNLAEPKDFIYLLDNVPHDWLFLQCAAVVHHGGAGTTAAGLKAACPTTVVPFFGDQPFWGERVHARGVGPPPIPVDEFTLPKLVYAINFMLDAEVKERAVELAKAMEDEDGVTGAVRAFLKHLPRKKMEPEPVPAASSFLSIRKCFGCS >CDP16784 pep chromosome:AUK_PRJEB4211_v1:1:3741767:3750933:-1 gene:GSCOC_T00019296001 transcript:CDP16784 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSISDVGVEDLVGAGLSVEEAKGFQRHLKDSIFKVSRNSDNGEEPFDPKELWRELTAQKLLKPWRHPHALHQLIYYSVYHNYDESTNGPPIYWFPSLYQSKNTNLGRLMETHCPKLLGALYKDPIASFRQFHRFSVEQPEACTQLLVYWSIVLDHLSIKFLKAPDCILDTSDESKHGGKWFPSSVLNIAECCLVPSSCPKKEDDSLAIIWRNEGCDNLDVSQMTLRELRELVMLVANVLAAKFSKGDAIAIDMPMTATAVIIYLAIVLAGLVVVSIADSFAAQEIATRLRVSKARAVFTQDFILRGGRRVPLYSRVVEAAPYEAIVIPASGKELAVQLRRQDLSWNDFLSSVCILPSSNYFTPAYQPIDSVTNILFSSGTTGEPKAIPWTQHSPIRCAADAWAHIDVQEGDVFCWPTNLGWVMGPILLYSCFLSGGTLALYHGSPLGRGFGKFIQDAGVTVLGTVPSLVKTWKSTGCMNGLNWTKIRTFATTGEASNIDDDLWLSSKAYYSPIIECCGGTELASSYIQGNPLQPQAFAAFSSASMATSFVILNENGLPYPDDQACIGEVGLFPRYMGATNRLLNADHEEVYFKGMPLYKGMQLRRHGDIVKRTIGGFLIVQGRADDTMNLGGIKTSSVEIERVCNQAHDGILETAAVSTPPPNGGPELLAVFVVLKEGISADPDLLKMKFSRAIQSNLNPLFKVSFVKIVPEFPRTASNKLLRRVLRDQLKQELNIRSKI >CDP11064 pep chromosome:AUK_PRJEB4211_v1:1:8854951:8855406:1 gene:GSCOC_T00033066001 transcript:CDP11064 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISASALPYKRTPPSWLKISSQDVDDNICKFAKRGMTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPNWKYESTTASTLVA >CDP09666 pep chromosome:AUK_PRJEB4211_v1:1:24106554:24108821:-1 gene:GSCOC_T00030078001 transcript:CDP09666 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAM6 [Source:Projected from Arabidopsis thaliana (AT5G21274) UniProtKB/TrEMBL;Acc:A0A178UHI2] MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >CDP06952 pep chromosome:AUK_PRJEB4211_v1:1:28195386:28199551:1 gene:GSCOC_T00024011001 transcript:CDP06952 gene_biotype:protein_coding transcript_biotype:protein_coding MEETILVGDDLMMGPPSPLIPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDEIALYRQCAEKRDKELRQRLQDSERKLGMSMPSQEAKERSTQLESEVTLLERRLILASGTEGVEGFRERWSLHGRLTDTKKRLEALKQGMENRDKNEIVPDSNTKRRWFFW >CDP09202 pep chromosome:AUK_PRJEB4211_v1:1:32438812:32439707:1 gene:GSCOC_T00028431001 transcript:CDP09202 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVAQSVRQKIRCGLFGLSIIYPVLFAYIIITISNTPFYFFNYLFISHTSHHKKCYSKNILNNPK >CDP03898 pep chromosome:AUK_PRJEB4211_v1:1:34310623:34312536:-1 gene:GSCOC_T00016401001 transcript:CDP03898 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC45 (Cell division cycle 45)-like protein [Source:Projected from Arabidopsis thaliana (AT3G25100) UniProtKB/TrEMBL;Acc:Q9LSG6] MVRELSIESFYLRLRKSALASASSTPLLIFPSTSDVDSLCALKIIGHVLESDSVRYACYPVSSFKEIHKYAGPNLCALSEEPITILLVNWGCHRDLRRVLQIGPSACVFVVDSHRPIHLHNLSDRNDRVVVLYTKDDEHQADLAYDFDISELANAGDLNSDDEIGDDSDSEDENDSEGEEEDGGESQGTRKRRKVSQESESDPVKLFRKLKKEYYFMGTFHGKPSGCLMYELSHSLRKNTNELLWLACVALTDQFVHERLTEERYHAGVMELEQHINSSGNLDTITSVTLKDGTKVTAPDTSRISYEDEPRLMLLQEWNLFDSMLCSSYIATKLKTWSDNGIKKMQLLLARMGFAREECKQKFQYMSVEIKRRMKDMFEQYLPEFGLTDFYFRGFLLLHGYSSRVSAADVVHGVTALLESSVESNGSSASKQFGVAYDALSLNKLDKLEIGMKHAISVQRSILRQGSSAITKKGSIRSGSKFRWVKLEDSADTKVLCRPQALTKFGYFLMDALREKGARMKPLVCVCYTQERDKVLMVGVCGKPRLGAVQGNAFGIAFRSAAEETGAEYFHELFESSWIVLDSIAVNSFMIRLTEKLL >CDP08977 pep chromosome:AUK_PRJEB4211_v1:1:30795883:30798770:1 gene:GSCOC_T00028131001 transcript:CDP08977 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLILLLVLGLCFFSVTADVFESIDCGSSDLTYTDENSIVWTGDDSFISNGLPRAVQTSNSTSQVMDTLRVFTSRKKNCYSIKADKGGRLLVRASFYYGNYDQKSSPPTFDLQFDGNDWATVQTKIDQVVYYEVIYVVKGDSTSVCLAQTEPDQFPFISALEFRSLGSTMYNHVDINHALLLKRRVAYGTNQTVRYVDDPYDRIWNPMDGGNGLISVASDAILVNAEGGDNPPEQVLMNAVTTSNVSEFIQLGTGFPPVEVPVYINMYFSEVTQLDSTQKRSFRVFENNQSSSDPILPPYGNFSEIYFSNITVSSNTTFYLVSTAGSTLPPLINAMEVFFISDALTDGTNSNDVEGLASLQNSFDALQDWSGDPCLPAPFSWDWVNCTSDATPRITALNLGSFGLSGTLPDFSSMGSLEIIDLHNNSLDGPIPDFLGAFPNLKQLNLGDNQFSGPIPASLSKKNGLNLVVTGNPDLCTSGKSCQSAPTATSGSPAARSSSGGKKKKSSKVPVVVGVTVPVFVLIWAVVGVLAILHHKRKSAAIAAVSAGQNGNGGANTPNGAAINPQMIGKIGMAVMNEFKVNVNEQGTFSENTSSTNGTTQQA >CDP19124 pep chromosome:AUK_PRJEB4211_v1:1:25563573:25567153:1 gene:GSCOC_T00009377001 transcript:CDP19124 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNLGQISNVCFSKQLSLRPTTAAKTGESSSAPIFIQPPPRSRSTNISSLLSLPLSSIPLSGKIETEKLTRIQRVGAMAVDDVGSPVRYAVVTGANKGIGLETVRQLATAGVTVILTARNENRGKEATSKLHQSGLSNVVFHQLDVLETESIKSLAKFIETEFGRLDILVNNAGASGVVVDEDGLRTLNVDPANWLAGKAVNVVQDVIKTTYEAAKLCLDTNYYGVKNVTEALLPLVQRSTSGARIVNISSLRSELKRIPDDQRRKELGDIDTLTEEKINAILQQFLGDLKHDALEANGWQKMLPAYSISKASLNAYTRVLAKKYPDMCINCVHPGFVKTDINWNTGIMTVEEGAAGPVMLALLPQGGPTGSYFDTTKLAEF >CDP03516 pep chromosome:AUK_PRJEB4211_v1:1:37166034:37168278:1 gene:GSCOC_T00015913001 transcript:CDP03516 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLTGGIGSGKSTVSNLFKAHGIPVVDADVVARDVLKKGTGGWKKVVAAFGEDILLPDGEVDRPKLGRIVFSDPQKRQILNRFLAPYISSGILLEVLKLWMKGCKIIVLDVPLLFEAKMDRWTNPIVVVWVDPETQLHRLMARDGTTEEDSKSRINSQMSLDLKRTKADILIDNTGSLADLNENFQKVLVQVTRPLTWTEFALSRQGAIVASISIFLGIIICRKCL >CDP17395 pep chromosome:AUK_PRJEB4211_v1:1:12637881:12661141:1 gene:GSCOC_T00004454001 transcript:CDP17395 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLVPAAPPSQTAKKSITKRPRWKRSLVELMGKFDSKYRHNISSLAMQSYSQIGAFPHEYHIDGSPCQTHVEWFAGGSNNPRSVTMQGVSAVEFDSKGIYLASVTKSGCLTVHDFEELYCQVSLKNFIVKEDETKQVLHISAHQPLDVVRWNLANEDEVALTSRKSGELHIYDIGYISSEPVEVLKKRPTIGVHGCVVQKGLSDVAFSSNDKSRVLASDMLGMVNIWDRRMSNLPCLDLTTNSTSAINSIKFNIDNQVIFGASKHGVIYMWDLRGGSSSAAFQNNKMVLLLQLMQAYYSPITSVKLASEFEKIGSLKAQSNIVPKEILSVDLNPSCPYQLAFHLDDGWSGVFDVHNLQVTHIHCPPPAWLDDFNDLANLSYIRKPSWLPLSSIYAVGSSSRTGLHLLDFYPRCTSPCHVDNEDSQDTVTNGQHKQNTFIPLSEAVTACTAHPVHGAIVAGTKKSSLLLLSQGFMQCQEGDDSPI >CDP09287 pep chromosome:AUK_PRJEB4211_v1:1:18052574:18053123:-1 gene:GSCOC_T00028577001 transcript:CDP09287 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGNDTKKLHTSDRQLQVAENTRKPRIRWTAGLHNCFVKAVDRLGGPFEATPKEIMMQMDIPEVATSHIKSHLQKYRLRMGCNIGTAADSTVARKGKIPAK >CDP17586 pep chromosome:AUK_PRJEB4211_v1:1:1330491:1334260:-1 gene:GSCOC_T00011081001 transcript:CDP17586 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIHADGMMPSDTTVGIAHDAFNTFFSETGSGKHVPRAIFLDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVDEEEEPEDY >CDP17667 pep chromosome:AUK_PRJEB4211_v1:1:5261218:5262789:1 gene:GSCOC_T00013204001 transcript:CDP17667 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDSYQESEDYYQESDQEDYYIDEDEEFNDENNIDDDEEFIKNNHPEEECLEEKNYTVLKQEDIRRRLEDDIAKISSVLSVSREAAIRLLCRSNWSVIEVQDRWFMDEEKARKACGLSENKPVIQKTSKKMFFCRICLEKNGKKKAVSAACGHLFCKSCWETYIRISINDGRGCLMLRCPQPSCGTPVGQDMINSLASDEEKKKYDNYLVRSFIEEKKTIKWCPAPGCDFAVEFVLGSSSSDSNFDFCWLCLGPWSEHNTGGVYGCNKYQESKDDEAKEYSEADRRERAKYYMERYAHHYERWAGNHSSRRRALEDRETMKTVNIEKLSQIQTQAEGMLKFIIDAWDQIVECRRVLKWSYAYGYYLPEEKHAKKELFEFLQAEAEASLERLHDCAENELLVHLNAEGPSEDFNDFRIKLDCLTRATRNYFYKLVTALGNGLSEVDS >CDP09098 pep chromosome:AUK_PRJEB4211_v1:1:31680107:31682267:1 gene:GSCOC_T00028295001 transcript:CDP09098 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGPNIYISSALVDMYCKCGTVVSAHHLFDEIPERNAVTWNSLISGYLETLCPGTAMYLFTEMLRFGIFLTPYSISAALVGCAQLEDCWLGAQVHALGLKFGFEFNVVVGTGLIDMYAKCLDIEASRSVFDRMVYKNVLSWTSMITGYAQNKLSLEAMTLFRDMLRVGIEANYVTYNSLLRSFCCPDDLDHCREIHCRIVQEGFESNIFVSVTLVTVYSECSCSLEEFYRVCSTITLWDQISWNAVIAGFSNIGSGEEALTCFSKMRQAGIGVDIFTYASVLKSIGIISALEVGKQIHSLVTKGGHASNICVRNGLVSMFARCGNLSYARKVFTLMDEHDVISWNSLLSGYSHHGYAEEAIRMFEEMMTTGVKPNLTTFLIVLSACSHCGWVDKGLEYFQLMKNDDSLPPPNLEHYASIVDLYGRAGHLHEAEAFIDNMPIQPGPSMFKSLLGACQVHGDKEIAVRSARRLVELCPSDPATYVVLSNILASEGRWNDAAGIRKIMCDRQVRKNPGYSWI >CDP11074 pep chromosome:AUK_PRJEB4211_v1:1:8302588:8305158:-1 gene:GSCOC_T00033085001 transcript:CDP11074 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYTNIGCYKSLTELSLGNNMLTGRIPLSIGNLSGLTLLYLSQNYLSEQIPSAIGNLTNLIGLDLSQNNLYGAIPPELGTLKLLAYIDIFKNQLSGALSDGFNNLTHLNRVGLSQNHFTGHLPQNICIGSSLTWFLVSENNFVGAIPRSLKNCSTLRKIIATDNQLSGNISEEFSVSPYVEHINLNNNKFFGQLPWNWSGYLTLTELRISNNNLSGRIPAGLGEVSRLQKLHLSSNHLYGEIPRSLGKLTLLLELKLDSNDLLGNIPSEIGQMSRLLNLSLSANNLSGSIPEQIGNCTQLLDLNLSQNALVENIPSQIGNLPSLATLDLSQNMLESQLPPELGEMQSIEMMNLSHNKIFGSIPKSFDHCFSLISIDISYNKLEGPLPNISAFQKAPFDSLRNNKGLCGTVAGLKPCSQSTQRNTSRRTTKRMIFVVVAPILATTFLLVVVVGIFILARSRKRSVESKPQEFTRNMFTVWSFDGKMVYENIIDAIENFDPKYCIGAGGFGSVFRAELPNGQVVAVKKLHGMDDGALRRPKDFANEIHALTNIRHRNIVKLYGFCSHVQHTFLVYEFLEGGSLMHLLSNDETAAKCEWIKRVSIVKDVANALSYMHQNCSPSIIHRDISSKNILLDSEYQAHISDFGTARILRPDSSHWTSFAGTYGYAAPELAFTMEVNEKCDVYSFGVLALEVIMGKHPGDFILSTLSASSSTSIAYDILLKDIVDPRLSSPSKQELKQVALVAKLALSCIEPNPQLRPTMNQVCVQLLKEIPSQFNVFPIVTIGQLLDLQMTNV >CDP19125 pep chromosome:AUK_PRJEB4211_v1:1:25540629:25550602:1 gene:GSCOC_T00009380001 transcript:CDP19125 gene_biotype:protein_coding transcript_biotype:protein_coding description:SE [Source:Projected from Arabidopsis thaliana (AT2G27100) UniProtKB/TrEMBL;Acc:A0A178VZD4] MAEVMNAPVDNLDRRRDRNNNKEAANTSKSPEEGGSSPPPPAPPPPPQARRGRDRERDSRERRDDREFDRRGRDYYDRNRSPPPPPPRERDYNKRGRPSPSPPPPPYRDRRGGGGHSPPPRRSPPFPPYKRSRRDDYDGRRGSPRGGFGPGDRRFYDYQGGYNREMGGRPNYPDERPHGRFFGRSAGGNQDWDSGHSGLVDGFGAGSTQREGLMSYKQFIQELEDDILPSEAERRYQEYKSEYISTQKRTYFDAHKDEEWLKDKYHPTNLLSVIERRNEAARKLAKDFLLDLQSGTVDLGPGVNASSSKQSSDPNSEDETDAGGKRRRPGRGPAKETDLLSAAPKAHPVSSEPRRMQIDIEQAQGLVRKLDSEKGIEDNLLCRADNERMNRDKSHGGSTGPVIIIRGLTSVKGLEGIELLDTLLTYLWRIHGVDYYGMSETNEAKGLRHVRVDGKNPDVTSNGAEWEKKLDLHWQERLKGQDPLEVMTAKEKIDAAAVEALDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVMELTSKVREDLYFQNYMNDENAPGGTPIMQPSMQKEKPLRRRPGLDNRLKDERGGRRERENRANGERFDRSDNPQQVDFQSNNDGAAGGNPDEPMFDTFGGQGIPVAPFPSDIPPPPVLMPVPGAGPLGPFVPAPPEVAMRMLREQGGPSPFEGGRSGRSGPQLGGPAPIIAMPPAFRQDPRRLRRQVFLCNSTDNYCYMVAKTVSFIQFSTHE >CDP06994 pep chromosome:AUK_PRJEB4211_v1:1:28616857:28629482:-1 gene:GSCOC_T00024069001 transcript:CDP06994 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDVRNCQNKEQERLRVDKELGNIRTRFKNEKGLTPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTLVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLFRKNPDVVNVDGWSDRMAQLLDERDLGVLTSSMSLLVALVSHNHDTYWSCLPKCVKILERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTVEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSSADFAMREELSLKIAILSEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAALKAREYLDKPAVHETMVKVSAYILGEYSHLLARRPGCNPKEIFSVIHEKLPSVSTPTVSILLSSYAKILMHTQPPDPELQSQIGAIFNKYGSCIDVELQQRAVEYIELSRKGAALMDILAEMPKFPERQSALIKKAENSEADTAEQSAIKLRAQQQNSNALVVTDQHPANGPSTVSQLSLVKVPAMSNVDGESPDPAVTEANGTLTVVDPPFEDLLGPMAIEGPPSATAEAEHIQASGLAGAPNAGEALAIAPVEGQMNTVQPIGNIAERFQALCLKDSGVLYEDPYIQIGIKAEWRAPHGRLVLFLGNKNTSPLVSVQALILPPAHLKLELSLVPETIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFSSHVVNVKLRLPAVLNKFLQPIQVSGEEFFPQWRSLSGPPLKLQEVVRGVRPMPLLEMANLFNSYRLMVCPGLDPNPNNLVASTTFYSESTRAMLCLLRVETDPADRTQLRMTVASGDPTLTFELKEFIKEQLVSIPLVARPPAPVPPQPQPTIPPSPSATSDPGALLAGLF >CDP08516 pep chromosome:AUK_PRJEB4211_v1:1:22805361:22811266:-1 gene:GSCOC_T00027452001 transcript:CDP08516 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGGSTHFSVFLLVAIILLCSSSKTVNATCYASEKQALMDFKKDLEDLSGRLSSWIHDVDCCKWEGVVCSNRSGRVIQLHLQRPVPEIHVVGDEEESPLSGKISHSLQNLTHLRYLDLSLNDFSGIPIPSFFGSLRSLRYLDLSGAGFQGMVPYQLGNLSSLRTLSITCSVYSSDLQVDNLQWLAGLSNLEHLDMSGVDLSLASNWLEVINTIPSLVEVHLSSCQLDLISHYLGRDTFVFHANFSSLTVLDLSRNRNFLGHLIPRWIFGLTALASLDLSWNSFEGPLPRGPWNLTSLQHLDLSVNYLNGSLSDELIHLNNLISLNLSEKYFEGFLDGIWNWSSLTSLNLSYNNFATFLPSQLSTYIQGNLQNLSPNYLMQPREIITEKHCGQFCILGFRPSSSQNIKSRSVKMLMGCINIIKKFCQISLLLVSPEILSCPFTYLFAYFDFRTIIIGTNNSLFSTLTSLISLALGDNHFRGSIPSSIANISNLQYLYLFHNNLSSSLPSEVFTSKDLITLDAGSNHLNGPIPRAVGNCTKLEILLLHNNALSGSIPSNLGRCAQLKELWLNDNALSGSIPSNLGRCTQLEHLLLNDNALSGSIPSNLGKLSSLEFWDVSHNKLTGTLPESLGQLSKLEELCIYNNLMEGIMSESHLDNLTALRYFDASENSLTLKVSASWTPRAQFETLALSSWKLGPQFPTWIRSQKFLQYLNLSFTGILDTIPPWLFNLSLDTVDLSHNQIHGKSSNISENLISNYLFSGGISHILCEVKNENQVLQYLDLRENSLSGEIPDCWMNYPLMYHIDLNSNNFTGSIPRSLFHLEGLDYLGLGNNSLTGPITFDFE >CDP09690 pep chromosome:AUK_PRJEB4211_v1:1:24452692:24454446:1 gene:GSCOC_T00030116001 transcript:CDP09690 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYEADEQEANGGKTQRQPPQPPDTDWFNVEDNSLYGLYQQQNNPTPSKVAFLEGGQQATSTPPQFNWVQNDFQHLVSTPALHSFQPIYDQATAKNNEQPQQQLLPETGGKWQQLKGKTHSFTLASLELLSNYGKGFKNAAREDQNWSNDSPTNIDQDSSQGRRLSLGEIIRAAGERYIQFSTKNVDGFSGLIHPYASAITDISVAETADVELVQFLLIAAECVGHKKFDLASGLITRCLCIASDSGNAAQRIVFHFAEALQHRIDIQTGKITPERIIERGVQWTVLMQALVERDDRPALTITAVGTMNKQRMEETGKRLISFAQSLNLSLSFNVVMVSDMEELKEDMLSKAPDEAVAVYSPLILRTMISKPESLRNVIKVIRRMKPAVVVVTEVEANHNSPLFISRFTESLFFYSAFFDCLEDCMERNNEHRKTIEGIYLGEGIRNMVGSEGDKRCTRNVMIDVWREFFTRYGMVEVELSHSSLQQANMILKKFHKASSCNLINAGKGIVIGWKGTPIHSLTTWKFM >CDP06927 pep chromosome:AUK_PRJEB4211_v1:1:27875625:27876369:-1 gene:GSCOC_T00023975001 transcript:CDP06927 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSPIQQEESGREKLFRHWNEVAGRVCIPEIWGQEASLNDWMDCSLFDSLLAPKGAVSAREALIAERKRDSTRHLMKMETRGNNSSVRQGSGRSGDPKPSIDAYIKY >CDP03936 pep chromosome:AUK_PRJEB4211_v1:1:34046505:34049283:-1 gene:GSCOC_T00016447001 transcript:CDP03936 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVMYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKGPAKKDATEDGEATAEETKKSNHVVRKLEKRQQGRTLDPHIEEQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKASGGAA >CDP14602 pep chromosome:AUK_PRJEB4211_v1:1:13943311:13949266:1 gene:GSCOC_T00041166001 transcript:CDP14602 gene_biotype:protein_coding transcript_biotype:protein_coding MESVNREAKSKMEDYEVIEQIGRGAFGAALLVHHKIEKKKYVLKKIRLAKETVKFQRTAHQEMNLMAKLNNPHIVQYKDAWVEKDSSVCIVTSYCEGGDMTKMVKKARGTFFPEEKLCKWMIQLLIALDYLHSNRILHRDIKCSNIFLTRGHDIRLGDFGLAKLLTKDGLTSSVVGTPNYMCPELLADLPYGYKSDIWSLGCCMFEIAAHQPPFRASDMAGLVNRITKSTVSPIPTVYSTTLKQLIRSMLRKNPEHRPTAAELLRHPHLQPYIAQYHNLSPVFVPIKSTKNSKHKAPQGQLSGKPKLGKDGKSGKENLVKQMQGFNSRTSSSSTLTDQHEDTEQLAHTPQKIDGESEAGAKVNDQQSSMRAENVHSSNLSQEVGETSSISMQNFLHISKLRMKLDTASQERADALESLLELCAKLLKRERIEELAGVLKPFGEEAVSSRETAIWLTKGLLNIQKQGA >CDP09675 pep chromosome:AUK_PRJEB4211_v1:1:24186031:24190673:1 gene:GSCOC_T00030089001 transcript:CDP09675 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIYAKTNLIRQHFQPGQMSESKGEPKERTDVVDTGPVEYDNTESHQQAAQYPRPNKLKSMQEIYAKTNLICQHFQPGQMSESRGEPKERTDVVDTEGVTSRHPRKNQIKSRRHSTRNGKSKALTDTTDSDVSPPLQVCGKLQGPVESDNLSAEEEIKIVGESFIEDDINAYPLRTRSRRSRRMVNRSGSEVTIPRKRVRRQPRRPLNFSTSITSEGVLSSRQFYRYMDHIWSEVSAEKRNSIACMDCLWFNTYAESKWKEKVLKWIEREDIFSKKYVLVPIVLWSHWNLLIFCHFGESLQSESSTPCMLLLDSLHMTDPKRLEPLIRKFVMDIYKNEKRPETKELIRKIPLLVPSIPQQIDDKKCGYFVLYYIYLFIKNAPEMFSIDEGYPYFMTEDWFTLEELDGFCRTLESVRVDTTSSDE >CDP07135 pep chromosome:AUK_PRJEB4211_v1:1:30023485:30025180:1 gene:GSCOC_T00024263001 transcript:CDP07135 gene_biotype:protein_coding transcript_biotype:protein_coding METQPISSFQFPPGVRFHPSDEELIVFYLHNKVNSRPLPAAVVGEIELYSHNPWDLPKKALFGEEEWYFFSPRDRKYPNGAQPNRTAASGYWRATGTDKPILSSSGARIGIKKSLVFYIGKPLNGVKTDWIMIEYRLPDTHKKPSRSKGSMRLDDWVLCRIRQKGTMSKNSWEVPQSPNTVMEDTPNLKELPPAYPANNASDICSTYFLSKDCHLLAKLLATQDLPPIETNTIATYHGGNKSQNCETVYEHGLIKENQVANSFFPSSLNQQGKPIEEIGYESIPPSEKAMTNLNEFFLAGNMDCTSFYN >CDP17366 pep chromosome:AUK_PRJEB4211_v1:1:4414562:4420707:1 gene:GSCOC_T00009715001 transcript:CDP17366 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHLQHHRGGSSTAAGAGGSSIDDAIGTDLLHNIISRLPATSFASAACVNRSWNSICSRILSYPKLSSAISLNPSLEEAVNEVVEKVLSEPIRPQFVIASIGPSFTLPRAHQLITASFGSGVPVITSLSDGIIGRDALTSEFKEVQWELMEDDEDLDGHGNLLPNQGIVLTVGHLPDMRATMIPLLSQDEEPLMIDEFVMDIREYASLVSGSTSPAAIILFSDLKTDMRPVLQKFDYTFSFETVVVGEGGGRYFYRSDWDKNTTMKPDGNPAVVALLFVKDRHKPPGIGETKFHATLSTGLHPVGAVYKAASVREEKNERSTWLTARREATSVHLDGQSILDNVYNEIGDRIQHLALYIGVNKRRKCSIGLKKARWMMFLEFHEVIGGDEEYLYVNDLGIRTGDSFRFYVSDSDATLSSNTNVTEYFRRLKHEYDHMSNQQDSGSVANTNKKSVFGGLIFACCGRGTSFFGRSNVDSAPFLESFPGATLGGTFCSGEIKLANKSIYGQEQESEDQGYVRCTLHFFSTTYLVLSYIPA >CDP06855 pep chromosome:AUK_PRJEB4211_v1:1:27078382:27087802:1 gene:GSCOC_T00023883001 transcript:CDP06855 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQIGRGAFGAAILVNHKLERKRYVLKKIRLARQTERCRRSAHQEMALISRIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGQYFPEEKLLKWFTQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPSASEILKHPYLQAYVDQYRVSFTHAPANHPEKLLTTRDSRKNMAESQSSNSSCSDRDSLLSTERNVQAVVYNCEKGTSDADLASVDDDSSSGLLHPGDEPHGSDICTTNVEDAEMIKPFPDEQRYNSEVKQPRMIRNIMMALKEGKARENSSPMRNRTKVGGICTQKTNIEAPPKIPRPSSVTPGLKGNTDMPSPVTAKAGSESVKRPAMQSLKHQLPVSDSTPKTRPRHEMIPPLPVKNIPEDGFPLRPRQKTPPNLVRRSSCPGRMKNVGLDAPVPASNKIVPSETADDPERSPDSGPNGCMTCVSRGTLQQTHKASKGAPTESSNSASSSVSIQAFEICDDATTPFINLAAQIFWNQEHTTETGSLESRPSCSVGSSYSDMPENSSRENHGFENKPVAMDNAANNSKLISTGDLEIPFRHSEELLPSKDEASVKRSSDRPDVSTPAIHPSASGGDDKFMVKELQLSVPESTTLGIYPVPSSQKNLLSDMGTIQQNVIIEKPTGNHLPPAFDDVIHVIRHSSFRVGSDQPVIDTVDRNLDVGKLINVVTDDVDIKNLATTPKSPGCSEALSLKSNLSDNANAKEVDVKAPTNHFTPAPKLDSSEPAIMNSPVAQEEGPTTPAKETLDVKSFRQRAEALEGLLELSADLLQHNRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGMMLEDSGRMS >CDP04053 pep chromosome:AUK_PRJEB4211_v1:1:33188246:33193577:-1 gene:GSCOC_T00016588001 transcript:CDP04053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK4 [Source:Projected from Arabidopsis thaliana (AT5G58350) UniProtKB/Swiss-Prot;Acc:Q9LVL5] MYRAGRLSDRTGSTDESEDDHGYAETDPTGRYGRFDEILGKGAMKTVYKAIDEVLGMEVAWCQVKLSDLLRSAEDLERLYSEVHLLSALHHPSIMKFYTSWIDVERRTFNFITEMFTSGTLRQYKKKYKRVDIRAVKNWARQILEGLVYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRSSQRAHSVIGTPEFMAPELYEENYNELVDVYSFGMCILEMLTSEYPYSECNNPAQIYKKVTLGKKPRAFYKVQDLEAQRFIGKCLETASKRLSAKELMLDPFLMVDDFQDGPLKRIGSQKAFLNDNIGIEDLQLNEDIPETNMTITGKLNPEDDTIFLKVQITDKEGATRNVFFPFDMASDTPIGVAREMVRELDITDWTPSEIADMIDAEISGLLPYSKKWEQSQPPRYHILHYEEDEDHNHPFVDFSSCSSSQVSILDETFDDGSSQSSLHSEKHSNLNYCSDEYDSLRSPRQQKLPAASSTHSSSRFCPGGNCSMAQSLARICPSLSKGMLVSQVTSTASGKCTVDLRKLTRNRSLVDMRSQLLHRSLVEEVSKRRLSKTVGAVEHIGFQDPCRVSKKASGTVNGASSVRVTGDVRRQGQTTRA >CDP14097 pep chromosome:AUK_PRJEB4211_v1:1:11431052:11432335:1 gene:GSCOC_T00039301001 transcript:CDP14097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G12890) UniProtKB/TrEMBL;Acc:W8Q2U4] MEKAEKENIVMFPFMAQGHILPFLALALQLEQKGHSITYISTPLNVKKLESSIPPSTSIRLVEIPFNSSDHGLPPDAEIIDDLPYNLVIRLLEVSPCLEPSFRKLLADLIDQQKGIKPLCIIGDFFFGWSADVAHEFGVFHAIFSGAGGFGLACYYSIWLNLPHRHNNDEKGEFMLPDFPEAGKFHVTQLSSSVLIADGSDPSSIFQRKCLPSWVNSDGFLFNTVEELDKIGLTYFRRKLGIPVWAVGPILLPVDGRAKASKTSGITPEECIEWLNSKSPNSVLYISFGSETTISASQMMQLAKALDAGGTSFIWVVRPPIGFDTNTEFIAEEWLPEGSLQRITDQNKGLIVEKWAPQLEILCHKAVAAFLCHCGWNSVLESLINGVALIGWPIAGDQFYNAKLLVELVGVCVEVAEESVLRLGMKI >CDP03779 pep chromosome:AUK_PRJEB4211_v1:1:35128010:35130424:1 gene:GSCOC_T00016259001 transcript:CDP03779 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNVEEKGPASGGEDYTQDGTVDLKGRPVLRSETGRWRACSFIVGYEIFERMAYYGIATNLVLYLTDKLHEGTVKSSNNVTNWIGTVWMAPLLGAYIADSYLGRYWTFIISSAIYLLGMCLLTMAVSLPSLRPPPCGEETKDGHCGNHASSLQTGVFYGALYIVAVGTGGTKPNISTMGADQFDDFEPKERLHKLSFFNWWMFSIFLGTLFSNTFLVYIQDNVGWGVGYGLPTGGLALSILVFVLGTRYYRHKPPSESPLSRMAKVLVATVRKWKVAVPEDPKELYGLGMEKYSKAGKFRIDHSSSLRTLDRAAVLCESASPWTVCSVTQVEETKQMIKMLPVLVATFIPSAMAAQTHTLFVKQGKTLERHIGSHFEIPPACLSAFVTIFMLISIVVYDRFFVPAIRRYTGNPRGITLLQRMGIGFVFHVIIMIIASFVERERLQAARDHNIVGKDQIVPLSIFILLPQFALMGIADNFMEVAKLEFFYDQAPEGMKSLGTAYFTTSLGIGYFLSSFILTTVADVTKRDGHKGWILDSLNTSRLDYYYAFFALLSFLNFLLYLVVTKFYVYNSDISETTRELQEAMETSPMKPTTENE >CDP18596 pep chromosome:AUK_PRJEB4211_v1:1:87508:106373:-1 gene:GSCOC_T00012432001 transcript:CDP18596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MERNGLMLACVLSGTLFSVLSSASFAILWAVNWRPWRIYSWIFARKWPGFLQGPQLGVLCGFLSFSAWIIVVSPVAVLILWGCWLILILGRDIIGLAVIMAGIALLLAFYAIMLWWRTQWQSSRAVAAFLLLAVGLLCAYELCAVYVTAGARASERYSPSGFFFGVSAIALAINMLFICRMVFNGNGLNVDEYVRKAYKFAYSDCIEVGPVACLQEPPDPNELYPRQSRRQVQIFYALAFHLGLLYVGSLLVLFVYSVLYGLTAKQSHWLGAITSAAVIILDWNMGACLYGFQLLKSRVAVLFVAGTARVFLICFGVHYWYLGHCVSYAVVASVLLGAAVSRHFSVTNPLAARRDALQSTVIRLREGFRKKEQNSSSSSSDGCGSSVKRSSSADAGHLGNSAGPCPGDTSGWNNVEGINSDKSIDSGRPSLVLHSSSCRSVVQEPEVGLMNADRNYEYNNSLVVCSSSGLESQVCESSASTSINQQALELNLDLSFQEKLCDPRIASMLKKRVRQGEHEITSLLQNKGLDPNFAMMLKENGLDPMILALLQRSSLDADRDHRDNTDVTIIETNSAGNVLPNQISFSEELRLRGLEKWLQFCRLILHHIAGTPERAWVLFSLVFILETVIVAVFRPKPVRLINANHQQFEFGCAVLLLSPVVCAIMAFLRSLQAEELVMTSKPRKYGFIAWMLSTCVGLLLSFLRYKPTMLHPLVSLTNSFGLADSYSVKIFHKHLLPPPLFFVSALGPKGIMKSKHHFLWGIKSSVLLGLSLTVPLMVACLSIAFPIWVRNGYQFWVSRVDNAGHTGTHQILGMKEGVVLLICIALFAGSVLALGAIVSAKPLDVLGYTGWTGGENSGTTPYTSSVYLGWAMASAIALLITGTLPIVSWFATYQFSLSSAICIGTFAAVLVAFCGASYLEVVSSSDDHVPTKTDFLAALLPLICIPALLSLCSGLLKWKDDNWKLSRGAYAFIFIGLLLLLGAISAIIVTVKPWTIGAAFLLVLLLLVLAIGVIHYWATNNFYLTRAQMLFVCFLAFLLALAAFIVGWFQEKAFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYIYDAHADSGKNVSAAFLLLYGIALAIEGWGVVASLKIYPPFAGAAVSAITLVVAFGFAVSRPCLTLEMVEDAVHFLSKETVVQAIARSATKTRNALSGTYSAPQRSASSAALLVGDPTATRDRAGNFVLPRADVMKLRDRLRNEELIAGSFFRRLRNRRILRHDSASDIGHRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLRLFLDSIGFSDLTAKKIKKWLPEDRRQFEIIQESYIREKEMEEEMLMQRREEEGRGKERRKALLEKEERKWKEIEATLLSSIPNAGNREAAAMAVAVRAVGGDSVLDDSFARERVSSIARRIRAAQLSRRALQTKITGAVCVLDDEPTTSGRHCGQIDLTLCQSQKVSFSVTVMIQPESGPICLLGTEFQKKLCWEILVAGSEQGIEAGQVGLRLITKGDRQSTVAKEWNIGAASIADGRWHIVTITIDADLGEVNCFLDGNFDGYQAGLPLSVGNGIWEDGAEVWVGIRPPIDMDAFGRSDSEGAESKMHIMDVFLWGRCLTEDEIAALATAMGSADYNTIDLPDDNWQWADSPSRVDEWESDPADVDLYDRDDVDWDGQYSSGRKRRSDRDGVVVDVDSFTRRLRKHRMETHEEINQRMLSVELAVKEALLARGESQFTDQEFPPNDRSLFVVSEWMRPTDIVREKQLDSRPCLFSGAANPSDVCQGRLGDCWFLSAVAVLAEVSQVSKVIITPEYNDEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPAFATSRKLNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAEAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSILQVREVDGHKLVQIRNPWASEVEWNGPWSDSSSEWTDRMKHKLKHVPQAKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDTWHQNPQFRLRATGPDASFPIHVFITLTQGVSFSRTTAGFRNYQSSHDSMMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASITLEAL >CDP09186 pep chromosome:AUK_PRJEB4211_v1:1:32333026:32338887:1 gene:GSCOC_T00028413001 transcript:CDP09186 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQSQDPKCLVVGNYCHDVLIKDDAVLAESLGGAVSFVSATLDGLSVPSHCVAKVGSDFAYLVDHQPLLIPSSKTTLFRAHFSSKISRQDRVLKRVHACDSISSSDLSFNSKFDFGLAVGVAGEILPETLEKMIDLCGLVLVDIQALIREFDAVDGTVRLVELKQTDFFRLLPKIGVLKASAEEAPYVDVEEARKWCCVLVTNGKDGCTVYWKDGDLQIAPFPAIQVDPTGAGDSFLGGFVAGLVHGLAVPDAALLGNYFGSLTVAQMGLPEFDSRLLEQVKDEVERRRLQCLGCHMGQDDGLNFVKPLDHEEFHTVLSKAK >CDP14446 pep chromosome:AUK_PRJEB4211_v1:1:9506517:9514044:1 gene:GSCOC_T00040918001 transcript:CDP14446 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSIAAVDSIADDLRNQSLNSNNHGGNHDGINNASKNKGVKLKLEELNWDHSFVRELPGDPRTDIMPRDVLHACYTKVSPSAEVENPQLVAWSESVAESLDLDSKEFERPDFAQIFSGASPLVGGVSYAQCYGGHQFGMWAGQLGDGRAITLGELLNSKSERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMYFLGIPTTRALCLVTTGKFVTRDMFYDGNPKEEPGAIVCRVAQSFLRFGSYQIHASRGKEDLDIVRTLADYTIKHHFPHLENMSKSESVSFKTGEEDNEVVDLTSNKYAAWAVEVSERTASLIAKWQGVGFTHGVLNTDNMSVLGHTIDYGPFGFLDAFDPSYTPNTTDLPGRRYCFANQPDIALWNIAQFAMTLSSAKLITDKESNYVMERYGTKFMDDYQAIMTQKLGLPKYNKQLLSKLLNNMAVDKVDYTNFFRLLSNIKADPAIPEDELLIPLKAVLLDIGKERKEAWTSWVKFYIEELSSSGISDEERKASMNSVNPKYVLRNYLCQSAIDAAEMGDFEEVRRLLKVMERPFDEQPGMEKYARLPPAWAYRPGVCMLSCSS >CDP07015 pep chromosome:AUK_PRJEB4211_v1:1:28860866:28861654:1 gene:GSCOC_T00024096001 transcript:CDP07015 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQLHEGGGSRFLLLLVLPRLIKLLLNGVRSGVLNEIINMEVKSGFFSASTLGQATYFKHQNNLILIKPSQKLNDFPP >CDP07085 pep chromosome:AUK_PRJEB4211_v1:1:29511937:29513396:-1 gene:GSCOC_T00024199001 transcript:CDP07085 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIFQKMAFFHFSRCPFSTIEDHRLTTHRRTNPSSPAAPPAPAKTHFLRVEDSMWLVRCTSTFKH >CDP06884 pep chromosome:AUK_PRJEB4211_v1:1:27450369:27458671:-1 gene:GSCOC_T00023921001 transcript:CDP06884 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA-decapping enzyme-like protein [Source:Projected from Arabidopsis thaliana (AT1G08370) UniProtKB/Swiss-Prot;Acc:Q9SJF3] MAQSNNGKLMPNLDQNSTKLLNLTVLQRIDPFVEEILITAAHVTFYEFNIDTSQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVENLLEDFEFEVQVPYLLYRNAAQEVNGIWFYNAHECEEVANLFTRILNAYSKVPTKSKVSSAKSEFEELEAVPTMAVMDGPLEPPSSTSPNVADVPDDPSFVNFFSTAMTVGSANSTVGGQPYHPSAPVPSSRPQGAVTPPTATIRTPSPPLSTTNPLMPLLETPEHNTDTKRSANLVKPSTFFGPPPSTSPLMVPPVSSSMPTAPPLHPPGSLQRPYGAPLLQPFPPPTPPPSLTPTAAPTPNYAPAISRDKVREALLVLVQDNQFIDMVYRAVLNAHSS >CDP09173 pep chromosome:AUK_PRJEB4211_v1:1:32210319:32216588:1 gene:GSCOC_T00028393001 transcript:CDP09173 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLAGRRLSPVDVIKAALGDSSPHKRKLRSDSSTRDGSDSSVPLPMQSSPVKWKSPRRCPNDSPKINSPSKDNEKFSRGKAMTSSKLPVKKKLSDNVDQLSSVKEALHVSTSPSTVVCRENERTRMLEFCQRCIELETSGSMYVCGCPGTGKSLMMEKVKEALVEWAKEAGLQPPDIVAINCTSLANTSEIFSKILGKSSLQNKSGGSISPLKTLQNNYSQKQHSNGMKMTLIIADELDYLITKDRAVLHDLFLLTTLPFSRCILIGIANAIDLAHKFLPKLQSLNCKPMVITFRPYSKDEIIVILRQRLVALPYVVFQPQALELCARRVAAASGDLRKALWVSRSAIEILEAEIRDSIDYLNLSGVDERFGTTLAKQDSTIVRVDHMALALSKAYRSPVVDTIQSLPQHQQIILCSAVKLYRRGKKHTTIGELNRSYNDVCKSTLIPPAGIVELSSMCRVLVDQGLLKLGQSREDKLRRVTLNVDEADINFALQGVRFFRNCLQC >CDP16769 pep chromosome:AUK_PRJEB4211_v1:1:3359327:3359464:1 gene:GSCOC_T00019261001 transcript:CDP16769 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGANQGSGIHSLTQELLSFFTNIEAARELWGS >CDP07007 pep chromosome:AUK_PRJEB4211_v1:1:28765147:28771012:-1 gene:GSCOC_T00024086001 transcript:CDP07007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAARRYGLVVVLAWVVFPAAIVLCGEMGLTFQLERAFPTSHGVPLGELRARDRSRHGRFLQQSSLGGVVDFPVEGTYDPFVVGLYFTRVLLGSPPKEFYVQIDTGSDVLWVSCASCNGCPTSSGLQIQLEFFDPSGSPTASPISCSDQKCVLGIQSSDSECSNGNQCSYTFQYGDGSGTSGYYVSDLMHFDTIAGNSVTSNSSAKVAFGCSTSQTGDLTKSDRAVDGIFGFGQQGMSVIAQLASQGAIPNAFSHCLKGGNGGGGILVLGQVIQPNIVYTPLVQSQPHYNLNLQSIAVNGQSVPIDSQVFTTSSTRGTIVDSGTTLAYLAEEAYDPFVDAINQIVLQSVRAFVSRGSQCYLVTSTSSVSDIFPKVSLNFAGGASMVLNPQDYLLQQNSINGAAVWCIGFQKIQGQGLTILGDLVLKDKIVVYDLAGQRIGWANYDCSSPVNVSATPSTGKSEYVNAGQIDNNSSSRDDLHSRVLVTILVFLLYALAYGEFSVL >CDP07072 pep chromosome:AUK_PRJEB4211_v1:1:29362973:29367625:-1 gene:GSCOC_T00024178001 transcript:CDP07072 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNAVSTASMLSTPSKQGGLRGTRMNQLQGQKVNYKPTKSRLVVRAAAKEIAFDQNSRIALQAGIDKLADAVGLTLGPRGRNVVLDEFGAPKVVNDGVTIARAIELPNAMENAGAQLIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSVKKGIDKTVQGLIDELEKKARPVKGREDIKAIATISAGNDETIGIMVADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNPEKLIVEFENARVLVTDQKISAIKDIIPLLEKTTQIRAPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAELLAADLGQYVEKATVEQLGTARKVTVTQQSTIIIADAASKDEIQARLAQIKRELAETDSIYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSTSVPAIKDKLVDADERLGADIVQKALVAPTSLIATNAGIEGEVVVEKVKSCDWELGYNAMTDKYENLVDAGIIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKTPVAVPREGELAI >CDP15574 pep chromosome:AUK_PRJEB4211_v1:1:26020284:26022169:-1 gene:GSCOC_T00015465001 transcript:CDP15574 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKTLSLEKPNPPAEDWKIVLPRRGKQKRQFRKTIESELQKPGQSWSPIDDQSDPESESKLMQKMLSYVQKLESSQFYQAFIDQIQSHEMSDHLHRVSGSEEKLQMVIYGIGSIESYEPPRLQLSLAILMKRKFTWIGEVEVFDPVLSLTESKVLSALGCSVLSFNEQGRRQALKPTLFFMPHCEAGLYDNLLQANWEVNMLNQIVLFGNSFEAYEQFLSVCKDPIREERQRHIMAIRRFTKEFAINATSDDYFQAFHSSSWHFFRISHDEDLQNC >CDP03712 pep chromosome:AUK_PRJEB4211_v1:1:35660158:35664296:-1 gene:GSCOC_T00016178001 transcript:CDP03712 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGNSSVRLRNHRRFMTLLTSAACEWFLIFMLFVDATLSYLLTKFAHYCNLQTPCLLCSRIEHVFGINKHGYYRSLLCCNHREEISSLVYCHNHCKLADVDTTCEDCLMSLARRSKSNSESCRFLVAKLGVDTDESGLKSLLLNKSVISDSSGPRTCSCCNKILRVKSNAQRLLEVAPVGYGASKANVKPPLPRVPGRSRFSRRDSLKRLRDKFSGPSAPCPGGSTCVDSLSHVGYTELKITSDSESEAPFSDDDDATLTSHGNNNFGAESNIQLVTRKVPKALAGDSIPVNQNCQTPEPLPSLLDQPVQLESSEANHVGHLESGAFMKHGLEELNWIQSNPKPTTSAALPELVSLDDIPQSVEKDKPIAAFPQPSDLSILSELLSLNSVLSSSNVVKISEKSAEATGCSVNGNSSLAKHKIGAGFTDDCNPTMSRNVNSANKSASTNKQKPEPDVLAEPHKTNDSGRVEEALRSPSQISSSNVDLSPKDRSPRAHCDALLKSDALGSDAIDTVQMAAALERHDSDHESLDGISVKEIEGETLLERLKRQGDYDRRCMKAMFKELEEERNAAAIAANQAMAMITRLQEEKAALHMEALQYLRMMEEQAEYDMEALEKANDLLAEREKEVQDLEAEVEIYRNNILEGPEIDYHCNETSNAKAESKTGEKYHLLPVENGDIATDDSKSDNALKGSDKPRQLSNSELDFEDEKLYISLCLEKLEKKFHHISSNWVHINLANGEYAEHATKRVEDDEKLPYDTQTQISHQKGECALSLHNDLCAPNGISGGDVSAKLVQENQLLCVRKLDTFESEFIDLKSRLEALEMDRDIIKHALNSLRNGNDGLQFIQEIAYQLQELRKIEFKKRCLSIP >CDP09658 pep chromosome:AUK_PRJEB4211_v1:1:23874362:23884483:-1 gene:GSCOC_T00030067001 transcript:CDP09658 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPKIKRRVGKYEVGRTIGEGTFAKVKFARNSEIGEPVALKILDKDKVLKHKMAEQIKREVATMKLIKHPNVVRLYEVMGSKTKIYIVLEFVTGGELFDKIVNHGRMQEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGNLKVSDFGLSALSQQVQADGLLHTACGTPNYVAPEVLQDHGYDGATADLWSCGVILFVLLAGYLPFDDSNVINLYKKISCADYTCPPWLSFSVRKLITRILDPNPMTRITISEILEDEWFKKNYRPPVFNEKEDTNLDDVEAVFKDSEEHHVTEKTEEQPVAMNAFELISMSKGLNLGNLFDEEFKRETRFTSKCPANEIITKIEQAAKPLGFDVRKKNYKMRLENVKAGRKGNLNVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTSLEDVVWKTEDDMQAK >CDP09726 pep chromosome:AUK_PRJEB4211_v1:1:25225309:25229552:1 gene:GSCOC_T00030171001 transcript:CDP09726 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPNQLNISEPPTWGSRTVDCFEKLEQIGEGTYGQVYMAREIRTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDEQGRPGK >CDP08963 pep chromosome:AUK_PRJEB4211_v1:1:30626256:30627822:-1 gene:GSCOC_T00028111001 transcript:CDP08963 gene_biotype:protein_coding transcript_biotype:protein_coding MENCQTTIDGYDIQNFQTCIHKLCISASCRTGLLGVMGTEGVNGYETKSNHIMEVQQTLGIEAARSSIIDEIKHAMSSHGMTIDIRHMMLLADLMTFKGEVLGITRHGVQKMKDSVLMLASFEKTADHLFNASVNGRDDKIEGVSECIIMGIPMQLGTGMLKVRQRVQQVELNYGLDPILS >CDP09629 pep chromosome:AUK_PRJEB4211_v1:1:23438275:23441659:1 gene:GSCOC_T00030018001 transcript:CDP09629 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLTGGIGSGKSTVSNLFKAHGIPVVDADVIARDVLKKGTGGWKKVVVAFGEDILLPDGEVDRPKLGRIVFSDPQKRQILNRFLAPYISSGILLEVLKLWMKGCKIIVLDVPLLFEAKMDRWTNPIAVVWVDPETQLHRLMARDGTTEEDAKSRINSQMSLDLKRTKADILIDNTGSLADLNENFQKVLVQVTRPLTWTEFALSRQGAIVASISIFLGIIICRKCL >CDP15582 pep chromosome:AUK_PRJEB4211_v1:1:26248189:26252409:-1 gene:GSCOC_T00015480001 transcript:CDP15582 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKAPESHLTSVAAFVEGGIQDACDDACSICLEEFVESDPSTVTNCKHEFHLQCVLEWCQRSSKCPMCWQPISLKDPGSQELLDAVEHERNIRMNPPRNTTIFHHPTLGDFELQHLPVSATESELEERIIQHLAAAAAMGRARHIARREGQRSRSSAQGRPHFLVFSTNPNAPSTTAAASPPPLQGVGGNEPAAMIAGSASPLLAIGGDSTQLIPPTSGQADQVPAPASGSSPVANQHVQLTNRRSPNQSSPGSQDRAGPSDLQSFSESLKSRFSAMSMRYKESITKSTRGWKERFFSRNSTTQDLSSEGRRESDPGIATVTDLMGHLETRDSRRNTIASVSNSEEDSPTPGLADQQQHVDISANQSSSEDGSQAPCAASSASN >CDP09724 pep chromosome:AUK_PRJEB4211_v1:1:25216749:25218384:1 gene:GSCOC_T00030169001 transcript:CDP09724 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRVKGVFVHHKEIKAAQGIKIAAQGLKHAIAGTELHVLGPDDDLDYVMEAAMEDMRSVMNRIGTSSVGVHVQASTLGSLEALLEFLKTPGVNVPVSSIGVGPVHERYVRKVSVMIERKKEYATVLAFDVVVKPKVQELAQKLGVKILRGDIIYHLVDQFKAITGCLNEEKKKADADDAIFPCRLKPVPKYVFNKKDHIVLGVEVVEGTVKVGTPICVAGKELTDIGRIASIENNKKPVDCAKEGQMVAIKIVGSNPEERQKMLGRHFEIEDELVSHISRRSIDILKANYRESMSSKDWCLVKKLKILFKIK >CDP15755 pep chromosome:AUK_PRJEB4211_v1:1:15650414:15653379:1 gene:GSCOC_T00015815001 transcript:CDP15755 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTLLDFHPMYELGNSLLEGGIPFNRVHGMHAFDYPSRDPRYNELFNKGMVGPTAITMKKLLQQYKGFEHLQTLVDVGGGLGITLHKIISKYPSIRGINFDLPHVIENAPSYLGVEHIGGDMFESVPGGDAIFMKMILHDWSDDHCLKLLKNCFKALPDHGKVIVVDLVLPVKPDTSAFVKGIFQTDALMMTQNPGGKERSESDVRALAIRAGFKDIKLECCVGSLGVLELYK >CDP03601 pep chromosome:AUK_PRJEB4211_v1:1:36505767:36517389:-1 gene:GSCOC_T00016016001 transcript:CDP03601 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRKGANKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYATDWKKVLVYFFGTQQIAFCNPADVEAFTEEKKESLLGRRHGKGSDFVRAVHEIIESYEKLKRQDQVNNANTTVEGTLASENNSGELSIKSYANGEAAGTTFHLCQKKTHSTAEEGDVGVKSKSGTAVNGQEDLPDRGMPEKEVVTEMAWANTDSYRKIVESNRSQKCFTRRRPPSARRARSRADSSKVKNFITHSGVTDSVFRDGSGRRNKRIRKSPDVLTGHDMDLHDLISNCSIEGNDSEILTADSDSLSLNEGSTVESECKDTHPDSVIELAQRNVENQRLDFQRNGIHKKRRMPNRKRPNSEVVEFNARPDEKVDSVADLVKGERILPGDQERSTERFPKEDGDEHLPLVKRARVRMGRASSTGCEPETSLDTEEKRPDVCNSLSDHIHVSSDREGDGSTDQNPSTVKGDVENSPPLNNSHAMKCDLWEVRKNQHFGSSLDGEAALPPSKRLHRALEAMSANAAEDNQIASDGPSTTNADTNGFSSSSDDHAKFSLERQSVSQFGVSLAEENLSNNDSRDGVSEFSVQSDLPIEQVRICSGVVAIRSSDDSSKSKSCKDDVDYSDGKNLLESSSGDLIDAALILECPKSLSTKEAHVSTNGSLDAVLPLKGGCTNGKTDLGKSPETLDDKTSLLSSNLLAAEDATIQLPHSATNMQTDNADAKFDETMKSCQFILEDKKQVNELLKDVGATGPTIRDCDSMLSPAHMDVMTNGKEDQDHSHSNSISDDHSGDKTVSVTQSSSSLTDGLDSILRATPHNSTSNAPVSVNNSIQVNGSCSPAVHSHHETQKFAERWNYKEANVALTSFESILGLLTRTKESIGRATRSAIECAKFGVAAKVVEILARSLERESSLHRRVDLFFLVDSIAQCSRGLKGDVGGIYPSAILAVLPRLLSAAAPPGSSSQENRRQCLKVLRVWQERRILPESIVRHHIRELDSLCGSSCSRAFSRRPLRNERAFDDPIREMEGMNVDEYGSNSSIQLPGFCMPPMLRDEDDGSDSDGESFEAVTPERDTEKSEGNLKPVPVVEKHRHILEDVDGELEMEDVAPSSDAVVSTSHSAGTDILHASHHSIGNPASVVFAPPLPKDVPPMSPPLPVSPPPPPPPLLPVPRASLPLPSERPDCIASSLNSKLFTCSQNIEDDLQKSTADQSIAPGVNLLTSETAQCSSHGHIDFHSQVPKQIPNSTNCSFSSPPVSHPPVRTVNNPPADGAFNKGFHLRPPHPAPSNQFSYMQVDHRAQSRRDIPPASHPTRFHLQNTDNGNFYRDCDRMKLAPHDIGERWRAPPPFPGPRYLEGSRMPYAPAPFSSQLGEAAPPSNHWAFPPRAMNHMPHRPPSGGPIPVAARGPNCWRPR >CDP04060 pep chromosome:AUK_PRJEB4211_v1:1:33133725:33134576:-1 gene:GSCOC_T00016597001 transcript:CDP04060 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRIILIFLSATLAGFFVFRNLKSQPTTDLDSDTDTLNTVECNSPDNLSKSPPFSSKVCGAIGKGFWTCVDMASGRYLWRNLVGSESSSPASEKAD >CDP17367 pep chromosome:AUK_PRJEB4211_v1:1:4403816:4404482:1 gene:GSCOC_T00009717001 transcript:CDP17367 gene_biotype:protein_coding transcript_biotype:protein_coding FLKHKFFVICWSECRKEGNDLACTSLGQF >CDP17568 pep chromosome:AUK_PRJEB4211_v1:1:1165577:1165995:-1 gene:GSCOC_T00011054001 transcript:CDP17568 gene_biotype:protein_coding transcript_biotype:protein_coding MISTNQELNFQNCWCEDGILKIKLTITFSDLPQRILQKAFMAVGPFLQ >CDP03776 pep chromosome:AUK_PRJEB4211_v1:1:35155384:35161878:-1 gene:GSCOC_T00016256001 transcript:CDP03776 gene_biotype:protein_coding transcript_biotype:protein_coding MLASQDSVNEDLLRGHTSPDYSSSPSSSSSGDHGDVGDSELESMTAKGIQNLCSELLELKNESDEDFQKSISSNYAVFLRTFKDMEGLESELMRLKYQAATQNRVIKDLQESISLKVLSEEIMESMLEESFDSHKTASRSLLEAHTEDISEILDILLSEHRLGDALSVLEMEGRAFQSMRSGENFSSEELMSYNSAISEKKAMLEDQFTRLARNPRVSAPELQKALLGLCRLGNSYLAIQLLLDYYDARIVRGTHDLNASKAVQNGLYIQQVAKFIFSMISQAARSFVALHGAASSYAPELILWANEHTEALATCLAKYVESISEINGGLSIAAETAQFAIAYCSLLDNQNLDLRSCLTNRVRPSMEQILRINVHHYKKVINIFTSTDSWIIGRYLVSGILSKGSSVDVVDKKPEYCLLTNSGRKLVTLFQAITDDSFPLLSLQMEVAVLRELMELFTEYTIILEKALSSGADLIQESGSSIHPAESLEQGVCVIANSFTLGQIFSNIIRSIFGNIHHLKFEIDNYVLYIQDTHVRLRAYFLEQIMQKLLTSEGSQGHVSGSCITLENDSDIYYLVPSMPYQGLYLELRKLQKFAEDNYIELDWLLDVLRELMEAIFFQIANTQEILTVTNDLSMEQKSRKLMQFILDMQFLVEIARSGGYLSDNIVNASMETTHIQSGLYSSDFTLASCVNDQRWAADAAMIAMQKLDVLDEKESATNDMANNLEGETIECESQHSTDSFEDDESTTSPKQSIESPKNLAIARASEMPSHSEKRISEIENITLEGDILDDSISVDYIIGRRQFCRSLHG >CDP17404 pep chromosome:AUK_PRJEB4211_v1:1:13234872:13235557:1 gene:GSCOC_T00004468001 transcript:CDP17404 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKVTLRSGFSGHFSAEYKRTLFLFLLLQKWLRPYFYLFIFLSTQECPDQFLSGPTNPLRLKYPAPQEHPGSM >CDP03983 pep chromosome:AUK_PRJEB4211_v1:1:33726013:33726566:-1 gene:GSCOC_T00016502001 transcript:CDP03983 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHKINNRMLNGPSNKQISIQTLTTGYISCNGTASSSSTSLTSSITPQVHLVTWPMMCY >CDP09656 pep chromosome:AUK_PRJEB4211_v1:1:23839609:23853774:1 gene:GSCOC_T00030065001 transcript:CDP09656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stomatal closure-related actin-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G26770) UniProtKB/Swiss-Prot;Acc:O48791] MTRVGRDFGDTMQKEAVPPVSADVVFTSSRFPNYKIGANNQIVEVKEDPKVLSMKEVVARETAQLLEQQKRLSVRDLASKFEKGLAAAAKLSDEAKLREAASLEKHVLLKKLRDALEALRGRVAGKNKDDVEEAIAMVEALAVQLTQREGELIQEKAEVKKLASFLKQASEDAKKLVDEERAFARAEIEKAREAVQRVEEALQEHERISRASGQQDIDELMKEVQEARRIKMLHQPSKVMDMEQELLALRLQLAEKSKHSLVLQKELAMSKRSEDNMYELDGTEALGSYLRICPCSERALELSECSFQWYRLSSEAGKKELISGATKSVYAPEPFDVGRILHAEIISDGQMTTVATTGPIDPAPGLGNYVEALVRRHDIEFNVVIVQMNGLDHSSQSIHVLHVGKMRMKLHKGKTTMTKEYYSTSMQLCGVRGGGNAAAQAAFWQAKVGLSFVLAFESERERNAAIMLARRFAFDCNIILAGPDDRAAPAT >CDP17082 pep chromosome:AUK_PRJEB4211_v1:1:37670055:37671020:-1 gene:GSCOC_T00004994001 transcript:CDP17082 gene_biotype:protein_coding transcript_biotype:protein_coding MTWYPQDYLSSLPMTTMDMRSDPRKGYPGRTYRFYKGPVVYPFGHGLSYTSFVHTIADAPTVVSIPVDGHRRWNTSVSSKAIKVTHARCSRLSIGVHVDVKNVGGMDGSHTLLVFSSPPGSGHWAPHKQLVAFEKVHVPARAQQRVFLKIHVCKYLSVVDRAGIRRIPMGLHSLHIGPITHSISLQAAVLGVIKS >CDP08968 pep chromosome:AUK_PRJEB4211_v1:1:30713832:30721561:-1 gene:GSCOC_T00028119001 transcript:CDP08968 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQHRRGGAGGGNGFASVSPSQTPKSSDKAARDLRSAEGSMSGKHDKDKGVNVQVIVRCRPLSDEETRLHTPVVISCNENRREVCAVQNIANKQIDRTFAFDKVFGPTSQQKDLYDQAVWPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKKNGEFPSDAGVIPRAVKQIFDILETQHAEYNMKVTFLELYNEEITDLLAPEEAPKFLDDKSKKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHIPYRDSKLTRLLRDSLGGKTKTCVIATVSPSIHCLEETLNTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPKDRYLQDEAEKKAMAEKIERMELDVESRDKQFVELQELYKSQQLLTAELSDKLDKTEKMLQETEHALADLEERFRQANATIKEKEYLIANLLKSEKALMERAFDLRTELENAASDVSNLFAKIEHKDKIEDGNRILVQKFQSQLTQQLDILHKTVAASATQQEQQLKDMEEDMQSFVSTKTEATEELRSRLEKLKTMYGSGIESLNSLAGELDGNSQSTFGHLNSQVSEHSSSLTKLFNSIASEADKLLNDLQNSLHGQESKLIAFAQQQREAHQRAVTTTRSIAQITVNFFKTLDAHVSQLGQIVEESQTVNDKQLSDLEKKFEECAANEERQLLEKVAELLASSNVRKKQLVQTAVNGLRQSAATRTNRLQQEMSTMQVATASVEAEWTNYMEQAESQYIEDNGAVESGKKEMEVVLQNCLQKAKLGAQQWSDAQKSLFNLEKRNVDSVDSIVRGGMDANQSLRARFSSAVSSALEDADVASRNLLSSIDHSLQLDNDACGNLDSLIVPCCGELRELKCGHHHKIAEITENAGKCLLEEYLVDQPSSAATPRRRAYNLPSIASIEELRTPAFEELLKSFWDAKTSKQANGDVKQHIVEAASPLKDSRVPLTAIN >CDP03694 pep chromosome:AUK_PRJEB4211_v1:1:35787978:35793036:1 gene:GSCOC_T00016150001 transcript:CDP03694 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSTPKLSTTLTFQTLSLCKKFPNFPSYQFRTLDVGVFLSSGRWSHSFLRCRPITTTTPRAESTNGAGSEPARNYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTVSSDITGGVGGTCVLRGCVPKKLLVYASKYSHEFEESCSFGWNYETEPKHDWSTLIANKNAELQRLNGIYKNILKNAGVQLIEGRGKVVDPHTVNVNGKLYSAKNILISVGGRPFIPDIPGSEFAIDSDAALDLPSKPTKIAIVGGGYIAVEFAGIFNGLSSEVHVFIRQKKVLRGFDEEIRDFVGEQMALRGIEFHSEESPQAVEKSSDGTFSLKTNKGTVDGFSHIMFATGRKPNTRNLGLEDVGVKLTKNGAIEVDEYSRSSVPSIWAVGDATDRVNLTPVALMEGGALAKTIFANEPTRPDYRAIPSAVFSQPPIGQVGLTEEQAIKEYGDVDIFTANFRPLKATLSGLPDRVFMKLIVCAKTDIVLGLHMCGDDAPEIVQGFAVAVKSGLTKSDFDATVGIHPTTAEELVTMRTPARKVRRSVPEGKTDSEIKAAAGV >CDP09120 pep chromosome:AUK_PRJEB4211_v1:1:31828674:31832939:1 gene:GSCOC_T00028320001 transcript:CDP09120 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSTAGKQVGSASPSSSSISSFNHDLFGSKEPAPTGIFSSIFPPPSSVMGRSGSTSELIECIQGHSARSQVWDKGTPENLAKNNKDESHCMSNKKRSSIFQERAEPCPLSSSLYYGGQEDMYVQSSNAQSLGSYHGINQFKKHWEEDDQNGNDSHSASRGNWWQGSLYY >CDP07139 pep chromosome:AUK_PRJEB4211_v1:1:30069220:30070859:1 gene:GSCOC_T00024268001 transcript:CDP07139 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHPRTPFPGKTRVLKSRTDGKASEAPKVNVKESHVNRERRVFGTVRNQNAPTKPVTNEKPTKKPFSVVPQKPAKMPINATAVEETAATPKKPSENNNVVARSKKKTVNFCDKVEENKDKILQEGDVLAGVQTPLRSPFLVKPNVVGTPYHSAERCSKCRFDKLETSSYWLGQIRLAESVGKHFVSAAFFRLAAECKAEPFRNTKVELKKYLGRHEYLCGEKEWKDLCISYGLMKEEEAIEGHNNKEEEAIEDSGHPGDTRDEQEKQITEPVVAGEPGNE >CDP07058 pep chromosome:AUK_PRJEB4211_v1:1:29224038:29226452:1 gene:GSCOC_T00024157001 transcript:CDP07058 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIQQKPHAILVCFPLQGHLNPAVQLAIKLASKGFTITFINTQSIHHQITSNTQKDSDEDIFSDARKSGLDIHYTTVSDGLPLEFDRSLNHDQFMAAMFHVFSAHIDEAIQRLMESGPPVSCLIADSFLVQLGRVAKKHGLLYASFWTQPATVFTIYYHLDLLRLNGHFDCIDRREDAIDYIPGVQSIEPKDLTSYLQEEQTNTICHQITFKAIHDAKKADFVLSNNIHELELQAMTDLRKKVPFYAIGPLLEFTKCRVAVATSLWSKSDCTQWLDTKPTGSVLYISFGSYAHVTKNELQEIADGVKLSQVTFVWVLRPDIVSSNDSDPLPKGFREETGDRGMIIPWCFQNQVLSHPAIGGFFTQCGWSSILESIWYAVPLMCFPLIADQPTNRKLVVDWKIGINLCDKSQINKLEVSEKINILMKSGNHELKNIIKELRRTMEHALSREGSSERNMDQFINDFHQAIQKKTQIQSSNCIS >CDP07108 pep chromosome:AUK_PRJEB4211_v1:1:29753058:29754043:1 gene:GSCOC_T00024226001 transcript:CDP07108 gene_biotype:protein_coding transcript_biotype:protein_coding MDREIAAKINGCGRLRGCHPPICYNRYVVYVLRNGQIYSGHAGESVIDSDDVKLAIQCQFQLLAASSRSSGPTRISYEHKQPELYQNQLPGLAFHSLLNRTTLISPNYQFAIIARKETKPSKQLKKQMERLLILFPTTEDRCFASHSSACNLFQLDLNDQDELF >CDP11106 pep chromosome:AUK_PRJEB4211_v1:1:7063845:7067114:-1 gene:GSCOC_T00033143001 transcript:CDP11106 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKAVYNNPQDVIVRGIQNEIKGKRCLLVLDDLWNRQQLDDFFTTLKALEAKKGSWCLVTTRLQEVATTLSGLPQINFTRHGLGKLCNDDCWSIINKWATVGEEVPKELEDIRERVLRRCDGLPLAAKLIGGLLYKKRKEEWQSILEESLLNGDQGGIEQIIKVSFDHLSLAPVKKCFAYCSIFDQDAELEQDLLVELWMAEGFLQPDSQNERVMEKIGCEYLRILLQTSLLEEVKEERRTWYKMHDLVHDFAKSILNRSSSNQDRYLAVYSSERMVEIINEKKSASLRTLFLKGGIADDMLSKFKYLHVLKLFGADAKKLPESIGKLLHLHLLDISESSIRTLPESLCKLYSLQTLRIGKLEEGFPKEMSNLISMRHLHYDDDDDDDDDDDDDDDDTEHKIQMPSGIGRWTCLQTLEFFNIGRQEEGHGIQELGTLEDLKGSLKIRNLELVNGKDDAELANLSKKPNLHRLVYEWRNRYRGSDNCDEDVLEGLQPHPNLKELQILKFMGDQFPQWFMHLTSLVELRVADCTRCGKLPALGQLPFLQHLYLTGLENIRSIGLSFYTLKFLSLESMKNLEEWKDAPEMRSTAGEVRAFPVLEKLSISDCPQLTTIPTPSRFPSLDVLQITRNHHRVELWSADNITTLKGMSCAACLKRLKVRYCKNLRELPEDLYQFQALEHLAIWSCPRIDSFGHPNPKNSFGQKGLLKSLERFTVVECDALTRLPAEMFESCTSLRKLKLSNCRSLVSFPLDLRRTPSLESFKLFECPNLIAEMPSGFRYLTSLRKVEIASSSSLRHVSLYGMHDTKSLPHQLQDSTAITSLSLDDFGAIEALPDWLGNLASLEDLILSDCPKLEYLPSVDAMERLKLRRLKISYCPLLTKRCTPESGSEWPKISNIPERKIVPVRSHLKILINCFSLLDHLMLPVFVPHSFSP >CDP03591 pep chromosome:AUK_PRJEB4211_v1:1:36576295:36577715:-1 gene:GSCOC_T00016004001 transcript:CDP03591 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRIIFLYFTLKDKENLSSHFPSSIFFVSSHFIIGVAKQVERDWLLELMDNILIKLRDN >CDP03928 pep chromosome:AUK_PRJEB4211_v1:1:34100309:34103666:1 gene:GSCOC_T00016435001 transcript:CDP03928 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVMQAVQFDGYGGGAAALKHVELPVPTPTKGEVLLKLEATSLNPVDWKIQKGVLRPIMPRKFPYVPATDVAGEVVEVGPEVKNLKAGDKVVAFLNPLYGGGLAEFAVAKESLTVQRPPEVSAAEGASLPVAALTAHQALTQPAGVNLDGSGPQKNILVTAASGGVGHYAVQLAKLGNTHVTATCGARNIDFVKSLGADEVIDYKTPEGAALKSPSGKMYDAVIHCASGIPWSTFEPNLSARGKVIDLTPGPSALWTFAVKKLTLAKKQLVPLLAVPKSENLDFLVNLVKEGKLKSVIDSKHPLSRAEDAWAKSMDGHATGKIVVEP >CDP03934 pep chromosome:AUK_PRJEB4211_v1:1:34057630:34061125:-1 gene:GSCOC_T00016444001 transcript:CDP03934 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLNAASIFALLIFVTSASAKKSGDVTELQIGVKYKPESCEIQAHKGDKVRVHYRGKLTDGTVFDSSFERGDPIEFELGSGQVIKGWDQGLLGMCVGEKRKLKIPAKLGYGDQGSPPSIPGGATLIFDTELVTVNGKPSTGEQPDDSEL >CDP03788 pep chromosome:AUK_PRJEB4211_v1:1:35079976:35082192:-1 gene:GSCOC_T00016270001 transcript:CDP03788 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNQNSPNPNPNLLTSITKLLWGQSLPPQLLISAVRTTWSTAWHLMMSQMAPSDPSGSYARPASKFRIHHASSNISRQNLHLYVGLPCPWAHRTLIVRALKGLEDSVPVSVASPGSDGAWEFRDSGHPVSDRDMLVSTSDTAQGCTTLKQVYNSRRGGYNGRSTVPMLWDVKKKDVVCNESYDIIEFFNSGLNEIAGNPGLDLAPPPLRKKIDEWNQIIYPKVNNGAYRCGFAQSQGAYDTAVNQLFSALDMIDDHLGGSRYLCGDELTLADVCLFTTLIRFDIVYNVLFKCTKKKLIEYPNLHGYLRDIYQIPKVAATCNFVAIMDGYYKTLFPLNPGGIRPIIPSDCEHEALSKPHNRESLSSTRNDVQIYA >CDP03695 pep chromosome:AUK_PRJEB4211_v1:1:35783675:35786471:1 gene:GSCOC_T00016151001 transcript:CDP03695 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSEIEHTLRLPPHLLNLPLAEAIKGELEGLFLDKVIAKLGLCISVYDIRSIDGGFIFPGDGASTYTVRFRLLMFRPFLGEVISARLKESSSTGLRLSLGFFDDIYIPRALLPSPSHDEPDPEDKNQVRWIWEFNEQNYPIDGMDEIRFRVHSIQYPSLPLEQDDGSKPFAPMLITGSLDADGLGPIAWWV >CDP06903 pep chromosome:AUK_PRJEB4211_v1:1:27576975:27578249:1 gene:GSCOC_T00023944001 transcript:CDP06903 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPDQEADQMAAAATSYDQLGDGEIEQELDSDFPDIPTSSKQLKNEKADAERKENKHGMPDNLKSTLLFSGVVIAVVGAIFAVLRKIREA >CDP13084 pep chromosome:AUK_PRJEB4211_v1:1:2836265:2836918:1 gene:GSCOC_T00037858001 transcript:CDP13084 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAISATIQVALETVISLATDRVSLVLGFGEELERLRHTAETIRGILADADGKMHIPGVKNWLEQLEGELFKAEDVLDELNYENLRREVKYRNQLKKKVCFFFSYFNTIGSRSRLASKIRDINMNLERINRQANDVGLVFRFQIEAALPAATGATTSRQTDSILVPNVVGRVDDESKIVDMLSSPSEKVLSVIPIQAQEVWEKQLWRNQSTIIQK >CDP03515 pep chromosome:AUK_PRJEB4211_v1:1:37170061:37172290:1 gene:GSCOC_T00015912001 transcript:CDP03515 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDHKATVIDGKAVAHTIRSEIADEVRQLSQKYGKVPGLAVVLVGHRKDSQSYVSMKRKACAEVGIKSFDIGLPEQVSEAELISKVHELNANPDVHGILVQLPLPKHGCLELLSRSGISIKGKKAVVVGRSNIVGLPVSLLLLKEDATVTIVHSRTKEPEQIIREADIVIAAAGQANMIQGSWIKSGAAVIDVGTNAVDDRTKKSGYRLVGDVDFKEASKVAGWITPVPGGVGPMTVAMLLKNTLDGAKRVIER >CDP13164 pep chromosome:AUK_PRJEB4211_v1:1:1431718:1433519:-1 gene:GSCOC_T00038012001 transcript:CDP13164 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIGAASQVTLERALSLASDRIGLLVGFKKDVASMTLSLGFIKDVLADAEEWQNQSRGVQRWLNSLEEVAYDAQNVLDELHYESLRHQTDSVLVPIIGRADDESKIVKILLSPSKKVVSVLPIIGMGGLGKTTLAKSIYNNQQIDGHFNKKIWVCVSKKVPRVELFKLILLQLTGEKVDGDDRNVIVGKIQNQLGGKRYFLVLDDVWDDDEALWDDFFTTLKGLNPTNGSWCLVTTRPGPVAQCVSRVLRMMENEAYPLGKLPDDHCWSIVKEKVVGDEEEPDELKAIKERVIERCDGLPLAASVIGGLLSLKRKEEWRSILENRLLSLSAGGDHVMQILKLSFDNLPSPAVKKCFAYCSIFPQDTEMEGDMLIELWMAEGFLHAGLENKTMEEIGEYYLEILLQSSLLEEIRKYWGRCYKMHDMVHNLAESMSKSTKVIIDRDTHTVGNGNQIRYLAIDLSKLVCFEFVSYKNSRATSLNWQTDTFAVR >CDP17660 pep chromosome:AUK_PRJEB4211_v1:1:5135105:5136887:1 gene:GSCOC_T00013194001 transcript:CDP17660 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSMDSNSWDVISFAVDKGHGVKGLADLGLHTLPKQYIQPPEEQIINSTIVTDDSIPVIDLSNWNDPNVAEQICNAAEKWGFFQIVNHGIPIEVLENVKEATRRFFALPAEEKNKHSKDSSPSNNVRYGTSFTPKAEKALEWKDFLSLFYVSDDEAAALWPSACRNETLDFMKKSQFVIRKLLEALMKGLNVKEIDETKESLLMGSKRININYYPKCPEPELTQVLYSDYVKHFFRKAHDGKETVDFAKI >CDP13080 pep chromosome:AUK_PRJEB4211_v1:1:2986324:2989806:1 gene:GSCOC_T00037853001 transcript:CDP13080 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAISATVKVVLGTVISIAADRVGMVLGVKAELKRLSKTAATIQGFLADADGKMHSPEVRDWLKELEDEVFKADNMLDELHYDNLRREVKYRNQLTKKKVCFFFSFFNAIGFSSSLASRIRDINTNLKRINQQANDLGLVIKQTDSIIVPNVVGRSVDESKIVEMLLTPSERVVSVIPITGMGGLGKTTLAKSVYNKTEIDENFGIKSWVCVAREIKIVQLFKLILESLTRTKVEVDGRDAIVQEIRGKLGEKRLLLVLDDVWNCEQGLWNDFFTTLLGLSTTKGSWCILTTRLEPVANAVPRHLQMNDGPYPLGKLSDAACWSMLKEKVIAGEDVPKELEAIKEQILRRCDGLPLAASLIGGLLLNNRKEKWHCIVQESLLNEDQSEIDQIPKVSFDHLSPLSVKKCFAYCSIFPQDTELGEDELIQHWVAEGFVHLDCQNQRLMEEIAGDYLRILLQNSLLEKVEETWRTYYKMHDLVHDFAKSVLNPKSSSQDRYLALHSYEEIAENVRWNKAASIRSLFLHLGGDISADTDMLSRFKHLHVLKLSGYDVMFLPSSIGKLLHLRLLDISSSGITSLPESLCKLYNLQTLTIDGYALEGGFPKQMSDLISLRHLNYWHDDAKFKMPVQMGRLTCLQTLEFFNVSQEKGCGIEELGTLKYLRGSLEIRNLGLVEGKEAAKQAKLFEKPNLSSLRLDFERKSDNCDEDVLEGLQPHPNVQKLEIRYCMGNKIPQWLINLPKLVELRIEDCQRCSELPSLGQLPSLKRLYLRSLDNIQSIGDKFYRITTNEEEEEGRSRDVDQVRSTTGEAETDVFPMLRNFHIQRCPQLTNIACSCKILVVEYCRDLTSIKMGYGTASVEELSIRSCDNLRELPEDVFGSSLQLLRISDCPRLISLGVNEQKCPLPCLERLSIDYCYGLTTISDKMFESCSSLRSLLVEWCPNLVFNGFAFATSLRELSINSPFSSDDSSIDDFDWSGLRSASTLRELGLVGLPYSESLPHQLQYLTTLTSLSLFNFGGIEVLPDWIGNLVSLETLQLSNCEKLQSLPPETAMRRLTKLTRVKVYRCPLLRQRYTPQRGIYLE >CDP04059 pep chromosome:AUK_PRJEB4211_v1:1:33135900:33141694:-1 gene:GSCOC_T00016596001 transcript:CDP04059 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRSLQNNKQEKNFKPANRTFGGSGASNGRLASESKGNGRSKRVQTGGNRTTGASHPSQSKVTKQGVFGKRSFKSKINGVSNGYVTKGNSGCKPSNSDKKRKRTYADQPPDEERVSNDNVGFPARRFSSRIVKNKLNDHYHEEKRTTKGNSSGFGDLSRKGSLAGRGKSRESELAGKKKSIAKVKAVDKLKDVEVEGVRNDKLKKIAKSKSDVTKQMEQNHGKHAVGSPERPSKKKVQYKKNITDDSEVMDEKPKKKKRRIRLDPHDTSNKRLDDGAAINNQVKKKKEDDSKTCVLELSRNAQFRAIVPSPSILSFVEDNLLGRRREIEFRRAGYNTELSAPLDNIPYSSSSERERIEETVFRNKLTFYAAAKISSSFPPSELPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFKLGPKLSLVDLPGYGFAYAKEDVKEAWEELVKEYVSTRVGLKRVCLLVDTKWGMKPRDHELIDLMERSQTKYQIVLTKTDMVFPIDVARRSMQIEENLKEKKSAVQPVMMVSSKTGAGIRSLRTVLAKIARIVKP >CDP03944 pep chromosome:AUK_PRJEB4211_v1:1:33974224:33977340:1 gene:GSCOC_T00016456001 transcript:CDP03944 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAKPLLILSALLLSLLISPSQSATCSSQTLSNNKLYAHCNDLPSLDSYLHYSYDPVQSTLSVAFIAPPAKPNGWIAWAINPTGSGMLGAQSLIAFRNSAGQMTVKTYNITSYAPPIKESKVWFSVKDATAEYSGNVIRLFATLVLPEKANTSLNHVWQVGASVTNGVPDKHDFSPANLNAKGSLDLLSGQSSSGGSSSSSDSRVKRKNIHGILNVVSWGLLFPIGIIIARYLRTFPSADPLWFYLHASCQLSAYVIGVAGWGTGLKLGSESKGFQYTGHRNIGIALFALATVQIFALFLRPQKDHKYRFYWNIYHHGLGYAILVLSIVNVFKGMDILSPAKKWKHAYITVLIALAAIAVLLEAITWVVVMRRKSNKSTKPYDGFSDGQGRQLPLSS >CDP16788 pep chromosome:AUK_PRJEB4211_v1:1:3877081:3877872:1 gene:GSCOC_T00019300001 transcript:CDP16788 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIHIAAAILALVFTVVHASDPSPLQDFCVAVPDANAGVFVNGKICKDPKLVKPEDFFFPGLNKPGSTANPLGSNVTAVNVNQIPGLNTLGVSLARIDFAPYGLNPPHIHPRATEVLFVLEGTLVVGFVTSNPGMNMKNKLFTKVLNPGDVFVFPQGLIHFQFNQGHSNAVAFAGLGSQNPGVITIANAVFGSDPPISPDVLTKAFQVGNDVIKKLQSQFWWDNNYP >CDP03552 pep chromosome:AUK_PRJEB4211_v1:1:36900928:36909428:1 gene:GSCOC_T00015957001 transcript:CDP03552 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MIGDGKLLTNAHCVEHDTQVKVKRRGDDTKYVAKVLARGIECDMALLSVESTEFWERAEPLHFGRLPRLQDPVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNDQGECVGVAFQVFRSDEVENIGYVIPTTVVSHFLEDYERNGKYTGFPCLGVLLQKLENPALRACLGVPSNEGVLVRRVEPTSDASNVLKEGDVIVSFDGIRVGCEGTVPFRSTERIAFRYLISQKFAGDVAELGIVRAGSFTKLKAVLNPRVHLVPYHIEGGQPSYLIVAGLVFTPLSDPLIEEECEDQIGLKLLTKARYSLAKFKGEQIVILSQVLANEVNIGYEDMSNEQASIFMMTLVLKLNGTRIKNIHHLAYLVDSCKDKYLVFEFEDNYLAVLEREAACSASARILKDYGIPAERSPDLSEPYVDSLGVNHPVEQHEFGDGPVSNSEFGFEGLLWV >CDP08991 pep chromosome:AUK_PRJEB4211_v1:1:30904417:30912182:-1 gene:GSCOC_T00028152001 transcript:CDP08991 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSLSSPLPAKDNKLLKGIFAVTGIMSTLVIYGVLQEKIMRVPYGPHKEYFRYSLFLVFCNRISTSAVSAGVLLVSKKFLDPVAPLYKYCVVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMLWGSIIMQKKYYIKDYALAFLVTVGCSIFILFPAAGDISPYSRGRESTVWGVSLMIGYLGFDGFTSTFQDKLFKGYDMDIHNQIFYTTLCSCMLSFTGLILQGNLLMAIDFVSRHHDCFFDIILLSTVATVSQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFAHPLSWEQCIGAVIVFGSLYGKSFLRERPRTSPSNPQENGASSPLKAIP >CDP03724 pep chromosome:AUK_PRJEB4211_v1:1:35560349:35561400:-1 gene:GSCOC_T00016196001 transcript:CDP03724 gene_biotype:protein_coding transcript_biotype:protein_coding MANGAGSPCGACKFLRRKCAADCIFAPYFCSEQGPARFAAIHKVFGASNVSKLLLHVPVPDRCEAVVTIAYEAQARIRDPVYGCVAHIFALQQQVAYLQAQLMQARAQLAHTMIDSSRSAENHWPNNMAAMGPFQTYPTYANSISPQSSICSLESIDPSSDGIGVQEMMRGLDDISFQAYARKRPSQTDLGELQALALRMMKN >CDP07136 pep chromosome:AUK_PRJEB4211_v1:1:30026573:30028372:-1 gene:GSCOC_T00024264001 transcript:CDP07136 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDIVFRLDDICKKYEKYDVEKQRSLKTSSEDAFARLFASFESQIEAALQKSEMAAMETSRAKVVAMNAEVRRVKARLMEEVPKLQKLAQKKVKGITKEELEIRYDLVLALPERIRAIPDGTSSAAKQTGGWGASASKRNIKFDSDGHLGDDFFQQSEESSQFREECEMRKLKQACLDVISEGLDTLKNLAQDMNEELDRQVPLIDEIDTKVDKATSELKNTNVKLKHKITEIRSSQNMCIDIILLCILLGIAAYLYK >CDP04037 pep chromosome:AUK_PRJEB4211_v1:1:33353482:33355129:-1 gene:GSCOC_T00016566001 transcript:CDP04037 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGRVGQHPNVVPLRAYYYSKDEKLLVYDYFSNSSLSALLHGNRSSGRAPLDWETRVKISLGAARGIAHIHSVGGPKFTHGNVKSSNVLLNQDLDGCVSDLGLAPLMNFPATRSRQAGYRAPEVMETRKHTHKSDVYSFGVLLLEMLTGKQPIQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRFQNIEEEMVQMLQIAMACVAKVPDMRPNMDEVVRMIEEVRQSDSENRPSSEENKSKDSTVQTP >CDP03920 pep chromosome:AUK_PRJEB4211_v1:1:34146511:34151243:-1 gene:GSCOC_T00016427001 transcript:CDP03920 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKQLLDPEEVDVVHQIDKERGISIEDFKLIKLHMANYIAKLAQNVKVRQRVVATAITYMRRVYVRRALTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLHSDDKYRYEIKDILEMEMKILEALNYYLVVFHPYRALSQLLQDANMGDTTQLTWGIVNDTYKMDLILVHPPHLIALACIYIASVLKDKENTAWFEELRVDMNVVKNIAIEILDFYDSHKMITDERVAAAMIKLNK >CDP07093 pep chromosome:AUK_PRJEB4211_v1:1:29614864:29619960:1 gene:GSCOC_T00024209001 transcript:CDP07093 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGAATPATYSPSLTTVKGKKKPPIFADDANWVRSDGRHFHQCRPAFLRTNAVNSASGSAYAEFGNTKVIVSVFGPRESKKAMMYSDVGRLNCNVSYTTFATVHRNQGSDSKELSSMLYKALEGAIILESFPKTTVDVFALVLESGGSDLPVIISCASLALADAGILLYDLVAAVSVSCLGKNLVIDPILEEESHQDGSFMISCMPSRNEISQLTLTGEWSTPKISEAMELCLDACSKLAKIMRSSLREPLSASEE >CDP03930 pep chromosome:AUK_PRJEB4211_v1:1:34083410:34085555:-1 gene:GSCOC_T00016438001 transcript:CDP03930 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDDVLGDGIPFEQERTLRQMCYQLLKLPVGKNKNLQFPGLHPVSLNRENIQLLKQRMYYATWKADGTRYMMLITNEGSYLIDRKFRFRRVQLRFPCRHTDGCGGNAHHLTLLDGEMIIDTIPGSGEQVRGYLIFDIMAINSISLVGRPFCERWEMIEKEVIEPRNYESNQIQGSQNPHYRYDLETFGMRRKDFWFLSTVNSVLKDFIPKLPHAADGLIFQAWFDPYVPRTHEGLLKWKYAEMNSVDFLFEMVGHHQQLYLHERGKKKLMGATKAVFDDGSSPSLYSGKIIECSWNSEHRAWSYMRIRSDKSTPNDYRTYLQVMRSIEDNITEESLINEISRIVRLPIYACRIPSAKKPNDINIINIYVGSQ >CDP03658 pep chromosome:AUK_PRJEB4211_v1:1:36125211:36126231:1 gene:GSCOC_T00016094001 transcript:CDP03658 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit STN1 [Source:Projected from Arabidopsis thaliana (AT1G07130) UniProtKB/Swiss-Prot;Acc:Q9LMK5] MDALYNTHVKLLAFDFLKLTPSPTEPSSFFRRGKRLSRAETVGIVVTRDLKPGKFVKFTIDDGSGCISCVLWLNQLNSSYFSRRNPSDVRLIAQAATDFASDLQLGVLARVRGRITDYRGALQISVSDVVVERDPNMQILHWLDCMRLARQCYD >CDP03822 pep chromosome:AUK_PRJEB4211_v1:1:34819373:34836628:-1 gene:GSCOC_T00016310001 transcript:CDP03822 gene_biotype:protein_coding transcript_biotype:protein_coding MLALQAALISLGIGSLVWVEDPDVAWIDGEVVEVNGQEVKVLTTSGKTVVVKSTNVYPKDAEAPPCGVDDMTRLAYLHEPGVLYNLRSRYDINEIYTYTGNILIAVNPFTRLPHLYDNHMMQQYKGAAFGELSPHPFAVADAAYRLMMNDGINQSILVSGESGAGKTESTKQLMRYLAYMGGRAAAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDDKGRISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPQEDLQRYKLGDPRSFHYLNQTNCYMLDGVDDSKEYLATRKAMDVVGINADEQDGIFRVVASILHLGNIEFAKGKEIDSSVPKDEKSWFHLRTAAELLMCDAKALEDSLCKRVIVTRDETITKWLDPEAAAVSRDALAKVVYSRLFDWLVDKINSSIGQDPNSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKRFHMTVMGKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNNKRFSKPKLSRSDFTVCHYAGDVTYQTELFLDKNKDYVIAEHQDLLNASTCSFVAGLFPPTGDESSKQSKFSSIGSRFKQQLQSLLETLNGTEPHYIRCVKPNNLLKPAIFENQNVLQQLRCGGVMEAIRISCAGYPTRKPFYEFLDRFGILAPDVLDGSNDEVAACKRLLEKVGLEGYQIGKTKVFLRAGQMAELDARRTEVLGRSANIIQRKVRSYMARKCFTLLRQSAMYMQSVCRGELARQVYGSMRRQAACLRIQRDLRMHLARKAYKELCSSAVSIQTGMRGMAARNELRFRRQTRAAIIIQSHCRKFLARSDYMKVKKAAISTQCAWRARVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRVDMEEAKSQENAKLQAALQDMQHKFNETKEMLKKERETAKKAAEQVPVIQEVPVIDHELMNKITAENEKLKALVSSLEKKIDDTEKKYEETNKLSEERLKQTMDAESKIVHLKTAMQRLEEKIFDMESENQVLRQQSLVTPVKRASEHLPPLASKVLENGHYLNEENRTNDHLSLSPTKNYDTPDSKPRKPPFDRQHEDVDALIDCAMKDVGFSQGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMFGSAIESEDSNDRMAYWLSNTSTLLFLLQRSLKAAGAGGATPIRKQQTPTSLFGRMAMGFRSSSVNPAAASAALDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNLKREINSLLSLCIQAPRASKGSVLRSGRSFGKDSQTSHWQGIIECLNSLLCTLKENFVPPILVQKIFTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCCQAKEEYAGSAWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPDVIASMRVLMTEDSNSAVSSSFLLDDNSSIPFSVDELSNALQLRDFLDVKPADELLENPAFQFLHE >CDP04080 pep chromosome:AUK_PRJEB4211_v1:1:32960739:32966031:-1 gene:GSCOC_T00016621001 transcript:CDP04080 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVHQSPNLSNPFENLTEEIIFNVLDFLDEDPQAKKSFSLVNKSFYSIESIHRRSLRPLRTNLIPSTLRRYPHLSHLDFTCCPRVDDDTLFAIADVYKTSLRAIDLSRSRFFSNVGLSSLAGKCTELTDLAAAAIAEAKNLEKLSLARCKLISDIGIGCIAVGCKKLKWVCLKWCLRVSDFGVGLIAMKCRDIRSLDLSYLPITEKCLPPILQLQQLKELVLVGCSGVDDEGLVTLNQGYKPLEMLNMSIIQNVSHIGLYSLTNGMKHLSHLSLAYGFDVSIELLLVTVDLAKCLRNFPGLQCIKLDGCQVSCAGMKAIADCCVSLKEINLCKCIGVTDEGLSSIMEKHNGLKKLDITCCRKITHASLDIITSSCNSLISLKMESCSLIPEDAFELIGQRCSLLEELDITDNEVNDEGLKAISRCSKLLSLKMGICRKITDGGLSHVGIYCPKLTQLDLYRCTAVTDVGIMAVANGCLGLEMINMAYCEKVTDSSLRCLSKCLRLTALEIRGCTRLSSGGLSAIAEGCRKLTLLDIKKCCNIDDAGMLALAQCSQSLQQINISYCSVTDIGLMALASINHLHSMTILHVTGLTASGLGAALLACQGLRKVKLHSTFKASMPQALLNHVEARGCIFHWRNKAFQQVDIDPKGWQL >CDP08925 pep chromosome:AUK_PRJEB4211_v1:1:30230990:30234112:-1 gene:GSCOC_T00028060001 transcript:CDP08925 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit d, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G52300) UniProtKB/Swiss-Prot;Acc:Q9FT52] MSGVAKKIADVTFKAGKTIDWEGMAKLVVSDEARKEFANLRRTFDEVNSQLQTKFSQEPEPIDWEFYRKGIGSKLVDMYKEAYESVEIPKYVDTVTPEYKPKFDALLVELKEAEQQSLKESERLEKEIAEVQELKKKLSTMTADEYFEKHPELRKKFDDEIRNDYWGY >CDP03750 pep chromosome:AUK_PRJEB4211_v1:1:35384755:35387882:-1 gene:GSCOC_T00016225001 transcript:CDP03750 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAIHFIAKSVPGHGPPDGTGLPCQGVSGRAVCLTPYPSRGSNKNSAKIKHLRCTVRNTSPVCSAGSGGHRRNPDFSRQNKHGFSRNRNRQNEDREGFDSLEESEMFSSKNGPLLTTSGIPRFQATATPGPREKEIVELFRKVQAQLRKRAAVKEERKIEESQRKGKESETVDSLLKLLRKHSVQQGKRSGDIDSGRDFILDQPEQNSSFSQEKNTVIYDSNHSVEHEKRESEAPVMSRPRSNFQRRSPVPLVKNEPVFSDEDAMSSVSRASSVQEGKKKSLEPEFGVGPDIESDTEPTFSDGDEFDEMSEDEISGIYDDDNENVQEPDADEYSNLSEMKLVELRALAKSRGLRGFSKLKKHELIELLSGG >CDP09282 pep chromosome:AUK_PRJEB4211_v1:1:17926731:17929402:1 gene:GSCOC_T00028572001 transcript:CDP09282 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLSSWSSNLKSLPENYIVPVEKRPGMPVPISKDIPVIDLGEEDRANRIQKIMKASQEFGLFQVINHGVSEELMTDAVNVGREFFSLPAEEKAKFVDQDAQNGCMVNTTSGSYRNGCMRKRGEPFIEEALAIREALLIGQEAGWRRIEVQSDSKALIEKITSVLQIVSGGLGLTKEDPDNYDILLMVHSYLECPDPTSALVIAGHHDGNLITVLPQHIMDCKYSRMGIGLVWSFFQMDLSSTYVFSLEVHINYLMHRSSGYKLKPVLGHHWLTL >CDP08987 pep chromosome:AUK_PRJEB4211_v1:1:30879790:30882871:-1 gene:GSCOC_T00028146001 transcript:CDP08987 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFVSSMQNLRSSKSIHLALSKFKLHYHFQTRSFRTHHHRFSNSNSRIRKPIPYLDEIKRCGDTEEALSIFYQYKEKGFKHDYPSYSALMYKLAKARNFEAVETLLDSLKNYNIRCKETLFIALFQVYCKAKLSCKAIELFRNMTSFNCVRTLQSFNAILNALVDDDCLSDANEIFGESANMGLRLNSVSFNIMMKMWLQKGEWDRAREVFDEMLEREVKPSVVTYNSLIGFLCKRGELDKAKDLVKDMMKKGKRPNAVTYALLMEGLCFVGKFKEAKKLMFDMEYQGCKPRSMNYGVLMTDLGKRGEFDKAKGLLIEMKKRKMRPDVVMFNILINCLCKVGRAAEAYKLLVEMQVGGCEPNAASYRMMVDGFCRAGEFESGVKVLNAMLRSRHFPRPETVVCLFMGLIKSGNLDNACFILEEMLKRKIMIDLKSWEALVVESCGEEMDVNRIMTKILEVVAPTLPPEGTDPVDPPVPNVTPGGTAAPAAVASSGVGAESPDHMLTFFMHDILGGSTPSAIAVTGVVTNPAVGGQVPFAKPNGAVLPVNNGIPVNNANSGIINNNNIPFLTGLSGTAPNLVQQNGNSIIGGGFGFPALNPAQFPTGTTFQSLMFGTMTVFDDELTEGHELGSGLVGKAQGFYIASSEDGTSQTMAFTGLATVKTFPANLNQHSTDGVETVLEITVYLAY >CDP08924 pep chromosome:AUK_PRJEB4211_v1:1:30223973:30228739:-1 gene:GSCOC_T00028058001 transcript:CDP08924 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVNESRSTWGDEFVRLEDDTGPPHRSYYSTSTAAAATKKAAEEDWRYHAVEFAKGFAEMSVEFGKGVRDVVKQSIVREDSVIVKKLRGPCERICGKLRFLNEYLPEDRDPVQSWTVILFVLLLAFTVLIVNTDHDTYTPLVKKMCIHPPSATRILLPNGRYLAYKEQGVPADQARYSVIAPHSFLSSRLAGIPGIRDSLLQEFGIRLVTFDLPGFGESDPDPDRGLESSALDMVHLSYSVNITDKFWVLAFSGGSTHAWAALRFIPDRVAGAIMVAPMVNPYDPSMTKEERRRMWAKWTGKKKLMYTLAKKFPRLLPYFYRRSFLSGKHGQIDKWLSLALGNRDRALIEHPLFKESWQRDVEESVRQGNANPFVQEAVLQVSNWGFKLTELKVKKKHKGKGFLVWLKSIYEQEDESMNGFLGPIHIWQGMDDKVVPPAMSDFVQRVLPDAMVHKLLYEGHFTYFYLCGECHRQIFTTVFGTPQGPLAPKVDQTPTIKDTEDTGTEGVEVLGEIPQTSFLPTESVPQVCFNSSDLEA >CDP09146 pep chromosome:AUK_PRJEB4211_v1:1:32012483:32018146:-1 gene:GSCOC_T00028356001 transcript:CDP09146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 43 [Source:Projected from Arabidopsis thaliana (AT3G46980) UniProtKB/TrEMBL;Acc:A0A1I9LP04] MLALALALCNADRVVMSVAIVPLSLSHGWRQSFAGVVQSSFLWGYLISPIAGGTLVDLYGGKVVMAWGVTLWSLATFLTPWAAENSLCALLAMRMLLGIAEGVALPCMNNMIARWFPQTERSRAVGLAMAGFQLGSAIGLTLSPILMSQGGLYGPFVIFGLSGFLWVLVWISATSSTPDKCHQISAYELRYIQNDGNMQSVIKDKSKKSKVIPPFKRLLSKLPTWTLIVANAMHSWGFFVILSWMPIYFKTIYHVDLRQAAWFSAVPWSMMAVAGYFAGVLSDMMIQRGISVTLTRKIMQSIGFIGPGIALVGLTMARSPSNASAWLTLAVGLKAFSHCGFLVNLQEIAPQYSGVLHGISNTAGTFAAIVGTVGAGYFVELVGSFKGFLLLTAFLYFSAALFWNFFATGGRVNFDETL >CDP03668 pep chromosome:AUK_PRJEB4211_v1:1:36064086:36066108:-1 gene:GSCOC_T00016105001 transcript:CDP03668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllase-1 [Source:Projected from Arabidopsis thaliana (AT1G19670) UniProtKB/Swiss-Prot;Acc:O22527] MKVSSVFEEGNLSVKSFPVESSAVSSKSRPLLVFTPTELNTYPVILFIHGFMCSNTSYRQLLQHIATHGFIVVAPQTNPGIFATVSQEITDAAEVTKWMATELQALLPDNVTANFDNLGVAGHGRGGYVAFCLALGKAETTVNFKALIGIDPVAGVNTLWRSKPDILTYIPRSFDLEIPVGVIGTGLGSQKKNFLLPPCAPEGVNHAEFFNECKPPCCYFLAKEYGHTGMLDDGAAKLGNCACKKGSQPRYLMRKAVAGIVVAFMESYLKNNESDLLAVINNPKSAPITLDPVIYVNA >CDP15618 pep chromosome:AUK_PRJEB4211_v1:1:26855751:26856678:-1 gene:GSCOC_T00015535001 transcript:CDP15618 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLDLSWNRLDGTIPRELVNLDSLGFLNLSENLLGGPIPLGRHFDTFREDSYRGNLDLCGSPLTKDCGDTEAPPPATPWEAEEQYDDSEFFDGFTWKAVLLGYGCGLVLGLVMGGLIFLTGKPRWVVLIVEESFKPRRRPMKWIHIRT >CDP03877 pep chromosome:AUK_PRJEB4211_v1:1:34441048:34446495:-1 gene:GSCOC_T00016376001 transcript:CDP03877 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSCCWLWFLLLSGIVEIAFCDQDGFLSLSCGGTVSYVDSSNISWIPDSAYTNAGNMSSVVYLDGSSASQIPVRFFPDSLGTKCYRLPIKNVSSLVLVRTQFVYKNYDGLNKPPAFSVSLGRAMTTTVNLAKTDPWVEEFIWPVDKDTLPLCFYSIPEGGFPIISSLELRPLPQGAYNSGLADFTSKLIRKSYRINTGYIDGSLRYPLDEYDRIWDADEDFSPHHVSTAFDIQNNFNLSNLKETPPIAVLQSARVLARWADLTYNLPIDGLGDYYVVLYFAGILPVSPAFDVLINGEVVQSNYTVKRWDANALFFTMRGINGLNITLKSISYYPLINALEVYEILDIPLETSSTTVSALQVIQQSTGLDLGWQEDPCSPKSWEHIECEGNLVTSLELSSMKMRSISPTFGDLLDLKVLDLSNTSLAGEIQYLGSLQNLEKLNLSFNQLSSFGSELEDLMNLQVLDLQNNSLLGAVPDSLGELKELHLLNLENNELQGPLPQSLNRESLEVRASGNLCLSFSTSSCTDISGTIETPQVTVLTPSKSKGHKHIAVILGSVGGVALAFSVMAISVLLFTRRKKPESTSKPNAGVDIRNWNAARVFSYKEIKAATNNFKEVIGRGSFGMVYLGKLPDGKLVAVKVRFDRTQLGAESFINEVSLLSQIRHQSLVTLEGFCHESKQQILVYEYLPGGSLSDNLHGVNSKRITLSWVRRLKIAVDAAKGLDYLHNGSDPRIIHRDVKSSNILLDSEMNAKVSDFGLSKQMTQGDATHVTTAVKGTAGYLDPEYYSSRQLTEKSDVYSFGVVLLELICGREPLTHSGSPDSYNLVLWAKPYLQAGAFEIVDESIKGTFDAESMRRAALIASRSVERDALRRPSIAEVLAELKEAYGIQLSHLAAIV >CDP07117 pep chromosome:AUK_PRJEB4211_v1:1:29888997:29893488:-1 gene:GSCOC_T00024235001 transcript:CDP07117 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEEVQSTTKKQRIATHTHIKGLGLEPNGKAMPWAAGFVGQAAAREAAGLVVDMIRQKKMAGRALLFAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEACYSSFRIKVTELSPEETESVTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRAVESSLAPIVIFATNRGICNVRGTDMTSPHGIPIDLLDRLVIIRTETYGPAEMIQILAIRAQVEELVIDEESLAFLGEIGQRASLRHAVQLLSPASVVAKMNGRDNICKADLEEVNSLYLDAKSSASLLQEQHDKYIS >CDP18571 pep chromosome:AUK_PRJEB4211_v1:1:300133:305405:1 gene:GSCOC_T00012401001 transcript:CDP18571 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKIDKSGRFCSPRAARELALLILYASCLEGSDPVRLFEKRINARREPGYDFDKESLVEYNHMSFAGPPVTANTLEEADELLLHDQKESEIEAEVLSAPPKLVYSKLILRFTRKLLVAVAEKWDSHVFVIDKVAPPNWKNEPAARILELSILHLAMSEIAVLGTRHQIVINEAVDLAKRFCDGAAPRIINGCLRTFIKDLQGTSAVKRSS >CDP14087 pep chromosome:AUK_PRJEB4211_v1:1:11131281:11139904:1 gene:GSCOC_T00039284001 transcript:CDP14087 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPNIAIIGAGIFVKTQYIPRLAEISNLFVLKAIWSRSEESARGAVEVARKFFPEVECKWGDGGLDEIIKDSSIIGVAVVLAGQIQVDMSLRLLKEGKHVLQEKPATASIDEAEAALSSYHSLRTHLPFQPVWAVAENYRFEPAFEESKKLMAEVGDVMSIQVIIEGSMTSSNPYFSSSWRRNFTGGFILDMGVHFVAGLRMLVGCEVSSVSALTSHVDLTLPPPDNISSTFQLENGCTGVFVLVVSSRSPKILWRVVGLNGTLQIERGNQDGKHGYLVTLYLANGQNKSFFHPFSGVTEELKAFLSEISQATLKKDSSYEVERRLSFVEGVRDVAVLDAMLESGKRQGAPVQVKRF >CDP03626 pep chromosome:AUK_PRJEB4211_v1:1:36310543:36319929:1 gene:GSCOC_T00016050001 transcript:CDP03626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MVSLTPHVPSTLSRPPPPSHPLFLCNPKLPSHLWLTRGSSLPLTSPLKRNITTSTAFPFISSSLSQQQPRTLFPGGYKRPEIRVPNVVLLLSSHEVFEEDKTRGVLDVLDKAIASSVVGIVVLRGGGARGGKLLYEAACVLKSVIKDRAYLLIEERVDIAAAVNASGVLLSDQGLPAIVARNTMIDSKSESVVLPLVARTVETPSAALDASTSEGADFLIYTICGDSHKEDLVSSLFQRVRIPTFIMVDSLVDEKSFHEALNLVKSGASGLVVSLPDLKLLGSDDSNKLYESLYVLSKRMDGKVQKSDEMNMLDVDNGSSPKVGVAGFAKLEERERQLVETERSLLLDARDVIQQAAPLMEEVSLLMDAVSQLDEPFLLVIVGEFNSGKSTVINALLGEKYLSDGVVPTTNEITFLRYSKEDVHHQHRCERHPDGQILCYLPAPILKDMIIVDTPGTNVILQRQQRLTEEFVPRADLILFVMSADRPLTESEVTFLRYTQQWKKKVVFVLNKSDLYQNTSELQEAISFIEENARKLLNTEIITLYPVSARCALEAKLSAASNVSELGELYKTSSHWKISSFFEFEDYLYSFLDGTTSTGIERIKLKLGTPLGIAERLLSSCQKLVREEYQRVKLDLASLNNIVDGVKDYAHKMKSESTAWERQLLSLVNSAQERAIQLLESTLQLSNLDIVTSYVFKGGNSAPMPVTSTVQNDIIGPAASQAKNIVGEYVIWLQSSNAREGRVYKEALAQRWSSLVDPHQVQLHKTDLLGERGELSVKVLEDFSASAASKLFEQEIREVFLGTFGGLGAAGLSASLLTSVLPTTLEDLLALGLCSAGGLLAISNFPARKRLVVDKVKRAGDALARELEGAMQKDLLETINNLEKYVRFVGEPYQDVMQHRLDELAGTSDELIQIEKKLQTLRIEIENLHVG >CDP13152 pep chromosome:AUK_PRJEB4211_v1:1:1615130:1615680:-1 gene:GSCOC_T00037997001 transcript:CDP13152 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAAAEASSKSNNGGTEAKGQKKPQFRPAIDDTKPILKDPILRSDPIETEEAVLRLPPFPIDKLKKSQKFAS >CDP04072 pep chromosome:AUK_PRJEB4211_v1:1:33029004:33033103:-1 gene:GSCOC_T00016612001 transcript:CDP04072 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4 [Source:Projected from Arabidopsis thaliana (AT2G30280) UniProtKB/Swiss-Prot;Acc:Q8GYP3] MAATATSSSTPAENDKPVIVRIKRKASQSRIDAFWLEINERPLKRPLLDFEKLSISDSSTKVEELKSRKVFVRHVETVTTSEVTVDILQTLVSNPADEVELKGKNEIKRKFKTENKQEKLLSKAKEQQEISSKNARFEQIWRSRKQKNEYANDDALQEMCRLYDVVRVDVEKKSEVNVDYSELEDCRMMSEYLPLLREALPSVAVEIEADIVGCMAKGELPDKYVYDYYAVQDDMDITEENAASPFPLLQVEDDDCYDGPDDSEYETDDSNAENNPLNDYPDEQSSDVEDDVGSKCSEDDSEIDGRSSCHQSEEAESISQKSIESGLSGQHDWSEDEMYDDYDGVESYDYGDFSDLEEWR >CDP07079 pep chromosome:AUK_PRJEB4211_v1:1:29411341:29413699:-1 gene:GSCOC_T00024186001 transcript:CDP07079 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYIGKTIKLKEKIASATSASKFVISKEVRKQIANDESARSFPGYRDNRVVIVTIPTAMAVSESSRLCASLKKEKVPVRRLIVNQVLPPSLSDCKFCAMKRKLLISCPIDTFKDQMHAFDMIHKDQDSSSLKMVEAPLFDVEIRDVPALKFMGNVIWR >CDP03635 pep chromosome:AUK_PRJEB4211_v1:1:36251445:36253935:1 gene:GSCOC_T00016061001 transcript:CDP03635 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFPFSFSQPPTPKPPNASTSSSFSTSATTACSVAAVCGAGIAAGLALSQTPANPLYGNAFSSLLSNLLLNTSHFSPMWGSLSLSGSSASVAEPKTGMSFPSVLKDSQRLLGIGLRKKAVLGLKNIDVYAFGVYADDGDIKKVLTEKYGALSAAELKEKKELREDLMESDVSMTIRLQIVYGRLSIQSVRSAFQESVGNRLRKFGGPNNKELLERFTSQFKDEYKIPRGSIIDLSRDQGYVLRTTIDGQEVGSIQSKPLCRSIIDLYIGDEPFDQKAKEDVQKNLAHVLQK >CDP03650 pep chromosome:AUK_PRJEB4211_v1:1:36160012:36164943:1 gene:GSCOC_T00016085001 transcript:CDP03650 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP30 [Source:Projected from Arabidopsis thaliana (AT3G63220) UniProtKB/Swiss-Prot;Acc:Q9M1W7] MSGLIEGLPDAVALRCLARVPFYLHPRLELVSRSWRAAIRSTELFKAREEVNSTEEFLCVCAFEPDNLWQLYDPLHDIWITLPVLPSNIRHLAHFGVVSTAGKLFVLGGGSDAVDPLTGDQDGSFATDEVWSYNPVTRHWALCASMIVPRAMFACGVLDGKIVVAGGFTSCRKSISKAEIYDPEKDVWASIPDLHHTHNSACSGVVIGGKVHVLHKGLATVQVLESAKQGWTVHEYGWLQGPMTVVKGKLYVMSHGHGLIYKQEGESRKIVVSASEFRRRIGLAMIGVAGDIYVIGGVIGPERWNWDIKLMSDVDVLTLGGDRPVWRQVAPMTRCRGTILGCTQLRI >CDP08482 pep chromosome:AUK_PRJEB4211_v1:1:22041870:22043990:-1 gene:GSCOC_T00027401001 transcript:CDP08482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g17630 [Source:Projected from Arabidopsis thaliana (AT1G17630) UniProtKB/Swiss-Prot;Acc:Q9LNP2] MLHAAVTIASHSSNSVLRPFHSLSRCLTTSSIGQNSKTGINAADSGLVDFFDHLLQQCINGDHLRQLKQTHSQIIHTSTFLSPFLAARLVSVYSKFGLLKDARKVFDTISKLDESFITRNMLLWNSILRANVVQGEYNEALRLYCEMRKVGVLPDGFGFPLIVKASGRAMVGESNYKLCTSVHCHVVQLGFRDHMHVVNELLGMYGKIGRMDIACKLFDRMPVRTQISWNVMVSGFANNSDCENASKMFDRMELEGWEPNCVTWTSLLSSFCKCGRGGETLRLYRMMREKGVEVTAEAVAVVLSVCADMNAFCTAANLHGYVLKGGFSNYSFVVNSLICVYGKNCAAKEAESLFSGLESKNIVSWNALISSYAESGLCDEAFALFSQMGKLHDGYAELKPNVITWSAVIGGFAAKGRFEESLEIFRQMQIAEVAGNPVTIASTLTACAGLSAHCLGKEIHAHVTRTLLDKKVLVGNGLINMYMKCACPEEANLVFEGLGTRDLFSWNTMIAGYGMLGLGDTALKFFYNMINIGFKPDEVTFVAVLSACSHAGLVAEGRKLFDQMVRGFRIESQMEHYACMVDLLGRAGLVLEAIDFVKNMPIEPNACVWGALLNSCKMYKNTDAAEETAAQIFGLEPEMTGSYMLISNLYAAQGRWEDSAKVRHSAKTVGLKKSPGRSWIEVKKKVHAFLAGEALDSGMDIGYWIV >CDP19129 pep chromosome:AUK_PRJEB4211_v1:1:25483478:25493258:-1 gene:GSCOC_T00009385001 transcript:CDP19129 gene_biotype:protein_coding transcript_biotype:protein_coding MGASNSRMEEDKALQLCRERKKFIGQALNARCSLAANHISYIEALKVTGTALSKFVEPEAPVESSIYTSTSATPEPHVLNEKSASQFSYSSPAFYQLADATRNISPSSSPPSSRHYRENHMKFRGTFSSKVEEKPSIPQTFSVTSATPESTTPRSVARPETLPFEPPPLPPETPPWDYFGLSHAVDNHFSSLEQTKLNQESKYAAEIRQLREEEGISELGGDEKFSSPGREESLESEDEFDEPSAATLVRSFENVNRAEESIATNNSPATPSAESIASDSRVLKRLKNNSPDLTPLRATSEAAGGNDIKTTPMEDDDNTNVEDRVAPKDFFMSMKEIEYLFGKASESGREVPRMLEANKFHFRPIVPGKENGSMTASFLKSCFSCGEDPSQVQEEPPQTDVKYLTWHRTTSSRSSSSRNLPGANSIDDIEDLTKSLLDSLSMISGSHASTIDRLYAWEKKLYDEVKASEQIRRKYDAKRKLLRQQESNAEKASRVDKTRAVVKDLHSRIRVAIHRIDSISKKIEDLRDRELQPQLEELIEGLRRMWGVMFDCHKLQLHIISVAYTPGNTKIYIHSDSRRQIVIHLESELSSLSSCFTKWMNAQKTYVETINKWLYKCVLQLEKSSKRKKKSQHPPLRQHGPPIYTTCGLWLDMLNNLPTDSVVDSIKSLATEVAHFLPRQDKNQGKSANHPHSASGQDGDLGTKMLGDEAAEDWTRGLDQFRVSLAGFLRKMSQFAESSVNMFTELQKGIEDAKKSYEQSKVPIEKILTKIGILTCIQITKLQII >CDP09015 pep chromosome:AUK_PRJEB4211_v1:1:31083332:31084039:1 gene:GSCOC_T00028183001 transcript:CDP09015 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAGEKATEEKKTSVAEKAPAEKKPKAGKKLPKEAGAGAGDKKKKRLKKSVETYKIYIFRVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CDP03992 pep chromosome:AUK_PRJEB4211_v1:1:33687719:33692099:1 gene:GSCOC_T00016511001 transcript:CDP03992 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNYYLFFLLRVNHRWGRLFNSLNPSSRQREVIACGFGRHVCDCLHLLSVRIVLIVYLQVEAFRVIKTLSTMEDAGSVATLMDSTSSKIQQLQKAFAELESHRAITLNWKWKQLEEHFHGLEKSLKRRFTELEDQEREFETKIVESKEMLERRQATVVAKEQASLARLQQKRDAAVCAITSALEKHRKLSSDEPAFDNSKDHGGAPDKEDKPPDAMAAESNIRQLTAPSEIENAVVKLYPELVKLCQEMNSEGLHKFISDNRKNLAVMREEIPNALKAANDPASLVLDSLNGFYSMEMPSSDAKKDSNLLGLRRSCIMLMECLSTSFTNLDQELISGMISSDAKERAKVIAEEWKPKLDDLDVDASSGNSLEAHAFLQLLATFGINSDFDQESLSKLIPMVSRRRQTADLCRSLGLSDKMPGVIDVLVKNGRQIDAVNLAFAFDLTEQFSPVILLKSYLSDAAKLSSPSKLGNTSPSAQCDVNEKELSALKAVIKCVEEHKLEDLYRLDGLQKRVVQLEKAKADKKRATEVAKPQSKRPRANGAGYGPRVANVAADKNSYARMTDRYPQYIYDRPYCYSGPTDNHVAPVLGTAAYSLPHSHGNFFGAGYQYQVAPYLH >CDP13076 pep chromosome:AUK_PRJEB4211_v1:1:3097512:3100742:-1 gene:GSCOC_T00037847001 transcript:CDP13076 gene_biotype:protein_coding transcript_biotype:protein_coding MCWTSSTMKIFVGRLASKIRDINMNLERINRQANDVGLVFRFQIEAALPAATGAATSRQTDSILVPNVVGRVDDESKIVDMLSSPSEKVLSVIPITGPGGLGKTTLAKSVYNNPKIDGHFGQKIWVCVAKEQIKIMELFKLILVQLTREEVKVDNREVIVKEIGEKLKGKKYFLVLDDVWDYDQGLWSDYFNTLMGLNETKGSWCLLTTRSKLVADVVSTHLKMNSGPYFLGKLSSEECWSIIKGKVMSAGEEVPEELEALKKQILGRCDGLPLAASLIGGLLLNNRREKWHSIVQEILLQNSLLEKVEKQRGTYYKMHDLVHDFAKSVLNPKSSSQGRYLALHSYEEMAENVRRNKAASIRSLFLHLGGGISADMDTLSRFKHLHVLRLSGYYIVFLPSSIGKLLHLRLLDISSSGIRSLPESLCKLYNLQTLTIDDDDELERGFPKRMSDLISLRHLNYYHCDAEFKMPMQMGRLTCLQTLKFFNVSQERGRGIEELGTLKYLKGSLSIRNLGLVKGKEAAKQAKLFEKPDLSCLVFEWESGDRESDNREEDVLEGLQPHPNLQELVIYSFMGNKFPQWHINLSKLEVLWIVDCKRCSELPSLGQLPSLKSLYLEGLDNIRFIGDEFYGSSTRRRKFFPALEELYVAVGNLVEWKGADQVGEAEADVFPMLRDFRIRGCPQLTALPCSCKSLYVQGCDNLTSIKTGYGTASVEELWIHSCDNLRELPDLDLFGSSLQRLTISFCPRLISLGVNGQKSPLLLCLEKLKIEYCEGLTTISDKMFQSCRSLRSLEVMRCPNLVSFSLNLQEAPSLERFILKECPKLIPYCEGFNGFAFATSLKELSINIFTTLEE >CDP17048 pep chromosome:AUK_PRJEB4211_v1:1:37921801:37929995:-1 gene:GSCOC_T00004954001 transcript:CDP17048 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGAIGIAKQQQSSPFSVGSLVEVTSDEEGFQGAWYLATLLRPPSSSSSPTRSASSSSSSTSSAKKRKSKFHPNSKAYVQYHTLVAEEGSNVPLRESVNAEFLRPLPPPDPPSSEPYFDLGDVVDAYYRDGWWTGVVTALLLHHQDADRHYHHKQAQSSPLLRFVVTFHNPPDELHFAPSQLRFHRRWVNCTWVRPAKQRAAGLMFSVGKRVEVSFDREDWRDTWFPATILEDIGNDSFLVEYHCEDAAPLRATVNFLHIRPCPPHLKDKNFVLLEKVDAYYHSGWWPGVITKALADCRYNVFFKHTKRDGEFNHTDLRPHMEWKDGKWFTSSQDILHKLDYEKPEGHVYNNVDSTEVRVPLGNSGFRESNSEEKAASSLNLGENQVELPTPCSEEALRVYSLPEEKTQETPVCGGEEILKEEMPAGMPSLTASQPCTEATTGTTGKAKSFDLASPSSESIGNNLAKQPSGGDQSSENHTWGKRVKRKRIKTSEEDDNRTSGSGRTSSSSRKVQVKSPTTSSGSREGDAGVDAAEGVQEECVTTETNLPVVLGLECDKGSNSSTRKACLSGGEELSKLPDNHVGLNDNGLSSIKDSIQLDSEEKIPKRRRGRPRKVATNSSITLASEEPRKEVIIHELLVKDCLISENDSLQDVEVKSAMMDSSVPGQECVINGSGKHIIRSQEKQKNKTGSTAILSALRKLSEEVVEATTKQQERHSSKRGRRRTVNLNSVSQVQDSPNSSGSKVTESSCMTKELENVVEELPANTFEDQPLSKWFQMNSPTTNDASRLSPTRSVEQCAVASNGQLAIVNENHAVDKREIVTCEVQNLPFVKNTLLWSTLESMQVFQKLPQKPHFQPLEQCKESSREGLAIGFMVTFSSVVERTSRLKFDDPKSTIDDILETLVELESHGFDVGVVRDRVTHLMTMKDKQEKLQDEVEQLHGEIEKHKLEKSKFDEEEEEINNQMKNLQEKLSQVASMKATKDREITSLQSKLEGIRKGITNVQSDFQGLATLV >CDP18588 pep chromosome:AUK_PRJEB4211_v1:1:168361:170725:1 gene:GSCOC_T00012419001 transcript:CDP18588 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSIFMRVLFCKIHCPFICFCKPSAAAHLYNSGPLKLESTPPQAPYRVVSVSDSSDQSSTEAPKIKKEEDIPDGKEQGENVLKSCMKKAPTRPSDPLKEVEKKRVQWMDNLGKELVEIKEFESSETGENDFEEENRGCVCVIL >CDP17130 pep chromosome:AUK_PRJEB4211_v1:1:37308452:37313630:1 gene:GSCOC_T00005053001 transcript:CDP17130 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGADVEVRIARIFNTYGPRMFLDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAQVVQETIDPNAKIEFKPNTEDDPHKRKPDISKAKEFLGWEPKIPLRKGLPLMVADFRQRIFGDHKEHGTSTS >CDP09650 pep chromosome:AUK_PRJEB4211_v1:1:23760455:23764914:-1 gene:GSCOC_T00030051001 transcript:CDP09650 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPPPLLPPTKASIPFLLFCLVSISIVFLLFHLTSPTPSITTTSPLPFHNLLPSSPPASSNPPPPHFTLIIKVLTFNRLPSLSRCLTSLSNAHYDNSTTVHLHIFIDHFPLENDKGSQDLDSKLNLSRQILDFVDGFKWDFGEKLVHYRNWNAGLQSQWLEAWWPSSDHEFAFVVEDDLEVSPLYFRYLKALILNYYYNESNFSPMIYGASLQRPRFVPGKHGNKLQADNQSRLFLYQLVGTWGQLLFPKPWKEFRLWYDTHKVKGIKPILDGMVTTGWYKRMGERIWTPWFIKFIHARGYFNIYTNLKNETALAVSHRDAGVNYGKSAGPDSYLVGEKTISDLLELQPSSSLKWYDFCFREVIPNRIVKSLEQLGFVLQSIQRLNTIILVNLHGASEPALRNLICHFERLAIGNYIFVGQKSYLSLDLARRGHPVIDVDQILRSTKLYRSLKFQESSKEWIQNIRACASVIKKSLELQYHTWLLDNNIVPLSSNFFFESPDPACDFITGKNLKLLFIKSSSASSRFWVDVFMHKLTVITGSWGPKTSDSMAVNFLSSVEKILESYRVKYSKIDEMQLGLSINTSDSNLTSLANGKKFAFWSSDTDQERVQKKLVELGMWVVDDELSCTAVVCHQS >CDP14448 pep chromosome:AUK_PRJEB4211_v1:1:9309751:9312330:1 gene:GSCOC_T00040926001 transcript:CDP14448 gene_biotype:protein_coding transcript_biotype:protein_coding MLWWYYRSPNMIQDLEKLWPIILWLEGSLGVGIGNFEEIGPLDVFLKRGNSTWLQKVDLLFVNYLVGTGHSFVEDTEDTTLALKLNMEDSWISLEDSSVFFMGPLIKDVSLLDSNDLKKSNRIVLQIKKQIAAGKLAEATETWKELIAVISHYSNNMYFYNFLSILHEDEPLSLSAESKLKHKIAVRRYSVYPQRLRCPSEGDDGKGIFNLLLEPSKVISLGYGLGRQIYQLLCFKPKSPFLRKTLSYQNQ >CDP16601 pep chromosome:AUK_PRJEB4211_v1:1:6647715:6648615:-1 gene:GSCOC_T00019035001 transcript:CDP16601 gene_biotype:protein_coding transcript_biotype:protein_coding MICGNCAFAPLFALFYCSCSFARQKELPHKQRSSFSLAILNLPSFPVYWFGFNLHCCYCRCFPADWKATIVRLIFYEKKR >CDP04044 pep chromosome:AUK_PRJEB4211_v1:1:33263408:33265950:-1 gene:GSCOC_T00016577001 transcript:CDP04044 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLTKLAKAKRELEELYSGIPDDSVNLTFQDLAEVRQQNGLPSIDKNNAPPTLDSIIEASPRKEEVAPLKKIPSLDFSRGLEASASCDHQIHYQSHHLPHIHHLSKSRDTYNSPMTTNHLHNSGVGDHQGANGHRSHHYVHGQTTPPPHHYHHARPYSHSTRGMHNNMGYDDMSQMSGISMASMSGYPEIGGRRRPGIPHSNICTVCTTYIYVFRHRCLVCGRVYCRQCVVIGMGEMTEGRKCIECLGRRFGHRYIERAGQMGCCMGYPSLVKQQELKWAEKGPRGSGENRYSRSGMVSTPRSPAPRTPNRGRNNHHAGSNNNNPASFVGNAQSSFVMGSPYSPYYSPTNHPLPF >CDP03862 pep chromosome:AUK_PRJEB4211_v1:1:34535279:34536004:-1 gene:GSCOC_T00016359001 transcript:CDP03862 gene_biotype:protein_coding transcript_biotype:protein_coding MYVQGRSSARQATAFAVLMILLSLFSRLRATQATTYAVGDSSGWNFNMGNWTEGKRFNAGDILTFNYDSSLHNVVMVDAKGYENCTASSKAKIYNSGNDKIKLSRGRYYFICTFPGHCDGGLKIQLSAY >CDP03915 pep chromosome:AUK_PRJEB4211_v1:1:34190758:34193168:1 gene:GSCOC_T00016420001 transcript:CDP03915 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLPHLLAIVGLLALLLLYKQRRPMNQNSNSNSFKARKQPPEPSGAWPVIGHLLQLNSHDTLARTFGALADKYGPVFSIRLGMTRALVVSNWEAVKECFTTNDKVLASRPDSNAGVYLGYNNAAFGFAPYGHFWRQMRKLVLLQVLSNRRLETLRHVRVSEIQTSTRELFSVINREENAPAKVVISEWVEQLTLNIIVRTVAGKRYSDSEAGNRIDAQYFKKVVKEYMYVTGQLDLSDVIPFPPLRWLDPLGHIKSMKRLFKELDAIMQIWIDEHVEKRRTKSISGDEQSFIDVLLSVIEDDFALGQPRETLIKATINNLILAGSDTTSVHLTWLLSLLVNNRQVMEQAQEEIDSSVGKERWVNESDIKNLVYLQAIVKEALRLYPPGPLSVPHLAREDCEVSGYHVPKGTRVFVNVWKLHRDPRIWSEPDKFCPERFMTSHAEVDVSGQHFEFTPFGSGRRSRDFS >CDP04000 pep chromosome:AUK_PRJEB4211_v1:1:33614684:33618600:-1 gene:GSCOC_T00016523001 transcript:CDP04000 gene_biotype:protein_coding transcript_biotype:protein_coding MLGILFSRVNSQAVADSCSSNIDLVNVQLPFDTTSFNCNPVWSPHGFILRYKQTGASEWSYVLSAPNSNAYIGMGFSPNGQMVGSSAIVGWVGSDGTPAMKKYYLGGKNSNQVMPDQGNLEVGNSTIVTFSSRIYMAFQLVNTDTPDSLLIYSFGPPNQIPSPPSFRLTQHSDYVSTILNYATGQTQTTSTNTNSLRKSHGILVMLGWGILMAIGAMVARYMRQWDPIWFYSHAAIQSLGFMLGLAGIITGLVLSNRVSANVDKHKAIGITVLVLGCLQLMAVLARPDKESKVRKYWNWYHHGVGRALIVLAAANVFYGIHISDAGSSWNAGYAVVLVALFVVALILEIRMRIRD >CDP15586 pep chromosome:AUK_PRJEB4211_v1:1:26291168:26302236:-1 gene:GSCOC_T00015486001 transcript:CDP15586 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSDAASAIKSRFRYHDHASSSSSEVSSAMPAVKSTPDLMFKSATKESNSLTIRSFSESGFNDDDDSKTTPFVRSFEFHDDPSFWKEQNVQVIIRIRPLSSSEISLQGHGRCVRQDSSQAITWTGHPESRFTFDLIADETVSQEMLFKVAGVPMVENCMGGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNSGMTPRVFEYLFSRIQKEREARREEKLRFTCRCSFLEIYNEQILDLLDPSSVNLQIREDNKKGIYVENLTEVEVTSARDVIQKLLQGATNRKVAATNMNHASSRSHSVFTCTLESKWESQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVNVSNGRSLHVPYRDSKLTFLLQDSLGGNAKTSIIANISPSSSCSLETLSTLKFAQRAKYIKNHAIVNEDASGDVLAMRIQIQNLKKEVARLRSLANGVAENHETDPLAVSFPGSPGNFKWEGLNGLSSPLTSNKRMSTKKEYEVALVGAFRREKDKDIALKALADESQAAMKLAKQREDEIQGLKMRLRFREAGIKRLEAVASGKISAETHLLKEREEHLNEIEVLRAQVDRNQEATRFAMENLRLKEEIRRQAFEGERERMNEQIMTLQNKLLEALDWKLMHESDPMIVPKETSEPTLSSGGDLLLSSHVRHIALFYAKGSASPWRTSINEENEFLRMQAIQNQSELNCLQKKLDCCVDEKEKLQRHVDDLVRQLEAERTQDALRNQPGLPSMAKNQIPNTAPPEQIELKTMVDAIAAASQREAESHEMAIFLSKENDELKMKLKVLIEDNNKLIELYEAAVAQNHADGKETHKSEERMEEEQYHDSQLAGEQLEMNKEVQNVKHQLLEMHEENEKLMGLYEKAMQERDELKRLLASNEQKSVEKRVECDRPVELVEMHQKSGGSPKIDDRSAAICLSEDVSLFRKKLVEAQEKISDSAQSLSIFGTLERAILEVDQLSQEIGRLENGLQVKQQEYALSKVCSTEIHERRLMLDKKLAALRYSLSSFSSSIRYFELREDQAREKLSASSMHLNQKKQELARLQASKDQMMDAQVKIKESEIEMQNYLADLKSKVEEETRRLENDKVLFAIDNFEKTDARHSQRSWHLSGKATELLKSEEEKTKLQNQVKQTREKLGLARKEIEHMNNKLGKVEVDIQVVSNEIENTLQSVEEMGHKLQSIIKEKEMLLEMKENGRDEFENMILEYHQHMFETELKEEEMRILDEEVQSESSKIEDLMRAKAVATERKSQLLEAISCHSCFVSDKIEEDLHSIRMSVMELNSLLK >CDP03784 pep chromosome:AUK_PRJEB4211_v1:1:35096197:35102166:1 gene:GSCOC_T00016264001 transcript:CDP03784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOSPHATE STARVATION RESPONSE 1 [Source:Projected from Arabidopsis thaliana (AT4G28610) UniProtKB/Swiss-Prot;Acc:Q94CL7] MEARPALSIQRSGTQQLNNCGPSGALSTSFPVLPSALEEKYPNLPDSQQVPVERQFKPCTSTVVSPISFSSGVVGHMFSSSSGLSTDLHFSSVSQQEKHSRQSPFISQSTSCGTSMLFQQASHSGVLQSTASSQYTKENNDTSWCTDSLDFLDYPINQNNPLDSCNTGEILPSEDLNKRNWQEWADQLISDDDALTSSWNELIAETNVTDPGLKVPQQSTTFSIQQPQASQQLPASSGETGPVVPPTPSGSGAPTKQRMRWTPELHEAFVEAVNKLGGSERATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYKPEPSEGSSEKKHALIDDLSSLDLKSGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGLDLLKGSSSNLENPLTDLTEPVLKSPDKSNLGVSEGNDHKMDEVGEKQKSPENEVPENLEATATDTPNSPPPKRAKLDE >CDP07083 pep chromosome:AUK_PRJEB4211_v1:1:29499649:29504651:1 gene:GSCOC_T00024196001 transcript:CDP07083 gene_biotype:protein_coding transcript_biotype:protein_coding METGNFRPELHVAQQSRRDKLRVQHHPNPCNQNVEVYANQLVPFSTHEGLNPDLIQLRSIRYGNLSYEPLVFSSEMLDFSTNSQALLAHSNKDVTMLHQESKRIAGDVEDPSTNLSNTLPSNVNSSAKVSGDPQNCSTWKSIGSQESCDWITNYTSGSAGGIDSNHNPIFVGGGLSGSLKANNNNPSTSTIYFNKPSSSYGNHHEVRSSLTSPPGEISSRNSPKNHVGHGHFNSPSVYHTANTFQEVSSATIMTQELGVAAIAQQHSKEIAHVSWPNGGNELVLLPAYADHSNPLGLKHGSGECRRWNGELEYCASTKNAAERDHRSIANDSPNTQALSLSLSSVPLSKSYACQTGERIMSEDLHSGAGCFSNIQEIKALKSDYHCFDSKPSYHGKVLESAQHDMVGNPTFAHRAAGPLGPFTGYATILKSSKFLKPAQQLLDDFCNVFGPKCTKMPEPPERISAEIRACDDAVNANESIIGALAGDSGGSSSTFYSSNEKTQDHGGLSSPTESYRPDYLQKKAKLLYMLEEVCRRYKHYHQQMQMVVSSFESVAGLTAATPFISQALKTVARHFRCIRNAISDQLKNVRKALGEDLASPTTGTSSSKGDICTSRLKLMDQTFQKQKVVGGNVGFFEPQQHVWRPQRGLPERAVAILRAWLFDHFLHPYPTDADKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHTLETKGIAETGASVGKTDGKAMTESVSRSNDSQPLNRLNAGRSSEKQVECSDVGSSVYMGSRMNDDTWNQKRSRVECHVPGSMDGSLVGFVPYQQSGIEIGGLGAVSLTLGLRQNADGVQPQHPLQQQHENQLRRHFGDQIIYDFVG >CDP17514 pep chromosome:AUK_PRJEB4211_v1:1:19906445:19908430:-1 gene:GSCOC_T00011453001 transcript:CDP17514 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEIGFKHKQPMNLMYDNQAAVHITSKPVFHERTKHIEIDCHFIREKLLNGVIKASHVPSVDQLADLFTKSLGDSKVKYIYNKLDAYDIYAPT >CDP03548 pep chromosome:AUK_PRJEB4211_v1:1:36934040:36939096:1 gene:GSCOC_T00015952001 transcript:CDP03548 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSILQDMRGEFGSISRKGFEMRLPNGLRSRSQRVVQDSCFVPIDALKQSCWAYMPPELLRDVLVRIEESESTWPPRKNVVACAGVCRSWRDIMKEIVKTPEISGQLTFPISLKQPGPRGPLIQCFIKRNRSNQTYHLYLSLNQASNDDGKFLLAARRCRRPTCTDYIISLNAEDVSKGSSTYIGKLRSNFLGTKFTIYDAQPPSTGARVTKFRSTKLVGMKQVSPRVPAGNFPVAHISYELNVLGSRGPRRMHCAMDAIPASAIEPDGVAPTQTEFLPGVSDSFPSLPFFRSKSSSRTDIFHARPMSTDKDDMLALKNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVASVDSGVGGQEQDDVILQFGKVGKDVFTMDYKYPISAFQAFAICLSSFDTKIACE >CDP08475 pep chromosome:AUK_PRJEB4211_v1:1:21962850:21962966:1 gene:GSCOC_T00027389001 transcript:CDP08475 gene_biotype:protein_coding transcript_biotype:protein_coding MAELESITSAGVKGERYGPEISTWQSSETPPLSTWKGI >CDP17063 pep chromosome:AUK_PRJEB4211_v1:1:37816916:37821989:-1 gene:GSCOC_T00004973001 transcript:CDP17063 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:Projected from Arabidopsis thaliana (AT1G02560) UniProtKB/TrEMBL;Acc:A0A178WMF0] MAQSCISSLRFFNSSSSSSALIFPSPNPNPTSTSASQLKSLSLANPLPSISSRKLKKSYSNARTCTVLAVYSGWLSRPPPDRALRQGIWSIRDDLQVPSSPYLSPYATQGAQGPPPMLPERFQSVISQLFQHRIIRCGGAVDDDMANIIVAQLLYLDAVDPTKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLAATADQQ >CDP11067 pep chromosome:AUK_PRJEB4211_v1:1:8632871:8633458:1 gene:GSCOC_T00033074001 transcript:CDP11067 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTNLTGIKGELKMKINHPKLQQPSAAVARVQVQESNYSGGGGSSTGSGSYYSTMASCSSAGGGSQSKGKMWVDESDRQDDELLAVLGYKVKASDMAEVAQKIEQLEEVFGNAENDSLSHLVSETVHYNPSDLSSWLGSMISEFYSNMSSIPDSSAIFDEFKHKSAKHEQTKMPFNSGQICTQSHRKSSKYAF >CDP09622 pep chromosome:AUK_PRJEB4211_v1:1:23361887:23367740:1 gene:GSCOC_T00030001001 transcript:CDP09622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA lyase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G26800) UniProtKB/Swiss-Prot;Acc:O81027] MSSLEEPISLDRLPSLSNIDHHRFSSSACRPRGEDLGMGHRWIEGRSCSTSNSCEEDFEEYNRENFMWWKQRRCTSRRGISNGRTLSLGRNQVPNGNISDSLYLPDDQYNSCNYKCTMDMPNKLLRDLPKYVKIVEVGPRDGLQNEKNIVPTSIKVELIRKLVSSGLAVIEATSFVSPKWVPQLSDAKEVMEAVKNLEGTRLPVLTPNLKGFEAAIAAGAKEIAVFASASESFSKSNINCSIEESLTRYRAVTHAAQKLSIPVRGYVSCVIGCPVEGAVPPSKVAYVAKELYDMGCFEISLGDTIGVGTLGTVLPMLEAVMVVVPVEKLAVHFHDTYGQSLPNILVSLQMGISTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGIKTNVDLGKLLLAGEFINKHLGRQSGSKTAIAFSRKTADASKI >CDP09095 pep chromosome:AUK_PRJEB4211_v1:1:31663435:31665816:-1 gene:GSCOC_T00028292001 transcript:CDP09095 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMATTWKMIVGNSPLCSFACNKKEPLEPQRIKKFGIEHLRFRNDFKLLDKIAQRINVSVSGSGMTRPVNGSEMGVIEDTDFELIGGIIYDACKEQGVNAMPGLLCWEWNLTDKKNIVDQLSYCSREGNLGVGKIFHALVIKTGFCGDKIVDTALVNMYAKCGEIGSAVKVFDGMLSIDVASYNCLISGFLSNEMFDEALGFFAQMGVWEIRPNHYTFSIMISGCGSVFAINEGIQLHAHVVKLQHVVNGVVANSLLTMYCKFGMMENAQSLFQSLPKKNVVSWTAIISGLYQQRALEKALLQFYLMRQHGFEPNEYTLTMALSSCGGIKQFDNGYALHAQLVKKGMASGAFVGTAIVDMYTELGQMDNAEKQLQEMGTIASAVSWNALIAGFVHNNNIEAALKAFHKMVLNYVPIDEYTFSNALKACSSFPSLSTSRQIHCWVIKASSERNLHVASSLIEAYGKCGSLDDAEKVFDQISMPDIVSWNCLVKAYSQHGYFEKAVSLFKKMVVEGVKPSGSTFLAVLSACSHCGLVQEGKEFFKSMLMNYSVIPEETHYCCMVDLFSRSGHLEDALDFIKRLPIKPTASIWRPLLAGCRCHCDLQLGEYVANRILELEPDDASVHVTLSNMYFDVGRLGDLVKQRELMKLKEVKKEPGYSWIEVKNKTYKFFSGDTTHPQTPEVYDLLEKLINDIRISTPHTELGLCNEGKLLYHSEKLAVCFGLLNLPAGTPIRIFKNIRVCSDCHTTMKHISKITKHEILLRDNYRFHHFKQGCCSCGDFW >CDP09130 pep chromosome:AUK_PRJEB4211_v1:1:31892075:31896039:-1 gene:GSCOC_T00028335001 transcript:CDP09130 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISATTCPADIESADNSAAAAAGSSTSLRRHRRRRRRRRPRPSVAASSETTTDGSFRFSDTEDDSRSLHSQLGGSYEECRFSTESEGISVPKRHSSRRGSSTVSDEEEEGMVDLESGELELKVHSNRAQKRECRICHLKFSVARSGNAGGGDQSNIIELGCSCKGDLGSAHKQCAETWFKMKGNTTCEICGAPAMNIAGEQATEVNSGTGIATAASTAPVVFSETRGYCHGRRVMNFLLGCMVFAFIISWLFHFKILP >CDP17549 pep chromosome:AUK_PRJEB4211_v1:1:720537:720983:-1 gene:GSCOC_T00011026001 transcript:CDP17549 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEGLIEEKALDTFNLPHYRPSLEEVKTIIEKNHALKLSYLDTIQLRVIGAGAADCGKGYVFNANTNANYRAWSLRAIYEPIFQAHFGDGIMNNFFTKLAANISQHQGKMKRNCLVLCLSRT >CDP04036 pep chromosome:AUK_PRJEB4211_v1:1:33364280:33369861:-1 gene:GSCOC_T00016564001 transcript:CDP04036 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRANVKKPDTDFEFYK >CDP03856 pep chromosome:AUK_PRJEB4211_v1:1:34570578:34574658:1 gene:GSCOC_T00016353001 transcript:CDP03856 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVSLKKKYKIIRKVKEHHKKKAKEAKKLGLHKKSKKEKDPGIPNDWPFKEQELKALEARRARALEEIEQKKAARKERAQKRKLGLLEDDEDNVASKRQNFGEDFNDVSTSLGKNRDNSDRAFYKELVKVIEASDVILEVLDARDPLGSRCVDMEKMVMRSGPEKNLVLLLNKIDLVPREAVEKWLKYLREELPTVAFKCSTQEQKANLGWKSSLKARKTSSSMQTSDCLGAETLIKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRSHVVNVGATPGLTRSMQEVQLDKNVKLLDCPGVVMLKSAGSDDASIALRNCKRIEKLDDPVLPVKEILTLCPARMLVMLYKVPSFDSVDDFLQKVATVRGKLKKGGIVDIDATARIVLHDWNEGKIPYYTMPPTRNDGEPSEAKIVSEFGKEFNVDEVYGNESSFIGSLKSVSDFNPVEVPSNSPVNFDEKMLEDDAYPASLPQSENLEDNVGDDGDESMRSEDEAGSVKAKTASSRQNERLYAEEGILNTKLKKAEKKRRKKDNKSTAMEDDYDFKVDYVSKGSAMEIGEGVGLEDDSSKNRFELPSGVDLENE >CDP17511 pep chromosome:AUK_PRJEB4211_v1:1:19945258:19948638:-1 gene:GSCOC_T00011448001 transcript:CDP17511 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAVSATIQVALQTVVSLAADLAADHVNLVREFPKELERLKKSAKIIRGFLAGADEAKYSNDPKFLGVQNWLEQLEDEVFDAENVLGELNYETLRRKVLFCFSFFNKIIFRWRLGSTIREINTNLESIHQNAEHLGLVPKLQSEEASRATTSRQTDSIIVRSDVLGRDEDESEIVNKLLAESESVISVIPITGMGGLGKTTLARAVYKNEQVVGHFDKKIWVCVAEKVDKLEEFFKMILESLTGEEVKGDRREVIVQKIQNELKEKRYFLVLDDVWNDQELLLTDLFSTLAGLNAKKGSWCLVTTRLQGVANILFRHPQINFTRHELGKLCNDDCWSIMKKWANVGEEVPEDIKEQVLRRCDGLPLAARLIGGLLSRKREENWQSILEESLLNGDQVGIEQIVKVSFDHLSPAPVKKCFAYCSVFHQDTRLEQDLLVEHWMAEGFLQPDSQNQMMEGIGHEYLRTLLQTSLLEEVYDGRGTWYKMHDLVHDFAKSILNRNNSNQDRYLAVYSSERINENKTASLRTLFWKGGIADDMLSEFKSLHVLKLFGADVKELPTSIGKLKHLHLLDISDSMITALPESLCKLYHLQTLRIGKLEEGFPKKMSNLIRMRHLHYDDDATRREIQMPSGIGRWTCLQTLEFFNIGRQEEGHGIQELGTLQDLKGYLEIKNLELVNGKDDAELANLSRKPNLHRLVLEWGNRDQESDKCDGDVLEGLQPHPNLEELQILNFMGDQFPQWFMNLTSLVELQVQDCTRCRELPALGQLPSLWSLHLIGLENTTCIGPSFYALKILSLGSMKNLEEWKDLHEVMDVFPVLEKLYIRDCPQLTTIPTPSRFPSLDVLEIRENCNVLLVEEVLSSITNLSSLRIDGCDSLSTDMLERLCLFPSLQSVELRYCPSITTLRGMSCAACLKRLVVNGCENLRELPEDLYQFQALERLWISGCPKIDSFGSNPNKGQKSLLKSLEEFNIDECNGLKRLPAEMFESCTSLRELGLRFCSNLVSFPLDLRRTPSLKSFWLAWCPKLITELPSGFGCLTSLRRVLIGGISDYSVMEFDWAGLASSSKLQ >CDP09097 pep chromosome:AUK_PRJEB4211_v1:1:31671598:31675943:-1 gene:GSCOC_T00028294001 transcript:CDP09097 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASPGLAYPLNLPSSRTRRRNFSTSSATAPFLPNFSTSSTIQSTLAPLSSSSYASPSKPPKPTHQKSDTRPHSTSPLDYVLKSSFVLGTSVGATVLGATVLGATAHIFLGGGGGNSNSGGGGGGGGGGSAGEGGDFWSRLFSPKPAIAKDDEPSQDWDSHGLPANITIQLSRLSGFKKYKVSDILFFDRRRGSTTEGTEDSFFEMVTIRPGGVYTKAQLQKELETLAGCGMFEKVDLDAKTNPDGTIGLTISFLESTWQSADRFRCINVGLMQQSKPIEMDPDMTEKERIEFYRSQEQDYRRRMQKARPCLLPTPVQREILQLLREKGAVSARLLQKIRDRVQQWYHENGYACAQVVNFGNLNTREVVCEVVEGDITQLVIQFQDKLSNVCEGNTQLAVVRRELPRQLQKGQVFNIEAGKQALRNINSLGLFSNIEVNPRPDEKNEGGIIVEIKLKELEQASAEVSTEWSIVPGRGGRPTLASIQPGGTVSFEHRNIKGLNRSLLGSVNTSNLLNPQDDLAFKLEYVHPYFDGVYNPRNRTFRASCFNSRKLSPVFTGGPGIDEVPPIWVDRAGVKANLTENFTRQSKFTYGLVFEEITTRDEASHISSHGQRVLPNGGISADGPPTTLSGTGIDRMAFVQANITRDNTKFMNGAIVGERNVFQLDQGLGIGSKFPFFNRHQLTLTRFIQLKEVEEGAGRPPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGEIGAARNILELAAELRVPVRNTHVYAFAEHGNDLGSSKDVKGNPTEVYRRMGHGSSYGVGVKLGLVRAEYAVDHNSGTGALFFRFGERF >CDP13075 pep chromosome:AUK_PRJEB4211_v1:1:3125375:3130402:-1 gene:GSCOC_T00037845001 transcript:CDP13075 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAISATVEVVLGTVISIAADRIGMVRGVKAELERLSKTAAMIQGFLADCDENMHTQGVREWLKQLEDEVFKADNVLDELNYNNLRWDVNAIGFSSSLASKIRDINTNLERINRQANDLGLVRKHQKEADATGATTSRPTDSIVVPNVVGRAGDESKIIVEMLLTPSDSERVVSVVPITGMGGLGKTTLAKSVYNNTKIDENFGIKSWACVAREIKIVELFKLILESLTRTKVEVDGRDAIVQEIRGKLGEKRFLLVLDDVWNREQGLWSDFFTTLLGLSTTKGSWCLLTTRLEPVANAVPRHLQMNDGPYFLGKLSGDECWSIIKRRMLVGEEVPKELEALKKQILKKCDGLPLVASLIGGLLLNNGKDEWQSIVVESLWNEDQTEIDQILKVSFDHLSPLSVKKCFAYCSIFPQDIELREDELIEHWVAEGFVLPTQKNNRMMEETGGEYLRILSQNSLLEKDRYLALESRGTYYKMHDLVHDFAKSILNPESSNQDHYLALDSFEGLAENVRRNKAASIRSLFLHLRGGISADMNMLSRFKHLHVLKLSGYDVEFLPSSIGKLLHLRLLDISSSGIRSLPESLCKLYNLQTLTMSNGSLERGFPKGMSDLISLRHLKYYDYRVEFKMPMQMGRLTCLQTLMFFSVSQERGCGIEELGTLKYLKGSLEIRNLNLVKGNETAKRAKLFKKPDLSQLVFIWESDNCDEDVLEGLHEDVLEGLQPHPNLQELGIQYFMGNKFPQWFMNLSKLVELQIEYCRRCSELPALGQLPALKPSGSSARRQKFFPALEELTVVDIENLAEWKDADQVRSTVGEAEVDVFPMLRDFLIVRCPQLTTLPCSCKILYELSINSCHNLRELPEDVFGSSLQQLTIFDCPRLISLGVNGQKCPLPRLEELRIWNCDGLTTISDKMFESCRFLRTLWVELCPNLVSFSLNLQETPSLERFILKKCPKLIPHRFNGFAFATSLRELSINSPFSSDDSSIDGFDWSGLRSVSTLRELHLRGLPHMESLPHQLQYLTTLTSLSLDNFGAIEVLSDWIGNLVSLETLKLLCCEKLRSLPSKAAMRRLTKLTSVDVAGCPLLRQRLYMSLRGVYEISSYFASSESEEEESTTMN >CDP07145 pep chromosome:AUK_PRJEB4211_v1:1:30143732:30147565:1 gene:GSCOC_T00024275001 transcript:CDP07145 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSEVPATTKNSSVISEADSQRTLYPYVTGTSVVGIKYKDGILMAADMGGSYGSTLQYKGVERMKPVGKHSLIGASGELSDFQEILRYLDELILYDNLWDDGNSLGPKEFNPLWNSLVLGGVKNGQKYLGTVNMIGVHYEDNHVATGFGNHLARPILRDEWHENLSFEDGVKLLEKCMRVLLYRDRSAVNKLQATKITEEGVTISQPYALKTSWNLAAFKNPTVGAEGPW >CDP17128 pep chromosome:AUK_PRJEB4211_v1:1:37318539:37323483:-1 gene:GSCOC_T00005051001 transcript:CDP17128 gene_biotype:protein_coding transcript_biotype:protein_coding MCNEMDFEYHEEHTRNSRGVQLFTCRWLPFSSPKALVFLCHGYGMECSQFMKGVGSKLARYGYGVFGIDYEGHGKSAGARCYIKKFDDIVNDCSHFFKSVCCREEYLGKKRFLYGESMGGAVALLVHKKDRAFWDGALLVAPMCKISEKVKPHPLVISVLTKVEDLIPRWKIVPTKDVIDSAFKDPLKREQIRGNRLIYQEKPRLKTALEMLRTSLALEGTLHEVTLPFFVLHGEADTVTDPEVSKALYEQASSKDKTIKLYQGMWHGLTSGEPDSNIEIVFSDIIAWLDKRSAAAAAADNFDSSTQNMTFKPAAQQRQQHSPILENPDRVTSTHGKYLCGWKGRRMHHHHSSM >CDP03939 pep chromosome:AUK_PRJEB4211_v1:1:34009326:34014775:1 gene:GSCOC_T00016451001 transcript:CDP03939 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHIP [Source:Projected from Arabidopsis thaliana (AT3G07370) UniProtKB/TrEMBL;Acc:A0A178VGJ7] MDAAAVAKQAEQLRQEGNFCFKKDRFGAAIDAYTEAIALCPNVGIYWTNRALCHRRRNDWKRVEEDCKRAIQIDHHSVKAHYMLGLALLQREEYAEGVKELEKALDFGRGANPKGYMVEEIWLELAKAKYLEWEHESTNRSWELQSLKETCEEALKEKNLLDSSNANGYFDGDTKSNTEQLEALSRVFYKAAEDDIPTEVPDYLCCKITLDIFRDPVIAPSGFTYERAVILNHLEKVGKFDPITREPLFKSQLVPNLAIKEAVGAFLDQHGWAYRMD >CDP18590 pep chromosome:AUK_PRJEB4211_v1:1:144043:144887:-1 gene:GSCOC_T00012422001 transcript:CDP18590 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSVMLVSVARTSAEVWQQMACLGEHQRIDGQQMVDLVIRFPLQQLGRLLLYIWTFLCVPPQPYYYPTYSNYRDDDDDDDTSSDDDDDDGDGAGSSSPTTSRYFYYHQRRPDADDDEDGGGAGAGSSSSTTSRYFYYHQQRPDADDDSSLSD >CDP17508 pep chromosome:AUK_PRJEB4211_v1:1:20050753:20053293:1 gene:GSCOC_T00011440001 transcript:CDP17508 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQVAVSFLTNIARAAFGLGIGTTVLNSSLYIVDGRQRAVLFDRFRSVIDDTIGEGTHFLIPWLQKPFIFDIRTRPHTFFSISDTKDIQMYDEKVLPSIGNEVLKAVVAQLNADQLLTERPHVSTLVRDSLVHRAKDFNIVLDDVAITHLSYGAEFSKAVEQKQVAQQEAKRSKFVVMKAVHPASVFFHLKHLQLLINLFHRNVSYNNFAGVIPVGKNFSRFPLDSFIGNPLLRGNWIGSVSSLGTSKFKAIFSRTAVVCLILGFMTLLSMAPLELGKLFLQKLW >CDP11069 pep chromosome:AUK_PRJEB4211_v1:1:8543708:8550688:1 gene:GSCOC_T00033076001 transcript:CDP11069 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLVQLWKKFRGSDNPPSNLGSSRDYNVDMMPKFIMANGALVRVLIHTDVTKYLYFKAVDGSYVYSKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQAYNENDPKTHEGMDLTRVTTREFIAKFGLDDNTMDFIGHALALYRDDHYLDEPALDTVKRVKLYEESLARFGGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDEEGKVMGVASEGETAKCKKVVCDPSYLTNKVRKVGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRRSDMYVFCSSYSHNVAPKGKFIAFVSTEAETDHPETELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDPTTHFESTVVDVLNMYTMITGKVLDLNVDLSAASAAEE >CDP08921 pep chromosome:AUK_PRJEB4211_v1:1:30196828:30200760:1 gene:GSCOC_T00028055001 transcript:CDP08921 gene_biotype:protein_coding transcript_biotype:protein_coding MTESPTDSPSTQDTTTRTCSTPDIPIEIIPDEEMALIEAAFASATRTISSLTRFQRNITAPPNDNIRSIKSITLLSKKRISRCSRTGSDSVADIEDSGGDDGLTQKKKKQNRVHGSLLHKFRRKRGLSVTDITAGEWCEKQMEFTLNLGRAKSNKAMEAGIARHAALEEEVVKKVKIHAASVEDIWAVKFMNFILGANQLLFDGLTRELPLVSFEEGLWMVGVIDEIRMPVTETERYPTLVDTKTRARAKLPTEAQQRNGRLQLMCYKRLWDNLVADKFPSGQFFDFFALNPHVILSDEIREHTAKSGFPADTLNDLVAYYRNTCCMLPPAQDQLLLRYEYQEDQSLIGEDQFAYDADWLNNQLKSCLEFWRGEREPSYAAEEERWKCNFCSFYSQCPANSKLDPPS >CDP03621 pep chromosome:AUK_PRJEB4211_v1:1:36360237:36363048:1 gene:GSCOC_T00016044001 transcript:CDP03621 gene_biotype:protein_coding transcript_biotype:protein_coding MEESAIGSWEMQEFTDIHTASDSLNSSVIFHVVTDILAFVLYMHHQIPSVLQDISLEFDELQKEYKDLEILLASQAEMKASLRRKHVSRKREVKQGIRRLEKLINSVSNFETALQLLIPQIPQVERLILVLGPSPLRPIHLYELCFSSGTTVSADFVRTKVADGICRKAIRTLISGGAGSNSDSYSGPSKLFLLVKAPSSLNLPLHFLPKREFRYNKKIAPFKLRFKCRSEQPALNAQVDDPQPASSVLMNSTSTDNVWFQCRHIIKGLASKSLSTEGG >CDP17137 pep chromosome:AUK_PRJEB4211_v1:1:37264202:37265036:1 gene:GSCOC_T00005063001 transcript:CDP17137 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSAGIEEIRTKDTTQFKSVHVKFQLQRECLFGQQFLIVGDDPMFGLWDPSNAIPLNWSEGHVWTVEMDIPCDKVMKYKFILKRGDDTILWQPGPDRILRTLETWKTITVCEDWDNAELQTLIEEDPVAHQELQTLIEAEDSVAHQELQTLIEEDPVAHQELQTLIEAEDSVAHQELQTLIEEDPVAHQELQTLIEAEDSVAHQELQTLI >CDP13085 pep chromosome:AUK_PRJEB4211_v1:1:2730496:2732883:1 gene:GSCOC_T00037859001 transcript:CDP13085 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEIISKEEIKPASPTPPELRTLRFSILDQLTRDSYTNILFFFFPRKQQGTYLNDVISQRSRCLKESLSKTLVPFYPLAGKIKNNLHIECNDDGVYYVETQTNIGLLDFLRKPENEFMNQLCPFHPDSKELLSKSYPIMVQVNIFYCGGIAICLSASHKIFDGLSVSTFMQSWAATARESTVQINPSFISSSLFPPILDMYQDSPPVVSKPQKNEPKYATSRFVFDSSALAALKSKAATSTSSAKPSSAKAVMGLLWKSAIAAWKVRSVLFIPVNLRTKVSPPLSPHSLGNIVWLARAKCCDNPKLELELLINKISNSIGTMNADFVESINGENGIQKLMGALKDFHEVFYDPNSMAECIYISSIRKTGFYEADFGWGKPIWTCIARGNRDLHGLGNIAHLIETKSGDGIEALVTMKEEYMATLEKNQELLHYASLNPSPLDSS >CDP04043 pep chromosome:AUK_PRJEB4211_v1:1:33267399:33275733:-1 gene:GSCOC_T00016576001 transcript:CDP04043 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKICMNEVCRLTTSPEWKQGWGLKSGGFATLCSSCGTAYENLVFCEKFHLDEDGWRECKICRKRIHCGCVASKMLHEFLDFGGVGCITCVKQMDTPSLRTVKILGDEIPNGTIGHRSFDSLLQLCNNVDVNGSKKMFKPHKVGTNASLGQKKGEGTALPFEQVNTSSPNFTPQSVGSSMFVKPEISRQNQGFKDMAESLAQPSVNFSLSNALSASGSALPISEGIVEGSEQNRVSTAQQGQRARQILPKPPKPGSSVGSENTKGMGSQTRIARPPAEGRGRSQLLPRYWPRITDQELQQISGDLKSTVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPINQSEGLPIRIQDVKGKEWTFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDTVTFSRIDPGGQLVMGFRKSTTNVETQDPQPSGLPNGGSPGETSYLGASDNMLAISENGNNGGQIHEDSSQRNILIPEKKKARNIGLKNKRLLMHSDDAMELRVTWEEAQELLRPPTNSPTIIKIEDCEFEEYDEPPIFGKRTIFTVQPSGQQEQWAQCDNCSKWRRLPMHVLVPARWTCPDNAWVLNSTCSAPDDINPRELEALNIASKDFKRRRIVETKVAEDYEPSGLDALATAAVLGDNMGDLTEMSVGATTKHPRHRPGCSCIVCIQPPSGKGKHPPSCKCNVCLTVKRRFKTLMMRKKKRQSDREAELLQGKDQETPPLDMSEMEGAAEQALLLTNHSDAERSQNGSLMEAGESNKGQLDLNCHPSREEDMLAEAAAGINLATLIDAASIPLDVYMRQDGVASLSHHLLPSHAPGDGEGSLPEEGCSASANMGPEKKGKEE >CDP09100 pep chromosome:AUK_PRJEB4211_v1:1:31685749:31689885:-1 gene:GSCOC_T00028297001 transcript:CDP09100 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSFHPQLNLNLSHLQPRPPSLNPSQGSHKLLLRRWSSQSPPSKCSPFLAGTGGPYFSSGTTLRSIFLLSSGSRSRPKVRAASEDGGESLDAEANYQEEFSWSSVILPFLFPAVGGLLFGYDIGATSGATISLLSPELSGTTWFNLSAVQLGLVVSGSLYGALLGSLLVYPLADFLGRRRELIMAAILYAIGSASTAYAPGLAVLLLARLVYGLGIGLAMHGAPLYIAETCPAQIRGTLISLKELFIVLGILLGYFVGSYEINVVGGWRYMYGLSAPIALLMGIGMLSLPPSPRWLLLRAVQGKGPLQELKEKAKHALSRLRGRAAGDKVSEKQIEETLTSLKTAYADQQSEGSVLEVFQGPSLKAFIIGGGLVLFQQITGQPSVLYYAGPILQTAGFAAASDATRLSVVIGTFKLVMTGIAVLKVDDLGRRPLLIGGVGGIALSLLLLWAYYKFLGGYPLVAVAALLLYVGSYQVSFGPISWLMVSEIFPLRTRGKGISLAVLTNFGSNAIVTFAFSPLKELLGAANLFLLFGAIALLSLVFAVVYVPETKGLSLEEIESKILK >CDP03722 pep chromosome:AUK_PRJEB4211_v1:1:35576095:35578908:1 gene:GSCOC_T00016194001 transcript:CDP03722 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRERNARAALFDGIEEGGIRASSSYSSHEIDEQENEKEIDGLQDRVNLLKRLSGDIHEEVDNHNRMLDRMGNDMDASRGILAGTVDKFKMVFETKSSRRMLTLVASFVVIFLVVYYLTK >CDP17125 pep chromosome:AUK_PRJEB4211_v1:1:37333857:37337898:1 gene:GSCOC_T00005048001 transcript:CDP17125 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGAVYYHDSGSRGSMIAGNEKCESYLLFHRETVLGNTSRAFLYFALLAYCFIGLSAITARFFRSMENVVKHSRQVVKVDPLTGAETIEYEKVWNYAIADISLLAFGTSFPQISLATIDAIRNLGNLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKISDLGVWLVELFWSFWAYIWLYIILEVWTPNVVTLWESLLTVLQYGLLLTHAYAQDKQWPYLSLPLERSERPVDWVPAENISYRRVNKFHQEYSEVLKDEEEGSEQIVDILMHSESMEGPPIYHNLPASDVSESSSHHLKSGIILEEPSVLAIWKLQFVEAYMLERRDSRKLNNMCLWLARIFWQLLLAPWRLLFAFVPPCQIAHGWIAFICSLAFISGIAYVVTQLTDLISCVTGINAYVIAFTALAGGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGIPWLIDTIYNFIAYNEPLRIKNAEGLSFSLLVFFCTSVGCIGVLVLRRRTLGAELGGPKIWAWITSVYFMFLWLIFVVLSSLRVSGII >CDP08950 pep chromosome:AUK_PRJEB4211_v1:1:30448673:30456376:1 gene:GSCOC_T00028093001 transcript:CDP08950 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRTPYNKEKIVGMAANCERLVAEMNMEKKFKTQKGKTKNSEGRKQLSSVRVIQRNLVYIVGLPLNLADEELLQRKEYFGQYGKVMKVSISRTAAGTIQQFANNTCSVYITYSKEDEAVRCIQSVHGFILEGRSLRACFGTTKYCHAWLRNVPCSNPDCLYLHEIGSQEDSFTKDEIISAFTRSRVQQITGATNSLQRRSGNVLPPPADDYCNNISASSGKPISKTSTNNISSSTKSSPPNSSSGRSVTLPAGASWGTRALNNQLTSILPSSNGPQKQKSDACNGPVTFSTALASSNHIPLSHAEVGKKLPAEENNKTQLESKQMLEPLKQNLGSDSPTTMSDVPSRSSNPTTATTSSKLYGLPASKDKDKHVILSPKVINSDDTSSESSGSGSVKDLKDDIDEKVKTLSSDMLSLGIDDKCRGVEQIYLEPFREPLTSQTTGNAVESNGDSYLQRNKYSETPGVQVASNEEKDDSLSFEDQRLKDPEVISDASYLPNSSHSLLSSLNHRGCSPLKSGPFNGDGDLHVVDNKVDSVLQLSGTPVLSSGYPENQFNSFASLANNVEHSYLFTNAEKSKHIGRYDSEVLSTSHNVALDMGESSIISNILSLDFDSWDESSLTSPQNLAKFLGETDRQQGSHGVVSPWKVQQSNQSRFSFAREEDPMNHAADGESSLGYIGQAFRPQYSGHDFVNKASIHLDKVGIRNGMSLVNAEEPDIFASSHSLFSSSKLPVSRSQVSAPPGFSTPSRAPPPGFMSHERIDQTCTSFSGHPMLDTSTLRNQYQPMQPGNVMSNGDIEFMDPAILAVGKGRLPDSLSSSGLDMRSSFSPQLNTLEDNTRIQLLMQRSLSAHQNHRLDDMGDAFSFADSFRSPSRLMEQSMVNNISPYSQVSLPQSRNPLMSNGHWDGWNDVQSANNLGMAELLRPERLGFNKFYTGYEDSKLRMPSQGDLYNRTYGI >CDP06880 pep chromosome:AUK_PRJEB4211_v1:1:27391878:27392285:1 gene:GSCOC_T00023917001 transcript:CDP06880 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDSPTANSFPKKNCNFIQIVVDTGNSDVPLTVTIC >CDP18579 pep chromosome:AUK_PRJEB4211_v1:1:221243:227244:1 gene:GSCOC_T00012410001 transcript:CDP18579 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSLRKIGRFCLIFCKQKKNRTEGGCVCTATKIGRRGSGGGRERGFSTTTATTEEKRSPQQQHSQGNDNKKSSNINLFTAINQALRIALETDPRAYVFGEDVSFGGVFRCTTGLADQFGKNRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVVPRSPQQAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPENDYMLPLSQAEVIREGADITLVGWGAQLAIMEQACVDAEKDGISCELIDLKTLIPWDKDTVVASVRKTGRLLISHEAPITGGFGAEISASIVERCFLRLEAPVTRVCGLDTPFPLVFEPFYLPTKNKVLDAIKSTVNY >CDP08512 pep chromosome:AUK_PRJEB4211_v1:1:22746118:22753713:1 gene:GSCOC_T00027444001 transcript:CDP08512 gene_biotype:protein_coding transcript_biotype:protein_coding MATENTSTKKRKQRYLPHNKPVKKGAYPLHPGVQGFFITCDGGRERQASHEAINVIDSFFEEYVHGAGSNKQQEAIPKQLMNKKTKFVYSDSSEDEDGDDDGIGNNIHRSTEEKDLRTDNITNANCETLVDEKLGSQNEDDSSVQEEIQEDKDGKEEVTKKHKFQEGDAGEQPIKKQCVEISSSKSPNLASSKMEEKSVDKLIEAELAELGDKSKRRFSNLDSGCNGVVFVQMRKRDDDPNPKDIVQYMMTSLASTRKHMSRFMLRVLPIELSCYASEEEIVRAIKPFIAKYFPVEAQKPHKFAVLYEARANTGIDRTKIIDAVAKSVPSPHKVDLSHPDIHIVVQIVKTVCLIGLVEKYKELAKFNVRQLTSSKS >CDP06891 pep chromosome:AUK_PRJEB4211_v1:1:27514606:27515728:-1 gene:GSCOC_T00023929001 transcript:CDP06891 gene_biotype:protein_coding transcript_biotype:protein_coding MASYQVIIALFFTLALARIELSTSNVLRGSVTCLDCDGHNDLSGIKIVVKCSNVKMVDVATTKKDGTFETELAKGTTTSPNSLKCLAKILGGPSLLYTSGKKTISKVEKVEGHDHYTNTEPLNFYKSCPAEKHAECASVDLEFGSSKTVDLPLPREWGLAPSSYYVPFIPIIGIP >CDP09687 pep chromosome:AUK_PRJEB4211_v1:1:24349932:24351784:1 gene:GSCOC_T00030111001 transcript:CDP09687 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSSWSSNLKSLPESYAVPEDKRPGKLAPISRDIPVIDLGEADRAAVVQKIIKASQEFGLFQVINHGVTEKLMIDAMDVGKEFFSIAVEEKMKLTVSAGDSQNGWELYTGAGKYSTQDFDYWKDSLLHPCHPLESCIKSWPDKPARYREVMVPYIVEVRELGKRVLELIYEGLGFTEDNFDNYDLFLMIHNYPECPDPSSALGAAGHYDGNLITFLQQDVYGLQLFKDGEWLGAEPLPNAFVINIGFALEVISNGKLKSAFHRVVTNSDRFRTSFANFFNLPFERIIEPAKSVVSPSNPPVYRRFLFKEYMEVLMSKNSDTNTTVDYFKIKN >CDP09262 pep chromosome:AUK_PRJEB4211_v1:1:16702937:16714971:-1 gene:GSCOC_T00028515001 transcript:CDP09262 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVCVTGASGYIASWLVKFLLQRGYTVKASVRDLNDPKKVEHLLALDGAKERLQLFKANLLEEGSFDAAIDGCDGVFHMASPFYHTVTDPQAELIDPALKGTLNVLGSCAKSPSVKRVVLTSSIAAVAFNGKPRTPDVVVDETWWSLPEFCKQTKLWYVLSKTLAEDAAWKFVKEKGLDMVTINPAMVIGPLLQPTLNTSAAAILNLINGAETFPNSSMGWVDVKDVANAHILAFENPSASGRYCLVERVVHYSEVVKILREIYPSSKLPEKCADDKPFVPTYQVSKEKAKSLGLEFIPVEQSIKETVESLKEKNFLNSSAAL >CDP08450 pep chromosome:AUK_PRJEB4211_v1:1:20761408:20763882:-1 gene:GSCOC_T00027347001 transcript:CDP08450 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNHFSVFLLVAIILLCSSSKTVNATCYVSEKQALMDFKKDLKDPYGKLSSWIRDVDCCKWEGVVCGNRNDIVLESPLSGKISHSLQNLTHLRYLDLSLNDFSGIPIPSFFGSLRSLRYLNLSGAGFQGMVPYQLGNLSSLRTLSIGGDPSDLQVDNLQWLAGLSNLEHLDMNVSGNFLGHLIPRWIFGLTALASLDLSGTKLEGLLPRGLWNLTSLQHLDLSSNQLNGSLPDELLYLNNLISLNLRDNQFEGSLDGIWNQSSLTSLDLSYNNFATFLPSQLSTLTALISLLLASNQFRGSIPSSIANISNLQHLDLYNNSLSSSLPSEVFTLKDLISLDVSSNHLNGPIPSAVGNCTKLKILSLFGNALSGSIPSNLGRCTQLKELWLNYNALSGSIRSNLGRCTKLEYLMLCGNALSGSIPSNLGKLSSLEHLDVSQNKLTGTLPKSLWQLSKLEELRIADNLIEGVVSENTIPPWLFNSSLDTVDLSHNQLHGSVQISLKLLKNLISNYLFSGGISHILCEVKNEYQVLGYLDLRENSLSGEIPDCWMNYPNLYHINLNSNNFTGSIPRSLFHLEDLEYLGLGNNSLTGPITFDFVNHE >CDP09714 pep chromosome:AUK_PRJEB4211_v1:1:25158875:25161682:1 gene:GSCOC_T00030157001 transcript:CDP09714 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVEFELTDLIDFAVNQGNGVKGLSNLGIKTIPQKYILPPEDRLNQNQIAQDESIAVIDVSNWDDPKIAASICEAAAKRGCFQIINHGIPLEVLENVKEARHKFFELPDEERRKYLKENSPTPTVQLKTSFSPLAEKVLEWKDYLTHLYIPDDESSKLWPSVSKDQVLEYIKWAKPIIRKLLELLLKGLNVKKIDETIESQLMGSLYVNLVYYPVCPNPELASGAGRHSDISAITILLQDDVGGLFVQGTKADQWIHVTPVKGALVINIGDVLQIVSNDRYKSVEHQVTVNGRRNRVSVPIFVDPAADTVVGPFPEALESGEKPIYKHFVYSDYFNYFFSKGLGRKQTIELAKK >CDP06985 pep chromosome:AUK_PRJEB4211_v1:1:28520324:28521370:1 gene:GSCOC_T00024055001 transcript:CDP06985 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGVEVKPGEPLKVKPEVFRLIHISQAALGEVKDGKGPKCVPIHLKVGDKKYVMGTLSAEDRPQLMFDLVFEREFELSHDWKDGSIYFAGYIADDDSRYPFTLVYDMFTLITSS >CDP04073 pep chromosome:AUK_PRJEB4211_v1:1:33021906:33025588:-1 gene:GSCOC_T00016613001 transcript:CDP04073 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFDYTPPPYSGPSTEEILRKRQQYLSPAICHFYKKPLNLVDGKMQYLFDEKGRRYLDAFGGIATVCCGHCHPEVVDAIVNQTKRLQHSTVLYLNHAIADFAEALASKLPGDLKVVFFTNSGTEANELAMLLARLYTGYHDIISLRNSYHGNAAGTMGATAQSNYKFNVMQTGVHHALNPDQYRGIFGSDGPKYAKDVEDLITYGTCGCVAGFIAEAIQGVGGILELAPGYLPAVYSTIRKAGGLCIADEVQSGFARIGSHFWGFEGHGVVPDIVTMAKGIGNGIPIGAVVTTPEIANVLTRRNYFNTFGGNPMCTAGGLAVLRVIEKEKLQQNAFTVGSYLKERLTSLKGKHEIIGDVRGRGLMLGVELVQDRELKTPAKVETAHLMDEMKELGVLIGKGGFFGNVFRITPPLCFKKEDADYLVDVMDFVMSKM >CDP03506 pep chromosome:AUK_PRJEB4211_v1:1:37209425:37222153:1 gene:GSCOC_T00015902001 transcript:CDP03506 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSSTSKRPLPSPSSPLPKGKRSKAGEASSSTNDSSGEVGIDAAKESGRESREQEVRSADLTDADNLKLSDGEVPEKLPEGQLESEPLVSPMTLGDSVIDLEKTKSIGKVLNRGKKRQMKSKAAAAWGKLLSQFSQNRHVVISNSTFTVGQDRQSDLWVGDPSVSKSLCRLRHISTERGCPVTLLEITGKKGSVQVNGKIYPKNSTVPLSGGDEVVFSSSGKHAYIFQQLTPDNVSGTALPPSVNILESHNGPIKGLHFEARSGDSSAVAVASTLASLSNLRKELSLLPPSSRKDEDVQEGSEMPTLPSTCEVSDNPIVDAEMKDTTDHNDSPVLGEKANVPLSRAANENMNLDSVEIDPVDPEIGKEAAASHDIRFLRMFPRSGAAEFDLSGSISKILDEQREIGELLKDLDPPILTSTRREAFKDVLQQGVIDPNCIEVSFENFPYYLSETTKNVLIASTYIPLKCNKFAKFTSDLPTVCPRILLSGPAGSDIYQEMLTKALAKHFNAKLLIVDSLLLPGGSTVKEVDSVKEGSRPERASVFAKRAAHTAALHLKKPASSVEAEITGGSTLSSQAQPKQESSTASSKTYTFKKGDRVKYMGPLSSGFSPMQTPSRGPSYGYRGKVVLAFEENGASKIGVRFDRSIPEGNDLGGLCEDDHGFFCAADLLRLDTSTDDFDRLAIHELFEVASKESKNSPLILFVKETEKSMMGNPEAYASFKVKLEKLPKNVVVIASHTQTDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDNFGRLHDRGKETPKTMKQLSRLFPNKVTIQIPQDESMLSDWKQQLDRDIETLKSQSNIVSIRTVLNRVGIDCPDLDSLCIKDQALTSENVEKIIGWALSHHFMHFSEASVKDSRLSIANESISYGLNILQGIQNETKCSKKSLKDVVTENEFEKRLLADVIPPTDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFTLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILKVILSKEEVAPNVDLESIANMTEGYSGSDLKNLCVTAAHCPIREILEKEKKEKALALRENRPLPALHTSSDVRPVSMEDFKYAHEQVCASVSSESANMNELLQWNELYGEGGSRKKKSLSYFM >CDP15577 pep chromosome:AUK_PRJEB4211_v1:1:26049755:26054228:1 gene:GSCOC_T00015469001 transcript:CDP15577 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAAESQKGFFKKHWEGYKEFWCERFSFLNNYSRFIKREKPLPSWTDSDVEEFIASDPIHGPVLKTAREAVKYGAVGSVVGAVTTAGWAWKYSRSLHGAGLSFAAGAVFGWTFGQEIANHHLQLYRLNTVAAQTKFLEWWQKKVEGH >CDP15595 pep chromosome:AUK_PRJEB4211_v1:1:26358308:26360282:-1 gene:GSCOC_T00015498001 transcript:CDP15595 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAKCYRATAADYGIEFTCNSCKEKGAAMPRCRFDIDLSDDSGVIPASIFGDLAETILTFTGLEAMDHFNQNLELPLEFVHAQLKTKTFLVHIKPVQTQLADARQRYTVLYCSELEPQFDCARLTNEPESVSLSTDRQTKNDQLLTAGHSGSSSKVRLRLNQKFDETETVGTSDLDSPDADSKKKAKLDQWQKQCLYLQ >CDP09035 pep chromosome:AUK_PRJEB4211_v1:1:31203835:31206795:1 gene:GSCOC_T00028209001 transcript:CDP09035 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRRLSDSSVQNDIKLWPFKVIAGPGDKPMIAVNYKGEEKQFAAEEISSMVLIKMKEVAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSAGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGSPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDAVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDMDANGILNISAEDKTTGQKNKITITNDKGRLSKEEIERMVQEAEKYKSEDEEHQKKVDTKNALENYAYNMRNTIRDEKISAKLEPTDKKKIEDAVEEAIKWLDSNQLAEADEFEDKMKELESLCNPIIAKMYQGGADGGMGGAMDEDGPSVGGGSGAGPKIEEVD >CDP08497 pep chromosome:AUK_PRJEB4211_v1:1:22374457:22375485:-1 gene:GSCOC_T00027423001 transcript:CDP08497 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDFQCLIACSFRDHTSKVLITIYHFNTIGFGHVFISDLLFVILNAHYFKRGTYDLKKVSNMQKSKNFIFIIAVRTNSRELDALLIMTLPVGPIGHFKLSKLFLWKDIKVCWTFIVFSESCLSY >CDP09131 pep chromosome:AUK_PRJEB4211_v1:1:31898144:31904484:-1 gene:GSCOC_T00028337001 transcript:CDP09131 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMMMHPHHHQTTAPPPSHNHGNPPPPPTSSISLRSPASAPPNNTTNDSTPTSASTPHSQQPHSFNHLISLGSILTRVRLSDILPYDGPPVAPYFRAVEALSGSLMRHNAAVIEVGCDDAALLRCGLEAARLFFRTRTAHVPGGGGGGGAGSWGKGGRGVYMYRPGRSLEDMDPPPPCMADVFRCMGKAARAALCAIARHLRLRSDVFNHLLDDSPLPANEASSSVLVATFSSTHSLNGKGSTGGGKLSGNGEVEKGLLTLISSDAPGLQVCDPNGRWYLADSGLVPGDLLLLTGKALSHATAGLRPAASHRSALDIPPVTSSGGRTSLVFRLMPQGNAILDCSPIAAAGHVIPQSYVPISVTQFMDDLSVEEDVLCNQSDIAYVAQDNLNREPSLRSVLSDPLSGAFLEDAMFVSCGHSFGGLMLRRVISTSRCALCNAEIETRSLIPNHALRAAAAAVKHEDDRRLFHNAALRKRRKEIGEHRENGDIPSENGQNRGVQYPFSVNEKVMIKGNRRTPDKFVGKEAVITSQCLNGWYLLKIIETGENVRLQYRSLRKIQTAQDADDGGLSLPVQNSSS >CDP07110 pep chromosome:AUK_PRJEB4211_v1:1:29757584:29762990:-1 gene:GSCOC_T00024228001 transcript:CDP07110 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAQQHRESSSGSISKQNSSIDSGKYVRYTAEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGYMRQQLQTASAATTTDASCESVVTTPRHSLRDANNPAGLLSIAEETLTEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISHSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYTQIYAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGTGAGPNAAAASQFTRAEMLPSGYLIRPCDGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALRYVRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSLLSCDGAEDVIIAVNSTKSLSTSSNSLSVIGGILCAKASMLLQASNLSHNLFFIITSSAKQSC >CDP08443 pep chromosome:AUK_PRJEB4211_v1:1:20336351:20336762:1 gene:GSCOC_T00027329001 transcript:CDP08443 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTRAAKAYLVVLFEDTNLCAIHAKRAIIMPKDIQLARRIRGERA >CDP04022 pep chromosome:AUK_PRJEB4211_v1:1:33481532:33482235:1 gene:GSCOC_T00016549001 transcript:CDP04022 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRIPLMAHLILILPFFDKWRSQFGEIFMFALGNTQILYVTQPDMVREITTCTSLDFGKPTYQAKERGSLLGQGILTSNGAVWAHQRKILAPELYMEKVKVRIMLVHRRFV >CDP18587 pep chromosome:AUK_PRJEB4211_v1:1:170781:174622:-1 gene:GSCOC_T00012418001 transcript:CDP18587 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWLLLGFLSLFVLSSSTCPDHQKQSLLLFKSTLLNITRTTNDSSSSSAFGLESWNSTSDCCLWDRVTCKSSSSSTAPVVVALLLDSLVSTDQPVVVPSAVLAPLFRLTTLMLLDVSSNHIQGGIPGDGLASLTKLVHLDMTQNNFSGSIPPQLFRLRYLQYLDLSENMLLGGLSQQVGYLRDLRTLKLDDNFLGGSIPEEIGNLTELQELSLSSNSFSGRIPASVSNLRQLQVLDLRENLLSEQIPSEIGGLSNLSTLALGKNKFAGGIPRSMQNLSKLETLMLENNLLAGEIPSWIFDIKPLNILFLGGNGNMLAWSNNSVTAPKCMLSQLSLQSCGLAGEIPLWISAQKALVYLDLSKNELRGTFPLWLAEMDLGTIILSDNELTGPIPHRLFQSWSLSVLDLSRNNFSGELPKNIGDANGIMVLMLAGNSFSGTIPSSISDIYRLLLLDLANNRLSGDTFPVFDPDAFLAYVDFSDNDFSGEIPVSFSQETRILALGNNKFSGKLPRNLTNLIKLEHLDVHGNEIGGEFPEFITEMSSMQILNLRNTSLQGPIPNSMSNLKALQILDLSGNSLTGNIPPGFGNLVGMIDTPTKFSSISSVFTFPVQYIDLIVNWKRSVQGLSSNPSIDLYSLLDLSKNQLSGKIPASLGNLKGLKILNISSNSLSGNIPDSFCGLENVESLDLSHNNLSGKIPQSFEKLQQLAILDVSNNHLEGPIPKGGQMDTMNDPKYFANNSGLCGVQIGLPCPTEPVKPSAPTSEDNSDETNELRFAWEGAWFGFPLGFCLSVIVILLTGWFPARASSPARRGRPRRHAPSRISITRIGS >CDP08947 pep chromosome:AUK_PRJEB4211_v1:1:30427547:30430913:-1 gene:GSCOC_T00028089001 transcript:CDP08947 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGRGAYGIVCSVLNSETNEMVAVKKIANAFDNYMDAKRTLREIKLLRHLDHENVIAIRDVIPPPLRREFSDVYIATELMDTDLHQIIRSNQSLSEEHSQYFLYQILRGLKYIHSAKVIHRDLKPSNLLVNANCDLKIIDFGLARPNTENEVMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMNRKPLFPGKDHVNQMRLLTELLGTPTDADLGFVRHEEAKRYIRQLPRFPRQQLSKVFPHVNPLAIDLVDKMLTFDPAKRITVEEALAHPYLARLHDIADEPVCSKPFSFDFEHQAVGEEQIKDMIYQEAVALNPEHA >CDP13096 pep chromosome:AUK_PRJEB4211_v1:1:2513993:2515398:1 gene:GSCOC_T00037881001 transcript:CDP13096 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDFNSIFKLLPSFYQKLEESYGRGSRSCFIAAMPGSFYGRLFPDNSMHFIHSSYSLYWLSQEGLPLNKGNIYIGKTSPKSVHDAYLDQFDRDFTNFLSVRADELVSGEHLFMTLATKIDGPVAYNVQDLLGMTMNDMVSEGLIEEKALNTFNLPHYRPSLEEVKTIIEKNRALKIRYLDTIQLRVIGAEAANCEKGYVFNTNTNAKYRARSLRAIYEPIFQAHFGDGIMNDFFTKLAANISQHQGMMKSRINSLVPSLSRT >CDP17578 pep chromosome:AUK_PRJEB4211_v1:1:1277753:1279811:1 gene:GSCOC_T00011073001 transcript:CDP17578 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDHKKQTSITRKLLFDFFIRELGIIVIRRRSGSHRRSIPSRTKCECSCGRYQSITICSSARAIGLQLLLLKVLVLIVRIKFNPIDRDVASTCISRDYCCRRLVATGTGMISYCVMQLVSSWINSLADQLLTQAMKAEDEIFFIPGDVAALNGRTEVIHPPETAALAAAEETCLLRQSPPPAFAFSLDVSA >CDP17045 pep chromosome:AUK_PRJEB4211_v1:1:37940079:37945949:-1 gene:GSCOC_T00004951001 transcript:CDP17045 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILLGLPKPTTTPTPHGHQLLPLPADKKSRPPKESQRKPDGISREVYALTGGLAPLMPSVDVNHLKRRAQSENEKITWQWLPFTSSARKDNLQLYHWVRVVNGVPPSGDYSFAKYNKSVDVIKYTDDEYEKHLTDPMWTKEETDELFDLCERFDLRFIVIADRFPTSRTVEELKSRYYSVCRAILIARAPAPGDIAGHPLVKDPYNISQDVERKRALSMVLSQTKQQERRDAEVLAEAKRISEARKAAKGTDELEVPAMTDTVPDDNDGAAAAVDSLSPSPNAQFTSGAIAPPVSEIASTLASLRMMRVYLRTHALEQMVQAASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSYRDGLYAETPGTPPKRVQRAVEQDRTFVPDSFSFGGERMGKRDQKRKAPGRLLEGPPSPAQSKRPRKFKASDG >CDP03628 pep chromosome:AUK_PRJEB4211_v1:1:36302415:36304543:1 gene:GSCOC_T00016052001 transcript:CDP03628 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLLHSSSPASLNKGSEAFMDKCSPPLFSPSSDKCFWSTLRSRIDVLLESRIPTDDSSSPPPTQMNAGAVEREKRMKEDSMLLLRGFDSVAYSLSQLSDNLENALQGARDLAKPPTLTEILNCTLERAKYEQQLPQKKEDERGEETEGESNEEGKRGHKRKFDTQGYSDQDQEDVSLKGKMKSSKEMGNLNKAKNLAISMATKAGALARELKSIKSDLCFMQERCTLLEEENRRLRDGYSKGIRPEEDDLVRLQLEALLAEKSRLANENANLARENRCLHQLVEYHQLTTQDLSASYENLIRGMSLDFSSPNSVREADEEEEEEEEGRGVDGTEASIETPRPNILGFSKSLDAYCDEP >CDP08517 pep chromosome:AUK_PRJEB4211_v1:1:22856549:22858930:1 gene:GSCOC_T00027453001 transcript:CDP08517 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTHFSVFLLVAIILLCSSSKTVNATCYASEKQALLDFKKDLKDPHGRLSSWIHDVDCCKWEGVVCSNRSGRFVIVKSPLSGKISHSLKNLTHLRYLDLSQNNFSGIPIPSFFGSLRSLRYLNLSRAGFQGMVPYQLGNLSSLRTLSIGGNPSDLQVDNLQWLAGLSNLEHLDMSRVNLSTADTFVFHANFSSLTVLDLSGNFLGHLIPRWIFGLTALASLDLSFTSFEGPLPRDLRNLTSLQHLDLSMNQLNGSLPDELVYLNNLISLNLGRNQFEGFLDGIWNWSSLASLDLSYNNFATFLPSQLSTLTALISLDLGYNQFRGSIPSSIANISNLQYLRLSSNNLNSSLPSEGFTLKDLITLDTSSNHLNGPIPSTVGNCTKLEHLALSDNALSGSIPSNLGRCTKLQELWLNYNALSGSIPSDLGKLSSLEHWDVSRNKLTGTLPESLWQLSKLEDLGIADNLIEGVVSESHLDNLTALRYFDASGNSLTLKVSARISDTIPPWLFNSSLDTVNLSHNQLPGKSSNVSEIVKGLYVHYSQTYSMGYFLLVIQPISVLQYLDLRENSLSGEIPDCWMNYPNLYHINLNNNNFTGSIPRSLFHLEDLGYLGLGNNSLTGPITFDFVNHE >CDP08462 pep chromosome:AUK_PRJEB4211_v1:1:21318935:21321969:1 gene:GSCOC_T00027368001 transcript:CDP08462 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDSCLAKVTAGVVVGGAIGGAVGACYGTFDAIRCKVPGLLKIRYIGQSTLGSAAVFGLFLGAGSLIHCTK >CDP07020 pep chromosome:AUK_PRJEB4211_v1:1:28895965:28897655:1 gene:GSCOC_T00024102001 transcript:CDP07020 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQGVVAK >CDP03751 pep chromosome:AUK_PRJEB4211_v1:1:35377538:35383103:-1 gene:GSCOC_T00016227001 transcript:CDP03751 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAVRCLINSVSRFIHLVTCSPVKAMPAQNDYVHLSCLLKHLKPLLDNVVDGKVPSDEFLCNEYEQLDVAVNEAREFFEKWSPKMSKILCVVRSGLLVHNIESTSLKITQVLSGFLQSSAYTNSLCDSQKFQHLKLSNHVNEVLQKITEGNIPSSEHLIPIIESLNLASNQELLNECIALEKERMKAEDHKLNQSLEEINRIIVLLSHIRDIMVKLDNFKSIDGIKIPPYFLCPLSVELMMDPVIVASGQTYERTSIKKWLDHGLNICPKTRQKLSHTNLIPNYTVKVLIANWCEEKNIKLPNPPDCLKCGSVTPQSENVNHVDNVQCNFEGGNSILESSVDARNDSEKKVDGSNGFCYEELHVCQAEKSDHSSTHSYVHSRSESTSSAVSSIEYLPSALPDLSRISNNHDSVSARLGDTVSDCSLSALNKNPESSPSLTGRHYHSSKTMAEMAVNGNHNPSRSLSLPSEARCNDLTSTSHVEKLIKDLKSQSTELQTAATAELRFLAKYNTENRIIIGNCGAIAPLISLLHSDVKTTQEHAVTALLNLSINEKIKALIAEEGALDPLIHVLRIGNAGAKENAAACLFSLSVLEEYRTKIGHSAAVRALVDLLGSGTVRGKKDAATALFNLSILHENKARIVQAGAVKYLVELLDPDREMVDKAVALLANLSTITEGCSAIAREGGIPSLVEIVDIGSQRGKENAASILLQLCINSPRYCRLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRSQREGAMGRGKS >CDP16797 pep chromosome:AUK_PRJEB4211_v1:1:3970226:3970594:-1 gene:GSCOC_T00019312001 transcript:CDP16797 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVKCSANYVPLTPISFLERSAIVYRDRISVVYGDLKFTWAETRDRCARLASALHSLGISRHDVVSSHLILGFSGLSLLFTIYLHFLLVQFVLFSLIAVLQFVLQLKVLQFVLLFVNYHD >CDP06850 pep chromosome:AUK_PRJEB4211_v1:1:27032839:27035797:1 gene:GSCOC_T00023876001 transcript:CDP06850 gene_biotype:protein_coding transcript_biotype:protein_coding MASENQESVGFLSRGCCWFTSFMRNLASKLVLEPARTARSLAREDPRRIIHSIKVGLAIALVSLIYYFDPLYEGFGVSAMWAVMTVVVVFEFSVGATLGRCVNRGIGTFIGGALGVAAHRLASCFGSEIVEPIILAVSIFIFAATGTFARFFPKWKARCDYGLLIFILTFCLISVSGYRDDEVIDIALTRLSTVMIGGSAALAICILLFPVWAGEDLHHLVADNIEKLGDFLEGFGDEYFKTLEDGNVRDSKALLEKYKSVVNSKTIEDNLVNFAKWEFRHGKFRFRHPWGEYQKIGGLIRECAFRLDSLNGYLKSEVQTPQEIREKFQESCQTMSSESGHALKNMARAIRTMTSSYVAVAKSHIAIAKSAADNLKSLLKTNSWQQTDLLEIIPVITVASLLIEVVSSTVKIADSVHQLASLARFKKISEDDASKSDQRNTERILRSPSIEGSHSLTISVE >CDP11101 pep chromosome:AUK_PRJEB4211_v1:1:7278049:7282856:-1 gene:GSCOC_T00033133001 transcript:CDP11101 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAFVHRIWEKWASNSVGLPLKAALLINYDPTGPSRLLSTIVEQEAVKSDPMELSQFVSFVKRNKLQAESFFIGQNQYLVTSIHESWFSARCMNTSKAAGEGAIVMQTAAFLLVGLYDGSIGSASRAMVAVDQFALQLSRRNL >CDP08485 pep chromosome:AUK_PRJEB4211_v1:1:22138893:22144873:1 gene:GSCOC_T00027407001 transcript:CDP08485 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFPLYLNPSFCSSSIRKSGEGGSSSSRAGSMKEASAVCYKKFIDFALEETKVHTHLATSPLQKKFNSLMSMDARTELQMLSFEAPKVRLLRCLSIEGNDGMQVLDFAVFPKPEFDLPIFCANFFTAASTNIVVLDLNPLHAVTDRDDYKEKYYRHLIPLGLKYAELLPWGGKITSESLRFFSPIVIWTKFSSSQYYYDVLFSAFKDYYKAWLELMEQATEEIDTSEIVSNREAQHRYLMWRAEKDPGHRLLRRLVGEALAEEILRSFLFNGVQELGSKTFLDYFPEYRSDSGTINEKRSMIGKSFENRPWDAEGNFLGNSLRW >CDP06956 pep chromosome:AUK_PRJEB4211_v1:1:28242176:28246850:-1 gene:GSCOC_T00024016001 transcript:CDP06956 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWLDDLADDLQSMSFNSTTTATTTTTTATDIHRSTSSGSETTWTATSSSSSSAHHVFPLPPTAHQKPHSSTPSGDPCWDAIRRARSSSPSSTLSLSDLRFLHRLGSGDIGSVYLSEIKSPEPPPPPPPPPAQHSSSTQPALFAAKVMDKRELAGRNKEGRARTEKEILEMLDHPFLPTLYSSIDSPKWSCLLTEFCPGGDLHVLRQRQPLKRFPESAVRFYASEVVVALEYIHMLGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDTSTSTPAQVISKQNPPNAPAPNEYSIDPPAFTSTSCILPNCIVPAVSCFHPKRKRKKKPGQHNRPEFVAEPIDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFELFYGVTPFRGVDHELTLANIVARALEFPKEPGIPAGAKDLISQLLVKDPARRMGSTMGASAIKHHPFFHGVNWALLRCTSPPFVPPPFSRDVISDENCSEDNNAPVDYY >CDP17560 pep chromosome:AUK_PRJEB4211_v1:1:984294:985692:1 gene:GSCOC_T00011044001 transcript:CDP17560 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIQVALQSVVSLAGDHVNLVREFPTELERLNKSAEMIRGFVAGAEEQMHSHDPRLLGVQKWLKQLEEEVFKADKCAGRVQL >CDP09280 pep chromosome:AUK_PRJEB4211_v1:1:17887669:17888807:1 gene:GSCOC_T00028570001 transcript:CDP09280 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIVSQLLQCMLFQTNLIAVSSLNLDLILNFSRGLWWMHSAKTRDRRSIALMSELKMVCPAKKN >CDP06961 pep chromosome:AUK_PRJEB4211_v1:1:28289613:28292326:-1 gene:GSCOC_T00024022001 transcript:CDP06961 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPFRPREKLIEKQKYFQSIHKHTYLKHPMDKITSVAIPLALAATCSFMIARGIYNMSHGIGKKE >CDP03533 pep chromosome:AUK_PRJEB4211_v1:1:37026450:37032420:-1 gene:GSCOC_T00015935001 transcript:CDP03533 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGRSNARKGLQQNRSREWAPAAREAGLTESTGRLGLWDGEAYPERPGMLECAYYMRTGVCGYGAKCRYNHPRDRASVGGSVSLAAADYPERVGEPDCQYYLRTGTCKFGASCKFHHPRNAGGSLISVSLNIYGYPLRLGEKECSYYLKTGQCKFGNTCKFHHPQPAGMSMPATAHPFYPTVQSPSALSEQYCCASTNYRVSRPPILTGSYVPGAYGPFLLHTGVVPVPNWGPYTGPITPGFSPGTQASVGATSLYGVSQLNSSATAFAGTYPTLLSDSNQKKQKFPERPGQPECQYYMRYGDCKFGPSCRFHHPPNLVVAKAYSALSPLGLPLRPGMQSCPFYLQKGHCKFGRNCKFDHPMGTVEYSPSASSLTDVPVTPYMLCSSTAMEGQAAEFVGSKLDLQITRDRPHGSSLSGSVGLTFSRAAPFLLSNIQISDQGSGPNAD >CDP03541 pep chromosome:AUK_PRJEB4211_v1:1:36977984:36982334:-1 gene:GSCOC_T00015944001 transcript:CDP03541 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEEDNIPIPSLVDQPQENQEAAGDGESTDQPNREDYGKTLYGCEHYRRRCKLRTPCCNQVFTCRHCHNEAMSVLSNPQERHELVRQDVKQVICAVCNIEQEVSGLCSNCGVKFGEYFCHICRFYDDDTTKKQFHCHDCGICRVGGRENYFHCPKCGSCYSIDLRDNHSCVENSMKNHCPICYEFLFDSIKGTTIMKCGHTMHMDCYSEMISQSQYRCPICSKSVFNMSRTWERLDLEIEATAMPEEYRYEVSILCNDCNNTGKALFHIFGHKCRHCNSYNTRMITTGENHQ >CDP09624 pep chromosome:AUK_PRJEB4211_v1:1:23402338:23402754:-1 gene:GSCOC_T00030012001 transcript:CDP09624 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKSGKNRKKGKNEGEDDEKRELVLKEEGQEYAQVKQMLRNGWCEAMCIDGTKRLCHIRGKMQKKVWIATGDIVLVGLREYQDDKADVIHKYMADEARSLQKLGQLPAGIVKDDLVCCACHGCLDFDDEGFDGI >CDP09610 pep chromosome:AUK_PRJEB4211_v1:1:23052781:23061787:-1 gene:GSCOC_T00029980001 transcript:CDP09610 gene_biotype:protein_coding transcript_biotype:protein_coding MENPTRSSPINVEANGNTSKVNSGQYISAGYSKPSQGEEAFSSESISNLSNHSNLKQHVQGNATQLSTSSVSGGDDQPGSKGNVNGVSNGPAVAARQLLPRGLINLGNLCFLNATLQALLSCSPFVQLLQELRCRDIPEIGYPALRAFVEFISDFDIPPNSSTRRELGGLEAGQPFRPLMFESILKAFTPDIPNCLSGRPRQENAQEFLSFVMHQMHDELLKLDGLVSNGDGLNTSLVSSVEEDNDDDHWETVGRKNKTAITRTQSFVPSKLSAIFGGQLQSVVKARGCKASATIQPFLLLHLNICPDPVCTIQDALKLFFAPETLEGYRTSAVGKAEVVNASKSVKILGLSKIMVLHLMRFSYEGRKSTKLHKPIHFPLELVLGRELLVSTSQGRRYELVATITHHGMDPSKGHYTADARLLNGKWLRFDDQSVTSISDKEVQHNQAYGLFYWQS >CDP09145 pep chromosome:AUK_PRJEB4211_v1:1:32008991:32012001:-1 gene:GSCOC_T00028355001 transcript:CDP09145 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSPLIIRRCQIRKESPSPCSRTSAISNQTSATSQKSRSSSSFIRAASKRVAGVLAALLFWKREVNSTADQTKANKIKRAVTGLSDSIDDSTGSTSKSSSRTKYSYSRESSNDNIIQIGSLQTSFNEISKATGNFSSANIIGEGAFGTVYKGKLWDGFMVAIKRAKMEFFDQRLSAEFKNEILTLSKIEHLNLVRFLGYLEHGIERIIIVEYVSNGTLREHLDGTRGDGLETAERLDIATDVAHAITYLHTYTEPPIIHRDIKASNILLTEKYRAKVADFGFARLAAEDPTATHISTQVKGTAGYLDPEYLKTYQLTEKSDVYSFGVLLVEMMTGRHPIDSSKSTDERVTVRWALRKLKDGEVAVVMDPRLRRNPASNQAVEKVLKLARQCLDPSRRARPSMKRCAEVLWQIRKEFKDSSIAHAAAAAATHHSANVVEGGIRRDWQDLYGIPDTDYYRFYSSKEKKSRTTHDCLEI >CDP07100 pep chromosome:AUK_PRJEB4211_v1:1:29686145:29694129:1 gene:GSCOC_T00024216001 transcript:CDP07100 gene_biotype:protein_coding transcript_biotype:protein_coding MRWINYDSKLALLLVLLLSVSTRIKASSEDGEFNQCEHIVKKWASSSLDSEINEDKHILRDLLYFLHVPRTGGRTYYYCFLKRLYANSSECPRSYDRLRLDPRKPDCRLLVTHDDYSVMSKLPREKTSVVTILRDPIDRVFSTYEFSVEVAARFLVHPNLTSALRMTGRLRAKTRGGVSTLDIWPWKYLVPWMREDLFSRREARRRKGWPSIYGDDPYDMEDVVMPLHKYINDPIAQEIVHNGATYQIAGLTNNSYLAESHEVRHCIQKYQPLGEYVLDVAKKRLDNMLYVGLTENHRESATMFANVVGAQAISKFAGSNSRKGLVANSIPGQSSLIPDIDPDSNYHLSNSTYPKPGKFSSTDTVEATNEDMTTRKLMEGYETCISSLRSTQSQRRANSLKNIRPANFTKEARRRISKVLLQEITSLNYLDVELYKYAQGIFANQQQYAMPTKVGEENLVNMFKKPYGAPSWSVLSISLSIFLFLLFIILYLNARRRISKIKL >CDP09110 pep chromosome:AUK_PRJEB4211_v1:1:31764784:31767187:-1 gene:GSCOC_T00028308001 transcript:CDP09110 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETANQPSKLRWGELDEDDGEDLDFLLPPKQVIGPDDNGIKKLIEYKFNDEGNKIKITTTTRIRKLANARLSKRAVERRSWPKFGDAVHEDVGSHLTMVSTEEIILERPRAPGTKQEEVKVVGDSGQIGKPGAVLMVCRTCGKKGDHWTSKCPYKDLAPPSEGFVDKPASEATTAAANSTKGAYVPPSMRAGAERSGTEMRRRNEENSVRVTNLSEDTREPDLLELFRPFGPVSRVYVAVDQKTSISRGFGFVNFVNREDAERAINKLNGYGYDNLILRVEWAAPRSN >CDP08477 pep chromosome:AUK_PRJEB4211_v1:1:21982498:21983636:-1 gene:GSCOC_T00027392001 transcript:CDP08477 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEHDANQFCQFISEMRNLEFFGLSGDSLQCLYQAGHLLPEFINLTHLELSTTRDLYSKYFETLLKSTPNLKVLVCYLGPLKMYDDMHLHFSAKERPLCLNQYLREVEIKSFFIIPNYGFDLIRYLLKHGAVLQKMSIHVSTTEMPENWSSSAREKLNKFSKCSKNCKIMLI >CDP06854 pep chromosome:AUK_PRJEB4211_v1:1:27067206:27070532:-1 gene:GSCOC_T00023882001 transcript:CDP06854 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGDMGIGRGGRRVVVIGGGVAGSLIAKSLQFTADLTLIDQKEYFEIPWASLRGMVEPSFAERSVINHKDYLTNGRLVVSKAINITNSDVLTAEGRLVAYDYLVIATGHDDPLPKIRNERLTEYQAENEKIKASRSILVVGGGPTGVELAAEIAVDFPEKKVVLVHDGPRLLEFIGPKASDKTLGWLKNKNVEVKLQQSVELVRSSDGSNAYITSSSETIKADCHFLCTGKPVGSAWLKETILKDGMDSFGRLKVDENLRIKGHKNIFAIGDITDIKEIKQGYLAQKHALIAAKNIKILMDEQKESKMAVYKPRSIKVIVSLGRHDAVAQFSFTTLIGLVPGLIKSKDLFVGKTRKAMDLDPHIVHY >CDP09722 pep chromosome:AUK_PRJEB4211_v1:1:25209483:25212997:1 gene:GSCOC_T00030167001 transcript:CDP09722 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAGLQEQQPRGVAAGKQKNLQPEGRNRRVLRDIGNLVPAPAVEGKPQIARPITRRYGAQLVVNGQKQAEKNNKKPLGEAAVAQKKNKEKLIPEAVVVISSDDEEVNCKEQIPRYARKVGAVSAKKTAGKTYTSILTARSKAACALSRKPAEVIVDIDANDVNNELAAVEYVEDMYQFYKETEEDGRVCDYIGAQPDISAKMRAILVDWLIEVHRKFELMPESLYLTVNIVDRFLSVKAVPRKELQLVGMGSMLIACKYEEIWAPEVNDFIAISDNAYVRDQLLFMEKAILGKLEWYLTVPTPYVFLARYIKASVPSDPEIENMVYFLAELGLVNYETTIHYCPSKLAASAVYAARCTFKKTPLWTETLKHYTGYSEDQIMDCAKLLVSFHSGAAENKLKASYRKYCNPDRGAVALYPAAKTLPPQEAS >CDP13122 pep chromosome:AUK_PRJEB4211_v1:1:2084420:2087032:1 gene:GSCOC_T00037926001 transcript:CDP13122 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPEKKMLKRIPDGDNMDRLSALPNCVLLRILSRFKTKDAAATSVLSTRWRDLFVSLPDVDLSFRVDGDASDRDRMFSDFTDFANRVVRQRNKASIGTIVVDVMHFVKSYRLAFESLLISAAAALSSCNVQQLLISVRMDKTTERFSIPIPPGIFSSKTLVSLTVDIEVDWNAPDFVWLPNLKYLYLFEFRLVDEDSIQRLLQGCPLLEQLMLFVQPFSYESESEEGIEVEVLHISSPSLKSLVLSWNAKVELEFTVVVQSENLESLLCSLQGQHKVTIDAPNLKSLTVEGHVLEVHINQSLVSIDKAVVHAEFLHNVTNGSDLFSRVQHAFKFISGLQNVKSLNLSENILKALYCSQRVLPKFKDLNKLKLSHFRCHAFPRNPYSKVLSSLFESSPNLEVLIIDEVLKDSEDEELDSVFQEVLSLAFVGQLKEIEIRSFEGEEHEFKLIEYFLKNGKSLKKMDLIRDSWKTESDGCHRILSSKKCAVDCQILFITKWDLLQSLFLKRH >CDP03555 pep chromosome:AUK_PRJEB4211_v1:1:36877571:36880115:-1 gene:GSCOC_T00015960001 transcript:CDP03555 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEQVVIVVGAGPSGLATAACLNNLSIPNLVLEREDCFASLWKKYSYDRLHLHLAKQFCQLPLKPFPTTYPTYVPRDQSVESAQYDEAAEAWIVKARNLGSSDSEEMEEYSSKCLVVATGETADAFIPQLEGLNTYLGEVLHSTRYKNGKSYENKNVLVVGSGNSGMEIAFDLSNYGAKTSIAVRSPLHILSRGMVYIGPALLKYFSLNTVDWLVVMLSKLWYGGDLSRYGIKRPEEGPFTMKIKYGKFPVIDVGTCQKIKSGEIQVLPAVASLGGNDVVFEDGKSYPFDAIIFATGFKRSTNKWLQGADDLLTDDGFAKPAFPNNWKGTKGLYCAGLARRGLHGAALDAQNIANDIKRVLQL >CDP07095 pep chromosome:AUK_PRJEB4211_v1:1:29641301:29649568:1 gene:GSCOC_T00024211001 transcript:CDP07095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:Projected from Arabidopsis thaliana (AT2G28305) UniProtKB/TrEMBL;Acc:A0A178VS96] MEVGKETEVEKMKERKQSKFNKICVFCGSSSGKKTSYKDAATELGKELVSRNIGLVYGGGSIGLMGLVAQAVYNGGCHVLGQRFPSPFFFPQVIPRTLMLREITGETVGEVKAVANMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNCLLSFVDKAVEEGFVSPNARHIIVSAPTARELMNKLEDYFPHHEMVASKLNWDIEQLSYSSTKYGVER >CDP03801 pep chromosome:AUK_PRJEB4211_v1:1:34995805:34996140:1 gene:GSCOC_T00016287001 transcript:CDP03801 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQGADGCFEGVKLEGRNAGATTIARQGCCGDCCSINIYISNNVQGVNNSILVGSEVKQGNAGVCLSLKGLKLDRGFQKSSKKKTSELAQGLGWIILVALLAAILFCSVM >CDP09005 pep chromosome:AUK_PRJEB4211_v1:1:31021611:31025254:-1 gene:GSCOC_T00028168001 transcript:CDP09005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEASTIKINQPDQAAGAGQLAQKSACCGS >CDP03532 pep chromosome:AUK_PRJEB4211_v1:1:37036139:37041716:1 gene:GSCOC_T00015934001 transcript:CDP03532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MNILATGFTARISPTCSTKVPVRRLCFGSASTTRPAFLKFSLNNGKATLPRRIVCMAEPYLITKLESAEKTWQELSVRLADPDVVSNPSEYQKLAQSVAELDEVVLTYRRFKTCEKQLEETKALAKEEGADEDMAEMISSEIATLTAQIKDLEERLKVLLLPTDPLDSRNIMLEVRAGTGGDEAGIWAGDLVRMYQKYSERNSWKVSPVSSSEAEKGGFKTYVMEIKGNRVYSKLKYESGVHRVQRVPQTEAQGRVHTSTATVAIMPEADEVEVEIDPKDIELTTARSGGAGGQNVNKVETAIDLFHKPTGIRIFCTEERTQLQNKSRALQLLRAKLYEIKVREQQESIRNQRKSQVGTGARAEKIRTYNYKDNRVTDHRLKMNFELTSFLSGDVEAAVQSCAAMEQKELLQELALSVGALAS >CDP17585 pep chromosome:AUK_PRJEB4211_v1:1:1321556:1329576:1 gene:GSCOC_T00011080001 transcript:CDP17585 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDGGKLLTLVQNRIERCLRLYMNQGEVMNTLFIQDNIEPHVTEIVWQKLEEENQEFFKAYYLRLMVKEQIMEFNKLLAEQVELMRRFGSAGIASISMSNGSHIAPTQQNLGVCRAAENVGPTLRAENIHQPISANLDNVFSNCGSSMQSCVQTAINMASHSRNVDASANRLLVQSSNRAITPVIDGGMIKSEPSYAGNSSFEFGAHNNLVESRSALADASVSSFSSVESNSQPLNETLIDADTSSFGFFGQISQHFGLSDLGADFANGSDMYSSPPFMTADSGNFLDPNEIERLNTAPESLRYQDLGGD >CDP09214 pep chromosome:AUK_PRJEB4211_v1:1:32523667:32527068:1 gene:GSCOC_T00028445001 transcript:CDP09214 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEPEHSREEEETAAAEDEDTGAQVAPIVKLEEVSVTTGEEDEDAILDLKSKLYRFDKDGNQWKERGAGSVKLLKHKETGKVRLVMRQSKTLKICANHLVGPTMTVQEHIGNDKSCVWHAADFADGELKDEMFCIRFASVENCRTFMERVQEVAESQRSKEEDKDASAAAGVLEKLSVEDKAGKEKAEEKPKEETKEKAPAAGKEKVSESEDKETAST >CDP03718 pep chromosome:AUK_PRJEB4211_v1:1:35619683:35622896:1 gene:GSCOC_T00016188001 transcript:CDP03718 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPYSWMSTEEVQLQTLTLTLIPGLPNDLASLILAFIPYSHQSRLKSVSKSWKLFLSSGTLISLRQSLLPSSARSHLLCIFPQDPSISSPYLFDPKNLAWRELPAMPCNPHAYGLCNFTSISVGPHLYVIGGSLFDTRSFPLDRPCSSSSTFRFNFLNWKWESLSSMLSPRGSFACAVIPNSNKIVVAGGGSRHPMYGAAGSRMSSVEMYDIGKDEWVPLDGLPRFRAGCVGFFVGNGEEKEFWVMGGYGECRTISGVFPVDEYYKDAVVMELKSGGKWREVGDMWEEGERRMLGKIVVLEGDGWHVPAIFMLDRGDIFRYDMASNQWLKETSVPRKASDESSVGFVALDGELHVLTLLDGIKLPDTRKLRRNKRSAALLLQIYHPKKERWRSVITKPPFNRPLNFRMAVICAIGL >CDP17034 pep chromosome:AUK_PRJEB4211_v1:1:38017429:38023267:1 gene:GSCOC_T00004938001 transcript:CDP17034 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQPPPQSSFNAYPVNIHPSNPAETKLSSSSPFLSPSAPPSRFPPPAPPLLQPNQIPSPSIKIPNLASPSNPIRTPSPLPVPHLSTPPGPPVFSSPLQPAAVPFRTSPATPQPLALSSGSSLPASSSPQVLNGFTQLQHQISDTTEEYSHLSDSPNVLFTAHKVLKQKKLANVPSLGFGALVSPGQEVSPGPQIIQRDPHRCQNCGAFSNLYCNILLGSGQWQCVICRNLNASEGEYIASSKEELRNLPELSSPLVEYVQTGNKRPGFIPVSDSRVTAPIVLVIDECLDESHLQHLQSSLHAFVDSLPPTTRIGVVLYGRTVSVYDFSEELSACADVLPGGKSPSPESLKAMVYGTGIYLSPIHASLPVAHTIFSSLRPFKLNIPEASRDRCLGAAVEVALAIIQGPSAEVSRGVVKRPGGNSRIVVCAGGPTTYGPGSVPHSFGHPNYPHLEKTALKWMENLGREAHHRGTVIDVLCAGTCPVRVPILQCLAKASGGVLVLHDDFGEAFGVNLQRASCRAAGSQGLLEVRCSDDIFVSQVIGPGEEAHIDSNDAFRNDGALSVKMFSVEETQSFALFMEARADIKSDFVYFQFVIQFSNVYQADISRVITVRFPTVDTVSAYLESVQDEAAAVLIAKKTLLRAKNFNEALDMQSTIDERVKDIASKFGSQLPKSKLNQFPKELSLLPELLFHLRRGPLLGSILGHEDERSVLRNLFLNASFDLSLRMVAPRCLMHREGGTFEELPAYDLAMQSDAAVVLDHGTDVFIWLGTELAAQEGKSAAALAACRTLAEELTEMRIFFFFPSEGSTIKLKIEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLSAEQRTKLKSSFLNFDDPSFCEWMRSLKVLPPEPS >CDP13139 pep chromosome:AUK_PRJEB4211_v1:1:1784178:1784905:-1 gene:GSCOC_T00037973001 transcript:CDP13139 gene_biotype:protein_coding transcript_biotype:protein_coding MASTISAFNISTIAILIFFMPIHVTCKQKHEFVKEINKEALELREEKLSHFRFFWHDIGTGIHPTSVTVVKPLPKFRNGFGFVNMIDNPVTIESELSSKLVARAQGFYASASQAELALLMIMNFVFVEGKYNGSTITLLGRNPVFHKVREMPVIGGSGLLRFARGYAQARTHSFNLKTGEATVEYNINVMHY >CDP09222 pep chromosome:AUK_PRJEB4211_v1:1:32578338:32580291:-1 gene:GSCOC_T00028456001 transcript:CDP09222 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFEKIKIRSYDWEIDKVRVEDLERGCEVGPAEHVFLFTDTMGDPISRIRNSPMYNMLVAELNDEIVGVIQGSIKVVTLHTSPKNHLAKVGYVLGLRVAPRHRRKGIALSLVHHMEEWFVTHQVEYAYMATEKDNQASINLFVNKLGYVEFRTPAILVHPVYNRSFRLSSSVEIMKLKIDQAEFLYRKFMSSTEFFPHDINRILRNKLSLGTWVAYFRRGDHESSSRGGFGLDGGIPNSWAMMSVWRSTEVIKLRVGKPTLSCMFYAKSSRMLESVLPCFRVPSLPDFSENPFGFYFIYGFHREGPSSGKLVRTLCRFVHNLARESDGKEECKVIVTEVGGSDELKLHIPHWKLLSCPEDLWCIKALKFYEGAKTTLGNEAPPPKSNPLFVDPREV >CDP07078 pep chromosome:AUK_PRJEB4211_v1:1:29398658:29409390:1 gene:GSCOC_T00024185001 transcript:CDP07078 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 28 [Source:Projected from Arabidopsis thaliana (AT5G60740) UniProtKB/Swiss-Prot;Acc:Q9FF46] MVKKMIAGSNNNGLNFRMALTFFAGVITLTNNVVFTYAANDSSSDASMDLLTDAISARFSNFTDSFKGDITKEMGFCIDNVDAEWNAAFNFSKKSKFLAECIRSSGGDAARRLCTAAEVKFYSSSLIDQAKETGGVPTTSNFLKPNKNCNLTSWISGCEPGWACSIEKDKKVDLKNSKDIPTRLSDCKPCCEGFFCPHGLTCMIPCPLGAYCPLATLNKTTGYCDPYRYQLPPGGSNHTCGGADVWADFMSATELFCSAGFYCPSTTEKIPCSRGYYCRAGSTKPSKCYALATCNPKTENQNITAYGILFFGGIMLVLIIIYNCSDQVLSTREKRQAKSREAAARSARETAQARERWKSAKDVARKHATGLGEQLSRTFSRKKSARQEPPRKGAGLPPMPAEASEPKGKKKGGGNLTKMMQELEENPDSHDGFNVEIGDKNIKKQRAKQLQTRSQIFKYAYGQIEKEKALQEQNKNLTFSGVISMASDIEITTRLPIEVAFKDLTLTLKGKKKHLLRCVTGKLVPGHVSAVMGPSGAGKTTFLSALTGKATGCTKSGLILINGKVESMHSYKRIIGYVPQDDIVHGNLTVEENLWFSARCRLPADLPKPEKVLVVERVIESLGLQLVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVVHQPSYTLFRMFDDLILLAKGGLIVYHGSVKKVEEYFSGIGIQVPERVNPPDYYIDILEGIVKPSASSGVNVKDLPLRWMLHNGYPVPPEMLDSAGMAATGDSAHGGNPAAAGADGQSFAGDLWEDVKFNVELKKDHLQHNFLKSKDLSDRRTPGVFTQYKYFLGRVGKQRLREARTQVLDFLILLLAGICLGTLAKVSDATFGAMGYLYTVIAVSLLSKITALRSFSLDKLHYWRESASGMSSLAYFLAKDTVDHFNTIVKPAVYMSMFYFFNNPRSSIMDNYVVLVCLVYCVTGIAYTLAIYFEPGPAQLWSVLLPVVLSLIANQEGDPFFNKLGNFCYTKWALEAFLIANAKRYSGVWLITRCGALQQRGYHLGDWYPCLAYLVVTGILSRGLAFFCLVTFQKK >CDP17085 pep chromosome:AUK_PRJEB4211_v1:1:37648768:37652327:-1 gene:GSCOC_T00004998001 transcript:CDP17085 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMSRFLAAVTFLAVGVIFSPETFGSTSPQDGQQHHLPKLLSFLKLAHLLCFSTAWGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCCAVCVASFAYLHPWKTASMAHKYQLGFLLAAFAFNLTNLLVFTPMTIEMMKQRHKIEREAQIGEEVGWTKNQEVAKANPKLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWYLSGKISF >CDP03970 pep chromosome:AUK_PRJEB4211_v1:1:33785301:33791259:-1 gene:GSCOC_T00016486001 transcript:CDP03970 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNAVVFRCFTLLLICVVVSFVRTQSAPETALITSIPGFNGTLPSKHYAGYVTVDESCGRKLYYYFVVSERNPSQDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAGKTPDSLPTLHLNPYSWSKVSNIVYLDSPAGVGFSYSGNESDYITGDLKTASDSHTFLFKWFELYPEFLSNPFYIVGESYAGVYVPTLSYEVAKGIDEGVKPVLNFKGYMVGNGVTDDEFDGNALVPFAHGMGLISDDLYKEVISECRGNYYNPASDSCESKLEKVDEDLEGLNIYDILEPCYHGPATIKMVNGNTKLPVSFRMLGETERPLPVRTRMFGRAWPLRSPVRDGLVPTWPQLLKTTSVLCTNDEVATAWLNNEVVRQAIHAESVNVADTWELCTGRIQFHHDAGSMIKYHKNLTSKGYRALIFSGDHDMCVPFTGSQAWTRSVGYKIVDEWRPWIVNDQVAGFLQGYDYNLTFITIKGAGHTVAEYKPREALEFYTRWLDGRKI >CDP07049 pep chromosome:AUK_PRJEB4211_v1:1:29124800:29126480:1 gene:GSCOC_T00024145001 transcript:CDP07049 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKEFIEKFGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGEFSDDEDRIICSLYASIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGMIPLDPRKLPPFSNSLHTTSSPQSQAFSSLSQLYNDCSPYYSTSAKSCLTGFESFPSVQPNLLFNGNNNNVNPMATITTANHHSYLQGHNQEGLISPMQFYPGKDNMLIFGGTDQASCSSSDGSCSQISYGKEIKQEHMNGGSLQGYNLSNSTNGYDHHHHQENQKFMVDYGNQISSTTWGDGRGNDHDQKPSVVLCGNGTSTTQLQYDLEEVKQLISSSNMSLFFNDENKTHESREAMYYYNY >CDP07112 pep chromosome:AUK_PRJEB4211_v1:1:29862867:29864412:1 gene:GSCOC_T00024230001 transcript:CDP07112 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLVSLLLFLILSSSTVTRAQERAPHGLAYESPMAISPEAYDFFHPDTQPQRGNAPCFSPECSTLPEAATVLSTPAHESTAPPDASKKRLGAGSIASIPIGLVFALLAGVGVYYVVMTRQTNAARAKAAQQLQPAV >CDP11071 pep chromosome:AUK_PRJEB4211_v1:1:8393453:8395480:1 gene:GSCOC_T00033081001 transcript:CDP11071 gene_biotype:protein_coding transcript_biotype:protein_coding MYHKRGLWAIKAKNGGTFPCHEKKLEEPAPAAVKPVKFYPADDVKKPLANKRKPKPTKLRASITPGTVLIILAGRFKGKRVVFLKQLSSGLLLVTGPYKINGVPLRRVNQAYVIGTSTKVDISGVSVDKFDDKYFAKQVEKKKKKGEGEFFEAEKEAKNVLPQVKKDDQKAVDTQLIKAIEGVEELKAYLAARFSLTAGMKPHDLVF >CDP03511 pep chromosome:AUK_PRJEB4211_v1:1:37192183:37196082:-1 gene:GSCOC_T00015907001 transcript:CDP03511 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPPKSKAIQKPGSTFQKLLKTPQVPFALALLFADAILVGLIIAYVPYTKIDWDAYMSQVTGFLEGERDYGNLKGDTGPLVYPAGFLYIYSALQHVTRGQVYPAQILFGLLYIVNLAIVLSIYVKTSVVPWWALSLLALSKRVHSIFVLRLFNDCFATTFLHAALFFLLKQKWHLGLIIFSAAVSVKMNVLLYAPALLILMLQAMDVFGVISALAGAALVQILLGLPFLLTHPVAYLSKAFNLGRVFIHFWSVNFKFVPEPTFISREFAVALLVAHLGLLTVFAHYRWCRHEGGLPSLLRLKIAELSSFSMTRFYCTSSTTKLLRTEYIATTMFVGNFIGIVCARSLHYQFYSWYFYSLPYLLWKTPFPTLLRLTLFVVVELCWNVYPSNVYSSLLLLVVHLAVLGGLWTASPGNPYADDPNSRLTAKKKQP >CDP03865 pep chromosome:AUK_PRJEB4211_v1:1:34521783:34524907:1 gene:GSCOC_T00016362001 transcript:CDP03865 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGHKVSLNVYDLSQGLARQLSTTFLGKAIEGVWHTGIVVYGTEYYFGGGIQQTPAGRTPFGTPIRVVDLGITHVPKDVFEIYLQEISPRYTEQTYSLLTHNCNNFSNEVAQFLVSATIPDYILNLPNEVLNSPMGAVFLPMIQQLETTLRAGVVPQAPQFKPATTAPATQKASSLSNKEVDGKSRSEAEAATAGEQKTSTAETVPVAVKPTGGGQEKPSGNGIAGDSLGDARSKVQDEIGREFAAIMATGTLRASEAAALATRRVMQRYGHMNVAQS >CDP03501 pep chromosome:AUK_PRJEB4211_v1:1:37244516:37245771:-1 gene:GSCOC_T00015896001 transcript:CDP03501 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDQMRRNFQLCDEF >CDP16773 pep chromosome:AUK_PRJEB4211_v1:1:3509136:3509594:1 gene:GSCOC_T00019272001 transcript:CDP16773 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPKMITLQSSDGETFEVEEVVAMESRTIKHMIEDDCANSSIPIPNVTSNTLTKVIEYCKKHVEAKNDEEGLKSFDADFMKIDKDTLYDLLMASNYLDIKSLLDLGCQTVADKIKGKNPEDIRKEFGIKNDFSPEEEEEIRKESPWVFD >CDP06928 pep chromosome:AUK_PRJEB4211_v1:1:27878566:27884591:-1 gene:GSCOC_T00023977001 transcript:CDP06928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:Projected from Arabidopsis thaliana (AT1G08350) UniProtKB/TrEMBL;Acc:A0A178WGW9] MEFSKLLLSLIILQSLFSSRASSSDHMYNVGDEVPFFVNKVGPLNNPSETYQYYEMPFCLPDKVLPKKESLGEVLNGDRLTNSLYMLKFKLDNTDAALCQKKINQNDIAKFRNAIAMDYYFQMYYDDLPLWGFIGKVEDNSWSMDGKAPTYFLFKHIQFNALHNNNQIIEIHAFSDPNSAVDITDDVDLDITFTYSVFWNETSTLFKSRMNRYLRASLLPVHQKIHWFSCVNSVVIIVLLFGLLAFLFMQNLRNDLRRNTVGDEEENKEIGWKCIQNDVFRCPLSMPLFCAALGTGAQLLTLVLVLFALAFTGILYPQSRGALSTSIIIVYTLTSAVAGYTSASFYSQFAKTGWERSVFLAGTLFLGPFIFIAFTLNLVAAYFGTTAALPFGTIFVLVFVHMVIGIPLLALGGLRGCNFRPEFQESHTNRKFSREVPPSIWYCRIPGQMFIAGLLPFSAIVMELHQLYASIWGYKIFTLPGILFITFMILVLVTAVLSILLTYFQLTVEDPDWWWRSFLRGGSVGIFMFLYSFYFYSKSSMSGFMQTVFYFGYSASMCYAFFLMLGTVSFYTSLTFVRRIYHTVKSE >CDP17065 pep chromosome:AUK_PRJEB4211_v1:1:37788913:37799147:-1 gene:GSCOC_T00004975001 transcript:CDP17065 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSTDSASNKSKKLNGGGGQTSDMLGTLSIRINQLKKQIQAERAASVKDKVEDNKTNLETHVSKLIELASSRQDVSISNQQALGDMLLARIENPLCKFNGLAQGWERDSFNAEEALSSTTAKLPLMENIPPYTTWIFLDKNQRMAEDQSVVGRRRIYYDPHGSEALICSDSEEEMVAPEEEKHEFSEAEDRILWMTFTEYGLDEEVLKILIQFVGGTTSEIQERCNMLNEENQARLNKNLLGSEKTESEESAFLGKRLSAALDSFDNLFCRRCLVFDCRLHGCSQILINSSEKQSYSSDSEDERRPCSENCCLQLRVVENLPQGMEGSSFHVCESKTSAEDGSTLLGQHASETGCMLKIEHNHQTPGKRKVLEPLNTVVELASDNLLGSSSKKLKSAAQGTVSMAVDESVLLDQNLSVISKDQEFSGADENQTINDASKNFGCLTNNSGDKVGQDERSEVHEMCILEQTSNLKNISDWKPLEKELFFKGIEIFGRNSCLIARNLLPGLKTCVEVSSFMNDAGEATSHGTAAFPNTVSADNGRGDIDHMVEAEMPARSRLFRKRGRTRKLKYSWKSAGHPSIWRRIVDDKNQSCKQYTPCGCQPICGKQCPCLQNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRQGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQARHELLVKRNCESIPTNCYLDYVLDAYRKGDKLKFANHSANPNCYAKVILVAGDHRVGIFANQHIGASEELFYDYRYGPDQAPIWARKPEGSKGDDSPVPQGRAKKHQSN >CDP17565 pep chromosome:AUK_PRJEB4211_v1:1:1140011:1142949:1 gene:GSCOC_T00011051001 transcript:CDP17565 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSAVEIKHFSGKSNLICFYGWFSTVSVVVVVLYLCSALSVLCDDFTMVSVPLGFEINGMDQSKNWVSENRVFAFGFLEKDGDDDLDSYAVGIRYNLGNITVNLPVWTVGGGIKVSRNSSIRLDMDGRLVLVQFPNGNPVWSSNTSTLGVAKASLLNNGNLVLLDGKDKVLWESFGSPTNTLLPGQSLCYPQNLRALSKKSTLSYYSLVISKFGELALVWEHNVTYWRSQLSSSVIVKEARFGPNGVLGLSDNNDKVFWSVSSKDFGDPSVTLRHLTIDQDGNLRIYSWDNVNQWKVGWQAVGDQCSVFGSCGLYSVCKYNSSGPVCGCLYSGSSEGGTTSAVVDSSGSGCQKMVDLGNCRMHPSMVDMKQTVLYGLYPPNDVNLFLSQNDCKNYCSNDSTCIAATSMNDGSGLCTVKRTSFISGYSTPSAPSTSFLKVCSVPQAVAAQGVNPHDDGGLVYSSIGRNKAGRGNIRVFIGAIALIVFITVSIVVGMEMFAFWLLRRRGQLKAQTRIPFGKDAQMNPHYSALVRLNFEEIRELTDNFATPLGPSHFKGTLPNKTVIVAKMLNDVAVPEKEFRVAVSALGGTHHRNLVAIKGFCFEPKHKLLLYEYVTNGSLDQWLFSSEEDVNRRIWEQRLHIAVGIARAIAYLHTECQQCITHGNLKLENVCLDENLVPKLTDFGLRTLLFKEAASSSETASEKDIYMLGQLLLQIVTCKRVVNGKNLQQVLDELSQEQNFGDIDDLKAVERVVKIAMWCMQIQPYLRPSIGEVVKVLEGTLSVDGPPSGFVFKHDNMDHGETAVEGEEF >CDP09634 pep chromosome:AUK_PRJEB4211_v1:1:23583280:23588165:1 gene:GSCOC_T00030029001 transcript:CDP09634 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPKDCSTILSVFFVLTTLFGLTFSAPDFTQFFCSNNIGNYSKNSKYQANLNTLLSSLSTNVSPYGFYNSSVGEDPDRTYALVLCRGDASFQDCETCVNDSANMILQLCPNQKEAIGWYTICMIRYSNKNFFGNMSTRPNFNLQSSVVPPNLSIFNQDLSNLTNSLRDQTVGGFSLKFAAGTRKSDSNITIYALMQCTPDIDGGDCNLCLGWALQVLSQCCYGTEGARVVGPSCSFWYEVFPFYNERVILSRPPPPPSLPPSLVPSPPKPATKGKHGNSTTLIIIGVVGGIALGLLSFCIFSFRRKWRNRRKGEKFQGLEEGDGVKAMQYDFGIISAATNNFSSTNLLGKGGFGAVYKGTLSDGKEIAVKRLSKGSGQGDQEFRNEVLLVAKLQHKSLVRLLGFSLKYEERLLVYEFMSNGSLDKFLFDSAKRSLLDWEQRHKIIESVAKGLLYLHEDSRLKIIHRDLKASNILLDEDMNAKISDFGMAKLFQLHESVAETNRVVGTYGYMAPEYALQGICSDKADVFSYGVLVLEIISGQKNRYVQQGQNVEDLLSIVWKNWIKGTASNIIDSTLKAGSAPIEAIVRCIHIGLLCVQDGVASRPTMASVVFMLHSLSTSLPMPSEPAYFSRSTSIASNNQPQQALEDSKAKRSGQIQPAGGAATNYSLNEASISEFDPR >CDP09140 pep chromosome:AUK_PRJEB4211_v1:1:31969276:31971202:-1 gene:GSCOC_T00028349001 transcript:CDP09140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MPLHTRVTGSPSPRNSVRINKMSATTTKVSNFSDLIQRVTANCLLNPLGSIRHADDASVLHSPDDSDDDNFKAQEDEEQDVDEEDDDRYDVGDRNCGLRNERVIQMEMLIGEVFEAASAMKRAYVSLQEAHCPWDPDKMRVADVAVVAELRRIGVLRERFRRSLGNTGGGRGGWKVGAATLREVVAPYEAALEELKREVKAKDVEIENLREKFKTASSLSGSGSGGKKGSKSKRKVSCSSQVAGLAPSPAPEIFESTMSSVKEAAKSFTAMLLSLMRSAHWDITAAVRSIEAASFSSSASPTTPTPTTFPDSIVGANHAKYALESYVNRKMFQGFDHETFYMDGSLSSLIHPDQHRRDCFTQYRDMKAMDPIELLGILPTCSFGNFCFKKYLAIVHPKMEESLFGDLEQRRLVLAGNHPRSQFYGGFLGLAKAVWLLHLLAFSMDPPPSHFEAAKGADFHPQYMDSVARVPGGGRMGAGVPLVVGFPVSPGFKLGNGSLIKARVYVVPKNGY >CDP16793 pep chromosome:AUK_PRJEB4211_v1:1:3930566:3937666:1 gene:GSCOC_T00019307001 transcript:CDP16793 gene_biotype:protein_coding transcript_biotype:protein_coding MSALCVQLNINSRGGCVAMAVAQQSSSSSSRSNSSTTVQLPEEKVEKKKKNSRVLILGGTGRVGGSTAIALSKLSPDLQIIIAGRNRERGAAMVDKLGDDSEFTAVNIDDSKSLEAALADVDLVLHTAGPFQQAQKCTVLEAAIRTKTAYIDVCDDTSYAYRAKAYMDEAVTAGIPAITTGGIYPGVSNVMAAELVRVAKSESEVKPERLRFYYYTAGSGGAGPTILSTSFLLLGEEVVAYNKGKKINLKPYSGMLNIDFGMGIGKRDVFLLNLPEVRSAYEILGVPTVSARFGTAPFFWNWGMLAMTNLLPAEFLRDRSKVQQLVQAFDPLVRAVDKFSGERVSMRVDLEGSDGYNRIAIFSHRQLSKSVGNATAAFVLAVLEGSTQPGVWFPEEPQGIAVEAREIVLKRASQGTLNFIMNKAPWMIETDPKEIGLGIYV >CDP15622 pep chromosome:AUK_PRJEB4211_v1:1:26909931:26913760:1 gene:GSCOC_T00015542001 transcript:CDP15622 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGFFPTVGILFLFAWGAVAEQGEYILYKDPKQPAFRRINDLMSRMTLEEKIGQMTQLDRVYTTPEILKNYSIGSLLSGGGSVPLPNATPKDWVDMINNFQKGSLSSRLGIPMVYGIDAVHGHNNVYKATIFPHNIGLGSTRDPELVRRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDPQIVKEMTEIIKGLQGEIPPGGRKGVPYVGGPDKVAACAKHFVGDGGTTKGINENNTVTDWHRLMSIHMPGYDASIIKGVSTVMVSYSSYNGLKMHANRNLVTDFLKGRMRFRGFVISDWQGIDKITTPAHANYTLSVLDGISAGIDMIMVPDNHTDFIDSLTYLVKNNYIPVSRIDDAVRRILRVKFTLGLFEKPYADYTYIDQLGSQAHRDLAREAVRKSLVLLKNGNNADEPFIPLSKKARKILVTGTHAHNLGYQCGGWTIAWQGLSGNNHTTGTTILNGIIAAVDHGTEVVYKESIDGSDFVTDNNFDYAIVVVGEPPYAETAGDNANLTIPEPGPSTITNVCSSVKCAVVVVSGRPLVVEPYLSSIDALVAAWLPGTEGQGVADVLFGDYGFTGKTSRTWFKTVEQLPMNYGDEHYDPLFPLGHGLATEPVQS >CDP04055 pep chromosome:AUK_PRJEB4211_v1:1:33173758:33174998:-1 gene:GSCOC_T00016591001 transcript:CDP04055 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRNPSPFKRKLFNPCKKLLHFFKLRLGKPLFIRALQFRRSKYPPRKPRKRVLSIFYCLMPSGDMDRVLELKSFSDAGHSRAPFPSPLTPASVKMSAAIEEVVSGEEEVEDACRSFENHLVDMIIDEGKMRDLMDVEELLHCWNNLKSPVFIDLVCRFYGELCRDLFSNSPQQSAIASKTLLH >CDP03797 pep chromosome:AUK_PRJEB4211_v1:1:35015072:35022487:-1 gene:GSCOC_T00016281001 transcript:CDP03797 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLGGSGGGGGGGVSGDGSTTGSMSTVSKEDNLVLLSSEFSSSSYPDESELELGLGLSLGCGGGAAALKSSKPGSWGVHGGRILTVQDFAPSVVSNASSSSSSSSPTKPNNASCGTKRSADSFSPPRSGVSQVVGWPPVRTYRMNSLVNQTKLPTSEDCNSTIVKSKSKSIVLDKIDGNMSRNKNAKPKVFPKNTLFVKVNMDGVPIGRKVDLNAHNSYEDLAQTLDDMFCRTSTTVSARISYTEENGGMREATESLRLLNGSPEFVLTYEDRDGDWMLVGDVPWEMFISSVKRLRIMRRSEANGLGFQEQNERQRSKPIWT >CDP14612 pep chromosome:AUK_PRJEB4211_v1:1:14603548:14604901:1 gene:GSCOC_T00041188001 transcript:CDP14612 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQNLKKNSKPEGKEAYWPIKKRPFTLSSHCRRRNPHNRQNREEFFFLAAFVYSFFLPKAGMDCIVQVTLTSPKISSLDSSVFSPLNFLPPQPQNNSSSFGSPSLPPSLNFLPPSHTHTKAPS >CDP09139 pep chromosome:AUK_PRJEB4211_v1:1:31965902:31969176:1 gene:GSCOC_T00028348001 transcript:CDP09139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 2 [Source:Projected from Arabidopsis thaliana (AT5G58970) UniProtKB/Swiss-Prot;Acc:Q9ZWG1] MADPFEISFAGIFLSSAFAACFAELCTIPLDTAKVRLQLEKRAAISGEAGGGSSKYKGLLGTVVTIAKEEGLLALWKGIIPGLHRQCLYGGLRIGLYEPVKAFLVGGNAIEDVSLFNKVLAALVTGAIAIAVANPTDLVKVRLQAEGKMPVGVPRRYSGALDAYYTIAKQEGLAALWTGLGPNIARNAIINAAELASYDHVKESILKFPGFSDNVLTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDSIYKSTLDCFFKTLKNEGPFAFYKGFLPNFGRLGLWNAIMFLTLEQVKKLFL >CDP09693 pep chromosome:AUK_PRJEB4211_v1:1:24517973:24521901:1 gene:GSCOC_T00030124001 transcript:CDP09693 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSGVYLLLFLQLLSYMCLSVSDYICLELYPSTCTNYAIAYVQGDKYHGAKATINVWQPYVQNRIEFSLSQIWVVGGGGSNTNTIEAGWTVDPSVFGDNKPRLFTYWTRDHYGSTGCYNMFCPGFVQTSTKIALGTNISPVSTYHGPQFDISLYIVKDEQFAVWWLQLGNDVVGYWPTSLFTNLADSASTIQWGGEVINLKPNRQHSTTQMGSGHFPEEGFKGASYFKNLQVVDDLQMGNLQVLLESFSSGFWASRP >CDP09034 pep chromosome:AUK_PRJEB4211_v1:1:31195527:31200855:-1 gene:GSCOC_T00028208001 transcript:CDP09034 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIISSPSFLGTPLPPLPRHAFLPQRTRLISTTRVKFSLHELPPPIHNSIDFGAIVSRAESLLYTLADAAVAVDPATGGAASDSTTAVAQKSGGWFGFISETMEFVLKILKDGLSAVHVPYAYGFAIILLTVIVKAATFPLTRRQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETARLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQNGSGISWLFPFVDGHPPLGWHDTAAYLVLPILLIVSQYVSMEIMKPPQTDDPAQKNTLLVFKFLPLMIGYFSLSVPSGLTIYWFINNVLSTAQQVWLRKLGGAKPVVSENASGIITAGRAKRSASPPEQTGERFRQLKEEEKKKKLSKAVSTVEVETSVSVSDSGGEPDEEAKSKDNEVLEEAVASSAGKPVPEYSRPRRSKRSKRKRAV >CDP16795 pep chromosome:AUK_PRJEB4211_v1:1:3948493:3952884:-1 gene:GSCOC_T00019309001 transcript:CDP16795 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSPSPPRGYGRRGRSPSPRGRYGGRARDAPTSLLVRNLRHDCRPEDLRRPFGQFGPIKDIYLPRDYYTGEPRGFGFVQYVDPADAGEAKYQMDGHVFQGRQLTVVFAEENRKKPLEMRARERVGRGRHYDRRRSPVRYSRSPQYSRSPPSRYARSGSRSRDYYSPPPKRRQYSRSVSPQERRYSRERSYSRSPARERSPPPYDGPRSRSRTPVRELSPPYNGSRSRSRSPVRGQSRSRSPSRSRSRSADPVDYRRDLDRDGSPYH >CDP09174 pep chromosome:AUK_PRJEB4211_v1:1:32217354:32217501:-1 gene:GSCOC_T00028394001 transcript:CDP09174 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQDPGKFAAAASDDDLVFGLFD >CDP03777 pep chromosome:AUK_PRJEB4211_v1:1:35153110:35155211:1 gene:GSCOC_T00016257001 transcript:CDP03777 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAARSLLSIRSTTKSTCFLLSKRFYSSTVSKPPPLPGFVRPASSTRPNSSPSISHALRFIVPATIRLNPVRCRVNRPGSAYSPLNSGSNFSDRPPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYVQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELYVNGEIVQRSPERQRRVEPVPQRAQDRPRYNDRTRYVRRRENMR >CDP16776 pep chromosome:AUK_PRJEB4211_v1:1:3563332:3563853:1 gene:GSCOC_T00019276001 transcript:CDP16776 gene_biotype:protein_coding transcript_biotype:protein_coding MWASLNPGGTTLFLEEEPKWVDKILKDAPHLRAHVIKYRTKVSEADDLLKEYPNQPECSAQKAFLRGNEWCKLALNMLQEEVYNQDWDLILIDGPIGFFPEAPGRMSAIYSAAVMARNRKGSGATHVFVHNLDRKEEKTYTETFLCNKNRVKIVGKLGHFEIPPVADSNPHFC >CDP09229 pep chromosome:AUK_PRJEB4211_v1:1:32637913:32644592:1 gene:GSCOC_T00028467001 transcript:CDP09229 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETO1-like 2 [Source:Projected from Arabidopsis thaliana (AT5G58550) TAIR;Acc:AT5G58550] MRGLKLKDRSKITQVHAFNPSDTSTGTTTTSSAATTTKVAHQVTPAEVLLPYGLPATDSLEPPIDPFLKSIDFVESLAELYRRVEKGSYFDKSLIYLEQYCLLSGLGDPKLLRRCLQSARQHAVDVHSKVVLSAWLRYERREDELVGTSALDCIGRNLECPKAALAHGYDPNSVFDHCKCFQTANESSEVGISTEEELTISEEDGNVCFCIGDEEVYCSRGKIAALSCPLKAMLCGDFSESEKDRIDFSHVGISRDGMRAVKFFSQYGSLGSSSPNVVLELLCFANRFCCEQMKCACDNYLASLVSDIDEALVLIDYALEERANILVASCLQVLLRELPGYLYNSKVMNVFCSYEGKERLTVVGHASFLLYYFLSEVAMEDNMTSNVTIMLLERLRECATERWQKALALHRLGCVLLDRKEYKDAQSCFEAAAEAGHVYSIAGVARSKFKQGQRFMAYELISSLISTYKPAGWMYQERSLYNLGNKKIADLNDATNLDPTLSFPYKYRAVAKLEENHIEAAISEINRIAGFKVSSDCLELRAWFLIALEDYESAMRDIRALLTLEPNYLMFQGKMRGEHLVELLNQHVQQWSPADCWMQLYDRWSSVDDIGSLAVIQQMLINDPGKSVLRFRQSLLLLRLNCQKAAMRSLRLARNHSGSKYERLVYEGWILYDTGHREEALSKAEESISIQRSFEAFFLKAYALADSTLDPEAASYVVQLLEEALRCPSDGLRKGQALNNLGSIYVDCGKLELAADCYVSALKIKHTRAHQGLARVYHLKNDRRAAYDEMAKLIDKAQNKASAYEKRSEYCDRDLANNDLSMASQFDPLRTYPYRYRAAVLMDDQRENEAVEELTRAIAFKPDLQMLNLRAAFHESMGDFSSALRDCQAALCLDQNHKDTLDLYNRTQTQAIDPHT >CDP16607 pep chromosome:AUK_PRJEB4211_v1:1:6188251:6192453:1 gene:GSCOC_T00019052001 transcript:CDP16607 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSCISLYRDKLDKTLYCHDLTEVETLGRLVKDQILRSSEVENEDFINNIAETRTKEVSHFLGMLSSASVDDVERSKYSEASHRGWKVKQDTEEFRVMYREGPEGTPFHTLLVEGYVDGPLDVCLCIAWEAGLYPKWWPQITVPSFKLISSQCLQKVRIGEQICLVRMKFSWPLSTREAIIHYFEFEYLRDDLVVVLLNSISDLESIDISSHGFTRDGIPDAQDVIRIDVVGGFALQKVSANRSYLRKIANMDVKLDFVPPALINFVSRQILGSGFMLYKKKVASVAKDDEDFAKALKDPLYAHVGQSFYSNGLSTKTPQPAEMNNDTSCLLKEQLEERENVSSSQEMVHNHDSVVNSQAGDSFVQDKKLYGEIEEIKENDSEGSQCLAELDNNSSINLPTNQIDSGFSTDNKKVVIHPEVEQALRILDDVISVFQECRPNHETRILPGSPKEESQYLENNKARGANRICKNTEVRGQPTEKEDPEAIGSYEPRNSSGSHRIRHTGSNTYSRNANHNKIAPASQDFSGPCEIDHAASLSSQNQRMELTLTEKATKDENVFSPDANGVGRDEARRSKNKKIRFCCLSSLSWQYQLEN >CDP06852 pep chromosome:AUK_PRJEB4211_v1:1:27049933:27054627:1 gene:GSCOC_T00023878001 transcript:CDP06852 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMEMGADQKKVHIFLAIILPTLFFNAVLGTTNQDDFKILDDFRNGLDNADLLKWPAKGNDPCGPPAWPHVFCSGGRVTQIQVQNMGLSGTLPQNLNQLAKLQNVGLQKNNFKGKLPSFSGLSDLQFAYLDNNKFDTIPSDFFHGLGSVRVLALDQNPFNASTGWSIPPELQESAQLTNFSCSNCNIAGPLPDFLGKLASLSALKMSYNNLSGQIPPSFGGSNLQILWLNDQDGGGMTGSITVIASMVGLSQVWLHGNQFTGSIPDNIGDLTSLRDLNLNGNHLVGLIPQSLASMSLQSLDLNNNMLMGPIPKFKAANVTCSSNSFCQSNPGELCAPEVNALLEFLHGLNYPANLASGWTGNDPCKEPWWGITCNPQGHVSVINLQKLKLNGTLSPSLANLSSLLEIHLAGNNLHGLVPSNLTQLKNLRLLDITDNNIGSPLPKFGDGVKVMINGNPGLQNKPQGPQRSNLDLVVGVTAGSIICVLLSIALGIYCIKKRKGTKVVTGGIVVHPKDPSQSDGIIKVTVSDGTGHSLTESSPKSKHSAGVENAHIIEDGNVRFSVQILRSGTKNFAEENELGRGGFGAVYKGVLEDGTQIAVKRMEAGTITSKALEEFQAEIEVLSKVRHRHLVSLLGYSIEGNERLLVYEYMNQGALSRHLFRWKNLNLEPLSWTRRLSIALDVARGVEYLHSLAHRSFIHRDLKSANILLDDCFRAKVADFGLVKLAPDREMSVATKLAGTFGYLAPEYAVTGKITTKVDVFSFGVVLMELLTGLVALDEQRSEENRYLAEWFWQIKSDKEKFIASLDPALDMKEDIDNSVFTVAELAGHCTARDPNHRPEMGHAVNVLSPLVETWTPVEDTDEHGGIDYSLPLPQMLKGWQEEETKDFTGSSQDSKGSIPAKPAGFADSFTSTDAR >CDP09069 pep chromosome:AUK_PRJEB4211_v1:1:31482613:31486247:1 gene:GSCOC_T00028258001 transcript:CDP09069 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCSPVKPHVIVSSTAIELTRNSRVGAPSSVRYSARRSVFASPDGLQPLAEGPSCIFVGPIETASKETLEALYCQARDSYYNGTPLIVDDMFDRVELKLRWYGSKYVVKYPRCSLRRQSTYADAEEDPSQAFALASIWLLILGFGGSVFLLPVMYTLGQAYHQDAFHSATSHTSQASMLQFLTILNGMMYMALGSIIGVPIASASVGALQGIWKNDLVALKGVCPNCGEEVFAFVRSDQSKLSRHRSECHVCESFIEFRTRVEQSISRADRRWVYGRVYLIRRRGRRHKWT >CDP09253 pep chromosome:AUK_PRJEB4211_v1:1:32846332:32862058:-1 gene:GSCOC_T00028501001 transcript:CDP09253 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERRVRPIWDAIDSRQFKNALKHCSALLSKYPNSPYALALKALILERMGKAEEALSVCLNAKDQLYTNDSVLIDDLTLSTLQIVFQRLDHLDMATSCYEYACGKFPNSLELMMGLFNCYVREYSFVKQQQIAIKMYKVVGEERFLLWAVCSIQLQVFCGNGGEKLLLLAEGLLKKHIASHSLHEPEALSVYISLLEQQSKFGDALEILAGKLGSLIMIEVDRLRLQGRLLARAGDYSEAADILQKVLEQCPDDWEYFLHYLGCLLDDGSSFFLGNGGNSIYPPKHVGCKNLDLPDEVFNTRISRASVFVEKLMAECDNASIRSPHLANLEIQKRKLIYGKGDPGKLVEGLMQYFSRFGHLACFTSDVEAFLLFLDDPNKSDVLEKLKGCELTSSSQTKALGQAITIFKVENLIGNMFSLPVCELEGLAVRMADMYCKNLPLSKDLDLQENMHGEELLSMACNVLVQLFWRTRNVGYLLESIMVLEFGLTIRRYTWQYKILLLHLYSYWNCLPVAYDWYKSLDVKNILLETVSHHILPQMLASPLWSDLNDLLRDYLKFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQRSSQYLVAKIEAPILQLKQNANSIQEEECILESLKNGNHFVELSNELGNKLLTFNEDLQLRPWWTPTFDKNYLLGPFEGVSYYPRENLIKQTEENVLKNIEKRSLLPRMIYLSIHCASSSLKEHTEANGSAFDPKLSLELKVLLERYARILGFPFQDAIELVLGVSSGQKPSEALSSDLIGWINFVVFLNAWNLNSREIGFSNNDKPRPSTWHLVNSLLKKYIIEKMRSTGPLLSSPGGDLPMLVQLVTEPLSWHSLIIQSSVRSSLPSGKKKKKGGVTEQTNSHHSHEIQDSIQSLCDAMKEVTGWLSEQINKLDDVKFETIFSLLQVNGEDQGPGRILHMFETLISSVDNTALGDRISQALQSWDAAEVVRKVGAGQSSVLSHVVKPWSSGFLLGFLAILAAVASHPNGEIHYHEFVVQAKSVTRLCSTHSIITVNGQFPGPTLAVRNGDTLVIRVLNRAPYNVTLHWHGVRQMRTPWADGPEYVTQCPIQPGGTYTYRFTIENQEGTLWWHAHSRWLRATVYGALVIFPKQGFAYPFAKPKLDVPIILAGEWWKRDIISVMRQALFTGAAPNVSDAYTINGQPGDLYRCSNRGTFKVYVNSGDTVLLRVINAALNQQLFFTIANHQLTVVGADASYLKPFSTRVIMLGPGQTTDVLLNANQRAGRYYMAARAYATAQGAPFDNTTTTAILEYRNSRTGSFSRPILPQLPAFNDTATTTAFTSQLRSIPSNYKVPTQIDDSLFFTVGLGFVNCNPGPRCQGPNNTRFAASMNNVSFVLPNRTSLLQAYYQNIPGIYTLDFPPVPPVQFNYTGTVPRSQWQPVFGTKLYKLKFGANVQIVLQDTGIFSTEDHPIHLHGYHFFVVGQGFGNFNPQTDTGNFNLVDPPSRNTIDVPVGGWAVIRFVADNPAGVWLFHCHIDSHLTWGLAMSFIVENGRGELQTVEPPPADLPRC >CDP08502 pep chromosome:AUK_PRJEB4211_v1:1:22541281:22552031:-1 gene:GSCOC_T00027432001 transcript:CDP08502 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCSYNSVVATYDYRFPTFSSSLSSFRSNSAFLPCSFLKKLRPSRRKYFLKINATATLDSGNGAVSVAKDAPEQQQSSTDEDSYGRRYFPLAAVVGQDAIKTALLLGAIDRGIGGIAISGRRGTAKTVMARGLHAILPPIEVVVGSIANADPACLEEWEDGFSDKVEYDPAGNIKTQIIRSPFVQIPLGVTEDRLVGSVDVEESMKSGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTESVNIVEREGISFRHPCKPLLIATYNPEEGAVREHLLDRIAINLSADLPMNFEDRVAAVEIATKFQEHSNEVFKMVEEEMEFVKTQIILAREYLKDVTMSREQLKYLVMEALRGGCQGHRGELYAARVAKCLAALEGRDKVNVDDLKKAVELVILPRSIINESPADQQNQQPPPPPPPQNQDSAEEQNEEEDKEDENDEENEQQEEQIPEEFIFDAEGGLVDEKLLLFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMIPKGPVRRLAVDATLRAAAPYQKLRRERNIQKSKRVFVEKSDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVCIIPFRGDAAEVLLPPSRSIAMARKRLERLPCGGGSPLAHGLTTAVRVGMNAEKSGDVGRIMIVAITDGRANISLKRSTDPEGASADAPRPSTQDLKDEILEVAGKIYKSGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISATTKDALTVLKSS >CDP03917 pep chromosome:AUK_PRJEB4211_v1:1:34175830:34176954:1 gene:GSCOC_T00016422001 transcript:CDP03917 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQISSLLSQLKPHFVLFDFAQEWLPPLASQLGIKTVFYSVFVALSTSYLTIPARLPEAEPTRPPAIEDLRKPPPGFPETSIKSMKTFEARDFLYMFKSFHGGASVYDRVLRGLNGCDIILAKTCREMEDPYVDHVTQQFKKPVLLVGPVVPEPRSEPLEGRWASWLGQFEPKSVIYCSFGSKTFLSDEQVKELLLGLDLTGLPFFVVLNFPANTDISAELKRALPEGFLEKVKHKAVIHAGWVQQQQILAHQSVGCYVFHAGFSSVVEAIVNDCQLVMLPVRGDQLLNAKLVSGDLKAGVEVNRRDEDGYFGKDDIKDAVGRVMADIDKEPAKSISGNHKKWKEFLQNSEIQTKFVSDLVKEMEAVAGLRTV >CDP16600 pep chromosome:AUK_PRJEB4211_v1:1:6759622:6762445:1 gene:GSCOC_T00019030001 transcript:CDP16600 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGFKLLAILGFVGYAIYWLYGMLDLYWFRPKKLEKCLREQGLKGNPYRLLRGDLYESAKLLREAHSKPIQLGDNIVKRTMPEVYNSVQSHGKNSFMWIGRFPKITVTDPALAKDALVRHATFHKSFHDLDPLIHILFGGMGAVEGEEWAKYRKITNPAFTLEKLKSMLPLFQSSCIDAVNKWASVIPEGGAAEVDVWPGVESISATSISTSLFGIGGEEGKKIVRLLKELANLTWEKVNSVYFPGKRFLPTKSNLRMRALDRELRVKITDIINRKLKAMQAGESGGADFVGLLLESNLNEIKLQGNQKSAGLSIEDIYAVCKLFYWAGQDTSSTLVLWTLVLLSKHTEWQDRAREEVLQVFGDKMPDYDGINHLKIVSMILNEVLRLYPPLAELSKVASEDTQLGKYLIPAGVQVMMPQILLHYDPELWGDDVLEFKPERFSEGIMKATKIQGTYFPFSFGPRMCIGNNFALYSIKMAIAIILRTLSSELSPSYVHAPIRRVTVQPQYGAHLILRRLKNHN >CDP07123 pep chromosome:AUK_PRJEB4211_v1:1:29939801:29940949:-1 gene:GSCOC_T00024243001 transcript:CDP07123 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLNSDCLVGGIKGGEVAVRSGVGDDDQGNFGSNDSFLPGLHDDVALTCLAWACRSDYSSLSCLNARFNKLIKSGDLYELRRQLGVVEHWVYLVCDPRGWEAFDPFKNRWMRLPKIPCDDCFNYADKESLAVGSELLVFGRELFEFAIWKYSLVHRDWVKCEGMNHPRCLFGSSSLGSIAIVAGGSDKNGNILKSAELYNSATGRWEMLPNMHCPRRLCSGFFMDGKFYVIGGMSSPTDSLTCGEEFDLKTRKWKEIEGMYPTVNRAAQAPPLVAVVNNQLYAVEYLTNMVKKYDKEKNSWDVLGRLPVRADSSNGWGLAFKACGKELLVVGGQRGPEGEAIVLNSWSPTSGAKNGTLDWKVLGVKEHAGVFVYNCAVMGC >CDP08951 pep chromosome:AUK_PRJEB4211_v1:1:30456435:30457311:1 gene:GSCOC_T00028094001 transcript:CDP08951 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSQQGIQKPIDEEMPRLWLHVKVEREVLLEYCDFRLFSCTTKEF >CDP17126 pep chromosome:AUK_PRJEB4211_v1:1:37329106:37330703:1 gene:GSCOC_T00005049001 transcript:CDP17126 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVAGAAGAKGGKKKGATFVIDCGKPVEDKIMEIASLEKFLQERIKVGGKAGALGDSVTVSRDKSKITVTSDSAFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAEGEGEEED >CDP13121 pep chromosome:AUK_PRJEB4211_v1:1:2093514:2095187:-1 gene:GSCOC_T00037925001 transcript:CDP13121 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKDFRTRKILEDLLLQHVCRAKDDMAPFSDSDLAQKLYQCLQSKRFLIVLDDVCFTDAWECLRIALLSQEPTASRVLLTTRDVGVADKIASLSADDKGFIHRMRFLNPDEG >CDP06890 pep chromosome:AUK_PRJEB4211_v1:1:27508308:27509684:-1 gene:GSCOC_T00023928001 transcript:CDP06890 gene_biotype:protein_coding transcript_biotype:protein_coding MPKETIPGFRFYPTEDELVSFYLHYKLEEKRVEELNRVIPVINIYESEPWQLPELSGELCSGDAEQWFFFVPRQEREARGGRPSRTTASGYWKATGSPSHVYSSDNRVIGVKKSLVFYKGKAPMGRKTKWKMNEYRAIKEELSTSSTHVIPKLRYEVSLCRVYIVSGSFRAFDRRPVGAGTSREAVVRTINEADDKDVRSQTQRGATMDRKNSVEISASPEEVSVDRVDGEGKHLEMDGELRRMWEWDQFNDHMQLP >CDP03681 pep chromosome:AUK_PRJEB4211_v1:1:35932086:35937795:1 gene:GSCOC_T00016126001 transcript:CDP03681 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMVSGVEKLIRVAPAALGFSGSDLHGKHFPKLGLVSCAGNGVNIRARSKIPVLRCSLAESRPPSQPRFIQHKKEAYWFYRFLSIVYDHVINPGHWTEDMRDEALEPAELTSRNMIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKDCKIIEGDAEDLPFRTDYADRYISAGSIEYWPDPQRGIREAYRVLKLGGKACIIGPVHPTFWLSRFFADMWMLFPKEEEYIEWFQKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQIGPKADDVSKPANPLVFLLRFVLGAMAATYFVLVPIYMWLKDQIVPKGQPI >CDP17669 pep chromosome:AUK_PRJEB4211_v1:1:5338412:5349659:-1 gene:GSCOC_T00013210001 transcript:CDP17669 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKINIREKKRRKLKQQIYPLRLSHLTSLSFSLTYSRTPQFSVLNCRASSLHCQLKVLTEKQVEKRLECATLVTVFSYQEEGNEHIVFLNNQQFDTMPSMKKNNEEGVIEGIFNKLLKGIGDPMDFELPEWLNRWKPTAYKFIKRNIYLTKRNKRRLEDDGIFCSCSSSTGSSGVCDRDCLCSMLQSSCSSGCKCGNSCLNKPFHQRPAKKMKIVQTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEDRLWKMKHSGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWRIDGETRIGIFATRDIRKGEHLTYDYQFVQFGADQNCYCGAAGCRQKLGVKPNKPKTPSSDAALEIVAGQLAVTSPKVKAFLSTNDVYANGVSSAGVKCDSVVRKFARSCIGEVVRISHSLDKRSFGIVKQFDKSTRKHLIMFEDGTLDFIDMSKVEWELL >CDP17517 pep chromosome:AUK_PRJEB4211_v1:1:19737175:19740882:-1 gene:GSCOC_T00011461001 transcript:CDP17517 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAVSATIQVALKVVVSLAAEHVNLARDFPKELERLNESAEMIRGFLAGADEEMHSPVVQNWLKQLEEEVFKADNVLDELNYENLRRKVKYQDQPMKKKVLCCFSFFNKIGFPWRLASMIREINTNLERIHQNAEPATSRQTDSTIVRSDVLGRDKDESEIVNKLLAKSESVISVISITGMGGLGKTTLAKAVYKNEQIVGHFDKKIWVCVAEEVDKIEKVFKMILESLTGEKVEGDRREVTVQKIQDELKEKRYFLVLDDVWNDQELLLTDLFRTLAGLNAKKGSWCLVTTRLQEVATILSRHPQIKFTRHELGKLCDDDCWSIMKKWATVGEEVPEDIREQVLRRCDGLPLATRLIGGLLSKKNKEEWQSILEESLLNGNQGGVEQIVKVSFDHLSPAPVKKCFAYCSIFDQDTKLEQDLLVELWMAEGFLQPDSQNERMMEQIGYEYLRILLQTSLLEEVKEERRTWYKMHDLVHDFAKSILNRNSNNQDRYLAVYSSERINEKASASLRTLFLEGGMADDMLSKFKYLHVLKLFGEDVKELPTSIGKLIHLHLLDISDSMITTLPESLCKLYRLQTLRIGKLEEGFPEKMSNLISMRHLHYYYHAAREIQMPSSIGRWTCLQTLEFFNIGRQEEGHGIQELGTLQDLKGSLELRNLELVNGKDDAELANLSRKPNLYRLIFEWGNRDQESDQCDEDVLEALQPHPNLKELKILNFMGDQFPQWFMNLTSLVELRVAYCTRCRELPTLGQLTSLQRLYLTGLENTTCIGLSFYGNTSRQTFFPALKILSLESMKSLEEWKDAHEAMDVFPVLEKLYIRDCPQLTTIPTPSSFPNLDVLEIRENCNVLLVEEVLSSTTNLSIKGCDSLSTDMLERLCLFPSLQSVELWYCPNITTLRGMSCAACLKRLVVDGCENLRELPEDLYQFQALEHLKILRCPRINSFGLNPNKGQKSLLKSLEEFGISECNGLTRLPGEMFESCTSLRELDLRFCPNLVSFPLDLRRTPSLETFWLTWCPNLNTEMPNFSVMEFDWAGLASSSTLQHVHLGGMPGTKSLPHQLQDLTNITSLDLQYFGAIEALPDWLGNLASLEKLTLFRCEKLEYLPSMAAMDRLKLRRLEIHYCRLLARRCTPQSGSEWPKISNIPQRDIRYQPSF >CDP09118 pep chromosome:AUK_PRJEB4211_v1:1:31812539:31820971:1 gene:GSCOC_T00028318001 transcript:CDP09118 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIIFLALSLLLQGALAGELICDELPVGMCAFSISSSGKRCSLETSDEPGVFQCKTSEILVHKIREHIETDECITACGADRNVTGISSDFLFDPIFTAKLCSNRCLNNCPNMVDLYSNLALAEGELICDELPVGMCAFSISSSGKRCSLETSDEPGVFQCKTSEILVHKIREHIETDECITACGADRNVTGISSDFLFDPIFTAKLCSNRCLNNCPNMVDLYSNLALAEGKLLSKRCKAQETHGTPRRAMSEFQSSGIAFAPLSAPSASPVPVFAPVSAPSASPVPAFAPVSAPSASPVPVFAPVSAPAAGPVTAGAAAVATPPALSPMGITAAVISALLLLHQFCSF >CDP08466 pep chromosome:AUK_PRJEB4211_v1:1:21528212:21531680:-1 gene:GSCOC_T00027374001 transcript:CDP08466 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRSMARQLTQKQLIPQDENLNFLRKGSVKGKSTGFKLEKKKIGTGFGNRKALGDITNKSFVHHEASLKRKSTPKEEPNMSEEMFLHDHKKCIESQKAAMRASFWDTLLPGCVPHETRKIKSTKSEIDTDSECSLPEPVEIPMSDYSDLFQSSTFPSSPSQIWLDSPPWSPSEWDFGPVEFKLKEDSSDP >CDP04078 pep chromosome:AUK_PRJEB4211_v1:1:32983112:32983459:-1 gene:GSCOC_T00016619001 transcript:CDP04078 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTGKDSSFVFKPLSIEAFGSLVLVEGFDQKNSSVSWVHAWTVSQGRMITQVREYFNTHVTVTLLGSCTTKSSNESEQPQGASSQANPLKYCQSIWQSKLVDNSVVPGLVLAL >CDP17062 pep chromosome:AUK_PRJEB4211_v1:1:37823011:37828165:-1 gene:GSCOC_T00004972001 transcript:CDP17062 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGGSRFDGLGIAVRKKRSQTSRRPRPEPHLFCENHDPSSLSSIPISDDTGKVSSDDNAGDADSRGKIFNLNQCMSRGPSTSKDDVDNMYKKIKEDEGGSDALHTIGGVGDGTDYGQAVARGCLGLSGDGIGNENKLKKVKLKVGGVTRTIQAKSTPNGILNNGISAKTARSLDPRARQRLILQDGADHPPLDQKSGLPGVPSKDFPRGRLSLGKMVAKNGIEKPGEKPDPVRKSRRVPKKRVLDGAFDEDEDDDELRYLEKIRIPKVAGHRDFDAESTKKQRNLLRVSKGEKYEILDEVGNSSKDGKRSRSDRGSEDTDYEEEMLSDVEPEAKKRKQRKDSFDSPPESKREITLTTRQRALLSSKDTSTTSGASQIEFPNGLPPPPPRKQKEKLTEVEQQVKKAEAAQRRRMQNEKAARESEAEAIRKILGQDSSRKKREDKMKKRQEELAQEKAANAQMLASNTIRWSMGPAGTVITFPHEMGLPKIFDPKPSRYPPPREKCAGPSCTNAYKYRDSRTKLPLCSLQCYKAINTEIQAKTACQN >CDP09260 pep chromosome:AUK_PRJEB4211_v1:1:32912453:32913903:1 gene:GSCOC_T00028513001 transcript:CDP09260 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSIFLTVRPSVAQPKVLFSPFLPPNPLRLSTTPAYHQSRRRRRYRIPTIRSASIPTSLSASSSSDQPIKAIEPDVFGGKKELTGFQALVDAMSPTVRIASSALIFAGAIAAGYGLGSKFGGSRNVAMGGAVALGTAGAGVAYALNSCVPEVAAVNLHNYVADFDDPAALNKEDVEAIANRYGISKQNEAFNAELCDIYCR >CDP03661 pep chromosome:AUK_PRJEB4211_v1:1:36116121:36119974:1 gene:GSCOC_T00016097001 transcript:CDP03661 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVDDAADNPGSSSSSLISDFLSKSGGVAVIDGGLATELERHGADLNDPLWSAKCLHSSPHLIRSVHLDYLEAGADVIITASYQATIQGFKARGFSQEESEVLLKRSVEIACEARSIYYDRCHKNSTEYPADGKVLKHRLILVAASVGSYGAYLADGSEYSGDYGDAMSLEFLKNFHRKRVQLLADSGPDLIAFETVPNKLEAQAFAELLEEEDINIPAWLSFNSKDGINVVSGDSLSECAAIAESCKKVVAVGINCTPPRFIQDLILSIKKVTAKPILIYPNSGESYDADRKEWVQNTGVSDEDFVSYVNKWCEVGASLVGGCCRTTPSTINEIYRTLRYPTH >CDP06976 pep chromosome:AUK_PRJEB4211_v1:1:28393156:28398390:-1 gene:GSCOC_T00024042001 transcript:CDP06976 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDDSFDVCFSSPLIRSKRTAEIIWNVRNEEMITDADLREIDLYSFQGLLKHEGKAKYGSAYRQWQVDAPNFNIDGHYPVRELWERARSCWTRILTHDSRSILVVAHNAVNQALVATAIGLGTEYFRVLLQSNCGVSVLDFTPKPEGGSPNIFLNRLNQTPNSPIAAGNSGGRKTGMRIILVCHGISQNDPEDNFPHSGDGPLNMLGVIQAQKTAELLLDLKLKTIVSSTKIASAETANTICRVQEAADCLGADCVPRYVEMKQIQDLDVGQILKKSKQDAVGSQPLQPGWLNGYESEIATSLWGQSAKAWRYLLDELSKGSEQENVMVAVGHPALHIAMLGHCLNLTEEWMGTFHLDAGSISVVDFPDGPTGRGIVRCINYTAHLGRWSIPITRSTSDDEEF >CDP09234 pep chromosome:AUK_PRJEB4211_v1:1:32686569:32689909:-1 gene:GSCOC_T00028474001 transcript:CDP09234 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSSRASTDEDMVEQVVLMKTHHRSEASQETANSATTTGDGIKESSAMQKRLQRLSRNVSEAIASLKNSLNLDSARQPAFSAQSGGPESCRKQIWANVVRSLTQVYPCSQLPQKLVNNIRKHYDSLPISYAQAGFEMKDVFLHMKLIEQAIKEDQPAITIQEVSVNEVSGSVVFKLIFASKSSISWPAMSGALDNASICCKKVQIFERKDFTLGIVILLVHSGLQKLFKMRIENALKLSLKKAKGTTMKLPFRLCGSQKENTGCREFGEMDEDNREQDHRNGIESLSPEIQLKMPLPNSSIMVSVDEWQTLRAGGNEIGKWLLNTDGLEFTDQIGPNSFKGVYKGKRVGVEKLKGCDKGTAADFELREDLLELMTCGHKKILQFYGVCIHENHGLCVVTRLMEGGSVHDLILKKKRLQIKELIRIAADVGEAIKFMNDHGVAYRDLNTQRILIDKQGNACLGDMGMVTVCRSGGEPMEYETDGYRWLAPEIIAGDPECVTETWKSNVYSFGMVIWEMVSGEMAYSAYSPVQAAVGIAACGLRPDIPRDCPPILRTLMTKCWNNCPSKRPHFSEILSTLIHASC >CDP03608 pep chromosome:AUK_PRJEB4211_v1:1:36450114:36453716:-1 gene:GSCOC_T00016027001 transcript:CDP03608 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQILQREEDLLLWQSLFDDPKGENEKCRGTDIEKKIEFLESLTGKVSNRRSRRWLNDRLLMELVPCLNAEEIRGLFAPPPWGDDVPLSPFSMTNIGEWDKFRTVDMDKETMMIEALKGSGMKQKSHGDADKVAVLTAWHRVDCRTREAFRRSFLPELISGYEECIRDFVKETGDGGVLELNVQDPFHRLLLHGVCEFYNLVSVTVTQSKGTETLKMTRIRKKKAGDFELPNITLCNFLKMAKEGIW >CDP03959 pep chromosome:AUK_PRJEB4211_v1:1:33903759:33904076:-1 gene:GSCOC_T00016473001 transcript:CDP03959 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIPKTKHTHTSTNRDKYERRGELGGRGNLIICPRFFFSQKKKKER >CDP11060 pep chromosome:AUK_PRJEB4211_v1:1:9016080:9021232:-1 gene:GSCOC_T00033057001 transcript:CDP11060 gene_biotype:protein_coding transcript_biotype:protein_coding MHLATTNGWMTLLVLSSTPRLWSPTSRGNTATAATTQMFNHLNMMNSLCRRQNPKWCGTTSTRTTLWVESSLWPSRLHWVGPYTPLIPLADHMIVSHAIMILMAQSTMITRGFKSTFLMLVSLQHLICFMASHRPKGYPRWFAYMEYHF >CDP17077 pep chromosome:AUK_PRJEB4211_v1:1:37704570:37715270:-1 gene:GSCOC_T00004989001 transcript:CDP17077 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH6 [Source:Projected from Arabidopsis thaliana (AT4G02070) UniProtKB/Swiss-Prot;Acc:O04716] MAPSGRRTSNGRSPLVNQQQQITSFFSKKTSSSSSPSPTAPPLFSTPKLTTKKPSPTTDCSPSSSPFTPSPLETRPKKPLLVIRPSSPSASASPMKSYGPEVVDKRIRVYWPLDQSWYHGCVKHFDEISGKHLVLYDDADEELLNLAEEKIEWPVEEVPVRGRFRRLRRISIVEDDEENDCVEKESGGNDDEESGWNAAEREVVEDVPVGMELEEDYDGVCSGKITSGRSSKRKMGGAAKLGANSSKKIKNVGDTEQIDSKISCHVKGENLIEPAGNNVISEKGIDSCRTSIDVAEERFGAREAGKLWFLGKDRRDANRRRPGHVDYDPKTLYLPPEFLKRLSDGQRQWWDFKSKHMDKVMFFKMGKFYELFEMDAHVGAKELDLQYMKGDQPHCGFPEKNFSMNVEKLARKGYRVLVVEQTETPEQLEMRRREMGSKDKVVKREICAVVTKGTLTEGEMLSANPDAAYLMSLIENFPSSGNQLAQPIFGVCVVDVATSKIMLGQFRDDSDCSILCCLLSELRPVEIVKPAKLLSPETERLLLRHTRNPLINELLPLSEFWDGEKTINEVNCIFQRINNQTCSLSQSGAVSHAIQSSVKDGGECLPDILAELLAAGENGSYALSALGGILFYLKKAFLDESLLRFAKFESLPCSGLGNISQMPYMVLDAAALENLEIFENSRNGDSFGTLYAQMNHCVTAFGKRLLKKWLARPLCHVELIHERQDAVAGLKGVNLPFILEFRKELSRLQDVERLLARIFASSEAIGRNAKKVILYEDAAKKQLQEFISALRGCELIYHACSSLASILENVDSRLLHHLLTPGKGLPDVRSVMKHFKDAFDWVEANNSGRIIPRKGADKEYDDACKNVREVESNLMEHLKEQRRLLGDASVNYVTVGKDAYLLEVPESLCRRTPRDYELQSSKKGFFRYWTPVIKKLLGELSQAESEKESKLKSIFQRLVGRFSAHHNMWRQLVSTAAELDVLISISIACDYYEGQACRPIITGSSSPDAVPCLTAKSLGHPTLRSDSLGKGGFVPNDVTLGGSEHAGFILLTGPNMGGKSTLLRQVCLAVILAQVGADVPAQSFVMSPVDRIFVRMGARDHIMAGQSTFLAELLETASMLSLATRNSIVALDELGRGTSTSDGQAIAESVLDHFAHKVHCRGMFSTHYHRLAIDYERDPKVSLFHMACQVGRGIEGLEDVTFLYRLTPGACPKSYGVNVARLAGLPDAVLQKATLKSRDFEETYGRIKGPKDIFSTHQKEELKNVMKNLSTIVANNSCHQSAVSTLAELQGKARLLLERS >CDP11093 pep chromosome:AUK_PRJEB4211_v1:1:7731821:7732431:1 gene:GSCOC_T00033120001 transcript:CDP11093 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQHLNATSLPQPQHDDDDAAAAISTPSTRQAIKALTSQIKDIGIKASGTYKNCKPCSGSSNHHNNRGDDYADSEPGSVSGCYHFSYARAAAGSSNSTPRRPWGREMESRLKVLSSSKTTPASVSSRTESVVFMEEDEPKEWVAQVKPGVLITN >CDP09224 pep chromosome:AUK_PRJEB4211_v1:1:32597920:32600056:-1 gene:GSCOC_T00028460001 transcript:CDP09224 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNEDNLSSHSQLSSQFFGELLDSIIVDVASECHRIARLGLDRSLDDEEEELRLSAQARARVADPSSSGETTSKYVLDIFGQTHPPVANEIFDCMNCGRSIMAGRFAPHLEKCMGKGRKARLKATRSSTAAQNRYSRGNPLSNHSPSSNSNSMNRLSNGTSHVAGYEYSNGTIEEP >CDP03607 pep chromosome:AUK_PRJEB4211_v1:1:36455856:36459409:1 gene:GSCOC_T00016026001 transcript:CDP03607 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESNCNAFPFTATTLAFGGRGSSSSNNHNVYYMQEEADHSHYLNFAAAAAASNDTTPHHAPTTLPSWLCCQPTPQNYVHFLAENGASQVHNEILPFNNSPGSSGFTTPEASFDMVGYKRPHTEGVPPSNLTVLGFQIPSQNSNESYGTAVTGSPSTVPSSTSRQKAATADRRRRLRISEGVEALQELLPHPREGGKASVLDDIIDHIKYLQFQVKELSQSRLGGESSSTPFILLEGYGHFLLRDQMQNEPLEEMMGRLLEVNPLAATQLLESGGLIVMPMSLAEGLRPQN >CDP19121 pep chromosome:AUK_PRJEB4211_v1:1:25593744:25596198:1 gene:GSCOC_T00009374001 transcript:CDP19121 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLPLTIGSIALFPPLIIKIISIKNTITHLHLDKKRDITEMAKTTKTPTISAAFILAAAIVLVAFPIKTSALSPAAAPGSSGGVAAAPGPFSGAPGPALDCFSYLLNLSDCLTYVGAGSTQTKPDKACCPELAHLVETQPVCLCELLAHPERSPSPIDVPKAKGLPAICKVDASPSLCSVLGVPVGAPAPAPAPASASASVGGPLGSSPGMPPYCL >CDP03529 pep chromosome:AUK_PRJEB4211_v1:1:37058665:37061238:-1 gene:GSCOC_T00015929001 transcript:CDP03529 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKEPKLIFSSTKLLAIRALMTLHLGMIRFSKLYRRTVGNRTTAAGCHFIWLTRKSMVLMIFCSEQSIHSGCDRKTNKISSSETPHLR >CDP07003 pep chromosome:AUK_PRJEB4211_v1:1:28701478:28710866:1 gene:GSCOC_T00024082001 transcript:CDP07003 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRS2-11 [Source:Projected from Arabidopsis thaliana (AT5G22830) UniProtKB/TrEMBL;Acc:A0A178ULQ2] MASLQFLQLPVHSSQFNDLLTGYSLLRSRLEASDSKNANYGEALRPVPVRVKCFSNSTEEKEAEVVSNEGNDENNVGNQLDLARQDLPNGSANSQRIVTSTGDSLSLGIREPVYEVVEVKLNGMVSTRKINRRHLLKSSGLRPRDVRSVDPSLWLTNTMPSLLVREHAILLNLGSLRAIVMQESVLIFNYNRKGGKAFIDALLPRLNPENMSGGPSMPFVLEVVEAALHSRIQRLEHRLMDLEPRVQSLLEVLPNRLTADMLEQLRISKHTLVELGSRAGALKQMLLDILEDTPEIRRICIMGRNCTLNKENSEMECSVPLEKQIAEEEEEEIEMLLENYLQRCESCDGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGAFCVAVGALVAGIFGMNLKSYLEEHVFAFWLTTAGIIFGAIVGFFLTYWYLRARKIL >CDP09299 pep chromosome:AUK_PRJEB4211_v1:1:19307281:19308296:-1 gene:GSCOC_T00028606001 transcript:CDP09299 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSIIEYFCPLFQGCDGSILLDSTKNNTAEKDTIPNLSLVGLEKNNVKRIVSCADILALAARDAVSFLAARHAFKKSLWEAPTGRKDGNVSRDTEALANLPSPFSVFSTLRQSFANKGLNVRDLVVLSGGHTIGIGLCNLFSNRLCNFIGRGDQDPSSNSTYAVFLKAKCSRKRSRFCSPLLC >CDP17097 pep chromosome:AUK_PRJEB4211_v1:1:37516806:37523306:-1 gene:GSCOC_T00005016001 transcript:CDP17097 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPEGGGGAALAFSVASVVEDVLQQHGNRSRDLDLDARRAEEAAIRRYEAAAWLRKIIGVVGAKDLPAEPSEDEFRLGLRSGIILCNVLNKLQPGAVPKVVESPCDAALIPDGAALSAYQYFENVRNFLVAVQELGIPSFEASDLEQGGKSSRVVNCVLALKSYAEWKQAGSIGVWRFGGNVKQVTSAKQFGRKNPEPFTSSLSRTASLNEKSVNCASTENESNKERNSSLSMLVRAVLLDKKPEEVPNLVESVLNKVVEEFEQRIASQIQLKATLKDSTICHANKPFLNNASGNVKVGNKNATLVKKDNCFQKSYNPDKQLKVCMKQQMIVDQQERDIKELKQTLSTTKAGMQFMQSKFHEEIQNLGLHIHGLAHAASGYHRVLEENRRLYNQVQDLKGSIRVYCRVRPFLPRQNNHISTVDHIEEGTITINTPAKHGKGRRSFNFNKVFGPSATQEEVFSDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKDLTEENQGVNYRALGDLFLLAEQRRDTFYYDVSVQMIEIYNEQVRDLLVTDGINKRYPLYNIRVLTGLNVPEASLVHVTSTYDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRDLTAGNILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISSLAQKNVHIPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAIGETISTLKFAERVATVELGAARVNRDSADVKDLKEQIASLKAALAQKEGDTEMKQLKISSSPYAMRPQERDMSTNYNSQRKPMGDVGNIEVCSNSALRQKKQSFDLDELLGNSPPWPPVTDSRVDHMEDDKEMGSGEWVDKVMVNKQDPIKGADSPLECWEENGTNDFYQKYLSNSSGLYSDKAYKLLQGNGRLEVAATDDLDELDAATSDSSEPDLLWQLNHSRLNSFTSESGTRIQKQNPRQANNSNLRSLVPKLGPSPSRKMSNGLSHPPLQNGRQAGAREVKRKNGTRK >CDP06977 pep chromosome:AUK_PRJEB4211_v1:1:28408767:28416473:1 gene:GSCOC_T00024043001 transcript:CDP06977 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleobase-ascorbate transporter 12 [Source:Projected from Arabidopsis thaliana (AT2G27810) TAIR;Acc:AT2G27810] MKKQILAPNGQAASGGETAQGTGAGSRRATRNEEVVDMLPQTVVEDDGFISKQSHMKYELRDSPGLVPIGLYGFQHYLSMLGSLILIPLVIVPAMGGNYEDTANVVSTVLFISGLTTLLHTAFGSRLPLIQGPSFVYLAPALAIINSPEFLRLNGNNFKHIMKELQGAIIIGSAFQTILGYSGLMSLLLRFINPVVVAPTIAAVGLSFYSYGFPRVGTCLEIGAVQILLVVIFSLYLRKISVLGHRIFLIYAVPLGLAITWALAFLLTEAGVYSYKGCDANIPASNIISDHCRKHISRVKHCRVDTSHALNSSPWFRFPYPLQWGTPVFHWKMALVMCVVSIITSVDSVGSYHASSLLVASRPPTPGVLSRGIGLEGLTSLLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVQLGAGVLIVLSLIGKVGGFIASIPEVMVAALLCFMWAMLTALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGISPNTNLPVPSYLLPYAVASHGPIRTGYGGLNYFLNTLLSLPMVIAFLVAVILDNTVPGSRQERGVYVWSEAETARREPAVAKDYELPFRVGRVFRWVKWVGL >CDP03669 pep chromosome:AUK_PRJEB4211_v1:1:36059374:36063147:-1 gene:GSCOC_T00016106001 transcript:CDP03669 gene_biotype:protein_coding transcript_biotype:protein_coding MCHFIASRDTKLMGRRNHDSETPFFLAALHGRKDAFLCLHSFCGSDQGYSFCRRNDGETILHCAIAGEYFELAFQIIHLYEDLVSCVNEQGLSPLHLLATKPSAFKTGSSIRGYYKIIYHFIIIDKLEPRTHYRQPLFPELKYSENAKYPENYQSCANFGWLIGRAFQLLVLGRGKNDGGQQADAEDPDGKHSEQKALHQRQRAEGRPAFPAIYVTCFDAIKFMSKAMLIIFGLGSMEIVKLQNRKQKHVWSVQVLDELLKRTSRHEYEDNGQNPADAQLLKDDGTKPYSLMDGALIPPPPAEGGNGQDTETGKRETPILIAAKNGVVEMVYKILQLFPVAVHDMNSDKKNVVLLAVENRQPYVYRLLLDMKIMRDSIFRKVDKDGNTALHLAARLGEYRPWLIPGAALQMQWEIKWYEYVKKSMPQHFFARVNKEGRIPKDVFTETHQELVKSGGQWLTNTSQSCSVVAALIATVAFATSTTVPGGVKQDIGTPTLENEPAFDCFAISSLVALCFSVTSLVMFLAILTSRYQEKDFGTSLPSKLLLGLTSLFVSIASILISFCSGHFFVLKDKLKFAAFPVYAVTCLPVTLFAIAQFPLYFDLIWATIHKVPQRSYRAIPS >CDP03952 pep chromosome:AUK_PRJEB4211_v1:1:33931898:33932194:-1 gene:GSCOC_T00016466001 transcript:CDP03952 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRGGITISLFSNSTAVVGWCRTRRGQRRRKGSSIRLGSKRRGFCLGSRQVVHWRVVLSPFRALHKLIMEMATNGRLVEGYHWSLPFLRPQIFPLC >CDP03699 pep chromosome:AUK_PRJEB4211_v1:1:35763953:35767824:-1 gene:GSCOC_T00016157001 transcript:CDP03699 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDGGTVVPVDTIKKKTGVSRSWMLLDSSGEGTILDLDRQSIMRRVPINARDLRILDPMLSYPSTILGREKAIVLNLEHIKAIITTEEVLLRDPLDDNVVPIVEELQRRLPMALCRGEGEDDDRPEMRNEVETDAETEFPFEFRALEVALEGICSFLDARTRELETAAYPALDELTSKISSRNLDRVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLGGVCSPVSGQGQGIPNWFPASPTLCSKISKASRTSGFTTQSTENDVEELEMLLEAYFMQIESTMNKLSTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSMYSLVAAIFGMNIPYTWKEDHGYMFKWVVILTGIACASIFLFLISYARHKGLVGS >CDP15605 pep chromosome:AUK_PRJEB4211_v1:1:26583688:26584092:1 gene:GSCOC_T00015512001 transcript:CDP15605 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVGNPVTSLANRAVALGIRFVAFHNEQSAGYAASAYGYLTGRPGILLTVSGPGCVHGLAGLSNAGVNAWPMVLISGSCDQKDFGRGDFQELDQIAAVEPFSKYSVKASDITKIPTVDFEVLDRAGSGRPGG >CDP09116 pep chromosome:AUK_PRJEB4211_v1:1:31801249:31803688:-1 gene:GSCOC_T00028316001 transcript:CDP09116 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVQENDGQTLAEKEGLSFLETSALEAYNVDKAFQTILSEIYHIISKKALAAQEAAASTVTPGQGTTINVNDSSGNTKRGCCST >CDP03738 pep chromosome:AUK_PRJEB4211_v1:1:35461366:35462764:-1 gene:GSCOC_T00016212001 transcript:CDP03738 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELQFSFSPFWVQVHGLPIDYLTKANGHKLGQVLGKFPYVDGDAFDGRALDRKFLRIRVILDITKPLLCGFWVRRRGLSDIWVEFKYEQLGIFCYKCGRIGNHYRSCQFPAKKAKFGPWLQAEPPSDSLAVASLSSSSYVPGRATVAAAARDTNACTTLITEGFLTRVFAFCPWSRLIVNSLDSLVMVANCAMISSRLADASAHDWRLLMVMAAYFTYLVLKLIRITERSWLLKAFNPRQPPNQEQNLMALMEILILVVFFAMLKSS >CDP13116 pep chromosome:AUK_PRJEB4211_v1:1:2168731:2173196:1 gene:GSCOC_T00037914001 transcript:CDP13116 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPDKKVLKATQEDDGNVDRLSALPDCVLLYILSRFCFYTKSAAATSVLSKRWRNLFVLLPEIDLYFFADEDVSDRDRLYSDFINFTNRVIRQRNEAPIRRIRLVLSHFSERHRMLFEWLLISVAAAFSLSIIQELEILIVIDRTTKPLSISVPPVIFTCKTLVSLSLKLGVYWTVPDLVCLPNLKVLGLDMFKLVDEACIGKFLQGCPLLEQLELSMRPFTHERDADEHIEVNFFKISSPVLKRVILCFQGVESEFTTVVESNSLEHLSFLLDGQHKVAIDAPNLRSLKLDGDLLEVDIIQNLISLDNALLEIDFLCHMRTRSAIISCSERAFKFFRGLQNVKSISFSESFLIALYLSQRVLPTFRNLIKLQLDPFDCPAFPRECMSSILSSLFESSPNLEVLIFSEVSKNYFSKDEELNSVFHEALPLVLVECLKEIEIGNFKGEEHELKLVEFFLESGKSLNKMTLFGHAGVSLSKGLDRILSFKKCSDDCQIVSKAQWNWFFRS >CDP09168 pep chromosome:AUK_PRJEB4211_v1:1:32155103:32160446:-1 gene:GSCOC_T00028385001 transcript:CDP09168 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGASVISFYSPPSHHNSPEIQLQRRIWSSSFRFDAPFKLQLPIFPLNRASSSVHVCSGSSRSSSNGVVVGRKSSWRVWTDVKSKPYDVADSERESLKFQDAFNDAALKNGDAVDGQIVDIAEIRWWEQFPKRWVIVLLCFSAFLLCNMDRVNMSIAILPMASEFNWSPSTVGLIQSSFFWGYLLTQVAGGMWADTVGGKFVLGFGVVWWSIATTVTPIAARLGLPFLLVTRAIMGIGEGVAMPAMNNLLSRWVPVAERSRSLALVYSGMYLGSVTGLAFSPLLIHKFGWPSVFYSFGSLGTVWFAVWLNKAHSTPLDDPGLRLDEKKLILSNSVCKEPVKSIPWRLILSKSPVWALIISHFCHNWGTFILLTWMPTYYHQVLKFNLTESGMFSVLPWLTMAFSANVGGWIADSLVSKGVSVTIVRKIMQTIGFLGPAFFLTQLNHVDSPAMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVGLYLAGTVVWNLFSTGEKILD >CDP03945 pep chromosome:AUK_PRJEB4211_v1:1:33962353:33971461:-1 gene:GSCOC_T00016457001 transcript:CDP03945 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHQKVESWIRDQRTKILKVTWPPQWQWPLVVKWPWTHGREQRRRLQEEVERRKKQLQDLCYAVKAESVSDLQEILCCMVLSECVYKRPASELVRAVNIFKADFGGQVISLERVQPSADHVPHRYLLAEAGDTLFASFVGTKQYKDVIADANIFQGAIFHEDNVEDTNGIGSSESAEFSSQKSNGENFSKLVEPMPKQTTPSLKPAAHRGFMARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVFAVSLKEHEKIQVKCITFSQPPVGNAALRDYVHRKGWKHYFKTYCIPEDLVPRILSPAYFHHYNSAQPVQTPSDVGTSLLMSKPGERFEKQKSEKTKDSESEKLVLGLGPVQNSFWRLSRLVPVDSVRRHLFPYGAKKDDSADKSVTVNSSISSTIGDVVVPPQSLEIQEDSDVISLRPLSEPDDEAIGEVKTGKSLGKSSKPDGNKRPWQKIPALPSYVPFGQLYLLGNSSVESLSSAEYSKLTSVRSVIAEVRERFQSHSMRSYRARFLRIYELCLNDNETSFLGMEQVQQFSHLQKWLGISAAGTVQLGHIVETPVIHAATSIAPLGWNGIPCDKNADALKVDISGYGLHLCTLVKARVDGKWCSTRVESFPSPPAYAQSHGLQPEIQKMRVLVGPPLRHPPKHQMVEGSLVPIFPSLDSTFDDLKLNQNVSALDEGKFIRPDGLNDFMIFCTTDFSTVSKEVHVRTRRVRLIGLEGAGKTSLLNAILEQGRTTNNSSPENLHIEVDVQEGIAGGLWYSDSAAVNLQNLNEEASGFRNELWKGIRDLSKKTDLIVLVHNLSHRIPRYSHPDSSQQQPAVSLLLDEAKSLGIPWLLAITNKFSVSAHQQKAAIGAVVKAYQASPVSSSIINTCPYIMPSAAGASHSWESGATDSDKAALSRKLSFAPINLSWRPFQKKAAVLPVEGVAAFCQLIHHVLRNHEEAAFEELARDRLFVELARARALVAQSNQDARAKANSLTSAAVGASLGAGLGVVLAVVLGAASALRKP >CDP14088 pep chromosome:AUK_PRJEB4211_v1:1:11150640:11154236:1 gene:GSCOC_T00039285001 transcript:CDP14088 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPQPEEFALKETAPKIAGSGAIIDGDKLTCTYDLVEQMQYLYVRVVKARDLPGKDVTGSCDPYAEVKVGNYKGVTKHFEKKSNPEWNYVFAFSQDKLQASFVEVVVKDKDVVLDDFIGRITFDFIEIPRRVPPDSPLAPQWYRLEDKKGDKLRHGEIMLAVWKGTQADEAFPDAWHSDAAAVGRENVSKIRGKVYLSPKLWYLRVNVIECQDLNPSDKSKPPEVCVKVVLGNQALRTKMVKSVNPMWNEDLIFVVAERFEEQLVMTVEDKGEILGKCLILLNTVHRRFDNKAVPSKWHNLEKHIVIEREKREVKFASKIHLRISLDGGYHVFDEATQYCSDLRPTSKQLWKSSVGLFELGIISATGLSSMKTKDGRGTTDAYCVAKYGPKWVRTRTIIDSFSPKWNEQYTWEVFDPCTVITVGVFDNGHLQGGKDSSIGKVRIRLSTLETERVYTHSYPLIVLLPSGVKKMGEVQLAVRFSCSSYFNMLYKYTNPLFPKMHYVHPLSVIQLDVLRYHAIQTIAIRLGRSEPPLKKEVVEYMLDVGSHLWSVRKAKANFVRVMNVLSGFIAVAKWFDQICKWKNPITTILIHILFVILVLFPELILPTFFLYLFFIGVWRYRWKPRHPPHMDIRLSHADAVGPDELDEEFDTFPTTKSSDSVRMRYDRLRSIGGRIQTVLGDLATQGERFYSLVNWRDPRASALFLAVCLVAALVLYVTPFQIVVLLVGFYVLRHPKLRQKLPSISSNFFRRLPAKTDHML >CDP17037 pep chromosome:AUK_PRJEB4211_v1:1:37995313:37999552:1 gene:GSCOC_T00004942001 transcript:CDP17037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MSTLRSNKNWQINTIQTSPSQTQTQTFILFFSSIYRAVTPKLGKINTQTGAAKRIREIAETDGVYSFREGQVEDLLSGRSVLSSLYLFLLFFFVFLNLFERGNLIPILGLGFRVLGFEIDIEMAITITSLGYYCCHCHHTINTSPPKLASSRRHTLSWRSFRCSYSTTVTPYSNAPIDGSSTSRLDCVIVGAGITGLTIAQALSGNNVLVTEARERVGGNITTVERDGYLWEEGPNSFQPSDPMLTLVVDSGLKDDLVLGDPKAPRFVFWEGKLRAVPSSPTDLPFFDLMSFPAKLRAAFGALGFRPSPPANEESVEQFVRRNLGNEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWKLEQNGGSIIGGTIKALKDRSSSAKVPRDPRLPKPKGQTVGSFKKGLAMLPDAISSRLGSKVKLSWKLSSIAKSEDGGYTLIYETPAGVISVQTRSVVMTVPSYVASSLLRPLSAAAADALSKFYYPPVAAVTISYPQEAVRGNCLIDGELKGFGQLHPRTQGVETLGTIYSSSLFPNRAPPGRVLLLNYIGGATNPGISLKTESQLVEAVDRDLRKMLINSNAREPLVLGVRLWSQAIPQFLVGHLDTLNAAKNGLANCGLKGLFLGGNYVSGVALGRCVEGAYEVAAEVKDFLAQYVCK >CDP17080 pep chromosome:AUK_PRJEB4211_v1:1:37682661:37683656:-1 gene:GSCOC_T00004992001 transcript:CDP17080 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAIPNRLPEATAKVILPDGTIQYYDKPLTVAELMLEYPQQVVIEYQQQRQHMIMKKPALLPADKKLEMNKVYLMLPMRRGKPAAAILSSQEARLLLLKANSILKSNSFISSTTGFLPLFARICAAGSGSELHDLQCSPPEGKKKPILSSGMDHRHHPNNNDNANNSCGRQTEEVANCKPDYFGDVLMEERPVFLSRQMSGKGGWKPSLDTITEKTVQTKLRHWLF >CDP16807 pep chromosome:AUK_PRJEB4211_v1:1:4143418:4143858:-1 gene:GSCOC_T00019324001 transcript:CDP16807 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILHRKGGEDEESYAKNSTFQRSVFMNVNHALNRSIREFCEANLPEAECIRVADLECASGPNTLLAVESIIDSINRECHNMNILKLPNIQVFLNDLMSNDFNSIFKLLPSFY >CDP13103 pep chromosome:AUK_PRJEB4211_v1:1:2382404:2385643:1 gene:GSCOC_T00037895001 transcript:CDP13103 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSPGTSSETKKMFVREEITNEAQDRISQLPDAILCHILSLLPTKLVAQTGILSKQWKNVWLSIPALEFQINLRANYEGEWSAFDCFAKPKIESFTNFLDRLFAIRDTSGIKKFRLVCDHQVDSRCLNNWLSALHNVQELDLDLWELGEFPWSPFTNNSLEILKLSCNVLLNIPSSVSFPRLKVLHLHSVTYVGGASVEKLLSSCPVLEDLQISRWQWDNVRNFVIAVPSLKRLTLDFSTPEMDLYDGDDYEDGVEYKLIITAPNLEYLNLIDYMSDSIQVSSMTRVTESRLSVYKILTCVQRTAEQTSNYESNVREIFRSIPNVKHLTIGEFTMNSLGESLDSSLPVFQNLVHLEISFYVANGAILLPSLLKISPKLESLILPQGITSPNVIGWFNPEENQVKPPQDVPECLLFSLKNVEISCITGRVEEEVELLIYLLGNAMVLEKMTIWYEEYYVSGGPMDTTNYPTARRVQDRLSFTDKLMNCTRGSAACQLDIQMPELQL >CDP04074 pep chromosome:AUK_PRJEB4211_v1:1:33018382:33019791:-1 gene:GSCOC_T00016614001 transcript:CDP04074 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQHNTKFLLHKKDVVLVMPAEPTPSEVLSLSTIDNDYNIELLCQTLYVYQANVSSYKSKNHDEIGKAQLQQEPALIVKEALSKALVHFYPLAGKLKRQADGRLQITCNGDGVPFLEATADCHLSALNYFDGIDVETGKKFVFDWATDGEYGYHPLVLQVTKFSCGGFTIGMGLSHSVCDGFGAALFFRTMSELASGKTAPTVKPVWERERLVGKPSDQEQVPTFDKVSFVISPFIPTDKISHACFNLDSESIRKLKLDLVQECDHEIPKESFTTLEVLGAYVWRSRYRALNHNPDGKTMFCLAIGMRNFINPPLPAGYYGNAFVSGNVELLGRDLDHGPLSKVAKLIKESKRIASSNEYVCRTLNMLEKLNRQKMKIETYGASLVLTDWRQLGLLEEEDFGWKESVNMVPLPWNMFGYVDLCIFMPPCRINASMKGGVRILVALPEAAMNRFEEEMAALKIISDQH >CDP17546 pep chromosome:AUK_PRJEB4211_v1:1:702169:703824:-1 gene:GSCOC_T00011023001 transcript:CDP17546 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILHTKGGEDEEGYAKNSAFQRSVFMNVNHAPNRSIQEFCQANLAEAECIRVADLGCASGPNAFTNIQVSLNDLVSNDFNSIFKVLPSFYQKLEESYGRGSRSCFIAAMPGSFYGRLFPDNSMHFIHSSYSLHWLSQVPSGLVTEEGLPLNKGNIYTGKTSPKSVHDEYLDQFDRDFTNFLSARADELVSGGHLFVTLTPKIDDPVAYNVPDLLGMTMNDMLSEGLDTFNLPDYRPSLEEVKTIIEKNRALKISIWIPFNCEWLLRGRQIAGRATYSIQTPMPSTGLGA >CDP03715 pep chromosome:AUK_PRJEB4211_v1:1:35634423:35636226:-1 gene:GSCOC_T00016182001 transcript:CDP03715 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPDLEFSTSLLLCLIPLLCFFLKTTLTNFFSSKAGKLPRSYPLIGSYFAIKANMHRRAEWTGEIVTTLPNLTFTLRRPLGHSQVFTANPANVQHILKTNFSSYRKGELMHVTNRDFLGDGIFNIDGEKWKFQRQVSSHEFNTKSLRKFVETVAETELSERLIPILTSAAANKTVLDLQDILQRFAFDNICKVAFGYDPAYLLPSLPEAEFPVAFEDATRLISERLNSPLPLVWKIKRVFNVGSEKQLKEAVRIVREFAKEVVREKKQELREKSSIGSVDILSRFLTSGYYNEEFVTDVVISFILAGRDTTSAALIWFFWLVFCDPRVEKEILREIREKSESPVYDEVKDMTYTHASLCETMRLYPPVPLDTKVAMKHDVLPDGTAVKKGTKVIYHPYAMGRVEAVWGEDWADFRPERWLEEEAAGDGEGKWKFVARDPYTYPVFQAGPRVCLGKDMAFLQMKKVVAGVLRRFRVVPALEEGEKPVFVSYLTSKMKGGFPVRIEERVE >CDP03875 pep chromosome:AUK_PRJEB4211_v1:1:34462567:34465235:1 gene:GSCOC_T00016373001 transcript:CDP03875 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETKAFTLSQVSEHNHSKDCWLVIGGKVYDVTKFLEDHPGGDEVLLSATGKDATDDFEDVGHSTSARAMMDEMCVGEIDTSTIPSRTKYTPPIQPHYNQDKTSEFVIKLLQFLVPLIILGVAVGIRFYTKSSA >CDP17095 pep chromosome:AUK_PRJEB4211_v1:1:37540650:37545864:1 gene:GSCOC_T00005014001 transcript:CDP17095 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGFAVSRSHGGDRFYNPPAMRRHRQMILQQQQQQLQHLQRQQQLAQLQRASKSDSAATAATSPDAGNRTDSDDSLPTLSKPSSVRSPSPPIPPAPSVTNLDRFLESVTPFITAHHSSEAYARVRRTKEAELHPFYCLGDLWESFNEWSVYGVGVPLLLNGKDHVKQYYVPFLSGIQLYVDPLKPRSTIRRTCEESDAESSRENSSCGSSDCEADKRSKAEGLRNQHLLANLNSRRLNRLSLREKSVTSSSSDDAEICNSPGQLMFEYLEQEQPHRRKPLVDKISVLASQFPELRKCRSCDLLPSSWISVAWYPIYRIPMGPTLRDLDASFLTFHYLSTHTRSNSQPQFHGSSSRKVHPFADASSKISLPVFGLASYKLKGSILNPCGPHECEQENSLLQAAGDWLQQLQVALPDYQFFRAHYSQWR >CDP11098 pep chromosome:AUK_PRJEB4211_v1:1:7338651:7341920:1 gene:GSCOC_T00033127001 transcript:CDP11098 gene_biotype:protein_coding transcript_biotype:protein_coding MENRESANCSEPSASAAGNDVKQRCWDQREALPGEPRCVICGRYGEYICDETNDDICSVECKRILLDRIAKSQPPVPCPSPVKLPATDECYYVREGNDKSEAGSLSSDQVELLRRKLEISIRGDLVPAPILSFPSCNLPEKLLQNLEVAGFEMPTPVQMQAIPSALIGQNLLVSAETGSGKSGSFLVPIVSYCAKFNADKPHGERKPLAMVLTPTRELCIQVEEQAKLFGKGLPFKTALVVGGDAMAGQLYRIQQGISLIVGTPGRLIDLLAKHDIELDSVSILVVDEVDSMLERGFHEQVMQIFRALSQPQVLMYSATIPKDVQKMANSMVKDLTIIAVGKPNKPTGAVKQVVIWVDSKQKKQKLFDILTSKQHFKPPVVVFVSSRIGADLLSEAIGITTGIKAVSIHGEKSMKERREILKSFLVGEVLVIVATGVLGRGIDLLHVKQVIVFDMPNSIKEYVHQIGRASRLGEEGSAMVFVNEENKNLFRDLVQILKSSGAADCWFLLFLLKGRRSEN >CDP16808 pep chromosome:AUK_PRJEB4211_v1:1:4145815:4157657:1 gene:GSCOC_T00019326001 transcript:CDP16808 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSPFNRPWTSWIQSFKLFIKLKPSGLANSVYLYLRKLNLISNLILQHVTRLDLEDPLGTQYSISRSLLLASSLTSRSIIDQQKYYFQLIKSSIRSIINQQMEFLIIEQKTTKKGDFLEKINPHVKASESFTQLAHIVKWPTSQLGGDREELALSKDIEPYPSLTHRRKHPPITIAEHFHPDSNPKREKMADVSAAIQVALQAVVSLAADHVNLAREFELERLNKTAEMIRGFLAGADEQMHSQGVQYWLKQLEEEVFKADNVLDELNYEKLRRKVKYQNQLMKKKVFFCFSFFNTVRFRSRLASTIREINTNIERINRDADCLGLTYKHQVEETFPTIAAGATTSRQTDSAIVRGDDDESKIVKKLLTESESDRISVISITGPPGLGKTTLAKAVFNTPQFDNHFDKKIWVCVAKEVEIMELFKMILESSTGKKAEVDNRQVIVDGIETELKEKRYLLVLDDLWNHQQRLLDDFFTTLKALEAKKGSWCLVTTRLQEVAFILSRHPQINFTNHELGRLCNDDCWSIMKKWANVGEEVPKELEDMREQVLRRCDGLPLAAKLIGGLLSKKRKQEWLSILEGSLLNGDRGGIEQILKLSFDHLSPVPVKKCFAYCSIFHQDTELEQDLLVELWMAEGFLQPDSQNERMMEKIGCEYLRILLQTSLLEEVKEERRTWYKMHDLVHDFAKSILNRNSNEDRYLAVYSSERMVENMNEKSSASLRTLFLEGGIADDMLSKFKYLHVLKLFGADAKKLPKSVGKLIHLHLLDISGSRIRTLPESLCKLYSLQTLRIDMLEKGFPKKMSNLISLRHLHYNDDYTRRKIQMPSRIGQLTCLQTLKFFNIGRQEEGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELANLSEKPNMDRLVFEWGNRDDESDKCDEDVLEGLQPHPNLKKLQILKFMGDQFPQWFMNLTLTSLVELRVADCTRCRKLPALGQLPFLKRLYLTGLENTTCIGLSFYSEVHVMDVFPVLETLYIRDCPQLTTIPTPSHFPSLDVLEITQNCHVLLAEKVLSNIANLSSLELRGVEMFESCTSLRELTLFDCPSLVSFPLDLRRLPSLESFSLFECPNLIAEMPNVWKFMIVLYYNKDALLKAAPSGPRSLIFQSVKFIDPATSVSEAASSDSAETL >CDP06960 pep chromosome:AUK_PRJEB4211_v1:1:28272140:28278170:-1 gene:GSCOC_T00024020001 transcript:CDP06960 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDRISYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLAYQLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPSTEHLFPNELAKYNLGEDCPVFENLFEFCQIYAGGTIDAAHRLNNELCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKHHARVLYIDIDVHHGDGVEEAFYFTNRVMTVSFHKYGDMFFPGTGDVKEIGEREGKFYAINVPLKDGIDDNSFTRLFKTIISKVVETYLPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNLPLLITGGGGYTKENVARCWAFETGVLLDTELPNEIPENDYIKFFEPDYSLKIPGGHIENLNSKSYLGTIKMNVLENLRYIQHAPGVQMQEVPPDFYLPDFDEDEQNPDERMDQHTQDKQIQRDDEYYDGDNDNDHNMEDM >CDP08961 pep chromosome:AUK_PRJEB4211_v1:1:30606597:30618176:1 gene:GSCOC_T00028109001 transcript:CDP08961 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASHAQQHKQQSSAPVIPPLPRDSRGSLEVFNPSTYRQASSPVFKQSPSSWQSNWAESPRNNNSDNTNIIRPPEPSEETNLPSSKSGRANAEEITTWMALKDPSSTSTLSSQQQQQSSSSSSSPFAQKTISSIITDSEGLKSPAKSPAAGEVGAAAQRAAEWGLVLQTDRETGKPQGVKVRTSGEEQNSKTGSTRRDSGNSFRSSGDLSDDGTGKDRGFPRVSEDLKDALSTFQQTFVVSDATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGSDTDPEDVAKIREALQAGNGYCGRLLNYKKDGTPFWNLLTIAPIKDESGKVLKFIGMQVEVSKHTEGTKEKMFRPNGLPESLIRYDARQKEQASSNVTELVDAVKKPLRPRALSESNNRPFVRKSSEGGVGQPPHRTDADVNLNLENKAPPRRHSHAGTRTTSSSMERISELPETKPKKSRRLSLMGIMKKGRRSSTADEEFEAKVTMDDNEVDDDSDAEDGRPQSLDDKVRKKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPTTVRKIRHAIDTQSEVTVQLINYTKTGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSEHVEPLRNCIPESRAGEGAKLVKETAENVDEAVRELPDANMKPEDLWANHSKVVRPKPHRRDNSSWKAIQQILDSGEQIGLKHFRPVKPLGSGDTGSVHLVELHGTEEYFAMKAMDKGMMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFMLLDRQPMKVLRENAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSNGHVSLTDFDLSCLTSCKPQLLVPEIDEKKRHQKGQQAPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLKFPASIPASLQAKQLMYRLLHRDPKSRLGSQEGANEIKKHPFFRGINWALVRCMKPPELDAPLFGTTEAEQGFKVDAGLEDLQTNVF >CDP08979 pep chromosome:AUK_PRJEB4211_v1:1:30816683:30826418:-1 gene:GSCOC_T00028133001 transcript:CDP08979 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELLELQRQFEFAQQAKSSIRLSERNVVELVQKLQQLGIIDFDLLHTTSGKEYITPEQLRKEMAAEIRKLGRVSLIDLADITGVDLYHVETQAGHIVSNDSTLMLINGEIISNSYWDIVAEEINERLQECSQIVLAEIAAQLQVGSELVVSVLEPRLGTLVKGRLEGGQLYTPAYVARVSAMVRGAARGIFVPTNLSALWNSLQDLLQETDGASGVAVEGSFFQSLFNGLVKEGEILGSLRAGVHWTPSVFAMAQKECVDSFFSQNSYISYEALQKLGIPQPVQYLQARYPEGIPLVTLFVHPSIIEMLDASAEDAIERGSWMDSLSVLPASFGSQDASKILSLCLSVQKSLKSSKAIILGESYIFSNGFVKDLFDHLEKGIETLNLPAFASTGQSDNLHVIKDASVRHDTLPESNETGTGKQAVEKGSKKKKGKSTGNSKVEAAESDPDYHELAPTKSKKNQKKGKAPTSLQLSDSKLGLRKDDSMEESHNAISEEWLIPKIMALIPDLEEQGIGDPETILVPLASYLRPMLLNSLKERRKAAFTQNAQRMKRVLDNLQHKIDESFLNIQLYEKALDLFEDDPSTSVLLHKHLLRTTATSMVDTLLLDLDIHNKLRNGMEVEEPQKPKKSEPASLSPGDRAALAKSLAGPLSAKALALVEALEAKRVETFMTALRAIAEESGLILKKLDKKLERSLLHSYRKDLTSQISDETDPISLLPKVVSLLYVQIHGRALQAPGRAISIAVSRLKDKLDDPAFKVLADYQAATVALLALMSGTTSSEEDCTSDRILSKRELLENLVPALKGLVLGTSQPRLV >CDP17553 pep chromosome:AUK_PRJEB4211_v1:1:772357:773221:1 gene:GSCOC_T00011032001 transcript:CDP17553 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRSMPANPHPTPGALLLGDAFNIRHPLTGGGMTVALSDVVVLRDLLRPLHDLHDATSLCKYLESFYTLRKVKKRDEKKIGYTMP >CDP06899 pep chromosome:AUK_PRJEB4211_v1:1:27555413:27561161:1 gene:GSCOC_T00023939001 transcript:CDP06899 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamoylputrescine amidase [Source:Projected from Arabidopsis thaliana (AT2G27450) UniProtKB/Swiss-Prot;Acc:Q8VYF5] MEDGKRVVTVSALQFSCTDDVSTNVATAERLVRAACQKGANIILIQELFEGYYFCQAQREDFFKRAKPYKGHPTILRMQKLAKELGVVIPVSFFEEANNAHYNSVAVIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFAKVGVAICWDQWFPETARAMVLQGAEILLYPTAIGSEPQDEGLDSRDHWKRVMQGHAGANLVPLIASNRIGKEIIQTEHGKSEIMFYGNSFIAGPTGEIVATADDKEEAVLVAKFDLDQIKYKRYSWGVFRDRRPDLYKVLLTVDGSNPPL >CDP08505 pep chromosome:AUK_PRJEB4211_v1:1:22582969:22586722:1 gene:GSCOC_T00027435001 transcript:CDP08505 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGTKPEDYTLEWVNELIEEGRWKTEQLQKIVYRSIRCCQKGWEREKEDAANKIIALLGEPNAMNFDQYSGYVTVDPKAVRALFYYFTESQNPSTKPLVLWLNGGPSCSSLGAGAMNELGPFRVGKGGKMLLRISTTNEFCVLKIVANIIFLESPAGVGFFYSNTSSDYIIGETKTVTDAYTFLVNWLEKFLEYKTRDFLMTGQSYAGHYVPQLVQLILHNNKITNQTVINLKGLAVGFLFLESSIGIVSNCNFSSADPPIEAYQAYQSQTSLAKGHIDSNNIYASLCSSSSNTPSSYDPCPDNYVYTYLKTHAVQKSFHANTIGIPGPWKNYKYTDTVLPVIKELTSIVKSSMPEDLPIHGDIDSVCSVTTTRYALNKLRLSAKTPWHAWYTQGEVGGYVVEYENLTFVTVRGAGHLVPSYQPARALTLFSSFLVGKLPPSN >CDP03887 pep chromosome:AUK_PRJEB4211_v1:1:34378677:34382429:1 gene:GSCOC_T00016389001 transcript:CDP03887 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDIENNEMWDWQGTNICLQETIESAGMSKCLWSDMKQNQDVSYIFDNEITPVKDCGDLVYYVNNYEEMSEKLEKLEEPSSQVKRRRMLQFDSEGLNTPFCNEDASSSYLRSKDKENSAEEAVSEKSHLVSGYADDTSESAFEALDQSSEGWLASCFTDADSPGDLISDVQIDIEELLNSEPEPAVSTNQFRPVRTQRNVFRGRKSYIQTPANSASTVAYPFAFIKPCAVRGDVTLKDINQRIHAPPPSKLKQSYDDPAAYPTSAFSGKLVIGSTKIHTEGGKGSITIMRTKG >CDP04015 pep chromosome:AUK_PRJEB4211_v1:1:33508924:33518781:1 gene:GSCOC_T00016542001 transcript:CDP04015 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGKSLCYQMPPLITKKTAVVISPLISLMQDQVMTLKERGIKTEHLSSAQSASRVQSAAESGQYDILYMTPEKACLLPSSFWSRMLKTGICLLAVDEAHCISEWGHNFRVEYKKLDKLRDMLLDVPFVGLTATATEKVRLDIISSLKMRDPHVTVGSFDRKNLFYGVKSFNHGSPFVDELVEEISKYVESACSTIVYCTTVKDAEHIFKSLLKVGIKAGVYHGQMSNCAREESHRSFIRDEYYVMVATIAFGMGIDKPDIRYVIHYGCPKSLESYYQESGRCGRDGVASVCWLYYTRSDFIKADFYCREANSADQRKAIMDSFVAAQHYCFLTTCRRKFLLDYFGQICTFDKCGNCDNCTSSKKETDMSREAFLLMACIRSCGGQWGLNMPVDVLRGSQSKKILDAQFDKLPFHGLGKEMTANWWKFLANQLIVKGYLVEKYADVYRSISVGPKGLEFLSSCRPDYQPPLYVAVTSEMIGDVENKYPTDEARDFGGLILAGFEGMSQAEAQLYKLLLEERLKLARVSGTAPYAICGDETLRRMALTRPSTRARLANIDGVNQHLMKVHGDHFLQRIQQLSQELNITLDGLPNSQPPAAKEVLKVPKAANLAPAKSEAWRKWQEDGLTIQKIANFPGRSAPIKEQTVAEYILEGAREGCVIDWLRFSREIGLTQEVYKSIQQAVLKVGKEKLKPIKNELAEEVTYSQIKTCLTMQELGLGMSEIESINQHDCKEDEHLNGKPLLSERTNLLHQKEEQFENFQFVNGARDSAGLRNEDAISDSSIQSRSMEESVGSSNDPPLTRKRQKIDALDVLAEVMVEATETSIIRWLGKFDHGVPLSDILGHFNGSTESSVIDLLNSLEGEFLIFKKNDLYMLM >CDP09735 pep chromosome:AUK_PRJEB4211_v1:1:25335924:25337588:-1 gene:GSCOC_T00030185001 transcript:CDP09735 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBX2 [Source:Projected from Arabidopsis thaliana (AT5G21040) UniProtKB/TrEMBL;Acc:A0A178URR1] MAFECQKDTGSCLKKDLADFSDNFADQEVDTDSSNNLKKVIFEFGKVKPKSSFHAKGGNSSSTSENLVPAAEVLPNSCRSITDLPQALISEILNCLDPKELGIVSCVSKFLYWLASEHDVWKEFYCERWGHPITPALELQDTDERSWKELFVEREFRSKTFLGRYSIDALYGHTEAVRTVFVLASKKLIFTSGYDQIVRVWNMEEGLSIASSRPLGCTIRAVAADARLLVAGGTDGFIHGWRTEDGNPHLFDLKAQNQNLEFRLWEHEGPITCVALDFTRIYSGSWDMTVRIWDRSTLKCLNVLVHSDWVWSLVPHENTVATAAGSDVYIWDINTGMQLAVINNAHVGNSYSLARSHTGKLLFTGGEDGAIHMFEVTTNLGCKVQKIAKWIPHTGPVFSLAFEFPWLVSASSDGKLSLIDVRKLLKMSRDSLTEKSSKVVHLDEKNVEPPQRMLHGFGSNLFSVDVGPDRIVCGGEEGVVRIWNFSQALEIEKRVRALRGLRLENRMRRRKLQTEMSSKTGRSDQCSVAAKKNQIGGDRNSWHNRRRVSGKLKA >CDP09107 pep chromosome:AUK_PRJEB4211_v1:1:31731735:31735032:1 gene:GSCOC_T00028305001 transcript:CDP09107 gene_biotype:protein_coding transcript_biotype:protein_coding MTALVTGGTRGIGHAIVEELAGLGATVHTCARNDAELCSCLKKWKDGEESFQVTGSVCDVSSRADLQRLGDTVSSLFNGKLNILVNNVGTNIRKPIVDITAEEFSALVTTNFESVFHLCQLAYPLLKASGSGSVVFTSSVSGFVSLKSMSVQGATKGAINQLTRSLACEWANDNIRSNAVAPWYIKTSMVEQVLSNREYLEEVYDRTPLHRLGDPAEVSSLVAFLCLPASSYITGQIICADGGMSVNGFCPRTY >CDP11113 pep chromosome:AUK_PRJEB4211_v1:1:6987520:6990124:-1 gene:GSCOC_T00033153001 transcript:CDP11113 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKLVYNNPQIDAHFDKKIWICVSENFQEVELFKLILESLTGRKVDLSSKDAIVKEIKKEMKDNRYLLVLDDVWNEKPKLWDDFFESLAGIVTTNGSRCLVTTRLGQVATIVSRHSPYLLGKLSDDDCWSILKEKAFSGGEVPEEFNLIRQQILKWCNGLPLAASVLGGLLRIKRKEEWLSIVENRLLNLKEGDNSVEQILKLSFDNLPSALIRKCFGYCSIFAKDSEIERDLLIELWMAEGFLEPVLCNQSLMEDVGDQYIRVLLHSSLLEEVKYNWKTCYKMHDLVHDLAESISKPECVKSENGGIDNYNQVRYLAINSSDGITRKILNDTSASVRTLFVTRSISGDMLPKFKNLHVLNLHGAGLKELPPSIGKLKHLRFLDLSNSGIKTLPESLCKLYTLQTLRIDCLRYQDGFPVQVESDNVEGDVLEGLRPHPNLQELYICGFMGDRFPYWFMSLTKLVDLSLIDCSTSKELPAGLGQLPFLQKLEFSGLENVRYIGPSFYGIDDDFGKVGGQLEVLSRTFFRALNVLTLKNMGNLVEWMEPDINVFPMLEILTIEDCSKLTTAPSHFPSLKILEITKNDHVSVVKKILSKVSTTLSSLTIIGNTGMTGLTCLSDIAMHWPVWILKCWSPVWLLSGCVFMTAQILSPSQSWRVCNKCLLL >CDP03714 pep chromosome:AUK_PRJEB4211_v1:1:35646954:35648486:-1 gene:GSCOC_T00016181001 transcript:CDP03714 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFELSASLLLCLIPLFCFFFVYFNKTFVRNLFASKSSKIPRSYPLIGSYFSILANKERRVQWTSDIVRSSPNFTFTLHRPLGHRLVLTANPSTVQHILKSHFHVYGKGDVFRTTLSDFLGEGIFNTDGANWKFQRQVSSHEFNTRSLRKFVETVVDTELSERLVPILAAAADNKTVLDFQDILQRFAFDNICKIAFGYDPAYLLPSLPEAKFAVAFEDATRISSERFNSLVPLIWKVKRALNVGSERKLKEAVDQVREFAKQIIKEKKAELEEKSSIDSVDLLSRFLSSGHSDEDFVTDIVISFILAGRDTTSAALTWFFWLVFGNPNVEKKIVEEIKEKSESPEYDEVKDMVYTHASLCESMRLYPPVPTDTKEATEDDVLPDGTVVRKGTRVTYHPYAMGRIEEVWGSDWAEFKPERWLEREGLSGKWNFVGKDSYTYPVFQAGPRICLGKEMAFLQMKRVVAGVLRRFKVVPAIEEGVEPVFMAYLTSKMKGGFPVRIFERAESC >CDP11063 pep chromosome:AUK_PRJEB4211_v1:1:8868183:8869311:-1 gene:GSCOC_T00033065001 transcript:CDP11063 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTTKTEPKRNPPERVPYSKPPFTIGDIKKAIPPHCFKRSLLRSFAYLVHDMTFVSLFYYIATTFFHLLPSPYNYLAWPVYWFFQGCLVLSSIPHLWSPTSRGSTAPAATTPNTASLEHDEVFVPKPKSKIEWYYKYLSNPPGRAITLMITLALGWPLYLAINASGRQYDRFACHYDPYSPIYNARERLPIYISDVGVFVTTYLLYRVALAKGLAWLICIYGLPLLILNGFTVFITYLQHTHPSLPHYDSSEWDWLRGALATVDRDYGVLNNVFHNITNTHVPHHLFSAMPHYHAVEATEAMKPILGEYYHFDGTPVYKAMWREARECLYVEPDDGSKGVFWYNNKF >CDP15557 pep chromosome:AUK_PRJEB4211_v1:1:25782193:25787162:1 gene:GSCOC_T00015444001 transcript:CDP15557 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKTPDKSNQDDVVAIELPAPSGWTKKFTPKPRKSEIVFISPTGEEIKSKKQLEQYLKSHAGGPSILEFDWGTGDTPRRSARLSEKSKATESPGSGTPKKKQKSSSKKGAETKDANEEGETVDKHEDVGEVKESAEVGADAKAAGGEDDGEVITSEASPDKDETKEAEQKADAEMVTKEQEQGEDKVAELKGEENTVNINMDEGENQEAANISSAGKDEDAETKQLASKGLVDSNKEAESATSEPKVPSQSMPSENPSVQESDLGGDSTSKVSDTKAEEPTGGNVELKDQSNAEAPVGKVAIEEAAAASNDNSKKDEAVVSMEEASAANQGFSN >CDP03743 pep chromosome:AUK_PRJEB4211_v1:1:35419671:35420968:1 gene:GSCOC_T00016218001 transcript:CDP03743 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLLVCCLSRRLLVSSLIVCFLAAAAAAAAAAAAAAATAPGFVFARARGKCTPQYWSSRAESWPKMVPQMSTVSNVFGSRAFERFRYDLTLLEAASRSDDLGNAFAGLVKESTAALLNSYARKGYPYSAWEIKTLVIQALVSKQAAALQAQRFRHANQACNHLHF >CDP04030 pep chromosome:AUK_PRJEB4211_v1:1:33406209:33409546:-1 gene:GSCOC_T00016558001 transcript:CDP04030 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQILVKAVVSIILVGILGLAFRLYRELVGNTEKVRSALRKQGIGGPPPTLLLGTVLEIKKARTAKPPPTPTGAPTHHNCADVQQICFSTTHQYTSSFHIYIYIYIYILAVLLLEYPSSSVSGGGGNHQETSIHLQLLELAVTSGLIAAYRRYVVLIRILQGMIKLIRESAMTLIDVWNNMVEAKGGAADIKIDQHMRSFSGDVISKACFGSDYSKGGEIFVKLRALLECASKKGFSFGIPFMKCLPTKSNREAWAPEKDLRTLILKVVKERNEVVTRRTCCKRFSGRSSEQCPDATDSFIVDNCKNIYLAGFETTALAATCCLMLLAANPEWQERVRGEVLGVCDGQAPNNDTIRKMKLLSVAINESLRLYPPVAIMSIEVFEEMKFGGVHVPKGVNIWALVLTLHTDPEVWGADSYQFNPDRFANGACKLPHLYMPFGVGPRVCLGQHLASAELKILLSLILSNFSFTLSPTYVHSPTLNLVIEPEHGVDLNVKKV >CDP03894 pep chromosome:AUK_PRJEB4211_v1:1:34328286:34333473:1 gene:GSCOC_T00016396001 transcript:CDP03894 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTKPGVKRLILTLSVLLSFLIGLPFLLKSVEIYRSQLPFRDIDSLSAAIESNPILFPCHFHAVFVNFPAASSSSSGNQLGFSIYSRMQKLFPKTPLCGACSNYSVSVTLDSSGLLDGFKYDRNLDNFDENLDEYLESVLSKDGKYTVVVVNREDVEEVRAVVGKFRHAWIEGRIENAEELVQRIAEIFVKVFVNGGKEEGSIHGEFMPVGADGRVVLSFNLLNADPRDWVYDWDFQSMEEILLAPIVEALRPLADVSVESQVLYHTPKSSFSYWDEKLTSHVFSTKDLPFFVNSNEWHLDTSTAAGGRSKVLQFVVYIPSSRECPLFLQLPNGELSLTNGFISPMWGGVVVWNPPACLNISESTHPLRHTMSLKDMKKVFEIFTGQLRQLFGLKSDSMVTSASGMSILLASERGFAKWERDVLSRQHTCFNLLQCGTTLGSLSRLVQSLPRMIIMDEIGKQVKFSLEAAKLTLTNASLGIYDASAVSSRQARSLAEDAFYHPSMMSVSYYSFEHCFAVYSPFFLPVSLHVLLAVLREWKRYKQEQKKYLAWKNKGI >CDP03940 pep chromosome:AUK_PRJEB4211_v1:1:34003993:34007943:1 gene:GSCOC_T00016452001 transcript:CDP03940 gene_biotype:protein_coding transcript_biotype:protein_coding description:HINT3 [Source:Projected from Arabidopsis thaliana (AT5G48545) UniProtKB/TrEMBL;Acc:A0A178UAY8] MMSEEGAPARRPKKKLLIASFARLFEAKLRLCKVYEDDVCLCILDSNPLSHGHSLIIPKRHFSSLEATPPEVVGAMCSKVPFISKAVKKATGCDSFNLLVNNGAAAGQVIFHTHIHIIPRKASDCLWASESLRRRKLKLDQEALRLARSIRDRLQFVESFDESKGQGSSLLGS >CDP07101 pep chromosome:AUK_PRJEB4211_v1:1:29697336:29703157:1 gene:GSCOC_T00024217001 transcript:CDP07101 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVEPGYWDGGEDDFANLLGILDFPMESLEGDDELAGDWDASKSQSLGPIPSEAFMGLPPVPQGNAGNRSLENLPKPNAVPKLNASGGQTANQKQIPDYVKESSSTNTSRQITSSEGQESGSFQTHSPVSVLESGGSCSGGKSLPIKPDIVIPVRTRSKRARPSAINPWFVMAPISCAASASKRTSSHRKNKEKKKKKLSQLEKNETSLQRPVAAKKCTHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFIPTLHSNSHRKVVEMRKKAVQETAISSHDDAPMSPAPEFVPMSSYLFDFIY >CDP07012 pep chromosome:AUK_PRJEB4211_v1:1:28834489:28838599:-1 gene:GSCOC_T00024092001 transcript:CDP07012 gene_biotype:protein_coding transcript_biotype:protein_coding MVILFSNIIRLSLVLQLSSISAHKNFSQPDFVGIGSLSFLCLIDMKKSHVVVSLLLVLLFHEGVVSAVRTQDGSEAWGYVQVRPKAHMFWWYYRSPNRIEDPQKPWPIILWLQGGPGASGVGIGNFQEVGPLDEFLKPRNSTWLQKADLLFVDHPVGTGYSFVEDTTLFVKTDVEAATDGTTLLIEVFNKNETLQKSPLYIVAESYGGKFAVTLALSALNAIQAGKLKLNLGGVALGDSWISPEDFTSSWGPLLKDVSRLDNNGLQKSDSLALQIKQQIAAGKMSEATDTWSALEGLISHYSNNVDFYNFLLDSDEDPLSLTAASVELKQEISLKRYSRYLQTLRSTPGGEADLDKLMNGPIKKKLKIIPQNLTWGEQSNQVFAALQGDFMSPRISEVDELLAKGVNVSIYNGQLDLICATKGTNAWVEKLKWDGITNFLNTDRTPLYCGGEKSTKGFTKSYRNLHFYWILKAGHFVPAEQPCVALSMIGNITQSPVASSKQIAGGCAFGREMGLRIT >CDP11115 pep chromosome:AUK_PRJEB4211_v1:1:6973662:6974483:1 gene:GSCOC_T00033155001 transcript:CDP11115 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKFSSHTRSISLPSSSHPLLVNAEEHLQRLKSSEAASSPSHSLACQRLDALKNLYECLDDVLQLPLSQQALSNDQEVLDGSLILLDICGAVRDIYSQVNESVQELESSLRRKRNGHLADEVSSYMISKKQLNKMISKCYKELKKAEKNCNLTLVNKDSEKVPLVDLIKEVRETTLTVLESTLSFLSPSKAGSLVSKLLRKNASSKGHSNIAAMEQIEMELHLLNKNKSNVDVVKGLEALESSIQELAEMREIVFRLLLKTRVSLLNILNH >CDP09044 pep chromosome:AUK_PRJEB4211_v1:1:31283489:31287373:1 gene:GSCOC_T00028221001 transcript:CDP09044 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSCGTNDEHGLFSAVQHGDLETVVAVLDKNPSLIHHTVYDRYSVLHVAAANGQIEILSMLLSRSVNPDLSNRHKQTPLMLAAMHGKINCVQKLIEAGANILKFDSVNGRTCLHYAAYYGHSDCLKVILSAARTNHVAVSWGYSRFVNIRDGKGATPLHLAARQRRPECVHALLDSGALVCASTGGYGFPGSTPLHLAARGGSLDCVRELLAWGADRLNRDASGRIPYTVALRHNHGACAALLNPSSAEPLVWPSPLKLISELNQEAKVLLEHALMEANREREKSILKGTSFSVASPSHSDTGTDDNVSEISDTELCGICFDQVCTIEVQPCGHQMCAQCTLALCCHNKPNPMTATVAIPACPFCRSSIVQLIVAKVKTENSIELDVNSPKLRKSRRSWNLNEGSSSFKGLGAVGSISKIVGRGSGRITIENEWIDKPVGLDT >CDP03946 pep chromosome:AUK_PRJEB4211_v1:1:33959285:33961980:1 gene:GSCOC_T00016458001 transcript:CDP03946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA5b [Source:Projected from Arabidopsis thaliana (AT3G07410) UniProtKB/Swiss-Prot;Acc:Q9SRS5] MAEEEGEEYLFKIVVIGDSAVGKSNLLSRFARDEFDHNSKATIGVEFQTQVVEVDGKEIKAQVWDTAGQERFRAVTSAYYRGAVGALIVYDISRKTTFESTKRWLDELNTHCDTTVARMLVGNKCDLEDIRDVSVEEGKSLAEEEGLFFIETSALNSTNVNAAFEIVIREIYNNVSRKTLNSDSYKAELSVNRVSLANGIDLSKQNKSFSSCCSR >CDP17068 pep chromosome:AUK_PRJEB4211_v1:1:37772764:37774964:-1 gene:GSCOC_T00004979001 transcript:CDP17068 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHKVAHATLTGPSVVKELIFGAVFGLAAGTLWKMHHWNEQRKVRAFYDLLEKGDISVVAEE >CDP16792 pep chromosome:AUK_PRJEB4211_v1:1:3922584:3926397:1 gene:GSCOC_T00019305001 transcript:CDP16792 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSSLKEYLKRYQDGNEEEDKKKKKKKKKAKQVDTGVVVVDEDPVWQKPVRIEEEEENDSADEEKPVVDEDIEVKRMKRLELLRARRPFGSISEDGSGWVSISDAPKTSNFGDKVLDISPPRRRRADTPSPEHDLKSASGRDVDLSPPRKRRVRNDTPSPEPMLRPPGTGDAESLLERTRTTRNDSPSPEPDWRSRAAGADFSPPRQRQKHYLKEKDERDISPPRRRKASYDTSSSKSGFGPSESRREVVDLSPPRRQRVRHLSPSPLTREETSSPGDPDSDLSPPRHFHQDHLHTSPVADLSPPRKGRKDRSVSSDLSARRATQHLDENDAAQASSALDLSPPRKRKEKSPTSKQQVKTGLVTGQDLKEEIARKKEEDMLRFKEMDPSISGRGAEPVYRDKVTGKRMSKDEFLKSQKKEEKPKEVKLEWGKGLAQKREAEARLQELEGEKDKPFARRRDDPELDRMLKERVRWGDPMAHLVKKRQFEPVMEDLGDDEKMKESGFIIPQEIPSHSWLRRGLDAAPNRYGIKPGRHWDGVDRSNGFEKQMFKRMNEKQATEREAYLWSVSDM >CDP15589 pep chromosome:AUK_PRJEB4211_v1:1:26313429:26317148:1 gene:GSCOC_T00015490001 transcript:CDP15589 gene_biotype:protein_coding transcript_biotype:protein_coding MLGISYGELFLIIGATAALIGPKDLPIIARTAGRLAGRAIGYVQVFRGQFDSVMQQSQARQVHKELQDTISQLEAIRHEIRTISFMNPGPLTRSLVENAVNTSPTNGIDETGLGKVDKESISAIPTAKGYASQASASSDMHSQAIAYAKLAESKSLNPASVNNEVLNELTDESGLTILPVSAESAGLLPNRKDTVMGSDIVLEAVLEAEVARNAKDFFSQPQNLEKLE >CDP08986 pep chromosome:AUK_PRJEB4211_v1:1:30867621:30869281:1 gene:GSCOC_T00028144001 transcript:CDP08986 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVELFCASPASTAICSSMDQRSMVRQGGIRRIDHHGHRFGDHHHRHKTRTPIPCSSQIPISPRAYFDKTRKGNSSAKQNLESLRRKSSADISDLSSPPPTGSSRHLLSDTPLLELLSDSEHSSSALVPSQPLRSLKYHKFNESTVFRSSMSSTHSYDNPVYEFSWAGRSNDDLHAYKSSSPRSNNDSSVQNSSTPRKYHDLHARKSSLSRLIHDDFPAQKSSLSRTDTSLSSKSSLTCRNDGHAYKSPSTPGRPRHQIVELRVSIHCKGCEGKIRKHIAKMEGVTSFSTDLATKKVTVIGNVTPLGVLTSISKVKNAEFWSSPASSSSSSSPTVDMSLVSA >CDP03987 pep chromosome:AUK_PRJEB4211_v1:1:33712940:33714357:1 gene:GSCOC_T00016506001 transcript:CDP03987 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTTMASQLQSSLASSLTTRLATPKGISAAPFRVLPSRRKSCFTIKAIQSEKPTYQVIQPLNGDPFIGSLETPVTSSPIVAWFLSNLPGYRTAVSPLLRGIEVGLAHGYLLVGPFVITGPLRNTDIKGPAGSLAAAGLVIILSICLTMYGIASFNEGEPSTAPGLTLTGRKKEPDQLQTAEGWAKFSGGFFFGGISGVIWAYFLLYVLDLPYYIK >CDP06858 pep chromosome:AUK_PRJEB4211_v1:1:27140750:27141923:1 gene:GSCOC_T00023887001 transcript:CDP06858 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKDCGHYEHEQRKLFRRLFVALLAFIILILFIILLVWLILRPTKPHFLLQDATVYAFNVSAPNLLTSNFQITLSSRNPNDRIGISYDRLDAYASYRGQQITLPTLLPSTYQGHKDITVWSPFLYGNSVPIAPYYTDALTQDQFAGTVLINIKVNGRVRWKVGTFISGRYHLYVNCPAYINLGNRNSGIMVGPAIKYQLVQSCHVDV >CDP09632 pep chromosome:AUK_PRJEB4211_v1:1:23452724:23463315:1 gene:GSCOC_T00030024001 transcript:CDP09632 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVKIVAKNFMDMVASLPAMKLDKLYQNAFICQAILRSMPPLAKRYVLQLLFIDAPVTGKMLEESVLADGLSKHKVAIDRLVQLRVLTEALDRKKEATYRMNPTFQMNVQKLIVHGGVLPREPMPSTAGFRLPSLEELDAYAVQQWECFLLHLISSSEAEKATTNISSSMMKVFQRGLLSQKDDKEPPRLTEGGFQFLLMDTNAQLWYIIREYIGNSEERGLDSADLVSFLLELSFHATGEAYNINTLSDIQRAIIRDLADLGLVKLQQGRKESWFIPTKLATNLSISLSDTSSRKQGYVVVETNFRMYAYSSSKLHCEILRLFARVEYQLPNLIVGAITKESLYKAFQNGITAEQIISFLQQNAHPRVAERIPTVPENVTDQIRLWESDLNRVECIPAHFFEEFPSREVFEAACDHARECGGFLWENSKKMWLVVKAESFAYMKEFLRGKKQ >CDP03888 pep chromosome:AUK_PRJEB4211_v1:1:34364948:34366857:1 gene:GSCOC_T00016390001 transcript:CDP03888 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGSGAGGAGDEDKKPTDQVAHINLKVKGQDGNEVFFRIKRSTQLRKLMTAYCDRQSVDLNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGA >CDP15565 pep chromosome:AUK_PRJEB4211_v1:1:25884661:25891630:1 gene:GSCOC_T00015454001 transcript:CDP15565 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEKTGLGRALVKHHNQMIQDSKEKGRFYRGQNKKVLESVTDVSDIDAVIEQADEAHRFFSDNNPPVNVLINLDSSSSTTVFGFHAGMPPWNSKMSVEELDANERQAFLAWRRSLARLEENEKLVLTPFEKNLDIWRQLWRVVERSDLLVMVVDARDPLFYRCPDLEAYAQEVDQHKRTLLLVNKADLLPLSVREKWATYFRLHGILFIFWSAKAAYAALEGKKISSSSRMQNEQLESVDFETKIYGREELLARLQSEAEEIVSMRNGSAPNNSSPSYDYSSEENLFENTVSKCVIVGFVGYPNVGKSSTINALVGEKRTGVTSTPGKTKHFQTLIISEKLTLCDCPGLVFPSFTSSRYEMIASGVLPIDRMTEHREAVQVVANRVPRQMIEDVYNISLPKPKSYELQTRPPLASELLRTYCASRGYVAASGLPDETRAARQILKDYIDGKLPHYELPPGMANEETAMKDTVGICLSDTYESDSSDAENPSVSEHEDGPSLEHVLNDLNSFDIANGLSSVQGQVKKKKPSTAPHKQHKKPQRKKDRTWRKPVNAGPLMP >CDP08937 pep chromosome:AUK_PRJEB4211_v1:1:30343762:30347086:-1 gene:GSCOC_T00028079001 transcript:CDP08937 gene_biotype:protein_coding transcript_biotype:protein_coding MALMAVAVLCRSLHFDLAKGMADEKVLSYNDVVLRQADLDILSGPHFLNDRIIEFYLSYLSSRRAAEDILFVPPSIAFWIKECPDTASLEEFLKPLNLPNRELVLFPINDNIDVSFAEGGNHWSLLAFRRSAKVFIHHDSSSSCMNSSHASRLYRAIFPYISDGVTYMECSNTPKQVNGHDCGLYVIAFARAICNWYEHTTPKDKKRWWFSDLEHITPSAISELRSEILELIRSLMVKH >CDP17661 pep chromosome:AUK_PRJEB4211_v1:1:5142137:5143755:1 gene:GSCOC_T00013195001 transcript:CDP17661 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSMDSKSWDVISFAVDKGHGVKGLADLGLETLPKQYIQPPEEKITNSTVVTDDSIPVIDLSNWTDPKVAEQICHAAEKWGFFQIVNHGIPIEVLEDVKEATRRFFDSPAEQKNKHSKDNSPTNNVRYGTSFTPKAEKALEWKDFLSLFYASDDEAAAQWPSACRNEALDFMKKSEFVIRRLMEALMKGLNVKEIDGTKESLLMGSKRINLNYYPKCPEPELTVGVGRHSDVSTLTILLQDDIGGLYVKKLDSDSWVHVPPINGAIVINVGDALQILSNGRYKSIEHRVIANGIKNRVSVPIFVNPRPSDAIGPLPEVLEGGEKPIYKKVLYSDYVKHFFRKAHDGKETVDFAKI >CDP09163 pep chromosome:AUK_PRJEB4211_v1:1:32135773:32140028:1 gene:GSCOC_T00028379001 transcript:CDP09163 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQATLTPAACKNGNYSSPMKFSNSSFLPGFDVTGQITGIRKKDSCSTHLSGVRATLTFDPPTTNKENSKQRKHTVDPNAPEFLPLPSFEECFPRSSKEYTEVIHGQSGNVLKVPFRRIHLSGDEPHFDAYDTSGPQDINPHSGLPKLRKDWVDRREKLGGPRYTQMYYAKQGIITEEMAFCAARENLEPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTIMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWDVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDEILDICNQYDISLSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEQHGYGSAEEAVKRGMDAMSAEFLAAKKTVSGEQHGEIGGEIYLPETYVKSIKI >CDP08500 pep chromosome:AUK_PRJEB4211_v1:1:22519131:22520760:-1 gene:GSCOC_T00027429001 transcript:CDP08500 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSSLLGPPEIYDHLFPHFPRLPPPPPNPNPSWTLWSPTLTPSLPSPTAPHGLHRKQLGNLSFQWQHLFGFFLSRGKEDAFQCLATEEKEKGQRLPLFPGSPRGVGTRRAALPGRPEFWLIYSKLRCRNKWLGIKERRRRLSRPKRPLKGIATTQITDSAARALLPHEKKCLDWKQRLQIGLDVATGLNYLHSYISPPHMHKNLKNSNVLVDADFRAKISNFGLARSADGQGGQFALTRHIIRTKGYMAPEYLENGLVSTMLDVYSFGVLLLDIFTGKEVTVLYEAVNVNLAEILSPVLDEKDGIENLSQIMDSSLGGNYPSELAILLIRLIASCLKKDPFAGPTVHEIVQTLSTSVTTTTSWHFKQSA >CDP04050 pep chromosome:AUK_PRJEB4211_v1:1:33217631:33223508:-1 gene:GSCOC_T00016584001 transcript:CDP04050 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGQRFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWLKLFEHKPFDPRAVMGFGILNGSSIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRHIQVSLGILLLGVGIATVTDLQLNLVGSVLSLLAVVTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFVTGPFVDGLLTNQNVFAFKYTPQVLAFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGILIAVVGMVVYSYYCTTESQQKASEAAAQLAQAKESESDPLISVENGASILTDGAAKAPGWNSNKDLQA >CDP09270 pep chromosome:AUK_PRJEB4211_v1:1:17468932:17469361:-1 gene:GSCOC_T00028547001 transcript:CDP09270 gene_biotype:protein_coding transcript_biotype:protein_coding MRACIGARICECELSDMLLLIPIQDKLCFTILSNHQETLHLIHLSCGSMEVLSLQVPVVLLLEPEH >CDP09618 pep chromosome:AUK_PRJEB4211_v1:1:23298111:23299236:-1 gene:GSCOC_T00029994001 transcript:CDP09618 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISIISYAAVQPIGVCYGLNGNNLPSAQDAVNIYKRNGIQKMRIYAPVPEVLNARRWTNIEQNFLLMLPMKTFSPLQPILQQLQIGYISVGNEVPSSSNIAQYVAPAMEKIQNALASAGLQSQIKVSTSIYAGLLSVSYPPSQGLFSNETKPFVKPIIDILVRNNAPLLVSVYPYFSYIGDPSDISLDYALFTSRGIVVQDGSFGYQNIFDAILDAQYSAQEKEGGSSVNIVVSETGCSYDGNPPVASSTNAGIYYKNVISHVKSGKGTPRRPGRGIETFLFAMFEENQKLGAETERHFGLFFPDQQPKYRISFN >CDP15575 pep chromosome:AUK_PRJEB4211_v1:1:26023171:26032495:-1 gene:GSCOC_T00015467001 transcript:CDP15575 gene_biotype:protein_coding transcript_biotype:protein_coding MISVDEALQIVLSQSQKLPPITVPLKDALGKILAEDIHAPDPLPPYPASVKDGYAVVASDGPGEYPVITESRAGNDGFGVTVTSGTVAYVTTGGNSLFSLGPIPDGADAVVQVEDTELLENAAAEPKRVRILKQINPGVDIRPVGFDITKGDLVLKSGEHLDAAEIGLLATVGVMMVKVYPAPTIAVLSTGDELVEPTVACLTRGQIRDSNRAMVIAAASQQQCKVIDLGIACDDKAKIDSALESAVSAGADLIITSGGVSMGDRDYVKPLLQKRGKIYFDKVRMKPGKPLTFAEMVHGPTDDMRSNKVLAFGLPGNPVSALVCFNLFVIPAIRRLSGWANPQLPRVHARLKQSIKTDPIRPEFHRAIISWELDNANGSPGFAADSTGTQMSSRLMSMKSANALLELPASGKLMPVGTFVSAIVISDILGVSSSQSSQSMEIKGAAKGSKSEKVAVGESSEDPEIRVAILTVSDTVASGKGPDRSGPRAISVVNSASEKLGGARVAATAVVSDDVLQIKDTLLSWSDVEKMDLILTLGGTGFTPRDVTPEATKVVIEKETPGLLYVMMQESLKVTPFAMLSRSAAGIRGTTLIINMPGNPNAVAECMEALLPVLKHALKQVRGDKREKHPLHVPHAEAAPSDTWERSFKSASNNVQHSGCSCSH >CDP09171 pep chromosome:AUK_PRJEB4211_v1:1:32182777:32185482:-1 gene:GSCOC_T00028389001 transcript:CDP09171 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGLEGSFPYGNYCQGDHHLRLPLLKPRPPLTAIDRFLCGQRHFSQLEILANFKSKATLVPVNSDFVSSSSGGAIHGHAGGGGLLSWPITLPPSRDASSSVNGVLCNKESTPNLSPEMNPNVGLEKEEDLPRVPRGTGRRGKGDPSVALIKGQWTEEEDNTLRKLVKHFGVKKWAQIAEKMVGRAGKQCRERWHNHLRPDIKKDAWSQEEEKLLVEAHIEVGNRWAEIGKRIPGRTENSIKNHWNATKRRQNSKKKFKKPEGVHNGRNQSTILQEYIKSTCFNDDSLINISTGPSSTTMIPVNSAATQITPFNSVISEDPTSAHFNMLYPELSQSASGDSSSYLAQHSYDDEMNFMQNLFGSYNLNVSTSCKNDKGKAPLDIGINQKDKCSASFNSSGYNSTNSNNQDFGGNLDYGFFPSFAEPSLPTRTYVGDQESNQPIRMYSDAYLSHILDGGNVLCALPEVYPSNMNMEKMMIDQASPSVGKEVDLIEMISNSQFARKNATNNFVF >CDP03745 pep chromosome:AUK_PRJEB4211_v1:1:35413152:35414851:1 gene:GSCOC_T00016220001 transcript:CDP03745 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDKALSKSEAREDGSSPNESESSEEEICDQAPLPSQNDQTCQMKMNASRKKSLLEFRCRVEDAILGNCIFGAKGNARTKEKSNKDITLWGVPLLPSKGHEATDIVLMKFLKAKDYKVSDAFAMLCKMMKWRRDFKVDGILEENLCPKLQNMWRIEGVDKEGRPICYLTSKDFSDREMNKKPLRADGKLEELLRWRIQCIEKGIQLLNFSPGGANSILQITDLKNAPGQSIKEMRWFCNKMIKLIHEYYPGIMHKNLIINLPLWYCAVNALHLRQITAKSKNKFIFVRSAKVTSTLLKYINPESLLVQYGGLKRENDIEFSALDKVLELNVPANTYEHIQIPVNEAERIATWDVAITGHDATYKEEFIPIDDCSYKVLLQKEKKMGEVVRNSFYIREPGNIVITIANGTFKKKKVFYRYNSKPCQPLYKLTT >CDP03997 pep chromosome:AUK_PRJEB4211_v1:1:33657247:33661776:1 gene:GSCOC_T00016517001 transcript:CDP03997 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSLLLLLLLLSLFSSCSTFPLSSHIIATTAPATHNRRILHQPFFPQGSLPPSQPPNPSPPAPATPKYPFSSTSNSPNNTPFFPSFPSPPPPPSPASYASFPANISSLILPQSSKSKPASSKLIAAAIAAVVAAAIVVSLAVFLHLRRKRNRRASSEAKTHRSDNSTRFHYPNATYSANGSVPKLQRPSQTSSEFLYLGTLVNSHGAIGNTSPQQNARSSTADSGTANSRKLDSPELRPLPPLSGQQNASRNHKNAEVGSAGDEEDEEFYSPRGSLGGRDSSIATGSASRRAFAAVEVENFGRSSSASYSSSSSASGSPVRSVSLSISPPVSVSPKASRPKSPELVALQTAPPPRAPSPPPAPPISFFNAPFVDQRLSASTSPSPSPPSSSSPERVYSRSRETSPRISNVSDQNLESPMIICSPAQQAAPVSIPSPPSTVSAPPPPPPPPPTVSIPPPPVVSIPPPPPPPPPIKHWESPATPSAAAIRILTEPPAIITPLRPLSMQNPTVISPMQLPSNLEAVEKTEETIETQGRPVTENVVKDEETNEETPKPKLKPLHWDKVRASSDREMVWDQLKSSSFKLDEEMIETLFVVKTPTQVPKETTRRPILPSPSQENRVLDPKKSQNIAILLRALNVTVDEVCEALIEGNADNLGTELLESLLKMAPTKEEERKLKEYKDDSPFKLGPAEKFLRAVLDVPFAFKRVDAMLYISSFDSEIEYLKRSFDTLEAACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRSEGARLSSANQNHSTSVNDDVKCRKLGLQVVSGLSSELANVKKAAAMDSEVLNSDVLKLSKGIGNIAEVVRSIEAEGSEGGSSQKFSESMSGFMKMAEEEIIRIQAQESVALTLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLSILDRVCKEVGTINERTTVSSAHKFPIPVNPNLQPISSAFPGRQRYSSSDDECSSP >CDP03565 pep chromosome:AUK_PRJEB4211_v1:1:36805643:36809805:-1 gene:GSCOC_T00015972001 transcript:CDP03565 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRIRSRDGLERLKIENPQSTIGELKSQIESQLRVPTQSQTLSTNQNLLLAKTLDDLSRFTDMSNPQTPLSSLSLTHGSIIFLAYDGERTVAGGPLVNPAGSFGKKMTMDDLIAKQMRVTRQEVSHCELVSFDRDAANAFQHYVNDTLAFAVKRGGFMYGTVSPEGKVEVDFIYEPPQQGTDENLILLRDPDEEKLVEAIAAGLGMRKVGFIFTQTISQDKKDFTMSNAEILQAAELHAEGDLKEWVTAVVKLEVNEDGLANVHFEAFQMSDMCIRLFKEGWFETVIPEGLDPKLSRMKKDVVVGVKDTKEVDNDFFLVVVKIFDHQGPLSSTFPIENRNDPVTMKALRNHLDRAKNLPFVKRISDFHLLLLLARFLDINADVPALAECVQTRSAVPEGYQLLIESMASAS >CDP03980 pep chromosome:AUK_PRJEB4211_v1:1:33739365:33743204:-1 gene:GSCOC_T00016499001 transcript:CDP03980 gene_biotype:protein_coding transcript_biotype:protein_coding MANVGKVEHPYVPRDLKLPGFVPAFLPPSTIVGAYLLASLLAVSIVWIGSGRIHKISKLDRILMCWWIFTGLTHMVLEGYFVFTPDFYKKTTSVYLAEVWKEYSKGDSRYAARDSGVVSIEGVTAVLEGPFCFLTLFAIAAKKSYRYVIQLAISLGQLYGTIVYFLTSYLDGDNFAASWFYYYAYYVLANAFWVLIPTAISVHCWKRICEAIKDQDLKKTKTS >CDP06997 pep chromosome:AUK_PRJEB4211_v1:1:28647842:28652233:-1 gene:GSCOC_T00024074001 transcript:CDP06997 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVDLDGNPIKPMTICMIGAGGFIGSHLCEKLMSETEHKVLAVDVYSDKIKHLLEPASLPWAGRIQFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENGKRLIHFSTCEVYGKTIGSFLPKDSQLRQDPAYYVLKEDTSPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVHLMIENPARANGQIFNVGNPNNEVTVRQLAEMMTEVYAKVSGEPPLEAATIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLDSTLTYQHRTYAEAIRKAISRPVAS >CDP06998 pep chromosome:AUK_PRJEB4211_v1:1:28660350:28661085:-1 gene:GSCOC_T00024076001 transcript:CDP06998 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEPATCLNPSSATFSRSFPQNLQLPHSFRPLGGGNCSKNELKKSKWFGAFCSLGFVSLTRIQQ >CDP18593 pep chromosome:AUK_PRJEB4211_v1:1:133387:135269:1 gene:GSCOC_T00012426001 transcript:CDP18593 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKLTKNAIFEADNSLEVSLREAFQLLEPQLRPPFPLKLPTQEDYFNLNKAILCEILCEPHVARVHIKHLHAIVTDGYTYFISMLIKIVNELYAKLVDSVKTQLIWVTREMVNVLGVGFDGLLVALLRQIVGGDFSGGNLWLCFEMVSLFRDKWDCLLEDEPLVLTSALYVFLRLLADHCRLPNDSKVETLKRLEIDFCIRMLREKFGLCLKIGRDLVRLLQDLVHVPEFCSIWKDLLLNPSVFQTDAFLDIPQLYLSRTSSRYFLLRITPEMENQLRFLLTHVKLGNQKRYQVWFAKKFLGFPERETLLSDIVRFICCGHHPPNEIIQSDIIPRWAVIGWLLKSSQRNYVEANVKLALFYDWLFFDEKVDNIMNIEPGILLMINSIPSYVDITRTLLEFLLMLVENYDIERKDVIVKGVSSAFTFLVRKGVVQSLDALTCSDVISPFLKQLFEKIFKDMLASLSERAVASLPLKPISAPS >CDP11072 pep chromosome:AUK_PRJEB4211_v1:1:8335178:8349720:-1 gene:GSCOC_T00033083001 transcript:CDP11072 gene_biotype:protein_coding transcript_biotype:protein_coding MACYQNSTHQFWRALHYFGKHFSATQRAYKSFKFSPAKSFLTYSTSPDLQKDYSKLSWSLRYNFSGIASSSSGITNSSGDVIKAAGNVQMQRESAVLGSDQNEDKLVENVDSSKGRVMLIDGTSVIYRAYYRLLAKLHHGHLSHADGNGDWVLTIFTALSLIIDVLEFVPSHVAVVFDHDGVPFGHTSVSSQQNFVSKGLNFRHTLYPLYKSHRPPTPDTIVQGLQYLKASIKAMSVKVIEVPGVEADDVIGTLALRSVDAGFKVRVVSPDKDFFQILSPSLRLLRIAPRGFEMVSYGMDDFAEQYGSLTPSQFVDVVALVGDKSDNIPGVDGIGDVRAVQLITKFGTLENLLECVEQVSEERIRQALIMNTEQAILSKNLATKNDVFVQAMLRCDLPSYMVPFATNDLLFKRPEDDGEKFTSLLTAISAYAEGSSADSIIRRMIYLWKKLEKKQSR >CDP03922 pep chromosome:AUK_PRJEB4211_v1:1:34139591:34142874:-1 gene:GSCOC_T00016429001 transcript:CDP03922 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase 1 [Source:Projected from Arabidopsis thaliana (AT3G07270) UniProtKB/Swiss-Prot;Acc:Q9SFV7] MGALDEGHFNAELQNGVNLGGCVELGLEGGEPETPAIEDAVRVLLQGLGEDINREGIRKTPLRVAKALREGTRGYREKAKDVVHSALFPEVGLDNKIGHAGGAGGLVIVRDLDLFSYCESCLLPFHIKCHVGYVPSGQRVVGLSKFSRVADVFSKRLQDPQRLANEVCKALQHGIKPAGVAVVLQCSHIHFPKFESAFLDSNHEGWIKLLVSSGSGVFEDGKADVWADFLSLLRFRGINTVNIDANGSDQCWCPSHSFTKIAPANSAMMSAVASILRSLGEDPSRKELIGTPARFVTWLMNFQNCNLEMKLNGLVLNQRDSMKPNGDISFGEEHFCSELNLPFWSQCEHHLLPFHGVVHIGYYCAAGGVPIGRSLLQSIVHFYGFKLQVQERLTRQIAETASSLLGEDVMVVVEANHTCMISRGIEKFGSNTATIAVLGQFSTDSTARAKFLHSIPNSFPLYACSRCTPIIVE >CDP17371 pep chromosome:AUK_PRJEB4211_v1:1:4271034:4273738:1 gene:GSCOC_T00009722001 transcript:CDP17371 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGGKYLRILLQNSLLEKVAESWRTYYKMHDLVHDFAKSILNPESSNQDRYLALDSSKGLEENTIRTIPASIRTLFLHLEGGVSTDMLLRFKHLHVLRLYGDDVKFLPSSIGKLLRLRLLDIKSSGIRSLPESLCKLYNLQTLTIDDDVLEGGFPKRMSDLISLRHLNYNDDDAEFKMPMQMGRLTRLQTLKFFNVSQEKGCGIEELGSLTYLKRSLSIRNLGLVKSKEAAKQAKLVEKPDLSQLEFEWESRDWESDNCDEDVLEGLQPHPNLERFKIRYFMGNKISQWLINLPKLVELWIDDCERCSELPSLGQLPSLKYLYLIGLDNIRSVGDEFYGITTNEEEEGRSRASGSSTRRRKFFPALESLWVIGMGNLVEWKGVDQVRSTVGEAEADVFPMLMDFHIRGCLQLTTLPCSCKSLDMNRCHNLTSIKMGSGTASVEELSIRFCDNLRELPDLDLFGSSLQRLTISFCPRLISLGVNGQKCPLPCLEELRIDHCEGLTTISDKMFQSCRSLRSLSVKCCPNLVVSFSLNLQETPSLEKFVLDDCPKLIPHSFKGFAFATSLRELSINIPFSSDDSSVDDFDWSGLRSASTLRELRLRGLPHTESLPHQLQYLTNLTSLTLANFGGIRVLPDWIGNLVSLETLELWNCENLRSLPSEAAMRRLTKLTRVDVFDCPLLRQRYTPQRGIYSSSYFASSESEEEESTTMN >CDP14603 pep chromosome:AUK_PRJEB4211_v1:1:14015971:14024217:1 gene:GSCOC_T00041167001 transcript:CDP14603 gene_biotype:protein_coding transcript_biotype:protein_coding MRQILCRARLQWFASSTLRLKPPSLLGRLVGPSRVEALCTLTASNGLMHWTDSTTKSFQEQIVDALCLGERSKASSLLSELVRTVKTLKANDFLLVLQYCARLPDPLFALETWKVMEEKEIYAGGKCYFYTVRALCKGGYLKEAFNLMGLLRENPAMYPLLPLYNSFFSACVQNESVNYANNCLELMEHQTVGNNEITYALLLKLAVLHQNLPAVHEIWKESIKYYSLNIISLRKFIWSFTRLRDLESAYVTLQYMVRMAFRGNSVIFKTAEGKLSDSRLDIPIPLNGHLSLKNCTKDNGIVPSVPENVDRSVTNPGKLGFEFNFGVESHGASRVSTSRPAKHLELPVMKLLRWSFSDVIHACADMQNCTLAERLISQMQNLGLEPSSGTYDGFLRAVVQARGFYDGMQVLEVMQQKKLKPYDSTLAAISVGCSKGLQLDLAESFLDKISKTPSPYPYNAFFEACGVLDRPERAVRMLAKMKKLNIQPDVRTYELLFSLFGNVNEPYEEGNMLSHVDVARRINAIEIDMMKNGIQHSHVSLKNLLRALGMEGMIKELTQYLHDAERPSSSLYALLGTPVYNVVLHSLVQAKETHMAVETFKIMKSRGISSDAVTYAIMINCCSTMRSARSAYALVSMMIRDGFYPETVTYTSLIKTLLGLDDFNEALKLLNQGKLDKVQPDVLLYNTLLQEACQKGKLDVIELIVEQMHREKIQPDPSTCHYVFSAYVDQGFYSTAMEALLVMSMRMISEDDDIREEERAEFENLIVAEDMEARIIDLFKISMDDIHFALLHLRWCAMLGYTVSWLPNESQWAKRLAENYALLLEK >CDP11088 pep chromosome:AUK_PRJEB4211_v1:1:7877424:7880893:-1 gene:GSCOC_T00033108001 transcript:CDP11088 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQNYSICPITSVFACLVILHVLSSSGVNAASDQMHAASSTGSEGKALLTWKASLDNYSQSKLSSWSSSANPCSAWDGVRCNKAGRVSVINITSSGIRGTLDHLNFSSLPHLTTIDLSQNALRGTIPSNIGNLSRLTYLDFWSNQFGALPDGFNNLTHLNGVALSQNHFTGHLPENICIGSSLAWFTVSENNFVGAIPRSLKNCSSLRRISAADNQLSGNISEEFSVSPYVEHINLNNNKFFGQLPWNWSGYLTLTELRISNNNLSGRIPAGLGEASRLQKLHLSSNHLHGKIPRSLGKLTLLLELKLDGNDLSGNIPSEIGQMSRLLNLSLSANNLSGSIPEQIGNCTQLLDLNLSQNGLIENIPSQIGNLPLLATLDLSQNMLESKLPLELGEMKSIETMNLSHNRISGSIPKGFDDCFSLISIDISNNQFEGPLPNTSAFQKAPFDSLRNNKGLCGNRSVENKPQEFTRNMFSIWSFDGKMVYENIVDAIENFDPKYCIGAGGFGSVFRAELPNGQVVAVKKLHETDGGALRRPKDFTNEIYALTNIRHRNIVKLYGFCSHARHTFLVYEFLEGGSLLHLLNNDEIVAMFDWIKRVNIVKDVANALSYMHQNCLPSIIHRDISSKNILLDSEYQAHISDFGTARILSPDSSHWTSFAGTYGYAAPELAYTMEVNEKCDVYSFGVLALEVVMGKHPGDFILSTLSASSSTSTVYDIPLKDIVDPRLSSPSKQESKQVTLVAKLALSCIEPNPLLRPTMKQVCVQLLKEIPSQFNVFPIVTIGQLLDLQMTNV >CDP09028 pep chromosome:AUK_PRJEB4211_v1:1:31162184:31168574:-1 gene:GSCOC_T00028201001 transcript:CDP09028 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLTSGSSSFRTVATDSTSGMKKSTGRLIASSHKSCSHQSLQRIPFRNQLLSNENLGRVAGNPVASFLLKAAALEVVRRFSKARCPFVWSTLQALQVVCYPPFKWIERWNPFRALVKGMQMLSRPLLVISLATALSDGSGCKDDTLDNEDPPRTSESTSLDSPSDISSVQSAPCMSIGGDGSENLSSKTWLLLLYKELQLEGITLPERIDEEELHRFYETANGNFPRFLSLVKKTISWRKNYRILSEQELKMWSSMVFWHGVDKKHQLCLIVRLGLACMSVPLSDRPRFSQAVVSQVEYGVLHLMDNEHSRITVLVDCEGLSPLKFPMQMSLTCCNILQEHFPKRLGCIYVVRLPLVARVIAQTFIQFLKPGTRQKLKILGEMYQEALSSCIETLPSCLGGLCTCLTCSRLDICNRQQPCITESNWGESFANITSEEVLSALDPTYDADILLSASGEQLLRKAVVGILMFWVLIAFFAGVYGS >CDP09678 pep chromosome:AUK_PRJEB4211_v1:1:24228166:24230615:-1 gene:GSCOC_T00030094001 transcript:CDP09678 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLLSEQKEVHSSVSSSTKQKGGFRASMFIYVFSTLDNIGFVANVASLVLYFHFVMQFDLTASANTLTNFMGSTFLLTVFGGFISDTYFNRLYTCLSFGILEVLVIVAATMVRSCGNLSIPVNPKELYETDDRDAIEHTSQFRFLNKAATVQEGETPEPWRVCTVTQVEEFKILIRMLPILLSTVIMNTCSAQLQTYSVLQGYSMNTRIGSLKFPSASIPVIPLVFMAILLPIYEFLFVPPARKFTGHPTGITQLQRVGIGLVLSFISMGIARLIEVKRRHQALKDPLKPISPFWLSFQYAIFGVGDIFALVGLAEFFYREAPKWMRSLATSLATDAKEFQAVNESAIYAVEN >CDP09056 pep chromosome:AUK_PRJEB4211_v1:1:31394671:31397911:1 gene:GSCOC_T00028239001 transcript:CDP09056 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLIHHAIDSGITHLDTSDAYGPHTNEILIGKALKGGYREKVQLATKFGHVIREDGTRAGVCGEPAFVRAACEASLKRLDVDYIDLYYVHRIDTRVPIEATVGELKKLVEEGKVKYIGLSEASPETIRRAHAVHPITAVQLEWSLWTRDAEESVIPTCRELGIGIVAFGPLGSGFLASGPKLVENLNTTPDFDARKANPRFQPENVQHNLKMYERVEEMASRKGCTPSQLALAWVHHQGDDVCPIPGTTKIQNLESNIKALSVKLTSEEVAELESIANAIKGERLAPQYLALTWRFANTPPLSSWKAT >CDP03670 pep chromosome:AUK_PRJEB4211_v1:1:36047060:36052578:-1 gene:GSCOC_T00016107001 transcript:CDP03670 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEEPLSKSPLDKPLQQLTEDDISQLTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLEPPTSDSDSAAGTCKKRYIPRPRFDNLNNNNNNKNDNPSLNSATRGTSADAEVSESAEEAVPYEGGKDVEKPDVSGRLLVGDSDSTPPRNTDSSNTPVGQMTIFYCGRVNVYDDVPADKAQALLHLAASPLHLPQEPLIDSSLALLSTRHLQATSVKASPDSAVILLPNTQTVKMNESTRLHGEEYKFPEDNPDVPASRKASVQRYLEKRKDRFKSKRKVGTMPTTSLDVYLNHQMGHQFPNEHPSRTDPCSPPQIRPSNAPIRCSSMENNLTGNANFSAGIKDKGNAVSC >CDP09707 pep chromosome:AUK_PRJEB4211_v1:1:24837903:24846218:1 gene:GSCOC_T00030144001 transcript:CDP09707 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGS1 [Source:Projected from Arabidopsis thaliana (AT5G12290) UniProtKB/TrEMBL;Acc:A0A178UP20] MEEGGMSAVPKTKTPSPVTPLSPTTMKDVKALVSLYSNYFWNRLLSFLPNPNSNFRTKISSFYHSSTTSTTRGSSKRRRRTTCLPLPLPPASSPDSPSSLLTVEASKIRNVLEDVMECVFLNLHYIQKNLQFWQSRAEGSNAQKVYFMVCERGPNAFVNGVIQLMRDSLAEHTGMQRMSCSASSYMSERIIVLTNLRYFLAAFLAQVYTETDRVGEDLVKEPEKSVPSLLVTVNDLFLKLEASIGHFHAMRQGDSSVDGSYSFPLMFEKLPEVNQEGSQWTECETTDAINLICQNLHKLDSYLSTLVTKHRKPKKMTLYWMHYTGGVVGISLCSLWLLRHSRLMGSSDIDNWISEAKDSTLSFWNDHVEQPLLSIRNELFETFRKRQKGVMEREEVQFTADSLHRMLLAFSEQTKGHKLPQNASDQEMLEIVMGRYEKEVMHPIQNLVGGELVPPFCGQVQKLKLDIETAMLELDQILRANEINFAILAALPAFALSLILLMLVRAWLKQDTRAEGRGRVARIQRRLLVVEIERGIMQIQTCRDQGLENDAECMFGLVLYSLDRLYCAVERHAKATGEWMCLRQDIYDLAKPGLQSANKLRITSRMERVYDCLLPSPKRQ >CDP06878 pep chromosome:AUK_PRJEB4211_v1:1:27374749:27377805:-1 gene:GSCOC_T00023914001 transcript:CDP06878 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAO [Source:Projected from Arabidopsis thaliana (AT1G08380) UniProtKB/TrEMBL;Acc:A0A178W5Y5] MATTFATASTVVGLGTSSLSSPSRASSPKKVCLNSGFLKSPVTTRNPLSLAQISGGKFTCFERDWLRTDFNVIGFGLIGWIAPSSIPVINGKSLTGLFFESIGTELAHFPTGPPLTSPFWLWMVTWHLGLFLILTFGQIGFKGRTEGYFDK >CDP07021 pep chromosome:AUK_PRJEB4211_v1:1:28899980:28901632:1 gene:GSCOC_T00024104001 transcript:CDP07021 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRNVIVAAGLVVFAAAGLAFPFFMASRSSKMKPVIDSSKPLPPQATFRGPYINTGSRDIGPDHQSYPKK >CDP09204 pep chromosome:AUK_PRJEB4211_v1:1:32446719:32450979:-1 gene:GSCOC_T00028434001 transcript:CDP09204 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFRNLIPLSSSTTFGTHSSLKPITTATSLSYAFTHQLLHGAGTVAATYAGLAPTKGDEKARVVVLGSGWAGCRLIKDIDTSIYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIGRIQPAISREPGSYYFLANSTGVDFQNHLVNCQTVTDGAGTLEPWNFTIAYDKLVIAAGAEASTFGIRGVKEHAIFLREVHHAQEIRRKLLLNLMLSDVPGVSDEEKRRLLHCVVVGGGPTGVEFSGELSDFITRDVRQRYAHVKDYIRVTLIEANEILSSFDDRLRIYATKQLIKSGVRLVRGIVKDVQPEKIVLSDGTDVPYGLLVWSTGVGPSPFVKSLDVPKSPGGRIGVDEWLRVPAVQDVFAIGDCCGFLESTGKPVLPALAQVAERQGKYLAQELNRIGKAGGGRANAAMDIDLGSPFVYRHLGSMATIGRYKALVDLRQSKEARGLSVAGFGSWFVWRSAYLTRVISWRNRFYVAINWLTTLVFGRDISRI >CDP04049 pep chromosome:AUK_PRJEB4211_v1:1:33227408:33229233:-1 gene:GSCOC_T00016583001 transcript:CDP04049 gene_biotype:protein_coding transcript_biotype:protein_coding MANKISSLLAFFGIIIAFVLRFSLIKADYGGWQGAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLTCGACYEMRCDGDPKWCLGGTITVTATNFCPPNFALPNDNGGWCNPPRQHFDLAEPAFLQIAQYRAGIVPVAYRRVPCAKKGGIRFTVNGHSFFNLVLVTNVAGAGDIHAVSIKGSRTGWQTMSRNWGQNWQSNSHLNGQSLSITVTASDGRTVTSYNVAPANWQFGQTFEGGQF >CDP14080 pep chromosome:AUK_PRJEB4211_v1:1:10832402:10840645:1 gene:GSCOC_T00039274001 transcript:CDP14080 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGESSESPEGKKKSKSKTPRKPKDSVLKQKSPAEFFADNKNIAGFDNPGKCLYTTVRELVENALDSAESISKLPIVEITIEEIVRSKFNSMIGLAEHERVDEELYDDFESAKAREKRLAKEARIQEIQAKNANLGKKVKEPVASKAMRGRGETSYYRVTCKDNGKGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEITSSMKGQNYTSFCRLDIDIHRNIPHIHVHEKQDKKERWHGAEIQIVIEGNWTTYRSKILHYMRQMAVITPYAEFLFRFLSDAPDKNVTVKFARRTDIMPPVPLETKYHPSAVDLLLIKRLIAETSKQNLLQFLQHEFVNISKSHAERLIGEMGPDFSHKMLVKSLTSQQIVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELHPDMVATYSGSAQVFEGHPFLVEAGVSVGGKDVKQGVNIFRFANRIPLLFEQGADVVTRTALKRINWNSYKINQVQDKIGVFVSIVSTKIPFKGTGKEYIGDDISEIAAAVKTSIQQCCTQLKSKILKRIQAREQQERKRNLSKYIPNVTGAIYDVLKEMSHLHASKRKRYANEDAEVLNKVSNHIITRETLGEKLAQHVEQVDYEMALEYATQSGVNEEPRENIYIQTLEGESKFVDFQSPVFVFRLFQ >CDP17681 pep chromosome:AUK_PRJEB4211_v1:1:5487118:5488530:1 gene:GSCOC_T00013226001 transcript:CDP17681 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKQISSCWKRNSIVPCRFCENVKRKKLLSLFSENGSSSFRVKVYECCLDELQVYFVSLKVGISFTHIWKCPTFHIFWRFLLI >CDP07082 pep chromosome:AUK_PRJEB4211_v1:1:29498115:29499375:1 gene:GSCOC_T00024195001 transcript:CDP07082 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAQKVFVVNTAIITLAIIVLLLLRLLLLLLLLLLASSSCYYCCTAAPATFALASPNRPYPTRSLDSRLKIHRNLAGIVILSTVQKGSSRYQDLVPSPTFIVSSFFEVTPFPNSYNPPHGLHQFTSFIA >CDP09143 pep chromosome:AUK_PRJEB4211_v1:1:31994075:31998073:1 gene:GSCOC_T00028352001 transcript:CDP09143 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRRSRYCLSPSPYRRYDRSVSRSLSRSRSRSRDSSDAENPGNNLYVTGLSTRVTKRDLEKHFSTEGAVEDVHLVIDPWSRESRGFGFVTMASVKEADRCIKYLNHSVLEGRVITIEKFLCKQVSCVKYQTLNQRARRRRGRTPTPGRYLGLRTIHVHRRSPGCSRSSSRSPRYSSETYSRSRSRSYSPYYGRRYSSGRSRSRSYSPYYSRRRSYSPYYAYYRRKTYSRARSPCGRSPVSRRDRSYSPYYRDYSPDDRYRRSRYRSISRSISPRYRRYSRRSYSCSVSPGYPRHSRRSYSRSLSPLPRKSSRRSFSRSLSPRRRKGWRRRVSRDSCSSRSSSRSYSASSRSVSRSVTPTSD >CDP09208 pep chromosome:AUK_PRJEB4211_v1:1:32480668:32481360:1 gene:GSCOC_T00028438001 transcript:CDP09208 gene_biotype:protein_coding transcript_biotype:protein_coding MHILFESLLFCSLLREIGSNNSSVVTPSVIVVNQPPEPVNQPPELVNQLAEPVNQSPLFNFLSCQNEINLGYSVGASGQRNHIFKCYKRRRLATCGS >CDP03505 pep chromosome:AUK_PRJEB4211_v1:1:37223345:37223952:1 gene:GSCOC_T00015901001 transcript:CDP03505 gene_biotype:protein_coding transcript_biotype:protein_coding MCHGLRNCLRKGQRLPIIKISFSTKRIILLNDDCLIQLLPRSHHHEIHIKPSILGPHCGLVPMGMPSTNTTTTGLQWDLNNTEMEFGGLISTSNIGKGRIVTVHSDTDLIWTISIRKT >CDP17021 pep chromosome:AUK_PRJEB4211_v1:1:38134685:38138791:-1 gene:GSCOC_T00004920001 transcript:CDP17021 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLKSERCQFWLPKKNRLCANAPLPNSSFCGNHTQRSNHQWIQCPLDPSHSVLQHNLENHLTRCPSLKQAQSLSLQPYYQKGINAGGGIDEDHTATASHMKRRAIYNKTVPEFCDLIRKIKSIHASLSDNTRIQDSFKIPQACAVWSTRRQIDRKLPFQEKHVLQQASILGNLEEFGLLPKSGVEDAVIDARTLDRSDVDVPAVVEFGAGRGYLTQLLADCYGIKKVLLVERKSYKLKADRSLRQIENLLLERLRIDIEDLNLNAVESLQGVPYLAIGKHLCGPATDMTLKCCILEQSAQNHADQLKASCFLRGLAIATCCHHLCQWKHYINKNYILNLGLTKEDFHAMTWLTSWAVDADHGSDIFVVDSSPNLSLNEKEEIGAELSQSGVGDVVRNMSAVERAVVGFMCKDIIDAGRLMWLKELGLHSQVVKYVPPGISPENHLLIARQGNGLYDDSVAVK >CDP09112 pep chromosome:AUK_PRJEB4211_v1:1:31775532:31777502:-1 gene:GSCOC_T00028311001 transcript:CDP09112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g46790, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46790) UniProtKB/Swiss-Prot;Acc:Q9STF3] MWTLYTSHTLQPHYFPLNFRPASRSHHQATVALRLPTATSTTPSTINHNPSIQSLCVKGQLKQALELLSQEPCPTQHTYELLILSCANQHSLSDALIVHRKLIDNGFDQDPFLATKLINMYHELDCIERVRQVFDKTLKKTIYVWNALFRALTLWGRGEEVLGLYSRMNRIGIPSDRFTYTYVLKACVAAETLGSLLQKGKEIHAHILRHGYESHIHIMTTMVDMYARFGCVADATSVFNSMPVKNVVSWSAMIACYAKNGKPFDALELFHQLVLDNCDTLPNSVTMVSVLQACAAVAALGQGKLIHGYILRKGLDSILPVISAMVTMYARCGNLEWGKRVFSQMEKKDVVAWNSMISSYGIHGFGREAIEVFKEMILSGVSPSPISFVSVLGACSHSGLIEEGRFLFESMIKEHGITPTVEHYACMVDLLGRANQLYEAAKIIQDMRIEPGAKVWGSLLGSCRIHCNIELAERASKRLFELEPRNAGNYVLLADIYAEAEMWSEVKRVKKLIEARGLQKVSGCCWIEVGRKVYSLTSVDEFNPQIEQIHALLIKLFIEMKEKGYVPKTKVVLYDLEADEKERIILGHSEKLAVAFGLINNSKGETIRITKNLRLCEDCHSFTKFISKFAGREILVRDVNRFHHFKDGVCSCADYW >CDP09636 pep chromosome:AUK_PRJEB4211_v1:1:23596475:23600175:-1 gene:GSCOC_T00030031001 transcript:CDP09636 gene_biotype:protein_coding transcript_biotype:protein_coding MATFANPDNTLICDEEIKSDKGSLPAKRDPELASLDEENIHEDTDDPNKKLKLGNDLSIENNDRSIGNDPLIPDVEENKDVNGTKNHSAHVEQVLGNEDNEVEDQDGEDYEDAEEEEEEDEDEDEDGGEDSDDDDSDANAEIVDRKGKGIMTDDKGKGKLVEVSQDSSDSGSESDADSDLSDDPLAEIDLDNILPSRTRRRVVQPGVHISKDQCAKEDEDDSD >CDP18575 pep chromosome:AUK_PRJEB4211_v1:1:268645:270543:1 gene:GSCOC_T00012406001 transcript:CDP18575 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEMSRYWCHACSRVVSPIMEIETIKCPICQGGFVEEMSTVGNEAPPDFGGSASDSDRALSLWAPILLGMMSNPRRRRRFRRLEFEDDDHDDDYDHDGELNSNNHHHHHHHRNEGEETELDRELESIIRRRRSSSATVLQLLQGIRAGMLSESDNSRNDARPPRDREIRDGERVILINPFNQTIIVQGSYDSNSSDSQNQPFGSLGDYFVGPGLDLLLQHLAENDPNRYGTPPAQKEAVEAMPSVTVEETSQCSVCLEDFEIGAEAKEMPCKHRFHVGCILPWLELHSSCPVCRYQLPSDESKLESRVSRNGSNNNDAGGNNAAASGSEGGEGGGRSENTRRFSLPLPWPFSSLFSSGNGNSSSTPESSNNTSQRSSETNGN >CDP03713 pep chromosome:AUK_PRJEB4211_v1:1:35650546:35652597:-1 gene:GSCOC_T00016180001 transcript:CDP03713 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFELSASLLLCLIPLFCFFFVYFKTFVRNLFASKSSKIPRSYPLIGSYFSILANNERRVQWTSDIVRSSPNLTFTLHRPLGHRMVLTANPSTVQHILKSHFHVYGKGDVFRGTLSDFLGEGIFNTDGANWKFQRQVSSHEFNTRSLRKFVETVVDTELSERLVPILAAAADNKTVLDFQDILQRFAFDNICKIAFGYDPAYLLPSLPEAAFAVAFEDAMRISSQRFYSPVPLIWKVKRALNVGSERKLKEAVHQVREFAKQIIKEKKAELEEKSSIDSVDLLSRFLSSGNYDEDFITDIVISFILAGRDTTSAALTWLFWLVFGNPNVEKKIVEEIKEKSESPVYDEVKDMVYTHASLCESMRLYPPVPTDTKEATEDDVLPDGTVVRKGTRVAYHPYAMGRIEDVWGSDWAEFKPERWLEKDGLSGMWNFIGKDSYTYPVFQAGPRICLGKEMAFLQMKRVVAGVLRRFKVVPAIEEGVEPVFMAYLTSKMKGGFPVRIFERVGY >CDP14433 pep chromosome:AUK_PRJEB4211_v1:1:9868826:9869902:1 gene:GSCOC_T00040884001 transcript:CDP14433 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTGNFILKGNDDSWLWESFKHPADTILPNQELKIGDFLSSRKSATSFSRGRFYLRFRDDGNLVLVTKSVSTNVDDDAEYYNSKTSDSRDPLYSGSRVTFDGRGAMYIMKKDNQTEQLSPFSIPPASENYHRATIDFDGVFTHYYHPRTLTGNPNWKALWYLPQNICISLRANHRGSGACGFNNICNLKNGKPVCECPKRYVLLDPDDTYGSCIPNSTLSCAEVDGGSAENLYDFEVVSDIDWPLSDFEQIHPSNERVCVQKCLHDCFCAVAIYRENNCWKKGPPLSNGKADKTIHSKAFIKYRKSDESKPKDRGTFIRVEPVLLGTSFLVNLIFITTACLGFYLMYRKKRIISFEY >CDP09250 pep chromosome:AUK_PRJEB4211_v1:1:32807412:32812287:-1 gene:GSCOC_T00028495001 transcript:CDP09250 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPHPSPERMASFVSLNCSFPLQPPPSASSSSSSLSSPQSQPRKLIDILSSTCHQTTTTATRTTPASTPPPHNNYGGCSNLIKPIVVNGEPPTFVSAPGRRIVAVGDLHGDLDKTRSALEIAGVLSSDGQDLWIGGETVLVQLGDILDRGEDEIAILSLLRSLDIQAKADGGAVFQVNGNHETMNVDGDFRYVDSGAFDECTDFLEHLEECEYNWEEAFASWVDVSERQRDERKRSQSYWGPWNLVKRQKGVIARSALLRPGGPLASELARHAVILKIDDWVFCHGGLLPHHVSYGIERINKEVSHWMRGLGNTDSYLETPFIATRGYDSVVWNRLYSRDISDLYDYQISQIESILEETLQAVGGRRMVVGHTPQPMGANCKFNCSIWRIDVGMSSGVLDSRPEVLEIRGNKARVIRSKRDRFSELQVVDHV >CDP09050 pep chromosome:AUK_PRJEB4211_v1:1:31359401:31360699:-1 gene:GSCOC_T00028232001 transcript:CDP09050 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFSVSHFTPITFLYFLLLLLSMSYKPIFSIEITNLLSSYPDLSDFSDLLTSTAVASDLAPRTSITLLAVPNAFLHSSDLANHHSPPSPSSPNLADVLRYHVLLEYLSWPDLRLIPPNGRLVTTLFQATGRASTNSGFVNITRNPVSNAITLHSSTSNATLLSLVKTLPYNVSIFSIDSLLIPSDTNLMASETRPPLGLNITKALIDGHDFNVAASMLTASGVESEFEDDEGGAGITLFVPTDEAFSDLPARIKFQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATESMGAGRFTLNISRINGSVAIDSGIVQATVTQTVFDQNPVAIFGVSKVLLPKEYFGSNPIEVDKPVGGQMGSDAPPPDISLSPENSPGFYGPPSHISSPPGLDMTSTAAADKGLLSWRIFLGLWCIGVYYFYLLLV >CDP19293 pep chromosome:AUK_PRJEB4211_v1:1:13840054:13848929:-1 gene:GSCOC_T00011258001 transcript:CDP19293 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSGGAVADKKKARHASTSDTPPRKQAAKRDVFQLFAEKVRDHKDLESRWAVLQETRVEYFRGKDFLSFLRNHPELKNILESDRNLEVEDIANVLLRKNLLVRCDRVVKTVRPGKKKLSTWPAHLEIFPDQVFSDNDAFFAWTFVRRHPLWQTLLSFSWPVLTLAICLFPVYPHRCKLLILYSCASVLLLILCLLLLRALAFGAGWILLGKRIWFFPNILAEEATLKELFQFWPQKDEGEPPKWTARLFFAVVSVLVILLLKHHAPDEAARARYQKRVYNIIDDVLEWSPRLALSGMMEKQSVINATEANNFTEGGKSNPEEVVIPEDGQNISEDEAEEGIGVVESTDQHEFEEEIENVDDTDHQHQRNNDL >CDP03509 pep chromosome:AUK_PRJEB4211_v1:1:37198193:37200050:-1 gene:GSCOC_T00015905001 transcript:CDP03509 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLFVAAEGWLSEFSGNQAMRFGNLPQWAIELSNSIKEAVFVSHYLSESRNLPTRDKGKEAYPLPPELLWREPLFDQLIANTYQPGEGICAHVDLMRFEDGIAILSLESSCVMHFSLVEDELSDYREEKAGKNSSSAKIPVLLTEGSLVLMWGEARYFWKHEINRKPGFQKWMGQEIDQRKRTSITLRKLC >CDP09709 pep chromosome:AUK_PRJEB4211_v1:1:24990637:24992205:-1 gene:GSCOC_T00030147001 transcript:CDP09709 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLSEAAQSGEISELYELLRLDPTILDKYDEPSFVDTPAHIAAAAGSSHFAIEVLSLKPSFGSKLNPDGYSPLDLALQSEQYPTVKRLVKYDPELIRVKGRERLTPLHYVAQVGDADLLAYFLLLCPKSIQDLTIRGETAVHIAVRYIKVRALRVLLGWLEIAENEQILNWKDENGDTALHIAASTNNFEARDVVRLVISEVKRLKTINAKNLEGLTCLDTFLGLAIRGDERIAKALRDAGAKRSSSLRPSDNLADILSRNPGRMLDFYAALNDVSSETRNALLVVAVLFVTATYSAVLKPPGGISSAGDTILSFQNPCNISSSSSFAGNNTTIYTSNGATGKAQMDGELFPSFITLNTALFVISLRVIFLLLPRPLSLYMGTFGVCLLANYLLSVAIISPERAFSQVFANVFMILILHMISMLFENQDLNPLRRPDLYM >CDP07116 pep chromosome:AUK_PRJEB4211_v1:1:29878188:29885110:-1 gene:GSCOC_T00024234001 transcript:CDP07116 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAVPASFTGLKSRENGLGFAKSMEFVRVSNTQRVKFRRTKVSVIKNSNPGQEIVELQPASEGSPLLVPRQKYCESVHKTIRRKTRTVMVGNVALGSEHPIRVQTMTTTDTKDVAGTVEQVMGIADKGADLVRITVQGKREADACYDIKNTLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEQLEYTEDEYQKEIEHIEQVFTPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVIMVEAYRLLIAEMYVLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRRLANLGTRAAKLQQGVEPFEEKHRRYFDFQRRTGQLPVQKEGEEVDYRGVLHRDGSVLMSVSLDQLKTPELLYRSLAAKLVVGMPFKDLATVDSILLRELPPVDDSDARLALKRLIDISMGVITPLSEQLTKPLPYAMVLVTLKELSRTRLVVSVRGDEPKEELEILKSTDATMILHDIPYTVEKIGRVHAARRLFEYLSENSLDFPVIHHIQFPRAIHRDDLVIGAGSNAGALLVDGLGDGVLLEAPEQDFEFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIAMEQATDALIQLIKDNGRWVEPSAEE >CDP03811 pep chromosome:AUK_PRJEB4211_v1:1:34916043:34916646:-1 gene:GSCOC_T00016297001 transcript:CDP03811 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESTSDSSSNLRIIVQKNPSESQLSELGIKSWPKWGCSPGKYQLKFDARQTCYLLRGRVKVYPKNSSEVVEFGAGDLVIIPKGLSCTWDVSVAVDKHYKFDSSSPSSSSSGS >CDP11100 pep chromosome:AUK_PRJEB4211_v1:1:7297484:7299319:-1 gene:GSCOC_T00033130001 transcript:CDP11100 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLNNHVLAILDKCKRLNHLKQLQAFLITLGHSKTQFFVFKLVRFCTVKLSNITYARLIFDHLDSPNSHLYTAMITAYTSLQDHHTSLFLYREMVRNSQERPNHFIFPIVLKSSPVVVRPYGTEMVHSQIESSGFGKYQVVETALLDAYSRFSADIQIARKLFDGMSERTVVTWTAMISGYTRVGQIGDAILLFEEMSECERDPPFWNSIIAGCTQNGLFSEAISFFRRMVLEENMSRRNRPDQVTVLCALSACGHSGLLQLGKSIHGYVYRNSLSLNKFVSNALVDVYGKCGSLKEARRVFDQTQNPTLTSWNSMINCYALHGKSESAISVFEEMLECADQVEPDGVTFVGLLNACTHVGLVEQGRHYYDMMVQRYGIEPKIEHYGCLIDLLGRAGQFDEAFKVVSGMKIVPDEVVWGSLLNGCKIHGRPDLAEFAVKKLIEIDPNNGGYIAMLATLYGAMGKWDEARELRKMLMEQNAYKTPGCSWIELDNQVHQFYSVDKSHPRTEEIYAVLECLADSY >CDP04031 pep chromosome:AUK_PRJEB4211_v1:1:33404913:33406031:1 gene:GSCOC_T00016559001 transcript:CDP04031 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKRPRSSESWEHHYNSSCYGTNFFHERKGHNSPVSMNPYTMRNYWVPAEPSDNRQWAPMEASDYRRFAPTGLAVAVKDILPVELNPWQRVSTEASDFRRWIPTAASDFLPVELNPKKSTATPSVGLKMVAGSAYLPKDNPEKPEGDDAHFVSLEAQTIGVADGVGGWCKQGIDAGKYARDLMKNSRVAAESEPNGAVNPKRVMQEAYSNTKAPGSSTACIITLSGNALRAANVGDSGFIVVRDGKVVYQSPVQQHHFNCPYQLGNSKDDPSLAQELQIEVQKGDIVVAGTDGVFDNLHGFEIEEVIKSSSNKGDKPDYMACTIANLALYNSFDRYAADTPFARKSREAGHSHHKGGKVDDITVIVAWIQ >CDP16764 pep chromosome:AUK_PRJEB4211_v1:1:3293474:3296240:1 gene:GSCOC_T00019254001 transcript:CDP16764 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIGAAIQVTLETALSLASDRIGMLVGFKKDVASMTRSLRLIKGVLADAEAKQNQNEAVQEWLKSLEEVAYDAQNVLDELHYESLRHQVRDINLELNKINEEANRLELVSRLVMTAALPAAVGDTRSRQTDSVVAPMIGRVDDESNILEMLLRPSEKVVSVLPIIGMGGLGKTTLAKSIYNKKQIDALFDKKIWVCVSKKDEVGDRQNIVQEVGKELGKLDEVGDRQNIVQEVGKKLGKSRYLLVLDDVWDNNHALWDDFFATLKGLNPINPPKGSWCLITTRLHRVAGNGYPLGRLPDDHCWSIIKGKVVEGEEVPNELDKIEDAIKDRAIQICNGLPLVASVLGYLKNRTMENKTMEEIGEYYLEILLQSSLLEEIRDGWRRCYKMHDMVHDVSKSIMSKSTKFINSETGSGDNSNQVCCLVIDSFGEGTKNLFESRSNLLHTLFLSRGSLSDDMLMKLKNLHVLNLSGEENQNLPISIGKLIHLRYINFEDSTSETLPESVCKLYNLQTLRLSDSAVKHLPKGTCDLISLRHLHYDPWDENFQMPLEMGRLTCLQTLKFFNVGRKKGRRIGELGSLKNLKGKLEIRNLELVKDRKEAEEAKLFEKANLFRLELWWACNREGGDYNDKDVLDGLRPHPNLEELVIWYFMGDQFPRWLMDLPTTLPKLARLEFTYCHRCRELLPLQNFASLKELTIWRSLKLVPSQMMVVIVKILQFTMSLIGLD >CDP15616 pep chromosome:AUK_PRJEB4211_v1:1:26760101:26763023:1 gene:GSCOC_T00015533001 transcript:CDP15616 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNQEVSNTVGKPIHCRAAVARKAGEPLVIEEIIVAPPKARELRVRVLCSALCFSDIHFWRLKEPHGYYPRIFGHETVGVVESVGEGVEDVKVGDTVIPSFLAYCGECPDCTSIKSNQCSKLRFELSPYIRDGTSRFSDTKGETIYHFGYTSGFSEYTVVDITHVTKVDPALPASRACLLGCGVSTGVGAAWKTADVEEGTTVAIFGLGVIGLAVAEGARLRGAKTIIGVDLNPDKAEIGKKFGITHFINPRELGGKLASEVILEMTDGLGADYCFECVGLPSLSQEAFTCCRKGWGKTIILGVDKPDSQFILNSLVNNHSGKTITGVQYGGLKPNLDIAILAKRYLEKELQLDLFVTHEIKLEDINKAFKLLIEGKCLRTVIWFDQGRARADGVSFNEI >CDP17070 pep chromosome:AUK_PRJEB4211_v1:1:37762725:37763783:-1 gene:GSCOC_T00004981001 transcript:CDP17070 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAGVSLSTPQILLFKASHSTLKFPCLNHPCKSSTTAHFVHRGLSVRPLAAAPERLSDQVAESVKHAEEACAGDPESGECAAAWDEVEELSAAASHARDRKKETDVLEDYCKDNPETEECRTYDN >CDP07062 pep chromosome:AUK_PRJEB4211_v1:1:29272896:29274848:-1 gene:GSCOC_T00024164001 transcript:CDP07062 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEAPFGSSMHGVTGREQSFAFSVATPETVPAETSANFALPVDSEHRAKEIKIFSFAQPHMRSFHLAWISFFTCFISTFAAAPLVPIIRDNLDLTRADIGNAGVASVSGSIFSRLVMGVVCDLIGPRYGCAFVNLLVAPVVFSVAFVSSAEGYIAVRFMIGFSLATFVSCQYWTSIMFNSKIIGLVNGVAAGWGDMGGGVTQLLMPFLFQLIKMLGATPFTAWRIAFFIPGWLHIITGIMVLTLGQDLPDGNLSTLQKRGDVHKDKFAKVFRHAVTNYRTWIFFLIYGFSMGVELCINNVIAEYFFDRFNLKLHTAGIIAASFGMANFAARPFGGFASDFAARKFGMRGRLWVLWIVQTLGGVFCIWLGRANSLPLAILAMILFSIGAQAACGAAYGIIPFISRRSLGLISGLTGAGGNFGGGLTQLVFFSSSTFSTATGLTWMGVMAVACTLPVALVHFPQWGSMFFPASKDAKYSEEYYYESEWNEDEKQRGLHHGSLKFAENSRSERGKRQVIALAATPPHSTPNHG >CDP07126 pep chromosome:AUK_PRJEB4211_v1:1:29965594:29965854:1 gene:GSCOC_T00024251001 transcript:CDP07126 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSAHQITRVRNSTKSVEIKRSNLRSSAITPLRAANTTISKDLRGIAMEVDQAASDHAQSTSNSLFVLHSHHDDNNMLSSISDH >CDP04027 pep chromosome:AUK_PRJEB4211_v1:1:33428909:33430976:-1 gene:GSCOC_T00016555001 transcript:CDP04027 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAYFYIILWIVPVLRAQSAAELSARSLDSLLQDYAFRALVVRPRTGIVYDGNVPSNLTGIKVAALRLRSGSLRRRGVNSYKEFHIPIGVLEQPYVERLVLVYHNLANWSSLYYPLPGYTYLAPIVGLLAYDAVNLSATNLQELDIRASKDPITIHLPNVQLVPKGLSPKCISFGLDGSFQFDNVINRSACSAAKQGHFSIVVEFTAPAPALAPAPGGGTSRQGGGGEKNNHKVWIIVGSVVGGVLLLLLLCILLACLRKYRRRRNIQRMEEAAERGEPLLMANVGFTKAPVALETRTRPSLEDDYVP >CDP03536 pep chromosome:AUK_PRJEB4211_v1:1:37015050:37016863:1 gene:GSCOC_T00015938001 transcript:CDP03536 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit T, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09350) UniProtKB/Swiss-Prot;Acc:Q9SMS0] MASPAVPPTSLPTLLKDRHTQSHRTQGYSASVTKHGKGLNRGHLRIYAVAAAAAAQGPNQRRRAPPGVDTRIHWENEDEGWIGGPKSQPTQEQLKTDQNLLGQNFSDLLNSSSDSHYQFLGVSAEADMEEIKAAYRRLSKEYHPDTTSLPLKAASDKFMKLREVYDILSNEEKRKFYDWTLAQEAVSREADKMRKKLADPHMQDVDNWESIPDMVDRLGGRNMELSDQAKSALTFDVLIILFSICCIVYALFFKEY >CDP03683 pep chromosome:AUK_PRJEB4211_v1:1:35912537:35914229:1 gene:GSCOC_T00016130001 transcript:CDP03683 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAGKTTAAAAAAAAASKEKEKKRPVSRSSRAGLQFPVGRIHRQLKSRTSAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >CDP06939 pep chromosome:AUK_PRJEB4211_v1:1:28007212:28013140:1 gene:GSCOC_T00023991001 transcript:CDP06939 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVRQAVQEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDEGGGSGPAANGDAAVATRPKGKPKDGGGGEGDGEDPEQAKLRAGLNSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSFLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARESAPSIIFVDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDEKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFEDLARRTEGFSGSDISVCVKDVLFEPVRKTQDAMFFINTPNGMWMPCGPKQSGAVQITMQDLAGKGLAAKIVPPPISKIDFDKVLARQRPTVSKADLEVHERFTNEFGEEG >CDP14081 pep chromosome:AUK_PRJEB4211_v1:1:10840741:10847305:-1 gene:GSCOC_T00039275001 transcript:CDP14081 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNASVIAYKLLTSQKPTINPGDKVLLVYLPGLDFVDAFFGCLRARVVPVPVIPPDPLQRGGQALLHISNIAKSKSSLHWPNLPWLHTDAWIKKSIISAEYNDMDDLSEPKSDELCFLQFTSGSTGEAKGVMITHRGLIHNVKLMRRRYRSTSRTILVSWLPQYHDMGLIGGLLTSMVSGASAILFSPMTFIRDPLLWLQVISTNHATHTAGPNFAFELLVRKLELNKVQNFDLSSLVFLMTAAEPIRPATLKRFIELTQAFGLSQEVMAPGYGLAENCVYVCSAYGEDKPILVDWQGRVCCGYFNPNDKDVDIRIVDPETGKELEKSENEGEVWVSSLSSGLYLRTGDLGRVIEGKLFITGRIKDLIIIAGRNIYSSDIEKTVESSSEILRPGCCAAVSVPSEILLAKGISVPDVSDQIALVVIAEVRETKFSSQEVVKKIETRVAEEHGVIVAATVLIKPRSISKTTSGKIRRFECAKKFIDGTLSVIVEPASRDNKSTPNKKDIIEFLKGLLSEQTGISSAKISTTESLVSYGVDSIGVVRAAQRLSDFLGVQVGAIDIFTATCIEDLANFAENLLVKSRSIPTLPALFGNSVLQSNYWLSPEISIWSLGFVKWWSLYKAQEVASKVLAVHLRGTVFLNHWFRMLGAKIATSAVIDTIDITDPSLVSIGEEDVIAEGALLQSHEVRNGILSLSPIRIGQKSFVGPYAVIQKGSTIGDGAEVFALQTYEGNGDFKNSSANVGKLCQETIRKPSGSESTIQFLGIYMVSCLSSLSAAIIYLFYIWIFEKDISLDHFGYFCICGAFHWLPYTMVAYAAIFDREANEKYLSSWFAHRLNVACHVRFAKFLSGTEAFAMYLRLLGAKIGQNCSIRSINAVLDPKLISIGDGVHLGDFSRITPGYYCQDGYTYGKIEIQENSVLGSQSLILPGAVIERDVILGAISIAPSNTVLQRGGVYLGSQAPVMVRNIMYSLDERIEEMDVNYKKVLGNLAANLAATTLKVRSRYFHRIGAAGKGSLVFYKTIPGFPNHKIFSPGRCYPIIIRHSNCLSSDDDARRDPRGAAIRILSEGKSPFLDLTLKTGKAFHARTIGDFATWLVCSAAAREEHVKHAPHVRDAMWGSLRQPDSYAELHYYSNFSRLFRFENGQEMYVRFKLRPFDKNINEDSGKVEPMGILPPDTGSIPRDEKDTRPLLFLAEDFQCRVNSPDKVRYVLQLQIRPVPEDASITEIALDCTKPWDETEFPYMEVGEIIIDEMLTKEESDGLEFNPFFRCHEVDVIRATSYNQSASLDHGRSVVYEICQHLRNSKPLPETWRIFLDRTDVKLDFSGCPMAARLEKKELSKVTLARTWYTTLWLMSVQPMLQIFLPYFLLGLVINIPFNSIFSINESKKIWVLPLFWVFSGLLGGLLCTLTKWILVGKKEEGETVLIWSKATYMDTIWQAIRTLVAEYLMGMTSGSFLFGIWMKTLGSEVAWDQGAYVDSTEALLNPEMVKIEKYGSVEREALLFGHIYEGEGGKVKYEKIDIEDGGFVGSRAVAMPGVTVESGGKLNALSLAMKGEIVG >CDP15630 pep chromosome:AUK_PRJEB4211_v1:1:26983126:26987454:1 gene:GSCOC_T00015550001 transcript:CDP15630 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGTKCPPSEAGNGSLVFAVNGEKFELATLDPSTTLLQFFRYHTRFKSVKLGCGEGGCGACVVMLSKYNPELDQVEDFSVSSCLTLLCSVNGCSITTSEGLGNSKDGFHPIHQRFAGFHASQCGYCTPGMCMSFFSALAKAEKTNRPEPPPGFSKLTVSEAEKAIAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWRKGEPKEVKLSRLPLYTPDGRFSRFPEFLKGRSKSPKSLHLENSSWYTPTTLEELRSLLNSNLIENDKLRLVVGNTGMGYYKELDNYDRYIDLRYLSELQTIRRNHHGIEIGAAVTISKVIACLKDADTLNYSTDGKQVFEKLANHMEKIASGFIRNSASIGGNLVMAQRKSFPSDIATILLAVGSIVSITTGHKHESITLEEFLTRPPMDSRSVLLSVQIPHLEPKGNGNNSGSNSKLVFETYRAAPRPLGNALPYLNAAFLADVSHQVSGVLVNNIQLVFGAYGTKHATRARKVEEYLSGRMLSASVLYEAVKLVKVDVMPAVGTSHAAYRTSLAIGFLFQFLSPFLRVGSVACGGLSNGLTGDLLKDSLENHRDTSLCQWEYSKLLLSAKQELKSSKEYHPVGEPITKSGAAIQASGEAVYVDDIPSPPNCLHGAFIYSTKPLARVKGVDLESNNQLSGVAALISYKDIPEQGENVGSKAMFGSEPLFADDLTRCAGQQIAFVVANTQKFADIAANSALVKYDTANLDPPILTIEEAVERSSFFQVPPFLYPKQVGDFSKGMAEADHKILSAEIKLPSQYYFYMETQTALAVPDEDNCMVVYSSIQCPELTHSVIATCLGVPEHNIRVITRRVGGGFGGKAIKAMPVSINNIKIKFFSH >CDP09294 pep chromosome:AUK_PRJEB4211_v1:1:18700163:18704665:1 gene:GSCOC_T00028593001 transcript:CDP09294 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEATKIVLSRIQSLDPENASRITGYILIQDQGEKEMIRLAFSPESLLHSYVNQAKACLGISSNSNPIPSSRPNPFSQTSPRIIIPNNNRFHLGANNTTSSPSSPWSTSSRSSRSAAAAASYAAVVNGGGGGSTNTASGSSSPSLSLPFFNNNGSDLSGDEFGNIQVQDQLSFLEESLDPIVSPSGRSDSVLFPYGNCEDSPAHHHHQQLHRRSCSVNDVFLGGDDAGGGGNGGFGWRPCLYFARGFCKNGNSCKFLHGGGDSTSPDSGGGLGPMMVGSPSKIDGIDELLRLKALQQQRFAAAQQLLASGAPPPFAYNKCMNFLNDNQRSAAAALMMGDEFHKFGRCRPDRSEFSAMGLGGSASSASRQIYLTFPADSTFKEEDVSTYFSMFGPVQDVRIPYQQKRMFGFVTFVYPETVKQILAKGNPHFVCDSRVLVKPYKEKGKVPDKKQHQQQQPHLDRGDFSGCLSPSGLESREPYDLPFGARMFYNTQEILLRRKLEQEAELHQALEFQGRRLFNMRFMEKNQGQQLQPGTLQGVSIPSPSQPLSQINQDLILPSDGINLEVPEEVNGNLEAANSPPATTDERVLQEASEALSSGSDSGNKEENTKVEESDTHESLENILPDNLFASPTKSTAELHSSFATPSASADEISPITSSSNYIPMLAPTPTLSMASLKSCYFQMPRFSSGQEATEI >CDP19128 pep chromosome:AUK_PRJEB4211_v1:1:25502188:25507021:-1 gene:GSCOC_T00009384001 transcript:CDP19128 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSFSAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTILVTAFGAVLHVVSTSLLGITAVTISNTIAGEETVHKLASLLLIFLGGSYVILFLTGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTITVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGMLTLIFHDHHHDGDLVSGGVHHRKLIVL >CDP09257 pep chromosome:AUK_PRJEB4211_v1:1:32881526:32885498:-1 gene:GSCOC_T00028508001 transcript:CDP09257 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRVLFTILVHLCTVATCLCHNQPRFTAIYAFGDSFADTGNNNFLVTLLKANHAPYGQCFPGRVATGRFSDGKLIPDILASALGIKEFVPPFLDPSLSPQELRTGVSFASAGSGYDELTTVLTNVIPVSKQAEHFEHYIGRLNQAGGEVAARNIVRGSLSVLLAGTSDFLINFYDTPTRQIHYNLTGYQDFLQHKIRQFIRTLYDQGVRKFLIAGLPPVGCFPIQITTKLRFQLSCADDENRDAQSYNQKLISLLAKIEPTLPGAKLVYADIFSPLIDSIIYPSKYGLAITNRGCCGTGLLEAALLSKTASSTTSEGKPFPHKFPAILIFGDSTVDPGNNNYILTAFKGNHPPYGIDFPGQIPTGRFSNGKLVPDLLASMLGLKESVPPFLQPSLSDRELLTGVSFASGGSGFDELTTAVSGVISMSRQIEYLKEYIERLIKIVGEIEAQKIVGGALVIVSAGTNDFIFNFYDIPTRRLEFSVSGYHDFLQNKLHDFIKELYDLGCRKIIVSGLPPIGCLPIQLTAKHSILRRCVDKENLDSQTYNDKLQKLLPRVQETLPTTKILYADAYTQLMDMIKNPQEYGFVETTRGCCGTGILEAGPLCNAGTPICPNHSQHIFWDSIHPSESTYRYISEQLLKELLPKLSQTEDSC >CDP08449 pep chromosome:AUK_PRJEB4211_v1:1:20742861:20745005:-1 gene:GSCOC_T00027346001 transcript:CDP08449 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRLLLKKPILRASSAAASAFASTSAHPSSSDTFLSEKILWGLKQQGKKPASFNPSLFHELNPLIFTEVLHKCRENLQVGQRFIDLVSLNCPNFKHSSTSLSSAIHVLVRSRRVSDAQALVLRMIRKSGVSRIEIVDSLVSSYEDFGSDLRVFDLLIRTYVQARKLREAVEAFHVLKSRGFCVSINACNSLLGGLVKIEWIDWAWEVYHEIVRCGIQVNVYTLNIMVNAMCKQGKIDAVKGFLSEMEEKGIFSDSVTYNTLINAYSREGKLEEAFGLMNVMSAEGFKPCLLTFNCIVNGLCKNGKYGKATQVLDEMVKIGLSPDTATYNSLLAECCRSGNLSEAESVFSEMLHRGVIPDLVSYSSLIALFSRVGLLDRALGYYKDMKSNGLIPDKVIYTILIGGFCKHGSMLEAMRIRDEMLEKGCLMDVVTYNTILNALCNKKMLSEADQLLNEMFERGVFPDYYTYTTLINGYCKDGNMSKALTLFRSMLQRNLKPDIVTYNTLIDGFCKAGEIEEAILLRDEMITKSVLPNLITYSILINGFCSRGFISDALRLWEKMVDTRIQPSLVTCNSLIKGYCRHGETEKACQFLGQMRSQGVFPDCFTYNTLINGYIKEENMDKAFDLLSEMENQGLSPNVITYNVMLDGFCKLGRMQEANMLYRKMSGRGINPDRATYTSLINGHVSLDNFKEAAWFHDEMLQRGFMPDDKF >CDP06911 pep chromosome:AUK_PRJEB4211_v1:1:27634056:27636821:1 gene:GSCOC_T00023955001 transcript:CDP06911 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSLNRFRALVLLFSLLSDLSVGVLSLGVGINYGQIANNLPSPSRVALLLRSLNISRVKLYDADPNVLSAFSNSNVDFVIGLGNENLQAMTDPGQAQTWIQQHVQPYLSQTKITCITVGNEVLTGNDTQMKSHLLPAMQTVNNALNNLGLSREVYVTTAHSTGILGSPDQVSLEYVLFQPNPGIRDPVTNLNYDNMLYAQIDAVYSAMKALGHTDVQVKISETGWPSKGDPDEVGATPENAALYNGNLLQRIEQNQGTPANPSIPIDVYVFALFNEDLKPGPASERNYGLLYPDGSPVYRIGLQLQEQQQGYLPHIDYSSSGQQKTVYSSFSLLLIALAFLI >CDP03671 pep chromosome:AUK_PRJEB4211_v1:1:36035275:36041227:-1 gene:GSCOC_T00016108001 transcript:CDP03671 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFFASESCKETELNSINPQSWLQVERGKLSKISFESNSSIESLIKVPEPSILPYFKPVDYVEVLARLHEELEACSSQERSNLYLLQYQVFKGLGEVKLRRTSLHSAWIKASSVYERLVFGAWLKYEKQGEELISDLMSSCGKCAKEFGMIDVASELPASSNLFSSGTIVDNGKAVSGQVSFRIGNERILCDRQKIAGLSAPFHAMFNGCFTESSLEEIDMSENNISPLGMRAISEFSVAGCLNEVPPNLLLEILVFANKFCCERLKDSCDRKLASLVSSRQDAVELMEYALEENSPVLAASCFQVFLHELPESLNDSQVVKLLCNSNREQRSIMVGSAAFSLYYLLGEVSLNLDPRSDRTVCILEQLVDSAETTQQKMVAYHQLGCVRLLRKEYNKAEQLFQAALEAGHIYSVVGLARINHIKGNKQWAYEKLSSVISSHSPLGWMYQERSLYCEGERRWEDLEKATEFDPTLVYPYMYRAASLMRKQDAQAALSEINRILGFKLALECLELRFCFYLALEDYQSAICDIQAILTLAPHYRMFDGRVAASQLRTLVREHVENWTTADCWLQLYDKWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASSDHERLVYEGWILYDTGHCAEGLWKAEESISLQRSFEAFFLKAYALADSCLDPSCSSVVVALLDEALKCPSDRLRKGQALNNLGSVYVDCGKLDAAADCYINALKIRHTRAHQGLARVHFLRNDKNAAYEEMTKLIEKARNNASAYEKRSEYCERELAKADLEMVTRLDPLRVYPYRYRAAVLMDNHQTKEAIAELSRAIAFKADLHLLHLRAAFHEHVGNVMGALRDCRAALSVDPNHQEMLEFHSRVNSREP >CDP03537 pep chromosome:AUK_PRJEB4211_v1:1:37012341:37012649:1 gene:GSCOC_T00015939001 transcript:CDP03537 gene_biotype:protein_coding transcript_biotype:protein_coding MDSITRLTADKPLVIFSKSSCCMCHTIKTLIRSFGANPTVYELDELPNGHQLERALVASGRRPSVPAVYIGEELVGGANEVMSLHVKGKLVPLLKQAKAIWI >CDP03931 pep chromosome:AUK_PRJEB4211_v1:1:34076261:34078361:1 gene:GSCOC_T00016440001 transcript:CDP03931 gene_biotype:protein_coding transcript_biotype:protein_coding MARFTFLAFSSLLLLASLSITGAEMSAKVGICYGQLGNNLPTPSKSVELIQGLKAKGVKIYDANPKILAALGGTPLQVSVMVPNEIITNISSNQTLADQWVKTNVVPFYRKTRIRYLLVGNEILSGPDKTTWFNLVPAMRRIRYSVKTFGLNKVKVGTPLAMDVLGSSFPPSSGSFRSDVSDKVLKPLLQFLDRTKSFFFIDVYPYFAWASQPASINLDYALLASKNITYTDPVSGLTYTNLLDQMLDALMAKPKIGTPARPGVVLPAFIFALYNENQKPGPGTERHFGLLYPNGTRVYEIDLSGKTPESEYEPLPKPTNNEPYKGKIWCVVGEGANRTALAAALGYACGQGNGTCDPIQPGGKCYEPNSLVHHASFAFSSYWAQFRKVGGTCYFSGLATQTIRDPSYGSCKFPSVTL >CDP17060 pep chromosome:AUK_PRJEB4211_v1:1:37846515:37852092:1 gene:GSCOC_T00004969001 transcript:CDP17060 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRQATYNFERSQAPQRLYVPPSARSSSGGHDNYEDTDLDNIEYDDRDVDHNINNNDNGTGGNDEIDPLDAFMEGIHEEMRAPPPPKPKDRLDKYKDDEEDDDDPMESFLKAKKDVGLQLAADALHAGYNSDEEVYAAAKAVDAGLIEYDSDDNPILLDKKKIEPIPALDHSSIDYEPFNKDFYEEKASISGMSEQDIADYRKSLAVRVSGFDVPRPLKMFEDCGFSAELMKAIAKQAYEKPTPIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELAKEEGPIGVICAPTRELAHQIYVEAKKFAKPHGIRVSAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALTMLRATYLVLDEADRMFDLGFEPQIRSVVGQIRPDRQTLLFSATMPRKVEKLAREILTDPIRVTVGEVGMANEDITQFVQVIPSDAEKLPWLLEKLPGLIDGGDVLVFASKKATVDDLESQLAQRGFKVAALHGDKDQASRVEVLQKFKSGTYHVLIATDVAARGLDIKSIKSVVNFDIAKDMDMHVHRIGRTGRAGDKDGTAYTLITQKEARFAGELVNSLIAAGQNVSVELMDLAMKDGRFRSKRDARKGGGKRTKGRGGGGRGVRGVDYGLGIGYSAESSSLSSSSAAVPSRSVAANSLRTGMMSQFKNNFVAASSNSQNQGVNSSSSMYANKRTVLSGFVSGGTIGGNTGSAQTTGSAGAATIPSGNNAAGIARDDAIRKNLESSKERPRERRRPSGWDR >CDP16790 pep chromosome:AUK_PRJEB4211_v1:1:3898353:3899160:1 gene:GSCOC_T00019303001 transcript:CDP16790 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAFRFHIAAAILALVFTSVHASDPSPLQDFCVAVPDANAGVFVNGKICQDPKLVKPEDFFFQGLNKPGSTSNPLGSNVTAVNVNQIPGLNTLGVSLARLDFAPYGLNPPHTHPRATEILVVLEGTLLVGFVTSNPGMNMKNKLFTKVLNPGDVFVFPEGLIHFQFNQGHSNAVAFAALSSQNPGVITIANAVFGSNPPIYPDVLTKAFQVDKNVIKYLQSQFWWDNHYYP >CDP03590 pep chromosome:AUK_PRJEB4211_v1:1:36588093:36594718:1 gene:GSCOC_T00016003001 transcript:CDP03590 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAGVVAQSDRVPVVGPMVMPTVMQPAPGVMPEAYAKDAIIAWFRGEFAAANAIIDALCSHLKQLEGGGGSEYESVFAAIHRRRLNWIPVLQMQKYYSIADVALELRKVAATKMMERERIAEEAEAKKKKKKKDAEEEMNKVAAAAGDIAVPLKEKAGENPVSEEEETISVGDENGNGEIVGDGQDSTKDDSLAESEITDAGSHEVPPSLENSDICSHHEECQARREKIKITKGFVNVVRGLKLYEDIFNDAELSKLNDFVNEIRVAGQNGELSGETFILYNQQMKGNKREMIQLGVPIFGQINEATSKCPKSHIEPIPALLQGVVDHLIQWHIISDNKRPNGCIINFFDEGEYSQPFLKPPHLDQPISTLLLSQSTMAFGRTLVNGNEGNYRGPLMLPVKEGSLVVMRANSADVARHVMCSSPNKRVSITFFKVRMEEESTLMPTASPTSAMTVWQPGVPTPHPTANGVHAGFEAMDMIPKWGAMRTPVVMLAPLRPMVVSPRRVSRGGTGVFLPWTVGSRRPAKHLPPRAQKGRLLALPSPVEACKTDTNSDPRVSV >CDP09720 pep chromosome:AUK_PRJEB4211_v1:1:25188938:25191462:1 gene:GSCOC_T00030163001 transcript:CDP09720 gene_biotype:protein_coding transcript_biotype:protein_coding MDRITEKNFIVLEAEDIRKLLQDEIAKVSSILFVSREAATILLCNYNWDVDKISEEWFANEEKVRKAAGLLENYSARDLSKKEFFCGICLENHDCCYDAVGIACGHLFCKTCWKTYISTSINDGRGCLMLRCPEPKCRATVGEELIYSLASDEDKEKYNNYLVRSYIEDRKKTKWCPAPGCDCAVYYIVGSSNGRNFDVTCNCSYGFCWNCLEVAHSPVDCGTTGKWIVKNSSEAENTNWILTYTKPCPKCKKPIEKNQGCMHMTCKSPCNHHFCWLCLGPWSAHGERTGGYYACNAYERAKQSGEYDEDKNKREMAKNSLRKYAHYYERWAGNEKSRKKALKDLKLMETIHLEKLSEIQGEPETELQFIIRAWAQIVECRRVLKWTYAYGYYLPEDQHKKKRLFEYIQGEAEAALERLHQCAETELQKYFCEGVLQEEFNDFRHKLVNLTGWTGYYFDNLVRAIGNDLILNCQDS >CDP15579 pep chromosome:AUK_PRJEB4211_v1:1:26195001:26199401:-1 gene:GSCOC_T00015473001 transcript:CDP15579 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKLWMDCIIVIDSTSQKLRSLVRRRCHFSRDNQGLNELMVELRLVKTFLVCARELAYFWFSLGYPENSKLGLFYHLLRRLENGLPRIDPAGAASSCGDTLENVKQEISDAYVEMLNSVWLPVFLSEYRWRLLDYEPSLFRGRELYSKLLDCLLKSSSPRIEEFMEFLDSLLENLVDISFMGEACDSRFETLFEPLFEALQEKLVFLKNFILFARMQGKLDEAQKELMKHCGVVALSAAHLCYAFWFFRDDDEVLGGVELNISLSIEKIKPVYHEVRSAYIGVLKFQSASLTLSTQSDMFIVGDFVDSLLGNLWELLQNCPAAFMVSLKHQMQALYDGLRFLRNILKKQQEKYDGLDGRTKDLVGAVVNDAGVAIFSLYQKGIEEVLAKEIDATIFCLLDKIELIKEEVEKKHPLALKFDVSTTNTLELIDLLLENLKELASCKVDAVSFAEDRVNFLRSYLKKTVKHPTRHPNLHLQNMQEDLLFLRSFMENNLEQLNQNEKLPIETMQNDLVFLRSFLENNREQHNQHEELQAIWSQVIEVAHKAEFVIDSLVVGDVSFYSLMLLDNITEEIKLVKSEAQNATTISKHVTSQVTKSPRDMPSQSSISAINEVVVDLKDQEQAIIDQLIGGSKQFNIVSIVGMPGIGKTTLAQKVYHDPSIISHFHILAWCCISQVYSKKDLLLEILACIDEKDEYAERNENDLANTLRKHLKQKKYLIVLDDVWDTEAWNALKESFPDDTNGSRILLTSRNHEIPGKPHLLRLLTEEEGWELLQRKLEITREEGYPPELDVLGRQIAKNCNGLPLSIVIISGILATLDQDGWEKVAERLSSNSVVGATGQCKSILELSYVHLPDHLKPCLLYFGAFREDQEIPIRRLMWLLVAEGFVQKNELESTEKIAEGYIMALINRSLVMVGQRRSTGGVKTCRIHDLLHVFCVGKAKDQNFLHLVQGYDEFLELDELQHPRRLSIYCQPKQFAKSRIFCPHLRSLQHSTSGVRRREVSYSLSSIHQMKLLRVLDLGHISLGFTFPNELCLLVRLRYLAVLGRMNIIPSSLANLLDLETFIVTTYYSGGGLSLLQDAFWNMQKLRHLHVRGALIDLSLANDNPESSSVLYNLDTFSTPKLYVGQSLDAMIRKFPNIRELKCCLLESEESTSDSIKIVAMDSLRQLESLKLLLSQVSAHHIELLLPSNLRRLTLVDFSWSLLSSVGQLSNLEVLKLIGQSDGDGVKEWDMEEGLFPNLKVLKLKSLNIVCWKGSGDNFPCLEKLVLEDCVELEELPSCLWETLTLQLIEVHGCLYSTGDLARDIMEKQMDYGNEDLKICISGEIEDTSSWSD >CDP03927 pep chromosome:AUK_PRJEB4211_v1:1:34108681:34115887:1 gene:GSCOC_T00016434001 transcript:CDP03927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13020) UniProtKB/TrEMBL;Acc:F4JS69] MHGLILASFGIFSSKCYFRYKSLEELGDGTCGSVYKAINTQTSEIVAVKKMKRKFYDWEECMNLRESLRKLNHPNIIKLREIVRENNELFFIFEYMEHNLYQIMREKQRLFSEEEIRGLMSQVLQGLSYMHRNGYFHRDLKPENLLVTRDIIKIADFGLAREVSSLPPFTEYVSTRWYRAPEVLLQSSSYTPAIDMWAVGAILAELFTFCPIFPGESELDQLYKICCVLGRPDWSIFPEARNISRLVDISYFEIMPANLSDIVPNASMEAIDLIKQLFSWDPAKRPSADQCLQHPFFHVGSWIPRPPPDPLQLTLSNFGSTPNLELNLWDFGSKRDDCFLGLSLALNPTTSNIEMVHKNQRKKEDMLFCSGFQEDSQQSVFWSLLPPDDHGISDPVESSLSLSFSTISSSPNGVPQSAGFAIASLQSNILDHPLLALSSPFQHGRCL >CDP13161 pep chromosome:AUK_PRJEB4211_v1:1:1526032:1530013:-1 gene:GSCOC_T00038008001 transcript:CDP13161 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRSSLSSSRLKVTVRKISGVFKAVGHQIGVWCSVCHGRCTAHAVKLHRLVRVQVLRLPSILVGCYHLLQLFHPL >CDP19126 pep chromosome:AUK_PRJEB4211_v1:1:25518126:25520581:-1 gene:GSCOC_T00009381001 transcript:CDP19126 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVCCLSVIDPGDSDIIKSMPGDQ >CDP15611 pep chromosome:AUK_PRJEB4211_v1:1:26671367:26673856:-1 gene:GSCOC_T00015525001 transcript:CDP15611 gene_biotype:protein_coding transcript_biotype:protein_coding MFSISTDASSICDDEGQHPYPKTTHWKADTDCCNWDGVTCHNLTGRVIGLDLSCGQLQGVIHPNSTLFHLSHLRRLNLARNYFTGSPISHRFGSLKSLTHLDLSQSDFRGEVASEISHLSNLISLDLSVNELLRYEPSNFEAMLRNLTHLRELSLSGNLPSNIFHLPNMQVLLLGNNENLTVSLPKLNCSISNSLRQLGLWSTNFSAELPDLIGCMGSLNYLNLGYCQISGVIPESIGNLTHLESLDLSSNQLSGSIPPSIFTIPTLSELDLSSNLFTGVRQDFISYSNFMHLGLSSCQIKEFPEFLRNSESLEDLDLSNNMIHGKIPSWFMSKPFDKWLSLNLSHNFLIGTIDQLPITPSLVYLDVSSNSLQGPMPSSICNANILRILDLSNNNLSGPIPQCLGNSSQRLEAMDLANNSLFGTIPTTFSKGNSLRFLMLNDNQLQGPLPRSLANCERLELLDLGNNEIDDKFPVWLETLSNLEVLILRSNRFHRAIGNCQAKSPFPQLRIIDASHNELTGALTKDILTNFNAMKSSKHQRKEFDQYMVGYTGGLPYYIHSVSLVIKGVDISLERVIKTRTVIDFSSNRFEGQIPEIIGSLHSLHTLNLSHNNFSGPIPKALGNLSMLESLDLSWNRLDGTIPRELVNLDSLGLLNLSENSLVGPIPQGRHFDTFREDSYRGNLDLCGSPLTKDCGDTEAPPPPATPWEAEQQYDDSEFFDGFTWKAVLLGYGCGLVLGLVMGGLIFLTGKPRWFVLIVEESFKPRRRPMKWIHIRT >CDP15571 pep chromosome:AUK_PRJEB4211_v1:1:25956516:25967831:1 gene:GSCOC_T00015460001 transcript:CDP15571 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSIINSSDESLDCSSHSQQQQQNQEPHEQLEEQSISGHGDQRVYLVPLRWWKETRDSSLSNEKKGILYTASPMPSYGGPMKLFNNFFSSDLSFNLRKEDHSLSHNRGNDEVGVSGRDYALVSSEMWLQALKWHSDSKIASKDGKSSAEEDMSDVYPLQLRLVVLREADSFGVKISKKENTLECFRRACKIFSVETELLRIWDFSGEMLIFFLSEKIKMLKDSQRQSEQDMLLELQVYGLSDPVRNRGVKRDDMTMQHSNGGSLMTNGCTGNLSSNHLQSNSNMSVGSSCEVGTLGLTGLQNLGNTCFMNSAIQCLAHTPKMVDYFLGDFRSEINHDNPLGMNGEIASAFGDLLKKLWAPGAAPVAPRIFKSKLAHFAPQFSGFNQHDSQELLSFLLDGLHEDLNRVKCKPYAEVKDGDDRPDEEVADEYWRNHLARNDSIIVDVCQGQYRSTLVCPICRKVSVTFDPFMYLSLPLPSTSMRTMTITVMRTDDSPKPTTYTITVPKTGKLEDLIQALSNACSLGAHESLLVAEIFNNRIIRFLEESANSLSLIRDEDRLIAYQMTKEIDEAPLVVFTHQQMEEQYVYGKPTMVWKAFGIPLVARSKVTNGSDVRNLYMKLLLPFSIPKENSVNHCNETTNTESEEIKEIEDALSPDSNTCTQNGDLLDGEAQFDCDFEFYLADEQGNIRGPQIAMDEPVNAKAMTGLLSVIVHWADKMVEEYSQQLNCSLPEVFKSGYLMKRPQESVSLYKCLEAFLKEEPLGPEDMWYCPVCKKHCQASKKLDLWRLPEVLVIHLKRFSYSRFLKNKLETYVDFPVRDLDLSAYIAYKSGESCNRYVLYAISNHYGSMGGGHYTAFVHHGGGRWFDFDDSHVFPINEDKIKSSAAYVLFYRRVEDENN >CDP09290 pep chromosome:AUK_PRJEB4211_v1:1:18425361:18430296:-1 gene:GSCOC_T00028587001 transcript:CDP09290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y14 [Source:Projected from Arabidopsis thaliana (AT1G51510) UniProtKB/TrEMBL;Acc:A0A178WGD6] MANPEVEAVDFEPEDDDLMDEDVDGAASPRAPIPKLKSAITGGSSSSFSAAKKTKGRGFREEATTADRNARMSAPFDSLDSEGGPGPERSIEGWIILVTGVHEELQEDELLNAFREFGEIKNLHLNLDRRTGFVKGYALIEYEDREEAEKAIHEMDGTEFYTHTIHVDWAFSKGPFKRKNMRRRSPRGHRSRSPRRRF >CDP03905 pep chromosome:AUK_PRJEB4211_v1:1:34249983:34254001:-1 gene:GSCOC_T00016408001 transcript:CDP03905 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGGSDVEAGFAKLQGEDFEYYMQTYSIVLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALEVLGKNGCFVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPVRSILGGPIGPRHHVNVNVNYPPAGAAGATPPPLPPHGQHMGLPPPPGAMGKKGLARGREYYEEEYDEDGGEDGGSGGAKKIRRGDGIDGSGYGYASGSSGGRTAISGQLDKKLDGRSRVDRDADNQQLQQLEEKDVVSSVATVLSDMCGPGEWMPMEKLHKELLDKYRNVWHHGRLRRYLTSEDFQSPEAKAKPWYGLLMLLRKYPEHFVINTRSKGRVTLEFVSLVSLLS >CDP14084 pep chromosome:AUK_PRJEB4211_v1:1:10968774:10970299:-1 gene:GSCOC_T00039279001 transcript:CDP14084 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTAQSAVLPPSSATTLKHHQFLNNFNFDDILRNSLISASISHGRRNTSLWINYARWEESQQDFNRARSQTKRVLEAHYRDQSMLLKYAEFDMRNGFINDARNVWDRAAVILPEYIYLWIRYALYEELDAQYVVNRAGEVDKLCLKLIPQRKFFFCKDLVDGCTV >CDP03585 pep chromosome:AUK_PRJEB4211_v1:1:36665251:36665882:-1 gene:GSCOC_T00015997001 transcript:CDP03585 gene_biotype:protein_coding transcript_biotype:protein_coding MQEETELAKATLNKAANKEVPGQRSVIVRVDEVVEVAASDQEISTRPFQLVIGRVWKGTAFGGFKSRSQVPWLVDKYMKKEIKVDEYVTHNLTLKEINKAFDLMHEGDCLRVVLSVFE >CDP03660 pep chromosome:AUK_PRJEB4211_v1:1:36120300:36121852:-1 gene:GSCOC_T00016096001 transcript:CDP03660 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAPWSDGEEEDDDRSSSDTDSSTLDTDTEDEKDSTEKTATKSKASSKLKASQVESANKKQKRKKGIDLEALRRHGYKGGLSVMGVPPPREAVDEKQDWSWSRGLETRAKETEETFTDRQMTRAAILQGEQLLPNAASTARDKRELSFSQKEKRKRDLGQASRGKNYVEEEKRLLRESGIYSGFDS >CDP03672 pep chromosome:AUK_PRJEB4211_v1:1:36031137:36034639:-1 gene:GSCOC_T00016109001 transcript:CDP03672 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDEGGSPALFRSQECPLREWTPSNGVSQNHPTANCGFAAAILQGRRNYQEDRIACNPAMKIPFLGNDGQKNVSVGVAAVFDGHGGAEASEFASKKFLSYFYLNVLFNIYKQASPHKGNDEANQNKSSVESRSGTTSSLIDDTSLYDILNEALIRTFRDIDSEFTREALRNNYASGSTGTVALIVNGRLLVGFVGDSKALLCSNRSLASQGGEGTSMRTSYVQELTKDHHPDREDEKARIETAGGFVHTWGVPRVNGILAMTRAIGDLSLRRFGVIAEPEVIAWQTLTTDNSFLVIGSDGIFEGLTPANVCAILHNNACKPGTTESSGSSSCLAPSALAKRIVNNAYENGSHDNISVIVIHLESAYHSQ >CDP14610 pep chromosome:AUK_PRJEB4211_v1:1:14491245:14493481:-1 gene:GSCOC_T00041185001 transcript:CDP14610 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDQQNQPIREVFGTSPNTIQSLPPPFNELQQEKPSLLPTSSTELQQEEPLLLAASSSNASSNNDGVNEFFDEEEEFIDHAFFESFPPGYRFCPKDGEEIIHNIRDYCERYPPIGEKEWYFFTPRNRKYRNGERPNRAAGTGYWKATGADKPVNHDGSLVGFKKALVFYEGKPPKGVKTNWIMHEYRVKEPPRKRSNTMDMRLDDFVLCRIYKKTDKSFKSRPRNEDSEDLDQNDYPTPQGNERLDQNNAYPLDMDMGNKNDLGGLDRELNAFEASSGLVQSEYHIGLHEIFNVMGTSLLADSVKCFNNNFQIPFNCGAKPVNYKGFVYPMENALMPKYLREAPDVKPRKAMWNITKDYETFNLSPEIDFGTTIDFPDLDNLMNNRPSNSCFENSNNPFDSPPMPRRS >CDP19135 pep chromosome:AUK_PRJEB4211_v1:1:25394583:25394864:1 gene:GSCOC_T00009395001 transcript:CDP19135 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNFVDEIDCGSFFDHIDDLIEFPPENECGNGLVGSGDCKNFPSLWDEALPDTDTLFTGNTSNSASDLSAELSVPVIIRITFPYSINGSIGM >CDP03968 pep chromosome:AUK_PRJEB4211_v1:1:33846563:33848407:1 gene:GSCOC_T00016483001 transcript:CDP03968 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFSHLSCLFLVLCCLSSAVQSNLELSSSIYEVLKCHGLPMGLLPKGVKNFTLDNSGKFEVHLDQACNAKFENELHYETNVSGTLSYGQIGELAGISAQDLFLWFPVKEIRVDIPSTGLIYFDVGVVSKQFSLSSFETPRDCTAVQLTDQIQDGKHILEAVSKSLSGKLRYQLDQGEFVRTVL >CDP08484 pep chromosome:AUK_PRJEB4211_v1:1:22097785:22105517:-1 gene:GSCOC_T00027404001 transcript:CDP08484 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTASASTAYLCSYASPHRPSLPSSSSNYFQTHFPLKPISSRGFCFCLPKNANIPVINNNSSRRCRFLKASQSQSEDSASETDDEDETPLSKTEEEADQELPSRLESTISAYKEAILNGDEKSISDFEEIIHMVEKERNELLEKVSVLSDEIGAQKDKYVRLQADFDNFRKRTENEKLTIRSNAQGEVIESLLPMVDNFERAKQHMKLETEQEKKIDASYQGIYKQFVEIMKNLGVSVVPTVGTLFDPVLHEAIAQEESEEFKEGVIIEEFRRGFLLVDRLLRPAMVKVSSGPGVRQPSSAASEQSEQPATAGVEEIEFSEQSTG >CDP17344 pep chromosome:AUK_PRJEB4211_v1:1:4860236:4864217:-1 gene:GSCOC_T00009676001 transcript:CDP17344 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLANERIGKLFQLEEDLETLRESVAMIQAVLADAEKKQICDQAVQLWLRRLEGVAFDAENLLDELNYEVLRCQLVGKVRSFILSSDINIVFQWRMASKIRDINKKLNKINKEASDFRLVRNQGKTFPPSTTAKVTLNRETDSVVGHNVVGRAKDETSLVETLLSLSEKAVSVIPILGMGGLGKTTLAQSDTGVERNMLIELWMAEGFLQADVNSQMMMEEIGMNYLRILLQSSLFEETSHARGTYYKMHDLVHDLAESVSKSTKVINSETRLVDNSNQVRYLAIDSFGEHTVKLLESLSTSLHTLFIRNSLFGDHVLQKWYINLFGGGMLKKLKNLYVLNLYCAQNKELLITIDKLIHLRYIELFGFCGKSLPKSVCKLYNLQTLRLSECSDLKEFPKRISLSLHDFGGIEALPDWLGNFTALEYLHLSDFKKLRHLPSDNAMRRLTKLKHLWVFCSPLLKERCTPQSSGPDSQWSKVSHIQYLDISG >CDP03976 pep chromosome:AUK_PRJEB4211_v1:1:33759339:33763062:-1 gene:GSCOC_T00016494001 transcript:CDP03976 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLNSASAKLRHLFSNQSNHLFFLPYLSNSILSKPSVCGVLCKDFGRESLIGQGYGFQKCNLGGISWIHSGRSLSRADKAVDSNGNVGDGGDGFSEVKKKRKKLKGKRAVVRWLKFFRWKKKKDYERMTAEEKIVYKLKKARKKEERLVESLQKIEPKESSETTHDPEILTPEEHFYFLKMGQKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLKVVVKTFSPEEVRGIAAELARLSGGIVLDIEDDNTIIMYRGKNYSQPPTEIMSPRSTLSRKKALDKSKYRDALRAVRRCIARLEQDLELLQAQVESKVDASAENQSTTAETVDSTSPSDLTLEGSERLKELISKSDELNEDDDSMMDSGLNSDSDALSDIFETESESENEEKSEQHLYLDVFEKFPPQRNVEIEDFEEHLRQISADSRREKSSGQGVNVPDLDEVDKMVIQAASLLKNKRRR >CDP09285 pep chromosome:AUK_PRJEB4211_v1:1:17964577:17965314:1 gene:GSCOC_T00028575001 transcript:CDP09285 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEVFLGAIIKVIFDKLASVDLKKLARSEGLDTQLKRWSQVLSLINAVLDDAEEKQNMRIAVKQWLDDLQDLAYDMDDVIDEFSTEACRRKLMEAQGSTSKFNRKMAPKVDEITRRLESLKEQIKILHLVETVAKRPNKTRDRLPSTSLVESYVYGRENDKKELLKLLLSNESSDDQVAVIPIVGMGGVGKTTLAQMVYNDDRVNEFFDSKAWACVSDDFDIVG >CDP04062 pep chromosome:AUK_PRJEB4211_v1:1:33126875:33128477:-1 gene:GSCOC_T00016599001 transcript:CDP04062 gene_biotype:protein_coding transcript_biotype:protein_coding MFEHVTANEIAGYGVGALLLFATISAPKIDSLIAASQRSSLGMCKRCGDLRLIACSRCKGSGSITGGGPFSLNPVDSAYQSFRVKSKELSISCTKCQAKGHFGCPACSRVPQA >CDP13099 pep chromosome:AUK_PRJEB4211_v1:1:2444953:2445840:1 gene:GSCOC_T00037890001 transcript:CDP13099 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLWGHVVHGLAFVLFGIWHLLSHMRYHFLHPKSYTSLPWFPTSKFRYLELFLIMGGSVASISLELFISPSKHQPLDPDGTIPSSHLHNFEHSNISLTFFVYALFAIILDKIQPPAQYGLTQMLGASAFGQQFLLLHLHSTDHMGVEGQYHWLLQIVILVSFFTTFLSIGYPKSFLNSFIRSLSILFQGIWLMIMGIMLWTPEYIPKGCFIKLQEGHYVVRCHDHEALERAKALVNIQFSWYLTGVTLFGLCLYLVLYKMFLGKVEYQSLTSSEDDDDIETQKRNKETFSRTKE >CDP09211 pep chromosome:AUK_PRJEB4211_v1:1:32501461:32503673:1 gene:GSCOC_T00028442001 transcript:CDP09211 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTVALSNSPVFSPSGRVSSSLFCKTSSAAAPSAETIKNPSSTSPSSPLRILRLQKPHPSPSGLIVNSSDFSASGSPSPSILKRKRPARLDIPMVSMGFGNVPPTPSAAAGRDMDVVEVEGDGYVVYCKKGKREAMEDRFSAAINLQGDSKQACFGIFDGHGGAKAAEFAAENLQKNIMDEIDKRGDAEIEEAVKNGYLRTDDEFLKEDVWGGTCSVTALIRKGNLVISNAGDCRAVLSRKGIAEALTSDHRPSREDERDRIEALGGYVDCKHGVWRIHGSLSVSRGIGDQYLKQWVIAEPETRILSVKPELEFLILASDGLWDKVSNQEAVDLARPLCIDIEKPEPLSACRKLADLSISRGSADDISVMLIQLEQFH >CDP07086 pep chromosome:AUK_PRJEB4211_v1:1:29514247:29517167:1 gene:GSCOC_T00024200001 transcript:CDP07086 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTRKALFCCFFVCTTFACNLARAEEVEDEKEFSYDERSHRGPAHWGEIRQEWSMCNHGSMQSPIDLLDARVQVVSSLGRLHREYKPSNATLVNRGHDMMLRWVDDAGHIHINGTLYHLRQCHWHSPSEHSINGKRYDLEAHLVHEALNGRIAVIGIIYEIGRSDSFLSMMENKLKALADTRDLEKVVGVIDPKQIKLGSRKYYRYIGSLTIPPCTQNVVWTIVKKVRTVTRDQVKMIREAVHDESEANARPLQPLHNRPIRMYRPHAKEEED >CDP03938 pep chromosome:AUK_PRJEB4211_v1:1:34017498:34020528:-1 gene:GSCOC_T00016450001 transcript:CDP03938 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGVLEDGDGDPVVAAAAKVMELKRELQKIVKAIAEGDDVNLDALDKAHRLLCALKELKIKKRSLSSKIHDSSSLTVPDEFRCPLSEELMRDPVIVATGQTYDRPFIQKWLKGGNRTCPRTQQVLSHTILTPNHLIREMISQWCKNNGIQLPDPTQYSEDDGPTEADRDRFISLLSKMSSSLSDQKESARDLRLLTKRMPSFRALFGESLDAIPQLLSPISKSKSPSDIHPDMQEDIITTLLNLSIHDNNKKLVAETPMVIPLLMDALRSGTIETRSNAAAALFTLSALDSNKALIGKSGALKPLIDLLDEGHNIAMKDVASAIFNLCILHENKARAVRDGAVKVILKKITNRVLVDELLAILAMLSNNQKAVEEMVEFEAVPCLFSIMRETACARNKENCIAILYTICFSDRTKWKEMREEDRTYGTISQLVQNGTSRAKRKASGILERLNRAVNLTHTA >CDP21409 pep chromosome:AUK_PRJEB4211_v1:1:396846:400506:1 gene:GSCOC_T00013680001 transcript:CDP21409 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSLTFRVTRQKPELVRPAKSTPRECKLLSDIDDQEGLRFQIPVIQFYRSDDGRRDPVKVIREAIAKALVFYYPFAGRLRECAGRKLVVDCTGEGVMFIEADAEVTLEQFGEELQPPFPCLEELLYDVPESAGVLHCPLLLIQVTRLRCGGFIFALRLNHTMSDAAGLVQFMNAVGEIARGASAPSVLPVWQRELLNARDPPRVTCTHHEYDEVADTKGTIIPLDDMVHRSFFFGPTEVSALRKSIPLDISRKCSTFEILTACLWRCRTIALQPEPNEEVRVLCIVNARSKFDPPLPQGYYGNAFAFPVALTTAGELCKRPLGYALELVNKSKCDVTEDYMKSVADLMVIKGRPHFTVVRTYLVSDVTRAGFNEVDFGWGKPAYGGPAKGGVGAIPGVASFYIPFKNKNGEKGIVVPICLPGFAMDAFVRELENLLSKNHHSIDDHSTFVRSAL >CDP13091 pep chromosome:AUK_PRJEB4211_v1:1:2591536:2592397:1 gene:GSCOC_T00037869001 transcript:CDP13091 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGNILDHGPRSYILEVFESWGFMFLKRKGIVLQELFQKRGRYWYDSEESYNYIFANTFSRKFEESLYRKKLSEQLSTPLVKY >CDP09195 pep chromosome:AUK_PRJEB4211_v1:1:32382098:32386854:1 gene:GSCOC_T00028423001 transcript:CDP09195 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSYRVCVCFTRKFKVAEVQPPSDVKEAFKEYAGGGTHMNAEQLRRFLVEVQGETEATLAQAEAVLQQILQKRHHITKLTRHALTVDDFFHFLFSVDLNPPIRYKVHHDMTAPLSHYFIFTGHNSYLTGNQLTSDCSDVPIIKALKKGVRVIELDIWPNSSKDDVHVLHGRTVTTPVELIRCLRSIKEHAFSASPYPVVITLEDHLPADLQAKVAQMLTETFGEILFCPESESLKEFPSPEDLKYRVIISTKPPKEYLEAKRLADKQNSQKERDSDDDGWGKEPSSTEAAADEEDDDKIDNGGSDCNQDDEDDDDSDDKPHQAPVYKSLIAIHAGKPKGGLVEALRVEPDKVRRLSLSEQALEKAAESHGMDVVRFTQKNILRVYPKGMRVTSSNYKPLLSWMHGAQMVAFNMQGYGRSLWLMQGMFKANGGCGYVKKPDFLMKIGPNGQVFYPKEKQPVKTTLKVKVYMGDGWHLDFKQTHFDMYSPPDFYTRVGIAGVPADVVMKKTKKKEDNWTPIWEEEFTFPLTVPELALLRIEVHEYDMSEKDDFAGQTCLPVSELKPGIHAIPLCDHKGEKYNSVRLLMRFEFVEC >CDP03812 pep chromosome:AUK_PRJEB4211_v1:1:34898707:34903572:1 gene:GSCOC_T00016298001 transcript:CDP03812 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQSWLDDRGSLSHTQSQTPRSAGNVFRLLARREICPRTKCLPKKHWGEATKQNCYSPFGIRSEAKRDARRGLSSWMEAESLEHLSAKYCSLVPPPRSTIAAAFSPDGKSLASTHGDHTVKIIDSESGQCLKVLRGHRRTPWVVRFHPKDREILASGSLDHEVHVWNANTSECIGYRDFCRPIASLAFHAEGELLAVASGHKLYLWSYNGSGENSSPTILLKTRRSLRAVHFHPHAAPLLLTAEVNDLDSSNSSMSRATSLSCLQYPPPSLHMANINSRNLLNVAAELSLVPSFNPYPSSSAIDDIEINARLVEQNDQRAFPVDMYATTSTTHTGLQDRLISSFAYREQNSISGTAVDAMDTAEMQHIEGYHPRGPSDQETPGPGVRPLPSISHTLELPIPIPRQVESSRFGQNGSLPSRQSSPWDLPFLQGWLIGQSQAGIHPRLPVSSHMYPVGYPGIHEVSLTSNSFICNRVLPSIMSRSVEAESSGLQQQPQLTENRILNSLRRVDSIPILGVIPSELSASFSTTVGAELPCTVKLRLWLHDIKNPCAPLDTDKCSLTIPLAVLCSEMGAHFSPCGRYLAACVACVLPKVDAEPSSVSQLNQDIGADGLSPTRHSSLAHQVLYELRIYSLEESTFGSVLVSRVVRAAHCLTSIQFSPMSEHILLAYGRRHSSLLKSIVMNGEVSLPVYTVLEVYRVSDLELVSVLPSAQDEVNVACFHPSVGGGLVYGTKEGKLRILQYDGAYRLNSSTSNIFPTAQHT >CDP03690 pep chromosome:AUK_PRJEB4211_v1:1:35836494:35837118:1 gene:GSCOC_T00016144001 transcript:CDP03690 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKVSMDGAPYLRKIDLRVYNNYPELLKALEAMFKCTIGVYSEREGYSGSDNAPTYEDKDGDWMLVGDVPWNMFVTSCRRLRIMKESEAKGLGCL >CDP16762 pep chromosome:AUK_PRJEB4211_v1:1:3191085:3194101:1 gene:GSCOC_T00019250001 transcript:CDP16762 gene_biotype:protein_coding transcript_biotype:protein_coding MADALISSTIQVTLEMALSVASDSIGMLVGFKKDVASMTRSLRLIKGVLADAEAKQNQDEAVQEWLKSLEEVAYDAQNVLDELHYESLHHQVESRNRHKLKVRDIKLELNGIYQEANGLLLVSRAVVPAALPAAVGDTRNRQTDSVLVPIIGRADDESNIAKILLSPSEKVVSVLPIIGMGGLGKTTLAKSIYNNQQIDGHFGKKLWVCVSKKVPVVELFKLMLVQLMKGKVEVDDRNVIVGEIQNQLGGKKYFLVLDDVWDDNQALWDDFFATLKGLNPTNGSWCLVTTRLGPVAHSVSTVLRMMENEAYPLGKLPDDHCWSIVKEKVVGEEEEPDELKAIKERVIERCDGLPLAASVIGGLLSLKRKEEWRSIVENRLLRLIAGGDHVMQILKLSFDNLPSPYIKKCFAYCSIFPQDTEMEGDMLIELWMAEGFLHAGLENKTMEEIGEYYLEILLQSSLLEEIRYDWGEDSFGEGAKNLFESRSNLLHTLFLSQGSLSDDMLMKLKNLHVLNLSRAKNQNLPISIGKLIHLRYINFEDSRSETLPESVCKLYNLQTLRLHSFVLKVLPKGMGDLISLRHLHYYTWDKEFQMPLEMGRLTCLQTLELFNVGREKGRRIGELGSLKNLKGSLTICNLHLVKDKEQAEEAKLSEKANLFRLQLEWAYNREGDDYNEEDVLDGLRPHPNLEELVIWDFKGNQFPRWLMDLPTTLPKLARLAFKRFNRRRELPPLQNFTSLKELNIWCCDGLTNLPGDMLHYCTSLQKLRVTDCGNLVSFPLDLQRTPSLLELELCECPKLKTSMTPKGFGFLTSLRKLVIGPFSDDGDDHENSSIYNEFDWSGLISSSSSSSSSALRELHLFGLPHVESLPHQIQHLTTLMSLWLRDFGGIKALPDWFGNFAKLKRLEVWGCPLLKERCTPESSGPDSQWSKVSHIEYLVIRG >CDP03985 pep chromosome:AUK_PRJEB4211_v1:1:33720037:33723700:-1 gene:GSCOC_T00016504001 transcript:CDP03985 gene_biotype:protein_coding transcript_biotype:protein_coding MICSCLTSAFILSAVLLVGSALLLTNYNHKQSHVGLQTIGVVNTTTFQTPCENQCMPDGSETLPRGIVTRTSDLEMRQLWGPSKKKNSKSPMNLLAIAVGLKQKGNVDQIVKKFPPAQFVVMLFHYDGKVDGWKDLEWSSSAIHVSAINQTKWWFAKRFLHPDVVSEYAYIFLWDEDIGVENFRVGRYLSIIKEEGLQISQPAIDPDKSEVHHKITSREKRLKVHRRAVNLRGTGRRCYENSTEPPCTGWVEMMAPVFSRASWRCAWYMIQNDLIHAWGLDFQLGYCAQGNRTANIGIVDSEYVVHYGLPTLGGSAVNKTSEVQGQASKHGTSPDKGSMVPSTSHPSDDRDAVRKRSYAELEIFKNRWKKAVREDKCWSNPFQ >CDP04017 pep chromosome:AUK_PRJEB4211_v1:1:33501139:33503057:-1 gene:GSCOC_T00016544001 transcript:CDP04017 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGSSTAFATWSISSLKSVLPSVPVQRTPPLHFSIGSSPTRLRVATLRNSSPGLLQSFVGLAPLNPLLSLSSLDSFGFEHSFTTIDNGGRFFAMRHGRKVPKLNRPPDQRRALLRGLTTQLLKHGRIKTTRARASAMRKYVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVQERYGDRNGGYTRIIRTLPRRGDNAPMAFIELV >CDP14092 pep chromosome:AUK_PRJEB4211_v1:1:11312190:11315861:-1 gene:GSCOC_T00039292001 transcript:CDP14092 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLFWAIRGGGRASFAVILGYKLKLEKYKEFASPHTFSINRTWEQNATQLLYKWQYIAPKLPLNLVITPQIVSINSNQTGKRTVQVTFVSVFRGKVDELLSIMNQQFLELGLKKEDCTEMLWIKYFAYAGGLPTSNIKEFLTNRVSSTKLYYKAKSDFVKEPIPEKGIEEILRKLNELPPFVGMLEWNHFGGRVMETISESSLICFVG >CDP09729 pep chromosome:AUK_PRJEB4211_v1:1:25266063:25269471:-1 gene:GSCOC_T00030176001 transcript:CDP09729 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTQEASKTAGKPIRCRAAVARKAGEPLVIEEIIVAPPKARELRVRVLCSALCFSDIHFWRLKEPHGYYPRIFGHETVGVVESVGEGVEDVKVGDTVIPSFLAYCGECPDCISIKSNQCSKLRFELSPYIRDGTSRFSDPKGETIYHFGYTSGFSEYPVVDISHVTKVDPALPASRACLLGCGVSTGNAICFDSCWHNLIHFELVRRTYFTFSVKTADVEEGSTVAIFGLGVIGLAVAEGARLRGAKTIIGVDMNPDKVEIGKKFGVTHFINPRELGGKLATTTLENAVFCIAVILEMTDGLGADYCFECVGLPSLSQEAFTCCRKGWGKTIILGVDKPDSQFILNSLVNNHSGKTITGVQYGGLKPNLDIAILAKRYLDKELQLDLFVTHEIKLEDINKAFKLLIEGKCLRTVIWLDQERASADGVTFNEI >CDP06900 pep chromosome:AUK_PRJEB4211_v1:1:27562738:27563619:-1 gene:GSCOC_T00023941001 transcript:CDP06900 gene_biotype:protein_coding transcript_biotype:protein_coding MATACGSLQHIFDRPLPESPTLLEPFSSWKQIKTMNPIPDSSFAEIFGEVHFKENEVVQSVESPSSSSSFLDLPAESSPCSSSSSPSSLSSSSLLADIYQQNRIERPLDNKDDSSKSPTSSYCPTPSHEKHYKHSNSFSSVNSESFSLCTEGLGFESSDDVEDLGNETGFDIQQEHQPPEEKICFFKESKRSRTIKGSFPPPISCIGRTGRPWVSFRSYRQDGRFILQEIRFPTQEFLHACREDGRLRLQLIQSDDEILEGEEDEEDEEDYYDSEEVNLENEGDDSDDEHEHR >CDP09020 pep chromosome:AUK_PRJEB4211_v1:1:31108451:31108762:-1 gene:GSCOC_T00028190001 transcript:CDP09020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:Projected from Arabidopsis thaliana (AT2G28740) UniProtKB/Swiss-Prot;Acc:P59259] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CDP18577 pep chromosome:AUK_PRJEB4211_v1:1:244359:250736:-1 gene:GSCOC_T00012408001 transcript:CDP18577 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGS1-HXK1-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G26410) UniProtKB/Swiss-Prot;Acc:Q84K90] MSEDEKTLTPPTTKTGQVPESSITSASPSKDKSDKADKTWHSYISEDLPRTVQQSTDSALRSARSFHHSSSTHFRTLRDFMPQLGSQYRTYEDAFFLKIKDELTKVRENPAVTGGIAVAVGLLLFRGPRRFLFRHTLGRFQSEEAQFIRAEKNVKELNLSVDLMKKESGKLLERAALAEKEMKHGQIDLMNAGVQIQTLARSVHKAETKATDLMDLLRELPGREALKLRAEVASVASHLREQRIAMEKKIMKISDSGLPV >CDP03657 pep chromosome:AUK_PRJEB4211_v1:1:36129948:36132360:1 gene:GSCOC_T00016092001 transcript:CDP03657 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGNSKKSKLSWPKTLVKKWFNIKSKADDFHADDVVYGGVDEEWRSNISERNTCTIKKSKTEKSSKRSSDRISRGKIDLDASQVTDVQNYRMFVATWNVAGKSPPCHLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWLALIRKTLNSLPGTSGGYYTPSPVPDPIVELDADFEGSTRQKASSFFNRHSFQSLSRSMRMTENDMSMPQPRLDRRFSVCDRVMFGNRQYEFDPNVRWGGSSDDENEEGESPCSAHYSPVSYNGSVSMEERERQPGQSRYCLVASKQMVGIFLTVWIKSDLRDDVRNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNADVMEILRKTRFPRVRGMGDENSPQTVLEHDRIIWLGDLNYRIALSYRTAKALVEMQNWRVLLENDQASKCNKE >CDP06933 pep chromosome:AUK_PRJEB4211_v1:1:27956080:27957735:1 gene:GSCOC_T00023985001 transcript:CDP06933 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVADPLVIGRIVGDVVDNISPTVKMSVTYNSNKQVYNGHELYPSSVVNKPKVEVLGGDMRSFFTLVMTDPDAPGPSDPYLREHLHWIVTDIPGTTDSSFGIEMVSYEVPRPNIGIHRFVFLLFKQKGRQTVNPPLSRDGFSTRKFAQENQLGLPVAAVFFNCQRETAARRR >CDP03802 pep chromosome:AUK_PRJEB4211_v1:1:34989349:34994893:1 gene:GSCOC_T00016288001 transcript:CDP03802 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIARRLGHHSLKPSATAAISSFDSSFNPYFHHYNGADNPRYASNLTARGTGHIVRKGTGGRSSVSGIIATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDSHRHLKLMGDLGQIVPMQYNPRDENSIKAVMAKANVVINLIGREYETRNYSFEEVNHHMAEELAMIAKEHGGIMRFIQVSCLGASPSSPSRMLRAKAAGEEALLQEFPEATVMRPAAMIGTEDRVLNPWAHFVKNYGFLPLIGEGTTKIQPVYVIDVASAIVAALKDDGSCMGKVYELGGPEIFTVHELAELMFDVIREWPRYVKIPFPVAKASYIPLSFCCYSCLLSAIAMPREVLLKKVPFPMPTPSIFNLDAIEALTTDTLVSKDALTFDDLGIAPHKLKGYPVEFLIQYRKGGPKYGSTVSEKVSPESWP >CDP13105 pep chromosome:AUK_PRJEB4211_v1:1:2353759:2356212:1 gene:GSCOC_T00037898001 transcript:CDP13105 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLVMDRQFCIVNAMKQEDSGEEKAEPPNESPVKAIKSPDGDIIDCIHIHDQPAFDHPLLKNHAILDDKITQLWQLNGRCPEGTIPIRRYQNPSNLKRYAKKKHKNILQISPIDDNIGQGRTHVTGLARQRAFAYVQGGKYFGTKAYLNLSKPQVQNEDFSSSQTWILGIPYAKVNSIEAGWMVLPDLYGDNTARLFTYWTIFDSLSALDLKTLMGYHSNGCYKLDCPGFVHTNPDVVLGGGIYRISTHRGPQHELGFRFRKDPKQDVWGLAWKTADKIIGYWPASHILRPG >CDP03599 pep chromosome:AUK_PRJEB4211_v1:1:36528721:36538532:-1 gene:GSCOC_T00016014001 transcript:CDP03599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 33B [Source:Projected from Arabidopsis thaliana (AT2G48110) UniProtKB/Swiss-Prot;Acc:F4IN69] MASEGVGFVENQGHGGSPWEGLMELTKSAQDRNMDPLMWAMQLSSTLTSAGLSVPSPDLANFLVSHICWANNVPAAWKFLEKALTLRIVPPMLVLALLSTRVIPNRNRYPAAYRLYMELLKRYAFYLPSLIHGPNYPKIMESIDNVLHLTQMFGLQACEPGLLVVEFVFSIVWELLDASLDDEGLLEIAPEKKSRWATRNQDMEIDNHDGIQLKTTENQEAMLKMNTVLAIELIGEFFRNKVSSRILYLAGRNMPGHWESFIQHLHLLTGKSTALRNSKNISPEALLELTSSTRRVLSRECKTSSQQMFHAVMVSGSLISSAGQCHGTSLSALWLPIDMFLEDTMDGSQVTATSAVETLTGLVKAIQAVNRTTWQDTFLGLWIAALRLVQRERDSSEAPVPRIDTCLCLLLSITPLAIVNIIEEEESSGGSESCHLTGPRKEKHSVGKRRKDLVASLQQLEDYEGLLTPPLSVSSLANQAAAKAMMFLSGLSVGSGYFDGISLNDMPMGCSGNLLHLIVEACIAREILDTSSYLWPGYVKGRTNQMPRSISGHMPGWSSLVKGSPLTPPLVSALVSIPASSLAEIEKVYEIAANGSNDEKISAATVFCGASLVRGWNIQEHTVLFITRLLSPPIPADYSGPASHLISYALFLNVLLVGISPVDTIQIFSLHGLVPQLAGALMPICEVFGSCAPNVSWTLTTGEEISTHTVFSNAFTLLLKLWRFDQPPLEHVFGDVPPVGSHLTPEYLLLVRNLQLTSSENSPIGQSKSKRLSRLSSPSDREPIVMDSFPKVKQWYRQNQACIASTLSGLVPGTVHQIVDSLLAMMFRRINRVAQPMTPTASGSNSSSGTGIDDFSLRLKIPAWDILEAIPFMLDAALTACGHGTLSPRELATGLKDLADFFPASLATIASYFSAEVTRGVWKHACMNGSDWPSPAANLANVEQQIKKILAATGVDIPSLPVGGNSPATLPLPLAAYVSLTITYKLERSTDRFLNLVGPSLRNLAIGCPWPSMPIIVALWAQKVKRWNDFLVFSASQTVFHHNSDAVVQLLRACFTTTLGLNSSSISSNGGVGGLLGHGFGSHFYGGMHPVAPGILYLRVHRAVRNVMFMTEEIVSLLMQSVKDIVSSGLPAEKQEKLKKSKFSMKYGQVSLAAAMTRVKVAASLGASIVWITGGLSLVQSLIKETLPSWFISAQGSEANGGEPGGMVAMLGGYALAYFAVLSGTFGWGVDSSLGASKRRAKILGAHLEFLASAVDGKISLGCNKATWRAYVSGFVSLMVGCTPKWMNEVDVDVLKRLSWALKQWNEEELALALLGVSGVGAMGAAAELIIETGY >CDP04034 pep chromosome:AUK_PRJEB4211_v1:1:33382463:33393238:1 gene:GSCOC_T00016562001 transcript:CDP04034 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSRISLFGLSLIVWLCWSLLLIGADSQITHPDEVRALRSIRKELIDPNGNLSNWRTGDPCTSNWTGVLCFNQTMDDGHLHVVELQLLGMKLFGSLSPELGRLSYMQILDVMWNNISGSIPKEIGNLTSLQLLLLNGNQLTGSLPEELGNLYNLDRIQIDQNQISGPIPNSFANLSKTKHFHMNNNSISGQIPSELSKLPNLVHLLLDNNNLSGSLPPELSEMPSLLILQLDNNNFGGSVIPSSYGNMYHLLKLSLRNCSLQGGIPNLSNMHNLSYVDLSHNQLSGAIPSNTLSQNMTTFDLSYNNLTGTVPTNFAGLPLLQKLSLANNLLNGSIPSIIWQNRSSNATQRLVLDLQNNKLSNISGSSFLPANVTVRLQGNPLCSNSNLVQFCGSQSQVVARILISSNATQCPPQACPSGYEYVPPSFPVPCFCAAPLLVGYRLKSPGFFDFRPYFDQFVWYLSSGLGLYPYQLDADSYAWEPGPRLGMYLRIFPVYIDNTSSHMFNNSEVFRIRSMFTGWKIGDSSVFGPYELLNFTLLDPYQHVVFPSSSSGVSKGAIAGIVLGATAGAVTLSALVTLLILRLHMKKKQAVSRRRHSSKTSIKIDGVKEFTYEEMVSATKNFDSSCVVGQGGYGKVYKGILADETVVAIKRAQEGSLQGEKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLIYEFMSNGTLRDNISGKSKEPRTFAMRLRIALGSAKGILYLHTEANPPIFHRDIKASNILLDSKLTAKVADFGLSRLAPVPDVEGMLPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMHPISHGKNIVREVNIAYRSGMIFSVIDDSMGSYPSECVEKFINLALKCCLEEPDARPSMAEVVRELESIWLMMPESDTILVDSLVTDPGKVETTTTPSSSSTMRNPFLSSDVSGSDLVSGVVPTITPR >CDP09686 pep chromosome:AUK_PRJEB4211_v1:1:24344642:24346532:1 gene:GSCOC_T00030109001 transcript:CDP09686 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSSWSSSLQTLPANYVVPVDQRPGKLAPISMDIPVIDLGDADRGAVVQKIIKASQEFGLFQVINHGVPEKLMIDAKSVGQEFFSIAAEGKEMLIADDTQHGWELYTSSGKYSTQDFAFWKDTLQHPCHPLESCVKSWPDKPARYREVIGPYTVEVRELGKRVLELIYEGLGFTEKNFDSYDLVLMIHNYPACPDPGSALGSGGHYDGNIITLLQQDVYGLQLFKDGQWHGVEPLPNAFVINISFALEVISNGKLKSALHRVVTNSDCSRTSFASFINVPFNRIIEPAKSVVSPSNPPVFRGFLFEEFMEALISKNSDMDATFDYFKIKSQAAE >CDP16775 pep chromosome:AUK_PRJEB4211_v1:1:3542692:3544294:1 gene:GSCOC_T00019274001 transcript:CDP16775 gene_biotype:protein_coding transcript_biotype:protein_coding METTGNTKSHFSPDQKRRLLGEKAAVFLFGGTLFLAVYLGITGTSLFCNSRQQSGLSSQVQAFSTEGSYSAETMLQLNAAIVHYATYPQVPLLSRDEIQVAVDVLKAKSPCNFLIFGLGHDSLMWASLNPGGTTLFLEEEPKWVDKILKDAPHLRAHVIKYRTKVSEADDLLKEYPTQPECSAQKAFLRGNERCKLALNMLQEEVYNQDWDLILIDGPIGFFPEAPGRMSAIYSAAVMARNRKGSGATHVFVHNVDRKEEKTYTETFLCNKNRVKIVGKLGHFEIPPAADSNPHFC >CDP03758 pep chromosome:AUK_PRJEB4211_v1:1:35290049:35296251:1 gene:GSCOC_T00016236001 transcript:CDP03758 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEDRVLLNRLGVTSANPDDIERHIFGQAKTSAADSSAAGGSDGEELVVGAESNGASSTSLPDLYNKLRAVELEIDAVKSAFEVKSFAKDDAHVSEGDSEKGHESVEAEENNSRASPNDTTLQHALASDRLKSLIKTKAQLEKKISDGSPDLEYRNLIKNIVKEERNSKRKPKDVKKLIKNQSKRLKRASFSEDDDFDAVLNAASAGFVETERDELVRRGIFTPFHKLRGFERRIQEPGSSSRHDVTENADESDQVASSSLARAVRSITEAAQARPTTKLLDSEALPKLDAPTHPFQRLRVPLRIKHSLDNDVEKNKDTKRKKKRPLPAKIWRKKISREEKTFEGNDARNSGNEDDDGEDAVDLDGEGPGFVALEGGLRIPEAIFSKLFDYQRVGVQWLWELHCQRAGGIIGDEMGLGKTIQVIAFLGSLHFSFMYKPSIIICPVTLLRQWRREVQKCDDEEFLGSDIEETLPSKTTKKWDSLINRVLRSDAGLLITTYEQLRLLGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPISVGGYANATPFQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLTKKTEHVLFCSLTAEQRSVYRAFLASSDVEQILDGSRNSLYGIDVMRKICNHPDLLEREHSCKNPDYGNPVRSGKMKVVAQVLKVWKEQGHRVLLFTQTQQMLDILENFVVAVGYTYRRMDGLTPIKQRMALIDEFNNSDDVFIFILTTKVGGLGTNLTGADRVIIFDPDWNPSTDMQARERAWRIGQTKDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILNNPQQRRFFRSRDMKDLFTLNDDEKDGSTETSNIFSQLSEAINVVGVHDDNKNKLSLTESAPSAASDKRNGSDTENKGKEMDDHSDGEVDEERNILRSLFDAHGIHSAMNHDALMNAHDEEKMKLEEQASQVAQRAAEALRQSRMLRRQENIAVPTWTGKSGAAGAPSASGRRFGSAMNSHMISKTRSSDELVNREANRPNGLVAGASSGKVLSSAELLAKIRGNRQRAVNQGLEHQFGLASSSNNFGRSADTRPRESKNSATQPEVLIRQLYTFIQQNGGSSSTASIVDHFKDRIPSKDLPLFKNLLKEIATLEKNSGGSSWVLKPEYQE >CDP03656 pep chromosome:AUK_PRJEB4211_v1:1:36132455:36132917:1 gene:GSCOC_T00016091001 transcript:CDP03656 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMKEKYIFPPRTSIQIIQTDMLAMICTQKRNGEHLPGVTVYCGMAEASNNYLMFVVSRGSLITDQCTVFF >CDP03620 pep chromosome:AUK_PRJEB4211_v1:1:36363790:36369981:1 gene:GSCOC_T00016043001 transcript:CDP03620 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVH6 [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/TrEMBL;Acc:A0A178W4P7] MKFQIEDVTVYFPYDNIYPEQYAYMVELKRALDAKGHCLLEMPTGTGKTIALLSLITSYALSKPSNPIKFIYCTRTVHEMEKTLSELKLLHNYQLQHLGPAAKILALGLSSRKNLCINPTVVSAENRDSVDAACRKLTASWVRELAAENPRIPTCSFFENYEKSASEAILPPGVYTLQDLRAYGKLKGWCPYFLARHMVQLANVVVYSYQYLLDPKVAGIISKEMQRESVVVFDEAHNIDNVCIEALSVSVRMQTLEGATRNINRMSQEIDRFKATDAGRLRAEYNRLVEGLALRGDLPASDAWLANPALPNDILKEAVPGNIRRAEHFLSVLRRLVQYIRGRLQTENVEKEGPVTFVASVNTQAGIDQKMLRFCYDRLHSLMLTLEISDTDEFLHIQTICDFATLVGTYTRGFSIIIEPFDERMPHIPDPVLQLCCHDASLAIKPVFERFQSVVITSGTLSPIDLYPRLLNFNPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKYDLRSDPGVEKNYGKLLLEMVSVVPDGIVCFFVSYSYMDGIVNSWHETGLLKEIMQHKLVFIETQDVVETTLALDNYRKACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDAHLNLSTDMAAHIAREFLRKMAQPYDKTGVMGKKTLLSEADLEKMGNSNLGEMF >CDP09688 pep chromosome:AUK_PRJEB4211_v1:1:24353489:24355119:1 gene:GSCOC_T00030112001 transcript:CDP09688 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLSSWSSDLDSLPEKYIVPEEKRPGALLAPVSKDIPAIDLSEGDRASIIQKIMKASQEFGFFQVINHGVSEKLMIDAVNVGKEFFSMPAEEMENFAAKDSRKGCKVYTGSGNCGSHDFGLWRDTLQHPCHPLEKWTNIFPDKPAGYRGTTGPYTVEVRKLGIRILDMICEGLGLTEENYDYHDLLLMIHNYPACPDPSSALGVSGHRWPMDWCGTSSKCFCIPISFSMEVHIQLRSAVHRMVTNSACSRTSLVNFFNCPSDRLIEPVKSLVSPSDPPAFRAFHMKEYLEVLFGKNSDSEVTAEYFKIKSQASK >CDP13136 pep chromosome:AUK_PRJEB4211_v1:1:1813579:1814034:-1 gene:GSCOC_T00037969001 transcript:CDP13136 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKLSHFRFFWHDILSGKTPTSITVVPPPKNNSFTAFGLVNMIDNPLTLGPELSSKMVGRAQGFYASASQEEIGLLMTMNFAFIQGKYYGSTITVVGRNPASNMVREMPVIGGSGLFRYARGYALATTYTFDPKSGDAVVEYNVYVMHY >CDP14096 pep chromosome:AUK_PRJEB4211_v1:1:11426550:11427148:1 gene:GSCOC_T00039299001 transcript:CDP14096 gene_biotype:protein_coding transcript_biotype:protein_coding MANNFVAQMVFGITAKHNPSLEGHVFPNPVDASGYMVMLWYKNGSLTREDIRNRCAEKSWKVFNKLLQQTPPKK >CDP09158 pep chromosome:AUK_PRJEB4211_v1:1:32095391:32100368:-1 gene:GSCOC_T00028372001 transcript:CDP09158 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIYKNPTAPIEARVQDLLSRMTLEEKLGQMTQIERSVATPSVLKDRCIGSIMSVGGSGPIEMALTSDWADMVDNFQKAALESRLGIPILYGIDAVHGNNNVYGATIFPHNVGLGATRDSELAKRIGVATALEVRASGIHYAFAPCVAVCRDPRWGRSYESFGEDTEIVRNMTSIVTGLQGQRPEGHPKGYPFLAGRNNVVACAKHFVGDGGTDKGINEGNAILSYDDLERIHMAPYLDCISQGVCTIMASYSSWNGVPLHASHFLLTQILKEKLSFKGFIISDAEGLDRLFHPHGSNYQQSVLSAINAGIDMVMVPFRYQLFLEDLTYLVQSGKIPIARIDDAVERILRVKFAAGLFEYPLSDKSLLPAVGCKLHRELAREAVRKSLVLLKNGKDPKKSFLPLNRNAKKILIAGTHADDLGYQCGGWTATWEGKSGRITIGTTILDAVREVTGSNTEVIFEQNPSAQTLASQEFSFAIVAVGECPYVEFGGDSRELPIHFNGAEIISLVADKVPTLVILIAGRPLVIEQRILDKVEAFVAAWLPGTEGGGITDVVFGDYEFQGRLPMTWFKGLDQLPSNAVEKSHDPLFPIGFGLTSKGAVL >CDP13141 pep chromosome:AUK_PRJEB4211_v1:1:1768663:1771209:1 gene:GSCOC_T00037977001 transcript:CDP13141 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLGKTTLARKVYSSRKVMRHFHLRAWCSVSQEYEKRRLLLEILTGIHGLTEEIRQMRDEDLQDKLRKLLLRNKYLVVMDDVWGIGAWNDLKNSFPDNANASRILFTSRLHKVASEIKPDSPPLSLSLFSQEESWQLLEKKVFKEECCPNELVGVGKDIAYHCQGLPLAVVAAAGILKTTEKSQNSWKTIADNLSSQIIDNPEARCKEVLNLSYKHLPEYLKSCFLYLGVLNEDRDVLVSKLIRFWIAEGFIPETNKGSEEVAEAFLMDLIDRSLVIISKRRSNGKVRACRLHDLVLDFCKSQTEDENFFQLITRSDNPYASFPNTDYGFEFDFYHHSSPVSFASYRRSLVFFASTDSEPKRPYDISFIWHNFKLLRVLDFECFNLGISFPVEIEILVQLRYLAVGGYLESIPQSIANLRKLKTLIVKGLSRKITLPNTIWRITSLRHLHVSVHVDFDSDAEELGDCSILENLISFSRPSLSCGEDAERIIKRIPNLCKLSCIFYESPDSSMNCNHFPRLNCLTHLESLKIFYYGSPLNNGEFILPLNLKKLTLSNFRLPWSHISTIGRLPNLEVLKLLSGAFVGKIWKVEEEFQNLKFLSLDSLNIAQWNASCDDFPKLERLILQNCKDLEEIPAEFADIYTLEMIEVHCPYQEFEFEFMSTIWITLLVLPATYILGNWCSKDWRFRSLT >CDP09298 pep chromosome:AUK_PRJEB4211_v1:1:18854739:18865231:-1 gene:GSCOC_T00028601001 transcript:CDP09298 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSPRTDTSTDASTEDKNSRFHNNQGLAVVASDGSDKSRDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRMKLTQLEQELQRARQQGIFISSSGDQSQSMSGNGALAFDVEYARWLEEHNRRINELRGAVNSHAGDGELRIIVDGILAHYDDIFRIKADAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLINQLEPLTEQQLLAINNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGGSTGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >CDP17520 pep chromosome:AUK_PRJEB4211_v1:1:19702468:19708063:1 gene:GSCOC_T00011466001 transcript:CDP17520 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGARKRGRPDGGAATNGNGGIKISKQETESFSSGIGSKSKPCTKFFSQDIRK >CDP13128 pep chromosome:AUK_PRJEB4211_v1:1:2007706:2010165:1 gene:GSCOC_T00037943001 transcript:CDP13128 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDLYGDYRTRFFIYWTRDGYRSTGFYNLNCRGFVHTNKNIALGTTIERISTYHGPQYELPFFIWKWLKVFIILEQDSFTLIGYCRAFIFTDLADSASMSRWGGEVVNNVIIGQHTAAEMGCGHFPEEKFERSSHFKNLLTIDESNNLVIPEDIITRVDNANCYDVEYGPSAHLGDMLFYGGPGKNDNCP >CDP03629 pep chromosome:AUK_PRJEB4211_v1:1:36298756:36301167:-1 gene:GSCOC_T00016053001 transcript:CDP03629 gene_biotype:protein_coding transcript_biotype:protein_coding MATLASSSSIVFTANPSSSTSTSSSAARSLALPPRLTLSSLSSSTTLSSLFSISPTSVAYSTSSRRVLTCIPAFSVVKASAAEKKKVLIVNTDSGGHAVIGFYFAKQLLGSGHEVTVLTVGEESSDKMKKPPFNRFSEIVSANGRTVWGDPADIGKVLEGATFDAVLDNNGKDLDAVRPVADWAKSSGVKQFLFISSAGIYKPTDEPPHVEGDVVKADAGHVGVEKYISEIFESWAVFRPQYMIGSGNNKDCEEWFFDRIVRGRPVPIPGSGMQLTNIAHVRDLSSMLTLAVENAAAANGNIFNCVSDRAVTLDGMARLCAQAAGLSVEIVHYNPKAVGIDAKKAFPFRNMHFYAEPRAAKEILGWKGTTNLPEGLKERFNEYVQIGRDKKEMKFEIDDKILASLKVPVAV >CDP18594 pep chromosome:AUK_PRJEB4211_v1:1:127970:128260:1 gene:GSCOC_T00012429001 transcript:CDP18594 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQEQNWDLESGDCILKETSSSSQTPSSSILSTSFLRRRPNQERPPVGMFKQFLNNLRLVFLGTKLTLCPHSSHPFGHSRSVLWFHDKSKLGYV >CDP07041 pep chromosome:AUK_PRJEB4211_v1:1:29062860:29065252:-1 gene:GSCOC_T00024137001 transcript:CDP07041 gene_biotype:protein_coding transcript_biotype:protein_coding MALILLSFFIVFLLPPSNAQGDPPSPGYYPSSRVASLGFNQGFRTLWGPQNQRVDQGSLTIWLDRSSGSGFKSLNRYRSGYFGAAVKLQPGYTAGVITSFYLSNNEDYPGYHDEIDIEFLGTTTDKPYTLQTNVYMRGSGDGNIVGREMKFHLWFDPTKDFHDYAILWSPNEIIFFVDDVPIRRYPRKSDATFPLRPMWVYGSIWDASSWATEEGKYKADYQYQPFVGRYNNFKLGGCTTNGAATCRPISASPAGPSGLSSQQYAAMAWIQRNYKVYDYCADPSRDHTHTPEC >CDP03883 pep chromosome:AUK_PRJEB4211_v1:1:34396697:34399412:1 gene:GSCOC_T00016385001 transcript:CDP03883 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMVLSLSGPTNPFPPTSERRGGTTKRVVPFQRHQGVAAPTPAEQTHALTVQEKGPRNVGLKKLKDKEARARKEETSRKIASQKAVSIILRREATKAVIEKKKGNSKKLLPRTVLEALHERFTALRWESALKVFDLLREQLWYKPNSSIYIKLIVMLGKCKQPEKAHSLFHMMIDEGCAVNTEAYTALLSAYGRSGIFDKAFSLLEEMKNTPSCRPDVFTYSILIKSCLQVYKFDEVQALLTDMASEGIKPNTITYNTLIDAYGKAKRFAEMESTLVQMLRQRECKPDVWTMNSALRAFGGSGQIGLMEKCYEKFQSSGIDPNIKTFNILLDSYGKTGNYEKMSAVMEYMQKYHFKWTLVTYNIIIDAFGRVGDLKQTEFLFRLMQSERIKPNCITLCSLVRAYGQAGKAEKIGGVLRFIENSDVTLDTVFFNCLVDAYGIMGCFTEMKGVLEMMERKGCKPDKVTYRTMIKAYSLGGYIFFFCEFLLHNYSIYCCFIFLFNW >CDP06973 pep chromosome:AUK_PRJEB4211_v1:1:28373982:28375012:1 gene:GSCOC_T00024037001 transcript:CDP06973 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFNHLAVVKFKEGVVVEDVLKGLEKLVSEIESVKSFVWGQDIESPEMLRQGFTHAFLMTFGCKEDLTAFVGHPNHVEFSSTFSTVIEKVLLLDFPVVTVKAPA >CDP09014 pep chromosome:AUK_PRJEB4211_v1:1:31076867:31078931:-1 gene:GSCOC_T00028182001 transcript:CDP09014 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGEAVWAWLSRIHTGQPLDAIRHSHRIEYTRAKTRGSRETTRPWAEMKHRLRRRLCRPFSSIPIPRPNQISVFQTPQKTTIDDDEKFISILNDIVRGKESWKSAFTNPSISAHLKPHHVEKVLISNLHDSRGTARMETQQGPLSCLLRW >CDP11107 pep chromosome:AUK_PRJEB4211_v1:1:7030448:7034678:-1 gene:GSCOC_T00033146001 transcript:CDP11107 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIAVATVENMLKRLISSTNIDATELEYLGLDEELKKLRVSVSRILSFLADADQMEWLLCQPWLMELQDLVVDADHAVEDLKYEVLRRKVEIPDQKKSKTKSIMVLSLSSYFLPSSPTVSRRKCKKKLRNINKKFEGIIKFATVGDEVRRILDRTVTDSPEVGRIVGRGEDHSLVHKGFVLLCARESDFYHDLKPILVTIFSNSLLFTQHEGPAGLGKTTLAFHVYNHSEISTPFDMKWWVSAAGEFETRGIYKLILEELTKNSVSVHGVHELVEKLQRITEGKRYLLVLDNLYLDDNFYDSFVHYISQIESREGNWWLITTRDATGLRNYPMSRCLPNFNFPRWHFPIIKLSPLDEENCLAIFNEHAFGGPGQALQQQEIQPEIRRYLLDICEGIPLLAKLLGSLLCMKADQKQWFSMLKRLTDSCGDMALNSRLVFYQMPSSSLKKCFAYCSNFPKDHQIDKEQLVQLWIAEGLIEPEDESALDLEDKGYELFYILLHNCLLEAAKKDKYGNVTHCRVPDALYRLAVSHSRYDSIDLENPTGKDTLVRYLKAQSIEQDNIPGECNLIVHSMDGKIQRVEASRMLYVSTLILKSNISDDLLLNFIFLHVLNLAYADIEELPFSISNLVHLRYVDLSGNRFKVLHESICLLYNLETLRLLECPSLKVLPLDISNLISLRHLHFYYDEEFHMPPKMGLLTSLRTLLFYNLGLEDGQGIKELGYLKNLRGKIVIRKLEFVSSKEEAEFADLFGKILVYELGYHWSDNRNGIGNDRIFLEGLQPHPELKSLTIRNYMGYELPWWVVNMQVKRSFQLDNLTELRLINCKRLEALPMLGQMPFLQYLELSGLANVTRIGQSFYGANYLALLNRRNGLPQTTITVFPALKRLKLDCMPNLIQWMEAQVRPPIEVFPCLEKLTIQYCPRLSTMPCHFPAVKELKIPFLVHNSTPLANICSSVTTLTELCLYGILEVTCLPNSLFSSNRNLEILCISSCPNLTHVAPSFHNFGTSLRQFSINGCNRLSELPQDLNHLSRLESLSIEECHDLKSLPDKQLKFLEFLKIAYCDELSHLPHQMIKSAINLRLLSSLWISNCPQFSSVPDDLRLLSSLKELRIGCFSDLVQFESFIRECIPNLQELHTMGLYGLPQWTKLPHELQHLQALRLLFLSDFGIKALPNWLGKLSSLEELGLYRCKKLKDMPSNEAMKSLTKWMRLSIFGCPLV >CDP03504 pep chromosome:AUK_PRJEB4211_v1:1:37225098:37231293:1 gene:GSCOC_T00015899001 transcript:CDP03504 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein PMS1 [Source:Projected from Arabidopsis thaliana (AT4G02460) UniProtKB/Swiss-Prot;Acc:Q941I6] MATKGGPSMMLDKDSATIRPINKGVVHRICSGQVILDLSSAVKELVENSLDAGATSIEIALKDYGEESFQVIDNGCGISPHNFKVLALKHHTSKISDFPDLQSLATFGFRGEALSSLCALGELTVETRTQNEAVATHLTFNYSGLLVTERKTARQIGTTVTVKKLFSSLPVRSKEFHRNIRKEYGKLITLLNAYALIAKGVRLVCTNTAGKNAKSVVLRTQGSGSLRDNIITVLGMNTFTCLEPVEVSISDGCTVDGFLSKPGYGSGRNLGDRQFFFVNGRPVDMPKVSKLVNELYRGANSRQFPIAIMNFTVPARSYDVNVTPDKRKIFFSDEVSILRTLREALEKIYSPDSASYLVHELDEQSKKKSTSKFHFKQENSQLQRRQSSHDDCDKAEACDKKQLLDGDTLCIADKKDLNDISIVEVKDGNLNHSAGRDFLLRVHSTKKEDTLSRCSYNKCKDPSSSTEKKPLPLSSIPPEESCDDNSRLQCRSTIFQSSLKKFVNITKRKHESISNALSEVPLLRSSLTSCQSKELRFGKHNTHPNLPVNSMIIDDSDEFSNNGPEPSECVRVNQVCHERGTAFPDDKDMENRESTQQLKLEETVLPIPTSNNLENMSEDLLDETIRLESSDPSSDSPISSLNVGSTLQFSFKELTTRRQQRLARLHILNHTSRKMKIKGSYTAATLELSQMTNDEGKSRALAAATSELERLFKKEDFERMKVIGQFNLGFIIAKLDHDLFIVDQHAADEKYNYERLSQSTVLNQQPLLQPLRLELSPEEEIVTSMHMDIIRRNGFSLEEDVHAPPGQRFKIKAVPFSKNIIFGVADVKDLLSILADSQGECSIIGSYRSDTADSVCPPRVRAMLASRACRSSVMVGDPLGRNEMQKILEHLAGLKSPWNCPHGRPTMRHLVDLTTVRGRINVEEEAM >CDP17044 pep chromosome:AUK_PRJEB4211_v1:1:37946900:37949621:1 gene:GSCOC_T00004950001 transcript:CDP17044 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKYGGHNTNTSSSIHTTHSWKAAVSRSTITFTTNARAAGGGAPSDSKAGCRSPSLLILCYSDRPKFSSSSTNASTNRTRYNSPSKFNWSIPDAYKDSGDGPHHTDRLTDSDEELDDVDIEIQKTGYNRRRIQSRVSVDASLQSVWNVLTDYEKLADFIPGLAVSQLLQKTDNFARLFQIGQQNLAFGLKFKAKGVIDCYEKEIQNLPFGKRRDIEFEMIEGDFQLFQGKWCVEQLSTGDYDIADSIKGQEFHTNLSYIVDVEPKVWLPVHLVQGRLCREIKMNLLCIKEAAERAIQNKVSGYE >CDP03904 pep chromosome:AUK_PRJEB4211_v1:1:34258783:34262521:1 gene:GSCOC_T00016407001 transcript:CDP03904 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRESWCFCNGGGKSERMKAAIFSGKGPLMARIGAAGTGFLIHRNLLLTTHVNLPSVSAAEAAEIRLHNGVAACLFPHRFFITSSVLDLTIVGLDAMDGDTNVLVQHNHHLKPCSNPNLDLGSVVYLLGCTEEKELTVGEGKVVIPTDNLIKLSTDGVIWSPGSAGFDVHGNLAFMVCDPMKLATSPNTKSTSTSSSSSSSWKKDLPMQFGIPIPIICDWLNQHWEGNLDELNKPKLPLLRLMSTGQKSEHSCASFTMRQVFKSTEAENEGTPSSSNMMSKHIGPSCSAVHNSSEDEAVTADPPAATHVQGIPTPEIYESPKLTSTPVRKRESTQIQLLDINFPPRIVKVPASLQPTRIVQPNSDENHVKEPPRLHLMDEDQFSDKGHSGIIAEAEVASTGSVNGVQSEVQSCASPVDGSEMQRDYSSEGETTISFMQGRNVYSQGAASHRSNEYYSPTVSSILKKRNNLEQQPNRPRQNAVHSSPRWMF >CDP04064 pep chromosome:AUK_PRJEB4211_v1:1:33107978:33108848:-1 gene:GSCOC_T00016603001 transcript:CDP04064 gene_biotype:protein_coding transcript_biotype:protein_coding description:LBD13 [Source:Projected from Arabidopsis thaliana (AT2G30340) UniProtKB/TrEMBL;Acc:A0A178VRU4] MLGPPGTLNTITPCAACKLLRRRCAEECPFSPYFSPHEPQKFAAVHKVFGASNVSKMLMEVPENQRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQSLQAELHAVRNEILRYKYREAANNIIASTHAALVSSGNHVSVAMMPQAPATPTPTPAPPPSTPTPAPAPPPPPPAQPSASLVVVSTTSSAPSAPSLYTPPSSTASFNTITNNNIPFFD >CDP06954 pep chromosome:AUK_PRJEB4211_v1:1:28225767:28227636:1 gene:GSCOC_T00024014001 transcript:CDP06954 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAYLLALLGGNTCPSAKDIKAILASVGADADDEKIDLLLSQVDGKDITELIAAGREKLASVPAGGGAGVAVAAAAPAGGAAAAPAAEDKKEEKVEEKEESDDDMGFSLFD >CDP06975 pep chromosome:AUK_PRJEB4211_v1:1:28384346:28385248:-1 gene:GSCOC_T00024041001 transcript:CDP06975 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFASCFSEYAVQVSDSASCSSYANTSCISQNLIPSVQNAVTCLYKTILSNQNQLVIRVAWSKNSASQGLSIHFGDDPSNSFKLNTNSRLFRKKKGSKCCEFNDYKVEVFWDLSAARYNTGPEPIDGYYVLVTVDSQLCLILGDMAEEASLKKLKSGTPVAKFSLVSRQEHFSGNTLYSTKAQFCDTGTCHDILIRCSGENEGLKHPVLSVCIDKKMVTRVKRLQWNFRGNQTIFLDGLLVDLMWDVHDWFFNPASGYAVFMFRTRSGMDSRLWLEEKLVQKDQDKVDFSLLIYACRCP >CDP17584 pep chromosome:AUK_PRJEB4211_v1:1:1314515:1315408:1 gene:GSCOC_T00011079001 transcript:CDP17584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor RAP2-11 [Source:Projected from Arabidopsis thaliana (AT5G19790) UniProtKB/Swiss-Prot;Acc:Q6J9S1] MELQFQKQGTYMVDRNSSSSSKRKFVGVRQRPSGKWVAEIKNTTQKIRMWLGTFDTAEEAAQAYDEAACLLRGSNTRTNFMNHVPCNPALSLKIRNLLNQKKGRLNKITSLASTASNKTATESSSRTISRTSSSSSTSQSSASGSSFSSSSSNSSDSSAAVLTTIQAQTKLFDDAYKPDLSCFMAAGGYELASSSDQFDYTTGRDGSLFSTFASDFDRILLGQQDAGFELPKKDISEMMSDHQTQYYHQIPDFEHMKVQRQISASLYAMNGVSEYWENVHDCNDSFWDLPYQMFCPS >CDP06966 pep chromosome:AUK_PRJEB4211_v1:1:28335207:28336854:1 gene:GSCOC_T00024029001 transcript:CDP06966 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHQRRLHADNQGDMIPYTISSHSWRRK >CDP08962 pep chromosome:AUK_PRJEB4211_v1:1:30622129:30624652:1 gene:GSCOC_T00028110001 transcript:CDP08962 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHAATTTAATNTPTKPSKKNHLVSAMIKQGFISDPLLSPAPSPPSSHHLSRPHSATTATAISLSPPPSFATAAPNPTRSISSPTLFEMMSDEQARDSRHSTEAGLKLQDRVFKVLAEAPFKNSGNDRTNDNNLGCGDVRLTVSARDGFRVSMEVHRRVLADRSRFFAEKLRRNGAHMVTVEILECDDVEVYVEVVVLMYCEDLKKKLMGEEVSKVLELLKVCSAISFDDGILACLDYLEAVPWSEDEEEKVVLLLGDLQLHRSLPNVLQRVVAEPSTSSRADDIFLKLLSGVLQAKDDKARREMKTLISRLLKEESSDHRSLNNSLDISRDTLYHLCHRCLSSLILCLSEATCVEEGRQDRGFLMSEIAREADNMQWVVDILVDRKLGDEFVKLWAEQKELAVLHSKIPTMYRHEISRITAQLCVAIGRGHILVPRDTRYSLLSTWLEALYEDFGWMRRASRSVDKKLVEDGLSQTILTLPLPQQQAILLNWFDRFLNKGDDCPNLQRAFEVWWRRSFIKQYVVESQLQLTVYDNSN >CDP03781 pep chromosome:AUK_PRJEB4211_v1:1:35119272:35121624:-1 gene:GSCOC_T00016261001 transcript:CDP03781 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGGSKDRKRRKQRNKVIPRDQQHRHGGQNSLQGTVSTTELPIKEPPPTNLVSTELRDNPEEKLSPLSSSNRKRVTFNSNVTTYENVSVIEGTEFFPEGKEVIEKEKGDILVQSSKSESEDDSITSSIGSYPRNHRYQNCRDSDDEAETFGDSDLEDDGEDGEDYSDYDEDGNDQIFGLEVQSGSGLTATVESRTDDVSDRLTLGEVESPMKASFLPEQEVKTLGLNRNARSRSAYVHPVLNPVENLTQWKTVKSRGTSQWKPQKENFSAELEVPRMSFSSQPTFKQPSSGLKQKSDSFNTLSQDIAVDASLSTWLVGRESTPAKTSLSSLETVTCEKVSSTSPGSNSVRSFEDRPILGALTVEELKQLSAASSPRRSPSWSPDEMPIIGTVGTYWNDSVSSKDSRSASSYKGIPNTTSKYREDKRINWHNTPFETRLERALNRGAGEA >CDP03908 pep chromosome:AUK_PRJEB4211_v1:1:34229061:34230630:-1 gene:GSCOC_T00016412001 transcript:CDP03908 gene_biotype:protein_coding transcript_biotype:protein_coding MSELCLSRPSRTHLSSLLVSNFLLFCSFIVSHPLYFTYLIFFSPYLLKLLSFLSPLFITTSLLLLALLTASPCLIVSGSFSRESSDSHAESKGSFLTAAYHAVVERLRSKIDDHIEEELLCLEDFEAFKIVFGNPTTQDDKESQVEVFDAEVLVEDTSLKASKAPVIAACVGHQDFGVTSNEALASKLESESETFVDSESTCDAMEEKRSLECSGSLKEVDESENVKVNATVEKKKIEPPCTVANKAVDNHGEKSPMRNGSEAAGNKIRHTTTTTNTSNSAEVGKGNGIMESKSELLKAHSHSVGASADYTNDKCIWKVQKSSPSLDYNLGGYGSMRKGKDWKRTLACKLFEERNNADEGEGMDLLWETYEVESSKSKANSKSKKKGHKKKYESKHRECEGISCDGQDEEEESDGQFCCLQALKLSAGRVNLGMARPNLVKISKAIKGIGWLHNLSRHSKKVHSGDRF >CDP14099 pep chromosome:AUK_PRJEB4211_v1:1:11468277:11474632:-1 gene:GSCOC_T00039305001 transcript:CDP14099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase JMJ30 [Source:Projected from Arabidopsis thaliana (AT3G20810) UniProtKB/Swiss-Prot;Acc:Q8RWR1] MSATDTTGSTACAVEAEKDVLETPVLDSERPNLFQRITEEGGYAYVRMAMLASGGDMRAAEAAKEMAWEQLHSGPWHSVVPVWRDAYAMACLHVAKLHYAAAEFSLALRALDMGLIMGGLSLRDDLNSAIKTASKRARLTIATSKLGSEDDGKDDKQSKLFVSEEVDVAELQHLLPVKSLSSNMVGRRSSLSLEAFLHDYFLPGFPVIISDCMADWPAKSKWNNMNYLKRIAGFRTIPVEVGKNYLCQDWKQELITFSEFLDRIQSDDCTSADTTYLAQHQLFDQIQELRHDIIVPDYCFAGGGEMRSLNAWFGPAGTVTPLHHDPHHNILAQVVGRKYVRLYPASLSEELYPHAEFMLRNSSQVDLDNVDEKEFPKVVNLEFLDCILNEGEMLYIPPRWWHYVRSLTTSFSVSFWWSDIANSSAS >CDP17373 pep chromosome:AUK_PRJEB4211_v1:1:4236005:4239835:-1 gene:GSCOC_T00009725001 transcript:CDP17373 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGPYSLGKLSDDECWSMIKRKVLAGEEVPKELEAIQEQILRRCDGLPLAASLIGGLLLNNGKEKWHCIVQKSLLNEDQSEINQILKVSFDHLSPPSVKKCFAYCSIFSQDTELGEDELIEHWVAEGFVLPDRENTGMMEERGGEYLRILLQSSLLEKVADEGSTYYKMHDLVHDFAKSVLNPKSSSQDRYLALHSYEEMAENVRRNKPASIRSLFLHLKGGMSADMNMLSRFKHLHVLKLSGFGVMFLPSSIGKLLRLRLLDISSSIISSLPESLCKLYNLQTLAIYNFPLEEGFPKRMSDLISLRHLNYYHDDEEFIMPVQMGRLTCLQTLEFFNVSQKRGCGIEELGTLKYLKGSLSLRNLGLVKGKEAAKQAKLFEKPNLSRLVFKWKSGDRESDNCDENVLEGLQPHPNLQELEIRYFMGNKFPQWFMNLANLVGLRIEDCQRCSELPTVGQLPSLKRLYLKRLDNIRSVGDEFYASGSCTRRRKFFPALEELRVEYMENWVEWKDADQVDVFPMLRDFHILSCRKLTSIKTGYGTASIEELSIDTCDNLRELPEDVFGSTLHHSESLPHQIQYLTALTKLSLFNFGGIEVLPGWIGNLVSLETLVLWNCEKLRSLPYEAAMRRLTKLTRVEVHFCPLLRQRYTPQRGIYLEE >CDP17510 pep chromosome:AUK_PRJEB4211_v1:1:19962897:19963327:1 gene:GSCOC_T00011446001 transcript:CDP17510 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVVDEKTDVFAFGVFLLELLSGKKPVDGSHQSLQSWAKPIFSRAEIEKVVDPRLGGFYDVKQLNRLAFAASLCIRGSSIWRPTMSEVLLFPFNYYNYCIISLRIFLIYIYFL >CDP03689 pep chromosome:AUK_PRJEB4211_v1:1:35837933:35841526:1 gene:GSCOC_T00016143001 transcript:CDP03689 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRLAAQRFREIRQVFRQIPQAPRVFSTALNYHLDTPDNNPDLPWGFTEANKAKVKEILSHYPSNYKQSGVIPLLDLAQQQHGGWLTVSAMNEVARIIEVAPIRVYEVATFYSMFNRTKVGKYHLLVCGTTPCMLRGSRDIEDALLKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYAYNYYEDVTPKRVVEIVEALRRGEKPPRGTQNPKRINSGPEGGNTTLLGEPKAPPCRDLDAC >CDP09259 pep chromosome:AUK_PRJEB4211_v1:1:32901209:32909879:-1 gene:GSCOC_T00028512001 transcript:CDP09259 gene_biotype:protein_coding transcript_biotype:protein_coding METTATKPNLVSNILVRLLSFVVLVLLARSAYIITVKGRACDFAGDFCFFPDTPKHTTPSSAASATRLRNYYSSVFQDLISEGFLSPDSKSLCIETLTGQDVVALQDIGVINSVGISKKASPPLVRYGPAFRQPFADNTFDFEFSGDSVLDRTVKPVEFASEVSRTLKPGGFFVVHTSSKDQYSLNSLLELFNSCRLIRSREINAVDSSSPWIREVVLRKEVGVVVNGKKEQFTRGSSVNRCNVPGYIHELISNAEPLLVEEPLKPWLTLKKNMKTAKYLSSLVDIRFKNRHVYVDVGARNYGSSIGSWFKKQYPKQNKTFEIYAIEADRAFHEEYRSKKGVKLLPFAAWVRNETLFFEINREPSKMNVERGRGMGRIQGVQSSSNFLGDSDKIQGFDFAGWLKTTVSERDYVVVKMDVEGTEFHLIPRLFETGAICLIDEMFLECHYNRWQRCCPGVRSSKIIMIADSNGGGSGIDDDDYGGFKTTFLCHFVNQINSRGVILGRDPLSYSIPLLLLQLSLISIITRVVQFLLKPFGQPFIVSQILGGVILGPSILGQNVTFLERVFPAKGRVVIDTLSIFGLMLFIFLIGVKVDPTMVLRSGKKAIAVGILGFFIPYGLASLVACLLDQFLSLDTGIAKALPHLVVMQSMTAFPVITCFLDELNILNSEIGRLASSSSIICDVCLWSVMSIQFAVKIYNTKPLRVTIGLFLSSIFFSIFIVFGVRPAASWAIRRTPEGKPIKEIYVFMVLVVLMACGFAGEVIGINALVASLAFGLVIPDGPPLGAALVERLDCFVSALLMPLFFTICGLHMDIFCVQKLKDVGVLQLIVFVAFVGKIMGTMLPLLFCRMPFRDALSFALIMNSKGIVELAFLNDFRISAVITRECYAISIISVVGITGVIAPLVKVLYDPSKRYVAYKRRTLLHSRNDEELRILACVHSQENVPAILGLLQVSNPTKDSPINLVVLHLNKLMGRASSVLVAHRERDKPLNPTQSERIFNAFKKFEEQYQGLVLMQCYKAISPYATMHDDVCLLALEKRTILIIIPFHEQWILGQRVETSYAYRHLNKNVLEKAPCSVGILINRGNQKKSRYVATQPSLFHVAVLFLGGADDREALAYACRMADKPNVMLTVVRFRGSNSTGIVGGTERSKMLDAEILGGIKLKMQIKRQILSQEYVATSGEDVLSITRRVGSSYDLVMVGRRHGNSPIMSELRKWNQRGELGAIGEIFAASDFRGEASVLVVQQQTKLWGLKDPEESTHLRRIKL >CDP06945 pep chromosome:AUK_PRJEB4211_v1:1:28070254:28076876:1 gene:GSCOC_T00023999001 transcript:CDP06945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase 3 [Source:Projected from Arabidopsis thaliana (AT4G33790) UniProtKB/Swiss-Prot;Acc:Q93ZB9] MELGNIVQFLENRAILVTGATGFLAKIFTEKILRVQPNVKKLYLLLRAADSKSALQRFNSEIIAKDLFRVLKEKCGTDLNTLIAQKITVVAGDITSDNLGVKDLNLVEEMWREVDVVVNLAATTNFDERYDVSLGINTMGAKHVLNFAKRCAKLKVLLHVSTAYVSGEKEGLMLETPYNMGETLNGTSGLDVEVEKKFLEEKLKELRADNNSEQSITSAMKDLGIQRARKFGWPNTYVFTKAMGEMLLGHLKGNTPLVIVRPTIITSTFKEPFPGWVEGVRTIDSLAVGYAKGRITCFLGDPRTIVDAIPADMVVNAMMVAMMAHANQPSEMTIYQVGSSLSNPLHYSSLQNYGLNYFSKHPWIDKAGNPVKVGKITVLRTMSSFRRYLAIRYLLPLKGLQIVNVALCQYFGGLYHDLHRKIKFVNRMIELYGPYLFFKGIYDDLNTEKLRRAARECDPENLFYFDPKSINWEDYFMNTHIPGVVKYVFK >CDP14434 pep chromosome:AUK_PRJEB4211_v1:1:9815508:9816935:1 gene:GSCOC_T00040889001 transcript:CDP14434 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFIQLIFFLFLFPISALSQKNGIVPLGSTLTAGETSASPWLSPSGDFAFGFQQVQDKDLFLLSIWYHKIPDKTVVWFVYSTNPVPRGSTVKLDPQTGLVLCDPRGLQLWSPKVDSAQVDHGFMNDTGNFILKGNDDSWLWESFKHPADTILPNQELKIGESLSSRKSATSFSSGRFYLRFHDDGNLVLVADDDAEYYNSNTSDSRDPLYSGSQVTFDGRGAMYIRKKDNQTEQLNLVSIPPASENYHRATIDFDGVFTHYYHPRTLTGNPNWKVLSYLPPNICISRRAYRGSGACGFNNICNLKNGRPVCECPKRYVLLDPDDTYGSCIPNSTLSCAEVDGGSAENLYDFEVVYNIDWPLSEFQKIHPSNERGCVQNCLHDCFCAVAIYKENNCWKHGPPLYNGKADKTIHTKAFIKYRKSDGSKPKDPGTFIRVEPVLLGTSFLVNLIFITTACLGFYLMYRKKRVISFEY >CDP09164 pep chromosome:AUK_PRJEB4211_v1:1:32141490:32142891:-1 gene:GSCOC_T00028381001 transcript:CDP09164 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL4 [Source:Projected from Arabidopsis thaliana (AT1G07320) UniProtKB/TrEMBL;Acc:A0A384KN11] MSATTTPSSSISFFSSSIFLSSSRHHHHSANLLCKTTHKRPPQFALTSTTSTKALIRSELATIPILSFEGTQVGSTTLNLKSAPLDTARSVVHRGLTTDLRNRRRGTASTLTRSEVRGGGVKPYPQKKTGRARRGSQRTPLRPGGGVVFGPQPKDWSVKINKKEKRLAISTAISSAVENTIVVENFEDKFEKPKTKEFIALMRRWGLDPKEKSVFLMTEVSDNVRLSSRNIGTLKMLTPRTLNLFDILDSEKLVFTSGAVEFLNERYGFDYNDEDDEEEEESAEEVGEEGLEGEEKSDD >CDP08520 pep chromosome:AUK_PRJEB4211_v1:1:22878532:22880310:-1 gene:GSCOC_T00027456001 transcript:CDP08520 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMNSESSNNSNTPAAGPVMTSSKQPAQSAKNVDTQSVLKRLQSELMALMMSGDSGISAFPEEDNIFCWKGTITGSKDTVFEGTEYKLSLSFLTDYPFKAPKVKFETGCFHPNVDVYGNICLDRLQDKWSSAYDVRTILLSIQSLLGEPNTSSPLNNQAAALWGNQAEYRKMVEKLYKPSS >CDP17078 pep chromosome:AUK_PRJEB4211_v1:1:37701268:37704383:1 gene:GSCOC_T00004990001 transcript:CDP17078 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVTLYVVDEAFMSTPRHHHYYHYHSPPPPPPPPSALCRICHEAELESCKSLESPCACSGTVKFAHRDCIQRWCNEKGNTICELCLQKFEPGYTAPPKKAQLMDSTAARVSIRESTETPTREREHEIGGEEMVDVEYSECSSAADTTASYCRSVALIFTALLLLRHMLALISAGTGDYPFTLLTLLIIRATGILLPMYILIRIITAIQNSVRRTRNYEVSEGETYPVFHDRQQRR >CDP17028 pep chromosome:AUK_PRJEB4211_v1:1:38057620:38062250:-1 gene:GSCOC_T00004931001 transcript:CDP17028 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCCEEDDLNKPADGGGHYMVKHSAGNDGGYHTTESASKAQAVKVQPIAVPAIPVDELKEVTDNFGTNSLIGEGSYGRVYYGILKSGQAAAIKKLDASKQLDDDFLSQVSMVSRLKHENFVELLGYCVDGSQRVLAYEFASNGSLHDILHGRKGVKGAQPGPVLSWLQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVRQCVDTKLGTDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNTRPGNAGETGQT >CDP09264 pep chromosome:AUK_PRJEB4211_v1:1:16959195:16962107:-1 gene:GSCOC_T00028524001 transcript:CDP09264 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTYIYLSLLSFFQGYEFRPNSSLYTSTKEDSNTLDKSNSNRPQIFAQINGIHYLHTGSAQGIIHRDIKSTNILLDESYVAKVANFGLSRSGPCLNETHLTNKSDVYSFGVVLFEVLCARPAVDPLFGHDEERMKKKWPKGKIRR >CDP09010 pep chromosome:AUK_PRJEB4211_v1:1:31057505:31057762:-1 gene:GSCOC_T00028176001 transcript:CDP09010 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTVKVICDHKSGKSKGYGFVHFTTEIAASNALKEMNDKILDGRNIRVQCVHKR >CDP18527 pep chromosome:AUK_PRJEB4211_v1:1:13487365:13491691:-1 gene:GSCOC_T00011940001 transcript:CDP18527 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRGTWRWMLGVSGVPAVIQFFLMLFLPESPRWLYLKKDKSKAIAVLSKIYDPDRLEEEIDQLAAAFDEETNRRNTVGYWDVFKSKEMRLAFLAGAGLQAFQQFTAMNAIGTIVGIYLIDHVGRRKLALSSLAGVFLSLVLLAGAFLLQTSSHATGLYGWLAVIGVVLYIAFFAPGMGPVPWTVNSEIYPESYRGICGGMSATVNWISNLIVAQSFLSITGALGTGPTFLILAGITVLAFAFVIVFVPETKGLTFEEVERIWKERAGGSSNSREPLLAPGDQA >CDP09676 pep chromosome:AUK_PRJEB4211_v1:1:24191155:24193176:-1 gene:GSCOC_T00030090001 transcript:CDP09676 gene_biotype:protein_coding transcript_biotype:protein_coding MKINCFLFLSCFLLALITLENIGFVANMSSLVLYFHFVMQFGLSASANTLTNFLGSTFLLTIFGGFISDTYLNRLYTCLSFGILEVLGLLLLFVQADSNKLQPDPCDKSSCVRGGKAVMLYCTIFLLAVGAGGVKGSVAALGADQFDQKDEKEAKALASYFNSYQFSVTIGSIIGVTIVVWVAMNKGWHWGFLISLITTSIGMVVLALGKPFYRLPSQSTSPLVKISQVIVAAIRNRNVPLPENPSELYETDDKDAISHTSQFRASKNEILSPIQEELLDKAASVRGGRAASVREERAPDPWKVCTVTQVEEVKILIRMLPIILSTIIMNTCMAQLQTYSVLQGYFMNTHLGSFKVPSASIPVIPLIFMSLLLPVYEFYFVPLARKITGHPTGITQLQRVGIGLVLAIISMAIAGVVEIKRRHKAIKDPLHPISLFWLSFQYGVFGVADMFTVIGLMEFFYREAPKWMRSLSTSFALLSLSLGYFLSTAFVNLVNTVTKKVTPSKQGWLEAPDLNHNKLELFYWFLAILSLLNFANYLFWASWYKYKSTAQDAGTHGRVGRGSSAVAAED >CDP09123 pep chromosome:AUK_PRJEB4211_v1:1:31850420:31850997:-1 gene:GSCOC_T00028324001 transcript:CDP09123 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRHMMMHMTFFWGKNAEILFSGWPGYDNLGMYVLALVIVFVLTVVVEWLSACKFIKESSNNNVAAGLVQTLMYGIRIGLAYMVMLAVMSFNAGVFLVAVAGHALGFFFFGSRAFNPSSGTGKTSDLPPLSC >CDP03827 pep chromosome:AUK_PRJEB4211_v1:1:34770240:34776496:-1 gene:GSCOC_T00016318001 transcript:CDP03827 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFHKGKNHNVEGPFPGCLGKMVNLFDMSGGMAGNRLLTDKPHRDGSPLSRRSQSDVSRMGQFEDRIEEKVMSEFSRTCPNRKSSGTPMKMLIAQEMSKEVDSKHNHPSVVAKLMGLDALPQQQPDQATERSHSRGYSRSQSDAQLSYWQHDKGFWDLPMQDETRDPQHNEYKDVYEIMRQSPKPNCTRDKSPHKGRCDETPNDKKMALVRQKFIEAKRLSTDEKLRQTQQFQDALEVLNSNKDLFLKFLQEPNSMFSQHLINLQSISHPPETKRITVLRPCKMVDGEMFVNSAKKNEKQIKKSAQISQVIGSDKSHPGLSTTGVSWKFDENPTQPTRIVVLKPSPMKPQDMKAVASPPALSPELHCDEEFIEEAEDDEARKSREAAKEITRQMRQNLSGHRRDETLLSSVFSNGYTGDESSFHKSETEYAAGNLSDSEVMSPTSRHSWDYVNRFGSPYSSSSFSRASYSPESLVCREAKKRLSERWAMMASNGNYQEQRHVRRSSSTLGEMLALSDTKNTKRNVVEGAKEDSRGSTSKLVGDLNKKDEDMNNSPRNLVRSKSVPVSSMVFGMELNVDGADQAHKETDVSNDAAKARSGKLSLKGKVSSLFFSRSKRSNKQKSVVCQSREESLPAGTPSDSPGRSDNDGSNCLNDTGLEECSSPSLHRLSSQASSADQPGNPSIISSEVGFAVVKHLVAGNPSENQDQPSPISVLEMPFEEEEEQTATESSGNNKPEEHGELPVQCKPNLIDKSPPIGSIARTLSWGESCVDTATSYPLKPSSSPQGAEEGEGEWFFFVQALLSVAGLDGEVRSDALLARWHSSESPLDPSLRDKYINLNDKDTLREARRRQWWSTRKLVFDCVNAALVEIAGYGSGTCQRAIPFWARMKEWFSGEERCVTGDCVVDNNSVVVERVVRKEVVGKGWLDHLRLEMDNLGKEIEQKLLDEIVQEAVVEFTGSV >CDP03950 pep chromosome:AUK_PRJEB4211_v1:1:33943694:33944405:-1 gene:GSCOC_T00016463001 transcript:CDP03950 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKYPSLLLLLIILFCTELLLVLQVTGVAGSRPPILARSNHLKATQMSSSQQELQFQVQQTSIST >CDP03826 pep chromosome:AUK_PRJEB4211_v1:1:34787256:34788523:1 gene:GSCOC_T00016317001 transcript:CDP03826 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSMASAASAFGLTPNAVSSNTSTAHKSTFLFLLPSKNNATSCTRLVVRASEETAPAAAATAPAEPAAPPPQAPKPPPIGPKRGTKVRILRKESYWYKGVGSVVAVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIQEIA >CDP14439 pep chromosome:AUK_PRJEB4211_v1:1:9700983:9705676:1 gene:GSCOC_T00040901001 transcript:CDP14439 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTTCSKHISLYKSTCWNLEHYKLRKYPKYQSSTKKLKFFGHGFSSQPIISMPASSSSSPMLLDFHFINYRPPPQNTEARSNSSILAYLGAEAPLAEDLESIGFLTDNAPWFKALLVYIEHRFYGKSIPLGSMEKAMKNKTMRGYFNLAQALANYAEILLHVKDKFSAQSSPVIVVGGSYGGMLTSWFRLKYPHIALVALASSDPILYFNHITPQHGYYSIVTKDFKVKSEHCCQIIRKSWFEIDKVASKPNGLSILSKRFKTCSPLNSSSELKDYLNYVYTLAAQYNSPSNYPVTEICNGIDKVPKGTDLLGRIFVGVVSYKGDNSCYDPLEYAYPSEACIGWTWQTCSEIVMPIGKSHLSSNDTMFPPSPFILLDFIEYCESSYGVSPRPHWITNYGCHDIKLVFQRFGSNIIFSNGLRDPYSSAGILLDSACYFLPSITKLRSHCLDILPAGKSDLSYLVAQRKIEVEIIEGWIKQYYADLYAIRK >CDP09718 pep chromosome:AUK_PRJEB4211_v1:1:25177751:25182025:1 gene:GSCOC_T00030161001 transcript:CDP09718 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESIEFEHMDLIDFAVKQGNGVNGLSKLGLKSIPHRYILPPEERLNQNQVVLEDSIPIIDVSNWGDPEAAALICEAAAKWGFFQIINHGVPLEVLEDVLEAGHKFFELPNEERRKYLKENSPTPTVQLKTGFSPLAEKVFEWKDYLVHHYVPHNVSSDLWPSVSKCMMYLDWPSLNGITFLSIRNLISVMTVAFTPGNDLTSEVYIRDQVLEYLKWAKPVIKKLVEVLLQGLNVKEFDESQESQLIDRTTISLIHYPMCPSPELACGARRHSDISSITILLQDDVGGLYVKGTTADQWIHVSPVKGALAVNIGDILQIVSNDRYKSIEHQVIVNGRRNRVSVPIFVSPAADTVVGPFPQSLENGKKPIYKHVIYSDYLNYFLSKRDDRNQTIEFAKI >CDP15594 pep chromosome:AUK_PRJEB4211_v1:1:26357160:26358108:-1 gene:GSCOC_T00015497001 transcript:CDP15594 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVASRDDIHAPTRQQQVASSLRPNFCQDLSDSVCFYQTFNSTTPSSLASYSMFTCPVFSLSSQLSFKQFPFVFAYLLICTAS >CDP09122 pep chromosome:AUK_PRJEB4211_v1:1:31841755:31845831:1 gene:GSCOC_T00028322001 transcript:CDP09122 gene_biotype:protein_coding transcript_biotype:protein_coding MASHERETRLLPSELFHQEDHEDYSNSSSNNNSSSIMVSPKGLRSLESNFSFSGSACSSWSEFSSPISSELGSTSESNESEEDDQELFISELTRQMAEYMLQDDDDDDDDDNHNNNNNTNQDHESSVFNYVPENSEIRKAIDHTSTAADWSKLKSGSVCYYNSQGFVNKSENPTSEPAGAKNLNTGIHPDDDSTDEQFPPVQIYQLKNQPQMRKQGSYGGRQAKATESTQQQQQQQQLLQHKEQQSMQNKGKAKRYGHTKKPSVPLFPTAGSGMRAIFLGGSERNGSSGTGVFLPSATYSTPEPKRKSGCSTVLIPARVLQALQQHFNTVGALSPSNAASSATTNLPWQNEVLARINGLLSQRKEHAEFQTRPAAAEAEAETSHLQDVQLPQEWTY >CDP09175 pep chromosome:AUK_PRJEB4211_v1:1:32225058:32230520:1 gene:GSCOC_T00028395001 transcript:CDP09175 gene_biotype:protein_coding transcript_biotype:protein_coding METLPLQHRPFPSTSGSGFLRSIPSGYKTISIATSNTSRLLPALKCSTITPSPFVDQSARFKEAAKHGNLIPLYRPIFSDHLTPVLAYRCLVREDDREAPSFLFESVEPGLKVSSVGRFSVIGAQPTMEIVAKENMVTVMDHRRGQRVEQFEEDPMIVPRRIMEKWKPQRIEELPEAFCGGWVGYFSYDTVRYVEKKKLPFSNAPVDDRNLPDVHLGLYDDVIVFDHVEKKAYVIHWVRLDEFYSVEEAYNDGMNRLEALVSRVHNIVPPRLAAGSINLYTSLFGLSLKNSSMSSEDYEKAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRIVNPSPYMTYLQARGSILVGSSPEILTRVKKRTITNRPLAGTIRRGKTPKEDYMLETQLLHDEKQCAEHIMLVDLGRNDVGKVSKPGSVNVEKLMNIERYSHVMHISSTVTGELLDHLTSWDALRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGFGGISFSGDMDVALALRTIVFPTGMRYDTMYSYKDECRRREWIAHLQSGAGIVADSDPADEQRECENKAAALARAIDLAESSFVEK >CDP17670 pep chromosome:AUK_PRJEB4211_v1:1:5375894:5382889:1 gene:GSCOC_T00013211001 transcript:CDP17670 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVRKLQVVSPVPADIDIANSVEPLHISEIAKELNLSPQHYDPYGKYKAKVLLSVLDELQGSEDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHESTQTDKGLFNRLCPPDKEGKRSFSNIMFRRLTKLGISKARPEELTPQEIKKFARLDFDPSSITWRRVMDINDRFLRKITVGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGKMVVGNSKAGDPITADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANISHGNSSIVADKIALKLVGRGGFVVTEAGFGADIGTEKFMNIKCRYSGLTPQCAVIVATIRALKMHGGGPEVVAGKPLDHAYLTENVALVEAGCVNLARHISNTKAYGVNVVVAVNKFATDSEVELNAVKAAALSAGAFDAVICTHHAHGGKGAVDLGVAVQRACENIAQPLKFLYPLDMSIKEKIEAIAKSYGASGVEYSEEAEKQIGMYTKQGFGSLPICMAKTQYSFSHNAAAKGAPSGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYDIDLDTTTGRVIGLS >CDP03937 pep chromosome:AUK_PRJEB4211_v1:1:34039637:34042327:1 gene:GSCOC_T00016448001 transcript:CDP03937 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEYFLNAAGTQLPLQFEAWNSFSPAPELNCSSGEASNCCLNPNWDKPAGHYARHGSALSSMVSSAMAPNSSPFPPGAASYASCNTSCYSTMPLSSPPKLQVPVLNENFSNLRNSVAPNPPLPALSADPGFAERAAKLSCFGSRSFNGRTSQFGVHNNAELPYGSSTPTVANGKLPRASSSPLLKRGGSPPLENNNLVPLAAANSNEESSVSEQVPSGETGLKTPNESNPRKRKAVARGKAKEDGSSQAANHNKGAEADIDSSAKRSKMTEATASDDQGVKIEERKGSDNKTGDDKANQRPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNPRLDNMDNILSKDLYQPNASVPPPLFPMDASEPALYKNPSQQNQELQSNNGSVDQIDTALCRTLGLQLPHLDGFTEGLSQFAGFCEDDLHSIVRMGYSHNPDTDAAFHSQTFPVANQTCPMKIEL >CDP03613 pep chromosome:AUK_PRJEB4211_v1:1:36418765:36421993:-1 gene:GSCOC_T00016034001 transcript:CDP03613 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAQSRRETNEGNNKRRAPVYDVVKTSTGRFVNVVAQSKATKQSSALKPLAPPGGCALLLLLPSRSIRLLPSATMKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTTGRPLGRGRGRGRGRGRGRGR >CDP03759 pep chromosome:AUK_PRJEB4211_v1:1:35283983:35287948:-1 gene:GSCOC_T00016238001 transcript:CDP03759 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSCSIQVNGMQFAYEIQTPLFFDFTINISPGSRCLLVGSNGSGKTTLLKILAGKHMVGGRDVVRVLNFSAFHDTNLVCGGDLAYLGDSWSKNVGSAGEIPLQGDFSAEHMIFGVEGVDPVRREKLIELLDIDLHWRMHKVSDGQRRRVQICMGLLHPFKVLLLDEVTVDLDVVARMDLLDFFREECEQRGATIVYATHIFDGLETWATDIVYIQEGILKRHEKLSDLSELKASPNLLSVVESWLRSETKVEKKKHITAQSPVRKSSPFDASPFRSSRHMAYYR >CDP07119 pep chromosome:AUK_PRJEB4211_v1:1:29899748:29905508:-1 gene:GSCOC_T00024237001 transcript:CDP07119 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNTEEHRSASIKSEVQGGFSNSTTACEREVVIPMPKVESSSGITEETGNAKNWRKQNLFLEIPTRTMDASCAEFVQIKMPPTPTPTPKRVNFMLTPSPSDSRLNSSPGPSSSRAKSSIKNLLPKLSFKNRNLNPETDIDKASNFDSGSSTTASQEKPSMLRSWSLSKIFTPRMKRTSSLPVTPVAHLSSESVTDGSACTSLTLDTKGPQRHISRSLSVPAINKERSIKRMDSFFRVIPTTPRVKDGDSITSVSTAGGNTENSDADGEDIPEEEAVCRICFIELCEGGETLKMECSCKGELALAHQECAIKWFSIKGNKTCDVCKQEVQNLPVTLLRIQSVQCQNTRASRVRNLEINGYRQVIYLGMVWHEVPILVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLASMTSSTMVKRRFVWVYASVQFALVVLFAHIFYSVVHVQAVLSILLSTFAGFGVAMSGSSIVVEFLRWRRQQQAFADQQHQNSQVMLHPGRWPQQSQSETISRVAPNLRQADIENPETFSGR >CDP09177 pep chromosome:AUK_PRJEB4211_v1:1:32233733:32234754:1 gene:GSCOC_T00028398001 transcript:CDP09177 gene_biotype:protein_coding transcript_biotype:protein_coding description:PH1 [Source:Projected from Arabidopsis thaliana (AT2G29700) UniProtKB/TrEMBL;Acc:A0A178W3K4] MESLWRSVTGANPDPSDYAGVEFWSNPERSGWLTKQGEYIKTWRRRWFVLKQGKLLWFKDPFDSSTSSAIPRGVISTADCLTVKGAEDALNKPFSFELSTSRDTMYFVADSDKEKEDWINSIGRSIVQHSRSVTDSEVIDYDSSRA >CDP16794 pep chromosome:AUK_PRJEB4211_v1:1:3938448:3945218:-1 gene:GSCOC_T00019308001 transcript:CDP16794 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPRMLKLWLGIIILFITCPSIAAGAFVGFNIGTDLSNLPSATNVVAILKAHQITHVRLFDADAHMLTALADTGIEVMVSVTNEEILGIGQSPSVAAAWVNKNVAAFVPSTNITAIAVGSEVLTTIPNAARILVPSMNYLQKALVASNLNDQIKVSTPQSMDVIPRPFPPSTAAFNSTWNSTIFQMLQFLKNTNSYYMLNAYPYYGYVNSNGIFPIDYALFRPLSSVKEIVDPNTLLHYDSMFDAMVDATYNSIAAFNISGIPVVVTETGWPWLGGANEQDATVGNAETFNNNLIRRVSNDSGPPSQPDIPINTYIYELFNEDKRPGPISERNWGIFFPNGSTVYPISLSSSVNVSTNSSGVFCIAKQDADSKKLQNGLNYACGQGQANCSALQTGQPCYFPDTLQSHASYAYNDYYQKMHTFGGTCDFDGTATTTSQDPSYGSCKFTGSSSTSGSGLFPPPALGPVGPSSQGSSVQVFSFGFLLVVFLSVVMADVSISMSL >CDP09274 pep chromosome:AUK_PRJEB4211_v1:1:17662240:17663662:1 gene:GSCOC_T00028551001 transcript:CDP09274 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNKEYKDFKSPRSCFLYDVYTPTTLEKTATGSYAQFAKQPVPESEHCWPLVGMPLKTKGKAAASTSAGPGYQQYPWEGEGVYYVPPVPGVVSGKCPASRLGTPSDHEGSRGAKSTRSSSDRERLDDAISKISHVTTVSQEFKEIRYEEEAKYSVPVFQDIFKNMQLPKSVKMKATMYFAERRNAGQRCAFIRFDEAKRYDYIELIMEELRCGKPPIVRK >CDP09144 pep chromosome:AUK_PRJEB4211_v1:1:31999234:32008655:-1 gene:GSCOC_T00028354001 transcript:CDP09144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 2, cytosolic [Source:Projected from Arabidopsis thaliana (AT3G46970) UniProtKB/Swiss-Prot;Acc:Q9SD76] MEVAATSSGNETIKSGIPAEVPAVAQPLAGEATEIASNIIYHAQYSPHFSPFKFEPEQAFYATADSVRDRLIKQWNDTYLHYHKVNPKQTYYLSMEYLQGRALTNAIGNLDIQDAYSDALKKLGHELEEIVEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQRISKGGQEEIAEDWLEKFSPWEIVRHDVVFPIRFFGHVDVLPTGSRKWVGGEVIQAVAYDVPIPGYKTKNTNSLRLWEAKACAEDFNLFQFNDGQYESAAQLHATAQQICAVLYPGDATESGKLLRLKQQFFLCSASLQDIMFRFRERQVGKGVLQWSEFPTKVAVQLNDTHPTLAIPELMRLLMDEEGLGWDDAWDITTRTIAYTNHTVLPEALEKWSQAVMWKLLPRLMEIIEEIDKRFIATVQSTRPDLETKLSSIRILDHNPQKPVVRMANLCVVSSHMVNGVAQLHSDILKSDLFADYVSIWPTKFQNKTNGITPRRWLRFCSPELSQIITKWLKTDKWVTNLDLLTKLRQFVDNEELYAEWESAKMANKQRLAQYITQVTNVSIDPNTLFDIQVKRIHEYKRQLLNILGTVYRYKKIKEMSPEERQKVTPRTVMIGGKAFATYTNAKRIVKLVNDVGAVVNSDPEVNSYLKVVFVPNYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEENFFLFGARAEEVPRLRKERENGLFKPDPRFEEAKQFIRSGAFGSYDYNPLLESLEGNSGYGRGDYFLVGYDFPSYMDAQARVDEAYKDRKRWIKMSILSTAGSGKFSSDRTISQYAKEIWNIEECAVP >CDP16777 pep chromosome:AUK_PRJEB4211_v1:1:3572707:3574523:-1 gene:GSCOC_T00019277001 transcript:CDP16777 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTPGSDVGSVDRISALPDPLICHILSFLPLKESAATSVLSTRWKFLFASLADIDLQFKSTYRPNVRKSVLEFIDSQRLIKFLYLAWRILQFRDGVPITKLTLSVFWIYEMVDKKFRSLIDSWISAALLFNVQELKIFVSIRHYAINCPGIFACKTLVSLRLLVVSTEVPSLVVLPNLKVLHMSLKGQVVDSVRRLIQGCPLLEELDVALDLQSVKDEETNDAEADVVDFSGPSIKRLRIELWGGDCTVLVDSGVLEHLAYGAPRHDGEHQVIFNVPNLTHLSYSAPAIGVNFIQNLNSLVEASIGAGLCKDQLNSRDAIVHLIRVKTVKSLSLGQDFLEALYDSQDFLPIFKNLTSLTLVGSCYPDETDHILSWKALASLFGKAPSLEALTFSKVCLGNVIENEELECLFSEALPICFIKLLKEIEFKFLYKEEEECQLKLIEYLLKNGKALKKMTVGHLLMPSVCRRILLFKRGSEDCEIILDEAKKYFGDICGDLQETSRKG >CDP11080 pep chromosome:AUK_PRJEB4211_v1:1:8021448:8023125:-1 gene:GSCOC_T00033097001 transcript:CDP11080 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRSFLQTPTYGNSITILSIDGGGIRGIIPGVILGFLESELQKLDGEDARLADYFDVISGTSTGGLVTAMLAAPNDKNRPLFAAKEIKDFYLDNCPQIFPQETHLMLGQAEKLIKAVTGPKYDGKYLHNLLKQKLGETKLHQTLTNVVIPTFDIKLLQPTIFSSYAHHPSLDALLRDICIGTSAAPTYLPAHKFETEDSDGSVKVFNLIDGGVAANNPVSQGNSDFFSIRSQEYSRFIVLSLGTGTAKEEGKYDAEQAAKWGILGWLTSGGSTPLVDVFSQASSDMVDFHLSTIFQTLQSEENYLRIQDDTLTGDLASVDVATEENLQNLVKVGENLLKKAVSRINLQTGVFEPLNKGTNEEALKR >CDP14441 pep chromosome:AUK_PRJEB4211_v1:1:9684340:9686108:1 gene:GSCOC_T00040906001 transcript:CDP14441 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPKLQNLPVINFDQENLQHGTESWSLARNKVRHALEEYGCFLAVYDAVSFKLRDSVFSALEKLFDLPVETKKKNTSDRLFFGYFSNDRNPSIHESLGIENSTNIEEVKKFSELMWPQGNDDNFSGIIHEYANLVAKLEQVVTRMVFESYGLEKLKCDSHIDSITYLLRFNSYEAPGVDEKTVIAPPHTDKSLITILTPSQVNGLEVNLKDEQWIPVDFLPSSFIVMAADALMAWSNDRIRPCLHRVHMNANARRSSVLMLSYHKGVLHIPQELIDEENPQRYKPFDHLGYHSFLKKEVQTGQHVQCDAIKRYCGV >CDP07090 pep chromosome:AUK_PRJEB4211_v1:1:29593033:29598320:1 gene:GSCOC_T00024206001 transcript:CDP07090 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWRKAKLALGTKMCLHVPRTTEEPSPAPALALAPTSAGRFSDAVSLSPTPHRGSNCRLDMPTTPVPSSSGLRLPKHSSKSSKKNCAICLNSMKAGQGHAIFTAECSHSFHFHCITSNVKHGNQICPICRAKWKEIPFQSPAIEVTRGRTRINNVSLPQNDPWVTVLRRLPPPRVDTSRHVSSLFQTTEPSVFDDDEIIHYQPETMENNSSLNDLIADNSAGAIDLKTYPEVSAISKQAWHSNFTILIHLKAPHTIVPKQVGVDQSGSQMVPQHSRVPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPSDRLSIVAFSSTARRVFPLHRMTDTGRQEALQAVNSLSSNGGTNIAEGLKKGAKVMTDRKSKNPVSSIMLLSDGQDTYSLSSPGAANSRTNYQFLLPVSMHRGSGAYSHIPVHTFGFGADHDAVAMHSVAETSGGTFSFIEAEDVIQDAFAQCIGGLLSVVVQELLVQVECIHPRLRLSSIKAGSYKTSLISNERIGSIEVGDLYADEERDFLVTTDIPVDKSSDEMSLVKIKCVYKDPVSKELVTANHAAEVKILRPETTGQLVVSMEVDKQRNRLRSAEAMAEARAAAEGGDLAAAVSVLEHCRKQLADSVSHKAGDRLCIALDAELREMQERMADRQIYETSGRAYVLSGLSSHSWQRATARGDSTDSTSLVQAYQTPSMVDMVSRSQTMLIGSPSPRRSLRPVRSFPARPMPR >CDP17093 pep chromosome:AUK_PRJEB4211_v1:1:37559292:37561266:-1 gene:GSCOC_T00005008001 transcript:CDP17093 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIMRFSGFFSAAMVWIVLSPSLQSSQPAEAIRSSHLDSYLRLSTTQIPPPSPDRFSFRKAPQYRNADACTFNVDSTLGEIGVCDPSLVHVAITLDVEYLRGSIAAVHSILQHSMCPQTIFFHFLVSEPGLESLVRSTFPQLNFKVYYFDPQRVRSLISTSVRQALEQPLNYARNYLAELLEPCVRRVIYLDSDLIVVDDVSKLWFTSLGTKTIGAPEYCHANFNKYFTAAFWSDGRFSDTFSRRNPCYFNTGVMVMDLVKWRRFGYTKQIERWMEIQKMNRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVRGSCRDLHPGPVSLLHWSGSGKPWLRLDSKRPCPLDSLWAAYDLFGNSS >CDP13134 pep chromosome:AUK_PRJEB4211_v1:1:1821969:1822841:-1 gene:GSCOC_T00037967001 transcript:CDP13134 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEKLSLFRFYWHDILSGKAPTSVMVVPPPKTNSFTAFGLVNMIDNPLTVGPDLSSKLVGRAQGFYASASQEEIGFLMTMNFAFTEGKYNGSTLTVLGRNPVLKKVREMPVVGGSGLFRFANGYAQASTHNFDPKTGDAVVEYNIYVMHY >CDP18528 pep chromosome:AUK_PRJEB4211_v1:1:13491752:13496015:-1 gene:GSCOC_T00011941001 transcript:CDP18528 gene_biotype:protein_coding transcript_biotype:protein_coding MTIESLPGSSGYLDVGNRKVTYFSNSYVVGLTVIAGIGGLLFGYDTGVISGALLYIRDEFKQVDQSSFLQETIVSMALCGAMIGAATGGWINDAYGRKKATLSADVVFVLGSIVMAVAPDPYVLILGRFLVGLGVGVASVTAPVYIAEASPSEIRGGLVSTNVLMITGGSFLSYLVNLAFTEFEEAGSGYNMSLAL >CDP14443 pep chromosome:AUK_PRJEB4211_v1:1:9667251:9671522:-1 gene:GSCOC_T00040908001 transcript:CDP14443 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDNSCFIFNSRTDLERSRENWSRKELKGVISLLDLLFGMVTSKLKLCLSGKLRIWPVISKI >CDP06893 pep chromosome:AUK_PRJEB4211_v1:1:27527537:27529628:1 gene:GSCOC_T00023931001 transcript:CDP06893 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAAVPFWRAAGMTYITYSNLCANLVRNCLKEPRRTEALTREKVHFSVSKWVDGKPEKPTIRSDTPEE >CDP06984 pep chromosome:AUK_PRJEB4211_v1:1:28515213:28516505:1 gene:GSCOC_T00024054001 transcript:CDP06984 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAKSNHRKGTGEKNRKGKLAEKAQSFHAGSVSAGKVGQMSLLQRPRTVPDLLSGKEVVQKSSSYEEVSRPLKLTKLLLNVTIQRSLGPVQVVMSPESTVEELIAAALRQYSKEGRRPILAANEPSGFDLHYSQFSLESLNRDEKLMALGSRNFFLCPKKCGGTESDGAVTASSSSCKGQAERATPKFGLPWLKFMEFML >CDP17358 pep chromosome:AUK_PRJEB4211_v1:1:4600692:4605826:-1 gene:GSCOC_T00009701001 transcript:CDP17358 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTVERSPNATRGFRVQAPLVDSVSCYCKVDAGLKTVAGARKFVPGSKLCIQPDINPRAHKSKNYRRERTRVQPPLIPGLPDDLAIACLIRVPRVEHNKLRLVCKRWYRLLAGNYFYSLRKSLGMAEEWVYVIKRDRDGRISWHAFDPTYQLWQPLPPVPVEYSEALGFGCAVLSGCHLYLFGGKDQLKGSMRRVIFYSARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNKNRWSFVADMSTAMVPFIGVVYDGKWFLKGLGSHREVLSEAYIPESNKWMPADDGMVAGWRNPSVSMNGKLYALDCRDGCKLRVYDESTNSWIRFMDSKLHLGSSRALEAAALVPVNGKLCIIRNNMSISMVDVSSPDKQVENNPHLWENIAGKGHIRNIFTNLWSSIAGRTGLKSHIVHCQVLQA >CDP15609 pep chromosome:AUK_PRJEB4211_v1:1:26624186:26627031:-1 gene:GSCOC_T00015518001 transcript:CDP15609 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALRMGNSAFPMVLVQIPMCNEKEVYQLSIGAACGLSWPTDRIIIQVLDDSTDPAIKYAKYKWRSAILRKATFLQKSFINKRRMSNQSTGSCHYPPSRKALKEQECRRWASRGINIKYEIREKRNGYKAGALRDGMKCSYVKLCDYVVIFDADFQPDSDFLHRTIPFLVHNPEIALVQTRWKFVNSDESLMTRMQEMSLNYHFIVEQEVGSYIYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDLDLAIRAGLKGLKFLYVGEVEVKSELPSTFKAYQHQQHRWSCGPANLFRKVAMEIVRCKKVSPWRKFYLIYSFFFVQKIVIHIVMFIFYCVVLPATTVIPEVQVPIWGAVCIPVITLLNALGTPRSFHLVIFWILFENVMSLHRTKGTFIGLLEVGRVNEWIVTEKLGRVLQTKSGSKVPKKPRFRMGNRLQVLELFVGFYLFFCGCYDVAFGKSGLFIYLFLQSTAFFVVGFGHVGTFVPTSS >CDP17570 pep chromosome:AUK_PRJEB4211_v1:1:1166975:1168791:1 gene:GSCOC_T00011056001 transcript:CDP17570 gene_biotype:protein_coding transcript_biotype:protein_coding MNHYLWSFLCALGEAQYLNRTFVMDLSICLSFSYTQSHRDEEGKDFWFYFDFEHLKETASVVDEEEFHKDWKRWDKTHKKKIPVRKVADYKVTPMQLKKDKSTIIWRQFDAPEPENYGYQVCEGPADKYIQRPWHALWKSKRLMNIVMAISGSMDWDYDAVHVVRGEKAQNKELWPHLDADTSPEALVQNLQTAVTPWRHLYVATNEPFYNYFDKLRSHYKVHLLDDYKEVWGNMSEWYNDSRLLNGGHPVEFDGYMRVEVDTEVLYRAKTRVETFYNLTNDCKDGINTC >CDP07141 pep chromosome:AUK_PRJEB4211_v1:1:30092326:30099880:1 gene:GSCOC_T00024270001 transcript:CDP07141 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLNHAIVESSEVEKNANACSCNGECDKGGCGFGCVYCSLSTSTSSCSSNASSSNPPPPSKIFMELWYACAGPVTSLPKKGNVVVYFPQGHLEQAASVSSFPALEVPSFDLQPQIFCKVLEVELLANKENDEVYTQLTLLPISEVLALRSGGKDHEEAGTEEVPIKSTSHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLNYEEPRPSQELVARDIHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGEGGDLRLGIRRASGLRNGLSELVIKSQNAYPRVLAPVANAVSSNTAFKIFYSTRASHADFIVPYQKYVKSINNQIPVGTRFKISIDLDNAPDRRYSGVVTGVGDVDPHRWPNSKWRCLMVRWEEDASNRHERVSPWEIDFSASFANMTIQTSPRMKKLRTCMQADVQNSSRNGADLLSPMPYTNNVSGANKAVMAPPVWNGYIPAGQVPLWGFAESARSGKVLQGQENLGLASPLYGGDKINRQLDFEMHSVAHQQFVSNGMEKANYSDFSRSQPSITYSGSMETNSFPKVLQGQEICSLKSLTGKTELNPSGWRKPELGGNILNINQRSFPSCYPLASEGIRNLVFPYNGVYKAGQDPVMLSYMTNRERENHVLNSKSFRDGLTKEDGRHLSLANEPKAFEKKSIVPTTGTPLNNKKDENKGAGSVCKLFGVSLTEEAPASSSQSSSRRSCTKVHKQGNLVGRAIDLSKLKSYDDLLVELEKLFSMEGMLREPGKGWRVLYTDSEKDMMVVGDDPWPEFCDVVSKIHILTIEEVQKMSIGVISDETRSCLEESPAVMDGSKSSVTAP >CDP08515 pep chromosome:AUK_PRJEB4211_v1:1:22778443:22780999:-1 gene:GSCOC_T00027449001 transcript:CDP08515 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTHFSVFLLVAIILLCSSRETVNATCYASEKRALMDFKKDLKDPHGRLSSWIHDVDCCKWEGVVCSNQSGHVIQLHLQSPIVLESPLSGKIRHSLQNLTHLRYLDLSLNNFTGFQGMVPYQLGNLSSLRTLSIGGHPSYLQVDNLQWLAGLSNLEHLDMSGVDLSLASNWLEVINTISSLVEIHLSSCQLDLISHHLGRNAFVFHTNFSSLTVLDLSRNFLGPLIPRWIFHLTVLASLDLSWNSFEGSLPRDLWNLTSLQHLDLSSNNLNGSLPAELIHLNNLTSLNLGRNQFEGFLDGIWNWSSLASLDLSYNNFATFLPSQLSTLTALISLDLGYNQFRGSIPSSIANISSLQYLRLSYNNLSSSLPSEVFTSKDLITLDARANHLNGPIPSTVGNCTKLEHLFLNYNALFRSIPSNLGRCTKLKQLSLSDNALSGSIPSSLGRCTKLKYLELSDNALSGSIPSSLGSCTQLKELSLRNNSLSGSIPSNFGKLSSLVHWDVSRNKLTGTLPESLWQLSKLEVLDIADNSIEGIVSESHLNNLTALWMTFEASGNSLTLKVSASWTPRTQFQRLGWGSWKLGPQFPAWIQSQKFLDSLNLSFTGISDTIPPGLFNLPLSILDLSHNQLHGKSSNISEIVKVQISLKLLKGLYAHNNQTYLNLIFNYLFSGGISHALCEVKNENHDLYYLDLRENSLSGEIPECWMNYPRLERINLNSNNFTGSIPRSLFHLEDLFYLGLGNNSLTGPITFDFVNHE >CDP14436 pep chromosome:AUK_PRJEB4211_v1:1:9738009:9740408:1 gene:GSCOC_T00040891001 transcript:CDP14436 gene_biotype:protein_coding transcript_biotype:protein_coding MASASFRLSLFISMFSVCVLAQNDGSLAVGSTLTAKEASSPWLSPSGDFAFGFLHLQENDMFLLSIWYDKIPDKTVAWYVNPVPRGSSVVLDAQTGLVLRDPGGVTLWRTDGFSGAVNHGFMNDTGNFILKDRNYTWIWESFGFASDTILPLQELVYGSVLNSRQSESNFTRGRFYLRFLDDGNLVLRTNSLPSSGNDTEYYNSHTSDPKNSSDPVYRVIFNSTGSLYMLKKSNQTIQLTPLSVRSASEYYYRAKLDFDGVFTYYSHPRNFTGNSTWTVLWAVPEDICSDLDGVPGGGPCGSNSICSLVDRKPVCECPKGYTLLDPYDKYGSCMPNFNQSCGDVERLSAEDLYDTWVASDLYWPKSDYEEISPSTETGCRKACLEDCLCAVAISRNNSCWKKKLPLSSGRIATNLSSKVFLKYRKSDVALQNPCLQGPKSKDRGTLILVESVFLGSSLLLNILFIGAACFGFQVIYQKRKSNFHLHNEAVETNLRIFSYKELAKATNHFEEELGRGSFGIVLEDRKKLQKFVMIGIWCIQEDPSLRPTMRKVSHMLEGIVEVMVPPCPSPFFSTI >CDP09042 pep chromosome:AUK_PRJEB4211_v1:1:31252896:31258646:-1 gene:GSCOC_T00028219001 transcript:CDP09042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical domain containing protein [Source: Projected from Oryza sativa (Os12g0570000)] MDEDKAGLAQKLIETVNEISAIPEYRPTVKKQYCNLARRLKLLTPMFEEIRDNKEVLPQDSLKALAALNHALDSAKELLRFGCDGSKIYLVLERDEIMKRFQEVTAQLEQALSGISFENLDISDEVKEQVELVLSQFRRAKGRIDSPDIELYEDLLSLYSRSNDSSADPTVLRTLVEKLHLTGISDLTQESLALHEMVAATGGDPEESIEKMSMVLKKIKDFVQTENPDSDLPSSCSAQLSSEGNHKSPFIPEDFRCPISLELMRDPVIVSTGQTYERSCIEKWLEAGHGTCPKTRQALTSTAVTPNYVLRSLIAQWCEANGIEPPKRPGSSQPNKTTSACFPADRTKIDVLLHKLTSGNPEDQRSAAGEIRLLAKRNADNRVAIAEVGAIPLLVGLLSTPDSRTQEHAVTALLNLSICEDNKGRIINSGAVPGIVHVLKKGSMEARENAAATLFSLSVVDENKVTIGTSGAIPPLVMLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVPTLMRLLTEPQGGMVDEALAILAILASHPEGKAAIGAAEAVPVLVDVIGNGSPRNKENAAAVLVHLCSGDQQHLVEAQELGVMGYLLDLAQNGTERGRRKATQLLERMNRYVEQQKQAQSQAEVQTQTQNQASRPPAFGDAVDS >CDP08985 pep chromosome:AUK_PRJEB4211_v1:1:30859848:30862505:-1 gene:GSCOC_T00028143001 transcript:CDP08985 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGNFWVLHSGSWIRDDAMARIKVHELRQKNKAELLAQLKDLKAELALLRVAKVTGGAANKLSKIKVVRLSIAQVLTVISQKQKAALREVYKNKKYLPLDLRPKKTRAIRRRLTKHQASLKTERQKKKETYFPLRKYAIKV >CDP17550 pep chromosome:AUK_PRJEB4211_v1:1:756364:758227:-1 gene:GSCOC_T00011029001 transcript:CDP17550 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGALTSGLQQLQLKTCQLFGTSDLHHIDNILLKKRASLKPLVIEAKANAKTDSAKTRNIRLRKKFNGTATKPRLSVFCSDKQLYAMVVDDQNKRCLFYGSTLQKSIRQDPSCTTMEAAQRVGEELVKACIDLDINEISSYDRNGLARGERMRAFEIAISRHGFLPR >CDP03803 pep chromosome:AUK_PRJEB4211_v1:1:34977769:34987194:1 gene:GSCOC_T00016289001 transcript:CDP03803 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISLFFLSTAARISGQPLGPRFLGKLHGFPILELSSVCINLTLFLLFLFIVSAKQICLCLGRVRVGKQDNSDASSVQVGDDRIVDAEIHSIVIGRGFKATVLCSFYLLLLNVVVLGFDGVSLIREATRGGPSNWTRIIQPAAQGLAWFVLSFSALYCKYKANQKFPLLLRIWWLLSFVVCLGTLYVDGRGLVTEGSRHFTSHVLANLASTPALAFLSFVAIRGITGIQVSRNSDLQEPLLLEEEAGNHKVSPFGEAGLFSLATLSWLNPLLSLGVKRPLELRDIPQLRQKDRSKINYKILNSNWERLKAENPSKKTSLAKAMLKSFWKEAAWNAIFAGLNTLVSYVGPFLISYFVDYLAGVEAFPHEGYILTGIFFIAKLIETFTSRHWYLGVDILGMHVRSALTAMVYRKGLRLSSSAKNIHTSGEIVNYMAVDVQRVGDFTWYIHDMWMLPFQILLALAILYKNVGIASLATLIATIISIVVTVPLTRVQEGYQDKLMAAKDDRMRKTSECLRNMRVLKLQAWEDRYRLKLEEMRSVEFNYLRKALYSQAFVTFVFWSSPIFVSAITFGTCILLGGQLTAGSVLSALATFRILQEPLRSFPDLVSMMAQTKVSLDRLSEFFLGQELKEDATIVLPRGLTSVAIEIKDGEFGWDPSSPRPTLSNIQLKVEKGMRVAVCGMVGSGKSSFLSCILGEIPKIFGEVRICGSSAYVPQSAWIQSGNIEENILFGSPKDKAKYKRVIHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIFLLDDPFSAVDAHTGSELFKEYILTALHSKTVIYVTHQVEFLPAADLILVLKEGRITEAGRYDELLRAGTDFETLVSAHHEAIEAMEFSSQSSEESDKHQPLEGSVLMSKRCESVGSSMDMMAKDVQESASASKKNPIKEKNKAKASKNKQLVQEEERERGRVSMKVYLSYMAAAYKGLLIPLIVLAQTLFQVLQIASSWWMAWANPQTVGDQPRTSSLVLILVYMALAFGSSWFVFIRAVLVATFGLTAAQKLFLKMLRTVFRAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGSFASTTIQLLGIILVMTDVTWQILLLIVPMAISCLWMQKYYMASSRELVRIVSIQKSPVIHLFAESVAGAATIRGFGQEKRFIKRNLQLLDSFTRPFFCSLAAIEWLCLRMELLSTFVFAFCMVLLVSFPHGSIDPTMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIHQYCQIPSEAPPIIEDSRPPSSWPERGTIELINLKVRYKESLPIVLHGVTCTFPGGNKIGIVGRTGSGKSTLIQALFRLIEPAGGRILIDGIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDQEIWQALDKAQLGDVVRQKEQKLDTPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDSATDNLIQKIIRTEFENCTVCTIAHRIPTVIDSDFVLVLSDGQVAEFDTPARLLEDKSSMFLRLVSEYSSRSSGISEF >CDP14432 pep chromosome:AUK_PRJEB4211_v1:1:9897086:9899541:1 gene:GSCOC_T00040882001 transcript:CDP14432 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLCIQLIFFFAFLFPISVFSQKNGIVTMGSTLTAGETSASPWLSPSGEFAFGFQKVQDKDLFLFSIWYDKIPDKMYANPSQVDHGFVNDTGNFILKGSDDSWLWESFRFPADKILPYQDLVTGDSLCSRQSATNFSQGRFYLRFRDYGNLVLVTRSVPRNVDDEATYYNSQTSDSTDAFNSGYQVTFDGGGAMYIRKRNNQTKQLNPVSIPLPPVSENYHRATIDFDGVFTHYYHPRTYTGNQNWTILWSKPDNICIRIAGEKGSGACGFNSVCHLEHGRAVCACPIGYILLDPDDSYGSCKPKSSLGYGEVEEGSAENLYDFEVVNDIDWPLSDFERINPSNETVCQQACLQDCFCAVAIFKDNSCWKKKLPLSNGRVDTLFSSIVPQGFQVESKPKDRGTLILVGSILLGTSFLVNLTFITISCLGVYLIYQKKKIIFPLKTEAGDINLHIFPYKELVEATNEFKDELGRGSFGIVYRGELQISSKSSIVAVKKLDRVAKDAEKEFRAEVTAIGQTNHKNLVRLLGFCDEGQHPLLVYEYMSHGTLARLLLHDPKPSWNLRIQIAIGIARGLVYLHEECRTQVIHCDIKPQNILLDEHFNARISDFGLAKLLMINQSRTMTSIRGTKGYVAPEWYRNTQITAKVDVYSFGVLLLTRDHFLPQIFDEFVENDSEALEDKMMLERFLMVALWCIQESSSLRPKMRKMSQMLEGIVEVMVPPCPYPFSTKS >CDP09029 pep chromosome:AUK_PRJEB4211_v1:1:31170368:31170629:1 gene:GSCOC_T00028202001 transcript:CDP09029 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLSPSFAYTVVYVRDVAKSVEFYATAFGHNVRRLDESHRWGELES >CDP09017 pep chromosome:AUK_PRJEB4211_v1:1:31090721:31094254:1 gene:GSCOC_T00028185001 transcript:CDP09017 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALDMTLDDLIKQNKKPSGGGGGRGRGRGSGPGPSRRFNNRAANRATPYGAPKAPDSVWTHDMFSSDQAMAYVGQLGVGGGGGGRASAIETGTKLYISNLDYGVSNEDIKELFSEVGDLKRSTIHYDRSGRSKGTAEIVFSRRQDAAAAVKRYNGVQLDGKPMKIEIVGTNIVTPAAGPQFPGGAFGDSNGIPRSGQGRGGSFGRPRGGGGRGRGFGRGRGRGRGRGEKISAEALDADLENYHKESMEDN >CDP17361 pep chromosome:AUK_PRJEB4211_v1:1:4481436:4486286:1 gene:GSCOC_T00009710001 transcript:CDP17361 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIPKSELCNLPLTLLLILLFSSVIFSVSGEASEPTAYEILQKYGLPIGLLPDSVTSYSLSEDGAFEVHLEKPCYVQFDYLIYYEKKITGQLSIGAITELKGVQVRRFLFWFDVDEIKVDLPPSDNIYFTVGFINKKLDVDQFETVHSCRDETALCGQSLRNVFQLPTPVDDVPLLITE >CDP17398 pep chromosome:AUK_PRJEB4211_v1:1:13015619:13016542:-1 gene:GSCOC_T00004457001 transcript:CDP17398 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIRIAIRALPMNVSRTYQDPRLCLGESISRASFLALLSASLFFASDSALAFKGGGPYGAEVTREQDLFGKDFNGTSLIKQDFKISILRQAKFKSATLVGASFFDANLTGISSTKMSFADLVWKNRIFCLK >CDP09635 pep chromosome:AUK_PRJEB4211_v1:1:23589580:23596040:1 gene:GSCOC_T00030030001 transcript:CDP09635 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQGWFLSLFYMLTNLVFLSVSQPSFTDFFCSEGVGNYTENSTYQANLKSLLSSLSSNINPYGFYNSSFGQGLDRVNAIVLCRGDVDQETCRVCVQNSAGKLTQVCPNEKEAVGWYDQCMLRYSNKSIFGVMSNLPPFTLQNPLNSTNPILFSQEVSNLATSLENRIFSGNPDRKFAVEKSNNSNVETIYALMQCTPDIDPGTCNFCLRLTSNMMSQCCSWSQGARVVGPSCSFWYELIPFYNESAIPSPPGPPPSPQDNPTNVNFKPKFCNKTWIVIVCVIVAVVLVILSVCIFIFTRKWQKRKQAAKLEELEEIDGAETVQYDFSTIRAATNNFSANDLLGKGGFGAVYKGTLSDGQMIAAKRLSKESGQGEQEFRNEVLLVAKLQHKSLVRLLGFCLEKTERLLIYEFLSNGSLDKLLFDPLKSSELDWDRRYRIVESVAKGLLYLHEDSRLKIIHRDLKASNILLDEDMNAKISDFGMARLFHADESVANTNRIAGTYGYMAPEYALHGLFSIKSDVFSYGVLVLEIISGQKNSYFRDGQTVEDLLSFAWKNWLGGTALKIIDPAITASSGSIQNIIRCIHIGLLCVQDDITKRPTMASVVLMLQSFSVSLPKPSEPAFFAQSRSIGSDKSDTPLLSEDSRTRSTATPSQPAVASQSVNEASISDLDPR >CDP06968 pep chromosome:AUK_PRJEB4211_v1:1:28353454:28354491:-1 gene:GSCOC_T00024032001 transcript:CDP06968 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIYAARAFPHFCVPLSVHCVGWPVDLTLPSGLYALIFPYIYYKKFSINFPCFHCKMKKSLLKNNCGNMDFEGYHSSTSPSSSSSSPSSSSSSSSDDNCNVTAKSCKEKSAKTNEQQGPFLASHKRKAGRRKFKETRHPIYRGVRRRNGNKWVCEMREPNKKTRIWLGTFQTPEMAARAHDVAALALRGDDAALNFADSAWRVARAQSSLASDIQIAALQAAQAFRPSLVDANPSSSSSPKNICCHSATMFVDEEAVFNMPALIDNMAEGMLLTPPAMKKGFHWDNGEGDDIELTLWRD >CDP07075 pep chromosome:AUK_PRJEB4211_v1:1:29373434:29374402:1 gene:GSCOC_T00024181001 transcript:CDP07075 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFTTTPPAPLPMTATATKYYFTTISNNGGVSTPPSFSTQEGQLTKPRSIQLAPSSPFRSSEQKRRRRTNSNNEHGKGDGRSKNKLKATRGGHRVRLPALCAARIFQLTRELGHRTNGQTVEWLLRNVHLPSASETSSTVVASNSSPTTAWSQFPKQEPYEIFPAEGGGLFDTMSFTSLLMQAAKRGGEVNDVVFDP >CDP03958 pep chromosome:AUK_PRJEB4211_v1:1:33904178:33905433:1 gene:GSCOC_T00016472001 transcript:CDP03958 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGVAAELQIGEDDHHHTSTSTIWLNRRRSASCKGITLSNHCDKKSDKKASDHGNASNKQKKQLCLCAPTTHAGSFRCRLHRAADTANAATAHKPLVSSSIKCSGKKSFGSRNMAQSRIGTLDLQATLPRLSRFGRAAAAASTGGCHPISVALRNNEPFYQPRVLNKH >CDP15601 pep chromosome:AUK_PRJEB4211_v1:1:26541213:26547633:1 gene:GSCOC_T00015507001 transcript:CDP15601 gene_biotype:protein_coding transcript_biotype:protein_coding MGKREKKLKTTNVRNRSEEDEEGTTPPQTIILDGDDDDDTEANEDLSLKIVEKAMSRKCNKSDSDAVAGDVIVLDDDVVVMNFKDDMSKKKEKKRKKSKKVEAKIDSVSSEKDATFENFCSDLFLFYDVKEEEKGESEKAAELGDKAVKTNPVEVSDNAVLRKLLRGPRYFDPPDSSWGTCYNCGEEGHTTVNCTSAKRRKPCFVCGSLEHNAKQCSKGQDCFICKKGGHRAKDCPEKSSGASENYKMCLKCGDSGHDMFSCWSNYCPDDLKEIQCYICGNFGHLCCVNYTDAGPREISCYRCGLSGHTGLACTASQGETSGSAPRRSCYRCGEEGHFARECTSSSKGGKRNRELSTPKQRISKERRSRIEVRSAPNDIDKAREKKKTKHDRRVMLASKSKPKHGWITEDPGDFLGSKPKVAGWKSPATPKYKRINVSNHYAASNASTSYSPAKAYRLNYRNSASPGSANFYQPTFLASRFDYNGSGGMRRNYDR >CDP16796 pep chromosome:AUK_PRJEB4211_v1:1:3966761:3967591:-1 gene:GSCOC_T00019311001 transcript:CDP16796 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPTVLNMIINAPSVDRRLLPGKVIVMTGAAPPPPHVLFKMEELGFNVTHSYGLTETYGPGTVCTWKPEWNSLPPHAQAKVKARQGLHHLGIEELDIKDPETMQSVPPDTKTRGEVMFRGNTVMNGYFKDSKATADAFKGGWFRSGDVGVRHPDGYIELKDRSKDIIISGGENISSIEVESVIYSHPAVLEAAVVGRPDDYWGETPCAFVKLKDDCNANADEIIKYCRDHLPHYMAPRTVVFGELPKTSTGKIQKFVLRQKAKDMGSLSRTSRL >CDP11096 pep chromosome:AUK_PRJEB4211_v1:1:7375119:7419382:-1 gene:GSCOC_T00033125001 transcript:CDP11096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 10 [Source:Projected from Arabidopsis thaliana (AT3G07160) UniProtKB/TrEMBL;Acc:A0A178V7F9] MSRAEELWERLVRAALRRQRSGADAYGRVDGGIAANVPSSLANNRDIDDILRAADEIQDQDPNVARILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREGGTIDRSQDIARLQEFYKQYREKNNVDKLREEEMQLRDTGVFSGKLGELERKTVKRKRVFATLKVLGNVLAQLTKEVSPEEAERLIPEELKRVIESDAAMTEDLVAYNIIPLDAPARTNAIGFFPEVRAASSAIKYFRGLPKLPADFSIPPTRSADMFDFLHYTFGFQKDNVSSQREHVVHLLANEQSRLRILEEPEPILDEAAVQNVFMKSLENYINWSRYLAILPVWNNSEDVSKEKKLLFISLYFLIWGEASNVRFLPECLCYIFHHMGRELEEILRQQVLQPANSCVLENGVSFLDRVIRPLYDVVAAEAANNDNGRAAHSAWRNYDDFNEYFWSLRCFELSWPWRTSASFFVKPTPRSMNVLKSGGSKHRGKTSFVEHRTFLHLYHSFHRLWIFLIMMFQGLAVIGFNDGQFDSKTIRELLSLGPTYVVMKFIQSVLDILMMYGAYSTSRRVAVSRIFLRFICFSLASVFICFLYVKALQEENKPNSESILFKIYVVILAIYAGLHVSLSIVMRIPACHHLGSLFDNWPLVRFIKWMHQEHYYVGRGMYERTSDFLKYMIFWLLVLGCKFSFAYFIQIKPLVKPTRQVIDMEITNYSWHDFVSKHNHNALTVASLWAPVFAMYLLDIYIFYTVISAVLGFLLGARDRLGEIRSLDAVHKLFEGFPEAFMDTLHVPLPNRASLRSSGQALEKNKEDAAHFAPFWNEIIKNLREEDYITNLEMELLQMPQTSGNIPLVQWPLFLLASKIFLAKDIAIESRDSHEELWDRISRDDYMKYAVQECYYTIEVILTAILDDEGNDEGRKWVERIYEDIRGSIAIKNIHNDFQLEKLALVIQKVTALMGVLREDHTPELESGAIKAVQDLYDVMHYDVLNINMRDNYETWNVLSRARNQGRLFQKLKWPRDVKLRLQIRRLYSLLTIKDSAANIPENLEARRRLQFFTNSLFMEMPVAKPVREMLSFSVFTPYYSEIVLYSMSDLLKKNEDGISTLFYLQKIYPDEWKNFLARIGRDENASESELNDNTNDILELRFWASYRGQTLARTVRGMMYYRKALMLQAYLERMMAGDLESAISKYEVMDIQGFELSPEARAQADLKFTYVVTCQIYGKQKEERKPEAADIALLMQRNEALRVAFIDVVETLKDGKVHTEYYSKLVKADINGKDQEIYSVKLPGNPKLGEGKPENQNHAVIFTRGNAMQTIDMNQDNYFEEALKMRNLLEEFHRDHGIHAPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAKPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMISFFFTTVGYYFCTMLTVLTVYIFLYGRAYLALSGVGETIQIRARILNNTALSAALNAQFLFQIGVFTAVPMVLGFILEQGFLRALVSFVTMQFQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGMEVVLLLVVYLAYGYNEGGAIGYILLTVSSWFLAISWLFAPYLFNPSGFEWQKTVEDFRDWTNWLLYRGGIGVKGEESWEAWWDEELAHIRTLRGRLLESILSLRFFVFQFGIVYKLHIQGSNTSLTVYGFSWAVFALLIILFKVFTFSQKISVNFQLVLRFIQGVSFLLALAGLAAAVVFTKLSVSDIFACILAFLPTGWGILCIAVAWKPVVKKMGLWKSVRSIGRLYDAGMGMLIFIPIALFSWFPFISTFQTRLMFNQAFSRGLEISLILAGNNPNSGL >CDP03605 pep chromosome:AUK_PRJEB4211_v1:1:36471562:36473443:-1 gene:GSCOC_T00016024001 transcript:CDP03605 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPKILKLAVFLVFLVVQPRTNGQWIPRPIPPPIAPRPLCASQFALASHACAFLPYVPSPSPSPPPPSLIERHDSLKHQLSHDHKHEHEHEHEHQHEHEHEHEHEHEHEHKDGHRHEHRHRRHGHTMTPVEASCCHWLGTIDSECVCDLLVYLPPFLSRPVHEYTVSVKDSCNVTFQCASRITT >CDP03817 pep chromosome:AUK_PRJEB4211_v1:1:34871030:34871734:1 gene:GSCOC_T00016303001 transcript:CDP03817 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVASRLGRAEPPLGRDVVEYMLDHDSHMWSMRKSKANFFRLTNILAWFVAMSRFLESPRNWHKPVHSALFMIVFVIFVLVPELIMPCVLLAMASVGLWRYRSRPRHPPHMDTRLSYADAVHPDELDEEFDSFPSSRSAEIVRIRYNRLRSVAGRIQSVVGDMATQGERFQALLSWRDPRATFLFVMICFIATCGFYLVPFKWVVALIGSLNSVFTVVLLCVCVKTFFFFWGS >CDP09621 pep chromosome:AUK_PRJEB4211_v1:1:23338918:23343773:-1 gene:GSCOC_T00029997001 transcript:CDP09621 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEHEEEEDIICLDESFFINDNYQLTTFTFGSHVLQLYCLQAASTDFDLTGQLVWPGAVLLNDYLAKNAGILQGCSVIELGSGVGITGILCSRFCRKVVLTDHNEEILKKNAELCVSTQSSISCAGLKPKKLEWGNSDQLNQILLENPEGFDLSSIPLLFDTVKQLLVHAAPKCKFILAYVSRAKVMDTLVVHEAIRHGLQINEVDGTRTLVKNLEGVIFEITLR >CDP03901 pep chromosome:AUK_PRJEB4211_v1:1:34282178:34289686:-1 gene:GSCOC_T00016404001 transcript:CDP03901 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFRTEVEKKKEVLHHFKEEVEEVVEEALLLRRRSANIPTVAANSGGNATVFPAAEEVAAVDLLDTTPKMEVASVSVDGDQKEVEKTSEEIVYFDKNEGSGPEWSHFNVKVEELGAKLKDVDINQVLSAVIHNKEVKLPTSTNPQLQEETDERVEVDDVLEETSDEEEIELEFERAVERIHTHDDYSMYCPNCSSRITKVVLRRKIRQRRVQTPKETQRDDLFGCLACFSVFIPSGNRLNPFRIFGAGKGPESSPLLQQEQQTPDVSMPTSVTAQDKGKGFDLFWIFGKRRPHEKLSADLDSSSPKVTNEPRDQANDQGDGVTTFIPNAIPPVGMTESKVATIGEGVMTFIPNAIPPVGMTESNAATLVCAGDGVKTFIPNALPAQGSVLNGNIVPIGIIDKTEIFVKKPKSEIEGDDVRIQVGDTPPVVPPIFPITDVFLTDVRIPDTVIQSPSSRSLEIVKSIVYGGMMESIASLSVVSSAAASDATTLNIVALGLANLVGGLVVLAHNLRDLRYGDSSETNESRYEEQLGRKEHFFFHAMLAVLSYLVFGLIPPVIYGFTFRESDDRDYKILAVAAASLLCIVILAIAKAYTRGEHKFMAFFKTILYYVTSAVMVSGIAYAVGNLVNKLLERLGWLNPATVEPQLFSQAKSFNPTSWASY >CDP17368 pep chromosome:AUK_PRJEB4211_v1:1:4400785:4402150:1 gene:GSCOC_T00009718001 transcript:CDP17368 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDKDTTLCCCISYKIARGQKLFSLTRQSASFDGGFTSRWFSPGFPNISFYSSPARAAERKMSNARNDGAQTSASCCSPLLLKKKSSGES >CDP07066 pep chromosome:AUK_PRJEB4211_v1:1:29295218:29295962:-1 gene:GSCOC_T00024169001 transcript:CDP07066 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPCRDDQDATVVGFEVPRSPDSSYNNVYPGNEDEGREPPFVPPHLQQTMLNCPPTRDPSVSLPLPQNVTLNHLYLQNRESPRSVVALGVTHRFRSKFVTVVLYKPVQRRGSGST >CDP14089 pep chromosome:AUK_PRJEB4211_v1:1:11192446:11200394:1 gene:GSCOC_T00039286001 transcript:CDP14089 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKNSIEDVFSKLHPSFPVNTRIAIVGGGPSGISAAYALSKLGYHNITVLEKYHTVSGMCESVDIEGKIYDLGGQVLAANSAPTIFHLAKETASELEEMDSHKLALIDSMTGKYQDIQVADDYVSVVSLTLDLQDKTNNSGRIGVHGVSDFAAELTPTFLEGRGFKSVPKSVAYGYTASGYGFIQDMSYAYIHEFTRTSMAGKIRRFKGGYMSLWQKISKSLPAQVLCNTEVLGVRRNSLGVEVDIRNSNAELETMSFDKIIISGSLPFISGRTYRSPSSASADSENKVMDFSEIEKELFSKVQTIDYYTTVLKIKGLEEMPVGFYYFGEFMDDPATIGNPVAMQKFYADTDIFLFWSYGNSDTIKGPTVTQLAIDTVQRIGGVVQKVVLQRRFKYFPHVKSQDMKEGFYDRLETELQGQMNTYYVGGLMAFELTERNSSYAMALMQKHFGSSEPLPKFAYVKSLFPLYSDIRDKKPETLDEIPGVEFPDLSSVDGYLKHWGTHKMILNKTLYTWINEEGEVVGQRTYGELHANASSIAHMLLTSKKPVIRPGDRVLLVHVPGLDFIDAFFGCLRAKVLPVPALPPDPLQRGGQALLKVENIVKACNAVAILSTIGYHAAVRAGSVKNLLSLSSKSRKNTARWPNLPWFHTDSWIRNSKDLIHKDNEYGNEPKPDDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMRRRYKSTSSTVLVSWLPQYHDMGLIGGLFTSLVSGGSAILFSPITFIKNPLLWLETMSKYRATHSAGPNFAFELVVRRMEISKEKIWTYDLSSMIFLMVGAEPVRQKTLKRFLELTSALGLSQWVMAPGYGLAENCVFVNCAYGEGKPILVDWQGRVSCGYVTPNNADVDIRIVDPETGAENDEPGKEGEIWISSPSAGIGYWGTNDQSERTFRNKIANHPGKIYTRTGDLGRIIDGNLFVTGRIKDLIIVAGRNIYLADVEKTVESSSELLRAGCCAVIGVAEEILAAKGISAADNSDQVGLVVIAEVKDGKPVSKDVINDINSRIAEEHGVSVAAVKLIKPRTISKTTSGKIKRFECLKQFTDGTLNLVPDPTVTKRSLIRSFTTGSCKEGKTPRAHLKIDPPLQMSSSPSSGLTNKQIVEFLKRLVSEQTGIPFNKISTTESLMSYGIDSIGVVRAAQKLSDFLGVPVGAVDIFTATCIEDLASFSENHVMKSRPQLTRTISHLEETETEYELLTEVSVSRQLGIILLQLIALTYVSVLLMLPPYISISVFKNLVFSHTASWPSYVISLAFAPLSWIFCIFATCISISLFGNSFLQPNYALKPEISIWTVDFVKWWALYKVHEVSSKTMAVHLRGTVFLKYWFQILGAKIGSSVLLDTVDITDPALVSIGDGTVIAEGALIQSHEVKNGILSLLPIRIGKSSSIGPYAVIQKGSVLGERSEVPASQKTEAGDRVTERSDAIEDNESQAIYHLMGIYMVGFISTISAAVLYFLHIGISQKPLSIDNFAFFCITGAFHWFPLAIVAYATMIASQSASPVTFATLVATAYLAHGLINIFLTSSLTFFLSRNKENKKSHLHTWLQHRIIVACHLRFAKLLSGTEAFCIYLRLLGAKVGKYCSIRAINPVSEPKLLSLGAGVHLGDFSRIITSYYSSKGISRGKVDVQDNAVIGSQSIALPGSIIQKDVILGALSVAPENSVLQRGGVYVGSQNPVMIKNRLQALDERIEEMDIKYKKIVGNLAANLAATTLKVRTRYFHRIGVGGRGVLRMYDNITGLPDHKIFHPGKSYPIIIRHSNSLTADDDARIDARGAALRIFSDNANIETPLLDLTLKTGKAFYARTISDFATWLVCGLAAREEHVKRVPHVRDAVWMSLRNADSYTQLHYYSNICRLLRFTDGQEMYVKFKLRPFDESVTEDSGKVDPIGILPPETGAIPRDSSDKRPLLFLADDFQQRVSSPGGVHYIFQLQFRPVPSDEVSQDNALDCTKPWDETEYPCIDVGEITIDQNLSKEQAEELEFNPFLRCNEVDVIRASSASQSASIDHGRSLIYEICQHLRNGKPLPEAWRTFIEKSDVKVDLSGCPVAAALNKTESDKVTLQRTWYQTVWATLAQPLLQTFLPYYLLAYVVCAPLNFLLDTKTTKNYPLHWMLPLFWVFSGLLVALASVFAKWILVGKKKDGGTARLWSRSVFADTIWQAFRLLVGEYFMEMTGGSILFALWMKLMGSNIELSQGVYVDSMGALLNPEMVEIERGGSVGKEALLFGHIYDGEGGMIKFGKIEVGEGGFVGSRAVAMPGVRVETGGCLASVSLAMKGEIVQSG >CDP03688 pep chromosome:AUK_PRJEB4211_v1:1:35842332:35846761:-1 gene:GSCOC_T00016142001 transcript:CDP03688 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPTDGPSDDFLEQILGFPAYAGAAAPTDPNLAPGNDAHALPPPMMLQLSSGDASSHLASVGLGVGVGLGVGTGGTFHGGNFPLGLSLEQGKAGGFVKMEEASGSGKRFRDDVVDGRPAASSVRPGFHGQPLPTAVPVVPHPPAIRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPISSVEEESGEGGRPQPAWDKWSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPISLASAIYHTQPPDASNLIKPETNPPP >CDP08964 pep chromosome:AUK_PRJEB4211_v1:1:30687632:30688823:1 gene:GSCOC_T00028115001 transcript:CDP08964 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNSTRWCPTPEHLMILEEMYRGGIRTPNASQIQKITAHLSFYGKIEGKNVFYWFQNHKARERQKLRRKLSKQLYQQQLLQEQLCLHRRHNQENKFLSSNESPPPALHQLSLGKSADYLHPGGVGSAAAHMTSCTWKRDPPNMYELQNTSMMRSYGDDWTMMMLKMRDAGPTHYPSCCRSNRPLKTLELFPITTQNVKDHQATTSNPSLNSYS >CDP06982 pep chromosome:AUK_PRJEB4211_v1:1:28487217:28491133:1 gene:GSCOC_T00024051001 transcript:CDP06982 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCWILRNPELADPFLFKAVTEVVTRYSSSIFSGLQKKGKEFLSKLFAVDILFGVAANIALDKMMATYARMGPLSSASSGFLGHLQSACASLPSSIFEGGGPGFNFSLEQQVAAYFYKGILFGAVGLGCGLTCHNIINFVMTGNRNTKKSEEGIPVSYIVKNAALWGVLLPLSSGSRSQIVKGLDRLVQASPLAKQVPLAAVAFAVGMRFASNIYGGLLFVHWANWSGMMKRGS >CDP03767 pep chromosome:AUK_PRJEB4211_v1:1:35233805:35236920:-1 gene:GSCOC_T00016246001 transcript:CDP03767 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVFTPALEGMKHVKSEQGDMLTKPFLEVCKQILPVIDKFGAAMALVKSDIGGNITRLENKYLSDPAQFNHLYSMVQVEIEAKTAKSSSSCTNGLLWLTRAMDFLVELFRNLVDHPDWAMSQACTDSYSKTLKKWHGWLASSSFTIAMKLAPDRNKFMDVIGGKGDINADIEKFCTTFPPLLEENHKFLASVGLDDLKAS >CDP04042 pep chromosome:AUK_PRJEB4211_v1:1:33284224:33287126:1 gene:GSCOC_T00016575001 transcript:CDP04042 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRGRESDERPHVLAVDDSLVDRRLIEKLFTSSACKVTTAENGQRALEVLGLGDGQHASNCVSEINLIITDYCMPGMTGYELLKKVKESSRLREIPVVIVSSENVPTRIKECLEEGAQEFMLKPLNQSDVMKLRCYMMKLSHPSKGQLCIGR >CDP07060 pep chromosome:AUK_PRJEB4211_v1:1:29254179:29259500:1 gene:GSCOC_T00024160001 transcript:CDP07060 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQAESSDSKGGKKDFSTAILERKKAPNRLIVDEAVNDDNSVVALHPNTMEKLQLFRGDTILIKGKKRKDTVCIALADETCEEPKIRMNKVVRANLRVRLADVVSVHQCPDVKYGKRVHILPLDDTIEGVTGDLFDAFLKPYFLEAYRPVRKGDHFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPVRREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRSHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDTIDAEILNSMAVTNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRLQIFKACLRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEREKRRRENPDSMDEDVEEEVAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFAASGTENTGSDPFATSAGGADEDDLYS >CDP17668 pep chromosome:AUK_PRJEB4211_v1:1:5318366:5320031:-1 gene:GSCOC_T00013207001 transcript:CDP17668 gene_biotype:protein_coding transcript_biotype:protein_coding MDASNSVLHNLLDCPVCMNTMFPPIRQCSNGHALCSNCKSRVNRCPICRDELGNIRCLGLEKLGESLEWPCKYLNVGCRDLLPYGNVINHELTCKFRPYNCPAVGVACPITGDVSFLVNHLKNDHHDNVFNSCTFNNLYTESDPEEVLNNSWKIAVYDCFGYQFCLCFEVFMWGSSQVYIAYMRFMGEPEDAKRFGYRLEVCSSGKSLSWRSIPRSIREPSIRVRESLDGLIIRRDLALFFSDGDKKQLKLAISGYICSITT >CDP03649 pep chromosome:AUK_PRJEB4211_v1:1:36165172:36168749:1 gene:GSCOC_T00016084001 transcript:CDP03649 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPNIDDGDQNRETEIAPALIAVHPAHQSVAVAVGSDLRVFNLQEGCAVTLGDGDDLDGKSSTNVHKDSIRAIRYGANNGTLFVSAGDDKLVKIWATTTWRCISTVVSEKKVSAVAIRSDGLFVCFADKFGVVYVVDTQGISGEQGSTNEKGIPILSHYCSIITSLEFSPDSRFIISADRDFKIRVSVFPKNPLDGAHEIQSFCLGHTEFVSCLAFVFGQDYPQGLLISGSGDSTVRLWDFTCGALLDTCAVGTEAGYLETDGKEGECRPAVTDLCATKDGSLVAVALQSLPGIMLLSCDISARTLSVLKVVSISEDPFIPTSLGSGSLKQFLWMVMGVSSLQGSDLACLARVRVLSGFSKSNIATLEEHKASLLDDKDIPGRELVLQKLQGTLSIEKEVLSSAAEAVKTAMRNLLIKKHYPVEKREYRKRGRNDRKNKQR >CDP13144 pep chromosome:AUK_PRJEB4211_v1:1:1721135:1721917:1 gene:GSCOC_T00037983001 transcript:CDP13144 gene_biotype:protein_coding transcript_biotype:protein_coding MHCILVVQKFEQFRNLTFCQILAIYFMLGSTTCFLPWLQVNFNAWALPFIVHDTLKVVVAHYSASQLITQRETVSQEIRGLLARKAASFNISPDDVSITCLTFGREFTVAIEAKQIAAQEAEIAIFVVEKAK >CDP20990 pep chromosome:AUK_PRJEB4211_v1:1:27018618:27020275:1 gene:GSCOC_T00006217001 transcript:CDP20990 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTSRTMPFFSCSLPFFSLVFLLQALQISSSSPTPITIPLSFSSTDSYADLYQKLSHLASASLARAHHIQNPQLSSTAFTSTTPLLPHSYGGYSISLSFGTPPQTISLVMDTGSGFLWFPCTKKYLCKNCSFPGARGITSFSPKQSSSIKIVGCLNKKCGWIHPNFDPQTSCGECQSLSAKNCTQICPPYLILYGSGSTGGIAIVETLDLPNKKVPNFLVGCSLFSSRQPAGIAGFGRGPASLPSQLGLKKFSYCLLSRRFDDTGESGFLVLDGDSNSGKKTENFSYTPLAKNPIVAGKSALQVYYYVGLRKISVGMQKVKIPYNYLVPDSDGNGGTIVDSGSTFTIMSSPVFEVVAGEFIKQVKLYRRAENIESLTGLRPCFNLSGHDSIVLPELKFSFKGGAEMKLPLANYFSLVGGNEVLCLTMVTDNTGPALTTGPSIILGSFQMQNYNVEYDLLNERFGFRQQSCK >CDP08483 pep chromosome:AUK_PRJEB4211_v1:1:22044483:22066161:-1 gene:GSCOC_T00027403001 transcript:CDP08483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 5 [Source:Projected from Arabidopsis thaliana (AT2G13370) UniProtKB/Swiss-Prot;Acc:F4IV99] MAFYRNYTNETIEQRRVLDEKDQEQGMDRVIGNNDEVEATSSDNEVAVEDNSRLAGTQPPARRTVVAGKWGSSFWKDCQPMESRGVLESGEESKSGSEYKNEEGSEDESSDGEEDKANELEDGDNGKEVGKGQSVPPDEMLSDEYYEQDGDDQSDSFHHRALNRSSGFSSKPPPRPVTANKYASTKSKSSKADYDDDAADYEEDDEDEGDEDDPDDADFDPDFGATRGRRGGKEKDEDWGAEESDESDNNENEDDLNISDEEDVYYSKPKARQKSKGGRSVKSTRQVKPVMSYSRRKRGRISIDEESLSEKDSENDSEEDFRSMTRRGTQIRRKNDGRSASVSSSNRINELRSSSRSVRKVSYAESEESEEIDEGKKKKGQKEEFEDEDGDIIEKVLWHQPKGMAEEALRNNKSTEPVLLSHLFDSEPDWNQMEFLIKWKGQSHLHCQWKSFSDLQNLSGFKKVVNYTKKVTEDVRYRKKVSREEIEVNDVSKEMDLDIIKQNSQVERIIAERITKDISGDVVPEYLVKWQGLSYAEATWEKDVDISFAQHAIDEYKTREAAIMIQGATVDLQRRKSKGSLRKLDEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIQGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNEKKTGRNIKFDTLLTTYEVLLKDKAVLSKIKWNYLMVDEAHRLKNSEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDANKFSSKDEFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDTNFFSSAKLERITLSSGKLVILDKLLNRLHETKHRVLIFSQMVRMLDILAEYLSFKGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSAFDKNELSAILRFGAEELFKEERNDEESKKRLLNMSIDEILERAEKVEETGAGEEEGHELLSAFKASVANFCSAEDDGSFWSRMIKPEAIAQAEEALAPRAARNIKSYAEANPPESTNKRKKRGMESQERLSKRRKADTGYSPPVIEGATAQVRGWSYGNLSKRDATRFFRAVKKFGNDSQISLIVGEVGGAVEAAPTEAQVELYDALIDGCREAMKAESFDPKGPLLDFFGVPVKADELLSRVEELQLLAKRISRYEDPISQFRALAYLKPATWSKGCGWNQKDDARLLLGIHYHGFGNWEKIRLDEKLGLSKKIAPVELQHHETFLPRAPQLKERGSQLLEMELVAVGGKDSNIKMGRKVSKKQKGALLNVSVARGKGRQGKSDSPGQNFQTNRAKAAKPHKVEPLVKEEGEMSDNEEVYEQFKEVKWREWCEDVMVDEEKTLKRLQRLQSTSADLPKETVLSKIRNYLQLLGRRIDQVVLEYEEGPYKKERMRTRLWNYVSSFSNLSGERLHQIYSKLKQEQPLTGVGPSHLNGSVPGDQISALMDRGIDTEKFEAWKRRRRAEADASQGQPVQSPYQRLSSNGTRIPDPNASGILGAAPSDNRHFSNGRPFRTHQAGFPPRHGFSSGIK >CDP03554 pep chromosome:AUK_PRJEB4211_v1:1:36881132:36883676:-1 gene:GSCOC_T00015959001 transcript:CDP03554 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLFSNPTLIMAELKYVGHYKIGNSGSLILYFKPKFHHKHELRLSRFPSLLSPSNTQYNIEGDPEEDSVQHLRVPSSWLDPSQALEESEWLRATLHKWLDDEYCPEETNIKISEVASHSFYKSLMEKKTDLGEILLEMAQELGSVSYQESFHGAFSSANAAVDLIIQRIQQD >CDP03814 pep chromosome:AUK_PRJEB4211_v1:1:34878198:34884480:-1 gene:GSCOC_T00016300001 transcript:CDP03814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like serine/threonine-protein kinase ALE2 [Source:Projected from Arabidopsis thaliana (AT2G20300) UniProtKB/Swiss-Prot;Acc:Q8RWW0] MLHFFLPLLALLPTNHGIPLPQISLSPSLLPSKSSFSRKISLEHVRLLSSGASMVPFVVPTRRQYKPPLHQSVSPAPSPAPKGPVTDPNVSPLPRHHHHSPRPGGSHAISPSPSRTPGCGQICAEPFTSTPFGSTCGCVLPMKIKLLLDVSLYAVFPLVTQLEIEIAEGVYLEQSQVVIVGASADSQNQGRTVVDVNLVPLEDRFDNTTALLIYERFWQKKVLLNRTLFGAYEVIYISYPGIPSSPPSGDSSGSGPSGSVGNQQFPITAYMDDKNQKMSPRIIFVIALSALVLLVVCCGAAAVLLKCRKAGRPSNAVGPVITPSLNKKSGMGSMLSSSPASSTSTSILSAMPASILSVKTFSLVELERATEKFSPKRILGEGGFGRVYHGLLEDGTDVAVKLLTRDIQNGDREFISEVEMLSRLHHRNLVKLIGICIEERVRCLVYELVPNGSVESHLHGVDKQKGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGQENLVTWARPLLTSREGLEQLVDPSLAGSYNFDDMAKVAAIASMCVHPEVTHRPFMGEVVQALKLIYNDDTDGTCGDGCSQRDSSVPDSDFKGDLVPSESSWWNAVGVTPRLTYGQASSFITMDYSSGPLEEMENRPFSASSLAGGVALPIKHGNRSGPLRTVRSKKAFYRLKGSMSEHGLLSRRTWNDGPSGYEASF >CDP09048 pep chromosome:AUK_PRJEB4211_v1:1:31331257:31334524:-1 gene:GSCOC_T00028229001 transcript:CDP09048 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIGKLSVCFTCADGGAYEAPRKRDLAAVISDPLDDLGHSFCYVRPDQTRLSSSKVHSEETTASTTTFKSISGASVSANTSTPLSTAFVDVYTYNSIDRASAAAAFESSTSFASIPLQPIPRNSAGYSGPLSSSGLIPGSGPIERGFLSGPIERGFMSGPLDRGLFSGPLEKGFSDQFQRSFSHGGFAFRPRSRKGSLIRALQRALSKTISRGQNSIVAPIKGVVSLKENEWIVDSEKQNELTISSVNLSSEGSLDDDDSSESQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYSAVHKELKGLLWDDKFDSLTKSSSASVETLNSGMEDLNQISKEEVSRDRTKDGCSRGVEQESYPCGNGEVTFDSQISKKTRKSSKGKYRGAAKRWEENQRKWRCEFDKERLELDRRLKEQLNKNRLNGSGTINHSDVLKALSQALKKTEEAFLDLADKMVMENPELALMGSCVLVMLMKGDDVYVMNVGDSRAVLAQKKEPDLWSQDLERINEETLHDLEVDGDISNTVPSLSAFQLSMDHSTSIEEEVQRIRSEHLDDACSVMNDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYIGTSPYINCLPSLYHHTLGPRDKFLVLSSDGLYQYFTNEEAVSEVELFIAWSPEGDPAQHLVEELLFRAAKKAGMDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >CDP03569 pep chromosome:AUK_PRJEB4211_v1:1:36757769:36759048:-1 gene:GSCOC_T00015977001 transcript:CDP03569 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKDIVPAAENNINARFILLEKGKATVEGQKKTCLALVADETAAVHFELWGEECDAFEGGDILSLRNGIFSYNRNNNLVLRAGKRGQVQKVGEFSMAFVETPNMSEIRWLPPPHNSSTAAANAYVQDAVVSPHSRIFPPI >CDP14611 pep chromosome:AUK_PRJEB4211_v1:1:14584379:14585089:-1 gene:GSCOC_T00041187001 transcript:CDP14611 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSQLFRPISRKEGWSSLKRIQPIDAVDNLFSLPLIVVIHSPVFSSLSSIITNSSRFFVGSELLPKFDTTQVVEGRWLAGVTTTAYKGVKGYWMRRGYEKLDGNGSRWRKRRVVELAAENGSSGRRLFWRIRLTPRLKLKLRLRFSPKKFILGLQDAYINLMTKLASSRFVNSRVAGYPGEGISGFGLRPLKEYDEKMIVEIYKSLVMAQGHQLVNPGVVVAVAPPQNSHSSDGN >CDP08956 pep chromosome:AUK_PRJEB4211_v1:1:30568421:30573134:1 gene:GSCOC_T00028104001 transcript:CDP08956 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLLQILRNNSSLKSELNGGTKASALPKLFSRQLHAPTQPPSFGIAFDIDGVLLRGETSIGGSPQALRRLYYHSANSCSISALKVPYVFLTNGGGVPESERAKELSKQLGVDILPLQVIQGHTPFRELVKRYEDDLIVAVGKGAPGRVTTEYGFKNVLSIDDYASCFPNIDPLAQYKKWTKMQPTDREMALRTDPCSQRVQAVFIVSDPVDWSRDIQVLCDILRTGGLPGREIAHQPPLFFANDDIAYQALFPAERLGMGAFRIALESIFNRIHTNNMEYTSYGKPNPFVFKNAENVLLQVLQSAYNNIQSSGEMQPFKRLYMIGDNPSVDINGAKQAGHPWFSILTRTGVFKGIGNHADYPADLVVNSVEEAVDYILRKEHTS >CDP09633 pep chromosome:AUK_PRJEB4211_v1:1:23513590:23524450:1 gene:GSCOC_T00030025001 transcript:CDP09633 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLALDSTILPRTSCRRRILSRSFSFSSSISTRTSGISPSVIGFKSISIRAFLYKPLIAAAAAASDNNQEEAEVEEEQASRRIVLDSLRVLEWDKLCDSVASFAGTCLGKQASKEQLWSLNKTYEDSVRLLQETNAAVEMHKYGAMLDFVGIDTALVKSAIQCAKRDSPVTGSEAMALVALLQFAGALQFNLKAAVKQDADWYQRFMPLSEKISELVISRPLIRFIQQLVDEDGSVKDSASSALKQSREQVRFIERKLYQLMESLIRNEMKETSSLVVSSVGGRWCITSGTKIQSNVKGLLLSSGSGAGSILEPLSAVPLNDELQQARASVAKAEADVLLKITKKMQMDLNDIEIVFDDMIELDVINARARYSLSFGGSFPDIFLPQAEDGCLPAAVLSKATTSVVSHPTQKNWTLYLPKAYHPLLIQQHRQTLMKAKKDVNDAVAEIRRRKQGGSMVGKKETDLCISSLEQEVAELQEAGPVPSDVFVARNTRVVVITGPNTGGKTICLKTVGLAAMMAKSGIYVLASEPVKIPWFDFVFADIGDEQSLSQSLSTFSGHLKQISEILSHSTTLSLVLLDEVGAGTNPLEGTALGMALLESFAEAGPLLTMATTHHGELKTLKYSNKAFENACMEFDEMNLKPTFRILWGVPGRSNAISIAERLGIPNAILDNARELYGVASAEIDEIIVEMERFKQNFHKQIQEAQHYLILSKKLHSDLVLTSKKVMEHQRNQRYKMTQKIFETAASARSRLHEKVRQLRTSHNNWQHRSMVKKSEDTLTSVDLQSTAGRSETSNASETLTANNTRQQPVLDKRAEIPKEGDTVVVHSLNKKAVVLKVEPSKEEIVVQAGNMKLKLRLVDVI >CDP15584 pep chromosome:AUK_PRJEB4211_v1:1:26267365:26272428:-1 gene:GSCOC_T00015483001 transcript:CDP15584 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGMMDPELIRLAQEQISRMSPAELARIQQQMMSNPELLKMASEGMKNLRPEDLRNAAEQMKHTRPEEMAEIGEKMANASPEELAAMRTHLDAQVAYEINAAEMLKKQGNQFHSQGRYTDALQKYLLAKNNLKDIPTSKGRNLLSACSLNLMSCCLKTGQYDECIKEGTEVLAYDRSNIKALYRRGQAYKALGQFESAVSDLSKAHEVSPDDETIADVLRDAKENLMKEGRAQKSRGLIIEEIADEEPTSSSASHDSPPAESSFTKPQETSGHSQNQPDILGRPHSTSSEYLQALKDDPESIRSFQNLISQTDPETLAAMGNGQAEGITPDMIRTASSVIGKMPPEELQRMIKLASSFQGGNPILNRGSMPTDASPDMLKMASEMMSKMSPEDFQQMSEMASSFKGVDGASSSAASSGYRSDYQSKTRDTQNNAIVSDNASESITSQQFLSSRSFQSSMPSSSTDLQEQMRNQMKDPAMRQMFSSMIKNMNPDMMASMSEQFGLKLSRDDAAKAQQAMSSLSPEDLDRMMRWADRLQRGVEGAKKAKNWLLGRPGMILAILMLLLAVFLHWLGYIGK >CDP07070 pep chromosome:AUK_PRJEB4211_v1:1:29344023:29349589:-1 gene:GSCOC_T00024175001 transcript:CDP07070 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVAKVMYLKVVDDDAEVKKKTEDGKRSSYRYTRSILQSTLQLMGCKSRHAFKISQRAFEMIRGGCFGENLGTCVTEISGLGDSKVHSQKEFDIYSGILDNASGVTHTDLKDDDNNGQPFELYKKRTTIVIKRKIFLDVVCDALAEYKYVGLNQRADLALACRIREKKESVTVLLCGTSGCGKSTLSALLASRLGITTVISTDSIRHMMRSFVSEQENPLLWASTYHAGEHLDREAIAEATAKKKAKNSAGILTPPLSKDDAVDGPAVKKSTLEGNSGMVDFISPKQMAIQGFKAQSEMVIDSLDRLITTWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYISNEEKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQEYLCNRADKHLVPKINNTNVDKSVAAIHATVFCCLRRREAGEQLYDPVTNTVAIVAEEYKNQCAANSLSSKGMFQLIQRKGSSRHLMALLNNDGSVAKAWPVNLRDENGKPVLAMYGPLQIGRAEPVNLQFGHFGISAWHSDTGATSRASSVDESRGELTDTGSRYHSSCCSSPRFSEGPAKELKEEESVHGSDEEVDESPEAGSDEDLSDGEKVNHEEMEGSVDEGSTKTDEEYDDLAMQDIQQTGYLSDDDDETLFKKQGSKTNVMDNSGNQPTKGANNNLLEEKYKQNLSLFLRDEGERPLEPPARSYSSLFREKNERKVPASGNVKIRKRSLSIPATLSGSLLR >CDP13079 pep chromosome:AUK_PRJEB4211_v1:1:2996360:2997294:1 gene:GSCOC_T00037852001 transcript:CDP13079 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLTKLTRVDVFDCPLLRQQYTPQRGIYYLEEEISSDPASSESEEEEIDDNELAGRKGEQKSIDSAETSASWCFPSLLKKRKSSGGMLCRKVIMQHFSPQELFSYLHPI >CDP09052 pep chromosome:AUK_PRJEB4211_v1:1:31374732:31379087:1 gene:GSCOC_T00028235001 transcript:CDP09052 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNAYMRHVNELDALEHVHPSCMWGFVHAINYHQWHFNLKRMLLQKITPRKRIKGSRNSKAKELLHDPVEQQKLLYEEEKHLSSAKESRSKHKRSLKARIKSLIAEEMSKEGNGKQRVSSNASQPKLQLLFFPSNKENSAKKLPPKMNVQNKRDAHGKSFKELSVKDASKEREHANVLEIFKVNKDLFLEIVQDKDGSLRKFSHTSPGSNAKARLNKSGSFPAADFLQKRSLEPSTLKQKQSETWSAPKGEKLPTGSLLPNLDKFKRSKHARSKSLPLEYINRGSKLVLTPLKESKQLDHFEVKKGRDQANSSDLSVLSEVSSGYVSTKLEKDSDNRDETTTTCRVDEGNSFNSYEAEEYSSDKSKRTHRRSSSLNESMEKYTWLFENNFGKEVKLDQSRSLKLKNEYEMESGGNVSTRFRRIRSVSNVDVYCSFYGDANLDGWPISTVEVENGSHERDSCQDELKPVVVSRNTEEISPGGVEESVHQNELQERDGRANHVENLGESREKIMGSVEDLEEKYDALTVGERTSYSEQENNCFAIDPCELPRPSPVPAFETCFEEDASSSLEFQASEGCAHFDDKESSINCKNSFDMEPSALFSSMPNLDPVKDAELVGSYNEYDADLDYVKDILENSGFGKDAFHMTLHSSNQPIDPLVFDEMEAYWHKECSAEDYCGCYHHQLLFDLVNEKLLHLYGRSFPYYPKALGSSCYVRPFPVGDRIVDEMCNSSVNTLMKLKTEQKQSLECLVALDMGKDDGWMNLQLESECVGLEVEDIIFDELLEELLCS >CDP09155 pep chromosome:AUK_PRJEB4211_v1:1:32064069:32069664:1 gene:GSCOC_T00028369001 transcript:CDP09155 gene_biotype:protein_coding transcript_biotype:protein_coding MISPESHPFHLHGFNFFVVGSGIGNFNPNKDPPNFNLVDPVERNTVGVPTGGWVAIRFRADNPGVWFLHCHLEVHTTWGLKMAFDKELRGNEYLKQLSLRSWVVLDWMISSKDINCKKLAFTLSKYPSSSSFGLVIVNFGKNNYTKSQLILLARKTRNTAHISIIMHELDRSTEKEREMAPSSSSSWLRLRVLVLVACLLPSFIQCKIRIYKFNVMATNTTRLCSTKPAVTVNGLFPGPTIFANEDDDLLVKVVNQVQYNLTIHWHGIKQLRSGWADGPAFITQCPIQPGQTYVYNFTITDQRGTLWWHAHIGWLRATVHGALVILPKLGLPYPFPPPDSEVVIILDLAENKNLMDYLFYMVAAIVFYSDIETLINSAMKEGLPFQVSDAYTINGQSRPISNCSSEGGFSFPVEPGKKYMLRIINAALNEELFFKIAGHSLTVVEVDATYVKPFKTGTIFITPGQTTNAILEADLGTGNYLMAASPFMAEPVPIDYTAATATVHYSGTQSSAATTFTDLPPRNATPLATAFVDSLKSLNSQRYPARVPLTVDHSLLFTMGLGFNPCATCIRGSRIAAYFNNISFIRPAISLLNAHFFNISGVFADDFPGNPPIRTMEGTRLYRLAFNSTVQLVLQDTAMITPENHPIHLHGMNFFVVGRGLGNFDPEKDPSNFNLVDPVERNTVGVPSGGWVAVRFRADNPGVWFMHCHLESHTLVGLEMAFVVDNGQGPNQSVRPPPRDLPSC >CDP03589 pep chromosome:AUK_PRJEB4211_v1:1:36594947:36597430:-1 gene:GSCOC_T00016002001 transcript:CDP03589 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFKSILSDDPDPPHPQKTQEPEPNSPPPCSSQDSDEESGVVAKSPNANPTPDSSPVAGTSSTTSGVGGWSFGGLIKTLASQSESVLETYRRDLQEFGSGLRKESQLFREVAGRAVRDLPASIEVGASVAHGSLESVTHAIDGVIKSTADIISHGKDTVILSSDVESETPDTSRVSGSGRYSWFDAQLNVIQGDVNTFSEEPEDLEDYEEWKSGFSLDERGEEIEDLIGENGVLEGVYRKMVPNVVDIETFWCRYFYRVHKLKQQERVRARLVKRAISIDDEEELSWDVDDDVETQLDKNTKSKGHELEKKVLGEQNASAIVEQKSTGDETQLDKNAKSKGHELEEKALGEQNSSSVVEEKSIGDGKMKVSPAAVSRNGEDKSAGDNVDDKANVVELREVSLPDDKAQVEESSPQVKKEEKLVEDDDKGDLDGKVDKSETTKHSDVSVVSRQQSVHSKEVVEEEEDMGWDEIEDIGSGDERTAYSNNQGGSPNRADVRKRLSVAEVDEDLSWDIEEDD >CDP03625 pep chromosome:AUK_PRJEB4211_v1:1:36322771:36323817:1 gene:GSCOC_T00016049001 transcript:CDP03625 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNVCQGLQSCTEPGLVEPRVLIQNLAPPIHNSSQSNPWTQKPKNAPPQPQQEQNEMIIPNENDKNILIQRKHCYCDSVESKRNSDSLWSSIQALTRTSQNRMQVSEAEQQVYVHPLVKRSSSGLSTKSLEMCTENLGSETGSSIDQSIDDFPSPSLDSRRRNVQGMHRSRSREFTKKMYPSVGFPPPLTSIGGSGGVHMRTHREGGRLVLEAVSVSSCSSYFKAERANGRLRLSLMRGGFTGSDDFHDLVDEYGENAYNMQHNEEDEPEKETDNDEEEVEIEDTAEDDANERAGQWEDESDVNGENVVYEGVGVGELARPSRCKEGGAMTRNGEMSTWEPYLVTTS >CDP11062 pep chromosome:AUK_PRJEB4211_v1:1:8907941:8911950:1 gene:GSCOC_T00033064001 transcript:CDP11062 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYLLQFSPYFFISNLQMLLSLSVLLFFFFSVIALGLLRLEFQSTLILITRSLLDMMIEWLKSDIGGAIYVLV >CDP03796 pep chromosome:AUK_PRJEB4211_v1:1:35030211:35033185:-1 gene:GSCOC_T00016280001 transcript:CDP03796 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGWKCFHWSGVCDLLQPQPESFSLPAPLPDWPQGGGFADGTIKLGVLEVCHVTKFELIWGCNLSGDKKKGFSFYKPVGIPDGFFSLGHYCQSNEKPFRGFVLVAREVFNSELGDPSIDNLHLPALRSPEDYTLVWSSDDASEENFDGCGYFWLPQPYEGYKALGFVVTNKPEKPKLEELKCVRADLTDECEVYNLLVHTTSKFSQVPFGVWSTRPRHRGMLGKGVSTGSFFCSSYWSHGEGLDIVCLKNLDCSLHAMPNLDQIHALIRHYGPTIFFHPEEVYLPSSVSWFFRNGALLYKRGESSGQAIDASGTNLPAGGSNDGEYWIDLPCDSGRESVRYGNLESAKLYVHVKPAIGGTFTDIAMWVFCPFNGPATLKVGLMNIALSKIGQHVCDWEHFTLRLSNFTGELWSVYFSQHSGGKWVDAPDLEFVEGNKAIIYSSKSGHASFPHPGIYIQGSSGFGIGIRNDAARSDYCVDSSQQYEIIAAEYLGDGVIREPVWLQFMRKWGPTIVYDSRTEMDRIIKRLPLMFRYSVENVFTKFPMELSGEEGPTGPKEKNYWIGDERNNLPGRCFWNWAIGRVCDESAFLGLNHFYGGKEHVS >CDP15569 pep chromosome:AUK_PRJEB4211_v1:1:25927805:25930286:-1 gene:GSCOC_T00015458001 transcript:CDP15569 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCVSSKSSLQLLSSIKVEEEAPRVTIEKQGIVSILGSDSETTKAAASLRRTLSADMSSKKWLAQNGFTSSSPMKKIASSEKLALSAADHHHSSSSSSSSSEGEEEYYKGNKERPAQDDVWMSIQSNKEKKQQPDDSSKLPPPYVHPLVKRSASSLSEKSLEICTESLGSETGSDGFSSYPPSEVGDVDEEKDNDQQQPQPQLSQSYEDMRIAKYHYSISSRKSGPRSFPPPLPSLAREDRASVHMQSHRENGRLVLEAVSVPPQNYFQAQREDGRLRLTFISTPSSQEEAMEDDVQEFEQVFDNFEEIEENEGSKFDDPSDKGHEEDEEEEEEVVVLVEEEDDDDDEKVFEKEKGRREVEIVMEQNSRLPGGLMDVHKPTLMMKKLMGLDKKNLAWAPRFNKAVNLGEVEVELEDAFATPPLPQSLPVPPPPRVARLISAPPATTAAASFNAYEYFWKEKPTMASIIKPMSTAEQCQPPKSNQNKVILASHGSLKGYEQQNLVLMRGNKAEYLVPLFRGCKESRARSLLLLVLFTLRKSYVTTTLLSNKILPLSLTWWCVLSVSAS >CDP03573 pep chromosome:AUK_PRJEB4211_v1:1:36738573:36739588:1 gene:GSCOC_T00015982001 transcript:CDP03573 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGGSTKGGRGKPKSSKSVSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIANGGVLPNIHQNLLPKKVGKGKGDIGSASQEF >CDP19399 pep chromosome:AUK_PRJEB4211_v1:1:6956062:6957387:-1 gene:GSCOC_T00011772001 transcript:CDP19399 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHCHKSLIFSLSFLRDVAFNRIIGVKKKVNPEGTHFMIPWFETPIIYDIRVCPHMIEGTSGSHDL >CDP09002 pep chromosome:AUK_PRJEB4211_v1:1:31010021:31012358:1 gene:GSCOC_T00028165001 transcript:CDP09002 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGTWNVGGKPAEVGVDLKDWTQAHNIPPDLYIFGFQEIVPLNAANVLVKLSNKQMVGILLCVWVRAEIYQQVTNLKVSCVGTAIMGYMGNKGSVSISMTLYHTSFCFVCTHLASGEKENDRIHDYLSCVRQISIRYSSFSAIFLMFLVGWELVIVRCCNIFWVGDLNYRLASGYDDTPELLKKHEWQWQEGQIHFAPTYKHLINSDHYKCIKKGEPSTENKARILEDCDRILWRGKGMKQIIYARAESRFSDHRPVYFIFSSEIDT >CDP03662 pep chromosome:AUK_PRJEB4211_v1:1:36107929:36111697:1 gene:GSCOC_T00016098001 transcript:CDP03662 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKEGNEGEVVDERAKNGESKEEVSKLKGLGSLSSKDMLVRADMIDFKKWDVQFEKQLTRNRSWSTTGREAHVKEEWQIDLAKLDIRNVIAHGTYGTVYKGVYDGLDVAVKVLDWGEDGIATEEETRILRDSFQKEVAVWHKLDHPNVTKFVGASMGTSNLKIPVKSNSTDDHNSLPSRACCVVVEYLPGGTLKRFLIRNYRKKLAFKVVIQLALDLSRGLSYLHSKKFVHRDVKSENMLLNANRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPNLSFADLSSAVVNQNLRPEIPRCCPGALASVMRKCWDANPDKRPEMDEVVRLLAAIDTSKGGGMVPEDLARGCFCFGPKRGP >CDP03667 pep chromosome:AUK_PRJEB4211_v1:1:36069850:36071625:1 gene:GSCOC_T00016103001 transcript:CDP03667 gene_biotype:protein_coding transcript_biotype:protein_coding MWTQQYRKDDLESGARPLYPMMLESPELRWAFIRKIYSIITAQLVLTVAVAAVVVTYHPIARFFTTTGAGLALYIVLIITPFIVLCPLYYYHQKHPVNYLLLGVFTLTLAFAVGLTCAYTEGKVILESVILTGAVVISLTLYTFWAAKRGHDFNFLGPFLFGAVVVLMLFAIIQILFPLGRISTMIYGCLASIIFCGYIIYDTDNLIKRYTYDEYIWAAVALYLDIINLFLSILTIFRAADS >CDP03895 pep chromosome:AUK_PRJEB4211_v1:1:34325845:34327884:-1 gene:GSCOC_T00016397001 transcript:CDP03895 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKWPTKDLKQLMQKCKDRASVSRFHGLMISSGLVGHGISIAQLISSYGRVGDFELAHKLLEKSPNVGIDAWNAMIIAYSRKDCPCEAIKQYRKMSHEGAKPDSSTFTMTIKACTDLLDLKMGEEIWKRAVQCGYGNDVFVGSSVLKLYSMCGKMDQAMGVFGKMPRRDVVCWTAMITGFVQSGKVREALDMYQLMKKEGIDGDGVVMLGLIRALANTGDVKMSCSIHGYLIRNDLLMDVVLQTSLVDMYAKIGELELASHVFWRMPYRNIISWSALISGFTQNGFVANALELFIEMQKSGYEPNQVSLVSALVACSQVGFSKLGKSIHGYIVRRLEMDQVLGTAVIDMYAKCGSISCARALYDRMRHRDLVCWNAMISSYGIHGHGKEALSLFNQMIASNLIPDHATFASLLSALSHSGLVGEGRYWFDLMVKEYGIQPGEKHYACLVDLLARAGQVDEAQSLINSMTCEPGLAVWVALLSGCHNHKKFLVGALAAKKIIELNPDSSGIYTLVANFFGAAKMWNEVMEIRKLMKKRGMKKVPGHSVVEVKGKIHAFLMEDKSHPQYKQIAGFLEKLEQQMIALGYSPKTDFVLHNLEENVKVKMLCNHSERLAIAFALLNTGPGTRLLITKNLRVCGDCHVVIKLISTITKREIIVRDVKRFHHFKDGICSCCDYW >CDP16768 pep chromosome:AUK_PRJEB4211_v1:1:3356726:3358876:-1 gene:GSCOC_T00019260001 transcript:CDP16768 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSFIIKKIGNCTVLIVAGTSTTSRALSWVISLLLNHRHVLQKAQEEIDSFVGKERWVEESDIKNLVYLQAIVKETMRLHPPAPVPMPRQADEDCNVAFYYFLPANTRFFTKFWAIV >CDP03818 pep chromosome:AUK_PRJEB4211_v1:1:34865560:34869570:1 gene:GSCOC_T00016304001 transcript:CDP03818 gene_biotype:protein_coding transcript_biotype:protein_coding MVENRSTANQLWKPHIGVLEVGVLGATNLIPVKIKEGKGGSTDAYCVAKYGQKWVRTRTVVDSLSPKWNEQYTWEVFDPCTVITIGEMTGRSAVAAATRDSRIGKVRIRMSSYLHTCDCCNRCLDTTCFVEFLHSCDTPSKETLLLLLFFFLQN >CDP13109 pep chromosome:AUK_PRJEB4211_v1:1:2271805:2274480:1 gene:GSCOC_T00037906001 transcript:CDP13109 gene_biotype:protein_coding transcript_biotype:protein_coding METYRAHCLILPFPIQSHINPMLQFAKRLQQEGTKITIVTTNFLFKTLNEVSGSISVGSETLTELILKLQDLGCPVDCIIYDSLLPWCLDVAKDLGVRAGVLFTQSCAVNSIYYHVHKGLLKLPLEESEVDIPGLPPLLASDLPSFVGKQGRIHRDWVSKLVLHDQLEKFEEADWIFFNTFYRLEEEVNGWMAKILLVKTIGPTIPSMYLEKRLEDDKQYGLNLFKPMINACISWLNERSISSVVYVAFGSLEDLDRIRIKEAKDFVDETFDKGLIISWCPQLEVLADKSIGCFITHCGWNSTLEALSLGVPMIAMPQ >CDP17033 pep chromosome:AUK_PRJEB4211_v1:1:38025952:38030227:1 gene:GSCOC_T00004937001 transcript:CDP17033 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDHLPPQIRLVNFVSEEQLEEARRSRGSRIDDGTAQRDRSLYEILKENKDRKDAEFNERFKHRPPKALDEDETEFLDKLEMSRREYERQVADEEALQLQRFQAAVAAQCDVHELKETPSAPKVQDQNMSKRKNPSSQPLGMVIKVKPQAKKAKGDLMGSEETAIAVETPGGDKAETHNSHNEKFHFARNSSLVSYSESDEDE >CDP07124 pep chromosome:AUK_PRJEB4211_v1:1:29947023:29952268:-1 gene:GSCOC_T00024246001 transcript:CDP07124 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGCFGFSFAKKPKKVVRPRVFGNYTSEESLLDQEMEVEDGEFDNGDVTDTGNGDDSDLQSPVRRSEEILKYRLQNSLICREVPVKETHKLVRSEDENGNKMINEYVREYKIGSGSYGKVVLYHSSVDGIYYAIKAFHKSHLLKLRVGPFETAMSDVLREVLIMKTLNHPNIVNLVEVIDDPTTDHFYMVLEYVEGKWVFEGAGPPGGLGESTSRKYFRDIICGLMYLHAHNVVHGDIKPDNLLVTASGTVKIGDFSVSQVVEDDNDELRRSPGTPVFTAPECCLGSTYHGKAADTWAVGVTLYCMVLGRYPFLGDTLQDTYDKIVNNPLYLPDGMNPLLKDLIEGLLCKDPERRMTLEAVSEHAWVIGEGGPIPRYLCWCKRNSRSKEEVSNGSAVDLLA >CDP03764 pep chromosome:AUK_PRJEB4211_v1:1:35250915:35255824:1 gene:GSCOC_T00016243001 transcript:CDP03764 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFSKKPTAKEALRQSKREMTNATRGIEREITGLQLEEKKLVAEIKRTAKTGNEAAAKTLARQLVRLRQQIANLQGSRAQMRGIATHTQAISAHSSVAVGMKGATKAMSAMNKQMSPANQAKVMQEFQKQSGQMDMTTEMMSDAIDDVLDDDDAEDESEELTNQVLDEIGVDVASQLSAAPRGKIAGKNTEDGSSSGIDDLEKRLAALRNP >CDP09699 pep chromosome:AUK_PRJEB4211_v1:1:24732277:24736253:1 gene:GSCOC_T00030133001 transcript:CDP09699 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKGRKVAGKGEAVAAHYAFGPLEDDIIIKHRLLTRTTTTRGEPPLKKLQKKFTAFALEVEKEGDNYGDCERLAKAFLQELNTFEIPLLKSKAVIDANVREKENFNELKDDINKQILQAQDDIEDLKKQLEASKIERQHKEECEAIRRLIALQPPRSETQKVISELEREIELLEAENTAGSRTLELRKKQFALLLHVVDELQNTIEEEQRSLVEEMRMVIDEHKNGVEDANGGPEAMTVD >CDP03760 pep chromosome:AUK_PRJEB4211_v1:1:35274554:35282783:-1 gene:GSCOC_T00016239001 transcript:CDP03760 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRERFKSSSKKKDSMANSGREDPKSLSSEDASNITKQKVAAAKQYIENHYKAQMKNLQERRERRTLLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGADDFELLTMIGKGAFGEVRVCREKTTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVAETVLAIESIHIHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDCSTLQEKDFSTGDSFNGTSRVDEQPAAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSAEAKDLISKLLCNVTQRLGSKGADEIKVHPWFKGVDWDRIYQMEAAFIPEVNDELDTQNFEKFDESEKATSNPSKSGPWRKMLSSKDINFVGYTYKNFEIVNDYQVPGMAELKKKNNKPKRPTIKSLFEDESESSETASNGSSSQGSFLNLLPSQLEVSEKQDNKSM >CDP08445 pep chromosome:AUK_PRJEB4211_v1:1:20481814:20481960:-1 gene:GSCOC_T00027333001 transcript:CDP08445 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMKTLMGKTITLEVESSDTINNVKAKIQDKEGIPPDQQCLIFANK >CDP09612 pep chromosome:AUK_PRJEB4211_v1:1:23111338:23127862:1 gene:GSCOC_T00029983001 transcript:CDP09612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ with tetratricopeptide repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G12430) UniProtKB/TrEMBL;Acc:F4K0Y5] MRQLNIENDGKVRSFREELQNELKKLNIKESLSRINSQSFANQGSCGSSETVPRDNMENFKIEESLSGRENKKIDKCNQKQARNWSGPKPDNASDSIPSGLSDSLSDSQVRLEDQSCTTSSSPFVGSGVRFDGFGNAVEATFQDRTEKKVHFSFSSKWDDMGMQNVEFKTPNMIGNLNRKFETKKDSSKATRSKKKKVKSKNLNPVQLSSTQDIILGENLQEIDDSCEPYSPMDISPYQETLAESNFSRETSVTSEETLHVDDDCASNESHPAVSNDMTDEELVDAAERLDINDDEKCKEKEEEKSAYCFDKVFNAEGPSEESISGTETESFKSATEHLDYSTDSFVTAADTLATGSDTEVSSGLGIGKQEGDGDSHFDFAPRMEESGQGSFIFAASSAAQGQSLTTARASKKKSRSKVGQDSHCLSPNSKDSYSSSRLDYFPVSGTCALSSPRQGRKGDASTLLNQTGYISEPVKKQESKGENNSSTSASIVAQEACEKWRLRGNQAYATGDLSKAEDFYSQGVNSVPENETSKGCLRALMLCYSNRAATRMSLGRIKDALEDCMKAYVIDPSFLRVQVRAANCYLALGEVDDASLHYMKCLQAGSDVCADRKLLVEASEGLEKAQKVSEFMKQSAECLQQGTSADAETALGLIDEALIISPYSEHLLESKANSLLMLQRYEDVIQLCGQNLGAFVSKFDVSDAHKDSRSRVWCCSLVVKAHFYAGRLEEALEFLRKQEESLPVIEKGQSKNLESLIPLAGTIRELLHNKGAGNEAFQSGRHAEAVQHYTAAILCNVESRPFASICFCNRAAAYRAMGQFADAIADCSLAIALDANYLKAFSRRAALYELIRDYGQAALDLQRLVSLLTRKLEDRTYQLASSDRMKYINELKQAQIKLSQMEEASRKEIPLNMYLILGVDPSAAASEIKKAYRRAALKHHPDKAAQSLARSENGDEGMWKEIAEEVHKDADRLFKMIGEAYAVLSDPLKRSQYDMEEEIRNGQNTGSGRNTSKMHADFQNYQFERGGGRWQEGWRSHGSSQFRSAERNRSSRYP >CDP09156 pep chromosome:AUK_PRJEB4211_v1:1:32083382:32086176:1 gene:GSCOC_T00028370001 transcript:CDP09156 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPPASYLSNSGWLVEESKSTKWTPAENKAFENALAVFDKDTPDRWQKVAAVVPGKTVMDVIRQYKELVEDVSNIEAGLIPIPGYGTSPFTLEWGSGHNFDGYKQSFIATGKRSSATRPSEQERKKGVPWTEEEHKLFLMGLKKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLSDNQIPSPDRKKSSSPDTSNWNQGNSNGAVMNFNMGHGNIFMPPHGVNSCDLKMQGQDLQRGGMHNSYVGSQNMVFQMQSTHQYPHA >CDP15581 pep chromosome:AUK_PRJEB4211_v1:1:26238727:26241468:-1 gene:GSCOC_T00015478001 transcript:CDP15581 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQEKFDGLHEKVKDLIRAAVNEAGIIICLIFLHVLKEGLAKEFDLALLNFVGKIKLVKVVTSTFIFPRNNELGFMDFLLENLKELPSCEVGSIAFAKDQIQTIREDLASLRSLMEISVDQSNQALRRRVMEAAHKTQLTVKSLFIGDIPDFRSPIIFDSIREELKEINLAKMEAMNICDNKYRLEAHSVSRTTNKVRVRLEDEATIIHKLTRGQKQLGFVSIVGMPGIGKTTLAQNVYDDPSIRCVFHIRAWCAVSQLYQHKDVLLQILSCIDPDLFEDQLQRKKHHSGDEYSKKNEDELEEKLKQRLMKIRYLIVLDDVWSSEAWNALKRSFPDNANGSRILLTSRLPMVALEINQNVTIHHLQRLTDKESWELLQKKLPEEKGFPLAHSDLGMQIARHCQGLPLTIVTVAGILARLEKDDGWIEVAERLSLSAVCATDQCMDVLELSYQHLPEYLKPCFLYFAAFPEDREIPSWRLMSLWIAEGFVQESEIKSLEDVAEEYIYELTSRSLVMVSKERSLGGVRTCRIHDLLHEFCLVKAQKENFLQFLHGDDKLFTFDEPRNLQRLCIFSGQDIFADSRLCSTRVNSLMFYAQEDEMLRSGFPKFLFLFFKRLRVLDLRQFCLHGELPSEIELLSELRYLAIHLSANSIPSSVGNLSHLETFIVQADGNVLLPDTIWNLKKLRRLDARNGFTFNWAEENLGSLHNLDSISVLVLSSVQSEKILEKFPNIRRLKCRLFKYEEYNGDCNKIVAMGFLSQLESLKLDHPRRYHLEFRFQLMNLKKLSLSYFHWSEIPMIGKLCSLEVIKLDHEVSPQGTWDMEGFEFPQLKYLKLERLRISRWKCSSDQFPRLRKLVLVQCWRLKEVPSCFGEVSTLETIEVHGCSDSVVNSLWEIEKEQKDSGNEDFQIFV >CDP15628 pep chromosome:AUK_PRJEB4211_v1:1:26957486:26967663:-1 gene:GSCOC_T00015548001 transcript:CDP15628 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEKKGPTNGNLVFAINGEKFELTNIDPSTTLLEFLRSHTRFKSPKLGCGEGGCGACVVLLSKHDPILDQVEDFTVSSCLTLLCSLNGCSITTSEGLGNSKDGFHPIHERFAGFHASQCGFCTPGMCMSLFSALTNAEKTHGPEPLTGFSKLTVSEAERAIAGNLCRCTGYRPIADACKSFAADVDLEDLGINSFWRKGEPKEVKLKRLPSYYPKDRVTKFPEFLKGRSTLKMKINLENFSWYIPTNLEELRSLFNSNVADDVQIKLVVGSTGMGYYKELEHYDRYIDLRYVPELSMIRRNEKEIVIGAAVTISRVIVFLKENDTGNSSSDGKQVFLKIANHMEKIASGFIRNSGSIGGNLVMAQRKHFPSDITTILIAVGSTVSIMTGHKHETLTLEEFLARPPIHTRSVLLSIQLPLFDRKINGSGGSGSKLAFETYRASPRPLGNALPYLNAAFLVDVSHANGVVVNDICLVFGAYGTKHSIRARKVEDYLSGKKLSANVLYEAVKLVKGAVIPEFGTSYAAYRSSLAVSFLFQFLSPFVNFGSAICGGLSDELVGHLPKDSSTNCNEISTGQLVNSAVLSSAKQEVQSGREYYPVGEPTTKSGSAIQASGEAVYVDDIPSPPNCLHGAFIYSTKPLAHVRGVDIKSYTQLNGVAAVISYKDIPEGGENVGSKTIFGVEPLFADDLTRCAGEPIALVVAETQKSANIAANSAVVNYDTENLDPPILTVEEAVKRSSFFEVPPFLYPEKVGDFSKGMAEADHKILCSEIKLGSQNHFYMETQTALAVPDEDNCMVVYSSIQVPEYAQIVIAKCLGLPQHNVRVITRRVGGGFGGKAIRAMPVATACALAAHKLRCPVRTYLNRKTDMIITGGRHPMKITYSVGFKSNGKVTALHLDILIDAGIGADISPVMPLNVLGSLKKYNWGALSFDIKVCKTNHSSKTAMRGPGEVQGSFIAETIVENVASILLMEVDSVRNINLHTFESLNVFYGGSAGEAPEYTLGEIWDKLGASSCMVQRIKMIEQFNQRNRWHKRGISRVPIVHQLIVRPTPGRVSILWDGSIVVEVGGIELGQGLWTKVRQMTAYALSSIGCDGTENLLEKVRVIQSDTLSLVQGGFTSGSTTSESSCEAVRLCCNILVERLGPLKSKLQEQMGAVNWNALILQAHFEAVNLAVNSYYVPDLNSMQYLNYGAAVSEVEIDILTGETKIMQSDIMYDCGQSMNPAVDLGQIEGAFVQGIGFFMLEEYLTDADGLTISDSTWTYKIPTIDTIPKQLNVEVWNSGHNKKRVLSSKASGEPPLLLAVSVHCATRAAIKEARKQVKSWSRIDGPDSAFQLDVPAIMPVVKNLCGLDIVERYLESLLTD >CDP18599 pep chromosome:AUK_PRJEB4211_v1:1:36982:39766:1 gene:GSCOC_T00012436001 transcript:CDP18599 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSLTFRVTRQKPELVRPAKSTPRECKRLSDIDDQEGLRFQVPVIQFYRSDDDHLHSRRDPVKVIREAIAKALVSYYPFAGRLRECAGRKLVADCTGEGVMFIEADAEVTLEQFGEELQPPFPCLEELLYDVPESAGVLHSPLLLIQVTRLRCGGFIFAVRLNHTIADGTGLVQFMNAVGEIARGASAPSVLPVWQRERLNARDPPRVTCIHHEYDEVPDTKGTIIPLDDMVHRSFFFGPTEVSALRKSIPLDISRKCSTFEVLTACLWRCRTIALQPEPNEEVRVICVVNARSKFDPPLPQGYYGNGFAFPVALTTAGELCKRPLGYALELVTKAKGDVTEDYMKSVADLMVIKGRPHFTAVRTYVVSDVTRIGFNEVDFGWGRPEYGGPAKGGVGAIPGLLSFYIPVTNRNGEKGRVVPICLPGFAMDRFVRELEKLLSNNHHSIIDRSTFVRSVL >CDP13106 pep chromosome:AUK_PRJEB4211_v1:1:2332992:2343390:-1 gene:GSCOC_T00037900001 transcript:CDP13106 gene_biotype:protein_coding transcript_biotype:protein_coding METHKAHCLIFPYPMQGHINPMLQFAKRLQHRGTKITLATTKFVFKTLHEVSGSITVETISDGFDEGENGVAVDTYYATFQKVGSETITELILKLKDSGYPVDCIVYDAVLPWALRVAKSLGLRAAVFFTQSCAVNKIYYHVYTGLLKLPLEESKVEIPGLPPLSASDLPSFISSYGSYPPIFQLVTHDQMKNIHEADSIIYNTFYELEEEVIDWTSRILPIMTIGPTIPSMYLDKRLQDDKQYGLTEVDAEQMEEVAWGLRTSNYYFLWVVRESESNKLPKDLVKETSDRGLVISWCPQLEVLAHKSIGCFITHCGWNSTLEALSLGVPMVVMPRWTDQSTNAKFVTDIWKTGIKARSDENGIVRRDVIRQCISVVMEGEKGQEIRKNADKWKDLARHAFDEGGSSDKNIKDFVSKLIQLVQEQKTNEVPLDTYNAVFQKVGSENLTELILKLKDLGCPVDCIVYDALISWALDVAKSLGLRAAAFFTQSCAVYKIYYHVYAGLLKLPLEESKVEIPGLPPLLASDLPSFFSTYGSYPPIFQMVAYDQMKNIHEADWIFCNTFYKLEEEVIDCTSKILPIKTIGPSIPSMYLDKRLQDDKQYGLSRFMPMTNDCMPWLNERSTSSVVYVSFGSLAELDAEQMEEVAQGLRTSNYYFLWRIIHFMVPSA >CDP03932 pep chromosome:AUK_PRJEB4211_v1:1:34067464:34069381:-1 gene:GSCOC_T00016442001 transcript:CDP03932 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIPRNSLISILRTSLSSLSFRPISNTASDHPAETLTPSPPISQNPYAKPNPHPRTRTPSEKQFEKWIQNLKPGFTPSDVDEALNAQTDPDLALDIFRWTAQQRGYKHDQVTYLTMIEIAATGKRYRVAETLIEEVVAGACPPSLPLYNSMIKFCCGRKFLFNRAFDIYKKMLKCDDPTVKPSLETYTLLLNSLLRKFNRLNVCYVYLHAVRSLTKQMKASGVIPDTFALNMIIKAYSKCLEVDEAIRIFREMGLYGCEPNAYTYSYIAKGLCEKGRVAQGLNFFKETREKGFVPKGSTYVILICSLALERRFEDAIEIVFDMLDNSMSPDFLTYKTLLEELCRDGRGNDAFELLQEMWKRDTSMNEKTYSALTNVLHFLNRE >CDP17684 pep chromosome:AUK_PRJEB4211_v1:1:5556045:5559451:-1 gene:GSCOC_T00013230001 transcript:CDP17684 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAGVKNWLEQLEGELFKAEDVLDELNYENLRREVKYRNQLKKKVCFFFSYFNTIGSRSRLASKIRDINMNLERINRQANDVGLVFRFQIEAALLAATGATTSRPTDSILVPNVVGRVDDESKIVDMLLRPSEKVLSVIPITGSGGLGKTTLAKSIYNNPKIDGHFGQKIWVCVAKEQIKIMELFKLILVQLTGEEVKVDDRNVIVKNIGEKLKGQRYFLVLDDVWDHDQGLWNDYFNTLMGLNETKGSWCLLTTRSKPVADVVSTHLKMNSGPYFLGKLSRDECWSIIKGKVMSAGEEVPEELDALKKQILGRCDGLPLAASLIGGLLLNNRREKWHSIVQESLLNECQSEIEQILKVSFDHLSPASVKICFAYCSIFPQDTELEQDLLIELWMAEGFVQPYRQNQRLMEEIGGDYFMILLQNSLLEKVEESWRGTYYYKMHDLVHDFAKSILNPESSNQNRYLALGSFEASIRTLFLHLEGGVSTDMLLRFKCLHVLRLSGDDVESLPSSIGKLLHLRLLDISSSGIRSLPESLCKLYNLQTLTINDYALEGSFPKRMSDLISLRHLNYNHYNVELKMPVQMGLLTCLQTLKFFNVSQKRGCGIEELGTLKYLKGSLSIRNLGLVKGKEAAKQAKLFEKPDLSDLVFKWESGDRESDNREEDVLEGLQPHPNLQGLEIHSFMGNKFPQWLINLSKLETLRIEDCERCSELPSLGQLPSLKRLSLIRLDNIRFIGDEFYASGSSARRRKFFPALEQLYVRDMRNLVDWKGADQVRSTVGEAEADVFPLLRNFYIQDCPQLTTLPCSSKSLHVENCNNLTSIKMGYGTASVEDLRIDSCDNLRELPDLDLFGSSLQRLTISFCPRLISLGSGVVSLNLQETPSLEEFTLANCPKLIPHTSTLRELRLEGLPHTESLPHQLQYLTTLTSLSLQYFGGIRVLPDWIGNLVSLETLWLWNCEKLQSLPSEAAMRRLTKLTSVEVHFCPLLRQRYTSQRGIYYLEEEISSDPVRFFYLKFTHIHICI >CDP09273 pep chromosome:AUK_PRJEB4211_v1:1:17516784:17532015:-1 gene:GSCOC_T00028550001 transcript:CDP09273 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21070) UniProtKB/TrEMBL;Acc:A0A1I9LRY1] MSPKSNKFDSNGNASSPPQENGFNDSFSLLSSEKAVQELIQQPLDQGIDDHLFEFSEALRTVAKALRRVAEGKATAQAEAAEWKRKYELERERNIQLEQKVLPEEHDRECDDKRANKFADQSVQCDEAVEESLRCCGKNGICSHEVLRDGELDRNCSTVQSKIMRKASFKLSWWCKGDKSDQHKHDIVSFERGNITTAERSSKQISLKWESPPRTVLILTKPNSVSVQILCSEMVRWLKEEKKLNIFVEPRVRSELLTDSSYYNFVQTWQDDEEVLLLHTKVDLIVTLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFYSEHYRDCLNSIFRGPISITLRHRLQCYVIRDAAKTEYENEGPILVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVQVPFNSRGHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLRSIHDGLHWNLRKTQSFDGPRDAA >CDP03860 pep chromosome:AUK_PRJEB4211_v1:1:34540483:34546749:-1 gene:GSCOC_T00016357001 transcript:CDP03860 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYILFPVLLSNKCYPQKKDGPCYIMFKGFESPLIYHEKDVDLATLDKAVKILTADHGQISRLVNKLESSWANRIQIVKEPHHYSSLRNLFLCFPGCISVDEDGNRLFLSDSNHHRIIIFDINAKILDSIGSVPGFEDAEFENAKLMRPAASFYHAPEDCLYFVDSENHAIRRADMERRVVETIYPTNTSKKTTSLWSWVLDKLGKKREVDTPSEAFHSDSLLFPWHLLKSTSNDLFVLNRSFGTLWIVDLACGVIKEVVKGLPKVLEICREVIVEKSSVLKHVPSDWLQQQLDMTSSLDGIPYSGLLSSVANFKDDLVLCDAVGQMVFKLNGKSGSISSFQFSNFGVLGLPYWFSFPLERVCAAGDVLSEMHIDHIEGFSLLPGRVNILMKILIPEDMDLVEPLDKSCIWCQARGTAMEVSGAESKADSTEKVGVAQQWYDEIDHLTFTTSEGESRTAAETAGENRVVQEGRVCIDCTVSTSPGTSEVIIYAPLYLRLKRSSSSSTDNRVEEAARIADIIDPLRKPARDSVIQFLLSSKRDLKDLIFVKPLHVRLKFDCGDHPKADNSKSVVLTASSIEVNVVAL >CDP15591 pep chromosome:AUK_PRJEB4211_v1:1:26322822:26325546:1 gene:GSCOC_T00015492001 transcript:CDP15591 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDEVAEGIKRVKSEAQEAAKSLRHLTPQVSSSGSISTVDKAEVVLQDVEQAVIDKLIKGLKQLDVISIVGVAGLGKTFLAQRVYRDPRITSHFHIQAWSYISQTYCKKDLLLQILACIDQKTQFSEKDEYQLALELWQCLLRQRFLIVLDDVWDIEAWNALKSSFPEKNNGSRILLTSRLTDIVGKPCNLRTLSESESCELLQKKLAVIREGGYSQEQNLLGWKIAKTCNGMPLSIAIISGILATLGEAGWEEVAKMVSLTAMVGATEQCRRILKLSYRHLPDHLKRCILYFGAFREDQEICVRRLTWLWIAEGFVQKSESECLEKIAEGYIRALINRSLVMVGQRRYAGEVKTCRIHDLLHVFCVKKAKKQNFLQLVRGYDEDLTFDEPYNPRRLSIQAQPKHFIKSRIICPQIRSLLYSSRDFGVRQLRCNFRFIFLLKLLNVLDLENISLGSDFPRELWSLVQLRYLAVLGWLKNGIPSSLEMLSNLETFLVRTKDDVGLSLLQDTLLKMQKLRHLHVYGALIDIRLANDNLESSSLLNNLDTFSTMKLYLGQSMEKMIRKFPNIRQLKCCLVESAEFTADSNRVMVMNFLSHLESLKLNLGKVTMHCVELYLPSSLQKLTLEEFSWCIISTIGKLPNLQVLRLNRQADGEEKQDKEIFFPKLKFLKLKSLKIVRWKGLGHCFPSLEKLVLDDCKKLQKLPSCLGESPLKLIEVHRCPNLDRNSFEGIEEQQMDYGNMDLKILISEEFEESSSWSVGDSDGWLPEEIDESSSWLEIQTDGQANDHLP >CDP09199 pep chromosome:AUK_PRJEB4211_v1:1:32417641:32419993:1 gene:GSCOC_T00028428001 transcript:CDP09199 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVEREGHGIDELAVWYGRRIGSKEMGLEERTPLMIASMFGSKRVVNYILEKGGIDVNKTCGSDGATALHCAAAGGSAASLEIVRLLIDASADVNSVDANGKRPVDLIAPFQNSSFNLRSKALEVILNGGNYTEGASESDDRPTEREDGRVSPPLPSKDGMEKKEYPVDPSLPDIKNGIYGTDEFRMYTFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKATCQRGDACEYAHGIFECWLHPAQYRTRLCKDETNCTRRVCFFAHKPEELRPLYASTGSAVPSPRSFSSSASTFDIASISPLALGSPSIMMPPTSTPPMTPSGASSPIGGSMWPNQPTLAPPTLQLPGSRLKAAINARDIDFDAELLGLDGNRQWRQQLLDDLSSLSSPSSRNHGLGTPGAFAASSVEQNSDGIRHGGLKPTNLDDVFGSLDSTILSQLQGLSTDAGLNQLLSPTAHQMRQNMNQQLRSSYPSNLASSSIRTSSAFGMDPSGSTATVLNPRSAAFAKRSQSFIDRSAVNRHSGISSPISSASMMLSPFSDWSSPDGKLDWGIQREEINKLRKSASFGFRNSGGNFVSTAASRSTAANKPDASWVESSVKDGPSVTPGQAEFHGKDHQQYLLNSGGSEMHPSWVDQLYMEQEQILA >CDP18584 pep chromosome:AUK_PRJEB4211_v1:1:190985:194343:-1 gene:GSCOC_T00012415001 transcript:CDP18584 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASSGCTSLCPSPPAFPTLLTKQGPSSLKKHNQAKNHLFLSASLTFSDPLFHSSNRSNNNFFAAKASETEAKTAADDDEEYEEYEVVLDQPFGLRFTKGRDGGTYIDAIAPGASADRTGLFTVGDKVLATSAVFGDEIWPAAEYGRTMYTIRQRIGPLLMKMQKRYGKVPDMGDLTEKEIIKAERNSGVISNRVREIQLANAMKKKEQKERREKDLREGLLLYRSGKYEEALEKFEAVLGSRPEYNEAAVASYNVACCYSKLNQVQAGLSALEDSLEAGFEDFKRIRTDPDLANLRTSEEFESLLKRFDESFINENALNAIKSIFGIFKKE >CDP07001 pep chromosome:AUK_PRJEB4211_v1:1:28680528:28684900:1 gene:GSCOC_T00024080001 transcript:CDP07001 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNLAALKLLCMHLKKAQQVISDSQSSFSLGGILFQRAWIQGILVSAVPSSSSTAPSETGCRFLLDDGTGIIELILSGDFRNRHWETGMYVMVVGGYFIQTGDVPMIKVHKIVDLSAFPDREAVWYLEVMEAFKLFYQPSI >CDP09731 pep chromosome:AUK_PRJEB4211_v1:1:25304141:25307660:1 gene:GSCOC_T00030179001 transcript:CDP09731 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTQEASKTAGKPIRCRAAVARKAGEPLVIEEITVAPPKARELRVRVLCSALCFSDIHFWRLKEPHGYYPRIFGHETVGVVESVGEGIEDVKVGDTVIPSFLAYCGECPDCTSIKSNQCSKLRFELSPYIRDGTSRFSDTKGETIYHFGYTSGFSEYTVVDITHVTKVDPAIPPSKACLLGCGVSTGVGAAWKTADIEAGTTVAIFGLGVIGLSVAEGARIRGAKTIIGVDLNPDKVEIGKKFGITHFINPKELGGKLASEVILGMTDGLGADYCFECVGLPSLSQEAFACCRKGWGKTVILGVDKPDAKFILNSLVNNHSGKTVIGVQYGGLKPNIDIAILGKRYLDKELQLDLFVTHQIKLEDINKAFKLLIEGKCLRTVIWLDRERASADGVTFDEI >CDP09727 pep chromosome:AUK_PRJEB4211_v1:1:25229656:25233084:1 gene:GSCOC_T00030172001 transcript:CDP09727 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLYPLNGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVAQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNNGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGSPDESNWPEVSKIPWYNNFKPSRPLKRRLKEVFRHFDRHALDLLDKMLTLDPLKRIEAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHEETAKRQKLQHQQQHGRLPSHQQSGQGHPQMRPGANLPIHGPQNQVVGGPSHHYNKPRGPSAGPGRYPQAGNPSGGYNHPNRGQGGGGYASGPYPPQGRGAPYGSSGIPGAGPRGGGGSGYGAGGPNYQHGGQYGGSGTGRGSNMMTGNRNQQYNWQQ >CDP03903 pep chromosome:AUK_PRJEB4211_v1:1:34264433:34270364:-1 gene:GSCOC_T00016406001 transcript:CDP03903 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVQQLRTGVEKRKEVLHHFKEEVEEAVEEALLQRRRSANIPTAAANSGGNATVFPAAEEVAAVDLLDTTPKMEVVSGSVEGDQKVEKTSEEIVYFDKNEGMWKCRCCIWTYPNGNPRIDQNHQKPFIFANRGSGLEWSHSNVKVEESGSAKLKHVQIDPVLPVISGKAVRQQPSTSPQLQEETDERAEVDDVPEFESGEEEIEVEFETAVEKIHSHDDYNMYCPNCSRRITKVVLRRKMRRPRRVPIPSPTGPTQTQPHDLFGCLSCCEIFISSGNRLKSLNPFRCFGAGKGPDSTPPLQPGQQSPGASMPTSGTTQAKDVIKEPKKSDIKGDDVTIPVGYTQPGERKAETPSQSSRSLEIVRSIVYGGLMESIASLSVVSSAAASDATTLNIVALGLANLVGGLVVLAHNLRNLRYGDSSETNESRYEEQLGRKEHFFLHAMLAVLSYLVFGLIPPVIYGFTFRESDDRDYKILAVAAASLLCIVILAMAKAYTRGEHKVMAYFKTILYYVISAVMASGIAYAVGDLVNKLLERLGWLNPATVEPQLFSQAKSFNPTSTASY >CDP06909 pep chromosome:AUK_PRJEB4211_v1:1:27620466:27625939:1 gene:GSCOC_T00023953001 transcript:CDP06909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML48 [Source:Projected from Arabidopsis thaliana (AT2G27480) UniProtKB/Swiss-Prot;Acc:Q9ZQH1] MDSSSSSSLDSHRAAYSPSAPPVPDPNQEPRLAHPYYPSRSSQDQYYRPPASSSSSSSYAGHTYHQSQYPTLTPTGSLSSNYGSGHSSHSYPGYGYGYPPPQPQQQQQYQSYNYNASFPPGTDPGVIRTFQMVDRDGSGFIEESELRQALSSGYQRFSMRTIRLLIFLFKNPSDSALRIGPKEFSALWSCLGQWRAIFERFDRDRSGKIDATELRDALNGIGYTVPPSVFQVLISRYEEGNGRRVELSFDSFVECGMIVKGLTEKFKEKDPRYTGSATMTYDSFMSMIIPFLVSY >CDP07142 pep chromosome:AUK_PRJEB4211_v1:1:30100044:30109140:-1 gene:GSCOC_T00024271001 transcript:CDP07142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G45300) UniProtKB/Swiss-Prot;Acc:Q9SWG0] MWAVRSLASGFLRKQRQSAAAFSTSSFFDDSRKQFKEGVARFAQENIAPCASKIDTTNYFPEEVNLWKLMGDFGLHGITVPEEYGGLGLGYLEHCIAMEEISRASGSVGLSYGAHSNLCINQLVRNGNPTQKQKYLPKLISGEHVGALAMSEPNAGSDVISMKCRADRVNGGYILNGNKMWCTNGPVAQTLVVYAKTDIAANSKGITAFIIEKEMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGQEGKGVYVMLSGLDLERLVLAGGPLGLMQACLDVVLPYVQQREQFGRPIGQFQFIQGKLADMYTSLQSSRSYVYSVAVDCDNGKIDPKDCAGVILCAAERATQVALQAIQCLGGNGYINEYMTGRLLRDAKLYEIGAGTSEIRRMIIGRELLKE >CDP09000 pep chromosome:AUK_PRJEB4211_v1:1:31000080:31001092:1 gene:GSCOC_T00028163001 transcript:CDP09000 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKRSREEALAAETVEETAAINLLMLLSRVGEATTSAAADQAATPSGRVFECKTCNKKFPTFQALGGHRASHKKLKLMAELLTQPSNSPRKPKKHECPFCGLEFPLGQALGGHMRRHRAAILEGRREIDESRGRKVAVPVLKRSNSSKRVFGLDLNLSPFENDLRQLMKEPLR >CDP03951 pep chromosome:AUK_PRJEB4211_v1:1:33936905:33937639:-1 gene:GSCOC_T00016465001 transcript:CDP03951 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLKEGEERVTLDLLTKKMDDFARERDWERFHSPRNLLLALVGEMGELSEIFQWKGEVPKGLPNWKDEEKQHLGEELSDVLLYLVRLSDVCGVDLGKAALRKVELNALKYPVNVDGGSKKQEL >CDP09006 pep chromosome:AUK_PRJEB4211_v1:1:31034703:31035459:1 gene:GSCOC_T00028170001 transcript:CDP09006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKSAVAEKAPAEKKPKAGKKLPKEAGAAAGDKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CDP07120 pep chromosome:AUK_PRJEB4211_v1:1:29913433:29915732:-1 gene:GSCOC_T00024238001 transcript:CDP07120 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLKEYLTLSQKQEEIMELAEDNKEVKIFETTSAESALEPQESSDLENMNSHHESGSLDNGNVEADTQYKSFSSSENGTTSTKQFKNIVAIVDPPRVGLHPTVIKVLRTNATLRRLVYISCNPESLVANAIELCTPSAEKTEKGGQKNNWAWRNMSSAGLARHRAKSMPYSEPFKPVKAVAVDLFPHTPHCELVMLLER >CDP03652 pep chromosome:AUK_PRJEB4211_v1:1:36150856:36151641:1 gene:GSCOC_T00016087001 transcript:CDP03652 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLAMVLVVMRLTGALAQSGCTSELTSLYPCLSYVTGTSSKPSSTCCSQLAGVVSSKPQCLCLLLNGGGSSVGININQTLALSLPGACQLQTPPSSKCNAGNVPTSSTATPATSPPADPSKGSPDTPKIPSVSDTPAGNGSKTAPATDGSTSAGSNTNASFPLTALFLFVASCVLSGAGF >CDP07056 pep chromosome:AUK_PRJEB4211_v1:1:29207882:29215801:-1 gene:GSCOC_T00024155001 transcript:CDP07056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol kinase EVAN [Source:Projected from Arabidopsis thaliana (AT3G45040) UniProtKB/Swiss-Prot;Acc:F4J4C8] MAVPAALQWMNGERGVLVLYIARILYAAPISLLAESIALGILSLFALSLEISADHDHDHDPFSRFFKTRPGVSSGILLGAVTLPGLMLSRLIQMLRGLSLNEVGVAELENLQLQYWATFASCFSVLVCFHVILQRQDNGVPSVDSCSNWNKRFSLSCIALCAGICCIAFSAKYQFGWQMVFMLLWVVFHGLVASKLIQRILRTFPACVSIGEALLVTSGLVIYFGDMLQNTVAKIFGYWTSLGYLPVQYVVKRSEISTIIQGMTVGLLLFPLFLKLIFQISGHFKFVDSSRERANHEMKKSFIFYASLAFLLIVVIPLWMQFVHDFHMHPLFWVLDFVFSEPLKTLSLCTYWIALIYASVTRFYDISKNSKTERILLRKYYHLMAVVIFVPALILQPEFLDLAFGAALAVFLILEIIRVWRIWPLGQLVHQFMNAFTDHRDSEILVISHFSLLLGCALPIWLSSGFNDRPLAPFAGILSLGIGDTMASMVGHKYGVLRWSKTGKKTIEGTAAGITSVLVACSVLLPLLATTGYIFSQHWFSLLVAVTTSGLLEAYTAQLDNAFIPLVFYSLLCL >CDP08997 pep chromosome:AUK_PRJEB4211_v1:1:30974047:30977124:1 gene:GSCOC_T00028160001 transcript:CDP08997 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSRPARPTELQMPKRWKDRDTSPERTKVWTEPPNSKLKSSDRRVPVIYYLSRNGQLEHPHFMEVPLSSPDALYLKDVINRLNSLRGKGMASLYSWSCKRSYKNGYVWHDLSENDFIYPAHGQEYILKGSEILDGAFITKSDETVMCSTAKLPAPPEGPLRVHSEEFPPVKAPRRRNQSWSSFDLHEYKVYTGESASRAAADASTQTDDKRRRRRAVREIEEVHEGENEEKSREESQRIVSSDEITEISPPPSDSSPETLETLMKADGRIILRSGAANEDPTAPASNSNHPPSGRSKASSVLMQLVSCGSIGFKACGPGQGKDHGFSLISHYKVRLPRGGGSNHVGKDAETESVGSLASGFGGRVKLEEKEYFSGSLIETKKDKFPALKRSSSFNADRSSELDLGGAQEMEGSRENCKPMKQKEKGTVLSRRRESSHDLSCSSGGSSTTSTLTSCGQHGTEEP >CDP08957 pep chromosome:AUK_PRJEB4211_v1:1:30573754:30578156:-1 gene:GSCOC_T00028105001 transcript:CDP08957 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRLLMLQKALLHRTTSRSSSQLLLRPPSIPILTSLRAFSSAAALSPPSTAVVYDLHGPPDTVTRITELPPVEIKENDVCVKMLAAPINPSDINRIEGVYPVRPPVPAVGGYEGVGEVHSVGPGVKGFSAGDLVISSPPSSGTWQTYVVKEQSLWHRIDNGTPIEYAATVTVNPLTALRMVEDFVDLNSGDSIVQNGATSIVGQCVIQLARERGIHTVNIIRDRARSDEVKEKLKKLGADEVYTESQLEVKNLKSLLINLPEPALGFNCVGGNTASLVLKFLRQGGTMVTYGGMSKKPITVSTTSFIFKDLSLRGFWLQKWMTSEKATECRSMIDYLLGLTREGKLIYDMELVPFNNFQTALEKALGKLGSQPKQVIKF >CDP14104 pep chromosome:AUK_PRJEB4211_v1:1:11640158:11642314:1 gene:GSCOC_T00039317001 transcript:CDP14104 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFHGDGQSIKSANEFPQLDFDQQHSLSQGNLVNECQFDHLFNNVTGLSKLSSHLDVNSTPCEVGDDSPVEGDYFDGVFKYLQRMLMEEDDLLDKPCMLQDCLALQAAEKSFYEVLNENQPSSPSRGRPRAGQKRDSIRELVDLRDLLTRCAHAVAIYDNWTANELLKQIRQHSSPYGDPTERLAYCFANALEARLAGMGTTLYSALTTTRASAADILRAYGAYLEICPFQRMSNIFANKSIAKQTSTVTRIHIIDFGILYGFQWPCLIHGISLRPGGPPKLRITGVDLPQPGFRPAERIEETGGRLANYARRFNVPFEFNAVAKRWDTITAEDLAIDEDEMVVVNCLPRDSVMNLIKKINPEMFVHGVLNGTYGAPFFVTRFKEALYHFSSLFDMFEATLPREDQNRSMFEKEVIGREVMNVIACEGTERIERPDSYKQWQVRNQRAGFKQLPLNSEIMREIRAKVKSYYNKDFLIDADGAWMLQGWKGRVIYALSCWKPAGL >CDP15615 pep chromosome:AUK_PRJEB4211_v1:1:26756563:26758416:-1 gene:GSCOC_T00015532001 transcript:CDP15615 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQITFFSALLFSFLLQPEEVISFTFLGDHLCRHDDAVALLQFKEIFSISAYASCDHSYPKTTHWKADTDCCNWDGVTCHNLTGRVIGLDLSCGQLQGVIHPNSTLFHLSHLRCLNLTFNNFTGSRISHRFGSLKSLTHLNLSCSNFEGEVVSEISHLSNLISLDLSDNELLRYEPSNFDAMLQNLTHLRELSLWLVNISSELRVNFSSSLTYLDLSYTKIRGNLPSNVFHLPNMRVLRLGGNENLTISLPKLNCSISDSLRQLNLSRTNFSAALPDLIGCIGSLNSLDLGFYYLSYLNLSLNFLTGTIDQLPITPSLEYLDVSSNSLQGPIPSSICKASLLKILDLSTNNLSGPIPQCLGNFSQQLSLRFLKLNDNQLQGSVPRSLAHCEDLELLDLGNNEIDDKFPVWLEILSNLEVLILRSNYLSGTFPTTFSKGNSLCGFLS >CDP06980 pep chromosome:AUK_PRJEB4211_v1:1:28453730:28455739:-1 gene:GSCOC_T00024047001 transcript:CDP06980 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFCLNARICSFLRLKIAHISHVNIFSRVKSKNTLHFGDNEVQRFLLHILIPLSPLSPTTMKDVQQFLLHVLHFEKHIFQGQKCSSTYYTTINKSAAVTKSLFHFGYCYILWDSQPSIITYITTKTTY >CDP09077 pep chromosome:AUK_PRJEB4211_v1:1:31549096:31550161:1 gene:GSCOC_T00028269001 transcript:CDP09077 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKYKAKPNLDLKNLQSESLFPGSATSFTGVFTSCSKFHNDGSAQNLQSFGITSAYDQSLTSGNQSTSCVLPGSHALLNGNPSSSEPSWAMKLELPSLQTQIGSWGSPSSPLPSFESMDISIQSPSTEYTQSDNLLPRNSGLLDALLYKSQSLKNFRSNPCHHMSSVSMAQGVAMDNSPQDLHKAKWEAYGDLISPRGHSAVLVLSEYTPNSRSSMDELQSLENTPCKVKQEAEEMVPTQFCGNDDALNNMIFSRPDFLLASNCFGPKGEYGKKQLHAERCNWGTSW >CDP03558 pep chromosome:AUK_PRJEB4211_v1:1:36854099:36857951:-1 gene:GSCOC_T00015963001 transcript:CDP03558 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKDVTGHSIQQLASTRRTDERGDTQKHELASSHADTSNHKQQFIQDSGTSEYEAALPDHAYNIVQKDYKSLESIGLSVVDALDFVDHFLAVNNQYLCEKFENAIADGTNSPPPFTTKGAQTLASRTNLATRARELGMFDWDEKQATERNSDKKQDEKFAMGSPGKPSEQDQSATNGQDSFEFGFDTQMAAEAMEALICAPSFNSREQCTYQVPKNEIHNSSVNASKDEGLKHSANKKVADSGPQINRKRTVKLRSPTSRTEFQPSMAPVSSFKRRISANLEFDVPKKRRKKRLGIGVCKTIESKSLMSGSDGLAEVTRTKGPQQPRSTSGSFGTIDLLKLDPWCYPKQKRTHKGVRHQSNGFSNSSTLLTLADDQNKNKYPTENRLSSWRNCGPLLHGQTEFGSSPEKNLPGVCESAITSEEMIIMDLDRVKSSELYGKSDMMGTIWSADCSENIRLGAVSADIRASQTTKTDVRIPGKKLISRPSLMKELTRLGYNKSLPNFMPRELRRRRRNVCVLFSQHLKSNVLNQQKKIMARLGFSIASCCSDATHFVIDGFVRTRNMLEAIAFGKPVVTHLWLESCGQANSFIDEKSYILRDTKKENEIGFSMPASLACARENPLLQGRRVFITPHVKPSIELIQSLVKAVHGKVIKGIGRAARGENFISKELLVLTSKEDYVTCLPLLEKGAAVYDSELLLNGIVIQKLEFERHQLFTNCVEANCSKKCPEKN >CDP17555 pep chromosome:AUK_PRJEB4211_v1:1:785574:792382:1 gene:GSCOC_T00011035001 transcript:CDP17555 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKAVYKNEQIVGHFDNKIWVCVAEKVDRIEQVFKMILESLIGGKVEGDRREVIVQKIQDELKEKRYFLVLDDLWNDQEVLLNDFFSTLAGLNAKKGSWCLVTTRLQEVAIILSRHPQINFTRHELGKLCNDDCWSIIKKWATLGEEVPKELEDMRRQVLRRCDGLPLAAKLIGGLLSKKRKEDWLSILEESLLNGDQGGIEQIVKVSFDHLSPAPVKKCFAYCSIFHQDTELEQDRLVELWMAEGFLRPDSQNERMMEKIGYEYLRILLQTSLLEEVRDWRGTWYKMHDLVHDFAKSILNRNGSNQDRRQEEGHGIQELGTLQDLKGSLEIRNLELVNGKDDAELANLSKKPNLHRLVFEWGDRDEESDNCDEDVLEGLQPHPNLKELQILKFMGDQFPQWFMNLTLTSLVELRVSDCTRCRKLPALGQLPFLKRLYLTGLENRACIGLSFYTLKILSLESMKNLEEWKDAPEMMSTAGEVHVMDVFPVLEKLSISDCPKLTTIPTPSRFPSLDVLEIKENCHDLVIASCPRIDSFGYPNPKNSFGQKSLLKSLEEFTVSRCDELTTLPVEMFESCTSLRKLKLSDCPSLVSFPLDLRQTPSLERFILQECPNSIAEMPTSSSSLRHVSLHGMPDTKSLPHQLQDLTTITSLSLFGFGAIEALPDWLGNLASLDELILFACEKLEYLPSVDAMERLKLRRLEIGNCPLLTERCTPQSGSEWPKVSNIPERKSDYKSF >CDP04065 pep chromosome:AUK_PRJEB4211_v1:1:33098254:33100732:1 gene:GSCOC_T00016604001 transcript:CDP04065 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVTLLMVMCSMISFASAQLSTSFYSKSCPKVLGTIRSEVQSAVSKERRMGASLVRLFFHDCFVQGCDASILLDDTSSFKGEKTAFPNINSVRGFGVIDNIKEKVEKVCPGVVSCADIVAIAARDSIVILGGPSWDVKLGRRDSKTASLSQANSGRLPGPASTLANLINRFQAVGLSAKDMVALSGAHTIGQARCTNFRTRIYNETKIDTSFAKTRRSQCPATVGRGDNNLAPLDLQTPTGFDTKYFQNLINKKGLLHSDQVLYNGGSTDSLVEKYSKDSKTFNSDFVKAMIKMGDINPLTRSQGEIRKKCRKLN >CDP18576 pep chromosome:AUK_PRJEB4211_v1:1:253251:263411:1 gene:GSCOC_T00012407001 transcript:CDP18576 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCCCPALRTQIQTWLRDYDKIQSSAVILIYIQIGCSLIGSLGALYNGVLLIDLGIALFALVAIESSSQSLGRTYAVLLFCSILLDITWFILFAREIWHISSDIYGTFVIFSVKLTLSMQIIGFFVRLSSSFLWIQMYRLGVSYLETSVPRESDADLRNSFLNPATPSIDRHPSGSDDVLGGSIYDPAYYSSLFEEGKDDAHLHGGGQNHGLIMESTATAETSQLKQCMNKTLPNKDDENGTRKLLNV >CDP14079 pep chromosome:AUK_PRJEB4211_v1:1:10752168:10764896:-1 gene:GSCOC_T00039270001 transcript:CDP14079 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPHVLHSSQTTRTLLPWKKPFVSGDGNVSFPNFHKPPVLGKAKKNVRVRHAASSTKAIATNTDKITRVKAVVTVQPTVGGILTHLGLSRGLDDITDVFGKTLLLEFVPAELDPKTGLEKPTVKAYAHKANLLGEATEYECDFELYPGFGTIGAILVENEHHKEMFLNKIVIDGFDGGPVNFTCNSWVPAKNDTDEKRIFFTSKSYLPSDTPSGLKKHREKELAVVRGDGTGERQKYDRIYDYDVYNDLGDPDSSDDLARPVLGGKENPYPRRCRTGRPRTKKDPLSESTNGDVYVPRDEAFSEVKNLTFSAKTVYSVVHALVPALQGAVQDRDRAFPYFTAIDSLFNEGINIPTHKEGFSLGAVLPRLIKAVTDTGNQLLQFETPTFVDRDKFGWMRDVEFGRQTLAGLNPCSIKLVTEWPLKSKLDPKVYGPAESAITTEVIEQEIKGFMTVEEAIEQKKLFILDYHDLFLPYVEAVRKQEGTTLYASRTLFLLSPDSTLMPLAIELTRPKIGDKPQWREVFRPSWNSTGAWLWKLAKAHVLAHDSGYHQLVSHWLRTHACTEPYIIATNRQLSGLHPIYRLLHPHFRYTMEINALARGFLINANGIIENSFSPGNISIQLSSVIYNQEWRFDHQALPGDLISRGMAVEDPSAPHGLKLAIEDYPFANDGLILWDILKQWVADYVNHYYRDAKAIQSDDELQAWWTEIRTIGHGDKKDEPWWPVLKTPDDLVGIITTIIWVTSGHHAAVNFGQYDFAGYFPNRPTTARVTMPCEEPSDERWERFLVRPEVELMACFPSQKLKNRFGAGLVPYTLLKPYSESGVTGQGVPNSISI >CDP03665 pep chromosome:AUK_PRJEB4211_v1:1:36080346:36081992:-1 gene:GSCOC_T00016101001 transcript:CDP03665 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEQALELYQLDYSDLILLSSAKDSSSASFQQIQRLQLITQTVMENLGPTGPGLIAVKSVPVASNLRRSLLPLARKLALLNYEDQNRILKEHNLGSDVPLKNLDRIVSSFASQLNYNRTDMDGTEGEAIVVNDDIERHKDELSAEFENLQHDFKELGIRLMEIGLCLARICDGVIGSRELEQSLLESCTAKGRLIHYHSTVDNCIIKQAAKRKGLSNGRCPANGTTKASLQRALARSSCGGRADLWQQWHYDYGIFTVLTDPMFILSSDQEFPSPSGHTYLKIFHPEKDSVFMVKAPPESVIVQVGEAADILSKGMLRPTLHCVCKPVDLVELSRETFVVFLQPAWSKTFSLLDYPAERLKSGYQQADLSAKDNHDAELEPKDLFREIHNIVPPLSLRMRHGMTFAEFSRETTKQYYGGSGLQSKR >CDP03775 pep chromosome:AUK_PRJEB4211_v1:1:35164705:35171095:1 gene:GSCOC_T00016255001 transcript:CDP03775 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGQVVVVVGLNVPIKTPSIIFLLLLLLATGNGGGHAASASGDFTGDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTAKLLSTVNCWLKCMAFDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCIEFGIEANAIIAVPYDWRLSPSKLEERDLYFHKLKLTFETALKLRGGPSVVFAHSLGNNVFRYFLEWLKLEIAPKQYIQWLDDHIHAYFAVGAPLLGAIETVKATLSGLTFGLPISEGTARLMFNSFGSSLWMMPFSKYCRTDNAYWKHFSIGSKKGHLAYHCDEHEFQSNFSGWPTNIVNIEIPSVRGDDAYPSFVDIAQANSSGMECGFPTQLSFSAREISDGTFFKAIEDYDPDSQRLLYQMQKSYHGDPVLNPLTPWERPPLKNIFCIYGIDMKTEVGYYFAPSGKPYPDNWIITDVIYESEGSLYSRSGNLVEGNPGAASGDETVPYHSLSWCKNWLGPKVNITRAPQSEHDGSDVQVELHVEHHHEADILPNMTRSPREKYITYYEDSESIPGKRTAVWELDKANHRNIVRSPVLMRELWLEMWHDIHPDKKSNFVTKGKRGPLREEDCYWDYGKARCAWPEHCEYRYVFGDVHLGQSCRLRNSSADLLLHYI >CDP08447 pep chromosome:AUK_PRJEB4211_v1:1:20652275:20652916:-1 gene:GSCOC_T00027339001 transcript:CDP08447 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAISPYCCQLTSSSIVKLIFYGGKTRVVSAGKASAGKIMFEFPDHMVCHADSFFIGHPIPVLDIDDELIAGETYFVLPLDCFTNNVLSASSLATLRRSISSGSKPAAVNFKECPFEHVKGTNGRVLIKVRPEFIIKLLTRDQETGDEDSRSLSPSHGFLCSTPELKKHYDQMVGSKDNAWSPKLETITEYKIRYSPCRFIGFERKQGEAEC >CDP03753 pep chromosome:AUK_PRJEB4211_v1:1:35349819:35359188:1 gene:GSCOC_T00016230001 transcript:CDP03753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MTEDTRSMINDKVEKLSVPPGFVSLSSFTLKNLSSNEVACSSMAVGDAFQTELSPVGFTPTMDNIAMFKSNLSHRPWILYNQFDYKSNESDSELEMNFPLRACLPKGVVRGCSSCPNCQKVTARWHPEESHRPVLEEAPVFQPSEEEFKESLEYVARIRQKAEQFGICRIVPPPSWKPPCLLKERNIWETSKFKTHIQLVSDLQDQGIKRNLDRSHKEAKIKRRRVWMRSSGDGSLNEISTDANEVQSEAESFISKLGPELTLEAFNRYADDFKRQYFCKRENAINSDANLTVHEDGWEPSVENVEGEYWRIIENPIEEIEVLCCANVETGGFGSGFPVHSNSMGLPNYPEYCDSCWNLNNIFKLPGSLLGYENRQTSAALLPHLSSGMCFSSVCWKAEEHHLYSLSYIHFGSPKIWYVIPGGYCYRFERVVKKHLPHCLEHPELLYKNISQLSPSTLTAEGIPVYRCVQYPGEFVVTFPAAYHSQFDCGFNCSETVNFAPFDWLPYGQHVVEMYCEQGRKTLISHDKLLLGAAMDAVREKWKCELLKKNASVCGKDGILTKALKLRVKQEAIRREYLCRGLQLQKMEDDFDSDKRDCSTCLYDLHFSAIGCSCSPGRYACLLHAKNLCPCHWTARYLLYRYEISELNLLVQALEGRLDAIYHWGKKKLDLRVSSDDLRELRNRGEVSASVKSAATSTLSQLLGPKELVTGQVCRKEEEEKYDLQKISAGSGSRQDTQECIDPNFGNITSKKGKFDKSQTVQNEVIVLTDDED >CDP08999 pep chromosome:AUK_PRJEB4211_v1:1:30989911:30996597:1 gene:GSCOC_T00028162001 transcript:CDP08999 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAEGDNSPYLYSTNNFVGRQIWEFDPNGGSPEEREEVENARVQFWNNRYQVKPSADLLWRMQFLREKNFKQSIPQVKVEDGQEITHEVATVALRRAVHFFSALQASDGHWPAENAGPLFFLPPLVMCLYITGHLNSIFPAEHQKEILRYIYCHQNEDGGWGLHIEGHSTMFCTALSYICMRILGEGPDGGLNNACSRARKWILDHGSATAMPSWGKTWLAILGLFDWSGTNPMPPEFWILPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFVGPITPLILQLREELYAEPYDQIDWRKVRHVCAKEDLYYPHPLIQDLIWDSLYICAEPLLTHWPFNKLRERALQTTMKHIHYEDENSRYITIGCVEKVLCMLACWVEDPNGDYFKKHLARIPDYIWVAEDGMKMQSFGSQEWDTAFALQALLASDLTEEIEETLRKGHDFVKKSQVTDNPSGDFRSMHRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLFSTMPPEIVGEKLEPERLYDAVNILLYLQSKNGGLSAWEPAGAQKWLELLNPTEFFADIVVEHEYVECTSSAIHALILFKKLYPGHRKKEIESFITNASKYLEDVQMPDGSWYGNWGVCFTYGTWFALGGLAAAGKTYDNCQAVRKAVEFLLKSQRNDGGWGESYRSCPEKKYVPLEGEQSNLVHTAWAMMGLIHSGQADRDPRPLHRAAKLLINSQMENGDFPQQEITGVFMKNCMLHYAAYRNIYPLWALAEYRKRVQLPTN >CDP03627 pep chromosome:AUK_PRJEB4211_v1:1:36304618:36309143:-1 gene:GSCOC_T00016051001 transcript:CDP03627 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKAKAKPNVFANGTSSPPLESVEDEQTSPSRLPSKKKASTSSLPSKKKLSKKASPSSLPLKKNSSKKALSNMARTVRRSGRLKNLQSAKPNQEVIVEEIDLAGSESEEESLDQQSNLEPFLHQENSEPIVQQLNAEHIVQEVNTEAVVQEANSEPLVQPFNSQPISNQHNLEEKIDFLVQSVEEFKSQVVQGKKRPFPSETQHMELNYRSLYIESQKKVEALTEENHQLSKKLEFACGKVEAYEKMKDVFGNLKDMVLVSQLEKATEAAINFSAQAAVSKLNLPETTINGAAVPADERRTTFGATHKKYVRKKK >CDP13101 pep chromosome:AUK_PRJEB4211_v1:1:2424524:2434732:1 gene:GSCOC_T00037893001 transcript:CDP13101 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) UniProtKB/Swiss-Prot;Acc:Q9C895] MEDKEPEEPQKKRPRSDSDNSKRIDAALLQYQNQRLVQQLDLQKQELLDLEVKMKELRDKQASYDSVLITINRLWNQLDGELILLGVRAGAGKTALHSSDQVDRLQGPMPSCRAEDIFLCRLLQTNAIESTGGDGANGYIKEGLARRQASTRALMKLLEDAIEAQRAKIENISQAFHGKSSAEDFVLILGKIDALMKEEVDNLHKVMDILHLKHKEYADEIQTCIENNSVDQSEIKRLNGELEESMAELEESRRKLVNLRMQKEGPSAVQSPISVVANGTASPEKPADRAKRLRGLKDSIEETKVLAEDRLAEVQEMQEDNLSLLKQLQDLQNELKDDKCVYSSRPYTLLNDQLQHLNSEADRYKVLAESVQAERSLIIRREKELSVKAESAEAARKGVENLEAKIEELENQLHKSIVEKNELEVKMEEALQDTGRKDVKEEFQIMASALSKEMGMMETQLNRWKETAEESLSLHEEVQSLKALVDSKTTEEKDLADRCAHQMGVIKSLKAYIEKMQKEKEELQIFVDMLGQQIYDNRDVKEIKESEQRAHAQAKILRNTLDEHGLELRVKAAKEAEAACEERLAAAEAEKASLRDEVDACDRDVLKLQEAIKLKEAEAEAYISEIETIGQAYEDMQMQNQRLLQQVTERDDYNIKLVSESVNAKQAHNLLLSEKQALSKQLHRANAMLDSLKLRITQCEEQVKVHLMEASRYIEEDRQLAADLETSKRELVDAEKEVKWLKSAVASSEKENEQIERKKAELLLELESEREARKKIQEEIATWNKSIDEMTSENEEAEILRLQDEIKECKAILKCGVCFDRPKEVLIAKCYHLFCNPCIQRNLEIRHRKCPACGMAFGQSDVKFVKI >CDP03774 pep chromosome:AUK_PRJEB4211_v1:1:35171227:35175859:-1 gene:GSCOC_T00016254001 transcript:CDP03774 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKREEAGPSSASGVVKDYPKGQLEINDKAENLAVVSSDDEEEQHQNHDEDDDADGGKIANFVPGPLISLKDQIEKDQEDESLRKWKEKLLGCLESDLNGRVEPEVKFHSIGILSSDFGEINTPLPVCGDQSKPVLFTLKEGSEYRLKLTFSVLHNIVSGLAYTNTVWKGGVEVDRKNGMLGTFAPQREPYIHTLEEETTPSGVLARGSYTAKLRFEDDDRRCHMELDYVFEIRKSRR >CDP16767 pep chromosome:AUK_PRJEB4211_v1:1:3338415:3350284:1 gene:GSCOC_T00019259001 transcript:CDP16767 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGNSRDLWGNDTGNDGSGGGNMAAPLPPGVPPPSMPPGTSGGAGPSGGVPPPVPPPASYTVLPSEAQLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKQYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVYTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLGVYHTPMVMYIKTEDPDLPAFYYDPLIHPITSANKDRWEKKNYEDEGDDDFSLPEGVEPFLRSTPLYTDTTAAGMSLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNQLHHRPPKAQKKKHLFRSLAATKFFQTTELDWAEAGICKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGTVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKSRTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAVYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKLEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTNVETRHPIRLYSRYIDKVHILFQFTQEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSIYSKDNPNLLFSMCGFEVRILPKIRMSQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATIHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQESQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADIILFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATVPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHVWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEVEKHAKEASQLTAVTTKTTNVHGDELIVTTTSPYEQNPYISKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYMYGISPPDNPQVKEIRCIAMPPQWATHQQVHLPSALPEHDFLDDLEPLGWMHTQPNELPQLSPQDLTNHAQILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRTNKDTGSNPKGYLPTHYEKVQMLLSDRFLGFYMIPDNGPWNYNFMGVKHTASMKYGVKLGTPKDYYHEDHRPTHFLEFSNLEEGETTEGDREDAFT >CDP17349 pep chromosome:AUK_PRJEB4211_v1:1:4799257:4800468:-1 gene:GSCOC_T00009684001 transcript:CDP17349 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCRKKIYRGHSRRTTKMVCASAEAIESCDEILIQILKHLPAKSLFRFKLVSKRWSSLISDPFLKSIWKPRVSGLFIQISTKKTCKTKHEYIKVEAKLPNSLQDNLSFLNSDGNSICGTEILSSCNGLFLILCKKGSKLFYNHRYYICNPTTKKYVAVPCPERRSAWKEVNMFLAYDPSESIAYKLVAISSIRRSQIFVNMYSSESKSWKGLEGVALGDVCFNLGVYFDGSILWPALRSEESQCFNVSSEVFQRFAMPPLQKSNQQRKLKHFGKTNEGHLCLILGSSSDANMLFVHQMKRGQFNWSVKYHVDLTSLASAFHVLHIASKEDEVSSMILLVKGRAMSHEFKDKTYKELQKIALPDQKCLVVHQLIENPFCLLN >CDP17582 pep chromosome:AUK_PRJEB4211_v1:1:1299890:1308506:1 gene:GSCOC_T00011077001 transcript:CDP17582 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESTQMQQAQLAAILGQDPAPFETLISHLMSSSNEQRSQAESIFNLIKQTDPNSLALKLAQLLSSSHHSIIKKLCDTISELASSILPDNEWPEILPFMFQCVTSSDAKLQESAFLIFSQLAQYIGDTLVPYIKDLHAVFLQVLNHSASSDVRIAALSAVINFIQCLNSASDRDRFQDLLPAMMRTLTEALNGAQEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAETLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMKMLLDVEDDPAWHTADAENEDAGETSNYSVGQECLDRLSIALGGNTIVPVASEQLPVYLAAPEWQKHHAALIALAQIAEGCSKVMIKNLGDVLNMVLNSFQDPHPRVRWAAINAIGQLSTDLGPDLQVQYHQRVLPALAAAMDDFHNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILVNATDKSNRMLRAKAMECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNEIDESDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFYPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGIASGRNETYVKQLSDYIVPALVEALHKEPDTEICANMLDALNECLQISGPLLDENQVRSIVEEIKLVITASSSRKRERAERAKAEDFDAEEGELLKEENEQEEEVFDQIGEILGTMIKTFKASFLPFFDELSSYLMPMWGKDKTPEERRIAICIFDDVAEQCREAALKYYDTHLPFLLEACNDDNSDVRQAAVYGLGVCAEFGGTVFKPLVGEALSRLNVVIRHPDAHQPENVMAYDNAVSALGKICQFHRDSIDSAQVVPAWLSCLPIKGDLIEAKVVHEQLCSMVERQGI >CDP16803 pep chromosome:AUK_PRJEB4211_v1:1:4128009:4129449:-1 gene:GSCOC_T00019319001 transcript:CDP16803 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQQVLHMKGGEDHASYAKNSSCQRLASMKVSSALKQSIQEFCRVNLPAAAGCINIADLGCASGPNTFLVIQDIIENINREFRESNIYLELPSIQVFLNDLVSNEFNSIFRSLPNFYQRLGDYYGRSPGSCFIAAMPGSFHGRLFPDNSMHFVYSSYSLHWLSQVPSGLVSGDHRRFATEQRQHLHRKNKS >CDP06860 pep chromosome:AUK_PRJEB4211_v1:1:27241258:27244154:1 gene:GSCOC_T00023891001 transcript:CDP06860 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKQAKSVKPQKEEVLLKIVPPIDQAYARWLFRDLERIHGFAPRNPRAIEPPEHYIEYMRLNGWLDVDLDDPDLAHLFK >CDP03659 pep chromosome:AUK_PRJEB4211_v1:1:36123535:36124264:-1 gene:GSCOC_T00016095001 transcript:CDP03659 gene_biotype:protein_coding transcript_biotype:protein_coding MFELRLVQGSLLKKVLDAIKDLVNNANFDCSSSGFSLQAMDSSQVALVALLLRSEGFEHYRCDRNFSMGMNLNNMAKMLKCARNDGIITIKGDDGSNTVTFMFESPTQDKIANFEMKLMDIDSEHLGIPEAEYQAIVRMPSAEFACKDLSNIGDTVVISVTKEGVNFSTRGDIRYLNSFTKASPLAEMGYIRFYLTPKIEENEEKTKP >CDP09713 pep chromosome:AUK_PRJEB4211_v1:1:25153066:25156089:1 gene:GSCOC_T00030156001 transcript:CDP09713 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGHKFFELPSEEKRKYLKENSPTPTVQLKTSFSLAEKVLEWKDFLMHWYFPDDESVNLWPAVSKDQVLEYINWAKPVIRKLLEVLLKGLNVKEFNESKESQLMGALIVDLMHYPLCPNPELAAGVGRHADISTITILLQDDVGGLYVQGTRADQWIHVTPVKGALVINIGDILQIVSNDRYKSIEHRVIVNKSRNRVSVPIFLNPKDDAVVGPFPELLESGEKPIYKNVVFSDYFNFFFSKGPEGKKTLEFAKV >CDP14086 pep chromosome:AUK_PRJEB4211_v1:1:11083890:11088235:1 gene:GSCOC_T00039283001 transcript:CDP14086 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLQYGRHILRRGSDQILPNPLFFAAQGVRYRKLEVILTTSMDKLGKAGETVKVKPGFFRNHLMPKLLAVPNIDKFAYLISEQRKIYQPKEVEEVKMVVPKTEEDRMKEYHTAARRLDSAKLVLRKLTTKDNEVREPVTKDEIVAEVARQLSVHIEPENLHLPTPLAAFGEFEVPLRLPRSIPLPDGKLQWALKLKIRRK >CDP08473 pep chromosome:AUK_PRJEB4211_v1:1:21792958:21793711:1 gene:GSCOC_T00027385001 transcript:CDP08473 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVSQTIFLYKEVNQFLSPYLPVLCSLPNIHFFKVVLSSADQGIRMPTTFMRDYRESLEQVVLLKVSSGASWPIELIQIEFGTWLDKGWKDFVEYYSIRECHFLVFKYSGGSQFQVIIFNPSASEIEYPLEAPEDHSKHDH >CDP08953 pep chromosome:AUK_PRJEB4211_v1:1:30486941:30491940:-1 gene:GSCOC_T00028098001 transcript:CDP08953 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MANKLISEMGLPKSIANIFAARNIHTAKDALSLTEFELTELLDVGLAEVTTAVSSISEISCPPYQTALSLLEQRMHNEHLAGHLPTRLKGLDAALCGGIPFGVITELVGPAGIGKTQFCLKLSLLASLPSSHGGLDGHVIYVDTESKFSSRRMIEIGVNSFPEIFQIEGMAQQMAGRILVLQPTTPTEFSECLQKIKDSLLQHHMKLLVIDSMAALVSGRDGQGQCRQHPLGWHMTFIKSLAEFSRIPVVVTNQVRSHSGDDPFHYSFQDSRQNLDFPTTFDSHLVAALGIPWAHAVSIRLVLESKSGQRFIKLAKSSMSPPVAFTFAISASGISLLHDDGIEMTGPEINGICGQGVI >CDP09096 pep chromosome:AUK_PRJEB4211_v1:1:31666357:31670713:1 gene:GSCOC_T00028293001 transcript:CDP09096 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFAPSLTPFRNFLAVKPLLFSEAQSKFLVPDCSKFIVIKQPGFSHTLSCRNYYCYGERRNKRCASVRASRRESPYEVLGVSPSATPNDIKRAYRKLALKYHPDVNKEANAQERFMRIKHAYNTLLNKSDRRFDKGNRGSESSYSTAGRNDNWTVNNDEEFYGFGNFLRDVQISIEDFFKDLQEEFRNWEAGADSQGKPKSLWEELAEIGEEFVEFLEKELNISDVEAEQTKGNQQQWGNASYGSKETGNVNQNGADNSGIEENINDIEAALAQLKKELGL >CDP17050 pep chromosome:AUK_PRJEB4211_v1:1:37914734:37916959:-1 gene:GSCOC_T00004957001 transcript:CDP17050 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMFAVKVEEGREGREGLPSVGPVYRNLLAHHHFPPIDPHLSTAWEIFRASAEKYPGNRMLGWRPFLDHKWGPYVWKTYEEVYGETLCAGSALRAQGIQPGARVGIYGSNCPQWIVAMEACSSQSLICVPLYDTLGPGAVDFIIDHADIDFVFVQDKKVKELLRCKHARRLKLIVCFTSMTEEEKDGAASIGLKSYSWNDFVRMASLSLL >CDP17076 pep chromosome:AUK_PRJEB4211_v1:1:37718372:37734524:-1 gene:GSCOC_T00004987001 transcript:CDP17076 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSFLFLHKPKCPSWSDVPSTKMAPLNFVKKVHAVSRLEEQEGSDNHLAAANVDVDIREVYFLIMRFLSTGPCQKTFTQILDELLEHELLPRRYHAWYSRSGAQSGHENDDGVSFPLNYDNLVKRFPHIEDDHLVKLLRQLMLSTSTPLPCIVGRTVPSASDVPTLLGTGSFSLLCSDRNSVSKQVKHVASYLRWPHMLADQVRGLSLREIGGGFSKHHRAPSVRFASYAVAKPSMMVQKMQNIKKLRGHRDAVYCAIFDRSGRYVITGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNILVASASNDYSIRVWRLPDGFPISVLQGHTGAVTAIAFSPRPSSVYQLLSSSDDGTCRIWDARSSDCSPRVYLPTPLEVVSGKTSSLPLANVPSSSNVSQCHQILCCAYNANGTVFVTGSSDTHARVWSACKSNSDDPEQPSHEIDLLAGHENDVNYVQFSSCAVASRSSASDFSTEENIPKFKNSWFSHDNIVTCSRDGSAIIWTPKSRRSQGKVGRWIRAYHLKVPPPPMPPQPPRGGPRQRFLPTPRGVNMIVWSLDNRFVLAAIMDNRICVWNASDGSLVHSLTGHTASTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGIPIWTYEIGRFKLVDGKFSQDGTSIVLSDDVGQIYLLNTGQGEAQKDAKYDQFFLGDYRPLVQDTHGNTLDQETQVVLYNRNIQDPLCDSSMIPYPEPYQSAYQRRRLGALNIEWRPSSSKLAVGPDIGLGQEYQVLPLADLDLVVEPVPEFADMMYLEPENDVIHNETDSEYYITDEYTSEDEEEHSSDNSSSDPECSEENTVGRSQKDGLRRSIRKKSLSEVEPMSTSGRHVKKRILHGNDVPLSKSKRTKRSRSGRKYTSKRKSAEVKLTRSQRLAARNAINGFSHISEISTDGEEDESPEGDSSGNNSSFLSTNIKTQQPVDYLPNEKRYLAGDQGSLNESETAVTCPPTNVGNKKKLVLKLTLNNSKKSLPPEHIRSQSADHTVTASYPSKDSGSSYTGVTKLLEGKELENIEEDLTTIAGCEQTKDDCSNFRAGLEIVNHKPLSKAYNEKQEREASVSEDADGVKVIDQNLGKGGENISDTYPCRNCDLVDGKNHSGGLNEIKKPKPTILKIKSKKISGHSFPMEQNNLPSMPEDYKTALYEGTCASIYKGDEFPDIATDEMRRKRSLRLKATTRETGVLKQKSIEKPSGGALNHLPSRGMPMSKQTSNHGSARNKGEGYNNEVRSALHALQQKSNWLLLSEQEEGYRYIPQLGDEVMYLRQGHQEYMKSVNSLEQPPWTRYGRNIRSVEVCLVEELDYSTIAGSGESGCRITLKFIDPSSEVNEHKFKLNLPELIDFPDFVVERTWYEASMNRNWTCRDKCLVWWRDESEEGGSWWDGRIISIKDKSSEFPDSPWERYVIKYKSASEDLHLHCPWEMHDPSRQCEHPCIDPEVRNKMLASVNRLLQSASRNQDQYGILKLDEVSQKDDFINKFPVPLSPDVIKLRLENNYYRTLDSLKHDIRVMLTNGQSYFARSKELSAKMCRLSDWFHKKFLKI >CDP09261 pep chromosome:AUK_PRJEB4211_v1:1:32913966:32919789:1 gene:GSCOC_T00028514001 transcript:CDP09261 gene_biotype:protein_coding transcript_biotype:protein_coding MISILACCQFGLISVRKEKNVLLLLVIVMVCMFFGFSLILTCRFDMKIMELYIWICIMQFKLFRYVSSILPPGNEDLKGDEVETIIKFKNALGIDDPDAAAMHMQIGRRIFRQRLEAGDRDADLEQRRAFQKLIYVSTLVFEEASSFLLPWKRVFKVTDAQVELAVRDNAQRLYGFKVKSIGQDFNVDQLVALREAQLAYRLSDELAEDMFKELTRKLVEENISTALNILKSRTRASEATRVVEELNKVLKFNNLLISLKNHPEANRFARGVGPVSLLGGEYDSDKKIDDLKLLYRAYVRDSLSSGRMEEDKLTALNQLRNIFGLGMREAESIKLEVTSKVYHRQLAQAVSSGDLAAADSKAAYLQNLCEELQFDAEKAVEIHEEIYRQKLQQAVADGALSDEDVKVLEKLQIMFCIPRETVEAAHADICGSLFEKVVKEAIAAGVDGYDAEIKKAVRKAAFGLRLTREVAMNIASKAVRKIFISYIQRARAAGSRTESAKELKKMIAFNSLVVTELVADIKGESSDTPPAEPPVEKEEKVVDEGEGEDEAWESLQSLRKVRPGKESLPKKGQTEINLKDDLPERDRTDLYKTYLLYCITGEVYIPLGTQFTTKKGASEFALLNQLGGILGLTSKEIVEVHRSLAEQAFRQKAEVILADGQLTKARIEQLNELQKDVGLPPQYAQKIIKGITTTKMAAALETAVARGGLA >CDP09725 pep chromosome:AUK_PRJEB4211_v1:1:25218456:25221513:-1 gene:GSCOC_T00030170001 transcript:CDP09725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase catalytic subunit [Source:Projected from Arabidopsis thaliana (AT2G43760) UniProtKB/TrEMBL;Acc:A0A178VNE3] MAAEDRNLIEILEENHPIDISKYISFVHSPQCGAIATFAGTTRDNFDGKTVLELRYEAYATMAIRCIKSICSSARSKWNVHSIAAAHRLGTVPVGETSVFVAISSAHRADALDACKFLIDEIKASVPIWKKEVYTNGEVWKENSEFLQRLELGKDEKAENRVYRSGKLEVEEHEKWGCCGEKVKVNDEATDSCRNEEGYEQTEPFSSLSKVQKD >CDP14423 pep chromosome:AUK_PRJEB4211_v1:1:10596290:10602053:1 gene:GSCOC_T00040851001 transcript:CDP14423 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLHHQSSVATHESFQSSSSPSPSPSSFPPLPLSCPSSSFPSSRTHLPEPVWPTPFLLTRELSYVPVCSELQVLQAKPSCKHCGAMRLEFEPPSFCCQKGKIRLRNVELSEELYELYTSNSKEAEEFRKHIRAYNSIFSFTSFGARLDKELASSKQGVYTFIVQGQIYHHLPALIPEANAPRYLQLYFYDTENELNNRLNILKDVNLNERIVKKLMEILEENPFARFLRKLKDYPSLDNLQVCIKKDVSLDQRVYNSPTADQVAAIWIESNDPSENVQRDIIVHAHSGAPYRVKHYYGCYDPLQYPILFPGGEIGWHQNIKKTNDVVKGTIQFYATELSDDTVGKVSCRQYYCYKLQENLFEGQTTQDRPDLVARVFRAKLQDLKDQLFKKEIFGKAAAQVHVIEFQKRGLPHAHCRAPFFDDYKITSPDQFDKFVCAELPDKDRYPHLYELVVQHMIHGPCGALKKNNACMVNGKCKYRYPRSYYLLQCFPLLIQELITFIELIKNPRYNFWSRTFTRCAPEIENLHGQGGKIFILASFFLFNFSNG >CDP09071 pep chromosome:AUK_PRJEB4211_v1:1:31495300:31501270:1 gene:GSCOC_T00028261001 transcript:CDP09071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein-related protein 3C [Source:Projected from Arabidopsis thaliana (AT5G59420) UniProtKB/Swiss-Prot;Acc:Q93Y40] MGSPDKDQSKGFFATMTSGLSMFGNAMHRSINGLLGYEGLEVINPEGGKEDAEEEAHKGRWREEERDSYWKMMQKYIGMDVTSMVTLPVLIFEPMTMLQKMAELMEYPHLLDKADECEDPYMRLVYATSWAISVYYAYQRTWKPFNPILGETYEMVNHGGITFISEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSLDVYPVGRTRVVLKKDGVVLDLVPPPTKVNNLIFGRTWVDSPGEMIMTNLTTGDKAVLYFQPCGWFGAGRYEVDGYVYNAAEEPKILMTGKWNEGMSYQPCDMEGEPLPGTELKEVWRVAPAPENDKFQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDLIKAGTEKSNLEERQRAEKRSREAKGHQFAPRWFDVTDEVTPTPWGDLEIYRYNGKYTDHRAAIDSSDSIDGVDFQSMEFNPWQYGNLSKE >CDP16779 pep chromosome:AUK_PRJEB4211_v1:1:3660258:3661577:-1 gene:GSCOC_T00019283001 transcript:CDP16779 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFLFVSCTCLLLLYVFNYNPVLFTSFFEVFSASKIDLELKFVSKNHVSSYGCFSCLWLLEQFGSIFYYHSLQEFCSNYLNMYGTLKRAIYSEAPSSRLALLIPDGISQHISSSILVGMEVFLGITTLMRSPISSTPGDNGGYGHRYKVLNLLVVPATQDCDLNSCTIGNSFIRAGLLAKLLAIEEVLQKLLKYVNPS >CDP03974 pep chromosome:AUK_PRJEB4211_v1:1:33765747:33770324:-1 gene:GSCOC_T00016492001 transcript:CDP03974 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLLLYGKFCNIVLCYVVLVQPCKLTVNKFKIIWWNMSSDNSLVIQLYWGGKIIYAGGSMFYDPPMPKKVMFLRERVGFNELIDKVYSIMGLDQNRHKISLIFRNCVQHGVFGAMPLVDDNGVDGMYFLKAKSGHATEIYVEVEELLGLRQCDNQTLPIASPHRDVQQAQRGRKRGSEHRIEKDCPSSSHMPTLETRDSNTNAATVLDAATNTDIELVDVDVDSEPEPEADDFGDNDHECDTSGQAGNSGEGATTDDHASPSVAPHLRSRSTLEPEPEADDFGDNDHEYDTSGQAGNSGEGATTHEHAGPSVAPHLRSRSTPPPHPGPIDPSVLYLQTHHRSTAVFHGAGDVLDVRRCDKKFFDIFRHSDPRVSHYIDIAGFGGVRRAGYLQVNHGLITALVERWRQETHTFHLPVMGEATVTLQDVEVLWGLPVDGLPVTLIHVKRSPLQRKHLVQEVLGFWPEDNCFREGRLKMSSMYARLGTQLPPDAPDEMVRQYARMCILILLGGLLFADSCGNVVSLNWLDYVRDLEAMSKYSWGSAVLACLYSRMCHASHVGQNTTGGPYLLLQLWAWERIPTIRPDVSLQRLTGDYPRGGRWSAERTGVDPPSQDVSYYREQLSLLRMDQFIWMPYPDDVLASLPEYCRRGARIWRARVPLIFWHIVEFYFPDRVLRQFGMKQNIPQSVDTDRGGLHQLGLAGFAGRNWAEFHSVWIGHWNDRANAEVSGESTHTFSPSNDYLSWYHRHTVLYITPPLRKHPQSGHVHYEASGQFEYLMDSMHRIAHQSLDGLQHDDPTHSFHPSLVMIADEAFGSMQYLRRFDRMIFEPTERQDSGASMSHNIPHQRSVGRLPSQTRASSRGSRRHEHGLRDEVK >CDP15597 pep chromosome:AUK_PRJEB4211_v1:1:26415667:26453362:1 gene:GSCOC_T00015500001 transcript:CDP15597 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLKLFCLLEKIKLVNAEIEKKYPVASRSKFPTTNALQLIDLLLEKLKELESCEKNLEQHIKNEKHQLQTMEDDLVSLRSLLEENGEQHNHQEDPHALRSHVIEVAYKAEFVIESLLVGDISFYSLQLFDDIAREIKLVKSLAQEATKIPRHLTSQVRSATSGASYQVSSSGSIPTVDKAEVVLQDVEQAMIDRLIKGPKQLDIISIVGVAGLGKTFLAQRVYRGPRVTSHFHIQAWCCISQTYCKRDLLLQILACIDQKTQFSEKDEYQLALELWQRLLGQRFLIVLDDVWDIEAWNALKSSFPEKNNGSRILLTSRLTDIIGTPYHLRTLDETESCELLQKKLAAIREGGYSEEQNLLGWKIAKTCNGMPLSIAIISGILATLDQTGWEEVAKMVSLTAMVGATEQCSSILELSYEHLPDHLKQCILYFGAFREDSDICVRRLTWLWIAEGFVQKSESECPDKIAEGYIRALINRSLVMVGQRRYTGEVKTCRIHDLLHVFCVKKAKKENFLQLVRGYDEDLTFDEPYNPRRLSIQAQPKHFIKSRIICPQIRSLLYSSRDFGVRQLWCNFGFIFLLKLLKVLDLENISLGSDFPRELWSLVQLRYLAVLGWLKNGIPFSLENLSNLETFLVRTKDDVGLSLLQDTLLKMKKLRHLHVYGALIDIRLANDNLESSSILNNLDTFSTMKLYLGQHMEKMIRKFPNIRQLKCCLVESTEYTIDSNRVMVMNFLSHLESLKLNLGKVTMHCVELHLPSSLKKLTLEEFSWCIISTIGKLTNLQVLTLNRQADGEEKQDKEEFFPKLKFLKLKSLKIVRWKGLGHCFPSLEKLVLDDCKKLQKLPSRLGESPLKLIEVHRCSYLDRKSFEDIEEQQKDYGNCSCPLVLTNFYQKKCKLSVMEEVLPGGASFLQNTQTILHKLQLLVDEGVFFGEEDHFDKLKGELEEVNKYFLAAWKLEISFKSLVDFVCDNRERIYSSSELDQASSSFDSIAAASIFGDFLKNFRQQTRLLDDSVHQGWQGFYSLLLKDGLPSTVADRESIVNFGQQIRDFYKRMWDSMVLLFSESRTRYLQQRWDNDWTGGWEEMSYWKSDVIDLFTSFQQNVEDILCLGRRCDSRFAEVLKPALIYSTLPQGHPIKRFPFVKHFSSGWVNRLKFIKRFPFVKHFSSGWVNRGNLSYDWVNRLEYIERFPFAALFAARLCCWVLRDGIHFVWESRSLTPSTEAMTCIDSIIDFCKDDHDLKELKVELSLVKIFFLCARKLCYSSTRTEIFRDSVRQNCQQFCSFLAQLEHGLPPSELAGAISNLRRILKSYRQKFSELYVEMPDFLFKRYGYCSEGRNSYVDSLLKSSSGLRDEFMELFNLLLENLEDIVIWVNACDSSLAKLLEPLQEKLVFLRTFILFASLQGKPKRKLLEHCAVVALSAAHLCYICWSSKYDYQELNWMDSKISELTDKIKLVDHRAHHTYICVLESGTTSPTLSTEKDVIIVGEFVESLLGHLWELLLNCPAYFAVSLKHQLRMLFEGLQFLRNILNKEKYDGIEGKIKDLIRAVVNDAGIVILSLYQNDLREASAEEIDVKLFCLLEKIKPIKAEVEEKYPIPPKFGFPTTDALGLIDLVQEKLKELASCKVDPIFSFAKDRVHFLRSYLKNAMEEHNQDAKHQAQTIQEDLSFLKSFLENNLEQHTEKEKLHIQTMQDGLVFLRSFLENNRDQRNHLAELQALSSHVVEVAYKAVFVIDSLIVGDLSYYSLMLFDDVTAETKLLKTKTWEIDSIEAQKPADSLRDVPSQGKKWSGVFHQVPSQGAISTINKVAICLKDQEQAIIDQLIGGSLQLDIISIVGMPGLGKTFLAERVYRNPSITSHFHILAWCCISQVYCKKDLLLGILACIDPKAQYSEFDEDDLAHKLCNHLRKRKYLIVLDDIWDIEAWNALKISFPDHTNGSRILLTSRHHGITGKPHHLRPLHEEESWELLQKKLSVTREEGFPPELTVLGRQIAKNCNGLPLSIIIISGILETLDQGRWGEVAEKLDSNSKIGATEQCKSILELSYMHLPDDLKPCLLYFSAFREDQEISVKRLMWLWIAEGFVRKKESERLEKSAEGYLIALINRSLVMEGQKRSIGGVKTCHIHDLLHVFCLGKAKDQNFLHLIQGCDGFLNFDEPRYLHRLSIHFQPNHFVKSKIFCPHVRSLLHSSRGIGSRVVSYNLSFVCHLKLLQVLDLEQINLGFNFPRELGLLIQLRYLAVSGWIKYIPPSLENLSNLETFRVTTYYSDFVLSSLVDIFWKLQKLRHFQVRGALIDLSLGKENPESSCMSYNLHTFSTPKLYLGQSMEKMIMKFPNIRRLKCCLLQSEESCSESTRIVAMDSLSQLESLKLLLGKVTANCIEFHLPLNLKKLTLEDFSWSIICTIRKLPNLEVLKLIRQADGEKEWDMGDMEEEEFFPKLKFLKLESLKVVRWMGTGEHFPSLERLILEGCVQLEELPSCLQATLTLQLIEVHGCLYLAGKKVQGIKKQQDDYREEDLKIVISDEIEESSSCSDRDSDGWLPEEIDSS >CDP03925 pep chromosome:AUK_PRJEB4211_v1:1:34119848:34122005:-1 gene:GSCOC_T00016432001 transcript:CDP03925 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNGGHRLHVVMFPFFAFGHISPFVQLSKKLSLHGVKISFFSALGNVNRIQSMLHSVSAPTTTQVIPLTLPPVEGLPPGLESTADLSPAQSELLKLALDLMQPQISSLLSQLKPHFVLFDFAQEWLPPLASQLGIKTVFYSVFVALSTSYLTIPARLPEAEPTRPPAIEDLRKPPPGFPETSIKSMKTFEARDFLYMFKSFHGGASVYDRVLRGLNGCDIILAKTCREMEDPYVDHVTQQFKKPVLLVGPVVPEPRSEPLEGRWASWLGQFEPKSVIYCSFGSETFLSDEQVKELLLGLDLTGLPFFVVLNFPANTDISAELKRALPEGFLEKVKHKAVIHAGWVQQQQILAHQSVGCYVFHAGFSSVVEAIVNDCQLVMLPVRGDQLLNAKLVSGDLKAGVEVNRRDEDGYFGKDDIKDAVGRVMADIDKEPAKSISGNHKKWKEFLQNSEIQTKFVSDLVKEMEAVAGLRTV >CDP09702 pep chromosome:AUK_PRJEB4211_v1:1:24762575:24770559:-1 gene:GSCOC_T00030138001 transcript:CDP09702 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQPSMEAFHPLPLPRKLVIRHRFAGHLPMQFKSFSKRQSTEQIEQAKAAEEEEEKVYEFERLFSNLNQATLKRDPGSLSSAIFLVAGTTVGAGILAIPAVTQEAGFLASAIVCILGWIFMVVTGLLIAEVNVKTMCELGSGGVSLVSMAMRTLGTAGVQIACWSYMFIHYALLVAYVARSGVCVDGQHCDCRWETATLFSLLFGGICFFGSQRLIGAVNGALVFGIIASFTALVVVASGDLHWEALLKANYEAAPQSIPIIALSFVYQNVVPVLCTNLEGNMSRVRTAIVLGTAIPLALFLIWNAVILGTTPALEMGSDKIFDPLQQLRSTNGVVGPIVDIFSLLAIATSYIGFVLGLTDFLADLLKLPSGQNSPLPYILTLVPPLILSLLDPEIFFKALDFAGTYGVLVLFGILPAAMSWSDRYSKSSESPKLPPLVPGGKLTLSLVIGSAGYVILSELIENLGHQL >CDP17678 pep chromosome:AUK_PRJEB4211_v1:1:5469896:5471229:-1 gene:GSCOC_T00013223001 transcript:CDP17678 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVLCTLNIRHDSAMVLTLLKHSGAKVIFVDYHIAKGALGILLKTSSKMPKLVVIPDQGNSSSRVSDMYFNKDNLEYESFLASGRPDFEVIRPHDEWDPISLNYTSGTTSSPKGVVYNHRGAYLNSLAAVLLNEMPSMPVCLSTAPMFLTWAAVAQGGTNVCLRNVTAKGIFECIAKHQVRHMGGAPTVLNMIINAPSVDRRLLPGKVIVMASAASPPPHVLFKMEELEQFALGNQSGIHYHVMHRPRSRLVKDEIIKYCRDRLSHYMAPRTVVFEELPKTSTGKIQKIVLRQKAKDMGSLSRARRL >CDP17689 pep chromosome:AUK_PRJEB4211_v1:1:5693642:5694514:1 gene:GSCOC_T00013238001 transcript:CDP17689 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVLNPKLSIHSRSISLPSISHPLIVTAEEHLERLRSSEAASSTSHSLACQKLDGLKNLYECLDDVLRLPLSQQALSSEKLGKWEEEVLDGSLRLLEICGAVRDIYSRMKEILQELESSLRTKRSEDLANEASSYMICKKNLNKMIRKCYKEFKKSGKDGNVEVVNRDSENAPLVNLVKEVQAISLPVLESVLCFLSGPKAGSQPKGWSLVSNLLQQKRASRKGDSDIALIEQIEIKLHLLNNNKSKKDVLQKLEAVDSSIAELAEILEIVFRLLLKTRVSLLNILNH >CDP03913 pep chromosome:AUK_PRJEB4211_v1:1:34199243:34202294:1 gene:GSCOC_T00016417001 transcript:CDP03913 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRSSPVNKLFAWVRRQSMKVKIFLAVTSVICPLVALKLLIKDHNHFFIASEAVHFLGIMVLIYKLTTQKTCSGLSLKSQELTAIFLAVRLCCSFFMEGDIHTVLDFATLVSTLWVIYMIRFKLKSTYIAELDNMPIYYLVVPCAILAVISCPYTYHALIYRILWAFCVYLESVAVLPQLRMMQNAKMIEPFTAHYVFALGVARFLSCANWIIQVYDTSGKYLFVLGGGYLWVAMVLLSEIVQTFILADFCYYYVKSVIMNGQLLVTMPLPV >CDP09221 pep chromosome:AUK_PRJEB4211_v1:1:32570964:32574006:-1 gene:GSCOC_T00028455001 transcript:CDP09221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transition metal ion transporter, Ion transpor [Source: Projected from Oryza sativa (Os05g0164800)] MAVCAAESGRASSCRDGKAAAYLKLISIVVIFLTSAIGISLPVFLARLFQGKPAYDKAVLIIKCFAAGVILSTSLVHVLPDAFDALADCQVASRHPWKDFPFSGLVTLIGALTALFVDLTASSHVEGHQGHGHGGELELSKSAEYTPIGMSGEELERKKSSVEEVGGEDGGRDVEAQAEELLKLKQRLVSQVLEIGIIFHSVIIGVTMGMSQNKCTIRPLIAALSFHQIFEGMGLGGCIAQAGFSIGTTAYMCFMFAVTTPIGIVLGMIMFSVTGYDDTSPNALILEGLLGSLSSGILIYMGLVDLVALDFFHNKLMNSQLFLKRASFIALLLGSTSMSILALWA >CDP09644 pep chromosome:AUK_PRJEB4211_v1:1:23715496:23723700:1 gene:GSCOC_T00030044001 transcript:CDP09644 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAKALLSSFPSIFPHQSLISSRRTGVVRASRAKLSESNARKANLSARKKERILVPSYSKIGAGHISEFLNHPSGVEAILNTRSLQSFQSLDSNLYRCILPQIQLLNFEVAPVLDLLVRSTEEDCMVELLSCKFEGSELLERQNDRFSASMRNHITWETVDSKSFLDVDVKLNISLEIYTYPFILLPESTVEGPGNLMMQALVDRLVPLHVQQLLQDYDKWVRRQQKVLQ >CDP07006 pep chromosome:AUK_PRJEB4211_v1:1:28754640:28760960:1 gene:GSCOC_T00024085001 transcript:CDP07006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein argonaute 5 [Source:Projected from Arabidopsis thaliana (AT2G27880) UniProtKB/Swiss-Prot;Acc:Q9SJK3] MSGRGRGRGGGGPGGGGGGRGSYSPAFGRSRGRGRGRDGGRGSSSGYNAPPPQPAGASAAGSSSSASTISRELEQKLTFQASSSGSEVPVQRPGEQAVTEERKLPPASSKATRFPQRPGFGTVGQKCVVRANHFLVGVADRDLHHYDVSITPEVSSKKVCRDVMRELVKSHKLSHLGNRALAYDGKKNVYAAGPLPFSSKEFIIKLSDKGDGPKREREFKVSIKFASKADLHHLQQFLRSEQLDVPQETIQALDVVLRESPSNNYTVVGRSFFHPTLGGRGDLGDGVEYWKGFYQSLRPTQMGLSLNIDVSATAFYEPISVLDFIAKYLNIRDLTRLSDQDCIKVKKALTRLRVQHTYLGHVRRYRISGISDKPTNKLTFVCDGIDVTVVQYFAEKYKIVLRHAALPALQSGSAYLPMEVCTIVEGQKYSKKLNDRQVTALLRATCQRPREREQSIRDMVMRNNYNNDQLVHQEFGIQVRPELTSVEARVLPPPTLKYHATGGEPRVNPRVGQWNMINKKMVNGGKVDSWACVSFSRNLDASRFCEDLIRMCSSKGMVFASGPVLPIQSAHPGQIEKTLFDIHANATARLRDRAVKYLQLLIIILPDLSGSYPKIKRVCETELGIVSQCCQPRHVKRPNNQYLENVALKINVKVGGTNAVLEQAVQKKIPFLTDRPTIIFGADVTHPQPGEDSSPSIAAVVASMDWPEATKYRGLVSAQHHRVEIIQDLYNCYEDPKRGMVHGGMIRELLRAFWSSTRLKPERIIFYRDGVSEGQFNQVLLEEMDAIRKACASLQQDYLPRVTFVVVQKRHHTRLFPANHGDRNLTDRSGNILPGTVVETKICHPTEFDFYLCSHAGIQGTSRPTHYHVLFDENGFTADALQTLTNNLCYTYARCTKSVSIVPPAYYAHLAAFRARYYMEGEYSDGGSSSGGRATREQRAELRQLPAIKDNVKDVMFYC >CDP08928 pep chromosome:AUK_PRJEB4211_v1:1:30248308:30248973:-1 gene:GSCOC_T00028063001 transcript:CDP08928 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQPVADKQQPDEGCHMDIQAVVHEENVSSTHSAEVVESKKKIKCYFCRKVGHNKKTCPTIQAQGWRSRKAKMDTYGPYVESVGKKRRTKQKVGFLASI >CDP08446 pep chromosome:AUK_PRJEB4211_v1:1:20499763:20502379:1 gene:GSCOC_T00027334001 transcript:CDP08446 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYGFLVGLAIVFLAYSPSSSAVHFVLIHGSCHGAWCWYKLATLLEQAGHKVAAVDLAYSGRNQVPLEFVHTFDEYHKPLFKYLESLSPKDKVVLVGHSYGGYGVSSALERFPEKVLGGVFASAFMVGPNFTLEDTNKFLLRPDQVDDSFVIGDPIRIMLFGPHFAATMLYQNSPPEDLKLANYSMRLTQLFRGDLANRQIRVTKERYGSVPRAYVVGLEDKAITPDVQKLMIARTPPQVVREIEGADHMILFSKPQEFANNLIEIAESFESFGQGGSPHGYAF >CDP04061 pep chromosome:AUK_PRJEB4211_v1:1:33129052:33131206:-1 gene:GSCOC_T00016598001 transcript:CDP04061 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKGRKETPETLNPEQGENDQTPPTLCEAGGEEERAGKGGSKFYAVYLLTSLSPRFKGSSYIGFTVNPRRRIRQHNGEIGSGAWRTKKRRPWEMVLCIYGFPTNVAALQFEWAWQHPLGSLAVRKAAATFKSLSGLANKIKLAYTMLTLPSWQSLNLTVNYFSTKYMTLASGCPSLPEQTMVQFGSMDELPCYTGGNLCAGENDDWDPAGDDCEHGGGSEESAEDGSADAQPLSNIELVQDGFKETENLGLYNWTEAIAHEQQTSTESSVFELPKNLQISKEEAQKQSFQLDDFLVFGCSNATRIAEDTGTFRLYDEYNVADPQLPRQQSVQNVTLNKFELPCTSSEVEVIDLSTPSPCYNASTGNKKRRLSVGCPEIIDLTNSPMFV >CDP06916 pep chromosome:AUK_PRJEB4211_v1:1:27696926:27698235:1 gene:GSCOC_T00023961001 transcript:CDP06916 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNNLLLQLLCNIDKQNILIPICHPLSREPNKLFTGGRSIIQMSYEKLEELDIRESTPVICRTERIMLLQHWANNKSVQEALHVLRETIGQWVSCRDALPYTKNAGSVVPYHANLSTKGYRSLIYSGDHDLMVPHIETQAWIRSLHYPIIDDWRQWIHEGQVAGYTRTYANEMTFATVKARNSRFYCFSARFGGGHAAYEFKPAECRTMLERWISHQPL >CDP06988 pep chromosome:AUK_PRJEB4211_v1:1:28527568:28531510:-1 gene:GSCOC_T00024058001 transcript:CDP06988 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDAQGQPIDPHKMQEHFEDFYEDLFEELSKYGVIESLNICDNLADHMVGNVYVQFREEEQAANALKNLTGRYYAGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKRISRELRRQLFGRYRRRRSRSRSPYRHRSHEERSHRHSRRYEDRDYHHESRSRRHRSTSPDRRRGRSRSRSPGGRRDRSPVRDGSEERRARIEMTMGMHKMEISIIDRSHHMDIELTVVVCRFTVL >CDP09296 pep chromosome:AUK_PRJEB4211_v1:1:18752951:18753769:-1 gene:GSCOC_T00028595001 transcript:CDP09296 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVYDEKYDELVDIYTFRMCMLELIICDYPYSECTNVAQIYKKVTKGVKPLALGNVKDPQVKGFIEKCLLPAAQRPSAAQFLKDPFLSSPESLKGDKCESGVRPSGVLPESNNIPQPDSQVSTSNDGSSYNKASTSSKASAESIIRPGPISILESLRSTEQIQLRLRGKRIDQKTVLFNLRIADLHGPVANCFEFLFDLKSDDALKIASEMVQGKDLTYGDVPVAVELMDSMLLELEPTWKPCNAYYSANNVASECRELGSNWQLGAVVH >CDP09054 pep chromosome:AUK_PRJEB4211_v1:1:31383043:31385085:1 gene:GSCOC_T00028237001 transcript:CDP09054 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVAVVHKQSVLRAIRRTIAVPLCSPRHFRCFGPNFCTQTEKPTLIPCPETSDSEREQAENEEGEAKSLSWRIEKLPRGEPVASAFQSWMRDGFPIHRGDIFHTINRLRKFKANKRALEVMEWVIRERPFRPKELDYSYLLEFTTKLHGVSKGESLFSRIPSEYQNELLYNNLVLACLDKGLIRLSLSYMKKMRELGHPISYLVFNRLIILHSSPGRKKTIQKILTQMRADKVVQHVSTYNILLKIEANDHNIERLAKVFGDMKQANVEPNEISYCILATAHAVARLSTVCKAYVEAIEKSTSGNNWSTYDILVILYGYLGMRTQLERIWSIIQGLPNVRSKSFVLAVEAFGRIGDINRAEELWAEMKLVKGLKSTEQLNSLISVYCKHGFITKATSLYKEMEKNGCKPNAITFRHLALGCLKAGLRNEAIKTLELGMDISVSMKVRRSTPWLETTLSILDIFADDGDVENAEKLFEELKKANYSRYTFVYNTLIKAYVKAKLHDPNLLKRMILGGSRPDSETYSLLKLIEQFPK >CDP13108 pep chromosome:AUK_PRJEB4211_v1:1:2300180:2301840:-1 gene:GSCOC_T00037904001 transcript:CDP13108 gene_biotype:protein_coding transcript_biotype:protein_coding METHRAHCLILPYPVQGHINPMLQFAKRLQHEGVKVTFATTKFLFETVDEVSASISVETISDGYDEGANGIVQKIYLPRFQKVGSETLTKLVLKLQDSGRPIDCIIYDAFLPWCLDVAKDLGVRAGVFFTQSCVVNNIYYHVHKGLLKLPLEATEVDIPGLPPLLASDLPSFVSNPGPYPATSQLVVHDQMEKIEEADWIFFNTFYRLEEKVIHWMAKILPVKTIGPTIPSVYLEKRLEDDKQYGLNLFKPMTNACMSWLNERSISSVVYVSFGSLAKLEVKQMEELAWGLRASSYHFLWVLDVLAHKSIGCFITHCGWNSTLEALSLGVPMIGMPQWTDQSTNAKFVKDIWKMGIKAQPDENGIVRRDVIGQCISIVMEGEIGQEIRKNAKKWKDLARQALEEGGSSDENTKDFVSKLIQS >CDP09172 pep chromosome:AUK_PRJEB4211_v1:1:32192312:32195306:-1 gene:GSCOC_T00028391001 transcript:CDP09172 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVAPTCFHWSQPSFPHSPSSSQTLASAISSPSSKRRSFSMCRYVGRSNFLGNSCSNLYRTGSWEQEQKSKPRFIRRVCSATLDSFSDEEFSKRIQELALRFQLSDDDDDEQAEEAENRPESRTRESYDSRNGDAVLLEDQSFHPLEPPYWPEREEIIPASIERKANSVELPLSLRIIKRKKQWEEGFREVGESAYCSVKKAFSSMVFIIRELQSYTLQMRELLFYEDLQGILVRVQKEMHASFVWLFQQVFSHTPTLMVYVMILLANYSVHSMANNTALAATPHPQVCAASAESVSEVGDQSHGKSKFDSSFIKSFSVSSSSGKTTSIGGSNGGGGKHRPAASGTDGDGRFDRMSSDYHRTIVPDGVSSVGNPSRTSEEVSVSGQVTGEEEMRLWNSMVEEASKMQSASRDEALDHETMQRFVSPVNAKIESDDYADYFRTELLYQTGLAQEPNNSLLLANYAQFLYLVIRDYDRAEEFFKRAAKVEPKDAEALNKYASFLWQVRKDLWAAEETYLEAISADPSNSYYAANYAHFLWSTGGEDTCFPLSSPDTENDA >CDP03534 pep chromosome:AUK_PRJEB4211_v1:1:37019018:37022913:-1 gene:GSCOC_T00015936001 transcript:CDP03534 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTVGELKPSISGKRSFRPSSSARHVTEWPISDVSSDLTIEVSATTFSLHKFPLVSRSGRIRKLLLEAKDSKISRLNLHGIPGGSEAFELAAKFCYGVNTEITVSNVAMLRCVAHFLEMTEEFSEKNLETRVEVYLRDSVFPSISNSVSVLHNCESLLPISEEVNLVSRLINAIANNACKEQLTSGLSKLEYNFPSKPIQNLEAETPSDWWGKSLTVLNLDFFQRVLSAVKTKGLKPDIISRILINYAQNSLQGLIVRDPQLTKGTFLDPDLQKKQRITVETIASLLPTQSRKSVVPMAFLSSLLKSAIASLASTSCRSDLERRIGLQLDQAILEDILIPAHSHGNNHTPLYDTESVLRIFSFFLNLDEDDEEDHQMRDESEMVYDFDSPGSPKQSSIFKVSKLLDNYLAEVALDSNLTASKFIALAELLPDHARVVYDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQLFFGSMMNGQFPQRSGSGAGSGCISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRSHPTNKLFKSFTKKLSKLNSLFRIIDIRPIGGKAHSETRFPFQKRRRHSVS >CDP03765 pep chromosome:AUK_PRJEB4211_v1:1:35247063:35249472:1 gene:GSCOC_T00016244001 transcript:CDP03765 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRNLKRVSRLEPIAHQRSPKMVFKRYVEIGRVALINYGKEYGKLVVIVDVIDQNRALVDAPDMVRSQMNFKRLTLTDIKIDIKRIPKKKALVAALEAADVKTKWENSSWGRKLIVQKRRASLNDFDRFKLMLAKIKKAGVVRQELAKLKRQSAA >CDP09068 pep chromosome:AUK_PRJEB4211_v1:1:31479340:31481067:1 gene:GSCOC_T00028257001 transcript:CDP09068 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSITAMLRNKIWFVFCALFVFWFLLLYEKKFNDWSTEDEVSEDVDDLEKELEPIFLKDDANREKEEQQNKCRGRYIYVHDLPSRFNDDLLKQCKSLNKWTDMCQYFVNNGLGSELGNPAKIFSRTGWFNTHQFSLEVIFHNRMKQYECLTNDSSEAAAVYVPYYAGLDVSRHLWGSNASVRDSDSLSLIKWLRERPEWDVMWGRDHFMVAGRITWDFRRGIDDDNHWGNKLMVLPESKNMTMLTIESSPWNSNDFAIPYPTYFHPWTDNDIVQWQNRMRKQKRKSLFCFAGAPRPNIEDSIRGEVMNQCKSSNRRCGLMECSDQRNKCQKPVHIMKMFQNSVFCLQPPGDSFTRRSTFDSILAGCIPVFFTPASAYVQYLWHLPRDFNKYSVLIPEDDVKNRRVSIEKKLSQISKSRVSAMREEVIKLIPNVTYADPRSRWQKFEDAFDLTVKGVLERVESLRQEMEEGKNSSLSYDEEDSWKYFTFGKVDKNEWDNFFLRTDRSKYY >CDP07028 pep chromosome:AUK_PRJEB4211_v1:1:28943734:28946917:-1 gene:GSCOC_T00024113001 transcript:CDP07028 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHFILSFTAVNHHPLLSQLSNPISASSSPSSLSLHSSFHGASLKLPRQFSAAAPKPITVVAATKKAVAVLKGTSNVEGVVTLTQDDDGLTTVTVKVNGLTPGKHGFHLHEYGDTTNGCMSTGAHFNPKGLTHGAPKDDVRHAGDLGNIVANADGVAEATIVDNQIPLSGPNSVVGRALVVHELEDDLGKGGHELSLTTGNAGGRLACGMQIHFSPTLHYFLILSSSTCFLFCLGEHNDYIMHLTLCLSSFLASHQLVYC >CDP08980 pep chromosome:AUK_PRJEB4211_v1:1:30826783:30829382:-1 gene:GSCOC_T00028134001 transcript:CDP08980 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAEQPTTTPRKKMTKQLTGKRDDTALHSAARAGNLKAVWEIIHQSSEEELIELLSKQNSAGETALYVAVEYGYLELVKEMIKYYDLAAAGIKARNGFDALHIAAKQGDLDIVKVLMEAHSELSMTVDTANTTALHTAATQGHIEVVNYLLELESSLAAIARSNGKTALHSAARNGHVHVVKALLSKEPGIAPRNDKKGQTALHMAVKGQNLEVVEELIKGDPSLINMVDNKGNTALHIATRKGRAQIVRMLLAQNETDITAVNRSSETALDTAEKTGQADIASILQEHGVQSARTLKPQPTNPARELKQTVSDIKHEVHYQLEHTRQTRKRVQGIAKRLNKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPNNIPPGQSLGEANIAPQVPFIIFFVFDSIALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWLACVLISVAFLALSFVVVGQHERWLAIGVTIIGTTILATTLGTMCYWVIMHRIESSNKRSIRKNSQASRSRSWSMSVLSDSDVLHTDFKKMYAI >CDP03800 pep chromosome:AUK_PRJEB4211_v1:1:35002870:35005191:1 gene:GSCOC_T00016284001 transcript:CDP03800 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLQLFFLCIFSFYSGFLQADHLETYIVQLHPNGITRPSFSSKFHWHLSFIHKAISSEEDSASRLLYSYRSAMEGFAARLSKLELQTLQRSPEVIAVRPERRIELQTTYSYKFLGLNPTANGNAIGAILKSGFGRGAIIGVLDTGIWPESPSFSDDGMPPVPKKWRGICQQGEDFNSSSCNRKLIGARFFTKGYRAASKSLSTDVAVEYVSPRDGQGHGTHTASTAAGTPVQMANVLGNGAGEARGMAPGAHIAVYKVCWFSGCYSSDILAAMDVAIRDGVDVLSLSLGGFPVPLYENTIAIGSFRAMERGISVVCAAGNNGPIQSSVANEAPWIATIGASTLDRRFPAIVQLGNGKFLYGESVYPGKGIPSAERKLEVVYITTGGQKGSEFCLEGSLPTAKVRGKMVVCDRGVNGRAEKGQVVKKAGGAAMILTNTAINLEEDSVDVHVLPATLIGFDESVLLKSYINSTRSPMARIIFGGTVIGKSRAPAVAQFSSRGPSFTDPSILKPDVIAPGVNIIAAWPQNLGPSGLPEDNRRVNFTLMSGTSMSCPHVSGITALIHSAHPQWSPAAIKSALMTTADVTDHLGKPIMDGDHPAGLFATGAGHVNPIRAINPGLVYEIQPMEYVIHLCSLGYTRSEVFSITHRNVSCHDIVQKNRGFSLNYPTISVMFGPRMTGKMIKRKLTNVGNPNTVFSVDVMPPDGVKVRVKPRQLTFTHTNQTMSYRVWFMSRRRNGSEGTNFAQGSLTWFNSRQRSNGVRSPISVTWASK >CDP09721 pep chromosome:AUK_PRJEB4211_v1:1:25195041:25196318:-1 gene:GSCOC_T00030165001 transcript:CDP09721 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDSCNSRHFSWLMKSCFPDPHHHLHLHHPQPCSTAAPTTTCTATTISSLPDDLLLECLAKVSHSSLPSLPFVCRRWAHLLDSKDFHSLRRHHNLLHFTLFSVSIHNSTLFTASHRLDVDSSWRVSSFLPSDDAVTQHGSLHSLFSHSRVAAIGRKIYIIGRTAMLRCDTWTGTLVPKPGMLVQRKKFAVGVLGGKIYVAGGCSRSAEVEEYDPVENLWRVVSHAPRRRYGCVGAAVDGLFYVIGGLRIGNSGNEWLSLSSWAAGAEAVHLYASSMDVYDVAARSWLRSRSVPGGGCVVAACAAGGHVYVLSSHAVELSFWRFNGIRNSSSFGEWCRIKSPPLPAQVRLDSSVRFCCIGVGEKVVLIQVMGCIDDLLRRSGRNERGLKEGLVLIYDCTAGEWSRGADLPEVIRRAACVCVEC >CDP03829 pep chromosome:AUK_PRJEB4211_v1:1:34764722:34766528:1 gene:GSCOC_T00016321001 transcript:CDP03829 gene_biotype:protein_coding transcript_biotype:protein_coding MARGERTCCQSFLAFLLKFLNYLQTFLGVSIIIYASLMLNHWYHHHTSVPSTPPPPPHSSYPGLSPSHFSYNLHNLDLHFPADVVLDAGLLNGLQFNSNSLPKPWFIYAFLGVGILMCCITCIGHIAAEAINGCCLCFYAILSTFFILLEVSLETFIAIDHQWETDLPVDSTGELDHLRTFLEENRDVFEWVGIAVVIIQAYIIPHLLLTSYLSLRK >CDP09111 pep chromosome:AUK_PRJEB4211_v1:1:31770776:31775433:1 gene:GSCOC_T00028310001 transcript:CDP09111 gene_biotype:protein_coding transcript_biotype:protein_coding MDARHASLGRRTLEEIRQKRAAERLSKAVSGPDLTQVSSSSPNDAVGLKKSESGTRLSETDIGGLVSQLKEMQKRNMELEEVNRTLYSKLQTKEVESETLQKRLNELEQNTVPSLRKALKDVAIEKDAAVVAREDLSAQLRMVKKRLKEAEEEQYRAEEDAAALRAELNSLQQQALSDLPSGIPTSGYAPDHIKVMETELSNIKSQLEQESQLRQQERQQLADEQARASVLILQKQELEEKLAAMSKRVTDEAAEKPNNKGLSAEDKERLEKQMHDMAVAIERLENSRQKLLMEIDSQSSEIEKLFEENSNLSSAYQEAMGVAVHWENQVKDCLKQNDELRSMLHKLRMEQASRPTLNDKVGISESTKDGQDEMASSAFSPEIISLKAQLVNEKGRAEGLSAEVLQLSARLQQATQAYNALARLYKPVLRNIENSLIKMKQDGSVSVQ >CDP03572 pep chromosome:AUK_PRJEB4211_v1:1:36741122:36744493:1 gene:GSCOC_T00015981001 transcript:CDP03572 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSLCMREILVLQMAVPMFNLAPDMTVSRLCLGTMTFGEQNTLLQSFQLLDKAFDAGINFFDSAEMYPVPQRPHTQGRSEEYFGRWIRDRKIPRDRVVFATKVSGPSGQMTWIRNGPESLDARNITEALENSLLRVQTDYIDLYQIHWPDRYVPMFGETDYDPGRYISHISFDEQLDALGRAVDAGKIRYIGLSNETPYGVMKFLEIAQKEPHYPRIVSLQNAYNLLCRNFDFGMAECCHHERYPLVLNVCMICTTELSLTYTGNTASIH >CDP15588 pep chromosome:AUK_PRJEB4211_v1:1:26308117:26311561:-1 gene:GSCOC_T00015489001 transcript:CDP15588 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLAHSSLYSFPSSSSSSSSSLLCPITVCSSLPTQLLTNSEISILSSASSCSSKLQFIQQHSTATTTTLKHPILLFTALDTPPVDTQSFLATVSVLAAIALSLFLGLKGDPVPCDRCAGNGGTKCVFCNDGKMKMETGLVDCRVCKGAGLILCKKCGGSGYARRL >CDP15627 pep chromosome:AUK_PRJEB4211_v1:1:26948151:26952697:-1 gene:GSCOC_T00015547001 transcript:CDP15627 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFTILCTVGMVLHLWTAVTEAEYMKYKDPNRPVNVRVRDLLSRMTLEEKIGQMTQIDRKISSKEIMKKYFIGSVLSGGGSYPSPTASAETWINMINEFQEGALSTRLGIPMIYGIDAIHGNNNVYNATIFPHNIGLGVTRDPELVKRIGAATALETRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHKIVQAMTEIIPGLQGDVPANFPKGIPYIAGRNKVAGCAKHFVGDGGTTNGINENNTVIDWNGLQSIHMPAYLDSIKKGVATVMVSYSSWNGEKMHANKKLITGYLKKQLKFKGFVISDSQGIDRITSPPHANYTYSVEAGILAGIDMIMVPEKFTEFIDDLTSLVKKNVIPMSRIDDAVRRILRVKFVLGLFENPMADYSLVHELGSQEHRELAREAVRKSLVLLKNGKNIDEPLLPLPKRASKILVAGSHAHNIGNQCGGWTIEWRGLAGNITVGTTILTAVKNTVDPLTEVVYRENPETELLKSNKFSYAIVVVGEPPYSESFGDNMNLTIPESGIRTITNVCEAIKCVVVIISGRPVVIEPYLAKIEALVAAWLPGTEGQGVADVLFGGYGFTGKLARTWFKTVDQLPMNVGDPHYDPLFPFGYGLTTSPTELRASQ >CDP09649 pep chromosome:AUK_PRJEB4211_v1:1:23757486:23760149:1 gene:GSCOC_T00030050001 transcript:CDP09649 gene_biotype:protein_coding transcript_biotype:protein_coding MFQYGHLSRLAPALRLLKHFHSHPPFLSPTSAKCRPPLSQPPPNLLPLCASTQSLNQTKQAHAICIHHGLLPSSISICAALILRYAAFEKPDHHPSIIYSLFYQTLSCSPSSTFLYNTMIRAHSSLGLYDQGLKIYNEMVRNNVEVDNYTYPFVLKLCSERSGQQKGLEVHGTLIKFGFDEDLFVNNTLMLFYRNCEDVKVVQKLFNEMPERDVVSWNMMIRVFSDNGCDVEVIELFKKMVGESEFKPDAVSLVSILPVCAGEGTMMCVIHCYIVKVGFDVQVSVGNALINLYGKCGDVDGARQIFDEMVEKNDVSWNTIIAISGYAGCYREALDMFRLMVDEGVILSSVTVSSVLPVLVELGFVSKGRELHGFSMRRGMNADPFVANSLIDMYAKSGRPVDASNVFHNMDLINVVSWNAMVANFAQNGLELEAIECLRQMQFDGQIPNPITFTNVLPACARMCSLRLGKEIHGRLIRSGYHLDIFVSNALTDMYARSGCLDLARNVFLISSKDVVSYSILISGYSQTDSSNSLILFSEMGLQGIQHDIFSCMGVLSACANISAIKHGKEVHAFAIRRSLDEHLSVANSLIDMYTKSSRIDLAKKVFDRISNRDSASWNTMILGFGMLNEPDTAVNLFKTMREDGVEYNSISFLAVLSACSHGGLVEEGRRFFNDMLARGIKPSQKHYACMVDLLGRSGLIQDAIELVKSLPIQPDVNIWGALLGASRLHGDVETGCWAAENLLKLRPNHSGYHVLLSNMYAEAGKWEEADTVRELMRLIGVKKHPGCSWL >CDP03744 pep chromosome:AUK_PRJEB4211_v1:1:35415712:35417483:1 gene:GSCOC_T00016219001 transcript:CDP03744 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSTQQQQGRCRILRINPQQPSGKIQAEAGVTEFDDHNDVQFQCARVAVLRHTIKPRGFLLPSYTNAPLLAYAGDFCGPAIPGCAETFQSSQSQQGDILALPAGAAHWAYNEGDQDLVLVVLQDTTNAVNQLDTERRIAGNPEGQSQEQQQGQQGWEVQWGKNIFRGFDVKTLSEAFNANKETARKLQSEDDQRGHIKWDKGKATMAWKKPFAPPGSGRSSTTPPARTSTTHRPQAGRFHTVNSLNLPILSFFRLSAERGGLYKNAVFAPHWYVNANGVMYVTRGEGRVQIVDHRGQCVLDEQLSEGQVVVVPMNYAVVKQAGNNQGFEWVGFNTNDNAMINTIAGRSSTFRGLPTSVVANALQISEDEARQLKFNRVETMIFSSDSRF >CDP03732 pep chromosome:AUK_PRJEB4211_v1:1:35503983:35506965:-1 gene:GSCOC_T00016205001 transcript:CDP03732 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVSSILGKLQHHSKISFSFPSVDSISHISSLPNPTPCTQNHPSKSHLKNFSKADALLLSNTKSQGFTTLSDQTPMAPHMSSRQRKIKERSQLEEAFESAETTDDMLKAFKDMEDSFDEIELGLACLKLGLKLDQEGEDLDKALSFATRALKVLDKDDKPSLPLAMTLQLLGSVCYSLRRFNDSLGYLNRSNRILHRLEEQRSCSADDVRPILHAVQFELFNTKTAIGRREEAIGNLREALELKEMMLDEDCRELGKANREVAEAYVAVLSFREALPFCLKALDIHKTQLGHNSVEVAHDRRLLGVIYTGLEEHEKALEQNQLSQRVLKSWGCNSELLRAEIDAANMQIALGRYDEAINTLKGIVQQTPKDSEDRAMIFVSMAKALCNQENFADSKRCLVIASGILDKKEATSPIAVAEAFMEISMQYETMNEFETAISLLKRAHAMLEKIAEEQHSVGSVSARIGWLLLLTGKVEQALPYLEDAAERLKESFGSKHYGVGYVYNNLGAAYLELDRPQSAAQVFAFAKDIMDVSLGPHHADSIEACQNLSKAYAAMGSYQLAINFQEKVIEAWEGHGPSAEDEVKEAQRLLEQLNKRALGASSNESLMKALPLPPTINAVSGANSKTGLSVNQAPSSAL >CDP14438 pep chromosome:AUK_PRJEB4211_v1:1:9722194:9722656:1 gene:GSCOC_T00040898001 transcript:CDP14438 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKRAFPGWMEAKRALLGWMKGSLDRSILIMLLPCYSGLTRFWRTSLSLLVFCCFVEVD >CDP06879 pep chromosome:AUK_PRJEB4211_v1:1:27381692:27382756:-1 gene:GSCOC_T00023916001 transcript:CDP06879 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSSSCSTSATATSADSGGATAITAVHPDIIQTHILTRLDGPTLASTSCASSDLHSLCTEENLWKTICNSNWPSTAHPCIQQAISSFPSGHRSFYSDSFPNLHHHHRQPPAHRRPKSNDSSLGHSSELISAVDIHYGNELVYSKVAVTETSSGWFMCSPFRVDLLDPKEIVPALVKFDGEDDKCMARVAENMRLSWIVIDPAKKRAVNLSSLRPVDVRRHWLTGEIQASYATVMATGGCGGRAGGEFVICKAVVTCGGKEGGDLQVREISMQVEDLEGKIISGKDTLVILQEAMDGNRRKGEAGEEKRMYEVFLELRREWRERRQNRERRLDMVCIATGVSIFMAFWVFVLFR >CDP17108 pep chromosome:AUK_PRJEB4211_v1:1:37434345:37440398:-1 gene:GSCOC_T00005027001 transcript:CDP17108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G02280) UniProtKB/TrEMBL;Acc:W8Q6L8] MATIKLQKLPSIRERVEDTLSAHRNELIALLSRYVAQGKGMLQPHHLIDELDNIVVDETACKKLSQGPFSEVLRSAQEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDQLSISEYLHLKEELVDGRSEDHLVLELDFEPFNATFPRPTRSSYIGNGVQFLNRHLSSIMFRNKDSLEPLLDFLRAHKHKGHVLMLNDRIQRISRLESALSKAEDYLAKLPQDTPYSDFEYALQELGFERGWGDTAARVLNMMHLLSDILQAPDPSTLETFLGRIPMVFNVVILSVHGYFGQANVLGLPDTGGQIVYILDQVRALENEMLLRIKQQGLNVTPRILIVTRLIPDAKGTTCNQRLERVSGTEYTSILRVPFRTEKGILRKWISRFDVWPYLETFTEDAANEISAELQGRPDLIIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWRKFEEKYHFSCQFTADLLAMNHSDFIITSTYQEIAGTNNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMAIYFPYSDTEKRLTSFHGSIENLLFDPEQNDEHIGTLKDASKPIIFSMARLDRVKNITGLVECYAKNAELRELANLVVVAGYNDVKKSSDREEISEIEKMHMLMKEYNLDGQFRWIAAQTNRARNGELYRYIADKRGIFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPKEIIEDGVSGFHIDPYHPDKDSAAMVNFFQRCKEDPKYWEKISRAGLERIYERYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKLRELVKSVPLAVDDQH >CDP09728 pep chromosome:AUK_PRJEB4211_v1:1:25246303:25249474:-1 gene:GSCOC_T00030175001 transcript:CDP09728 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTQEASKTAGKPIRCRAAVARKAGEPLVIEEITVAPPKARELRVRVLCSALCFSDIHFWRLEEPHGYYPRIFGHETVGVVESVGEGVEDVKVGDTVIPSFLAYCGECPDCISIKSNQCSKLRFELSPYIRDGTSRFSDAKGETIYHFGYTSGFSEYTVVDITHVTKVDPAIPPSKACLLGCGVSTGVGAAWKTADVEAGSTVAIFGLGVIGLAVAEGARLRGAKTIIGVDLNPDKVEIGKKFGITHFINPKELGGKPASEVILGMTDGLGADYCFECVGLPSLSQEAFACCRKGWGKTIILGVDKPDSKFILNSLVNNHSGKTITGVQYGGLKPNIDIAILGKRYLDKELQLDLFVTHEIKLEDINKAFKLLIEGKCLRTVIWMDQERASADGVTFNEL >CDP09218 pep chromosome:AUK_PRJEB4211_v1:1:32543507:32545563:1 gene:GSCOC_T00028449001 transcript:CDP09218 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGVIGQLKFSSVALARQYMKRIAKELESSGPVQDDDLLIQGVRFAYRVHQFAGGFDADTLLAFEELRRFCTTGPTQ >CDP04007 pep chromosome:AUK_PRJEB4211_v1:1:33555295:33560004:-1 gene:GSCOC_T00016533001 transcript:CDP04007 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEIGFLGLGIMGKAMAVNLLRHGFKLTVWNRTLSKCDELVEHGASVGETPAAVVKKCKYTIGMLSDPAAALSVVFDKDGVLEHICTGKGYIDMSTVDADTSSKISEAITSKGGHFLEAPVSGSKKPAEDGQLVILAAGEKALYEQALPAFNVMGKKSFFLGHVGNGARMKLVVNMIMGSMMNAFSEGLELADRSGLNPQTLLDVLDLAAIANPMFKMKGPPMLQNNYTPAFPLKHQQKDMRLALALGDENAISMPVAAAANEAFKKARNLGLGDLDFSAVHQTVKGGEL >CDP06905 pep chromosome:AUK_PRJEB4211_v1:1:27584938:27592279:1 gene:GSCOC_T00023947001 transcript:CDP06905 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVGRFPENQEALESSGIPWGVTVTPFAGKDENGSPPAYGLDGNLLPRCENCWAYYNTFCDQEQWAWTCALCGTLNGLSSQAIARYSLPHSCAENLSSFIDLELPLDESEEMQARLVYVAAVDLSSSEEFLELIKSALLAALEALGPGSLFGLATFSHKVGLFDVQGPIPVVKNVFIPPDSDGGLPIELDDVMPLSSFLAPVDTCKDRIASALEALKPTNSWERTTAAGQGVDGVLLGGRGFGVAMEALLNYLGSEYGNTFALGRIFAFLSGPPDFGAGELDTRRYGEQYASKGEDADLALLPEQTPFYKDLATVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYTNTDNSTLPQDMYRMLSRPYAFNCVLRLRTSSEFKVGNSYGHFFPDPQYENVQHIICCDSFATYAYDFDFANDSGFSRHTTELPMLQIAFQYTVVVPPDELSKSTSSSANRNKHSLQRRLRIHTLQFTTAHNINEVYDSVDPEVVLSILVHKVISISLEQGVREGRVLLNDWLVLLTARYNDACKLLQFERGNSATAHVDVAFSQCPQLQPLPRLVFALLRNPLLRLHEEGVHPDYRIYLQCLFSALEPSSLHRAIYPILTSFATPDKQAFPRHSLSRAALITSGSPIFFLDAFTTLIVFYSSTADPALPFPPPQDCLLRTTINKLKQERCITPTLMFIRGGQDDSTIFENYLIEEQDVEGSGFTSVMGFVSFLEEINHGVLEYLK >CDP03736 pep chromosome:AUK_PRJEB4211_v1:1:35481478:35481945:1 gene:GSCOC_T00016210001 transcript:CDP03736 gene_biotype:protein_coding transcript_biotype:protein_coding MENDQEKGKKVIKYRGIRRRPWGKYAAEIRDPTRNGARLWLGTFDTAEEAARAYDRAAYALRGHQALLNFPNDGHYRNADPAGSSLDLSQLPSSSMNLPNSGGAGAPVETPELEASPRGQEEQVIELEYLDNKLLEDLLGSQFQRQDHSKRPKLS >CDP03948 pep chromosome:AUK_PRJEB4211_v1:1:33951092:33952015:-1 gene:GSCOC_T00016460001 transcript:CDP03948 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFDQQQVKKICSSGYSCHFFSRNRQLAGNRDAQIFSPIKNIAALRKQMQVKIATTEIRNMALSRFIFLLWILSSCIFAVLADVSVENCSDNSTIPTAQMSANIDSLVAELASSTSQNRFSIATYGKGTDKVYGLGQCRGDVNSTDCTTCIQDAAKNIRTNCQNQTDAWLWQNDNCILRFDDDQFFGNVDPSSFANLYNNDHPQHPSAFKKQLDALISKVSSEAVVPANEGLGRGKSFSVASNDTIYALTQCTRDLSRHSCSECLNIAIGNFPKFCNNEKTVGCRVLYGSCYVRYEIYPFYYPLDS >CDP08978 pep chromosome:AUK_PRJEB4211_v1:1:30808657:30816618:1 gene:GSCOC_T00028132001 transcript:CDP08978 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSSLNGSASNIPDSSGRSFTTSFSAQSGAASPVFHHTGGIQGLHNMHGSFNVPNIPGTLGSRNTTMSNVPSSGVQQSAGNLSSGRFTSNNIPVALSQISHGSSHGHSGMTNRGGMSVIGSPGYSSSTNGVGGSIPGILPTSAAIGNRNAVPGLGVSPLLGNAGPRITSSVGNVVGGGNIGRSMSSGGGLSMPGLASRLNLTANSGSGNLNVQGPNRLMSGVLQQASPQVISMLGNSYPSAGGPLSQNHVQAVNNLNSMGMLNDVNSNDGSPFDINDFPQLSSRPSSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNADYGMDLQQKEQVHDNAVSLMQPQQFSMGRSAGFNLGAAYSSHRPQQQQHTPSVSSSGVSFSNLNNQDLLHLHGSDMFPSSHPNYHQQTSGHPGIGLRPLNSQNTVSGIGSYDQLIQQYQQHQNQSQFRLQQLSSVSQPYRDQGLKSMQASPTAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPPLTQAYFAKFQLDTLFYTFYSMPKDEAQLYAANELHNRGWFFHKELRLWFTRAPNVEPLVKTNSYERGSYISFDPNTWETIRKDNFVLHYEMLEKRPTLPQH >CDP08990 pep chromosome:AUK_PRJEB4211_v1:1:30899273:30900867:-1 gene:GSCOC_T00028150001 transcript:CDP08990 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLSPKLAKKAYGGDGGAYYAWCPDELPMLRQGNIGAAKLALEKNGFALPRYSDSAKVAYVLQGSGVAGVVLPEKEEKVVAIKKGDAVALPFGVVTWWYNKEDTELVVLFLGDTKTGHKAGSFTDFYLTGSNGIFTGFTTEFASRAWNLEESVVKTLVESQTAKGIVKLDAGFKLPEPKSEHRNGLALNCEEAPLDVDIKDGGKVVVLNTKNLPLVGEVGFGADLVRIYGHSMCSPGFSCDSALQVTYIIRGSGRAQIVGVDGKRVLETTVKAGNLFIVPRFFVVSKIADADGLEWFSIVTTPDPIFTHMAGRTSVWKALSPEVLQASFKVSPEVEQQFRSKRTAEEIFFPPN >CDP07111 pep chromosome:AUK_PRJEB4211_v1:1:29854725:29857883:1 gene:GSCOC_T00024229001 transcript:CDP07111 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEGVVAAEQGEFSAKDYHDPPPAAFFDLDELTKWSFHRALIAEFVATLLFLYVTVLTVIGYKHQTDATAGGDDCNGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLLRAFFYMVAQCLGAICGVGLVKAFQKSFYNRYGGGANVVAHGYTIGVGLAAEIIGTFVLVYTVFAATDPKRNARDSHIPVLAPLPIGFAVFMVHLGTIPVTGTGINPARSFGAAVIYGSRQAWDDHWIFWVGPFVGAAIAAFYHQYILRAGAIKALGSFRSNA >CDP08948 pep chromosome:AUK_PRJEB4211_v1:1:30435064:30438835:-1 gene:GSCOC_T00028091001 transcript:CDP08948 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE6 [Source:Projected from Arabidopsis thaliana (AT1G07890) UniProtKB/TrEMBL;Acc:A0A178W5I1] MGKSYPTVSAEYLKALDKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDQGSKTGGPFGTMRLKAEQGHEANNGIDIAIRLLEPIKEQFPTLSYADFYQLAGVVAVEVTGGPDIPFHPGRQDKTEPPVEGRLPDATKGCDHLRDVFVKQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTANPLIFDNSYFTELLSGDKEGLLQLPSDKALLSDPAFRPLVEKYAADEDAFFADYAVAHQKLSELGFAEA >CDP03684 pep chromosome:AUK_PRJEB4211_v1:1:35896834:35899254:-1 gene:GSCOC_T00016132001 transcript:CDP03684 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQPIDFTSPEGSSAPRYEPVKPVIKSRFKRLFERQFSSVLKISAPEKSTGTIASGGESHFGKEICDDFELSSVCLDKMVENFIYESGDNKQRCGRQRCKCLRGNGTDSSDDEADSVNCFGESNHTSCPDACDSLKSLVPCACVSERNLLADIAKIVEKNKIGKRKDHFCRKIVTDDLLTLGYDASICESRWEKSSSFPAGEYEYVDVSFEGDRLIIDIDFRSEFEIARSTKAYKLILQVLPIIFVGKADRLQRIVSIVSEAAKQSLKKKGMPCPPWRKAEYVMAKWLSPYTRATPKLTPMPSAMDSHERDVKVEREDPLNLKEGSGREFELTIGEKISRVETNDCAGENEEKSLMVAKQWKPPETKPKSSQIGVKILAGLASVIEG >CDP03739 pep chromosome:AUK_PRJEB4211_v1:1:35441823:35444087:-1 gene:GSCOC_T00016214001 transcript:CDP03739 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLNKGPWTKEEDQILISYINENGRGNWRALPKKAGLLRCGKSCRLRWTNYLRPDIKRGNFTQEEEDTIIKLHQALGNRWSAIAATLPGRTDNEIKNVWHSHLKKRLIPQQDHQGQAVRKTQPEQGTNKALSVEKPKFHCQDSNSSPVKIIVSKQEPGTNNQSSSLQYSSSDDISSSLSFTDATTTTTIPDNPTEIYLTNSGDGDSVSNKHQELDQDIWHDVVSSQDHSLSPVLHRGGCGFNPFTNDDINFWCDLFTRTEDISHLLEF >CDP06874 pep chromosome:AUK_PRJEB4211_v1:1:27346290:27351447:-1 gene:GSCOC_T00023909001 transcript:CDP06874 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPIFWGLQNPVQGSNLVDPDIAFDDQILPDPLLGTGWLIDADQKVDDAASAEEKPAPAETSAKPSATPMASAAIEVTPLSQRRPRRPVEEMAERPDWLPDDWKIEVRVRTSGATAGTSDRYFFSPSGLKFRSKVEVLEFLETGSRRKKKLKSDANATPSDGPSDQRKKTSKQASGNPSDHQKKKSKSNIRDFSDMNFDFRNPPRSLTWVQANDCPDDWLPTFSNATVPKSERTEWGNVYSRVTQLDKTNGAS >CDP17057 pep chromosome:AUK_PRJEB4211_v1:1:37858641:37862723:-1 gene:GSCOC_T00004966001 transcript:CDP17057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G47320) UniProtKB/Swiss-Prot;Acc:Q94A16] MARIKPQALLQQTKKKKGPSRVSVPTILLYALLLFVMLFFLFATYRHWSRRSLVHLQDTVSVEEGHSVLADPKKSAIPRYAVIQTTKGSLSVELFKEGSPEVVDEFIESCKKGHFKGMQFNRVIKNFVIQGGDVERSGATEDWTARGKHYSQLDTSLKHEAFMLGTSKTRHDGGGFDLIITTAPIPDLNQKINIFGRVVKGEDIVQEIEEVDTDELYRPKTRIEITEVNLKQNI >CDP03839 pep chromosome:AUK_PRJEB4211_v1:1:34675481:34676372:1 gene:GSCOC_T00016333001 transcript:CDP03839 gene_biotype:protein_coding transcript_biotype:protein_coding MASMALTTTLFKFQTSRAIIVRRPWSSRIFVGVAPIKPFQQATRRHATTAAYEQAANAARQGSKAAKQGTEAAKEAGQEMKHAAVSNAEDVMQKTKNVAGKVAGASKDMSDKAKQTAQEAWDSVKDTTQKIKETVVGKAEEAKEFAKHNAEKVERSMNTKN >CDP06934 pep chromosome:AUK_PRJEB4211_v1:1:27966547:27967534:1 gene:GSCOC_T00023986001 transcript:CDP06934 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTYNSNKQVHNGHELYPSSVANKPKVEVPGGDMRSFFTLIMTDPDVPGPSDPYLREHLHWIVTDIPGTTNSLFGIEVVTYEVPSPNIGVHRFVFLLYKQKGRQTVKAPLLRDQFSTRKFAEENELGVPVAAVFFNCRRETAPRRR >CDP09263 pep chromosome:AUK_PRJEB4211_v1:1:16804952:16814960:-1 gene:GSCOC_T00028517001 transcript:CDP09263 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVCVTGASGYIASWIVKFLLQRGYTVKASVRDLNDPKKVGHLLALDGAERLQLFKANLLEEGSFDAAIHGCDGVFHTASPFYHSVTDPQAELIDPALKGTLNVLGSCAKSPSVKRVVLTSSVAAVYYNGRSRSPDVVVDETWWSLPDLCKENKLWYVLSKTLAEDAACKFVKEKGLDMVTINPAMVIGPLLQPTLNTSAAAILNLINGAETFPNSTLGWVDVRDVANAHVLAFENPSASGRYCLVERVAHYSEVVKILHTLYPSVKLPEKCADDKPFVPTYQVSKEKAKSLGLEFTPLEQSIKETVESLKDKNFLGSSAAL >CDP03619 pep chromosome:AUK_PRJEB4211_v1:1:36374063:36380152:-1 gene:GSCOC_T00016042001 transcript:CDP03619 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSSRELQHMLQRAVQTVEWTYSLFWQLCPQQRILVWGDGYYNGAIKTRKTVQPMEVSAEEASLQRSQQLRELYDALSSGDTNQQQQQARRPSASLSPEDLTESEWFYLMCVSFSFAPGVGLPGRAYAKRQHVWLTGANEVDSKLFTRAILAKSARIQVVNDTNSFPCKLQTVVCIPLLDGVVELGTVERVQEDLGFVQHIKSICAEHQQPHQTPKPALSEHSTSSPATASGPRFHSSTVPVPATYTTIHTSQDNSDQIEEEEEEEEEDDEVDADADAVADSDSDGETVPQSSAPSSGVQNPNSMPQIKTTEPSELVQLDMSEDIRLGSPEDGSNNWDSDYHLLPHGPNKNQEVQQNRPDLCSSQSTPRWPRIQDPGINHSLEPAPTTSGVPLSENEFAQEDSHFSQTVSTILHHQSSRCSSSSMTGNVTQSIHSAFSKWPATSCDSLAHGNLRGSSSQWTLKYILFTVPFLHASKSGDETSPKSRDAAAISDSASRLRKGTPQEELSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRKKIQELEARFRQMEPDGHPKKNAKEQRSSSNNTAARVQVGQGLDRRKMRIVEDSPTGGGKPKAAVVSPAEGVLQVEVSIIESDALVELQCPHREGLLLDVMQMLRELRVEITAVQSSLCNGFFVAELRAKVKEYANGRRATIMEVKRAINQIIPQYLR >CDP03710 pep chromosome:AUK_PRJEB4211_v1:1:35667879:35671447:1 gene:GSCOC_T00016175001 transcript:CDP03710 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MKGLSRYLTLKQRCVRNFSVQIGKGPNAKDLKIDGIKDIIAVASGKGGVGKSTTAVNLAVSLAKKCQLKVGLLDADVYGPSIPLMMRLRGKPEVSIDKKMIPIENHGVKCMSMGSLVDVGAAIVWRGPMVMKALEQMTRGVNWGTLDVLVVDMPPGTGDAQISISQRLQLSGAVIVSTPQDVALLDARRGVKMFSQVNVPILGLLENMSYFKCPKCGEPSDIFGKGGARKTAEEMGVQFLGEVPLEVGIRSSSDEGVPIVVSDPESAVSHAYGDVAEKVANILDELAKEQQFRPEIVL >CDP07019 pep chromosome:AUK_PRJEB4211_v1:1:28885407:28893822:1 gene:GSCOC_T00024101001 transcript:CDP07019 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNGNGDGNANGIESGETVVSSIRAGMKREFAMMMKAQAECGIILGKRRVTRSQNSPLISKSDGNIDKHNINKKNKKEEKKEVAEEEKKVELVVVEEEEVKSDILDGNSDDEKKKNMVHDELEKEGSDMGKVLGEEGDNEGAMTKEPKDGTVESVNEDSVEIAEEENALDPKTEGPMAPRVEEALEVVEPPQVEAEKPTENVLPTTSKLEIKMSKKTPTKLKELLETGFLEGLRVRYIRGSRGSKAGGTCLSGVIKGSGVLCYCRDCNGSQVVTPNQFELHAGSGNKRPPEYIYLENGKSLRDILNICKDAPAESLELAVQSAIGQARPTFCLGCKAFMHQAAPDGPMLCASCVALRESENKTTELTDTNSRPSLPVSNIKSASKTSSSSRPRNTTQGRLTRKDLRLHKLVFGQAGPADGTRLSYYAKGKLLGSGYKSGSGIFCYCCNRVVSPSQFEAHAGCASRRKPYLQIYTPDGVSLHEWSLAIKKNIQLSTDDSDDVCSICQGMGELLCCDMCPRAFHKECVNLPSIPKDNWYCRYCLNMIEKEKFVEHNANAVAAGRVAGIDPVEEVKKRCVRIVGVSEPEVGGCILCRGHDFSSSDFGPRTVILCDQCEKEYHVGCLKEHGLDDLKELPNENWFCSKECSSIHSALQQLISDGDKELPYFLLSMIQQKREDQGAEDKISWRLLLGKAASEENRKWLSGAVSVFHDRFDPIGDSNKGRDLIPVMVYGRSNRDQDFAGMYCAVLTVNSTVVSAGIFRVFGQDVAEVPLVATSTAFQGKASSGYFQSLFFCIENLLASLNVRDLVLPAAPEAECIWKNKFGFQKIRAEQLKQFKKDYQMMIFQGTTVLHRSVARVGISPD >CDP03653 pep chromosome:AUK_PRJEB4211_v1:1:36145228:36146964:-1 gene:GSCOC_T00016088001 transcript:CDP03653 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g48140/F11L15.4 [Source:Projected from Arabidopsis thaliana (AT2G48140) UniProtKB/TrEMBL;Acc:Q94AX3] MEKNPLLKAVVLTLVILSKFKVNGQISTPCTSSIITSFTPCFNYLTGSSANGGSPTAQCCDSLKSLMTDSVECGCLIITGNVPVSVPFISRPLAISLPRACKTGVPLRCKAAGVPLPAPGPALFRPTRAPVSSSPLSPKASIGTVVAAPSPVETTPDNIAAPASPPVVALGPVANPGIRPVLKPLASSSSHVSPPYLLSIILGMLVFKSY >CDP07027 pep chromosome:AUK_PRJEB4211_v1:1:28942206:28943616:-1 gene:GSCOC_T00024112001 transcript:CDP07027 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLVGAQKQIMRNGVMHFFQKSCQFIKNIHKYFCCYNYRICKYDQSIVDQLTFGSRNIQWQLLSRCIFSFLKFNHRTDVEIKITPVYFQDFDCACFQRLRDCSFCIPC >CDP06912 pep chromosome:AUK_PRJEB4211_v1:1:27647263:27649208:-1 gene:GSCOC_T00023956001 transcript:CDP06912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:Projected from Arabidopsis thaliana (AT2G27510) UniProtKB/TrEMBL;Acc:A0A178VWS0] MSSSNLKLPASCMSRNPPLNQIKSPFLKSPSSLGSVSSISKVRGLKAMSASGFRASAMAVYKVKLIQPNGEECVLDVPDNAYILDVAENKEIDIPFSCRAGACSSCTGKIVSGSVDQSDGSYLDDSQIKAGYVLTCIAYPTSDCVILTHKEQELF >CDP13130 pep chromosome:AUK_PRJEB4211_v1:1:1907356:1910025:1 gene:GSCOC_T00037952001 transcript:CDP13130 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGILPNSTTLPLIFKACANLQTLERGKKIQNDMMGSPLINDIRVGTSLVDFYSKCGCLEDAYYVFDEMPKRDVIAWNAMILGCVQCMEYEAALFLFMEMQEENLRPNSRTVVSLLKACGELSELGLGKGIHGFDMTTANHVFRSLGSRSTVSWNSMLCGYFDAGHYLKTVDLFLWMLKGGKEYDHVTVLVIIQACAELGLIELGMQVHQLVKKHGFSKDLHTLNALMRFYSSMGYLKCLEKGLTNGKSLHAYAIKYGMEAFTLCRIALLNMYGVLNCVEDALNIFSETNDSDVVSWNTLIAALVHNGLRSQANAKYLFEGFRNKDLISWNAMISNYVNNNRPQKALLLFHRMISEVEPNFSTIVSALSACAYLAELSQGLCLHAYITRRESLMGFHLPVANALITMYARCGCMRYAEYVFSSLRKRNSVSWNAMIAGYGMHGRGHDAVLAFSQMLEEGFLPTDVTFVSALSACSHCGLIEKGLQLYHSMVQHFYITPKLVHYACAVDLLSRGGRLDEAMQLIKSMPIAPDASVWRALLGACRVYSETRYAKTIFEKLVELEPTNAGNYILLSNVYAAAGHWSEVRKLRILLEKKGLVKPPGKSWIVVKNKLHQFTAGDKSHPESDKIYQKLSYLVSSIKRRGCVPDVCWVLHDEEPEEKLRRLLSHSEKLAIAFGLMNAGARSPVLITKNLRVCGDCHEFSKHVSRLVGKEIILRDGSRFHHFSNGICSCKDYW >CDP09185 pep chromosome:AUK_PRJEB4211_v1:1:32324359:32327623:-1 gene:GSCOC_T00028412001 transcript:CDP09185 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQFLNNLRLVFLGTKLCVLIPAIPLAILGQCYGFMTRQWIFALSLLGLAPLAERMSFLTEQIAYFTGPTVGGLLNATCGNATELILALFALRERKILVLKYSLLGSVLSNLLLVLGSSLFLGGLANLKMEQTFDRKQADVNSLLLLLGLLCHVLPLIFGTSIEPQLLDDMDQNSVVWLSRTSGILMLVAYGAYLFFQLRTHRQFFEAEGEDEDELSENEAVIGFWSAFIWLVAMTIIVAILSEYVVGTIEAASDSWKISVSFISLILLPIVGNAAEHAGSVIFALKNKLDITLGVALGSATQISMFVVPVSVIVAWTMGIPMDLDFGLLETSCLGFSIILTAFTLQDGNSHYIKGVVLCLSYAVIAACFFFHKMPASESLYQR >CDP06967 pep chromosome:AUK_PRJEB4211_v1:1:28339817:28344719:1 gene:GSCOC_T00024031001 transcript:CDP06967 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEPEPPKTEPPTNLNPNPHLNLGSNSKPKVLVVMGATGSGKSKLAIDLASHFPIEIINADSMQVYKGLDVLTNKVPIQDQKGVPHHLLGTISRTAEFTAKYFRDAAIPIISEIWSRKRLPVIVGGTNYYIQALVSPFLLDESREDMEENTSFGNPGDKEADEAENFAYTYEKLRELDPVASNRIHPNDQRKITQYLSLYARFGVLPSKLLHEKAMENWGRVDNCKYDCCFICVDASLPVLDDYVGKRVDCMVNSGLLNEVFDIYKLHADCTRGLRQAIGVREFADFLRCYVSECESRHGNAHYLISADKSLKADMQQILDGTNKNQQLQDLLTEAIERVKLNTRRLVRRQKRRLGRLQMLFGWNIHFVDATESISCVSDDLWATQVVEPSGRIIRSFLHDNACSDELRNGSEEMNLIPRDLWTQYACEACGNRVLRGAHEWEQHKQGRSHRKRVSRLKKSGRSVSVG >CDP07032 pep chromosome:AUK_PRJEB4211_v1:1:28986971:28990001:1 gene:GSCOC_T00024120001 transcript:CDP07032 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVLALSILPGPEFAGKDENLRDLGSGDHGCKHYSRRCKIRAPCCNEIFNCRHCHNEAKNSLEVDPLHRHDLPRHEVKTVICSLCGTEQNVQQKCQSCGVCMGKYFCQKCKFFDDDVSKKQYHCHECGICRTGGKESFFHCNKCGCCYSKLIKDAHNCVERAMHHNCPVCLEYLFDTTKDITVLPCGHTIHLDCVQKMEHHSRYSCPVCSKSICDLSNLWRMIDQEVDATQMPEMYKDKMVWILCNDCGAIAEVHFHILAHKCLRCKSYNTRQIQGGPASSCSSSSGHRIAEAVR >CDP09680 pep chromosome:AUK_PRJEB4211_v1:1:24263783:24266311:1 gene:GSCOC_T00030100001 transcript:CDP09680 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIFSIGIYGMGGVGKTTLATHVHNNLLKEAKFSGHVCWITVSQEANIHKLQKDIAKFLPVDLSCEDNDRKRAAQLFQALKRRRNFVLILDDVWTHFDLENVGIPLRVDGSKLIITSRSLDVCRAMGCQKEVKVKPLCYQEAWTLFLEKLGCCRPLPPDIEEIAKSMVKNCAGLPLGIITVSGSMKGTDDIHEWRDALEELEDPVARQDCEVFKILHYSYSRLRDQRLKDCFLYCSLYPEDCEIPRDELIASFIRERLIDKRRTRQAEFDQGHALLNKLENACLLEGVVKIKEDDTEAKYVKMHDLMRDMALKITKTKPKYLVKAGIWLRDVPDKSEWKEDLDKVSLRFNVVSSIPLGISPYCPKLSTLSLWGNELTSIPCSFFAHFGALQVLDLSCNRSLEEQPNCISELERLAALLELDLSDTKISDVPEGLERLVNLKCLNMVQTNLEMISEGIISKLSCLQSLGIPRQVSVQVEELESLKQLEEFIGGFPEANSFCRFVRSRQRFNRPSFYVIQVGSGLLKGLSGHFQQMASKRVVFSFTNVNPGGKKRANILPDDIQELEICACQGLGSCLNDTFAEFNTQARGLTHCLIEGSSEIRSLLKLSSSEDQFVIKGQNSACAPLQNLKHLRLICLSNFNGLFEWDSVANAIPPPSTFSCLRSLFIDRCGKLKKLFTPRLLQSVQSLEVLKVWGCNELEEIVSNDEEGYFSFTSSSKDSCSRSTISCLPNLKKLAVLGDPKLRNICKGLLICNSIERIEVISCRNLESLPPFLPSINGQPSAPPALKVIQISLLGWESLKWENPYMKKILQPFVRYGEF >CDP09127 pep chromosome:AUK_PRJEB4211_v1:1:31868790:31874412:1 gene:GSCOC_T00028331001 transcript:CDP09127 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAFDDDIPVYLIFNCHSYGPQGPPNLRFQIDLWCTFVPFPCEIYQLANQISRAKLVNCEISGAKGSMECHLELNRNLRDQELLQVVYSQSSHQTCQSTKLGDRFKLDRNGNSSADFHPKIVRNLDNVSQKPRALHRKQRARSKADELVRYMSSLPSYLEAGENLQEKALNVGVLEWRRLEKWQYNHRQVAERSSKSSPSNSNASLFSSTEGSSSNSGRGHSCSPINQMMHRPSLDSNRNTSPNRVSSPSLGTKSFQRNGGKFQDLGASSSNYLKVSQSILSTHQCFSKYTENQGKECKTPDHDPVGIFEKELQELEKHSSISNLNGKLKFHVHEHSKEKESLQIPCCKPNSVHDSMNGQPLVVLHQPKEVLEIRSATSLNQSDSTGKLAQGSIEASRHSFSDNSNSDVHELSSDIPRSCPLPREVITPRDAQIQQPCSAAESTTRFSSDVLPYSKVSASPSRSRNLEGKKSTRTLDCSAEAPNLKMETEEDRKVRHPSPIRRLMGRIGRSSKDTPCALQRNLETDRICSKEAETSVSSVDSSCDKSNVTGKGRSSPLRRLIDPLLKPRASNLDHSFGSPQRDSSPIDRAGKLSKGRGESAARHSLKVRLDLGSCKTIDIDHPQDIGKCGSSTVQALLQVAVKNGLPLFTFAVDNSSEILAATMSKLGPGKKDANSWVYTFFTVHEMKKRNGSWLNQGSKDRAHGYVPNVVAQMKVSDVASTKLIGQNLVDQCTVREFVLLAAKKRRGDRQALDVQANDELTAIVLNLPKMAVRNLSEGDQRTCEVEKLSMVDLKVPSLDFCRFSESRDVEESGCFAGSVDPSGLTVVLPGGDHGIPSKGEPSPLIERWRSGGSCDCGGWDVGCRIKVLSTQFGVTSGSAKAQSSTKKFQLYCQERGLDERPIFSLSPFKDGIYSVEFDSSVKFLQAFSICIAYLNGFQPAKFSEFGYLSENKSSEESTFSETDEPKVFNGDQQEYPASNIYHPPVSPVGRV >CDP07010 pep chromosome:AUK_PRJEB4211_v1:1:28804304:28814401:-1 gene:GSCOC_T00024090001 transcript:CDP07010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPSENGVEGDDEREEEEEEEEDSEEVEDGEEEEEEEEPRLKYQRMGGSVPSLLSSDAASCIAVAERMIALGTHAGLVYILDFLGNQVKEFPAHTAAVNDLCFDIEGEFVGSCSDDGSVVINCVFSDERLKFDYHRPMKAIALDPDYSRKSSRRFVAGGLAGHLYFNVKKWIGFRDQVLHSGEGPIHAVKWRSSLIAWANDAGVKVYDSANDQRITFIERPRGSPRAEILRPHLVWQDDTLLVIGWGTYVKIASIRANENKGINGAYRHIPMSSINQVDIVASFQTTYFISGLAPFGDTLVVLAYIPGKEDGENEFSSSVPSRQGNAQRPEVRVVTWNNDELATDALPVHGYEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPLYYIVSPKDVVIAKPRDTEDHISWLLQHGWHEKALAAVEAGQGRSELLDEVGTRYLDHLIVERKYAEAASLCPKLLRGSASAWERWVFHFAHLRQLPVLVPYIPTENPRLRDTVYEVALVALATNPSFHKDLLSTVKSWPPVIYSASPVISAIESQLNTSSATDPLKEALAELYVIDGQYEKAFSLYADTMKPDIFDFIEKHNLHDSIREKVAQLMMIDCKRATSSLIQHINLIPPPDVVSQLIAARDKCDWRYFLHLYLDSLFVANPVTGRDYHDMQVELYADYDPKMLLPFLRSSQHYTLETAYEICAQRGLLRQQVFILGRMGNSKQALALIINNLGDIEEAVEFVSMQHDDELWEELIKQCLDKPEMVGVLLEHTVGNLDPLHIVSMLPDGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKADCVNLLIKYYKEARRAIYFSNEENEIRAKRDETKASYLGERVAGMKSMEVKSKTRGGARCCMCFDPFPIQEASIVAFFCCHAYHTTCLMESLNSVTSKKEPKTSPKEVLAYYEYENGDVDEDEDDASSSGAPQMRCILCTTAAG >CDP15604 pep chromosome:AUK_PRJEB4211_v1:1:26571670:26579963:-1 gene:GSCOC_T00015510001 transcript:CDP15604 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPITFYSTLLFLFLLQPQEVITFTFLEDHLCHHDEALALLQFKEVHRISTDASHDCNYFGQYSYPKTTHWKPDTDCCNWDGVTCDNITGRVIGLDLSCGQLQGVIHPNAALFDLFHLHSLNLAFNDFTGSRISQRFGSLKSLTHLNLSNSNFQGEVASKISHLLNLISLDLSFNLPCNSMDCSYLRYESSNFEAMLQSLTHLRELSLSSVNISSELRVNFFSSLTYLIYWNNKQSTRLSLGHNLLAGKFLNSLLNFTHLGFLDLSSNQLSGSIPPSIFTIPTLSYLDLSSNHFAGEFPESLRNSKSLKFLDLSNNRIHCKIPSWFMSKTWDNLLYLNLSHNFLTGTIDQLQCKYLLYLDMSFNTLQGQMPSSICNSTSLFILDLSYNNLVSRIPQCLGNFSQKLYVLDLGNNGLFGTIPITFSQGSSLNILMLNNNQLQGPLPSLAHCEELELLHLGNNKIDDRFPIWLESLPNLQVLILKSNRFHGAIGNFQTESPFLQLRIIDASCNELTGVLPTELFKSLEAMRSLKDHRGEYMSETGYELDYYIHSLTLVIKGTEYSLERVLIDRTAIDFSSNRFEGQIPGIIGTLHSLLILNFSHNNLSGHIPGALGNLNRLECLDLSWNQLEGTIPVELLNLTFLEFLNLSENHLIGPIPRGRHFDTFGNDSYRGNLALCGSPLTKDCGNAGAPPPALPLGSEQQYDPGFFDGFTWRAVVLGYGCGLVLGLAMGSLMFLTEKPIWFIQIVEESYNPRKRSRNEICPQTNLRTAMQFTKLNFSSSLTIVDLGQTQVYGKLPDHIFSLAKLQQLILDGTQVTGSLPNFNCTASYMLSYLDLAYTNFSGELPNTFGCLKSLNSMLLDGCQFTAPTWLFSLQLLTTLLLKNNLLTGQIKELNGMSLTKIDLSNNQLYGQIPKSISTLPFLGFLDLSSNNLSGVLELSSDSLEYLVLSNNQISWSTSGNVSNSLPNLHRLELSSCEMKAFPEFLRSSKKLEVLQLAGNKIQGQIPSWVTSMPWDSLLYLNLSYNHLSGMDALPWKNLRVLDVRFNQIQGQLPLFICNLKALAILDLSRNKFTGAIPRCFGNFSSQLVVLNLEGNRLQRTINSMVFAKDSRLRYLGLNTNFLEGPLPETLANCKYLEVLDVGNNRLKDIFPAWLQNLTELQVLVLSYNRFFGPISIFKAKSPFQKLQIFALSCNEFSGVLSTELLESFRAMMSLQSNKSESKYLHATGNTTNYPYSVNMTFKGYEIEFTKIIRTLTTIDLSSNMFHGRIPDVIGNLYSLKLLNLSNNNFSGHIPPAIGNLKFLETLDLSRNQIGGEIPMQLTNLTSLEVLNLSCNHLIGRIPQSNQLRTLGEDSYGGNSGLCGFPLTKSCEEMHVPQAPPDVALEQGEDSSFMSGFTRKSVLIGYGCGLVLGIASGSLMFATGKPRRLVRFIEEEAYSNSVLFAWKKKLNIQINEVEKFGKENFSFHVRCLKYLC >CDP09026 pep chromosome:AUK_PRJEB4211_v1:1:31129106:31135700:-1 gene:GSCOC_T00028197001 transcript:CDP09026 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSANGEHQATKKPPPTPSPLRFSKFFQPNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRDEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGEHTGPINIGNPGEFTMIELAETVKELINPNIEIKMVENTPDDPSQRKPVITKAKELLGWEPKVKLRDGLPLMEEDFRLRLGVSKKE >CDP17046 pep chromosome:AUK_PRJEB4211_v1:1:37937830:37939461:-1 gene:GSCOC_T00004952001 transcript:CDP17046 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSIILFGCGFTLGPLIDGLHSRVDLVVYQTGAIDIGPLHTNVWVPPLLGLFYASVGLLQLQLDEKTAPRIGRLREKTAASLAALVLFIQLSAEMYQAGVPDNIEAYVLFAAAELIWLLIDNTVAGFLLASVVGIACPLAEIPMMKLFNLWYYPRADVEIFGQGLVSWTITCYFVYTPFLINLSRYLKSRLAASVDESESESA >CDP08929 pep chromosome:AUK_PRJEB4211_v1:1:30264557:30266434:-1 gene:GSCOC_T00028065001 transcript:CDP08929 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSDTTTATTITSKSSRSVSPSSPSSPPTPPPPAVVVSPCAACKILRRRCAEKCVLAPYFPPNDPIKFTTAHRVFGASNIIKFLQELPESQRADAVSSMVYEANARLRDPVYGSAGAICQLQKQVNDLQAQLAKTQAELVNMQCQQANLMALICMEMAQSPQASSPQQQSFDNNFINSSSQLAAFQANLNLLDDSHPWDHPALWT >CDP04070 pep chromosome:AUK_PRJEB4211_v1:1:33043243:33048339:-1 gene:GSCOC_T00016610001 transcript:CDP04070 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIPLRLPLSPWLAARAAPTTLQFFNPNKPLKPFLLRFSTSAAASDAAAQDSVPLPPSSSPSPPPQNKWEPFRKKKVVMRVGYVGSDYRGLQMQRDEHALPTIEGELEKALHKAGGIRDSNFGDLQKIAWARSSRTDKGVHSLATMISFKMEIPENAWKDDPNGIALANYVNSFLPENICVFSILPSQRSFDARRECDVRKYSYLLPAEIIGIRNEFTAAEVDLHLSEFNGFLNSFEGEHPFHNYTIRAKYRKQLRTRSSNICDKGARSSDEEAACEHEGSDGDLVSEGKEVDTVDESDGVEPIDGQKVVPELVKRIYDSSPSFCESPSSSYGEDGRSLKKQNSALPIARWLYEPDARDKLSAAHFRKIFQCYCGKLERMCGMNYVEIWICGESFMLHQIRKMVGTAVAIKRKLLPVDVLQLSLSKFSRIVLPLAPSEVLILRGNNFALRKRPGKETRPEMLTLLESEEIVTAVDEFYHSVMLPQVSTFLNPSKYPWNDWVNTLDANTSIPEFQLAEVRTAWKLWKEKPENKIKALL >CDP03640 pep chromosome:AUK_PRJEB4211_v1:1:36218154:36219263:1 gene:GSCOC_T00016067001 transcript:CDP03640 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRNVLLIFLTAAILAAAASAQTLVHTYPPSLFATILSALGFRDLSNVTANASLSLTAPSTVFAPTDSSLLTCPSCSLPLLLQEHSLPGLYSFHFLRNLAFGTKIETFARNRCLTITASPIINPSDAVSTRKIFVNGVEITKPDLFDNGLVIVHGIQGFMSHLSPTSCTIEKMTTLAYPDPPPPTAEFLVMRSMLKEAMAELRVRGFSLVALAMRVKYPELADLKSMTLFAIDDGSIFAGGGGHAYVTDLMFHIVPNRILKGSHLMSLPLDTVIPTMERGQELVVTTAGGGGPLSPMRINYMKIKSLDLVSNKRIVVHALSNPLPHVHRRTAIREEEATCDEHQSGLCEESAGTKRPTVQIEDPFGL >CDP09736 pep chromosome:AUK_PRJEB4211_v1:1:25337687:25338295:1 gene:GSCOC_T00030186001 transcript:CDP09736 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCFDFDDFSFGIWKTKKLDICGNRRERKGFSGSLETVLWCKRTSIFSFFFTLYSTFLFFIFSPCYIS >CDP14426 pep chromosome:AUK_PRJEB4211_v1:1:10405091:10407548:1 gene:GSCOC_T00040856001 transcript:CDP14426 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPIACHIKIGDLYDHESLVKAIKQVDVVISTVGQNQLDDQGKIIDAIKEAGNVKRFYPSEFGVDVDRQDAVGPVKFGFGKQQHSVIHFHLLHLIKMFLSSAQLIPYIVQHDVGTFTIKTVDDPRTLNKVVYINPPKNRASFNELVAIWEKKIGITLETEYISEEQLLKNIQEAPSPLDAILSINHAILVNGTSNFPIEPSFGVETSELYPDVKYTTVEEFLHQFV >CDP14435 pep chromosome:AUK_PRJEB4211_v1:1:9797030:9802897:1 gene:GSCOC_T00040890001 transcript:CDP14435 gene_biotype:protein_coding transcript_biotype:protein_coding METPESHVFPTEGSLRRQDFPNGFLFGASASAFQYEGAPDIDGRGPSIWDTFLIDRHPEIVQAGGLDAIAHYRHYKDDVRVMKEMGLDSYRFSISWPRILPTGRRTNGAGINEKGIQFYNSLIDELLANGIKPMVTIFHWDVPQALEDEYHGFLDKKIVIDFVDYVDLCFSRFGDRVKHWITFNEPWSFSVGGYATGTLAPGPSEFAGAPKQGNAATEPYIVSHHQLLAHAAAVQLYRQKYQRSQKGKIGITLVSTWAVPYHNTKEDRDAAQRAIDFMFGWFMDPITHGDYPSSMRSLVGHRLPKFSKPESELLKGSFDFLGFNYYTANYVLNEAGPPYTLDPRAKCTPYRNGKPIGERAASDWLYVYPKGILEHVDYIREKYNNPPIYITENGRDEFNEKNLSFWLAFFDPKRISFHYRHLRFLKKAIDKGANVKGYYVWSLMDNLEWSTGFNSRFGMNFIDYADGLKRYPKLSAGWFKFFLHNDEETNA >CDP09032 pep chromosome:AUK_PRJEB4211_v1:1:31187390:31188142:-1 gene:GSCOC_T00028206001 transcript:CDP09032 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPFPAMLSLSLLFSLCILSTATQPGMILTLVNNCPYTVWPAIQPNAGHPVLERGGFALHTLTHRSFAAPTTHWSGRIWARTGCTYSNNHFSCATGDCGGRIECDGRGGATPATLVQLVLHHGPADFSSYGVSLVDGFNIPMTVTPHEGKGTCPVVGCRVDLVPTCPASLRFHGPGGHVVGCKSGCEAFGTDELCCRNHYNSKETCKPSSYSDYFKHACPATFTYAHDSPSLMHECSAPRELKVIFCH >CDP03790 pep chromosome:AUK_PRJEB4211_v1:1:35065116:35069203:1 gene:GSCOC_T00016273001 transcript:CDP03790 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPKVAKAFRAMRDLGISEEKVKPVLKNLLKLYDKNWDLIEEENYRALADAIFDSDEAEAAKRKKELENSKQQRAVREQAQEPDDLGRPLKKLRSNYQGQPSEWHNSSTLLAATSLITPKDEPVELPEEQPENQKPQMVSTKLLNNGNRMIESHHLSCRSLETNKGQQPVSPKPLTFRERTDTSQPVSNNQSQMNMTIESVAVPHPPSLENRGKEALSPHCTSEKKMLESERSSQAVSQEKTVGGQILVQPEEEPLAGDAPVYDLRETSKEGDSSTKSCSERVQNGPVPLTAEPQVGKDTSNGAAAKVSDKSSSQLEIASSSLGEVKICLSYNISPQRPDFHVPSLAAVVKLVEEKYLKSHKNLDANFSLMKLMEDMCAGVVELGFDSCNKSAETS >CDP17346 pep chromosome:AUK_PRJEB4211_v1:1:4849302:4851652:-1 gene:GSCOC_T00009678001 transcript:CDP17346 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRQTVGHDMSHEDNGQSRSFHSFWLKLRHFGSQFCQGRFTVHAMKLHKTWWSIFRHGQV >CDP04067 pep chromosome:AUK_PRJEB4211_v1:1:33070947:33072160:-1 gene:GSCOC_T00016606001 transcript:CDP04067 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFQCSPLCLTAAAIIAFTHTCAQLTPDFYEQACPDALPTIRGVVEQAIQQEPRMGASLLRLHFHDCFVNGCDGSNLLDDTPTFTGEKTAGPNLNSLRGFDVVDQIKSELNSVCNGNVVSCADIVAVAARDSVNIFGGPAYQVLLGRRDATTASFNDANNDIPPPSSNLQALLSNFQNHGLNLQDLVVLSGGHTIGVARCAIYLPRITSDTNIDSDFRASLQKGCPNAGAQNNNTSPLDSTTTLFDTVYFKDLLQFKGLLHSDQELFKNDGSETDGLVTLYSNNPEAFWADFGASMIKMGNLKPLTGQNGQIRQNCRRVN >CDP13097 pep chromosome:AUK_PRJEB4211_v1:1:2513095:2513430:1 gene:GSCOC_T00037882001 transcript:CDP13097 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILHTKGGEDEESYAKNSTFQVMFMNVNHALNRSIQGFCQASLAEAECIRVADLGCASGPSRANYILLPCGLAFFT >CDP17507 pep chromosome:AUK_PRJEB4211_v1:1:20059323:20065708:-1 gene:GSCOC_T00011438001 transcript:CDP17507 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGARKRGRPDGGAATNGNGGIKKSKQETESFSSGIGSKSKPCTKFFSTSGCQFGEGCHFLHYVPGGIKAVTQILGSNPALPAASRNPVVPPSFPDGSSPPAVKTRLCNKYNTAEGCKFGDKCHFAHGAWELGKPTAPVHEDPRATGPLPGRFGGRMESTPPDFGAAGSFGASATAKISVDASLAGAIIGKGGVNSKHICRTTGAKLAIRDHDSDPNSKNIELEGTFDQIKLATQMVRELIANVSSAARPPLKNHGGPKGSAPASNFKTKLCENFAKGSCTFGDRCHFAHGEEELRSSGI >CDP03540 pep chromosome:AUK_PRJEB4211_v1:1:36985547:36988833:-1 gene:GSCOC_T00015943001 transcript:CDP03540 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEQVELKFRIYDGTDIGHGTYISSTAISVLKQRLVHQWPQDKSIAPKSAGDIKLIHAGKILDDGRTLAESRIPIGDVSGGVITMHVVVQPPNSGKKTEKNPSETQRCLCAIL >CDP09637 pep chromosome:AUK_PRJEB4211_v1:1:23601411:23606435:1 gene:GSCOC_T00030032001 transcript:CDP09637 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN9 [Source:Projected from Arabidopsis thaliana (AT3G20070) UniProtKB/TrEMBL;Acc:A0A384LCV3] METLYTKLYDKYIKLKKEKDTAMENLNRDQEKKFMNYVIASDEMIEFLRSENERLGNQVSELRSEMALSTKDEECIQYNKLLMEENQNNKKLQEEIERLRNLQREGRCCQARDDKVDGAVDMPVSSQVESYSSDGTSVRKTRKRSRHSLHDRGDTITPSATKEHEHEQLNVLPNRSCKETEASDTPSDHYDVLQLAICKRKMNNPGSDVAASCMFQELVEWVVGLKLSIIPQSRDFCISALHPSSGYSFTLTWVNNSKGEPELLYHVLALGTFERVAPEWMRDVLMFSMSMCPTFFHRVSRVIQLNH >CDP09255 pep chromosome:AUK_PRJEB4211_v1:1:32874157:32874801:-1 gene:GSCOC_T00028506001 transcript:CDP09255 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHPLPISNPKAQAQAQTNALTGPSFLTRLSDSLRRLNSRRRPWLELVDRSAFSRPTALSDATTRIRKNISYFRVNYLTVFGSVLAFSLLSHPFSLLTLLSLLAAWLFLYLLRPSDQPLVALSRTFSKGETLGILIVASLFVIFLTNVGSLLMSASLIGAGIVCVHGAFRDPEDLFLDDHELPGSGLFSLISGAASSAPVSAAAASIVVSHV >CDP03757 pep chromosome:AUK_PRJEB4211_v1:1:35298865:35301976:-1 gene:GSCOC_T00016235001 transcript:CDP03757 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAP4 [Source:Projected from Arabidopsis thaliana (AT1G03900) UniProtKB/TrEMBL;Acc:A0A178WMR3] MSFEDDEESFEHTLLVVREVSVYKIPPRSTSGGYKCGEWLQSDKIWSGRLRVVSCNTRCEIRLEDPNSGELFAACFINPGQRENSVEPALDSSRYFVLKIEDGTGKHAFIGLGFNERNEAFDFNVALSDHEKYVRREHEKETGGAAGEGSDDSHIDIHPAVNHRLKEGETIRINVKNKPSSGTGMLSAAGMSSGHPGTVKAKTLSLAPPPSGGVRIRSPLPPPPNDSAAARTTSGSHNTTLKVPKEVARHSADPLADLSQLEKNLPTATGTGSNKATAAGWAAF >CDP07130 pep chromosome:AUK_PRJEB4211_v1:1:29992214:29993300:1 gene:GSCOC_T00024256001 transcript:CDP07130 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQISSALVFIAVVLFQCTDILAQPAAAAPAPPGPTNITKILEKAGQFTTLIRLMRITQISDQINGQLNDTNNGITIFAPADSAFSSLKSGTLNSLTDQQKVQLIQFHIIPSFISATQFQTVSNPVRTQAGDSSARFPLNVTTSGSQVNVSTGVDDATVANTIYTDNQLAVYQVDKVLLPLSLFGAPAPALAPAPTSGEDKKKKSAADTPTSGDDTPSSDASGLRVHGMAVCFGAAIFATVWL >CDP09242 pep chromosome:AUK_PRJEB4211_v1:1:32776652:32777544:1 gene:GSCOC_T00028484001 transcript:CDP09242 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSPCASCKLLRRRCAKDCIFAPYFPPDDPHKFAIVHKVFGASNVSKMLQELPVQQRADAVGSLVYEANARMRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQQQEPAALPTQLDVPDEKSLLLSTSTFNNLQPYLSFASSSTVMQDPLKRESLWT >CDP03675 pep chromosome:AUK_PRJEB4211_v1:1:35998337:36002590:-1 gene:GSCOC_T00016114001 transcript:CDP03675 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSASLSEEASVSSVSKVQDFGSLNPMVQTSSSHQQPQKIKKKRNLPGNPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKEIKKRAYVCPEPTCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKIYAVQSDWKAHTKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAQESARLSANSVLSATAAATVNPQLFRLNFHQTTTTPSSLFPFPPTQHDQQQPHFPNPPTPTPTDHHISLNHPWVDSCQNPKPTQYPNQPQLLHDIKPETLHLPISSPFLYQEPPPPTHKRINIPSLIPVQTQLNDTAGVHHLSATALLQKAATLGAHSVGHVNSAMTQLDMSTIGHVTNGIPPEYSGFTTSANLAAWQKSDRLTRDFLGLTGDHQSCGGGGGSVNGNGNGNIDVNVNVNMRGVLSFTGSVEFPATYERDLSLLKHQSFGFAEPAASEAWRNCSRV >CDP04011 pep chromosome:AUK_PRJEB4211_v1:1:33535604:33540446:-1 gene:GSCOC_T00016537001 transcript:CDP04011 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSLYFIAASCKMQGGIHAIVSHGNILRNAILQHVRVVSPVMRSLVFARHESTSAAQMEEHGFESTKISDVLKAKGKGADGSWLWCTTDDTVYDAVKSMTNHNVGALVVVKPKEQNAIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLKAMQLMTDNRIRHIPVVDNKGMVGMVSIGDVVRAVVSEHRDELDRLNAYIQGGY >CDP09187 pep chromosome:AUK_PRJEB4211_v1:1:32340136:32349109:1 gene:GSCOC_T00028414001 transcript:CDP09187 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMR domain-containing protein At5g58720 [Source:Projected from Arabidopsis thaliana (AT5G58720) UniProtKB/Swiss-Prot;Acc:O65573] MKKKKRSRASKKGSGGVCNAAPPPQSNQAEEEEKHKVVESLVEAFGTISVEEAEAAYNEAKGDVNKAAEILGDLYVKESSTEDQSTSCSSSSGNFASTSSGSGSSGSSSASEVFAEANVVCPNGVRNQKGRQKKVVAAAGIVSTVLGKDYVRSVTKKSSSKSKGSWNKEDVEQFLFSMLGEDCELGMPVVSDVLCGCGYDVEKALNILLELSSSLGEQTHVGNANANIREDASSNLEGNSSLTDRTSDSTSYSSESDFPKNAVCTEHIGRSHFQVLAGIDNHSSKNPAHMEPDLSQQVLESLFNMPTPKSAEREPNTMNWRKIVKKMTSLGQGTHPGDGALVRNSLAKGDDYQVFRQSAKQHWESMKFCYQKAASAFSNGERDYAAVLSEKGRTHSKMAREAEEKASQDIFQARNKGIENMITIDLHGQHVKEAMRLLKLHLLFGAYVRSVRSLRIITGCGRHGVGKSQLKQSVINLLKKEGIEWSEENSGTLLISRLDQQTQFSFLDSDNEGE >CDP06889 pep chromosome:AUK_PRJEB4211_v1:1:27488548:27493090:-1 gene:GSCOC_T00023926001 transcript:CDP06889 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVYAVVARGTTVLAEFSAVTGNAGAVVRRILEKLPLEAESRLCFSQDRYIFHILKSDGLTFLCMANDTFGRRIPFSYLEDIHMRFMKNYGKVAPYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLHRVRGEVSEAILRTELIRTIMVDNIEKILERGDRIELLVDKTATMQDSAFHFRKQSKRLRRALWMKNAKLLALLTCLIVILLYIIIAAACGGITLPSCRKR >CDP17017 pep chromosome:AUK_PRJEB4211_v1:1:38158326:38160554:-1 gene:GSCOC_T00004915001 transcript:CDP17017 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEKEKGWNSCKNTKKGGGGGGGGEQGFCNDYVYRISTAEEWAELQTSGSTFGRQIDKSTGCIHLSNLHQVQWVLHNFFLNSKGQDLFLLQIHAKKLGDGLVYEAAADDSSKVFPHFYGPSRSFGPLPLDVVTKAEKIILSDAKFTCCLLS >CDP15570 pep chromosome:AUK_PRJEB4211_v1:1:25950332:25953681:1 gene:GSCOC_T00015459001 transcript:CDP15570 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLTWVSIFFLTHLDELAIDSGQGNEAPRSYYQAQRPEPRMAPERQGSHDSRSQPRGEDMNMGYEENFMTQTFEGLEEKFLDDLMKLSKEQIDAEDAEIARHRERINMINAQYQEQLVALRAQHASRRDEFLRRESHSRQQQYQQASMDHYPSSGHGDPQGYSTGTPPARDPQRLYNADNYDSHRERARFLGSGRNDDFEHRGQYPGGRLYDTGSRYY >CDP03770 pep chromosome:AUK_PRJEB4211_v1:1:35218309:35224271:-1 gene:GSCOC_T00016249001 transcript:CDP03770 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGLYRRILPSPPAIDFASSEGKQLFVEGIQNGTMEGFFKLISYFQTQSETAYCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEKVKANGISFGKVACLARCAGAEVVAFHTNQSTVDDFRKYVRACSTSDNCHVISSYHRGTFQQTGTGHFSPIGGYHAERDMALILDVARFKYPPHWVPLSLLWEAMDTVDEATGLQRGFMLISRLQRAPGLLYTLSCKHESWVSIAKYLTEEVPLMLKSDTVKGIEDVISMIFSSLPPNFADFINWVAEVRRQEDASENLSEEERGRLAMKEEVLKQVQETGLYKHVTDLLSVGASICRIKPTLCHMDDLPNIAARVCCQGAGLLAGKTGSSDRFCCRETCVRSYRANGDRTVTVVSGKVVGGNGQQEIDMLVPSLAKNCCNSSLDSCQGMHPDSNDILTALLLALPPETWLGIREEKVLQEIGSLLSTENLPLMLQEEVLHLRNQLLCLKRCNDKVEEDLRAPSL >CDP15623 pep chromosome:AUK_PRJEB4211_v1:1:26915194:26916685:-1 gene:GSCOC_T00015543001 transcript:CDP15623 gene_biotype:protein_coding transcript_biotype:protein_coding MISYSSWNGVKMHANHDLITNYLKGTLGFKGFVISDWQGIDKITYPAHSNYTYSVEVSINAGLDMIMVPYNYTEFIDTVTFLVEKNYIPMSRIDDAVRRILRVKFIAGLFEKPFADLSFADQLGSQEHRDLAREAVRKSLVLLKNGKHPNNPLLPLPKKAHKILVAGTHANDIGLQCGGWTITWRGMAGNNNTIGIIYEFPLIISLFLTGTTILSGIKSAVDPSTKVEYRKKPSSRFVKAMQFDYAIVVVGEPPYVETFGDNLNLTIPQTGLRTIHNVCNNVKCVVVLISGRPLVIEHHLPKIDALVAAWLPGSEGQGVSDVLFGDFGFTGKLARTWFKRVDQLPMNVGDPHYDPLFPFGFGLETKPIVAGNH >CDP09225 pep chromosome:AUK_PRJEB4211_v1:1:32608691:32611572:-1 gene:GSCOC_T00028463001 transcript:CDP09225 gene_biotype:protein_coding transcript_biotype:protein_coding MFSENPVVGDVVAAALTGGIALSMLRFWGEIAKMGVLDQKLNRKLVHVSIGLVFMLCWPMFSSGNRGAVLAALIPGVNIIKVLLLGLGVYEDEATVKSMSRFGDHRELLKGPLYYATTITLACALCWRTSPIAIAAICNLCAGDGLADIMGRRFGSKKLPYNKNKSIVGTFSMVVAGFLASIGYMHYFSTFGYVEESWQMAYRFFVVSLVAALVESHPISTELDDNLTVPLTSVLVGSFVF >CDP03810 pep chromosome:AUK_PRJEB4211_v1:1:34920519:34924595:1 gene:GSCOC_T00016296001 transcript:CDP03810 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQVITCKAAVAWEPNKPLVIEDVQVAPPQAGEVRVKILYTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVKPGDHVIPCYQAECRECKFCKSGKTNLCGKVRAATGVGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPNAPLEKVCLLGCGVPTGLGAVWNTAKVEPGSTVAIFGLGTVGLAVAEGAKAAGASRIIGLDIDSTKFDRAKNFGVTEFINPKDHEKPIQQVIVDVTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVDKYMKKEIKVDEYITHNLTLEEINKAFDLMHDGGCLRVVLSVFE >CDP03899 pep chromosome:AUK_PRJEB4211_v1:1:34301964:34306714:-1 gene:GSCOC_T00016402001 transcript:CDP03899 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQGHRTYSRSQRRRKTGLNVDLNAVPPNDNRDLVGPSARSQSRDYETSWGAAPIPPCPIDLEAIDDDVMISSPRAFAEAKNNSRRNHERVIVVDVDSDERSSRGTQNGRNKHRRVSANQTFINCDLYINLEGASNSGRDNVNSMVSQPLPPPPPPKEPTFNCPVCMGPLVEEMSTKCGHIFCKACIKSAIAAQNKCPTCRRKVTTKDIIRVYLPAAS >CDP09300 pep chromosome:AUK_PRJEB4211_v1:1:19322473:19324020:-1 gene:GSCOC_T00028608001 transcript:CDP09300 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHDCFVRGCDGSILLNSTADNTAEKDAIPNLSLAGFDVIDEIKRKLESECEKTVSCADILALAARDAVSYQFGKSMWDVKTGRKDGKISRDTEALANLPSPLSSFSTLKQRFSSKGLSVRDLVVLSGMMCPAGGHTIGIGHCNLFSNRLYNFTGKGDQDPSLNSTYASFLKTKCPNLAATSQVDMDPGSAQNFDPHYFVGLKQNSGMFQSDAALLTDAEARQYVDLLVDPKVFNAEFAKSMLNMGAILTGNGGEIRRDCRVVNS >CDP09023 pep chromosome:AUK_PRJEB4211_v1:1:31114647:31116766:1 gene:GSCOC_T00028193001 transcript:CDP09023 gene_biotype:protein_coding transcript_biotype:protein_coding MDANLDILVSKQQKIIDDGAASVCLDRLSNLPDELLCQILSFLPTKYAVRTGILSSRWKDLWVSVPTLDFKVHFWREFQGNYDKHKVKSFVKPRMKNLFEILNRILHLRGNLRTTKFRFVSSDHFGPKKLFDLMDVVARCDLEVLDIELTSDSIRDLPWCLFGSDNLVVLKLSGELELDLSDDVSFPNLKILWLKSVKYLNDTSVEKLLSGCPVLEELDVNRPGEDNVWNYVISVPSLKRLTLDFSSLQGDPDDDDYLYLSESDSDSDQDVKREHHLFVDAPKLEYLKLVDHMSDDISITKMPCLSQAHICVEKYYHMDEGVKPYYESDAFGILRAISNVKCLCLTGYTLRTLVNGENTGMPIFRNLVNLELGFDSWNGPEMLPTLLRASPKLETLYLPEGITCPGERYYNVNEDIFFEYQWKPPKEVPECLLSSLKTVEIWKLCGEEEEELKLVKYFLKNAMVLEKMTIVFHYFPCHDNGMDCFSVKEDVLKKYPRGSPSSKLRVYTPVLVTKE >CDP14422 pep chromosome:AUK_PRJEB4211_v1:1:10702618:10705011:1 gene:GSCOC_T00040848001 transcript:CDP14422 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTFFHLIFFILLIPLCSLAQNNGIVPIGSILTANEASNTWLSPSGDFAFGFQQPDDKDLFLLSIWYHNIPQKTVVWYVNSSDSIPRGSTVKLDAQIGLVLRDPQGVQLWSTNAISDQLDHGFMNDTGNFVLKGRDNSSLWESFSFPADTILPLQELETGSVLNSRQSETNFSQGRFSLQFLDNGDLVLTARSLPNTTYDDVVYYNSQTSKPTNTSNSGYRLVFGSRGIISIVNSNNQTEQIGSPTLFPPVAEDYFRATLDSDGILASYYHPRNFTGNQNWTVLWSEPDDICSAAAAETGSGACGYNSICNLVNGRPACECPDGYTLLDPNDKSGSCMPNSTPSCDEVEQGTAGELYDFVVINGTDWPKSDYQIMRPSTEIGCREACLHDCFCAAAIFRNNTCYKKKLPLSNGWTRGILNTVAFLKYRKSDAPPGMEGPSSRPKDRETLIVVESVLLGSSFFLNILLIVAACSGFYLIYKKNMVKSRPNGATNLRYFTYKELVEATNGFKEELGRGSFGIVYKGELQISSKSSGIAVKKLDRVAQDTEKEFRAEVNTIGQTNHKNLVRLLGFCDEGQNRLLVYEYMRNGTLSSFLFTTPKPSWKLRTQIAMGIARGLTYLHEECSTQIIHCDIKPQNILLDDYYNARISDFGMAKLLAMNQSRTLTNIRGTKGYVAPEWFRNTQVTAKVDVYSFGVLLLEIICCRRSVENIESVEEGYAILTDWVWDCFQERRLDTLVENDSEALNDKMMLERFVKVGIWCVQEDSSIRPTMRKVSQMLEGIVEVMVPPCPSPFAS >CDP17564 pep chromosome:AUK_PRJEB4211_v1:1:1123809:1126217:1 gene:GSCOC_T00011050001 transcript:CDP17564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G13600) UniProtKB/TrEMBL;Acc:A0A178VF87] MGVSFSCLLAAYCDLQDGLDSVIVKSIGFSGDDEKKTPEWSMGISSQDSESKAFLSLDYGNCQFVIDTETEEMGNQSLKQSMATVKVPQLPILDCSNPKHEAAVKLQKVYKSFRTRRKLADCAVLIENSWWKLLDFAELKHSSISFFDLDKHESAISRWSRARTRAAKVGKGLSKNGKAQKLALQHWLEAIDPRHRYGHNLHFYYVKWFHSQSKEPFFYWLDIGEGKEVNLVEKCPRSKLQQQCIKYLGPMERKAYEVAVEDGKFFYKQTGELLDTSEEPKAKWIFVLSTTRTMYVGKKKKGTFQHSSFLAGGAALAAGRIVVENGILKAVWPHSGHYRPTPENFQDFISFLRDNNVDLTDVKLDSVDEDESFGRTSSGHLRNNSSEEDLADKIDLNIEESDVVDSNIERINSEKGLNKAPAELTQSRPLNCFASKLTNLQIPSKDDLVERFKSESELVQLDPNSLPPESPADGYETAEESSESEKPRPNLSTKELDATKGHAVSEDSILKRISPHSGLKPFQLGNKLSCKWSTGAGPRIGCLRDYPSELQSHALEQANLSPRSACILHRTGHHRRPQSSPLCKKEVHFSC >CDP09684 pep chromosome:AUK_PRJEB4211_v1:1:24318946:24320015:-1 gene:GSCOC_T00030107001 transcript:CDP09684 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSRTSEATQPQPEPVQNQNQNQNQNQNQNRNPSLSQNLSPNPRMSQFRSNKKKWRQIKKKKSRKKLRKKSKKK >CDP03935 pep chromosome:AUK_PRJEB4211_v1:1:34051516:34056036:-1 gene:GSCOC_T00016445001 transcript:CDP03935 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFDMPSAEGMGMGMDDMDLPEDNSLKVGEEKEIGKEGLKKKLVKEGEGWDTPENGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFPLGQGQVIKGWDQGIKTMKKGENAVFTIPPELAYGESGSPPTIPPNATLQFDVELLSWVSVKDICKDGGIFKKILAEGEKWEHPKDLDEVLVKYEARLEDGTIVAKSVEVEFTVQEGHFCPALAKAVKTMKKGEKVLLTVKPQYGFGEKGKPVSGDQGAVPPNATLNINLELVSWKTVSNVTDDKKVIKKILKEGEGYEKPNEGAVVKLKLVGKLQDSTVFVKKGYGDDEDDLLEFKTDEEQVIEGLDKAVMAMKKGEVALLTIAPEYAFGSTESQQELAVVPPNSTVQYEAELVSFVKEKESWDMNTQEKIEAAGKKKEEGNALFKAGKYARASKRYEKAAKYIEYDTTFSEEEKKQSKVLKISCNLNNAACKLKLKDYKQAEKLCSKVLELESSNVKALYRRGQAYMNLADLDLAELDIKKALEIDPNNRDVKLEYKVLKEKVKEYNKKDAKFYGNMFAKLNKLESVDLNKTAPKDAEPISIDSQA >CDP03847 pep chromosome:AUK_PRJEB4211_v1:1:34615159:34616561:-1 gene:GSCOC_T00016343001 transcript:CDP03847 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSNRCSNLKFPSDPTSESMSYDLNLRNSGDGVGANPQEFPNSASNEDPVLHKLREDFGVIVLKKSLETKQRAVVVTGV >CDP06908 pep chromosome:AUK_PRJEB4211_v1:1:27600838:27603877:-1 gene:GSCOC_T00023950001 transcript:CDP06908 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEKEKEKEKAKENGEVTTVTESPAGELEELPKTIVRRLVKDKLSQLSAESEITVFREALQAFSESGRIFIHYLTATANDICKESNRQIINAEDVLKALEEIDFPEFVQPLRASLEEFRQRNAGKRSGSSKAKEADRKGKRKEPSTAEVEDEQDATKSDESEDGADD >CDP17525 pep chromosome:AUK_PRJEB4211_v1:1:19488604:19489788:-1 gene:GSCOC_T00011477001 transcript:CDP17525 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDFLIQEPQLSICILHFWTYFWVQSGCVFLGVWVIAHECGHHAFSDYQWVNDTVGLVIHFALMVPYFSWKYSHRRHHSNIGSIEHDEVFVPKPKSEIEWYLNNSPGRVITLAITLVLGWPLYLAINASGRQYDPYSKGLAWLICIYVLPLLILNGIIVFITYLHHTHTSLPHYDSSEWDWLRGALATVDRDYGVLNKVFHNITNTHVVHHLFSKMPHYHAVEATEAMKPILGEYYRFDGSPLYKAMRREAKECLYVEPDEGSKGVFWYKNKI >CDP04028 pep chromosome:AUK_PRJEB4211_v1:1:33418389:33422769:-1 gene:GSCOC_T00016556001 transcript:CDP04028 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYTQNLNFSPARAVSPIVRTNSDVESQYLTELLAERQKLVPFMQVLPICTRLLNQEILRVSGMISDPRIDEYDRLLRGSPSHVASLDIIQNVGAKGLGFFPNSPHPELLGGPDEMTIDWQSAGGNPSSYVAKRVLRLDIPVDRYPNFNFVGRLLGPRGNSLKRVEASTGCRVFIRGKGSIKDPDKEESLRGRQGYEHLNDPLHVLIEAELPANIIDVRMNQARGIIEELLKPVEESQDLYKRQQLRELAMLNNSFREESPQPRGSLSPFSSSGMKRAKTGW >CDP16783 pep chromosome:AUK_PRJEB4211_v1:1:3740415:3741661:1 gene:GSCOC_T00019295001 transcript:CDP16783 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTKAVSGQQTLKDALCSAMKTSRYAEIISKAESDRVGIQQERERMKTLHSEEKAAPRRGRGAEQLKKEAELVKMREGSCQGYFGDDAKESRARA >CDP14451 pep chromosome:AUK_PRJEB4211_v1:1:9189295:9193246:1 gene:GSCOC_T00040931001 transcript:CDP14451 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYTILGLFIFLIAVKYQYLRKKNRKLRPPSPPALPILGHLHLVKTAPHLALQKLSIKYGPLISLHFGIRPFLVVSSPSLAEECLTKTNDIIFANRPESVSSKYLGYNSTILILSPYGDHWRNLRRVTTIHMFSSIQLQRLSSIWTEEIHFIIKKLFSNNSDEKTWKVKDMSSLFRDLLFNVITKIVAGKRWPSDQPGDIFSPRPITNLCDYIPILRWIGYGGLEKGVISLHQKRDEFLQGLIDQTRKEEAEDGSCPTVRRKTIIQELLSLQEAEPEYYTDEIVKGIIQIMLSGGTHTTSQTMEWALSSLLNHPNVLQKARDELEKMQPGHLLNDSDISKLPYLRCIINETLRLFPAAPTLVPHFSSEDCTIGGYEVPKGTTLLVNVWAIHRDPNLWEEPNKFKPERFEGMDERGWNEGFKFLPFGKGRRICPGAAMAIRLVGLTLGTLIQFFDWERVGPEMVDLEENQGSTLGKAKPLEACYKPRPSMIKTISQL >CDP07031 pep chromosome:AUK_PRJEB4211_v1:1:28978555:28980194:-1 gene:GSCOC_T00024119001 transcript:CDP07031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor FER-LIKE IRON DEFICIENCY-INDUCED TRANSCRIPTION FACTOR [Source:Projected from Arabidopsis thaliana (AT2G28160) UniProtKB/Swiss-Prot;Acc:Q0V7X4] MEGTADILGLEMGNINDFGFIDFMDVTNFEQFIELIRGETAEPAVEFCANFDCDHITGCIAGESEFVQPPEDQLFDFNIASFSEFNLVPEQTKVAEEENDGEEESSATTTTPTNRSKKTDRSRTLVSERKRRGRMKEKLYALRSLVPNITKMDKASIIGDAVLYVQDLQMQAKKLKAEIAGLESSLSQPNNYPGESFLNAKKPNFTINLPTIKKIFQMEVFPVEEREFYVRMVCNKGQGIATLLYKALESLAGFIVHSSNLAAAAETYIFTFTLNVNEFEVEINLPNLKLWIASAFLNQGFDFVALPSS >CDP17031 pep chromosome:AUK_PRJEB4211_v1:1:38044949:38046658:-1 gene:GSCOC_T00004934001 transcript:CDP17031 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGKVVVSVVSLILVVGVIIGAVAVVKHGEDSKNNNVAGSSMKSVTTFCESTNFKDACAKSVESVANNATASPKDYLMAALNATIQEVQKALQVANATGSKVDPKQDPYNHVAAQDCQELLGYAVDELQAAISTVGDSELHTLNDRVDELLNWLSAVYSYQSDCLDEFDKPELRSAFENGMLNATQLTDNAVSIVASLSDLLKGFNISLGNILPPAGGRRLLQDNDVMGHEESFPQWFPAGDRRLLAAHRRAGVLPNAVVAKDGSGQFKTISQALAAYPANLRGRYVIYVKAGIYEENVIVDKKQANVFIYGDGANRTIVTGSKNFGIMHIQTSNTATFSVLGDRFMARGMTFRNTAGPEGHQAVALRIMGDLAAVFDCKMEGFQDTLYYQTHRQFYRNCVISGTVDFIFGKGSAVIQNSVIIVRKGLPNQYNTVTADGKELEKDRTGLVLQNCQIVAEDALFPVRFQVANYLGRPWKKFAKTVIMQTDIGDLIRPQGYIEWQGETFEKTCEYLEFANRGPGAVAPRNKNFTRSRFIDGREASLYTVDSFVQGHRWLRATGVPFTPGL >CDP17559 pep chromosome:AUK_PRJEB4211_v1:1:966267:968243:1 gene:GSCOC_T00011041001 transcript:CDP17559 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSATIQVALQAVVSLATDHVNLVREFPTELKKLNDSAEMIRGFLAGADEEMHSHDPKLVGVQKWLKQLEEEVFKADNVLDELNYENLRRKVKYQNQLTKKKVFFCFSFFNKIGFRWRLGSMIREINKNLLRIHRDAEGLGLAYKHQTDSTIDRRDVLGRDKDESEIVKKLLAESESDRISVISITGPPGLGKTTLAKAVFNTPQFDNHFNKKIWVCVAEEVDKIEKLFKMILESSTGKKAEVDNRQVIVQGIENELKEKRYLLVLDDLWNDQEGLLNDFFTTLAALKQKKGSWCLVTSRLQEAAIVLSRHRRINFTRHDLRKLYDDDCWSIVKDWATVEEEVPKELEALRKRVLRRCDGLPLAATLIGGLLSKKRKEEWLSIFEESLLNGDQGGIEQILKVSFDYLSPAPVKKCFAYCSIFDQDTVLEQDLLVELWMAEGFLQPDSQNERMMEKIGCEYFRILLQTSLLEEVKDEWGTSYKMHDLVHDFAKSILNHNSSNQHRYLAVYSSERETINEKSSASLRTLFLKGGIADDMLSKFKYLHVLKLFGADVEELPNSIGKLIHLHLLDISGSRIRTLPESLCKLYSLQTLRIGKLEEGFPKEMSNLISSRHLHYHDYFTEHKIQMPSEEVIFGW >CDP17690 pep chromosome:AUK_PRJEB4211_v1:1:5747891:5748399:-1 gene:GSCOC_T00013241001 transcript:CDP17690 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRLTKDGQESGRFELSDIPPAPRGVPQIGVTFKVDTNGLLHVIAEDKATKVAIHYL >CDP03720 pep chromosome:AUK_PRJEB4211_v1:1:35593198:35597353:-1 gene:GSCOC_T00016190001 transcript:CDP03720 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNKLLSSRSRSIMQRTESTKTNVVSSTLRDLHSASMNARDSSEAEGRPQKSLNEKQQEYQDLLLRCVAQHLGFSKGRPVAACIIYKCLRQWHSFEAERTSIFDKIIQTIGHAIEKTQDNNDVLAYWLSNASTLLLLLQRTLKAGGTTGVTTQHRRSPSATLFGRMTQSFRGTPQDVNLSLIDGDLARRVDILRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPVLGLCIQAPRLSRATLLKGTARMLANAAAQEILIAHWQGIVKSLGNFLSILKANHVPPFLVRKIFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYNATDEYAGSSWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSLSPDVISNMRVLMTEDSNNAVSSSFLLDDDSSIPFSVDDLSKSMDQIDVLDIEPPSLIRENSGFSFLLPATS >CDP15567 pep chromosome:AUK_PRJEB4211_v1:1:25907226:25918503:1 gene:GSCOC_T00015456001 transcript:CDP15567 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSNMVTAEESDQESTTTTTTTTTATANGPTSGELSGGVDNLGSQASQQSAQQGPGQAGQPSSQQQQSSNTSPVVGPRCAPTYTVVNAIIEKKEDGPGPRCGHTLTAVPAVGEEGSPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPIGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAASETTAAASHAAAAAAASNVQPGRLTGRYVDERMRQSVPEAVTDGAVVLGNPVAPPVNGDMYTDISTENAMLQGSRRLSKGVDYLVEASAAEAEAISATLAAAKARFNGEVDRPDRGDRGPEATPSGKQISSLIKPDSAASNNSAPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIESIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPERHIEDTWMQELNANRPPTPTRGRPQVASDREICT >CDP03597 pep chromosome:AUK_PRJEB4211_v1:1:36542938:36544093:-1 gene:GSCOC_T00016012001 transcript:CDP03597 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVEVLSSISGVYAVEVDAREGLAKVYGEVDPSILLMALSGSGKHAEVAWVRLKHPALSNDCHNSGCHGRYTRRGPSWYDQNGYCHLGQQPFCPRRRAMADHQDPYQCHHGCGGYGYGPYAYPPRADDTAPHCSVM >CDP14093 pep chromosome:AUK_PRJEB4211_v1:1:11319528:11323012:1 gene:GSCOC_T00039293001 transcript:CDP14093 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGVDISSDIEVDDIRCENMAEKDVSDEEIEPEELERRMWKDRIKLKRLKERQKIAAQQAAEKQKSKQTTDQARRKKMSRAQDGILKYMLKLMEVCQARGFVYGIIPEKGKPVSGASDNIRAWWKEKVKFDKNGPAAIDKYEAECRVKVEGVGNQNGNSQSILQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVPPPWWPSGNEEWWIRLGLPKGQNPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRLVRQSKCLQDKMTAKESLIWLNVLSREEALMQQPSSENGSSSISEAPWRGRGQRKKPSVSSDSDYDVDGTDGTDCVSSKDNRRNQPLDVAPLKVVPQSVQEKEQREERPKKKKRTRSNNENMLVSQSVNEHCHDVPKDMAPDINHTGVEYPGYKSENDRTTAMSPIEKDAESQSHLPVAELNHFSTLPSANDIGAENTFASNKSLLHQSAQNLELVPYDSGSHQEAQDFQLHNIPEFSELHDGVPNSGVHYGPQDPSFQNRPQNALLQHGPHPLQHDPHPQSTPLLPGVHNSGMPQAHQYHFYTPHVDIGLNRDGHQSVVAFNEFQPRIENPEVNVPIIQRIGNEATSGDFDHYLKDRFENEQNAPVQNHFIPPLNSLSPDYPFLSMDETLLILLLKYDFWSRSWTCCE >CDP03550 pep chromosome:AUK_PRJEB4211_v1:1:36919447:36923321:-1 gene:GSCOC_T00015954001 transcript:CDP03550 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAKQQKESSNWWWFDRLSISNNNQKRSAWLQSTLSELDEKTKAMLKLIEEDADSFAQRAEMYYKKRPELMCMVEDFYRTHRSLAERYDQFKSESGTRLLAPWASPLSVTTKLTKCRPEKLMSLSSMDKSYDSYSETYGTEELEEESDVEDPEPEEVVLYDKEAAKEEVSSGDDGNSRIMKLMEEIERLKEENNTQKEQLMQKDEEKRDVIRQLSLAMDLLREENVELRKRVVKESVKRQDHFEVNKLEVFWRRLFRN >CDP17091 pep chromosome:AUK_PRJEB4211_v1:1:37581218:37582201:-1 gene:GSCOC_T00005006001 transcript:CDP17091 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKKYYMQSRRNCRCILKALLSRTYMISEAITSLKDRHGSSQPAIAKFVERKYPELLPPNFKKLLSIQLKKFVRSEKLTKVKNSYKISAPKRSGRRICKEDPARGNCYEEGCGQEKQCSWTWTQHEQKEGK >CDP17124 pep chromosome:AUK_PRJEB4211_v1:1:37337953:37341889:-1 gene:GSCOC_T00005047001 transcript:CDP17124 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNYGGFKEKVQGLEVRKTIEALDQVKGLPAKGDVEPGEIPSLVDLGLNPSATLGQNGKPAASASLVGGETEALQRLGRFAAECQAQPNKGNKDDTNDSIYGANFSCKISPWLAMGCLSPRSMFDELKKSVSRTISSASAQKNGGNSPSDTGMNWLMYELLWRDFFRFITKKYSSARQHNAAPVTACTGAAV >CDP07064 pep chromosome:AUK_PRJEB4211_v1:1:29287969:29289784:-1 gene:GSCOC_T00024167001 transcript:CDP07064 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIEGSPGSSMHGVTGREPVLAFSVASPMAPTDTTAKFDLPVDSEHKAKVFKLLSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKQDIGNAGVASVSGSILSRLCMGAICDLLGPRYGCAFLIMLSAPTVFCMSFVSDAGGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLANGTAAGWGNMGGGATQLIMPLLYDVIRKTGSTPFTAWRIAFFIPGWCHVIMGILVLTLGQDLPDGNLGSLQKKGEVRKDKFARVMWYAVTNYRTWIFALLYGYSMGVELSTDNVVAEYFYDRFDLKLHTAGVIAATFGLANIVARPFGGFSSDFAARKFGMRGRLWVLWILQTLGGVFCILLGRANSLPIAVTMMILFSVGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLIFFTTSKYTTSQGLSYMGIMIVCCTLPVTLVHFPQWGSMFLPPSKDVVKGSEEHYYSSEYTEEEKQKGMHQGSLKFAENSRSERGRRVASAPTPPNSTPNHV >CDP07091 pep chromosome:AUK_PRJEB4211_v1:1:29601423:29609458:1 gene:GSCOC_T00024207001 transcript:CDP07091 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQVERVLLCLINLVWIQQGFSVVDGYPNTSETSNWTCSCSTNDQSNLTFAVPTSCYSSCDCNPATGGSGENRWMCLCASDGLPKVATGNNYTSCFSVCKCSSGAWIEEQSSKKRITSKVLIIILLICITLTTLAFVASLLCYIYRKDKWHTHRSLFSSDKQSSDKQTSCNSATSLISQGASYFPTYGGLFSTRVKSTAGCFPKASLLLRNKSGALQGTITEFSYSELESATNKFSDSHLIGVGGSSHVYHGHLKDGKVVAIKRMKTLVGSDAESIFLTEIELIARLHHCHVVPLLGYCSERRGKHAERLLVFEYMSNGNLRECLDGASGNCLDWGTRVTIALGAARGLEYLHEAAAPRILHRDVKSTNVLLDENWRAKITDLGMAKQLHSDGIPSCPSSPAKMQGTFGYFAPEYAIVGRASLKSDVFSFGVVLLELISGRQPIQKSDSKGEESLVIWATPRLLDSRRVTSELPDPRLKGTFNEEEMQIMAYLAKECLLLDPDSRPTMSEVVQILSTIAPEKCKRKNMSVQLFQSSFSGVKGSGSINKLTFDPVENEEIKHIMLDKNLGGESFALDVSSKPCLEEYEKVADPVSVEYIEKLILLSSGARSWHSQEDEAVDLTEPRYESFCMSNVNVQ >CDP18586 pep chromosome:AUK_PRJEB4211_v1:1:180581:183123:1 gene:GSCOC_T00012417001 transcript:CDP18586 gene_biotype:protein_coding transcript_biotype:protein_coding MAINNDVLPSSSSSSSYSSSFFIFASSSAFTALVVLLLLFLYSNKVVKPRRRDVKPCLKLPPGSYGWPIVGETAEFFRRCMEGAPETFINDRVERYQLVQYKYCPFSFNIVQYYCSFLTRTYVICDDQGKEEVTVFPAAKLYLFELACRLFLSLEEPQCIAKLAADFNIFLKGLVSNPINFPGTRFYKALRATAAIKKQLVTLVRQRKVALQMGRASPSQDLLSHLLVTPNQNGAFMSEAMIVNNILMLLFAGHDTSAAAITSLVKALGEHPQVYHKVFQAEQSEIASSKVGAAGDDELLQWEDIQKMKYSWNVVSEVMRLTPSGIGVFREALTDLKYEGYDIPKGWKLYWSASFTHRDANLFPEPTKFDPSRFDEGGDHTLIPFSYVSFGGGPRMCIGKEFAKIIILTFLHHLVNRFRWDLVIPDEKVEYDPTPIPVKGLPVRVLPHIPSVP >CDP06861 pep chromosome:AUK_PRJEB4211_v1:1:27250258:27256906:1 gene:GSCOC_T00023892001 transcript:CDP06861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor E2FB [Source:Projected from Arabidopsis thaliana (AT5G22220) UniProtKB/Swiss-Prot;Acc:Q9FV71] MQQQQQQQQQQVLKKQLPFTSMKPPFGDYHHFSTDPHRPPLEPEAVIVKSPPPAPPPLKRKNDVVDVEVESNDQNHGFGYTDAANVSAKTPVSGKVGKAQKAPRMTKASRSGSQTPATNVGSPSGNHLTPVGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGEVDESVASLQADIENLTMEEHRLDDQIREMQERLRDLSEAENNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEINAVEAPPDIPSTSGVNENLATTSVTKESGGDNIQIEEQEDNRMCSDIGTSQDFVSGIMKIVPDVDSDADYWLLSDADVSITDMWRTESGVDWGDLSAIQENYAMPSVSTPRAQTPPPHTTEVPSATNVSGS >CDP03742 pep chromosome:AUK_PRJEB4211_v1:1:35421878:35427310:1 gene:GSCOC_T00016217001 transcript:CDP03742 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKLVRDLFIARQPLFRQLLAPQMVSSGATRLRLTTQVNGFGVRRQFSVFNEFSNKIKGEASKNQDFQQSVKELKEKAEELKGVKEDLKARTKQTTEQLYKHVDGVWTEAESTAKKVYTDVEEKISAAKAEVKESFGFRKEESSESTGASENIHSDGKDGGEMRFGEQKQQEQHQQSGPSNNAGAFFDKFKSGVSSVSAKASMAFQKVKEAKPIDIVKKGYDIVKDELQGNAVKRKHLEHSPQTADPSIKVGKSTRTDLVILPSKQSKWGKKWDTFMEKMRGHPLYKRVRGMSEPVVTKSQEIAEDVRERWDTSDHPVVHKIQDLNETVFGETDTAMSFKEIRQRDPSFSLPEFVSEVQEVVKPVLNAYFKKDFEVLKKYCSSEVVERCKAEHNAFESQDIFFDHKILHISDVEVRETKMMGDSPIIIVTFQTQQIYCVRDRHGAVTEGGKDTIHTVYYAWAMLQVPPEELGEGAIYPIWRLREMQQFGVQALI >CDP07089 pep chromosome:AUK_PRJEB4211_v1:1:29589996:29590667:1 gene:GSCOC_T00024205001 transcript:CDP07089 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNLCSNGIYQNCNQRSHAPYPILTKPIPLLNYRCKPTVIHHDEEGGTWRFKSKQIPKIICSKGH >CDP03697 pep chromosome:AUK_PRJEB4211_v1:1:35779590:35780020:1 gene:GSCOC_T00016155001 transcript:CDP03697 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHGLKEVRNRKAGFLPPCVCVSVILFSNIIDWGSSFQFSFLMRGYLRIHAVWFSRGETCK >CDP06953 pep chromosome:AUK_PRJEB4211_v1:1:28200009:28223112:-1 gene:GSCOC_T00024013001 transcript:CDP06953 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDNRRRDRRDTRISKKQKLILNAEEQLESKLGFDLFTEGDKRLGWLLTFASSSWEDQGTRKVYSCVDLYFVCQDGSTFKAKYRYRPYFYAATKPKMEMDVEAYLRRRYEGQIADIEIIEKEDLDLKNHLSGLCKSYLKIAFDTVQQLMSVKNDLMHIVERNQEKLDASEAYEFILTEKSKQRPQDFIDCITDLREYDVPYHVRFAIDNDVKSGQWYDVTVSSSGIELQRRTDLLQRAEVHVCAFDIETTKLPLKFPDAEYDSIMMISYMVDGQGYLIINRECVGEDIEDLEYTPKPEFEGYFKVSNVKNEEWLLREWFAHMKEVKPGIYVTYNGDFFDWPFLESRAAHHGFRMNDELGFQCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAKERPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMPPDEVLRKGSGTLCEMLLMVEVGELTYATLAYKANVICPNKHQSDPEKFYNNQLLESETYIGGHVECLESGVFRSDLPTSFKLDPSAYEVVFLIVSVSYLCAYFYDRKDAMCLLFHEQINFSILSVRHVKILPNPLHYLFNHKFPALHHYLSIMYLVYFYEYVSVILKGHDNFSRIYNKQERWLGSIRLVEGKMDLESISNYYEVKDAISEKLIRLRDDPVHEECPLIYHLDVAAMYPNIILTNRLQPPSIVTDEICTACDFNRRGKNCLRKLEWVWRGETYMAKRSDYHHLKRQLESELVEGADGQSAKTFLELPKAEQQSKLKDRLRKYCQKAYKRVLDKPVTELREAGICMRENSFYVDTVRSFRDRRYEYKGLNKVWKGKLTEAKASGNPMRIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGAVTYTGAKIIQNARLLIERIGRPLELDTDGIWCALPGSFPENFTFKTKDPKKKLTISYPCVMLNVDVARNNTNDQYQIELTVNSNYVQTLKDPITRTYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGCTLEECYSAVASVANRWLDLLDSQGKDIADSELLDYISESSTMSKSLADYGEQKSCAVTTAKRLADFLGDTMVKDKGLRCQYIVACEPKGTPVSERAVPVAIFETEPEIMKFYVRKWCKISSDGGIRSIIDWSYYKQRLSSAIQKTITIPAAMQKVANPVPRVIHPDWLHKKVREKDDKYRQKKLVDIFGSLSKNNCLKGSSDVISNKHGVDEQNAADLEDFGKISRTFPVGPRPVVHCFDANKVQQLSKTSSELDCPPQQTALSKESIDRNVDYRGWLELKKRKWRETREKRKCQRLDAARKSNKQNGTDVLPGFMFKYGKAKSRVGVNSYFENHQMALTRSHWQIIQLVPSPQHGHFFAWVVIEGVMRKIPITVPRVFFLNSKAPVTEEFPGRRVNKVLPHGHTSYNLIEVIIEEEQFKEESKKLAAHLADPEVEGIYETNVPLEFNAILQLGCVCKVDKKAKRRNGQDSWSMSELHMKTTTECSYLEQSLSFFYLYHSISEARAIYVGYFPASRLISVLVVNPFQNKELSPQILERLFREACQALSFQQPNSAERMSFKVEYVGHVKDAEKNMQRMINDYRDRHHGPVIAVIECPNSDLLKSNIQALDDFPCVNIPSNARDSQYQALGWQIVAAKIGMHRCAASSQWLNERITLSRYAHVPLGNLEVDWLLHTADIFFSRALRDQQQVLWISDNGIPDLGGVNEEMSSFIDEVNQPILNYPGAYRKVSVELKIHHLAVNALLKSNQINEIEGGTLFGLDQDLNPATKFPDEQYCFDEVTSCAPAFRVLKQLIQRCLADAVTSGNVFADAMLQRLYRWLCSPKSKLHDPAIYRMLHKVMQKVFALLVAEFRKLGATIVFASFSKVIIDTGKSDLLAAKAYCDSLLKTLQTRDLFEWIEFEPLQFWHSFLFMDQYNYGGIQASFQDESSNDKARPSDVTIQKESEVEIVSSWNIAENLPKLTQDHFILIVSEFMYMPWKFAQEQAAKRACLADGDLCTPSITAAAAETFDLQMTEDLKNKIRSYFTDKLLKIVCDPSLLMKRKSRDQQNIMNTNTQPLESCHQGDPALEFIKYVSTVLALDQSVQHEVLIMRKNLLKFVRVREFAPEAEFYNQSPSFTLPNVICSYCNECRDLDLCRDRALLGQEWRCAVPQCGQPYDRELMENALLQIVRQRERLYHLQDLVCLKCNQIKAAHLAEHCSCAGSFRCKEDVSEFRNKMQVFLNIAQDQKFQLLQECTSWILEVSQFW >CDP03593 pep chromosome:AUK_PRJEB4211_v1:1:36564726:36570175:-1 gene:GSCOC_T00016006001 transcript:CDP03593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G02930) UniProtKB/Swiss-Prot;Acc:Q9ZT91] MATVAFRKPNSRRLLTLSSQIYSCCRASLVSPRFSVSESLSANEASTASNPWWRSMATFTRTKTHVNVGTIGHVDHGKTTLTAAITKVLAEQGKAKAVAFDEIDKAPEEKKRGITIATAHVEYETAERHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPYIVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGEEIPIIRGSALSALQGTNDEIGKKAILKLMDEVDRYIPNPVRQLDKPFLMPIEDVFSIQGRGTVATGRIEQGTIKVGDEVEIMGLMQGNLKSTVTGVEMFKKILDHGQAGDNVGLLLRGLKREDIQRGQVIAKPGTVKTYKKFEAEIYVLTKDEGGRHTAFFSNYRPQFYLRTADVTGKVELPENVKMVMPGDNVTAVFELISPVPLEAGQRFALREGGRTVGAGVVSKVLT >CDP04023 pep chromosome:AUK_PRJEB4211_v1:1:33465175:33469855:-1 gene:GSCOC_T00016550001 transcript:CDP04023 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAEGLWGLADQHENQGEMGKAIKCLEAICQSQVSFLPIVEVKTRLRVATLLLKHSNNVNHAKAHLERAQLLLKSIPSCFELKCRAFSLLSQCYHLVGAIPSQKQTLNKGLELTATSGDGFTGRLWFCNFNSQIANALIIEGDYKGSITALEHGYICATEMCYQELQMFFATSILHVHLMQWSDVSLVEGAVKRCNMLWESFSPEKGQQCLGLLFYNEMLHIFYLLRVCDYKSAAQHVDRVDAAMKSDLERTQHIREIKKEIDSVNLSLSRPDLGYRDRSALSEKLRRLEEQLNSFTGVIGTSSY >CDP13156 pep chromosome:AUK_PRJEB4211_v1:1:1573993:1578755:-1 gene:GSCOC_T00038001001 transcript:CDP13156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOSYSTEM I ASSEMBLY 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34860) UniProtKB/Swiss-Prot;Acc:O64750] MASQLFSHYSATVASAFPLFSSPRFKSSPTHSKLEPARFNLRCSLGDENCSPSDHSSQPSDKVGLETSISRRLCLTCLCSTVALINISSSSVSEPKAIASEAKERAVCRNCGGSGAVVCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDAKQLLDKMYNGRLLPNS >CDP09625 pep chromosome:AUK_PRJEB4211_v1:1:23407542:23407973:-1 gene:GSCOC_T00030013001 transcript:CDP09625 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNKKRGKNEADDEKRELVYKEDGQEYAQVIRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLREYQDDKADVILKYMADEARRLQQYEELPESIRVNESVMDPEDDDGGFDDYVDFEDEDIDRI >CDP07000 pep chromosome:AUK_PRJEB4211_v1:1:28672723:28673293:1 gene:GSCOC_T00024079001 transcript:CDP07000 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVFVCDEDERVLARQIAPGACPYCGGMVQALDVEKEWRFCFVPLYFKAKRKYFCTICARRLTIQ >CDP03524 pep chromosome:AUK_PRJEB4211_v1:1:37116387:37122943:1 gene:GSCOC_T00015923001 transcript:CDP03524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MRIRSLKNLATPIIIHKKTLWLLGIGGRGRTTNFNPVIGALTLSSSPYQNDHSLAYTENPNFKISKRWHIGHSDHHRDHEDDLLSGKEGERIFRLGLASDVGLAAGKALTGYLSGSTAIIADAAHSVSDVVLSGVALLSFKAARVPKDKEHPYGHGKFETLGALGISAALLATAGGIAWHAVDVLLGFWCAAPEVINQSLAHEHMHGHGQGGHHHGIDMAHPVLALNMTIVSIAVKEGLYWITKRAGERANSRLMKANAWHHRADAVSSVVALIGVGGSILGVRFLDPLAGLVVSGMILKAGLETGYESVLELVDAAIPSQLMEPYRNAILQVEGVKGCNHLRGRRAGSFLYLDVNVEVDPFSSVSAAHDVGENVRRKIQQSHPEIAEVFIHIEPSSSGIPPVHSATEEDGKSNENPSVSLECSDVEDIVSNILSSKFAEKLTVQRITRHMLQGRILLQIEVTMPPDMLIRDAVKVAEEAEKVIFEAVPNNVSISMQLRLAHATPNLHYEWKESDNGSRVTSP >CDP03882 pep chromosome:AUK_PRJEB4211_v1:1:34399992:34401687:1 gene:GSCOC_T00016384001 transcript:CDP03882 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKCKQPEKAHSLFHMMIDEGCAVNTEAYTALLSAYGRSGIFDKAFSLLEEMKNTPSCRPDVFTYSILIKSCLQVYKFDEVQALLTDMASEGIKPNTITYNTLIDAYGKAKRFAEMESTLVQMLRQRECKPDVWTMNSALRAFGGSGQIGLMEKCYEKFQSSGIDPNIKTFNILLDSYGKTGNYEKMSAVMEYMQKYHFKWTLVTYNIIIDAFGRVGDLKQTEFLFRLMQSERIKPNCITLCSLVRAYGQAGKAEKIGGVLRFIENSDVTLDTVFFNCLVDAYGIMGCFTEMKGVLEMMERKGCKPDKVTYRTMIKAYSLGGMSSHAKEIQNVLASLEKIS >CDP06944 pep chromosome:AUK_PRJEB4211_v1:1:28053550:28062139:1 gene:GSCOC_T00023997001 transcript:CDP06944 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEKPNWVKHEGMQIFSIDVQPGGLRFATGGGDHKVRIWNMKSVGRDLDTDASIPKLLATIRDHFGSVNCVRWAKHGRYVASGSDDQVILIHERKPGSGTTEFGSGEPPDIENWKVAITLRGHTADVVDLNWSPDDSTLASGSLDNTIHIWDMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVIVVKFNHSMFKRSSSNGQDLKSGSLGWTNGSAKTGGKDSQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGTVATFHFDPNELGHRLSDAELDELKRNRYGDVRGRQANLAESPAQLQLEAAAAKQNSSKKVTLDGSQKQTSMKPSADLGIATKVAKSQNEDGQKIEGATGDGLNKSASRISSPVKQREYRRPDGRKRIIPEAVGVPVQQETISGSTHSQPLELATSSGPRKDENGILHADSGIREASVRKTVGGSSDIKERSGVNARAAISESLVIEKFPVSADKDGSISIEQTGLVKHQDSATSGNNLSIRVFDKKAGEDTLPVCLEAHPREHAINDILGTGTTTVMKDTEIVCTRGAQTLWSDRISGKVTVLAGNANFWAVGCEDGSLQIYTKCGRRAMPTMMMGSAAIFIDCDEAWKLLLVTRKGSLYVWDLFNRKCLLNDSLASLIASDPKSNNRDAGTIKVISAKLSKSGFPLVVLATRHAYLFDTSLMCWLRVADDCFPASNFASSWNLGSVHGGELAALQVDVRKFLARKPGWSRVTDDGVQTRAHLETQLASALSLKSSNEYRQCLLSYIRFLAREADESRLREVCESFLGPPIGMDEAKSPDVKPLWDPCILESGMRVYVDICMGISTFLCMSAFEWE >CDP18572 pep chromosome:AUK_PRJEB4211_v1:1:290029:293677:-1 gene:GSCOC_T00012402001 transcript:CDP18572 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIGYRANALLTFAVTILALMCAIVSLSDNLNSPSPTAQVQVLNINWFQKKPDGDDEVSLTLNISSNLQSLFTWNTKQVFVFVAAEYETSKNALNQVSLWDGIIPSKEHAKFWIHTTNKYRFVDQGSNLRGRDFNLTLHWHVMPKTGKMFADKIVMSGYRLPEAYR >CDP11091 pep chromosome:AUK_PRJEB4211_v1:1:7813967:7814750:-1 gene:GSCOC_T00033114001 transcript:CDP11091 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSCNNVNDILATGFNGTTFAFPNALDIDQANQIVYFTDAGAIFFSRNLSTIIQSNDTSGKLYKYDARTKTLSLLLNGLSGPLGVAVSKCGAYVLIDEYITSRVRRYWVSGPKAGFSEILVNLPGSPDNIKRTISGNFFAAVTILNRQTFQTTSSVGQRINGDLGIVEATVNLTAQYTNNLISEVQEFLGKLYIGPSTASFVSVYGP >CDP09024 pep chromosome:AUK_PRJEB4211_v1:1:31123927:31124752:1 gene:GSCOC_T00028194001 transcript:CDP09024 gene_biotype:protein_coding transcript_biotype:protein_coding MIQADGGRKHSHRDALYCIWQVFRPGGQFKIYSEIFKSFLHFTPYYVMQWLNLEDVRALVEEKGL >CDP09038 pep chromosome:AUK_PRJEB4211_v1:1:31220349:31222553:1 gene:GSCOC_T00028212001 transcript:CDP09038 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSGLGIGLSFVFGCIFLGLVAELYYLLWWKKRINNREIEDHFTNYAAEISYLFCWKKSNSTDSRRNDTQELAASVINSEVSGHENDLELGGNGKDGSMQFKGFGEESVESELMRLHNLCGPPRFLFTIKEETKEDLESDDGKSRGDRSRKGSRTRSLSDIIIAVDTPFRTPLPSPPLRTPSLDSYSNIHGFNPLFESITEAELNKLRSSPPPKFKFLRDAEEKLIRRLVEEAERMALKNGGCVRDSVIQPSPNGTVITEEVDGSYVAIIGKDNERELQQQQQPSLCHSTPSQVLPLASSPSTFRLADAKSGMQ >CDP03815 pep chromosome:AUK_PRJEB4211_v1:1:34874106:34877790:-1 gene:GSCOC_T00016301001 transcript:CDP03815 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLHSLPGGLTDATKSLLYSCSNLLPTPPHSSPPRSNSSHITRSPRKTMSTSAQASSSLPPLPEDRIVLGCGLVSADFLATVAFYPNPDDKTRSTSAKLQGGGNAGNSLTCAARLGLKPRLISKVADDPQGKGLIEELEADGVDTSFLVVSEGGNSPFTYVIVDNQTKTRTCIHTPGCPPMIPDDLSTSNLLAAISGARLVYFDGRLHETALLVAQEANRRAIPILTDAESKSEGLDDLLSLSSYVVCSAKFPQARVICKYLTSFLAGVD >CDP14100 pep chromosome:AUK_PRJEB4211_v1:1:11481545:11482455:1 gene:GSCOC_T00039306001 transcript:CDP14100 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLWLSTPDFLLIIIMISGNGASTAFFSLFYCSCFFARQKDLPHKYCFSFSLAILNLPFSQFIGLVLVCAGVAVAASCFCYYSVVRCWTSSYTLVSYSNFVFLLLFSLYSIL >CDP09256 pep chromosome:AUK_PRJEB4211_v1:1:32877453:32880890:1 gene:GSCOC_T00028507001 transcript:CDP09256 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGEEKLIAVARHIAKTLGGHTDNMTDDDILKIFSNFDGRLREKLTDNLPGEDPTASAKFEHILKPLHRQISIYVAADHPIWADSSDAASFLGAVDELTALTREWSPMGHDKSVSSFLDRADDLLQQAMYRLEEEFKTLMELGTESFDVTRLPDGESANPNYASDTDEEEFDGEGSEIPVAHPVSDYDIVIDALPGGTIDDLHEIVKRMVAAGYGKECSHVYSTCRREFLEESFSRLQLQKFSIDEIHKMQWSEIEDEIDKWIKAINVALRILFPSERRLCDRVFFGLSSAADLSFMEVCRGSAIQLLNFADAVAIGSRAPERLFKVLDVYETIRDLMPEFELIFSDQYCALLRNEAVTIWKRLGEAIRGIFMELENLIRRDPAKAAVPGGGLHPITRYVMNYLRAACRSRIALEQVFEDSLAPLGASGDYMKGDERGLSASSSLAVQMAWIMELLESNLEAKSKIYRDSALCSVFMMNNGRYIVQKVKDSELGSLLGDDWIRKHTAKVRQCHVNYRRSSWNKVLGVLMIDNSASSPNGASKSLKERLKLFNTYFEETCRVQSSWVIFDDQLRDELRISVAENLLPAYRSFLGRLRSSLEFGKHADRHIKYSDEDIGARINDLFNGNGGSGGGRK >CDP04018 pep chromosome:AUK_PRJEB4211_v1:1:33498595:33500424:-1 gene:GSCOC_T00016545001 transcript:CDP04018 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTALNLGYLGSSIANVPTHNGQKPLLLHGATSQSLPLLASPNHLLKLGHTNCSWRTTTPVRTAVAAVQSSDPSEKQESELKKYHFVVANAKFMLDEEEHFKELLFERLRLYGEREKEQDFWLVIEPKFLDKFPAITKRLKRPAVALVSTNGPWITFMKLRLDRVLQESYEADSLEEALASNPANIEFEKPDKWSAPYPKYEYGWWEPFLPPGSKPAKV >CDP13086 pep chromosome:AUK_PRJEB4211_v1:1:2675157:2675267:1 gene:GSCOC_T00037863001 transcript:CDP13086 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTGKPCCPQNLPVYTEIQKCMGIARNQILALIPT >CDP09011 pep chromosome:AUK_PRJEB4211_v1:1:31057812:31058825:-1 gene:GSCOC_T00028177001 transcript:CDP09011 gene_biotype:protein_coding transcript_biotype:protein_coding MQIWKGARFTYTSPMARWLLSRPSSTKLFVGGLSYDTNETVLKDAFEMHGEIIEGNMLYP >CDP04048 pep chromosome:AUK_PRJEB4211_v1:1:33231803:33244914:-1 gene:GSCOC_T00016582001 transcript:CDP04048 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASCTFSSDHIVIKSPNDRRLYRYIQLSNGLCALLVHDPEIYSEGDGAPDGAKGRHISDADEDDDEAEDSEDYEDDEEVDDDDEDEEEDEIVQDKEKKGVSQKKAAAAMCVGMGSFADPCEAQGLAHFLEHMLFMGSTDFPDENEYDSYLSKHGGSSNAYTETEHTCYHFEVNREFLKGALRRFSQFFISPLVKAEAMEREVLAVDSEFNQVLQSDSCRLQQLQCHTSTPGHPFNRFFWGNKKSLVDAMEKGINLRERILNLYNENYYAGAMKLVVIGGESLDVLESWVLELFSSIKKGIRTKEVSVPELPIWKAGKLYWLEAVKDVHILDLSWTLPSLRHEYLKKAEDYLAHLLGHEGRGSLLFSLKAKGWATSISAGVGDEGMQRNTMVYIFGMSIHLTDSGLEKIFEVIGFIYQYIKLLRQVSPQEWIFRELQDIGSMDFQFAEEQPQDEYAAELAENLLVYPPDHVIYGDYAYKLWDEEMIKHVLDFFRPENMRVDIVTKSFNKSHDVQNEPWFGSKYAEEDIPSSLMELWLDPPENDMALHLPVKNEFIPVDFSIRAESASGELAGVSTPKCILDEPLIKFWYKLDKTFKLPRANTYFRVTLNGGCGNLKNALLTELFVLLLKDELNEIVYQASVAKLETSVSLYGDKLELKVYGFNDKLPVLLFKVLTMTKSFSPKDDRFMVIKEDIERTLKNTNMKPLSHSSYLRLQVLCQSFWDVEEKLSLLNDLSLSDLRAFIPDLLSQLYIEGLCHGNILEEEAVVISNIFKSNFSVPALPVEMRHKECVMCLPSGADLVRDIRVKNKLEKNSVVELYYQIEPELESGLTKLKALVDLFDEIVEEPLFNQLRTKEQLGYVVDCSPRVTYRIMGFCFRVQSSEYNPVHLQGRIDNFINGIEEMLEGLDNESFENYKSGLMGKLLEKDPSLLYETNRFWGQIVDKRYMFDLSEKEAMELGSIQKGDVIEWYRTYLRHPSPKCRRLAVRVWGCYTDWNDVNTQLSAAQLIEDLATFKKLSAYYPSMC >CDP06925 pep chromosome:AUK_PRJEB4211_v1:1:27841272:27867169:1 gene:GSCOC_T00023972001 transcript:CDP06925 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNPYIEFAEELYPNVWSLKLLCLVPKTRDRPSSMEKLQVLLRHPIFCNLFLLLVYVQACSNLAMAGSIVKFLPGFEGPLPFELETGYIGVGESEDVQLFYAFIKSESNPQSDPLILWLDGGPGCSSFSSLFFGIGPVILEPLSFDGTVPKLVLNPSTWTKVVSIIFLDSPAGTGFSYAKTAQASQSSDFLASDQAYEFIRKWLHDHPEYKSNPFYVGGISYGGIPVPILTQLISNGNEDGIEPRIDLKGYILGNPVTKVSGLLNYRVPFAYGMGLISDELYESLKVSCKGEYEITDPSNPVCSKNMQGYNEASNHIYAIFIVQEALHVLRETIGQWVSCRDALPYTKNAGSVVPYHANLSTKGYRSLIYSGDHDLMVPHIETQAWIRSLHYPIIDDWRQWIHEGQVAGYTRTYANKMTFATGGGHVAYELKPAECRTMLERWISHQPL >CDP11061 pep chromosome:AUK_PRJEB4211_v1:1:8933561:8936458:1 gene:GSCOC_T00033062001 transcript:CDP11061 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQFLVRHKEAELIVPAKTTPEEIRPLSDIDDQKGHRFHLPMIMFYSYNQCMDEKNPVGVIRNALAKALVYYYPYAGRLIEGPSDKILVNCTAEGVVFREAIAEVRLDQLRDFMQPPFPYSKEFLIDASGSTAILDSPLMLIQVTRLSCGGLVLAIRINHTISDAIGFVQFLNAVSQISKDPSSAPSPLPVWQRWLLSARNPPYVTCVHNEFEVEKNSNSCTEPTTFDSPLNLVRKGFFFGAQEIKAIKKYLPPNIPYASKFDLVTAFVWRSRTIALELDPEEIVTLTYAVNVRDKNKPKLPSGYYGNGFVSPAAVSKVNQLCNNSFVYALELVKKAKHEVTEGFIKSAIDYSVLHGKPGYSTLLKDWIVSDASRAGIDDVDFGWGKPIYGGTMDGGPTFNMTVYSQFRNNQGDDGLVVPVCLPVAAMENFQKEMEKMIKGPMDECNKFWHPRIISML >CDP15578 pep chromosome:AUK_PRJEB4211_v1:1:26187988:26191195:-1 gene:GSCOC_T00015471001 transcript:CDP15578 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYMHEMEVDLLIFPLQDKIRIIEAELAANSTEAAAFDSPSIMVEEFKRGKAGLLEINNNEHSSEALKAAKLSTVLRSQGTPSMFNQEVVGYKDEAEQLICQLTRGSKQLDIVSIVGMPGQGKTTLANEVYRDALITCHFHIPLEAKPTAKSLPLRQLTDDESWELLQKKLAERNGHPPVKSVLGRHIAKGCKGLPLTIVIIAGVLATLDQDGWEEVAEMLSSKVVCGTEQCMDILELSYIHLPKHLKPCLLYFGAFLENQEIPIWRLMRMWIAEGFVHKTDLKSLEDTAEDYIMDLIGRSLVIVSKQKSTGTVKACRIHDLLHEFCVTKAKEQKFLKLRHCYAEILNFDEPSSTHRLFVYTNGENFERSRLFCPLLRTLLVSAQSHEPKIQSNFHFVVRIFKLLRVLDFVKINLGSIFPGEIALLVQLKFLAVRGTMKYIPSSIANLSRLETFVLESWHHSLSLPDSIWNMQTLRYLHARGSFFNLSLAKDNDDSSSDLYNLHTFSALKLILGQNVNKIIAKFPNICKLKCRFKETKESVGEWSKIVVMDFLTRLESLNLSFGLVDENPYEFHLPLNLKKLTLKKFPWSIISTTRKLPNLQVLKLVGAKRGGTEIWNMEEGEFPKLRFLKLVSLHIVRWTGSGDHLPCLQKLVVERCGKLEELPSCLGYIPTLEKIEVRQCRPFVRSLVHEIGEEQMSGGNEDLKILILEEIEDSSSSDSVDGRYVLFCIIAHYKTSLAIVVETNCIFVKKRCCILRVMKNKNSVMSSSIDA >CDP09657 pep chromosome:AUK_PRJEB4211_v1:1:23869627:23873808:1 gene:GSCOC_T00030066001 transcript:CDP09657 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLGKPAKLANASSSQFLETKTEPGNVKQDSTPPNQQSLSGSTNRPLQVLKPDPSISSNLKSYNFNDLKTATKNFRPDSILGEGGFGFVFKGWIDEHTLAASRPGSGMVVAVKKLKTESFQGHREWLAEVNYLGQLHHQNLVKLIGYCSESDKRLLVYEFMPRGSLENHLFRKGVQLMAWATRMKIAVDVARGLSYLHSLCANVIYRDMKASNILLDSDFTAKLSDFGLARDGPSGDRTHVSTRVMGTRGYAAPEYVATGHLTPKSDVYSFGVVLLELLTGKRAMGDENIGGNDETLVDWAIPFLGDSRRVTRIMDTRLGGQYNKKAAQAAAGVALQCLHIDPKLRPAMPEVLTNLEVLLTAKDSPRLPLQSKLDTNVTLPEKSTHPQKNARASSHPR >CDP03880 pep chromosome:AUK_PRJEB4211_v1:1:34420598:34422629:-1 gene:GSCOC_T00016379001 transcript:CDP03880 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPSSPLLLPPSNLTQPTATATAITTSNLPSPDIKPKLELIPAFNPNPNSNSNSHTPTSPPKKTQPLPWTHQETICLIQAYQEKWYSLKKGQLKASQWEEVSITVAARCGYDVPSKSATQCRHKIEKLRKRYRAERLKPYPNSWQYFELMDRMERGPLPISAARPVAAVKYKKNANSVGGGGNYDESDSEEGGGHYGGLEVKKNKLKSINNIVRGGEIGVSGSSSSNRNVNRMGMNRNFGGVGESARVLRGLRTPMNQKRKGYFQNDESDEEDEEERDEEEGLEEDKEVVVGRELASEIRGFAERFMRMENKKIEMMRETERYRMEMEKKRMDMILEAQRKVVDTIGRAFGADKKVKMAHEN >CDP08949 pep chromosome:AUK_PRJEB4211_v1:1:30443296:30447992:1 gene:GSCOC_T00028092001 transcript:CDP08949 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRSITSSFLVEIKNPNNKFPLHYLYKIGPRSFCCNFNLSKPKKHPIYKCSFTVSSSLDKEAQTLIQQFNPQIPIEEALTPPSSWYTDPSFHSLELQQVFYRGWQAVGYTEQIKDPHQYFTGRLGNVEYVVCRDGNGNLHAFHNVCRHHASLLASGSGQKSCFVCPYHGWTYGLDGTLLKATRISGIKNFRVHEMGLVPLRVAIWGPFVLLNFETENLLQQESQSNIVGDEWLGSSSELLSTRINDSSLKFLCRHEYTINCNWKVFCDNYLDGGYHVPYAHKGLASGLKLESYSSIVYEKVSIQKCDGDATESAPDFDRLGSKALYAFIYPNFMVNRYGPWMDTNLVVPLGPRKCQVIFDYFLDTSLKDDHDFVERSLKESEKVQVEDIRLCESVQRGIESPAYCCGRYAPTVEKPMHHFHRLLYENLCN >CDP17671 pep chromosome:AUK_PRJEB4211_v1:1:5383501:5385003:-1 gene:GSCOC_T00013212001 transcript:CDP17671 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSCKLSCCFWLLTSLMLFSVARSQLSIDFYSSTCPNLLKIVRTEVQKAIMNEIRMAASLLRLHFHDCFVNGCDASLLLDGSNSEKLAVPNLNSARGFEVVDTIKNAVESACAGVVSCADILAIAARDSVLLSGGPAWRVLLGRRDGLVANQTAANASVLPGPFDSVNTIIAKFAAVGLDVTDVVALSGAHTIGLAKCAVFSSRLFNSSGTGAPDSTMDTSMVSDLQSLCSQTSDGNNTAPLDRNSRDLFDNHYFQNLLSGKGLLQSDQILYSSDAAASTTRSIVENYSKNSVLFFNDFVNSMIKMGNISPLTGSSGQIRKNCRVVNS >CDP03556 pep chromosome:AUK_PRJEB4211_v1:1:36863622:36871714:-1 gene:GSCOC_T00015961001 transcript:CDP03556 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSDLLICTTLECETKEEMLAAIHRAKEEGANLVELCIDSISFSHISEVEGLLRQRTLPSIVSFRSNLRRGRGCSSKKTGLQVLRLAVELDVEFVQVEFEMAKEEVITELMSRRSNSKIIISNYINNGGGGGTLCKEKLGNIAILLQSTGADVIQLVVDVAYITDVAPLFHLLVHSQVPLIVRAVGDRGLISQLLGPKYGAIVVWGSLGDKPELGLPPLRSIAQVYNLEFINPDTKVFGVISNPVGHSKGPLLHNPAFRHTGYNGIYVPLLVDNIKEFFRVYSSSDFAGFSVGIPHKEAAVGCCDEVDPFAKSIGAVNTIIRRPTDGKLIGYNTDCHACITAIEEALRERQIINGQASHTSPIAGKLFVLIGAGGAGRAMAFGAKSKGARVVIFNRNFERAKALASAVSGEALPYECLDTYCAEKGMILANASAVGMQPNADQTPVPKEALKSYELVFDAVYTPRNTRLLQEAAEIGAIVVSGVEMFIRQALGQFKLFTGGLAPEDFMRKTVLEKF >CDP11065 pep chromosome:AUK_PRJEB4211_v1:1:8850478:8851926:-1 gene:GSCOC_T00033067001 transcript:CDP11065 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRVRHKEAELIVPAKTTPQEIRPLSDIDDQKGHRFHLPMIMFYSYNQCMDEKNPVGVIRDAVAKALVYYYPYAGRLIEGPTGAGKIFVNCTAEGAVFREAIAEVMLEQLRDFIRPPCPFSKEFLVDSFGSTEILDSPLMLIQVSQTFRLTFVSSSSSQIEYLYLIIY >CDP03563 pep chromosome:AUK_PRJEB4211_v1:1:36817469:36818557:-1 gene:GSCOC_T00015970001 transcript:CDP03563 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPESEETRMDWRTNKYVDIFSCMLFESTGDSEADSGFNGADDAGSSHEATAGLAEDDAFSCSCENACYKDFDHPTGTGGVYVANADEVEREEAGEYASHWCDECEDEDVESSGANCYMEVVFKRNRDESEAAELEAESVAGQRNKKKLKVCGAELKKLDERDKDRHFWEACLAS >CDP17039 pep chromosome:AUK_PRJEB4211_v1:1:37980752:37985500:1 gene:GSCOC_T00004944001 transcript:CDP17039 gene_biotype:protein_coding transcript_biotype:protein_coding MDCASNDIQEEEEEEEERLKVRELVRREVEDWDDPVKAVARFKGFSGQRSDWEGRYLFWRDLIIKVARHLGTFIIRPSRLNNIWFRREGALSPLCLHQVLFEMHNAGELLRDSDLTDPTRTSTSPSGRLSLILRKAMHTLGFSTPAQPSDFSEDCYCILSTLLKERALQVVQLLSENHWTSSCVITLTKFQETCGGPKEASAVLSYLSGCGKAKYLVVRKKDVMEGVKVSLSSGEVSEVTSLDYHVLHLMWTVDKLEQQLEVIDQRCRKSKSSAVGCLKSGNRRIALRHARELKLAFQSREKCTLLLNRVEEVLRVIADAESSRKVSEAMKVGAEAMRENKISIEEVDLCLEDLDTSIRSINQVDELLVSAPLSAVLEDEDIDDELKILELEIGEDSSGASISKNGVDSVAAVAEESETVDSLSDALSNLNFKGSAATKAVRKRLSPSSTEVLSV >CDP09205 pep chromosome:AUK_PRJEB4211_v1:1:32463811:32465783:-1 gene:GSCOC_T00028435001 transcript:CDP09205 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVVCDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >CDP17103 pep chromosome:AUK_PRJEB4211_v1:1:37477944:37480370:1 gene:GSCOC_T00005022001 transcript:CDP17103 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKIARREERLAQGPGERPVQPAVSVPPAQTAQVSKKSKK >CDP17341 pep chromosome:AUK_PRJEB4211_v1:1:4994429:4997291:-1 gene:GSCOC_T00009670001 transcript:CDP17341 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVRRLFSSFCGNHFLLLVLVSVRPNLAVAGSVVKFLPGFEGPLPFELETGYIGVGESEDVQFFYYFVKSESNPQTDPLLIWLTGGPGCSSIRGLASGIGWLLCLSLCIYVSTPFPQVHLLCILILIFYSFDEVASMIFLDSPVGTGFSYARTAKASPSTDLQASEHNYEFLRKKTAIFVDKPFLAN >CDP09117 pep chromosome:AUK_PRJEB4211_v1:1:31810169:31810805:1 gene:GSCOC_T00028317001 transcript:CDP09117 gene_biotype:protein_coding transcript_biotype:protein_coding MCALSVASSGKRCSLEAGDEPGLPQCKTSEISVHGIREHIETDECVAACGSDRNVTGISSDSLLDPIFTAKLCSKHCVNNCPNIVDLYSNLASAEGVLLSKLCKSLETSPRRAMSQLQSSGMAPSAPAGAGEGPISAAPAGPALSPTGSADAVTPAASPAPL >CDP17362 pep chromosome:AUK_PRJEB4211_v1:1:4461678:4463305:1 gene:GSCOC_T00009711001 transcript:CDP17362 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTYVPRSVPCMLVLLLMFFSTFCNADLNKDREKCANQLVGLEGCLPYVGGDAKSPTIDCCSGLKGVLQHSRECLCILVKDRNDPNLGLKINATLALSLPDKCHAPANVSECPALLHLAPNSPDAKVFEDFAKNGNGSATAEAGGSSSTDKGSTGAAIKSDGGKGIRRLRIEMVLGLLIIVVLDVILPNF >CDP09159 pep chromosome:AUK_PRJEB4211_v1:1:32104037:32104186:-1 gene:GSCOC_T00028373001 transcript:CDP09159 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPIMAVGKGAADFPAKLTGQVLVCNIIAACGGLMFGYDIGISGSVLF >CDP03547 pep chromosome:AUK_PRJEB4211_v1:1:36939153:36940696:-1 gene:GSCOC_T00015951001 transcript:CDP03547 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGPGWGPGPGGPGWGAGGPGWGAGPGGPGGLGFFGGCAQGICTAITACLSCLCCCWLFQDCFGGPSGPGGPAPPF >CDP13115 pep chromosome:AUK_PRJEB4211_v1:1:2174778:2176700:1 gene:GSCOC_T00037912001 transcript:CDP13115 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHDYDHPSEPERAFRRKTTPDDRLSALPDDILLYILSFFRTTDAARTSVLSTRWRYLFVRLPDVDLTFSEAHKCNFMDKGPTLCCHARNKRDMLLCKSIKFINFANRVIMLRKGAPIRKFKLLLASVIPGNSVTIDSLIFAALSCTLQEVDIFIYSDKNWKLARAGLFSCKTLVTLKVIGGEVDLVLPDSVYLPNLKALHLLELAPVDAQSITRLINACPLLEELELGVESHNLSKRLLTRAVDLSSPSLKKLILSGRLAGCSYVIESKNLEYLEYRARQTQQISLDAPNVKYLIYSSLDSINLIQNLKSLVEAKIRVHCSSYPTERGFSLNDLHAFVNGLESVKSLYLYHSSLQALYLCRELLPTFKNLTDLSLDPSNDLFLTNYDHTCLWKVLPSLLKNAPNLEVLIFDQVFRNSFSASEKFECVLPEAIPICSVHHLKEMEIKKFDGEEHEFELVRYFLKNGISLTKMTLCGVVKPSSECCRRILSFWKCSEDCQILFKELDSNRI >CDP18600 pep chromosome:AUK_PRJEB4211_v1:1:13982:33973:1 gene:GSCOC_T00012437001 transcript:CDP18600 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MWTNVFKIGGLHQISWFQFLPNEFDPSSLSDKSVKVDARDAATSVVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGNHSSVVEKAQPTVSKLRVLASGLWVAPGDSEEVAAALSQALRNCVERALKGFSFMRFGDVFSRYHPSSQSEELYRKGQPVVEFIFAATEEAIFVHAVISAKHIRALSSGDIEMTLKHSTDHFSNRLPVVISPHGMLGRLTGCCPSDLVKQVYFSSVKLKASNEVVGLPYHALHGSGCHLRGQNCYAEITLGGPIGGNDKILAQNSGLHKNLSRHNLTESMPETKVNQKGPLEPSRVFIYPAEAVLVPVMQTSLARSCLKSRFWLQNWIGPSLFASSFFMHRSTTRSLCCSFYFSSDCKADSKDGSRLESNSLRSQHGYHSSSSSNNSSISSISSSSSDSDYRTSGAGDLEADADSLTCRQSGLSSIDQSHDILKLGSKRVHSGMSESFNQAGAVINPSTSDYGSIEVNNSAIIGGSNHPVGWGWDDDDRGMGMDIQALLSEFGDFGDLFEDDVLPFGEPPGTAESQAVVISAQDCGDFGSSPSTSMMDVSDQMLLPVGFPSFESFHSPPAPVAMDDSLTQNQDLTKTVSVPGKVNCPPASTSGEFDHVVKAEALMTFAPEYGAVETPSVEVTSSIFRSPYLPKSRKTETENSCSNKYVYSATPPSPCVDDSDEKSGITVNMKICSGRQDKIAVPQSKKYYTHIDGGIHKSNGMSSTCDDGTAAHEPVTMSSSLGLNSLNAVKSVSSKGSDGSLRVESFVPSLKPMLATELECLMCQAFMCRIRHTLLSSRCHLPVGLNRLSAVNILNQPHVDSSILSDNLSSKSEVKKKETIPVRIAGDMDAVLLDGNLSAPVGVWRPVTKGAKSTTHPMELCPSIPQNSFVEENLLSYGLRQPLQELLDGLALLVQQATSFVDVALDSDCGDGVHGWLALQEQLRRGFSCGPSMVHAGCGGVLSSCHSVDIAGVELVDPLSVDVQPSFTISLLQSDIKAALKTAFGTLDGPLFVSDWCKGRSLSCEAGSMCDEFYAESTASASECQDSSNGARAEEASDRKSLQEACLSESEQQAGSKLRPTLAVVPFPAILVGYQDDWLKTSASSLQLWEKAPLEPYATQKHMTYHVVCPDIEPLTTAAADFFQQLGTVYETCNLGTHSPQNFGSEMDLDSGKSSCSGFVVLDCPQSMKIDSSSASILGSISDYFLSLSNGWDLASYLKSLSKVLKTLNLSSCMTTSTKEGNSGPYTVVYVVCPFPEPLAILRTVVESSIAVGSSVLSSDKEKRSMMHNQVGKALNHSAAVDETLSNVLTISGFSIPKLVLQIVTVDAIFRVTNPPLNELVVLKEIAFTVYNKARRVLRGSNCDVVPSSTSLSGRSHSVLMQMASPLTGMWKDCVGPRLAASSLQRESDLDASLRSSTWENSWQTRSGALGCDPNRGGDFLIQDESRCMFEPLFILADPGSLERGVTPPVFGNPASESSKVLADDGTSGSLMQSSASSGSGDTGPSSQHDSSESDGFGSGNQKTLPSLHCCYGWTEDWRWLVCIWTDSRGELLDSYIYPFGGISSRQDTKGLQSLFIQILQQGCQILQSCSADAGKPRDFVIARIGCFFELECQEWQKALYAIGGSEVKKWSLQLRRSVPDGMPTNSNGTSMQQQEMTLLQERTLPSSPSPLYSPHSKSSTFMKSGLGQPSNRKQLMGGVDSSRGLLQWVQSISFISLSVDHSLQLVFQADSLASGSTQSNGFLNQPSYLEGYTPVRSLGLTSASYVLIPSPSIRFLPPAPLQLPTCLTAESPPLAHLLHSKGSAIPLCTGFVVSKAVPSMRKDSRSISKEEWPSILSVSLVDYYGGNNMAQDKIVKGMGKLGGRGLSSEAREFELETHLVLESVAAELHALSWMTVSPAYLERRSALPFHCDMVLRLRRLLHFADKELSRQPEESPS >CDP09271 pep chromosome:AUK_PRJEB4211_v1:1:17471192:17472766:-1 gene:GSCOC_T00028548001 transcript:CDP09271 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGANVSEFKEKVNLWSDNFVVRNLCRVFVISGLIIFAILVLFFNDPTCKASGFLSTWKISPSSSQPASTVDDDVPTNVSHLAFGLQGSEKTYHFRKAYLEAWWRPNITRGYVYIDREPTADLLPWSPKSPQYRMNDDLSKLIQEIRPRSALMPRMVHGILELFREEHEGIRWIIMGDDDTMFFVDNLVHVLSKYDHTKYYYIGYPSEFVLSNYWFNFNQAFGGGGIILSYPLAKALVRDMDRCLRKYSDLSADLMTMACLADIGANLTPHKGVHQNDLRGDYSGFLSSHPKELVLSIHHWDVLDPIFPKKDRFQSAQHLMKAGNVDQSRLFQQTICHHRPTNWTFSVSWGYSAHIYEKVMARSWLMTPIETFQGWSRSNKPPLFMFNTRRPFGDPCEAPHVFFFESIKETSNNEILTIYARSAPRNLPACPASGNHSAEFVSQVHVFSPATKRPEVS >CDP04029 pep chromosome:AUK_PRJEB4211_v1:1:33411943:33414824:-1 gene:GSCOC_T00016557001 transcript:CDP04029 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPVKLKAVVYALSPFQQKIMPGLWKDITTKIHHKVSENWISATLLLGPVIGTYSYAQHYKEEEKLAHRY >CDP09284 pep chromosome:AUK_PRJEB4211_v1:1:17956405:17961852:-1 gene:GSCOC_T00028574001 transcript:CDP09284 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRYLRTQHQTLGRHYFHFNYSTKNPTNKAVPLLWDYRESGSTAQEAVTVQLHSWGRGASGQLGGGIEEIRIYPAAVASLLLPPSFTLSSPIPGRLSVSESSAENENEVVKVGISCGLFHSALLVNGQLWIWGKGDGGRLGLGHEEPVFVPTLNSHLGSNLVKCVALGGVHSVVLNSLGQVFTWGYGGFGALGHSVYHRELLPRLVEGPWTEEIYHIATSGTHTAAITKSGELYIWGRDEGDGRLGLGPGRGPNEAGGLSIPSKVRALPMPVAAVSCGGFFTMALSKEGRLWNWGANSNYELGRGDKLGGWKPQPVPSLKDVRIVQIASGGYHSIALTDKGEVFTWGHGGHGQLGHSNIQNHKVPLRVEALANEKVTYVACGGSSSAAITDEGKLYMWGNAKDCQLGIPGLPEVQPSPVEVKFLTEDDGSTIDKVLSIAIGASHVMCLVTRSNS >CDP08943 pep chromosome:AUK_PRJEB4211_v1:1:30395073:30397387:-1 gene:GSCOC_T00028085001 transcript:CDP08943 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKILHSGDAEDPKSASTSSIPKKGGWITLPFILAMVTGISLAYGGLVSNLIVYLIQEFNVKSISAAKIFNVVNGCVSIFPVAGAIIADSYLGCYSVVWISSLISSLGMLLISLTAAFSKLRPPHCENGSNLCRSPSEFQFAVLYISLALASIGMAGTRFTVGPMGANQFDKPKYQGIFFNWYIFVMYISTAVCSTAIVYIQDNVSWAWGFGICFAGNVIGLVLFLAGSGFYHRIKPHRSPFATLGRVIVAATRKRNLLLSLKSEDYCQDHRNKTFIMPTKFFRFLNRAALKTEGDAELAGSIRKSWRLCTVKEVEDFKSLIKMLPLWSSALLVAVPLVIQLSMVIIQALTMDRHIGAHFKIPAGTMPVFISMSTCITIFFLDRLLFPVWEKFTHRPITTLQRVGIGHLLDVLSMAVLALVEAKRLKTVQLHNIEGHDNAVVPMSVFWLVPSLALAGIGEAFHFPGHISFYYQEFPASLKSTSTAVVALSIGIGFYMGNALIDLVRKTTDWLPDNINRGRLDNVYWLITILGGLNFCYFLGCACLYKYQNVENSTDASVNEK >CDP06919 pep chromosome:AUK_PRJEB4211_v1:1:27741179:27742751:-1 gene:GSCOC_T00023966001 transcript:CDP06919 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSILFPVLALLAQEATARESTVQINPSFISSSLFPPIWDMYQDSPPVVSKPQKNEPKYATSRFVFDSSALAALKSKAATSTSSAKPSSAKAVMGLLWKSAIAAWKVRSVLFIPVNLRTKVSPPLSPHSLGNIVWLARAKCCDNPKLELELLVNKISNSIGTMNADFVESINGENGIQKLMGALKDFHEVFYDPNSMAECMYISSIRKTGFYEADFGWGKPIWTCIARGNRDLHGLGNIAHLIETKSGDGIEALVTMKEEYMATLEKNQELLHYASLNPSPLDSS >CDP03631 pep chromosome:AUK_PRJEB4211_v1:1:36288775:36291316:-1 gene:GSCOC_T00016056001 transcript:CDP03631 gene_biotype:protein_coding transcript_biotype:protein_coding METLALKTENACSETYLRLGLGESGKGSTGKPRLLSYLSSLLERSVEKNERFLETTQTKDVITVFHGSKAPSLGIGLYIERIFKYSCCSPSCFIVAYIYVERFIKRTNAVVTSLNVHRLLITSVMVAAKYIDDAFYNNAYYARVGGVSTLELNKLEIKFLFGVGFQLFVSSDTFGKYCALLEMEGSGGVQIERSIQACGIKGSWPNNEDSTCATAAR >CDP15626 pep chromosome:AUK_PRJEB4211_v1:1:26939712:26944619:-1 gene:GSCOC_T00015546001 transcript:CDP15626 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKDQKQPLNVRIKDLLSRMTLEEKIGQMSQVEKKIASKEIVKYFIGTFWFLHYHEYVKFLLYLFYHIKLYSNNFFLIIFMSFNLLPPAPRASAEAWINMVNEFQEGALSTRFGIPMIYGIDAIHGHNIVYNATIFSRNIGLGVTRKYLLVATIIRDPELVKKIGAATALEVRATGIPYDFALCVAVCRDPRWGRCYESYSEDHKIVQAMTEFIPGLQGDVPDDYAKNFPYVGGRYYLFTFTLLVAGCAKHFVGDGGTMNGINENNTTIDRNGMLSIHMPAYLDSIRKGVAIVMISYSSWNGVKMHTNHELITRYLKKQLNFKGIVMSDLGGIDNITSPPRTETETTWASLRTMIVTLIAFECIDVPTLLVKKNVIHMSRIDDAERRILRVKFIMGLFENPMADYSMAHELGIQVQHEEHRELAGEALRKSLVLLKNGKNIDEPLLPLPKRASKILVAGSHAHNIGNQCGGFTIEWRGLTGNITIGMSCNLFKLQPFVVNIPIEFDFRM >CDP17087 pep chromosome:AUK_PRJEB4211_v1:1:37633044:37639520:1 gene:GSCOC_T00005001001 transcript:CDP17087 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRRTSKLLFLLCFLSCSLIAIAAKSYYDILQVPRGASDEQIKRAYRKLALKYHPDKNQGNEEANKKFAEINNAYEVLSDSEKRSIYDRYGEEGLKQHAASGGRGGGMNIQDIFSQFFGGGPMEEEEKIVKGDDVIVELDATLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNEVYHKQIGPGMFQQMTEQVCEQCPNVKYEREGYFVTVDIEKGMQDGQEVVFYEDGEAIIDGEAGDLRFRVRTASHDLFRREGNDLHTTVTITLVQALVGFEKTIKHLDDHLVDIGTKGVTKPKEVRKFKGEGMPLHFSNKKGDLYVTFEVLFPTSLTEDQKTQIKAILG >CDP14609 pep chromosome:AUK_PRJEB4211_v1:1:14483283:14483550:-1 gene:GSCOC_T00041184001 transcript:CDP14609 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFPPHRAQGEGRACCAYSRDWMAYIVYSLSLDLFYVRVGLFPLHL >CDP14608 pep chromosome:AUK_PRJEB4211_v1:1:14323535:14324847:-1 gene:GSCOC_T00041181001 transcript:CDP14608 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKINYNPSLNLPILGTPVTLSVLLKFYVLVFTVISSERYPPIGEKEWYFFTPRNRKYRNGERPNRAAGTGYWKATGADKPVNHDGSLVGFKKALVFYEGKPPKGVKTNWIMHEYRVKEPPRKRSNTMDMRLDDFVLCRIYKKTAKSFKSRPRNEDSEYLDQNDYPTPQGNERLDQNNAYPLDMDMDNKNDLGGLDRELNAFEASSGLVQSEYHIGLHEISNVMGTSLLADSVKCFNNNFQIPFNCGAKPVNYKGIVYPMENALMPKYLREAPDVKPRKAMWNITKDYETFNLSPEIDFGTSIYFPDLDNLMNNPPSNSCFENSNNPFDSPPMPKRS >CDP09184 pep chromosome:AUK_PRJEB4211_v1:1:32282225:32291151:1 gene:GSCOC_T00028407001 transcript:CDP09184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DAMAGED DNA-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) UniProtKB/Swiss-Prot;Acc:Q6NQ88] MPPQTRRTSFPKVVIDRDTDSEESSSDEDEIDEEDDVVGEEEVTEPKADEEEGEEEQEKSVTKKKTPITISLKKVCKVCKRTGHEAGFKGATYIDCPMKPCFLCKMPGHTTMTCPHRVATEYGVVPAPRRNTHSSLDYVFQRQLRPHIPKMKPEFVIPDQVNCAVIRYHSRRVTCLEFHPTNDNILLSGDKKGQLGVWDFCKVYEKTVYSEIHGCILNNMKLNPRNDGTVYTGSSDGTACCVDLETGISLSLMNLNPNGWQGPSTWRMIYGLDINLDKGVVLVADNFGYLYTVDMRSNNVTGKPILIHKKGSKVVGLHCNPLQPDLLLSCGNDHFARIWDMRQLETGSSLVDLPHKRVVNSAYFSPLTGSKILTTSQDNRIRVWDSIFGSLDSPSREIVHSHDFNRHLTAFRAEWDPKDQSESLVVIGRYISENYNGAALHPIDFIDISTGQLVAEIMDPNITTISPVNKLHPRDDILASGSSRSLFIWRPKEKHEIEKPIDERKIVLCGKAEKKGKRKFGDESDCGSDDDGFTTKGKPVKSKQPYLDSTSKSKSKSKSKSKSSKKP >CDP06947 pep chromosome:AUK_PRJEB4211_v1:1:28094884:28096101:-1 gene:GSCOC_T00024001001 transcript:CDP06947 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMWIHPNVNKEWMKSGEKKGKVRFSHDTEKRPYLSRVELRAVSEIILSKHISARRVEPTILCAIAEIVSMRFVNGVGQCTGLMGID >CDP16781 pep chromosome:AUK_PRJEB4211_v1:1:3695283:3704752:-1 gene:GSCOC_T00019289001 transcript:CDP16781 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSISDVGVEDLVGAGLSVEEANGFQRHLKDSIFKVSRNSDNGEEPFDPKELWRELTALKLLKPWHPHALHQLIYYSVYHNYDESANGPPIYWFPSLYQSKNTNLGRLMETHCRKLLGALYKDPIASFRQFHRFSVEQPEACTRLLVYWSIVLGHLSIKFLKAPNCILDTSDKSKHAGKWFPGSVLNIAECCLIPSSCPKKQDDSLAIIWRNEGCDDLDVSQMTLRELRERVMLVANALAAKFSKGDAIAIDMPMTATAVTIYLAIVLAGLVVVSIADSFAAQEIATRLRVSKARAVFTQDFILRGGRRVPLYSRVVEAAPYEAIVIPASGMELTVQLRRQDLSWNDFLSSVCILPSSNYFTPAYQPIDSVTNILFSSGTTGEPKAIPWTQHSPIRAAGDTWAHIDVQEGDVFCWPTNLGWVAGPILLYSCFLSGGTLALYHGSPLGRGLGKFIQDAGVTILGTVPSLVKTWKSTGCMNGLNWTKIRTFATAGEVSSIDDDLWLSSKAYYSPIIECCGGTELASAYIQGNPLQPQAFAAFSSASMSTSFAILNENGLPYPDDQACVGEVGLFPLYMGATNRLLNADHEEVYFKGMPLYKGMQLRRHGDIVKRTIGGFLIVHGRADDTMNLGGIKTSSVEIERVCNQAYGGILETAAVSTPPPNGGPEMLAVFVVLKEGISADPDLLKMKFSRAIQSNLNPLFKVSFVKIVPEFARTASNKLLRRVLRDQLKQDLNTRSKI >CDP18602 pep chromosome:AUK_PRJEB4211_v1:1:390:1820:-1 gene:GSCOC_T00012439001 transcript:CDP18602 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIKVALQALKKLDKSAARIQCFLAGADEAKYCNDPKLLGVQKWLKDLEEEVFKADNVLDELNYENLRRKVKYQNQLTKKKVFFCFSFFNKIGFRWRLGSMIREINTNLQSIHRDAERLGLAYKPLVEEAFTTIAAGATTSRQTDSKIVRSDVLGRDEDESEIVKKLLTESESDRISVISVTGMGGLGKTTLAKAVYNNPQFNDNHFDKKIWVCVAKEVETMDLFKMILESSTGNKPDADVRDVIVRGIQNEIKGKRCLLVLDDLWNHQQLDDFFTTLKALEAKKGSWCLVTTRLQEVETTLSGLPQINFTRHGLGKLCNDDCWSIINKWATVGEEVPKELEDIRERVLRRCDGLPLAAKLIGGLLYKKRKEEWQSILEESLLNGDQGRIEQIIKVSFDHLSLAPVKKCFAYCSIFDQDAELEQDLLVELWMAEGFLQPDSQNERTMEKIGC >CDP13125 pep chromosome:AUK_PRJEB4211_v1:1:2028260:2029633:-1 gene:GSCOC_T00037936001 transcript:CDP13125 gene_biotype:protein_coding transcript_biotype:protein_coding MINCFNFSPNFIALIFFLNFCPGQGGHPRDQQHLIFTGKQLEDSRTLVDCNIQKESALHLVLHLDGGAKKRKKNTCTKPARFLWEACRDTTLIILMVAAAASLALGIKTEGIKEGWYDGGSIAFAVFIVIVVTGIMTSLTKKVGTMCG >CDP03570 pep chromosome:AUK_PRJEB4211_v1:1:36749136:36757308:1 gene:GSCOC_T00015978001 transcript:CDP03570 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPWLYPAPTYRSLETFWATDDDAPGPRCGHTLTAVAATKTHGPRLILFGGATAIEGGNGGAPGIRLAGVTNSIHSYDVLTRKWIRLRPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTVTGNDGKRVLSDAWALDTAQKPYVWQRLNPEGDRPSARMYATASARSDGMFLLCGGRDSSGTPLGDAYGLLMHRNGLWEWTLAPGVSPSSRYQHAAVFVGARLHVTGGVLRGGRAVEGEAAVAVLDTAAGVWLDRNGLVTSSRGSKGHTENDTSLELMRRCRHAAASVGVRVYTHGGLKGDVLLDDFLVAENSPLQSDMNSPVNTPEKTSPRSIQSTSPSHTPAAAMDGEPEALSSGSLSMDRNSMEKLAEASAAEAEVANAVWQAVQAASSTPEETSISGDNPQDADNTSEGSDSEADVRLHPRAVVVAKETVGSLGGMVRQLSLDQFENESRRMVTTHNDLSHPTKKFTRQKSPQGLHKKIISTLLRARNWKAPVNRKFFLDSYEVGELCYAAEQIFMHEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITCLSATGLQIEYPENVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSINSVEQIEKIERPITMDAGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPLQSPETSPERMTEENWMQELNIQRPPTPTRGRPQPDLDRNSLAYI >CDP04046 pep chromosome:AUK_PRJEB4211_v1:1:33256438:33258429:-1 gene:GSCOC_T00016580001 transcript:CDP04046 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTNPDEPNPATNTPPFLLFLETPNADMISSPFKGSLDCAWTPELTVKTFDNDDDNVIVDFDIDFDDDLFSVYMDVKKLEEKENDVNVGLDNHDVLQNGGGFSKTAIITTQPRKQRQRRGLKGCLVDEKRFVEPRKAMAPEELAELWAIDPKRAKRIVANRHSAARSKERKAQYIVNLEEKVKTLNSQVALLNTRLTTTQACTLETTELVAEKSELRCQLQTLESQTEQIDAQNAALKLEIQWLKAVTGELDDPCHVCQSESLQFPTSMSTHQKPLLHNCQPSTLSPYLHVASPQPLF >CDP17504 pep chromosome:AUK_PRJEB4211_v1:1:20096809:20099548:-1 gene:GSCOC_T00011434001 transcript:CDP17504 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTILEDVEKLHQQVVKPREQVADAQVMLNLTTTLLTSTESLGLGAFTPANFVSSLKRQFAEDSQRIQSGNSSNSISWKAIGRAAAHVFKQGIGCRTMQVLIGPMELMFKPQKCTVVRRHRRLIEKEKPKEVNSEEETQNDMIVAVMFGALKRNNPVKLDNLILNRHSFAQTVENLFALSFLVRDGRVFMEVDENGSHLVSPRNAPVRRSVVSGEVKYSHFIFRLDFADWKLMMDSVPRGEELMPHRKYVDDPADEELEVELETKNVQLLLDTPPPPGVSQEKCELKGFEMGESSRKRRQLLPEMTETGRAGNPKCRRKLL >CDP08935 pep chromosome:AUK_PRJEB4211_v1:1:30320285:30322523:-1 gene:GSCOC_T00028073001 transcript:CDP08935 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAQHHHQDMSSQTLESMLVCTKAQQDKKPRPPEQALKCPRCDSTNTKFCYYNNYSLTQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSSSSSKRGQDQAVVATNTNPLSSLTNLPYDSDISLAIARLQKQTNGHLGFDHDHELPMLGNPNNPHSAGGFFDAFRGGFLENPNGFHNLYYGMSNGNMGHVENGGGLGLNGSEDMSMVPYEDIGGATTTATTVTTVKQEICNARDGENRVLWGFPWQIGGEGNMGSDLDSGRQSWNNGFGSSWHGLLNSPLM >CDP07046 pep chromosome:AUK_PRJEB4211_v1:1:29097499:29099274:-1 gene:GSCOC_T00024142001 transcript:CDP07046 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENPVSQTNPRKRPITEVDEPTTLFCTVVAIDHTNLYYRVCSVCEKTLPEATHKNATINSCSSSASLPICRYCNFKNAFNPGSSGSKRLFKVLMSIATDTKVFVVVMFDRAARVLFGCSADEFFDFAKIHPFAATTAGKVLEGEMLRVMLSKPKNGNAQHLRVASVVPLQSSFQPAIVTLRKLYQVRGGS >CDP08920 pep chromosome:AUK_PRJEB4211_v1:1:30192228:30194751:-1 gene:GSCOC_T00028054001 transcript:CDP08920 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISAVFLALLVVCFTLVATVGGFYNQDEGGAGIGGGGKGGEREEGGGEGVGGNSRFLLRESLDVVRTDAGHMRVIRNGGHRLWRSPMQMGLITMEPNSLFIPQYLDSNLILFVRRGEARIGHVYKDDFAERHLKTGDLYTIASGSAFYLVNAAEGQKLYIICSIETSENYGWHWHQHGFRSFFVGGGTNPTSILSGFDPLTMSTAFNVSVDELRDFTTRQHLGPIVYLSDSRAPSMWSKFLDLKEHQRLAHLKRVVHAEEEANQDEEQPTWSFRKLLISIFGEEKNRDDDDTRGPEACNIYERKADFKNDYGWSKAVDENDYSPLRHSDIGVYLVNLTAGSMMAPHVNPRAVEYGIVLRGTGRIQIVFPNGSLAMDAQVKEGDAFWIPRYFPFCQIASRAGPFEFFGFTTSSRDNRPQFLVGANSLLDNMRGPELAAAFGVDEERLGEILDAQRESTILPSSPVTPSGEGEPTKPSSREERAVPKMIRSFGSDMIMGFD >CDP17571 pep chromosome:AUK_PRJEB4211_v1:1:1179686:1180063:1 gene:GSCOC_T00011057001 transcript:CDP17571 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGTCPDKYTFPYVIKACCGLQSLRLGRLIHGSIRDLGFELDVFVASALIKLYAENGCIDEARRLFDKMPEKDSVMWNVMLNAYAQDGNLVDDVIGLYREMRMTETKPNSISYACILSVCGLE >CDP09734 pep chromosome:AUK_PRJEB4211_v1:1:25330994:25334633:1 gene:GSCOC_T00030183001 transcript:CDP09734 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIVNTESLSYVKLLPKPLSPAVVFPSRANVLSHNFNCLPRKLQCSAKQLRNLGAIYASGADSSSTNVVEKWILEPIGDGDTKHLGEKIAMPNAFEIASSVITIGRTADKVDVAIPVPTVSGVHARIEKAEKSLVITDLDSTNGTFIDQRRLKPGVPAFAVPGSRITFGDVHLAVFRVSKLEVEEPSNEPEAEAKVEEGADSN >CDP06887 pep chromosome:AUK_PRJEB4211_v1:1:27470684:27476788:-1 gene:GSCOC_T00023924001 transcript:CDP06887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission protein ELM1 [Source:Projected from Arabidopsis thaliana (AT5G22350) UniProtKB/Swiss-Prot;Acc:Q93YN4] MKPIRLPEPPASPRAIGGGVGGITDIFDAGVSVIRRAVVIGNGFPASENQSLGLVHALGLSSHHTLYRVTRPRGGVNEWLHWLPVSVHKKLYIIITQIYGYSRILFSGRGKKLMPLPSENGFSAGLSSVLEADVKNIVTMARQTFEKEGPLLVVACGRDTISIASSIRRLASDNVFVVQIQHPRSRLERFDLVITPQHDYYPLTPRAQEQVPRFLRRWITPREPPDRHVVLTTGALHQVDSAALRSAASAWHDEFAPLAKPLLVVNIGGPTRYCRYGGDLAKQLTASLHNVLASCGSVRISFSRRTPEKISNIVVKEFGTHPKINIWNGEEPNPHMGHLAWADAFIITADSVSMLSEACSTGKPVYVIGVERCTWKFVEFHKTLRERGLVRPFTGLEDMSESWSYPPLNDTADAASRVHQALTERGWALRP >CDP17090 pep chromosome:AUK_PRJEB4211_v1:1:37582952:37584382:-1 gene:GSCOC_T00005005001 transcript:CDP17090 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSMECCNCNMDSTEQLGSVGDSRSRHHHLQPGRDLKIPLAGVVSSRMSQKKGVVEGTQGVAGVEEEAEGSRTLGFVEEGKEAGVKVTVAVAVGEERLVDMGWNRLSTLCKRFGP >CDP17134 pep chromosome:AUK_PRJEB4211_v1:1:37273254:37278034:-1 gene:GSCOC_T00005060001 transcript:CDP17134 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G02430) TAIR;Acc:AT4G02430] MSRSSRTLYVGNLPGDIREREVEDLFYKYGRIAHIDLKVPPRPPGYAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSTDRYSNHSSGRGPRVLVTGLPPSASWQDLKDHMRRAGDVCFSQVFREGSGTTGIVDYTNYDDMKYSIRKLDESEFRNSFSRATIRVKEYDSSRSRSRSRSYSRGKSGSRSPSNSRSRSMSKSPKAKSSGRSRSRSRSASSRSPSKSRAKSLSRYGYMQRSGDCII >CDP03923 pep chromosome:AUK_PRJEB4211_v1:1:34135701:34139161:1 gene:GSCOC_T00016430001 transcript:CDP03923 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRIFSNNLSSEDVSFLDRESEFPDPSLDLPTFLSEDGWGAKVETESVLNQEVGLLSGEFGDVGHQKSSRSVTFEACQRRIRAIYAEVLGSYEELQRRTGSLEEAKNRILSYTPGSWIRKFGGSKLGDYHVPEITSLLLVGPKGSGKSSLINKISRVLENDKFAPERAQVSYNSSAGEGTYFLQEYEIPRGSNSFCLYDTRCLSDDSSENTKIFKQWMTKGVRNGELVKRPSDGAIVKATIKSKARRNGNLSGKARVVNFVVMVVNGLSVLESMDSYDEGKKLYNQMIAKEFNNPYLSFKDDKPVVVVTHGDLLALSDRVRVRVYLGELLGIPPKTQVFDIADCNDSATELTIADLLCYCLEHADKNLPPNAGKMHTTSLRAYLLLLMVLGIGIIFAWMQSGCFHRHSAPPPSHVAFDWHTIRHLWLGVDYD >CDP17027 pep chromosome:AUK_PRJEB4211_v1:1:38083570:38084584:1 gene:GSCOC_T00004928001 transcript:CDP17027 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVYLILELSSIQELLCVSSTCLWLVINFEMLQSAAGYVHRIGRTGRAYNTGASVSLVSSEENDMFEGIKSFIGESDNVDSNFIAPFPLLTKNAVEGLRYRAEVNIALLYCIVSCNFLNLLCVKHLFMLALLLWFLKKPFGVCLEILKLFCAVLLFCSVLFSLES >CDP08942 pep chromosome:AUK_PRJEB4211_v1:1:30385132:30391094:1 gene:GSCOC_T00028084001 transcript:CDP08942 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRKAENSAERQDPESNSSSSRSKKGSWITFPFIMATVVGTSLAFGGLTSNLIVYLIQEFNIKSISAAEIFNVVNGCITIFPIAGAIVADSCLGCYSVIWISSLISSLGMLIIVMTAALNKLRPPQCENGSSHCISPSGVQLAVLYIGLALASLGMAGSRFTIGPMGANQFDKPKHQEIFFNWYIFAMYIATAISLTVIVYIENSVSWAWGFGISVAANIVGLALFLVGRGFYRQLKPQGSPFVGLARVVIAATRKRNLLLSQNTEDYCQDPETTTFVMPTKFFKFLNHAALKTEGDTDLDGSIKKPWKVCTVKEVEDFKSLSKMLPIWSTALLVSIPLAVQLSMTVIQALAMDRHVGAHFKTPAGSVQVFIFLSTCMTIFFLDRFLFPMWEKFMHRAITPLQRVGIGHLFDVLSMAVLALVEAKRLKLARMHHLQDQDNSVVPMSVFWLVPSFALAGIGEAFHFPGHISFYYQEFPVSLKSTSTAVLALSIGIGFYLGNGLINAVKKTTEWLPDNINRGFTSNLIVFLIQEFNINSISAAKIFDVVTGSTFIIPIAGAILADSFLGCYSVYWISSLISSLGMLILVMTAAVKKLRPPQCENGVGLCIKPSESQLGVLYIGLALASLGLAGTRFTVGTIGADQFDKPKHQGIFFNWYIFVMYICTVISATVIVYIQDSELGMGFRNLCCRKYTWISTICSWHWFLSSFLNCAASKTEEDTDQDGSIRKPWRVCTVKEVEDFKSLIKLLPIWSTALLVSVPLAIQLSMVVIQALTMDRHIGPHFKLPAGTVPVFLSISTCITIFLIDRLLLPLWEKFTYRPMTALQRVGIGHVLDVLGMGVLALAEARRLKTAQLHNLQDQDNSVVPMSLFWLVPSLALAGSGEAFLFPGHISFYYQEFPASLKGTSTAVLGMSIGISFYVGNALIDVVKRRTDWLPNNINNGRLDNVYWLVTILGGLNFCYFLLCSSMYKYQNDEKATDASVDEE >CDP17558 pep chromosome:AUK_PRJEB4211_v1:1:928708:930138:1 gene:GSCOC_T00011039001 transcript:CDP17558 gene_biotype:protein_coding transcript_biotype:protein_coding MALTILIGFKHSKIFSVIINFGNISLVISLSLLPLKENLLKNLTLVSKNGTVIIIKLSLDLPIPLYLPLIAFFSDLILQR >CDP06866 pep chromosome:AUK_PRJEB4211_v1:1:27286364:27290680:-1 gene:GSCOC_T00023898001 transcript:CDP06866 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMLLVFLLLILIITSQFEWREQVKSEVELSPNISQKQQQISKREEAVKEKIILSQEKSIQKLNELVRSLREQLRQCTSTNETTNGSLSSLNENVIEFD >CDP06896 pep chromosome:AUK_PRJEB4211_v1:1:27539737:27541365:-1 gene:GSCOC_T00023934001 transcript:CDP06896 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVATVALALGFVFVAFSGQCYGASPPLKVGFYQGKCNGTVDVEVIVGGVVKTWFSSDSTITPALLRMQFHDCFVTGCDASLLLDGSNSEKTAVPNLSVRGYGLIDAAKAAVEAICPGVVSCADIISMATRDAVSLSGGGRYIVHTGRRDGTVSLAKNVNLPAPSLSVSNSINAFSKKGLSVVDMVYLLGGHTVGVAHCSFFQDRLYNFQNSGQPDNTMSPSLLFFLRMRCPQNATIDNTANLDQNPLSSSIVDNSYYQQIMLHRGVLQIDQELAQDPSTRDMVTAIAKGVDFSTKFGQAMINLGAVQVLTGTQGQIRKSCRAVNTK >CDP03778 pep chromosome:AUK_PRJEB4211_v1:1:35130561:35136304:-1 gene:GSCOC_T00016258001 transcript:CDP03778 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMQKLVKLLNPWALHHQKLGLELKCPLCLNLLNKPLLLPCTHIFCDICVPRSNQFGTECPACKNQCPDGDIRSAPYMENLVSIYRSLDATFANISLQILSSEQALPHVAPRIVDKLKKELSETPEGGVINSAITNKAAVLSDAHNKDVLQSCGKKGSDEVFETEEIDMNQVPQLSPESPPSFGDVKDMDGNTSLPGSRCGTTEKCKTKIIMDSCMLDTPGGGIVDQGTIQKGTPYTRDAKRQKMLDYGSSATVVMGNCLTQKVDSSSNGAISNCNLAVKPEEQPCIAQPTPVSNSSDLESVCAFCHSSKLTDGTGPILYYANRKEVYGEKSALSNVTPVHSKCIEWTPRIYFEGETIKNLESELGRAAKLKCSSCGQKGAALGCFAKSCRRTYHVPCAFAIQECRWDCEDFLMLCPSHNSFKFPREKSKSQKSSTGLKHNTMSNEMLFTNSEFWATLPSGRKEWVFCGSALSSEEKFILVKFATMCGATVSKLWESNVTHVIAATDKKGACTRTLKVLMGILNGKWILTMDWVKACTEAKHPVNEEPYEVNLDNHGCLNGPKTGRLRVSNNEPKLFDGLKFYFSGDFVPAYKIDLLDLVRTAGGTILESAEQLMVQSHEQTTFHECLVVYNSDATRACAIEEEISVISRRLEEAANAAKETNSPVVRHTWILESIAACKLLPLPC >CDP03918 pep chromosome:AUK_PRJEB4211_v1:1:34173209:34173942:1 gene:GSCOC_T00016423001 transcript:CDP03918 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRNSSAMSKLFTWGRRQSMKVKAFLAVTCLLSALVALKLLIKDHDHFFVAPEAAHFLGIIVLIYKLSSQKTCSVFWSLLPPDDHGISDPVESSLSLSFR >CDP19127 pep chromosome:AUK_PRJEB4211_v1:1:25509078:25517350:-1 gene:GSCOC_T00009382001 transcript:CDP19127 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTC [Source:Projected from Arabidopsis thaliana (AT1G75330) UniProtKB/TrEMBL;Acc:A0A178W4A4] MAANALFSQLPSIESTRDPSSLSSASSFYPSGQSLQLTGVSLVSFPSIRQRVSCQASFSAISSPSSSVNGKGLKDFLHINDFEKATILNILERAKEIKALIKSGERTYLPFKGKTMAMIFAKPSMRTRVSFETGFNLLGGHAVYLGPDDIQMGKREETRDVARVLSGYNDVIMARVFAHQDILDLAKYATVPVINGLTDYNHPCQIMADALTIIEHIGQLEGTKVVYVGDGNNIVHSWLLLASIVPFHFVCACPKGFEPDQETVKKAQQTGVSKIEIIHDPKEAVIGADVVYSDVWASMGQKEEAAYRHQVFQGFQVDEELMKVAGPKAYFMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHVLGV >CDP03868 pep chromosome:AUK_PRJEB4211_v1:1:34498304:34502129:-1 gene:GSCOC_T00016365001 transcript:CDP03868 gene_biotype:protein_coding transcript_biotype:protein_coding MEISDKVKKLLEDGLDDHDDSSEKISESFICCVCLQLLYKPVVLVCGHISCFWCVQKSMSGRCESHCPRCRHPYHHFPTICQMLHFLLFKMYPVAYQRREIQIREYEKEQDCFSPQFNGPVRVPQTEQELNHTDSSQRSEISSLDLSKDPSCSGNSKVMFNMEQPESGLIDQENLKMAVGDIEATSSIVDRGDKLHQGIANGTRQPISVDDALCTLCNQLLYHPVVLNCGHAYCESCIVTQTNETVKCQKCEIRHPGQTPKVCLEFDNFLKEQFPADYALRRSIIQLKQSCSQRENLSTCSSEPAKENSDLLTSSGENAFSWWGDHKVHIGAGCDSCGVYPIIGDRYRCKDCTELIGYDLCGDCYNTCSKLPGRFNQQHTPEHKFEVRRPNSMRNIMLRLLQLGDGSAGPNAISDVSGNSESVVRALSDDAQETAESGFATPNETEEDQNNHQ >CDP17099 pep chromosome:AUK_PRJEB4211_v1:1:37505250:37509340:1 gene:GSCOC_T00005018001 transcript:CDP17099 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKRLVKRLAVLLLLLAFCDATKHIGTQYDGECQFIRPLKPRPHSVSVLEFGAVGDGKTSNTVAFQNAIFYLKSFADKGGAQLYVPRGRWLTGCINLTSHLTLFLEREAVILGSQDYTHWDIVDPLPSYGRAIEVSGGRYRSLISGNNLTDVVITGNNGTIDGQGSIWWEKFNSHLLNYSRPHLVEFVGSNDVVISNLTFLNAPAWNIRPAYCSNVLVQNITVHSPPESPYTSGIVPDSSEHVCIENSNISMGYDAITLKSGWDEYGIAYGKPTTNVHIRGIRLQSGTGSGVAFGSEMSGGISNILVEHLDIHNSFVGIELKTSIGRGGYIKYILISDVIMENVQIGLKATGQCDSHPDDKFDPLALPTISAITFEDIRGTNITVAGSFTGINESPFTSLCLSNISFSVTSDPSASWICSSVSGSSDNVSPEPCPDLQEIFPSSTTCFSQLYSKSQVAIL >CDP03530 pep chromosome:AUK_PRJEB4211_v1:1:37055551:37058268:1 gene:GSCOC_T00015930001 transcript:CDP03530 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGMSAPENQDGGEENMAAWLVGLNTLRIQPFKLPPLGPHDVRVRMKAVGICGSDVHFLKTLQLAHFVVKEPMVIGHECAGVIEEIGGEVKTLVPGDRVALEPGISCWRCDHCRGGRYNMCPEMKFFATPPVHGSLANQVVHPANLCFKLPDNVSLEEGAMCEPLSVGVYACRRAGVGPGTRVLVMGAGPIGLVAMLAARAFGAPRVVIVDVDDYRLSVAKQLGADETVKVSNNMQDVPEEVEQIRKAMGAEIDVSLDCAGFNKTMSTALGATRAGGRVGLVGLGHKEMTLPLAPAAAREVDIIGIFRYTNTWPLCLEFISSGKIDVKPLITHRFGFSEQEVKEAFETSAHGGTAIKVMFNL >CDP17101 pep chromosome:AUK_PRJEB4211_v1:1:37485189:37492462:-1 gene:GSCOC_T00005020001 transcript:CDP17101 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVASRRLSIGSRTVTTSLFRCFSTSFREERDTFGPILVPSDKLWGAQTQRSLQNFEIGGERERMPEPIIRAFGILKKCAAKVNMEYGLDPVIAKAIMQAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGGKFVHPNDHVNRSQSSNDTFPTVMHIAAAVEVNLRLIPNLKQLQTSLHSKSIEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRVLCTLPRLYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETKLPFVTAENKFEALAAHDAFVETSGALNTVATSLMKIGNDIRFLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAITVGGSNGHFELNVFKPLIASCLLHSLRLIGDASSSFEKNCVRGIQANRERITKLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALNLGVLTSEEFDTLVVPEKMIGPSD >CDP09092 pep chromosome:AUK_PRJEB4211_v1:1:31651083:31651874:-1 gene:GSCOC_T00028288001 transcript:CDP09092 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSITISPSHLPTSLVLRPRPHALVPSSFPSRRKLSYPNPPCALPLKTGKGWKISAFRDSFLLLEASTVENSQELVSAGDDGASSIIFALLFVAFAGLSILTVGVIYIGVTDFLQKREREQFEKEEAAEKKKGVKKGKMGSRARAGPRGFGQKIEADGGDELD >CDP03726 pep chromosome:AUK_PRJEB4211_v1:1:35538279:35542277:1 gene:GSCOC_T00016198001 transcript:CDP03726 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNQTVKRFLTNIDFSIGLLRKREYQKFVYNVVNRCQSQWSKSEKVERDDVFKDSKGGPESQVPLKTNNVNYPDYEPRFSKYTSDEDDFVTNTNNKWKLELAWLTKTLEPALQLCRWALPTAPGNGDRVPPTNRSFAEIISSIQRSKIGLQDWSLSDLTIGLYLIYLQQASTNKVEDVKGEQISSESIVHDLIYHMELAKGAYKDNPSILAKISMLRESNVVKFVKNSGVLRPGYYIGVDMKRKNVILGIRGTHNVYDLITDIITSSHEEITFEGYSTHFGTAEAARWFLRHELGTIRKCLQEHEGFRLRLIGHSLGAATASLLAIMLRKQSIKELGFDPQIVSAVGFATPPCVSKELAESCSNYVTTVMMQDDIIPRLSVSSLARLRNEILQTDWVTVFEKEDWKRIVELVTNAKQVVSSVQDVARKLADYAKLRGQTKFSEIPARKEGLMGGPSISSTSISADPAKTLSEEEISCSMPEELYAPGTLYYLKRNVVCQRNGKSEEFFTLWKRNPGEHLRKILLSSNLISDHKCDSHIYALRDVLKGLPGSHDDRLFQ >CDP03885 pep chromosome:AUK_PRJEB4211_v1:1:34390294:34390488:1 gene:GSCOC_T00016387001 transcript:CDP03885 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGCGSECDRRCSATSHRNDCLWFCNSCCKKCLCVPPSTFGNKQCCACYGNRKTKKGTPKCP >CDP14094 pep chromosome:AUK_PRJEB4211_v1:1:11404224:11407056:1 gene:GSCOC_T00039295001 transcript:CDP14094 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYALTTVAPTRDFWLLHYMPVMEYGSLVVCERSLHNTQNGPSMVPVQHFPWSVPEVTRPLSQLSTLLAQKTTMAYPKRDEYTILFSDLTLYFNEAVTGFTDEGWSVLDSDGIDDVTVLVNSSLGKLMGLKFLSAM >CDP13123 pep chromosome:AUK_PRJEB4211_v1:1:2074133:2074264:1 gene:GSCOC_T00037928001 transcript:CDP13123 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDLLNAPGETFSVSLTEKGTYTFYCSPHQGAGMVGKVTVN >CDP03678 pep chromosome:AUK_PRJEB4211_v1:1:35958578:35961827:-1 gene:GSCOC_T00016120001 transcript:CDP03678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g63370, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63370) UniProtKB/Swiss-Prot;Acc:Q9M1V3] MATLSLRLSPHLPFPPTSTINSTSSKTNGIPPFVKYPVKTFSLREICREGKLREAFRSFGNDLTSPGHSYKPPDEAYSLILELCAAQKALSQGQQIHGHVLKSKSVADAVFLDTKLVFMYGKCGSLLDARKVFDEMLELNIFAWNAMIGACVGNDRPLGALELYKEMRMLDFPLDAHTFPSLFKACAAVEDLCSGCEIHGLTIKLGFLSNAFVVNSLVGMYSKCDDIPAAYQLFSRTSVREDVVAWNSLISAYAASGMSTEGLGLFEEMLYAGVTPSSYTFVPVLQACEEPALGKLGRGIHAIVLKSGRHLETHVANSLVVMYAKNNSMDEAARVFSEMKEKDNISWNSMLSGYVQNGLYEDALCVFSEMKILVQKPDQISLVSMLAASGRLRNLSHGMQIHAFSLKNKMDNDLLVGNTLVDMYAKCGRTDYMHFVFDRMPYKDSVTWTTAISGCARNNLPMKSLQLFREINVEKMEVDMLMIGSILLACSDLKCHSLVKEIHAYFMRRGLYDLVTENTLVKVYGDCKNINYACKVFKLIEFKNVVSFTSMMSSYVDNGLASEALELVPRMKENKIELDCVATLSILSAAADLSALRKGKEIHGFLLRNGFIIEGPVASSLVDMYACCGIVDDSYKVFSSTLNKDLPIWTSMISAYGKHGYGKVAIDLFRRLESENLVPDHITFLAVLYACSHSALVEEGKKVFESMQEEYKLKPWPEHYTCMVDMLARANYLEEAFHFVTMMKAEPTAAVWCALLGACRVHSNEKIREIAASKLMELDPVNPGNYVLVSNAYAATERWEDVEEVRSKMKGKELKKDPACSWIEVGNKFHVFVAHDRSHPESDEIKQNLDQITEKLVKGGGYVPQTKYVLHNVEEEEKVKLLTGHSERLAISYGLLNTTYGTPIRITKNLRVCGDCHNFTKLTSKYLKREIIVRDANRFHHFRDGVCSCGDFW >CDP15602 pep chromosome:AUK_PRJEB4211_v1:1:26549733:26563701:1 gene:GSCOC_T00015508001 transcript:CDP15602 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDMLMQFSSNSSNQSDQSLPTKIAKLEARMVGKASSATAAVAHSQQAASWSVATKFPEPPESLPNEHLSSDSDDNDNGGEFLIQANTQKRRKLDEDNSGMVFEHVEGHTSQSVAEPRQKVVETVDTNKVASDTNRKKQSRGRGYSASARGRGSRGNDQTKIQTVSPPNGQLEKDGWPKEQLRRDDLSLLEEENTTLREKVGNLEEALRKSQHLTQELEKELKDIKDIEQQMKPKRLKTLSDLLISVSKAERQEARMKVRQDSLRLGNVGVIRAGTLISETWEDGPALKDLNVQLRNLLEMKEAIERQRKSLKKKQPDKVDGTDSEAGVQEDDSLIQDEIYKSRLACIKREEETIMRERDRYELDKARLIREMKRIRDEDGSRFNNFQILNHRYALLNLLGKGGFSEVYKAFDLVEHRYVACKLHGLNAQWSEEKKQSYVRHAIREYNIHKSLVHNHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEREARIIIVQIFRGLVYLNKRAQKIIHYDLKPGNVLFDEFGTAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELNKTPLISSKVDVWSAGILLYQMLFGKRPFGHDQTQERILREDTIIKARKVDFPSRPAVSNEAKAGSLIICDFIRRCLTYNQAERPDVLSIAQDPYLTYTKKNHNST >CDP07037 pep chromosome:AUK_PRJEB4211_v1:1:29025575:29030605:-1 gene:GSCOC_T00024130001 transcript:CDP07037 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGDYLDFEFEEPSKVPAAITKKKKKVIGLDDLVADYYQEKSKVIERESKRAKSEKQICDSDDDLDEEDARQVKEFEDHVDEFQKDMGQISNDDDTHFWGFQVFGNQEVLSPVRFAEIDSCELLQSFQNHKLNSMVELEMEKGETFLEGLLTNGWLLKLVFISGQLEDSIATWTFNLMLYSSKDVLRRSACDLWCSILLHKNKPDHSSIKLVRVPSHSELKKALEVYGFQLDSSSKSSSDVEMVPADSDCPGPPQNIQYWIKYVRVCFQVRNTWQILSSSEAEDLTYIIITMFLDRVMLGLSVVLHECLVAAISYFKDDEWQASCHQIAKCLALRLPTDVNCLRIVDSISAIDGRSKHLRSAVAFQFLVKCFDAKVLDAEEILRLLISINVRDNKCNLFKMYIGLSLAENWLLFDPLLNDKPILREMWGLCLRNCSCQITSTDLRPFASKVRCKATYLLQGTSSR >CDP03503 pep chromosome:AUK_PRJEB4211_v1:1:37231526:37235043:-1 gene:GSCOC_T00015898001 transcript:CDP03503 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein GTS1 [Source:Projected from Arabidopsis thaliana (AT2G47790) UniProtKB/Swiss-Prot;Acc:Q944S2] MEVEQEREPSSSSLSSSSAAMKRFGLKNSIQTNFGDDYVFQIVAREDWTSMAVSLSSNAVKLYSPLTGQYLGECRGHSATVNHISFNVPSSPHILCSSSSDATIRFWDTRTFHQASLSLCALSYMFFVLVSVLWYLDVFLHLSFMFFTSSIYFLEMIKYVVLIVVSFINAGPSEEVFSFSFGGPADNLLAAGCKSQVVFWDWRTMKKAACLEESHMEDVTQVHFVPGHQNKLLSASVDGLMCLFDTSGDINEDDHLESVLNVGTSIGKVGFCGDSNQKIWCLTHIETLSVWDWKESIMEVNFEDARSLTSDRWTLGHVDYFVDCYYSMDENRLWVIGGTNSGTLGYFPLYCKSSQGGASTIGSAEAVLLGGHAGTVRSILPMSRLSGRITQSQGIFGWTGGEDGRLCCWLSDEAFDSNRSFISSALVAKVQKNSRKSRHSPY >CDP03647 pep chromosome:AUK_PRJEB4211_v1:1:36176874:36179886:-1 gene:GSCOC_T00016080001 transcript:CDP03647 gene_biotype:protein_coding transcript_biotype:protein_coding METLVVVAQHRNQYYSRSKGHGPEPFGSFDSPPSKDFRGINCRTFQSGSGLLPTPIKSFSSPVPKRVFSPSFSPKTPSTLLHSRSEEPKKSKKLAKSSSAIPIPMKLKVDVDYSRLASSFSGEFNFSERWAGPAYSNSPPPSSLPIPKFSLRPKRTVSLDLPSSSASDIDFRPIAKSAPASPTRERSPSPDDLFHDGVSLSVDSATKTLRRILNLDLTDD >CDP08444 pep chromosome:AUK_PRJEB4211_v1:1:20376049:20378708:1 gene:GSCOC_T00027330001 transcript:CDP08444 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFNRFLVGLVIVFLASSPSSSALHFLLIHGSGHGAWCWYKLATLLEQKGHNVTALDLAYSGRNQVPLERVHTFDEYHKPLSEYMKSLSPEDKVVLVGHSYGGYGVSWVMERFPEKVLGGVFASAFMVGPNFTLEDTNKLLPRPDQLDDSFVIGDPIRIVLIGPHFAATLLYQNSPPEDLKLANYSLRLAQFFYGDVANRQIRVTKERYGSVPRAYVIDLEDKLITPEAQRLMIARTPPQVVRRIRGADHMVQFSKPREFADNLIEIGGLFESFGQEGKP >CDP13089 pep chromosome:AUK_PRJEB4211_v1:1:2638418:2639680:-1 gene:GSCOC_T00037867001 transcript:CDP13089 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQSSALFHYSLPYSAPKISRNGTIMAILDIPKSRTGNIPLHNLQSAGLLVKELDLARGFIGNKKFTKKSDENERADSLVMAKLYAVLEAVADRVEMHKNIGDQRDNWNSLLLTSINAITLAAATMSSIAATTAVGFGSGASLAALKLSSTLMFLAATGMLFIMNKIQPSQLAEEQRNAARLFLQLQKQIETTIAIGRPTGHDVEEAMEKVLALDKAYPLPLLGVMLEKFPATVEPAVWWPQQAKESAHKTCLKSNGWNSKLEKEMRSIVEVIRRKDKADYLRLGGKALTLNKLLAISGPLLTGLAAISSAFMGSSSHTGFLAAMLGIVGGSLASIVNTLEHGGQVGMVFEMYRSNAGFFKLMEESIESNLMERRENGELFEMKVALQLGRRVSELRDLASSPKSKGEGAEEFASKLF >CDP07022 pep chromosome:AUK_PRJEB4211_v1:1:28903326:28908595:1 gene:GSCOC_T00024105001 transcript:CDP07022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G44880) UniProtKB/Swiss-Prot;Acc:Q9FYC2] MDSLLLFPAPFSTVPSATRSCQPSRTSLYPILNKPVFHGKKGRFLPPLKVAAPPNPAITSPQEDEIEEARGQETGIGLETQDSEEDSSTKFSWRDHWYPVSLVEDLDSSVPTPFQLLNRDLVLWFDQSNSQWVAFDDKCPHRLAPLSEGRLDENGHLQCSYHGWSFDGCGSCTRIPQAASEGPEARAVKSPKACATRFPTLVSQGLLFVWPDENGWERASATKPPMLPADFDKPEFATVTIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLTFKMKSSGPWGFAGANDDNPIISAQFVAPCYYMNKIEIDTSLPIVGDQKWVIWICSFNVPMAPGKTRSIVCSARNFFQFTVPGPAWWQLVPRWHEHWTSNKVYDGDMIVLQGQEKTFLSKSKEGSADINREYSKFTFTPTQADRFVLAFRNWLRRHGNSQPEWFGATDQQPLPSTVLSKHQMLDRYEQHTLKCSSCKRAHDTFQTLQKVFVGLAVIFCSTAGIPPDMKLRVVLGGLAILSAALVYALNELQKNFVFVDYVHANID >CDP09073 pep chromosome:AUK_PRJEB4211_v1:1:31519107:31521308:1 gene:GSCOC_T00028264001 transcript:CDP09073 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGTIVVFFVIASAALLFVSPPPSADAHPLAFCHFDQIYQLGDSISDAGNLIRESPLGAFLPFARAPYGQTLLTHEATGRCSDGLLMIDYIALASGLPLVNPIKDAKASFMHGANFAVAGATALSSATLDRHKVRNPVTNSSLDVQLEWMKDHFHEFCGSQRHCEMKLRNALFMVGEIGGNDYNYAFFSNKRKVEILDFVPQVVASIKKAVEGVIRFGARRIVVPGNFPIGCLPVYLTGFNTDNPDDYDENHCLKGLNSFATLHNSLLKKAISELQKENPQVTIAYGDYYGAFQHLLQIAKSRGFELQRACCGGGGEYNFNQTRMCGYAGATACPDPYRYVSWDGIHMTQEAYHIMVDWLLADFLRKLHCHR >CDP09233 pep chromosome:AUK_PRJEB4211_v1:1:32676743:32683264:-1 gene:GSCOC_T00028473001 transcript:CDP09233 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRTVEGEAVDGGDDKIDFGSSSSCDRENVLKKLRIEGSVSSTSTEKTTTSGGEVKISGSGADLGSSSNGKDSSERSLTEMAFDDGNPHDIDEDLHSRQLAVYGRETMRRLFASNVLVSGMQGLGAEIAKNLILAGVKSVTLHDEGSVDLWDLSSNFVFTENDIGKNRALASVQKLQELNNAVVVTALSTQLTKEQLSDFQAVVFTDISLDKAIEFNDFCHNHQPPIAFIKTEVRGLFGNVFCDFGPEFTVVDVDGEEPHTGIIASISNDNPAMVSCVDDERLEFQDGDLVVFSEIKGMTELNDGKPRKIKSTRPYSFILEEDTTNFGPYERGGIVTQVKQPKILNFKPLREALKDPGDFLLSDFSKFDRPPLLHLAFIALDKFVAGHGRLPVAGSEEDANKLISIARDLNEALGDGKLEDINPKLLQHFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLLQFFYFDSVESLPTEPLEASDFKPLNTRYDAQISVFGAKLQKKLEDAKVFVVGSGALGCEFLKNLALMGVSCGSDGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASSAASINPRLHIEALQNRVGPETENVFDDTFWENLTLVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYTSAMRNAGDAQARDNLERVIECLNRERCETFQDCITWARLKFEDYFSNRVKQLIFTFPEDAATSTGAPFWSAPKRFPQPLQFSDADPSHLHFIMAASILRAETFGIPVPDWAQNPKKLAEAVNKVMVPDFQPKKDVKIVTDEKATSLSTASIDDAAVINELIMKLEHCRKNLTPGYRMKPIQFEKDDDTNYHMDVIAALANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLNGGHKIEDYRNTYATLALPFFSMSEPVQPKVIKHQDMSWTVWDRWIIKDNPTLRELLKWLADKGLNAYSISCGSCLLYNSMFPRHKERMDKKVVDLAREVAKVEIPAYRQHLDVVVACEDDEDNDIDIPLISIYFR >CDP17397 pep chromosome:AUK_PRJEB4211_v1:1:13000558:13005102:1 gene:GSCOC_T00004456001 transcript:CDP17397 gene_biotype:protein_coding transcript_biotype:protein_coding MKENSRKQGAASPCAACKLLRRRCAKDCVFAPYFPADEPQKFASVHKVFGASNVNKMLQELPEHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDVLQTQLALAQAEVVQMRMRQFSSISPGTATNSPDHNNITSPSSSRHTQSHHDRTSLFAMGMVIDHQASMGESLWSC >CDP06957 pep chromosome:AUK_PRJEB4211_v1:1:28256622:28262175:1 gene:GSCOC_T00024017001 transcript:CDP06957 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSEIVQSVEEVDCENGLDENGFKDKVLRTGHKYSIEDDINKLFEAIDIKNSRRASGQSEGHFRDGLDKSAMKRPMRVGSAQASGIGISESTSLKQALRGLCISQASEMAALKKRLAKTGMSRHSEAGNIKRLYRAVVVEAGESVYPLNQGRGNLVEISLVPESSTSLNLVGNAHTSEHMNNIELSNYHASSSTLSADELRQKEESTETPAKGEMVPLSICSDLSNAELEENKKLKVEPSPIGPSGNKKLQMLDELVPVSIGVPDAPFCPDKEQEEKFHSASCSSVSSANKAIKSAANSPRLIKPLFRGKNFVMKKLMSNSSTLSSGSSQCNSEEVCRTPDSAFRNGPEENMVSLEICSTSAEVSSKYVDSNPTNSGLALNNTKRTKSQFRQADERSGSREKGEISQSSKSSIGDYSSTTSSSEESYLSRSSRSGYRPHMSKDLRWKAIHCIQKQHGYLGLKHFKLLKKLGGGDIGNVYLSELIGTNCLFAVKVMDNDFLASRKKTNRAQTEKEILQMLDHPFLPSLYANFRTDKFSCLVMEYCPGGDLHVLRQKQSSKSFAEQAARFYIAEVLLALEYLHMLGVVYRDLKPENVLVREDGHIMLTDFDLSLRCAVNLTLLKASSPVVEPPKRMPSPPSESSCIDPFCLHPSWQMSCFNPRFLSAASKTRKLKSELAAQVSPLPQLIVEPTSARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTLGIFVYELLYGKTPFKGASNDETLSNVVSECLKFPAGPMVSYHARDLIRRLLQKEPENRLGSEKGAAEIKQHPFFEGLNWALIRCTTPPEVPKFCEFGSLTPDMASHDKEISKFVKEKGCRTIGEDIVFDMF >CDP09216 pep chromosome:AUK_PRJEB4211_v1:1:32536059:32539890:1 gene:GSCOC_T00028447001 transcript:CDP09216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) UniProtKB/Swiss-Prot;Acc:O22397] MMPISDEVNYLNLQNLEKASCISALTLAYQSLGVVYGDLSTSPLYVYKTTFSGKLRVHEDDEEIYGVLSFIFWTFTLIALCKYIIIVMSADDNGEGGTFALYSLLCRHARLSVLPNQQDSDKKLSSYATEGSADTWDSAFLKSFAEKHQKFRNILLIFVLLGTCMAIGDGIFTPAISVLSAVSGVKIKASELHENYVVVISCVILVVLFYIQHHGTSRVAFLFAPIVIAWLLCISSIGMYNIIRWNPHIYQALSPIYMLKFLKETGWEGWVSLGGVVLSITGVETMFAVLGHFSALSIKVAFIFLVYPCLILAYLEPVFWPVFIVATLAAVVGSQAVISATFSIVSQCCALHCFPRVKIVHTSSKIYGQIYIPEINWILMCLCLIVTIVLRDTNRIGHAYGLSVTSVMFITTCLMTLVMVIVWKQKAVVAASFLVIFGSIELLYLSAVFFKVLDGGWISLLLSWTIMATMFIWNYGSLKKHQFDLENKVSMDRILASGPSLGIVRVPGIGLIYTNLVTGIPAIFGHFVTNLPAFHQVLVFVCVKSVQVPYISEKERFLVTRIYPEDYGMYRCVIRYGYKDLQQENYDFENRLVSEIVNFIETEGENEPTLRMQICTCSGNSDDEAVDESVHILRAREAGMAYILGHSYARAKKSSSIFKKVAINVIYAFLSRNTRRPEVVLNVPPTSLLEVGMIYYV >CDP21166 pep chromosome:AUK_PRJEB4211_v1:1:26994851:26996063:-1 gene:GSCOC_T00010702001 transcript:CDP21166 gene_biotype:protein_coding transcript_biotype:protein_coding MGIADVIVVLVGSGTTLREKFFKKFNGFFILESQVTPLVFFFSNNDNIYMLGMTHEMLERLEANLMANGFLMFMMRWFALISVFSC >CDP17402 pep chromosome:AUK_PRJEB4211_v1:1:13192208:13197610:-1 gene:GSCOC_T00004464001 transcript:CDP17402 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDPEKFLAFQHHPPNFITLSPFSPACYPSPSRRLSSCFTEPSRPVRAARRLAWVSLQGRLVGAEFASSAKTIAGENGSLFSRKEAVAWELFSPIHRILIVAVIAVAVANSKRNRQIFQLKKAVELRDQVLLRMQEKLDNLCEQINFFKDQPEIATEKNGCQLCQQHKHEPTNLAGNSVVKTLKRDEMLKCKWAPTIEAEPEERRMSDLSDWGSSVTSSVDLQLNSFSIEQDIHKLQRECEEKDVTIKELSTAIHSAQVFGSKRITELEDVIRRKNMIITKLKKDILVLEQKVVNLTRTRRPSFSAVVSNDHQLPVMADNVLYDIDNTTSPSSSDSDSSPGNQPQFPRPKSGENPVQKAERALRGIPKLEQAKGSTLSPKPDYHHQNSQPVSPLKEKSLNQLVNVDPNSRRNVTSSVSQHPTSRRRVLARSRDASIPKRWV >CDP15624 pep chromosome:AUK_PRJEB4211_v1:1:26920035:26924928:-1 gene:GSCOC_T00015544001 transcript:CDP15624 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLISLMGFAVLLCLWSAAVAEGAAYLKYKDPKQPISARIKDLMSRMTLEEKIGQMTQIERKLASSDIMKKYFVGSVLSGGGSVPAPMASAETWINMVNEFQKGSLSTRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGVTRDPQLLKRIGAATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTEIIPGLQGDAPAGTPKGVPFVAGKTKVAACAKHFVGDGGTVKGIDENNTVIDYKGLLSIHMPAYFDAVRKGVATVMVSYSSWNGKRMHANHDLVTGFLKDKLKFRGFVISDWQGIDRITDPPHSNYVYSVQAGVLAGIDMVMLPENFTEFIDDLTSLVKKNVIPMSRIDDAVKRILRVKFTMGLFENPLADLSLVNQLGSQEHRELAREAVRKSLVLLKNGIDNQPLLPLPKKSPKILVAGSHANNLGYQCGGWTIEWQGHGGNDLTVGTTILTAIKNTVDPSTQVIYDENPDANFVKSNSFSHAIVVVGETPYAEGAGDSLNLTIPEPGPSTIKNVCGSVKCVVVIISGRPVVVEPYLSSISALVAAWLPGTEGQGVADVLFGDYGFTGKLARTWFKSVDQLPMNVGDPHYDPLFPFGFGLTTKPTKGN >CDP09703 pep chromosome:AUK_PRJEB4211_v1:1:24789842:24796984:1 gene:GSCOC_T00030139001 transcript:CDP09703 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQFLCGQQLYISCKNGRTQLNSFKKGSISLSPTYRPKGSSFLGQKSQFGRALVVKASSDSTTSGKKQVEIVYDLEDKFNKLADEVDKNAGLTRLTLFSPCKINVFLRITGKREDGFHELASLFHVISLGDKIKFSLAPSKSNDRLSTNAPGVPLDDRNLIIKALNLYRKKTGTDNFFWVHLDKRVPTGAGLGGGSSNAATALWAANQFSGSIASEKDLQEWSSEIGSDIPFFFSNGAAYCTGRGEVVQDIPSPIGYDIPMVLIKPPEACSTAEVYKRLRLDETSKIDPLTLLEKISTGGISQDVCVNDLEPPAFEVLPSLKRLKQRVAAAGRGQYDAVFMSGSGSTIVGVGSHDSPQFVYDDDEYKDVFLSEAHFITRPANQWYSEPVLRNATTSATEFSSTAE >CDP17113 pep chromosome:AUK_PRJEB4211_v1:1:37398066:37400581:-1 gene:GSCOC_T00005033001 transcript:CDP17113 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSKMRRKVVPASTDNGDSADKQDQLLVSAAICNGEDLGPFVRKAFASGKPETLLHHLRHFSRSKESEIEDVCRAHYQDFIMAVDDLRSLLSDVDSLKSSLSISNSQLQSVALPLLTSLDSFVEARNKCKNITLAIESLRTCAQLVELCSRANFHLSNNNFYMALKCVDSIEREFLKKMPSSTLRRMLEKQIPEIRAHIERKVSKEFGDWLVEIRIVSRNLGQLAIGQASAARQREEELRIKQREAEEQSRLSLRDCVYALEEEDDEGLDGFCENNREGYGNGGAGALGFDLMPLYRAHHIHQTLGLEDRFRQYYFENRKLQLTSDFQVSSMTPFLESHQTFLAQIAGFFIVEDRVLRTGGGLISKMEVENLWDTAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYGYPTDALLDVLSKHRDKYHELLLSDCRKQIAEALAADKLEQMYMKKEYEYSMNVLSFQLQTSNLMPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLEFYDVVKKYLDRLLAEVLDGALLKIINTSVNGVTQAMQMAANMAVFERACDFFFRHAAQLSGIPLRMAERGRKQFPLTKARDAAEEMLSGLLKHKVDGFLTLIENVNWMADETPQGGNEYANEVIIFLETLVSTAQQILPVEVLKRVLQDVLCHISEMIVGALLGESVKRFNVNAIMGLDVDIRMLESFAENQATLLSDADANQLKTALVESRQLINLLLSNHPENFLNPVIRERSYNALDYRKVVAISEKLRDQSDRLFGSFGTRGAKQNTKKKSLDALIKRLKDVN >CDP15555 pep chromosome:AUK_PRJEB4211_v1:1:25729568:25736350:1 gene:GSCOC_T00015439001 transcript:CDP15555 gene_biotype:protein_coding transcript_biotype:protein_coding MESDCSNGNWTEEVEDLVHGGEIDKAISVLETVMSKLEKKPQKGSSSSSELAAALLDLSKLYSSKGLSLKADQTRSLAFQINLQSQSTGLPTKGGLNVVKESSCDGITEGHNKRNEASTCGQISEDEYQQESSSLLKDVAAQEGGSDDDWEVAADRAPDELLSPENLLEVSKLSLEDAQVQGLKRRGRGTFSYGRHGMYSDKQSNYPVIDDAEDKADSRSSTAENAKKDWNYGTRHVLVLADFPPSTTTSDLEKLLERFRDQGVAIRWVNDTVALAVFRSPSTALEASMCMQCPFTVRVLDETDELLRSIPLRDLEPPRLRPKTSARTAQRLIAQSMGIKLSSTTFGSKELREQEEARRNRIVYRKNMKDDAWGDDDN >CDP09083 pep chromosome:AUK_PRJEB4211_v1:1:31580212:31581814:1 gene:GSCOC_T00028276001 transcript:CDP09083 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSSLICTKVTPFSLTLANKKFISSLRPSNKHIDCKLALKNSGAQKSFKTQWNIPLNTSSSGSTVFNLSPNGVTSRFVIQCSSYSDVKTSPGSPNPVIIALKNVSFESLKSTLLNLTPFEVCKWSLAFSIAVAASRWTINVLFSPFFWMYFSWTWLFWPWMVAISLAIYGLYCFNRHLHGNANAFQQLSIVTAAFTWLTLVPPAHFNGFLEGWPIVFFFVYHYFFFFNVSVRKRLYGDYYPREHDPAWDITLPRWQKLLFCVGVMVGHWFAAFEGPELHLVPGGWSNLGIWILIMLTLFMQYHSTLYLAKYSEKVVVPTSVVLFGPYRFVRHPIYASTMLLFVTYFAAMRAPLSALFIVAVCIFYYGQKAKLEELMMVKTFGQMYTGYTNKVRYKFIPFVY >CDP03560 pep chromosome:AUK_PRJEB4211_v1:1:36844621:36847712:1 gene:GSCOC_T00015965001 transcript:CDP03560 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKADTQINDQQQKRKESGVVSGGPIAFSRKFDADLTISIPFKVALSLHCSESPQSRRIMPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRSYYQQYEAQLNQSLIDQKVKEHLGAYRPVGPPYPLRPGIPVLPTPQMPMPMPMPMPMPMPLPVPVPGSASLPGSAPLIPGIRPPPVLPRPVPSAPGYSMSQMVTPPTAPLPSQLNGIPRPLTAPPPVVPSSAGMPASSGAPPMFTPVYQGNMAVPTTGSGESSDMNAQAAEANH >CDP09089 pep chromosome:AUK_PRJEB4211_v1:1:31627028:31629552:-1 gene:GSCOC_T00028284001 transcript:CDP09089 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMRTKTMGMGLGIPPTDSTAANGAGGTGGGVDGNWEMRPGGMLVQMRTDSDQYRPPPPTIRVRVKYGSVYHEINISSQSSFGELKKMLSGPTGLHHQDQKLLFKDKERDSNAFLDISGVKDKSKIVLVEDPISQERRYLEMRKTAKMEKAAKSISEISLEVDRLAGQVSALESVISRGGKVAEKDVLNLIELLMNQLLKLDGIIADGDVKLQRKMQVRRVQKYVETLDMLKVKNSAPSSNGNAASLGNHIPMQQLSSPTQQLSSPVQQQQQRFSRDHLSSSVEQQQGRHSFGSPMQPQQQLQPSRHSASGHVLITTQWETFDSIPAPVPPGSKASTSTLGSAQPRFNWDLL >CDP06913 pep chromosome:AUK_PRJEB4211_v1:1:27649662:27652669:-1 gene:GSCOC_T00023957001 transcript:CDP06913 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRLPINCMVRVAPQNQNSRALIKSPPSLTSVKSISKTFGLRAKPNFRASAMATYKIKLVTPEGEHTFEAAHDTYILDAAEAVGIDLPYSCRAGACSTCAGKIVSGSVDQSDQSFLSDDHIESGYALTCVSYPTADCVIHTHKEGELY >CDP19132 pep chromosome:AUK_PRJEB4211_v1:1:25445316:25449065:-1 gene:GSCOC_T00009389001 transcript:CDP19132 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTCVDTVLEDLEYLEKGLFFDRGDLVEKLKVELRFLRTFLWCSSGWRNCSDGGYLRSHLMNIESVLRKAGEDLSFARDRAISGSLNEKLDHVVDDLLEKVEAFKVEIGEDFIDWAKHSLEPNNAMSGEVLVEFFDCVLVNLKDLLKFEGNLILSLKEQISALEVKLRFLRNFVIFTNRQCSGYENIGLFFTSIEDAANKVAAITYLCCLQRTAESKGEVNEMLSDLLVKIKPSTSDIIEMFVGILKASKISRSDIYVVGEVVVNFVNFLLEILRPANDQVEQLREGLILIMAFLIDPPENYKWEGKQISSQTDAVVSQVASLCSFYADKTEGQIPVEKDQLLADMIEKIAGIKTKVGEIFPEVSGPPSSFFPRVDKLGIVDSFLRNLMGLLESRANSIVFLKHQFEKLLSDLEFLRAFLVDTAKQQNELEDLVYMWSYIAGVTNEVEFVIDSFLVGDYPVWYYKLRVSVAVDVIELIKSKVRAFCDHHNHNLYLQKSMSSDPVLSEVNSPKIDGTLVGFLDEAETIRVKLTRGGMQLDIVAIVGMAGLGKTTLAMRLYHEPSVARHFHVRAWCCISQVYEKRDLLLTILNQIIELTDQIRGMKTEDLDEVLYKSLKKERFFIVMDDMWSTGAWDDLKRSFPDDRNGSRIMFTTRHREVALEAKSDCDPHALRFFSDGESWELLEKRVFQQQKCPEELVELGRQIATACKGLPLSIVLVAGILARTQKRKDWWMQVVDSLSSKLVGEMEQCKDILGLSFKHLPDHLKPCFLYFGALPQGEEIAIRKLIRLWAAEGLVCNNDVAEDYLMDLVNRSLVIVSKRRSKDHGVKTCHVHDLLHDYCVARAKEECFLMHIFDDDVREPDLSDLICQFEGHMAPDIVEYDVRRLSVFSTWERFRNRMPCGPRVRSLMFFSANVTSQFKYQSSFSSHISRIFNNFKHLKVLDLGRINVGDSFPGQVEKLVLLRYLALRGRIKSIPSSIANLWNLETLIVKGLKGEVTLPDTILEMASLRHLHINNRVVFSLDDSEPGDTSQSNLETCSTLSLSHGICAEMIIRRLVNIRKLKSIFFESWNDLANCNRFPVLHCLSRLESLKLLYHGSIMFPCEMSLPLSLRKLTLSKFRLPWDEMSTILKLPNLEVLKLLHRAFEGEQWNMGDAEFLKLKFLKLDTLKLVQWNASSDNFPCLEQLVLQKCKQLEEIPSSFGDIATLEKIEVQWCSISASKSATDIEAEEPDIKVLIHPPLVESSSE >CDP09133 pep chromosome:AUK_PRJEB4211_v1:1:31909078:31910896:-1 gene:GSCOC_T00028339001 transcript:CDP09133 gene_biotype:protein_coding transcript_biotype:protein_coding MELMIILLAVSLFLQGALGELVCEELPIKMCSFAVASSGKRCSLETYAAKDGKIGLQCRSSEILASDNIHEHIEKDECISACGVSRKTVGISSDNLFQPRHTHKICSPKCQHNCLNIVDLYSNLALAEGLYLPGVCKKALESGSRQEMSEIGSSEIAPSSTVASSLDSAEPPTSGPIP >CDP08514 pep chromosome:AUK_PRJEB4211_v1:1:22776384:22777558:-1 gene:GSCOC_T00027448001 transcript:CDP08514 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSYPFWLARALTFYYQDQVSQFMDCVPLSEILRFVTMTCYLTTAGRSISMLLKLLQIIKPNPCGNVYTRQHLEKIAETAKRLGIAVISDEVYGHLAFGDKPFVPMGVFGSVAPVFTLGSLLQLHSMHGGILCMS >CDP03819 pep chromosome:AUK_PRJEB4211_v1:1:34859349:34862551:-1 gene:GSCOC_T00016306001 transcript:CDP03819 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLHSLPGGLAVATNSLYSCSNFLPTPPHSSPPRSNSSLITRSPRNLCDRKTMSTSAQASSSLPPLPEDRIVLGCGAVSVDFLAAVASYPNPDDKTRSTSAKLQGGGNAGNALTCAARLGLKPRLISKVADDPQGKGLIEELEADGVDTSFLVVSEGGNSPFTYIIVDNQTKTRTCIHTPGFPPMIPDDLSTSNLLAAISGARLVYFDGRLHETALLVAQEANRRAIPILIDAERKREGLDDLLSLSSYVVCSAKFPQASLGFIKAA >CDP07024 pep chromosome:AUK_PRJEB4211_v1:1:28913508:28914812:-1 gene:GSCOC_T00024107001 transcript:CDP07024 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQGSSNSCFPSGPQGISIYGVSDQDVVSSSSIQAATSAPDTHSSSFLYNLSILKDKVHQVQSLASTFISTDHNQPPESTPIAVASMGTLIQEIIITASSMMFACQQVSLGAGGPSGNNNRTSNRVTHFGEDRGQGFYANDALDNWYGDSYNTNNCSTTYNNTRTSSVTVSNHNNEVGVGRRELLPQKGEASGELEKLSPKSYDIVELDAADLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSTAALSNPLKNNLGANGKDQEGLPKLPRKYSCPHEGCRWNKKHAKFQPLKSMICVKNHYKRSHCPKMYVCKRCSRKQFSVLSDLRTHEKHCGDLKWQCSCGTTFSRKDKLMGHVALFVGHTPAISSLAKMGKADQNAAQMQLDDR >CDP04079 pep chromosome:AUK_PRJEB4211_v1:1:32967277:32969614:-1 gene:GSCOC_T00016620001 transcript:CDP04079 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFPFYDKPMRIQYAKTKSDCIARAEGTYDKKKKQEEKAERKRRVEEAQQNATPNGPRAESNGGPAASSRPGRPSAQEAVADPNNILFIQNLPYETTSMMLDVLFKQYPGFREVRMIEAKPGIAFVEFEDDIQSSVAMQALQGFKITPQNPMAISYAKK >CDP03717 pep chromosome:AUK_PRJEB4211_v1:1:35627031:35630048:-1 gene:GSCOC_T00016185001 transcript:CDP03717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH3 [Source:Projected from Arabidopsis thaliana (AT4G16430) UniProtKB/Swiss-Prot;Acc:O23487] MGEKFWLIEEDKALVESVLGAEAVQFFVWLASSNILSEFAAPAGVAGVQDGLSKVVEGSDWTYAIYWQVSTSKSGKSALIWGDGHCRDPRGTEKMDGNDYRDQRFAGGDRRKQALQKIHACFGGAEDDNVAAKLDSVSELEMFYLTSMYYAFPFDKPSTPSQAFNTSRTIWVSDPRSCSENYQSRASLAKSARIETVVFVPFKSGVVEIGSGKSLSEDPNVVQMVKTSITPNVVHPKTAPKIFGQELSLGGGKSGPISISFSPKVEDDSLFVSDSYELQALAGYGPSNGYRSDDGEDDSLMLSDDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYITDLQKKIRIMEAEREMVNDRQKQPAVTDIDLQERHEDAVVQVSCPLDVHPVSRVIKAMREHQIVAQESDVSLTENGEVVHTFSIRTQGGDAEHLKEKLTTALFN >CDP17562 pep chromosome:AUK_PRJEB4211_v1:1:993739:997435:-1 gene:GSCOC_T00011047001 transcript:CDP17562 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKSNSLCAYATEDCVAVLAPNIPAIYELHFGVPMAGAVLCTLNIRHDSAMVATMLKNSGAKVIFVDYQLLHIAEGALGILLKTSSKMPKLVVIPDQGSSSSRNSSKVLEMEQILHTKGGEDEESYAKNSAFQRLVFMNVNHALNWSIQEFCQANLAEAECIRVADLGCASGPNTFLVVESIIDSINRECHNMNILKLPNIQVFLNDLMSNDFNSVFKLLPSFYQKLEESYGRGSRSCTHASLQLCPALFMEDFFLTTPCILYTLLTAFIGFLRKRQGLITYFPTYLLQVPSGLVTEEGLPLNKGNIYIGKTSPKSVHDAYLDQFDRDFTDFLSSCADELVSGGDLFVPLAPKIDDPIAYNVKDLLGMTMNDMVSEGLIEEKVLDAFNLPHYRPSLEEVKTIIEKNRALKIRYLDTIQLRVIGAEAVDCGKGSVFNTNTNAKYRARSLRAIYEPVFQAHFGDGIMKDFFTKLAANISQHQGKMKSPINSLVLSLSRT >CDP13167 pep chromosome:AUK_PRJEB4211_v1:1:1400277:1400729:1 gene:GSCOC_T00038020001 transcript:CDP13167 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKERIKCFYVLPKYTRFSWHIRGLPVDFIKCKPCLLFLSAQVFNCFGHQFCLYFEAFHLGTAPVYMAFLRFMGTDEDAEKFCYSLEVGGKGRKLTWQGVPRSIRNSHITVRDSLDGLIIYRSMALYFSGGNMKELKLKVSGRIWRKDM >CDP18581 pep chromosome:AUK_PRJEB4211_v1:1:206067:210940:-1 gene:GSCOC_T00012412001 transcript:CDP18581 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWWFGRRWEPSIYRVSSNAKSESSKDSPSGMVRKDDRKLPSNPEEVEDLRRSSSANPLIVFTFNELKTITDNFRQDHMLGGGGFGSVFKGFIAEDLKKGLRPIPVAVKVHDGDNSFQGHREWLAEVVFLGQLSHPNLVKLIGYCCEDEHRVLVYEYMARGSVENNLFSRVLLPLSWSTRMKITFGAAKGLAFLHEAEKPVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTPRSDVYSFGVVLLELLTGRKSLDKSRPAREQNLTDWAVPLLREKKKLLSIVDPRLEGEYPVKGVHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQDHLEQTPPNEKPTLTVICENPDGGIQRKDTLLT >CDP17023 pep chromosome:AUK_PRJEB4211_v1:1:38112523:38117978:-1 gene:GSCOC_T00004922001 transcript:CDP17023 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCAESLEWVAYGACGHKDVCSTCVVRLRFICHDRRCCICKTTSDFIFVTKALGDYTRMINDFSAFPSEPKEGRLGSFWYHEDTQAFFDDVDHYKMIKAMCRLSCSVCDAKMLDELPNNDASRRRPRFRSIEQLKGHLFHQHRLVMCSLCLEGRKVFICEQKLYTRAQLDQHISTGDSEVDGSESERGGFMGHPMCEFCRTPFYGDNELYSHMSTEHYTCHICQRQHPGQYEYYKNYDDLEIHFRRDHFLCEDEACLAKKFIVFQSEAELKRHNTLEHGGRMSRSKRNAALQLPTSFRYRRSNEQENRRGRGRTFRRDTSETDLSLAIQASLEASNLDGRIPNATSSSGRASASGEISDVDPLISPLESLATTDSEQSSRYREALSQNSGNAPLEVSSFPPLPMAPGSSQLKSQQDASMKKSMAAHLRVKNLTKPSFPRSSPAWPMARRKPVSAAVSPQLTPNTAPGLASSSGLNKLAADDGRALSSSSNPQVWPTLNNSSGSSSSIGQSKPATENGPSLSTYSSSLMARPPMASDTYSSKNRGSSGKISYSASAPNLVHSGAFDSLATDFPPVSATQVGKLPTGSQVITNVEDVHSANKSLVERIRVALDLNQDKFSAFKDISAEYRQGLIDAETYLVYVEQFGLSHLLVELAQLCPDARKQKELIETYNTNMLSDSTKENRRSAFHPKDVNRSKKGQDKAVDAGNNTAKDNLADSIISTVRELQSSYKPSEEVEVLSKDGYRAAKGKSEIAVDESQGQLSSTVEKDGRSKQRKKTSKFHRIRLGDGSAAAILDLKNPNSDQDPDPDLSEAASGGQNHFTEGVPGRGVWRDGGGQKLFAMTSRQPKK >CDP03807 pep chromosome:AUK_PRJEB4211_v1:1:34930797:34935319:-1 gene:GSCOC_T00016293001 transcript:CDP03807 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQETVVLDISSDEDVGWGDNMSAAGGGGCRDDSDWISELLDEADKKTDDSDEVVVVGEVIAKPKFRPKPSVKCVDKVDDDDCVVLEADPDAPVAIENDKREDDPDELLVVSEKGQVACRDYPHARHLCVKFPFNSTPHDRHCEQCHCYVCDSLAPCLHWSTGISSIDHCHATDKEEFWKSQRKSSKKNDEAPPVAPDTSFSTGLAPPLPSDHSLQNQLFTQAAHQPSSMPSINGTQNNINHGRSQQSGNYTSVSPQLRSTYSHLIPGDRRHSAGNTGTRYIHVPLKRTRTCGDVLPANRYCNNSSRASHGYQSTRSHPSTTRWLDPVTPVISNFEDSSKAIVGGMATRMSSLPAVIHMANGTANHPPSHPQMLRQTHGSSINTSTVGPQRQLSSQIYGDFSITNPMPTQPGVAAQPNWDCPFDNSFPFQPLTTTNPNDTRISQDPVPSESLVTSWANMSNCFEGAFPTQPNADSGFDNPLLHLPQECGQVASLSSHGEDTFKQGNQTRSTLDPSCAEFGYGWDSTPSHGQQPLADEYNRLENAVQRDEPAVNADVGSQLYGSANSAPLDFHLDSWLFENQSALGALEVPVPPELNVFSPEAATIDAAYPLSTNFFCSSVLLKLFRLL >CDP18573 pep chromosome:AUK_PRJEB4211_v1:1:279317:288970:-1 gene:GSCOC_T00012403001 transcript:CDP18573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosidase 2 subunit beta [Source:Projected from Arabidopsis thaliana (AT5G56360) UniProtKB/Swiss-Prot;Acc:Q9FM96] MNKKKKIGGLRRLFEISIVIIIGCICAITRSAAAAAAKDDQFLLLLGVSPQDEDYYKGLLSSPSSTIKCKDGSFKFSTSQLNDDFCDCPDASDEPGTSACPNGKFYCKNAGHIPFSLYSSRVNDGICDCCDGSDEYDGKVKCPNTCWEAGKVARERLKMKIATYQQGVTVRNRLVEQAKLAIAKEEAELSKLKNEEKILKGLVEQLQERKEQIEKAEEKERLQKEKEDKERKETEEANLKEKQNGEQVESSEADALKNDIHDKIGEQVESSEADALKNDMHDKIGLLGDSSSSQDVMEGHGDSESEAQHGEFDVKEESSIGDVKPLQEDSLLHATEKEEQLTVDGQPGLDTGNQAEVEEDNSESLSKEELGRLVASRWTGEKTEPQTQEVSSAKDKNHGTEEEDAYGEEYSGYDSEEDEHRYDNNDDGDNEDQMDDFGGEDHDDSSPSYKSESDDEPDLPAEPSWLEKIQKSVRRIFQAVTFFQTPVDKSEAARVRKEYDESSAKLSKLQSRISSLTHKLKHDFGREKEFYSFYGQCFENKQNKYVYKICPFKQASQVEGHSTTRLGSWEKFEDSYKVMQFLNGDKCWNGPDRSMKASILLVKLRCGLNNEVTDVDEPSRCEYLALLSTPAVCVEEKLKELQDKLDMMNKEQPTSHDEL >CDP09152 pep chromosome:AUK_PRJEB4211_v1:1:32043347:32048230:-1 gene:GSCOC_T00028366001 transcript:CDP09152 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDYYTKDGTVDYRKNPADKRKTGTWKACPYILGNECCERLAYYGMSSNLMIYFTKQLNQHTAEASRNVSNWSGTCYIMPLLGAFLADSCLGRYWTIASFSIIYFIGMTLLTLSASVPGLKPACSAEDVCDPTSIQTTVSFLALYLVALGTGGIKPCVSSYGADQFDDADETEKNFKSSFFNWFYFSINIGALVASSFLVYIQLHVGWGWGFGIPAAAMAIAVVSFFSGTRIYRYQIPGGSPLTRLCQVLVASLRKYDVPLPADKSQLYEVADETSAIVGSRKLEHTKAFSFFDKAAVETESDRTNESKVSAWRLCTVTQVEELKSILRLLPVWATGIIVSAVYSQMGNLFVSQAEVMDTHLGNTRFKIPEASLSVFDTLSVIVWVPIYDKIITPFARKITGQKNGLTQLQRMGIGMVIAILAMVTAAVLEIVRLGIVRRHNLYDAKLIPISVFWQVPQYFIIGCAEVFIFIGQLEFFYEQAPDSIRSLCAALSLTTGSLGSYLSSLLVQIVTKASTRGGKPGWIADNLNYGHLDYFFWLLSGLGVLNFGVFLAVAQSQLRIIKSLSIWQWNIIHLLLEQTDLSSPIQYQSVNWKYDESRNK >CDP07129 pep chromosome:AUK_PRJEB4211_v1:1:29983279:29987068:1 gene:GSCOC_T00024254001 transcript:CDP07129 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRSISNGTRSKKLSKPENPSAPKRKNRDEEEEKEEQEVLILESSSSKPERGKKVVTKKAKKGAKEDSDDEDIRLLFEEKVASQIAAKEWGKIGKSGLMKNKKSGGAGSSSTPKEVEKKKSQGKKKAVAEEEEREQESSGKKEMKNSKKKKNMVAVEEGDDENEREEGPQCKFPMNRIQKIMKDHDASARLAQEAIFLANKASEKFLELYCREAYACAFLDHKKQVSYDHLASVVSKRKRFDFLSDIIPQRVKAEDALAEVSDK >CDP09265 pep chromosome:AUK_PRJEB4211_v1:1:16990203:16991711:-1 gene:GSCOC_T00028526001 transcript:CDP09265 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIRFSFNIPMNKSHEPQWPSATWKTIETSNLFFLKQSFSPKKSIRKLIKCASVSRLTSEVKEAQEYAQDSRTFNQVWREIQGCYHWEGLLDPMNSHLRQEIIRYGEFAQACYDSFDFDPHSKYCGTCKYQPADFFDKLDMADRGYQMRRYLYATSNIDLPKFFQKSNMSSIWSQHANWMGYVAVTVDEDEIKRLGRRDILISWRGTVTYLEWIHDLKDFLHPAHFRDDPSIKIESGFFDLYTTKENTCKYCSFSAREQVLAEVNRLIQRYEGEELSITITGHSLGAALALLSAYDIAEMKLNITKTGTKKIPITVFSFAGPRVGNLRFKERCDELGVKVLRIVNVHDKVPTVPGIITNEKFQYQKYIEEVISFPWSYAHVGVELALDHTHSPFLKANSDLGCAHNLEAHLHLVDGFHGRGRPFRLVTKRDIALVNKDSDFLKRDYGVPPKWRQDENKGMVRNSDGRWVLPERPRVEAHPADTAHHFEQVLKYARSGFELL >CDP03794 pep chromosome:AUK_PRJEB4211_v1:1:35036174:35042880:-1 gene:GSCOC_T00016278001 transcript:CDP03794 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSATQVGSYFVQQYYQILQQQPDYTHQFYNDSSTVVRVDGETTESASALLQIHALITSLNFTAIEIKTINSLESWSGGVVVVVSGSVKSKDFSGWRKFLQTFFLAPQEKGYFVLNDIFHFVNEEVINQAPALGVSEVIHQTPAPVAVENKSDSQPASSVPLQEPPVSDYTLDAEAGEYGNSVHIEGDDAVEDYSYREQEQEQEQEQNAGAETVDEGTSLEESSGFMQNEEDTAQEPLPAVEEPVGELPKVSYASILRAPKGNPTSTVTVQPSFNKKASQPPLLQSNAALSVAPDISEDVAEETFSHKEGESKSIYVRNLPSGVSSLEVMEEFKNFGRIKQDGVFVNNRKEIGVCYAFVEFEDVQSAQNAVKASPIKLAGRQVYVELRKPSIGSTTRGGRRGRGRGGRLGGRFGRGSNLDSNDSNKGRSNGFRSS >CDP08455 pep chromosome:AUK_PRJEB4211_v1:1:20924017:20932047:1 gene:GSCOC_T00027356001 transcript:CDP08455 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIERIANVALAGLTFAPLILKVDPNLNVILTACLTVFVGCYRSVKPTPPSETMSNEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIVALSATLLPAIKRFLPKHWNEDVIIWRFPYIRSLEVEFTRSQVVAAIPGTFFCAWYAAQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPGIFVALALRFDVSRGKQNQYFKSAFVGYSVGLILTIIVMNWFQAAQPALLYIVPAVIGFLAVHVIWNGEVKPLLEFDESKATSSKEDDSKSSKKVE >CDP03878 pep chromosome:AUK_PRJEB4211_v1:1:34435487:34440879:1 gene:GSCOC_T00016377001 transcript:CDP03878 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSLMFKCFQHQGPESDESSTSKDKPEGQHKAADDVMQSLKGKAASGEISFLSKLVVLLAVALAITVLSIGFRQPNQRSLLGIQHLVDSSSTPALSTHAVGFSIRAFGYRIILPEYAPGWIYFWLLMAAGCGLFISEEALNIWVGITLARMLSLDGTWHSFLDSFSRNARYIVSMVLWVYWGVCISDMIPFYLGKLFRQSGASDDVSSKLGIGREKALKITRVVQKYGNLVGVVERFSLGVRNPTAFLAGAMGISPEFFFAGVCCGGLITLPLQLGIGFLFRDRPVFALATVATVVGIWTIIPYAAAALTALFLYLRRKNFS >CDP14450 pep chromosome:AUK_PRJEB4211_v1:1:9226692:9229598:1 gene:GSCOC_T00040929001 transcript:CDP14450 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSHVVLSLLLVLLFHVGIATAVKTEDGSEAWGYVPVRPKAHMFWWYYRSPNRIHDPKKPWPIILWLQGGPGASGVGLGNFEEFGPLDVFLKPRNSTWLQKADLLFVDYPVGTGYSFVEDVKNTTLFVKSDVEAAKDGTKFLTEFFNRNLTLQKSPLFIVAESYGGKFAVTLALSALKAIEAGKLKLNLGGVALGDSWISPEDFTSSWAPLLKDVSLLDGNGFQISNRVANQIKKQIAAGKFAEATDTWNELEDLITKYSNNVDFYNFLSFAEDDPSSLSAASELKQRIAVKRSSPGGDGDLYSLMNGDIKKKLKIIPPNVTWGGQSNSVFEALKGDFMRPRISEVDELLAKGINVSIYNGQFDLICATKGTNAWVEKLKWHGIKNFLNKDRTPLYCGGDKSIKGFTKSYRNLHFYWILNAGHLVSQQTIVIFLLHLFVSLKLLLLLPT >CDP08513 pep chromosome:AUK_PRJEB4211_v1:1:22754313:22756115:-1 gene:GSCOC_T00027445001 transcript:CDP08513 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMNSESSNNSNTPAAGPVMTSSKQPVQSAKNVDTQSVLKRLQSELMALMMSGDSGISAFPEEDNIFCWKGTITGSKDTVFEGTEYKLSLSFPTDYPFKAPKVKFETGCFHPNVDVYGNLCLDILQDKWSSAYDVRTILLSIQSLLGEPNTSSPLNNQAAALWGNQAEYRKMVEKLYKPSS >CDP13098 pep chromosome:AUK_PRJEB4211_v1:1:2483208:2483960:-1 gene:GSCOC_T00037885001 transcript:CDP13098 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVGHVIPGIGFFLIGLWHLVNHMRLHFLHPKSYISLPWFPTSKIRYVELFFLMGGCVAYIFMELFVGPARHQPLDPDGTIPSHHLRNFEHTNIALSLFIYALFSFILDKIQPPAQYGLTLMLGAIAFGQQFLLFHFHSTDHMGVEGQYHWFHQIVILVSFFTTLLGIGYPKSFLNNFARSLSILFQGVWMMVMGIMLYTPEYIPKGCFINSVDGHSIVSCQDDEALERAKSLVNIQFCWYLIGVTDK >CDP09668 pep chromosome:AUK_PRJEB4211_v1:1:24132805:24133523:1 gene:GSCOC_T00030080001 transcript:CDP09668 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIGVQVSECDSFCFIPIFSVASICYAHLAASQLGQWMKFEDTPEISSSHGEVSHAESHLVPELPRRKENVCNSMFFC >CDP07050 pep chromosome:AUK_PRJEB4211_v1:1:29133240:29137995:-1 gene:GSCOC_T00024146001 transcript:CDP07050 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLTKTAISQILRSTVLITKCPVSKIPSSSISPFPITKPKVPNFPKFIASPVMASSGSKESPANNPGLNTNLDDATKGYILQQTMYRIKDPEASLKFYSEVLGMSLLKRLDFPDMKFSLYFLGYEDTSCAPSDPVERTSWTFGRKATIELTHNWGTEKDPDFKGYHNGNSDPRGFGHIGITVDDTYKACQRFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDTKTIGGVVTDAA >CDP09231 pep chromosome:AUK_PRJEB4211_v1:1:32659479:32660264:-1 gene:GSCOC_T00028470001 transcript:CDP09231 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRRTKSNIRIQHPNFSCSSFKDIQSLCAEDYNSESDPTNRPKKSVFHRVRLANSVLRAFASSPSALDPFPESNVSAAHHHPLPSSKSLSFENPNESEPSISLPGAEKRVVVYFTSLRVVRSTFEDCKNVRSILRGLGVVIDERDLSMDHGFMEELQRILGHSEKTKLTLPRVFIGGRYFGGAEEIRRLNETGELKKYVEGLGLPADPGMCEVCGGHRFILCHQCSGSHKCYSEKGGFKSCTTCNENGLIRCPSCSGAAF >CDP11083 pep chromosome:AUK_PRJEB4211_v1:1:7954715:7956950:-1 gene:GSCOC_T00033101001 transcript:CDP11083 gene_biotype:protein_coding transcript_biotype:protein_coding MSILNYSICPICLVSVCLAILHLLSSSRINAASDQMHSASSTGSEGKALLIWKASLDNYSQSQLSSWLPSVNPCSTWIGVRCNKAGRVSVINITSSGIKGTLDHLNFSSLAHLTRFDLDRNALHGTIPANIGNLSRLIYLRLASNQFVVSENNFVGAMPRSMKNCSSLRVINVANNQLSGSISEEFGIHPDVEFINLRANSTLPPVGLMCHVYIRVISTFLENKFFGQLSWNWSGYLNLTALGISNNNLSGRIPSGLGELSRLQILYLSSNHLHGKIPRSLGKLTLLLSLKLHNNSLSGNIPSEIGQMFRLLNLTKMHSSKVFLLK >CDP17583 pep chromosome:AUK_PRJEB4211_v1:1:1308585:1310171:1 gene:GSCOC_T00011078001 transcript:CDP17583 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHFSSCRSDRELLGPNNQYLPKIVSVFAEVLCAGKDLATEQTASRMVNLLRQLQQTLPPSDLASTWSSLQPQQQLALQSILSS >CDP17551 pep chromosome:AUK_PRJEB4211_v1:1:763151:763605:-1 gene:GSCOC_T00011030001 transcript:CDP17551 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRVLHLKGGDDHASYAKNSSFQRPTNFFRANRPATGCISLTDLGCASGPNTLSAIQDIIENIDRECHDSNIYPELPRILVFLNDLASNDFNSIFQLLPSFNEKT >CDP11110 pep chromosome:AUK_PRJEB4211_v1:1:6995987:6999020:-1 gene:GSCOC_T00033150001 transcript:CDP11110 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3119 [Source:Projected from Arabidopsis thaliana (AT3G04790) UniProtKB/TrEMBL;Acc:A0A178V804] MAAAAATTTSPSLYFLSSHHNASTRLFLRPSPSITFRSSSRPSFSVKSLSTALTQDDLKKIAADKAVEYVKSGMVLGLGTGSTAAFVVAKLGELVGSGKLTDIVGVPTSKRTQEQAASLNIPLATLDTHPSLDLAIDGADEVDSNLDLVKGRGGALLREKMVEAASDKFVVVVDDSKLVSGLGGSGLAMPVEVVQFCWKYNQIRLQELFNEEGVEAKLRLNGDGKPYVTDNSNYIVDLYFKNPIKDSAAAGKEISALEGVVEHGLFLDMATAVIIAVVAHEMSDSSNAE >CDP08959 pep chromosome:AUK_PRJEB4211_v1:1:30593875:30596969:-1 gene:GSCOC_T00028107001 transcript:CDP08959 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAAIQRPPIGLSSLRSSITWLIITMLTIYVLYSSKLIFNKRQLECPLPSSDISTRQNVEDGSPFANESTISNYSAQEPAGSATAPPPPPPSLPLRDDTELKHIAFGIAASSNLWDKRKEYIKLWWRPGETRGAVWLDKKVSTGRNEGLPDIKISGNTSEFKYTNRQGKRSALRISRVVSETLRLGMKDVRWFVMGDDDTVFVVENVLRVLSKYDHNQYYYIGSSSESHIQNIFFSYAMAYGGGGFAISYPLALEIEKMQDRCIQRYPGLYGSDDRIQACMAELGVPLTREPGFHQYDVYGNLLGLLGAHPVTPLVSLHHLDVVAPIFPQLNRVQSLQHLFESVKQDSASIIQQSICYDKKRYWSISVSWGYVVQIFRGVISPRELEMPTRTFLNWYKRADYTAYAFNTRPVTKHPCQKPFLYYMSSTKYDEGRNQIIGVYSRFKESSPYCRWTMESPEKLNTVIVLKRPDSSRWQKSPRRDCCRVLPSKRSTNMYIWVGKCRMGEVSEM >CDP19120 pep chromosome:AUK_PRJEB4211_v1:1:25610619:25612112:-1 gene:GSCOC_T00009372001 transcript:CDP19120 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCHLPRLMGNILRKPLKDLVPLPKRPLDVDHVDMDFSDVFGPLPTQSPDANESSEDPDLIFGPRDKLEKLSLESTTGILKKDIVEGAAIGLEDFEVLKLVGEGAYGKIYQVRKVATSDFYAMKVIRKAKIVKSNLADYVKSERDILAKVDHPFIVRLRYCFQTKYRLYLVLDFVNGGSLFSQLSRQGLFREDISRTNAAEIVSAVSYLHANGILHRDLKPENVLLDAEGHAMLTDFGLAKQFEDQKNTRSDTICGTTKYMAPEVVLGRYYDKAADWWSLGISLYEMLTGFPPFMGENQHILQGKILKDKIRLPPFLSRDAHSLLKGLLQKDANKRLGNGPTGSEEIKGHKWFRSINWKKLEAREIQPSLVPQITGKQCITNFQENCFDECITPLVCVCMICSNT >CDP03634 pep chromosome:AUK_PRJEB4211_v1:1:36253994:36258117:-1 gene:GSCOC_T00016060001 transcript:CDP03634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MAMNFSPSTPMGSIYQHKPKILLSLQPPQGSKNVSCGAASNYVKLEVRLRASAAKPLVVKHLLQKRQVEFFGISSLVSLSSFPKFISFVGWIVFTQLAFFFLFFFKPAYLSTIRVLRCATIEEIEAEKSFIEEDVKERMEKTVDTVRSSFNSIRTGRSNPAMLDKIEVEYYGAPTNLKSIAQISTPDASSLLVKPYDKSALKAIEKAIVNSDLGMTPNNDGDVIRLAIPQLTLERRKELSKIVAKQAEEGKVALRNVRRDAIKSYEKLEKEKKLSEDNVKDLSSDLQKVTDEYMKKIDSLYKQKEKELLTV >CDP15580 pep chromosome:AUK_PRJEB4211_v1:1:26212619:26216548:-1 gene:GSCOC_T00015475001 transcript:CDP15580 gene_biotype:protein_coding transcript_biotype:protein_coding MASDIIATVLLDLELLVKDFGVEYFRVLDLKAELRLLRTLFWCAREWNYDLYSKPGNNNNLASFLTSLEPAVEEKILDLYNDCLSEERFRELGLNSHLIGLKQEQFFPLEQGTYSYGRSYMGEERFSYDQGFMGMGSNASFNLLKGKDKYSYGLGGEEVWTFDWESKFDHKVGEIRTTCIYNSKQEISRSYINLLDYYNSLQNHSSWGPKIMEFIDFLLVNIEDVQTWVRVDDQDVRDLLEALKEKVAFSKNFIRFAEWRGFEYGRLEVLFMRLQVVAIDAAARVFHMWAFYPYDYKEARDNKMRLIFSELLQKMEAVDPQIRETYIQVLLSGSPHARTLEIEEHILGDFVDSLLYRIWEGLTHSITCVVSLEDQMPILYEGLRFLRTILKKYFEKLPNKVKDLIRAAVSEAGIVICSLFVQGLKEGLAKEMDSALVNLLGKIKLIKVVTSALIFPKTDELGFMNFFLQNLKDLPSCKVDSNVFASNEIQTVLDDLISLRSLLENNLEERNQDEKLQALSRHAVEVAYKAQLMIQSLVVGNDPDYSPMIFGPLTEEINFIKMEALKLGDNRCSMASQKPIRSSDSLPSQGRTPAINKAVVSLNDEAKKIIDQLVRGSKQLGIISVVGMPGLGKTTLARSVFNDPSVTRTFHSHAWCIVSQVYTKKDLVLQILGWIVPELSDQYLNKSEVDLEEELKKRLMKNKYLIVLDDVWDADAWSGLERSLPNDANGSRILLTSRHPEVAKKINPNCEPHPLRQLTDDESWELLQKRLSCRDSYDEKLGREIAKNCKGLPLTVVIVAGILSNSGQDGWEEIAGRLSLNTLSITEQCMDIIKLSYRNLPDFLKPSFLYFGAFPVGQEIPFGKLMRLWIAEGFVQKSDGKSLEDVAGDYVNDLIGRSLVMISKERSLGGIKSCRVHDLLHEFCVVTAKKEKFLSLVSGYDELLTFNGQCNQQRLCVYSLQEHFERSRIFCPSVRSILFFPLDNAYGPKHCRLLFNLCIFKLLNVLNLEQIHLGFCFPSETLLLVELRYLAAQGEFDSIPSSISNLSSLETLLVKSHSTAVLPDTIWNMQKLRHLHGGFSLLGSSLATENLEMSSVLHNLETFSVLRLSFGQSIEKILRKLPNIRRLKCTLFESGKSTGDCNRTVAVQFLSRLQSLHLSSSFGRVKYHSELHFPSNLRKLSLSYLHSSIIPELRDLPNLEVLKLLSIHCEENTWDMEEEWELPKLRFLKLGSLDIVRWTSTSDHFPCLEKLVLQQCRKLEEIPSCLEVIEPLEMIEVRHCPVLDRSLLLKIEEEQNSSGNYDFKIFIFQ >CDP09003 pep chromosome:AUK_PRJEB4211_v1:1:31014272:31015138:1 gene:GSCOC_T00028166001 transcript:CDP09003 gene_biotype:protein_coding transcript_biotype:protein_coding MELIPGLPNDVAIECLIRVPFSQISKAASVSKNWKAEIQLPEFRQRRKAAGFTQPLIAMTRADINLNEHIRDDDDGGARRLHHYLLKICDPERRFWYEVPSIPGFSKGVPMFCQVAGVGTNLVVIGGYDPVSWKTLNSVFIYDFLTATWRDGAAMPGGQRSFFGSASDGDHRILVAGGHDGNKNALASAMMYDVAKNEWVVLRDMARERDECKCIFHRGRFHVVGGYCTDRQGDFESSAEALELDDVDGWKWDELENIHEMDLALTKIEVPGGHSDTVHVQSCCYLEV >CDP03507 pep chromosome:AUK_PRJEB4211_v1:1:37202702:37205946:1 gene:GSCOC_T00015903001 transcript:CDP03507 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIVKGGCEKKKLEYLDFVQVGAIYMIICFSSFYDYAKESSGPLKSGVKTVEATTLNNAYEPTTKEFYFKCEPLAEQYPVSAWRSLNQHPLFPQVVQMIIPTAAYWCEKYNEAVAYTAQRGYTVSQCLPVVPIERIGKVFSAVSLFQVMPKLLRCQHQPKSICCWCLMITCSFIHCYNS >CDP17348 pep chromosome:AUK_PRJEB4211_v1:1:4803465:4804904:1 gene:GSCOC_T00009683001 transcript:CDP17348 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPQSSSECTLLIEDEKQQTTTTCTTRTTTKKSKKLALLPVIFLIYFEVAGGPYGAEAAVGAAGPLFTILGFLIFPFIWSIPEALVTAELATTFPGNGGFVIWAHQAFGPFWGSMMGSWKLLSGVINLASYPALCIDYLKQVLPIFSSGYPRYLAILFSTLFLSFLNYTGLTVVGYTAVCLGIVSLCPFIVLTLVSIPKIEASRWISLGQKGVEKNWTLFFNTLFWNLNSWDNVSTLAGEVDQPQKTYPRALLSAGIFTCLSYLIALLAATGATSLDQKKWANGYFANLAAMIAGKWLKYWVEIGAVLAVIGQYEAQLSSSAYQILGMANIGVVPRCFGVRSKWFNTPWLGILIATLIALAVSYMTFADIISSVNFLYSLGMLLEFASFLWLRRKTPTKKRPYKVPMPLPGLVIMCIIPSAFLVYVIAVASKTVYIVSALLTGIGIIWYFLMNLCKLKEWIAFENAGEKDADVADED >CDP09013 pep chromosome:AUK_PRJEB4211_v1:1:31074528:31076807:1 gene:GSCOC_T00028181001 transcript:CDP09013 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDDCKLKFLELKAKRTYRFIVFKIEEKQKQVIVEKVGEPGQSYEDFAASLPADECRYAVFDFDFVTAENCQKSRIFFIAWSPDTSKVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVFKSRAN >CDP17025 pep chromosome:AUK_PRJEB4211_v1:1:38095247:38108624:-1 gene:GSCOC_T00004925001 transcript:CDP17025 gene_biotype:protein_coding transcript_biotype:protein_coding MDETIMEVEVAEKRQCTSSSSSWQLKRGPYLGEVSALCFLHLPSTNAAHLSPPLPLLLAGTGSEILVYDLSSAHILASFQVFDGTRVHGITLDPHHNPDHSRDAFFNLAVYGERRVKFYSLQITIARLPQLRKQPPPRPPAAAAAASSCHLQLTLLHSLPKFAHWVLDVCFLNKNAATSSNEGGYWLAIGCTDNSVWFWDIFAYTLVSQVMCPDRCLLYSMRMWGSDVESLRVASGTIYNEIIVWKLDFQNHSPVVSSPAEDQTHSVMDKGVQICGQLYQAVNICRLTGHEGSIFRIAWLPGGSKLLSVSDDRSARVWLVNDRGDGFCGAQEVADDFVGLVLFGHSARVWDCCIFDNLIVTAGEDCTCRVWGPDGIQLKVIKEHIGRGVWRCLYDPISSLIITAGFDSSLKVYQMHASSSESPKGRTCCREDFIDRKELFSFLVPNSSRHIGLMDSKSEYVRCLHFSREDSLYVATNNGYLHHILFNTGEVKWTELVSGDEGAPIICMDLLSNRSSLHGGVEDWVAVGNGKGSMRIVHVVGDIRSPKVEHTLVWSAEIERQLLGTYWCKSLGFRFISTADPSGTLKLWRLCDSFSSISHSIKRTENACLIATYISCFCSRIMCLDASSEDEVLVCGDIRGNILLYPLSKSTLFGPSVSSEAKTSPMSYFKGAHGISTVCSISITTNSGQVDICTTGQDGSICYLEYDKTFLGLEFTGMKSLKELSAVRSVSKSGNSNHHLEGEDYAIGFASSDFIIWNLTAQTKVVKVACGGWRRPHSYYIGDIPETNNCFAFVKNDMICIHRHWVPQNESELYPRNLHLQFHGREIHSVCFIAGESDYGSDKRSGMLSTTDWIATGCEDGTVRLTRYEPGFKNWSTSKLLGEHVGGSAVRSLCCVSRTHKILLELTNMPNKELRLDEAVEDPEDPCLLISVGAKRVLTVWKRKSRTRNKREALCGEPENRDENGLHGSSPSAISSLSFHWLSTDMPTKDRNHERKQNIENVKESTRNACITSKIATSGALNFYNHGKRGSVGGIGDKSEDDWRYLAVTAFLVKVADSRISVCFVVVASSDATVTLRALLLPCRIWFDVVILSTLSPVLALQHIIVSKNLPFDDNSFIGSLYMVISGSTDGSIAFWDLTKDVEDFMHQVSSLQIKDYIDCQRRPRTGRGSQGGRWWRGLGSHVLKKKPGDEHILGSRIQKGKNDNGSLSVRTTEKSEENMGNDAVYGTSEMPYSEQHTRAWIQKGKNDNGSLSVRTTEKSEEDIENDAVYGTPEMPYSEQHTRARFQVRDCAFVSEEKIFDSPRGIRKVSPLHVLYNAHQSGVNCLYVSNKKSMGISGNRYTYYVLSGGDDQALNCVVFDLTLKTKSETCQDSYETQSSTLPEIFEYCNTCQIQNSLIRFLSVEKIESAHCSAVKGVWTDGIWVFSTGLDQRVRCWILDQHFKLVEHGHIIVSVPEPEAIDAQACGRNYYQITVAGRGMQMVEFFASS >CDP06991 pep chromosome:AUK_PRJEB4211_v1:1:28575041:28577978:-1 gene:GSCOC_T00024062001 transcript:CDP06991 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVADATAPRRKLSCSTYFDALWFCYSPVHQMQQYYRMGWLDNCSAKWNALFDCLNLKTKRPSELEEILEKREQANNHIWSFRSPEEAAIHWQELFGHLDEVE >CDP03848 pep chromosome:AUK_PRJEB4211_v1:1:34607179:34612644:-1 gene:GSCOC_T00016344001 transcript:CDP03848 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIFRQKVLLEHLQPTSCFSHQLTHEESSSISPSICLAGDSAAYQRTAAFGDDVVIVAAYRTAICKSKRGGFKDTLADDLLAPVLKALIDKTNINPSEVGDIVVGTVVAPGSQRATECRMAAFYAGFPETVPIRTVNRQCSSGLQAVADVAACIKAGFYDIGIGAGLESMTTNSNVSIQQANPRVDSFPQARDCLLPMGITSENVAERYGVTRQEQDQAAVMSHKRAAAATASGKYKDEIIPVFTKIKDPKNGEEKPVTVSVDDGIRPSTNLTDLAKLKPAFKKDGTTTAGNASQISDGAGAVLLMKRSLAMEKGLPILGIFRSFSVAGVDPAVMGIGPAVAIPAAAKSAGLGVDDIDLFEINEAFASQYVYCCKKLELDMEKVNVNGGAMALGHPLGATGARCVATLLHEMKRRGRDCRFGVISMCIGSGMGAAGVFERGDSTDDLCNARPVSKVNLLSKDVK >CDP03604 pep chromosome:AUK_PRJEB4211_v1:1:36475593:36481260:1 gene:GSCOC_T00016021001 transcript:CDP03604 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLVELEILQRSGSTIEKKQAAIILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKIFHPAVAVVLSVTFVLLFGEIIPQAICSRYGLAVGANFAWLVRVLMIICYPIAYPIGKVLDAVLGHNDALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAIGKILARGHSRVPVYAGNPKNIIGLLLVKSLLTVRAETETPVSSVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVRVKAKDSNSLPPGEGEKVGENKVNSVDSQLTSPLLHKVDEKSDSIVINVDKASSQTTIDKHTLKQNVAMTNSLTHLPEDIEEGEVIGIITLEDVFEELLQEEIVDETDVYVDVHKRIRVAAAAAASSVARAPSNRRLTGQKPSGRFGKERTNPKEAT >CDP09685 pep chromosome:AUK_PRJEB4211_v1:1:24330131:24338666:1 gene:GSCOC_T00030108001 transcript:CDP09685 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGSGQQSHLQPPLARQNSWFSLTLDEVENQLGNLGKPLGSMNLDELLKNVCTAEANTGLDISNSSPAAALQRQASLTLARAFSGKTVDEVWRAIQLGQKMKSLEDIDSQSTLGETTLEDFLVKAGLFVADASLAPEMVLDSTLTAQNFTPQIGLSPTVSLDALSDSPLPGRKRNSADAYHNELVTKVSRLEEENIKLKKEKELEKMLPLDSSSEPRYQLRRTSSF >CDP11059 pep chromosome:AUK_PRJEB4211_v1:1:9093790:9096074:-1 gene:GSCOC_T00033054001 transcript:CDP11059 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAGSLLHGMKEVEVWLQTFSPGSHTPIHRHSCEEVFVVLKGSGTLYLASNSHPKYPGNPQELPIFSNSTFHIPVNDAHQIWNTNKEEDLQFLVVVSRPPVKVFIYDDWHMPHTAAKLKFPYYWDEECYLMPPTKDEL >CDP08493 pep chromosome:AUK_PRJEB4211_v1:1:22310739:22311519:1 gene:GSCOC_T00027419001 transcript:CDP08493 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPKLRIFFFFAKIDKNKKKIRTTEIKVVLLHLQLEYLHFKDEQVDEDGSNFVVELDFEPFVTSVPKPTLTKSVSIGAKFLNKYIFLPPMFHDQENMVRLLLEFLQSHHYKDKTMMLNDRIKKFNTLQGGS >CDP09051 pep chromosome:AUK_PRJEB4211_v1:1:31367396:31368774:1 gene:GSCOC_T00028234001 transcript:CDP09051 gene_biotype:protein_coding transcript_biotype:protein_coding MREDASNRFQSSQCIRFLLTSCLYTVKLLQVEIKNLNSFSSVSRAIDFEISRQVQLHSQGQADQIVQETRLWEEGAQKTITMRKKEGLSDYRYFPEPDIPGVTLSEEYVDGIRSSLPELPEIKRRRYENMGLSMQDVLFLANDINVAEFFDATIANAADVKLAANWIMGDIAAYMKNEKLSISEIKLTPLELGELIASIKGGTISGKIGKEVKLFIIGISWTYLPFYVLVVAICWDVLVIYLEVGVLKPKTSYLQSLPPYHPTQHLPPPPPHPQLAHIKKLAN >CDP15620 pep chromosome:AUK_PRJEB4211_v1:1:26889001:26898730:1 gene:GSCOC_T00015538001 transcript:CDP15620 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKQVVIEGFKSYREQIATEPFSPKVNCVVGANGSGKSNFFHAIRFVISDLFHNLRSEERQALLHEGAGHQVLSAFVEIVFDNSDNRFPVDKEEVRLRRTIGLKKDEYFLDAKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDAERLDLLKEIGGTRVYEERRRESNKRRQIIQVVQYLDERLRELDEEKEELRKYQQLDKQRKSLEYTIFDKELHDARQKLMEIEDARNRGSEASTKLHNSLSDAHEKAKELDRLYKDLTKEVQILSKEKEAMDKQRTEAIQKRAKLELDDNDLQEKISANIKAKEDAAKQLEILEKEIQESTNELNKMKPLYEGQVKEEEEITRGIMEREKQLSILYQKQGRATQFASKAARDKWLQKEIDEYERVLASNLTQERKLNDEINQLRKDLEEQDAYVLGRKNEAAALENLVSGYREVCSRYKTERDKLHAERKSLWEKESELSSEIERLQSEVMKAEKSLDHATPGDIRRGLNSIRGIIREHKISGVLGPIVELVECDEKFFTAVEVTAGNSLFHVVVENDDISTHIIRHLNARKGGRVTFIPLNRVKAPHITYPQSSDVVPLLNKLKFSRDHAPAFAQVFARTVICRDLDVATRVARTDGLDCITLEGDQVSKKGGMTGGYYDYRRSKLKFMNTIRQNAFSITLKQEELEGLKFKLQDILD >CDP13113 pep chromosome:AUK_PRJEB4211_v1:1:2183557:2186202:-1 gene:GSCOC_T00037910001 transcript:CDP13113 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPEKKVRGEKSDEDNIDRLSALPDCVLLHILSSFKTKDAAATSILSRRWRNLFISLPDIHLSISVDNDASDRDRLFSDFIDFSNRVFRQRNNAPIKKIRFFVKHFVERYRLAFESWLLSAAAAISLSSIQELGISVLINETTMPFLISIPPGFLSCKTLVSLSLNLWADWIVPDLVSLPNLKFLNLSGFKLVDEDCFVRFLRGCPSLEELMLHLRPFYDERESGEGIEVEVLDISNPSLKKLILCWHEKVELEFTIIVKSKNFEYLLCFLEGQHKVNIDAPKITSLDIVGRVLEVNIIQDLMSLDTAGVQSDFLYYITTESDLFLRGWHAFKFMSGLQNLKSLSLSEKILKALYFSQRGLPTFRNLIKLELIPFYCHDFPRMCIWKVLSSLFESSPNLEVLIFQEVFKNYFSEDEELDSVFPEALPLTFIEHLKEIEFKNFEGEEHEFKLVEYFLKNAKTLKKMTIAKEPWNSVPECRDRILSFKKCSEDCQIVFKKKMDWIKCPQLRKALNLSV >CDP03850 pep chromosome:AUK_PRJEB4211_v1:1:34597670:34601890:-1 gene:GSCOC_T00016347001 transcript:CDP03850 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITSEGRRITKLDQILLNGNNIAILVPGGSPDPE >CDP03849 pep chromosome:AUK_PRJEB4211_v1:1:34602740:34606125:1 gene:GSCOC_T00016346001 transcript:CDP03849 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKRSISNDADMHALYKEWDEASCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFRKLRDEDTSPSLNPTSQHDMVSTSNGNLGSRSSGDQTEGQGDRGDVAVVGSVATEELGENSSQVVDNNLEMQEETIQLRDSTSSWEGVGLEENNMGNSFETTANLRCPLCRGSVLGWKVVEEARRYLNLKHRSCSRESCSFSGNYRELRRHARRVHPTVRPADIDPSRQRAWRRLQDQREYDDIVSAIRSAMPGAIVLGDYVIENGDRFSGEGGGRLLSTFLLFQMIGSVDSEAELRGGRSRPLSRHRRSSGAFSRRRFLWGENLLGLQHDEDDDEDDQEDEPELNVLSDSGEDVSLNPRRRRRLTRSRANEDRQ >CDP17675 pep chromosome:AUK_PRJEB4211_v1:1:5430281:5431121:1 gene:GSCOC_T00013217001 transcript:CDP17675 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAKYEELPLYTYEELANATDNFQSNKRIGKGGFGPVYKGMLFDGREIAVKRLSNSSTQGIEEFMNEAVLISKLQHRNLVRLLGCCIDREEKMLVYEYMPNKSLDAYIFDANKPSLLDWRRRVIIVESIGRALLYLHRDSRLKIIHRDLKASNILLDEELKPKLSDFGLARIFGGNDDQANTNRVAGT >CDP17665 pep chromosome:AUK_PRJEB4211_v1:1:5240453:5241276:-1 gene:GSCOC_T00013202001 transcript:CDP17665 gene_biotype:protein_coding transcript_biotype:protein_coding MVFARKDPSLATVEDFSFSGLHKAGNSNDAFGGKTTIVKVEVFPGRNILGTSITRVDIGPRGINRLKSENFHLHSLFSYQLIHLFLPLEGTLLLGFVTSNPENQVNEGDVFVFSMGLIHFQYNLGSVPVAALNFLKCSLQILSFDHIHPPIEKDILAKAFQVDRTVEKIQSKL >CDP03755 pep chromosome:AUK_PRJEB4211_v1:1:35314549:35318857:1 gene:GSCOC_T00016233001 transcript:CDP03755 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTSKASTGRKRQREQESSPESEAADQSVSLEDNLIFSDTLVALRMMRSQFPRIEKVSIQPFILRSQLYSSVKDRTQVDRELESLKRECIIRVFKLNTGQDDHAVMFVDDYQSQIERAVKRLQTKRQDDLTVFDWFKTHVIPSKLDPSIGHQELFSLLSLVGKVKEEHISLLINAGLLTRQLIDPNMYWFAIPNIGSVLKGLSQGRKELLSFLNRRKYKEMMLALLEKKRLHHSLLDMRFHLRDLIGSGHLKTIQTPSGLVVRIAKD >CDP03546 pep chromosome:AUK_PRJEB4211_v1:1:36948307:36952351:1 gene:GSCOC_T00015950001 transcript:CDP03546 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYPWLEEIRLKRMVVTDESLELISKSFKNFKVLVLSTCEGFSTDGLAAIAANCRNLRELDLRESEVEDLSGHWLSHFPETCTSLVSLNIACLGSEVSFSALERLVARSPNLRTLRLNRAVPLEKLPILLHRAPHLVELGTGAYSAESRPDVFSNLAEAFSACKQLKGLSGFWDLVPAYLPAVYSVCSKLTSLNLSYATIQSPDLVKLICQCHSLQRLWVLDYIEDAGLEILARSCKDLQELRVFPSDPYGAEENVPLTERGLVAVSEGCPKLHSVLYFCRRMSNAALVAIARSCPNFICFRLCIIEPRTPDYLTLEPFDTGFGAIVERCKELRRLSLSGLLTDRVFGYIGQHGKKLEMLSVAFAGDSDLGLHHVLSGCESLRKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCSVSFGACKLLAQKLPRLNVEVMDERGPPDSRPESCPVEKLYIYRTVAGPRFDMPDFVWKMEEGAGLRYS >CDP09179 pep chromosome:AUK_PRJEB4211_v1:1:32240209:32243497:-1 gene:GSCOC_T00028400001 transcript:CDP09179 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHVSSLSLSFCLTFHAILFMVINFSFPGNVLAAVVRNETDELALLAFKSEITEDLDGVLDSWNATLHFCQWTGVECGLKHQRVSSLDLRGRRLAGSVSPHVGSLSFLRVLDLSDNSFHGVIPSEVGHLFRLQTLNLSYNLLKGEIPANLSHCQSLTYLILDHNFLERHIPPELGSLTKLVMLYLKNNNLTGTIPASIGNLTSLQELYISYNDLEGGFPDTMAQLRSLVSLGMSWNSLSGEFPPVLFNLSSLQLIGLSFNKFRGSLRPDIGLFFPKLQRLYLANNSFTGLIPASLSNCSELLQLDFPANYFTGNVPLSFGNLRNLFWLNVLTNQLGSGASGDLNFISSLSNCQNLEFLDIAENHFGGKLPDSITNLSTSLTRLLVGQNMIHGTIPKDISELFNLNVLSIKETLISGSIPESIGKLSNLKTLHFESNRLTGVVPSSLGNITGLLYIYLQDNNLEGSIPASLGNCRFLQRLELSKNNLTGSIPKEIMRLSSLSLVLDMSQNFFSGPLPEEVGNLTNLAVLDLSNNKLSGRIPSTLANCLSLESLYMQSNDLEGEIPSLTSLKNIQYFDISSNNLSGHIPQSMVELSTLRYLNLSFNHLEGKIPVEGVFADASSVQVRGNAKLCGGIEGLHLQPCPRQSPRGSKKKTAIKVILIVVVKKKPTSASLSDQIYKKVSYNDLLNATEGFSSHNLIGSGNFGTVYKGCLGPDANIVAIKVIKLQKKGAFKSFLSECQAMRNIRHRNLVKILTACSSVDFNGNDFKALVYEYMPNGSLEKWLHQDGEQMQQKGLSIFQRINIAIDVASALHYLHNQCQTSLVHCDLKPSNVLLDNDLTAHVSDFGLARLLISNSREDADLNQFSSLGIKGTIGYAAPEYGMGGRVSTQGDVYSFGILLLEIFTGRRPTDALFTGYLNLHSLVKKGLPDSVMEIVDQSALLDEDPGDLVEAVGYETHSSRVELSDILVSVFKIGVACSEEAPQDRMNMSQVVNDLVSVREKFSGKSARRREMQKSDGRVNILVDSLASTELIH >CDP03580 pep chromosome:AUK_PRJEB4211_v1:1:36692065:36693288:1 gene:GSCOC_T00015991001 transcript:CDP03580 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLPLTEIAQPLLLLAIFVLLHSCLVAHAILNPIDFLALQSIRKSLDDLPGSHFFASWDFTSDPCNFAGVYCVGDNVIALNLGDPRAGSPGLTGRLDPAIGKLSALTEFTVVPGRIVGPLPESLSQLKNLRFLAVSRNFISGQIPATLGQLRGLHTLDLSFNQLAGSIPWSVGALPALSNVILCHNRLSGPVPPFVSQTLTRLDLKQNDLSGSLSPASLPPSLEYLSLSWNRFSGPVDRLLSGLNRLNYLDLSLNQFTGCIPGRLFSFPITNLQLQRNLFSGPVEPVDQVTIPTVDLSYNRLSGDISALFATVQNLYLNNNRFMGQVPNSLVDRLLSAGIQILYLQHNYLTGIDINPGAEIPVSSSLCLQYNCMVPPLQTPCPLKAGKQKTRPTDQCIEWKGKTRN >CDP03963 pep chromosome:AUK_PRJEB4211_v1:1:33882718:33887272:-1 gene:GSCOC_T00016477001 transcript:CDP03963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MASFSVTSVAASGTTYLIPSKFLRTQKWRNSFPTKPKWQLKRRKFLAPCSTFEASGGFPVDQESSSSSGSRQENQNWDPSQYESLLKGGDQVTSVLEEMAKLLEDMNMDEASEEVAVQLAAQGVIGKRVDEMESGFMMALDYMIQIAEKDQDEKRKSLLEVIKETVLSHLTKKCPPQVQVVGLLCRTPGKESRHELLRRVAAGGGVFENNSGTKIHLPAANLNEIAYQADDILETMETRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGFTTLPQSEVNFLTKLVALRPGKTVREMIKNVMQGKDEGADDSPGNEEIGSEGRISSGIAGKASVTGVKPLPVRPGMFLETVSKVLSGIYAGNVSGITAQQLEWVHENTLQILQEIAF >CDP17364 pep chromosome:AUK_PRJEB4211_v1:1:4428140:4434362:-1 gene:GSCOC_T00009713001 transcript:CDP17364 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEELTEQETALYDRQIRVWGVDAQRRLSKSHILVSGLTGTVVEFCKNIVLAGVGSLTLNDDRPVTTELLSANFLIPPDESVYREKPLADLCCDSLKDFNPMVRVSVQKGDLSSFDVQFFDKFDAVVVSSCSLNTKKSVNEKCRKSSKRVAFYSVDCRDSCGELFVDLQDYTYSKKKIDEMTECRLQFPSFEEAIAVPWRSLPGRLSKLYYAMRVIERFEELEGRKPGQTSAADLPNILNLRKELCEAHSLSESQIPDALIERLLAGTREFPPVCAIVGGILGQEVIKAISGKGDPIKNFFFFDAMVGKGVIEDIAKRDNEAEQFQIGCLEGCLEVD >CDP06871 pep chromosome:AUK_PRJEB4211_v1:1:27327693:27328879:-1 gene:GSCOC_T00023905001 transcript:CDP06871 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRLRKNIEFHLDDSPRRKPQEGRHPSAANNNATASCEIEQTDVSEGAMLGDCWSKECSSNNNSLSTEQIESGFECDDKAPNELSPYAPSSHQKECNSIEEDYFADIMKDDIIQLDDSAIYASPQHLQTVAPEPESQNKSKQPAEDTHPVVFLSRAQQIEGSDWEGKNLKTVKLIRLTGTESTKLTSLPSSSVKRICSSRQNCWLDYSLTWGLSVYPYSSSLS >CDP08464 pep chromosome:AUK_PRJEB4211_v1:1:21482508:21484028:1 gene:GSCOC_T00027371001 transcript:CDP08464 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLIGSLPHRRLKNLAQKHGPLIHLQLGEVSAVVVSSPNLAKEIMKTRDLSFASRPELLTFKIVCYDSKDILFSPYGDYWRQMRKICVTELLSAKSVMSFHNIRQDEVLHLVAAIGQLAGKSVNITEKLFSHTSSMVCRAAFGQVSKEDQYEFVRLMKQEHVKNQTRKKKFIADSNQEDLIDVLLRIRDSEDLQFPITNDNIKAIIFDMFAGGTETSSSTVEWAMSEMIRTPMVMAKAQEEIRQAFKGKQKIDEIDVQELRYLKFVIKETLRLHPPAPLLIPRECREQCEVNGYTIPIKTKVVVNCWALGRDPEYWSDPESFEPERFDNNPVDFTGNHFEFVPFGGGRRICPGMSFGLVNMELQLALLLYHFNWRLPDGMNSEDLDMIENNGITATRKNNLYLVPSLYDPSIDL >CDP03702 pep chromosome:AUK_PRJEB4211_v1:1:35740403:35744200:-1 gene:GSCOC_T00016161001 transcript:CDP03702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 9 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G49100) UniProtKB/Swiss-Prot;Acc:Q9SMU7] MVYLTSWDDFVERSVQLFRADPEKTRYVMKYRHCDGKLVLKVTDDKECIKFKTDQAQDAKKMEKLNNIFFTLMARGPEADISEVSGKEHMEAQPAKKGRGRKQ >CDP03813 pep chromosome:AUK_PRJEB4211_v1:1:34892687:34898202:1 gene:GSCOC_T00016299001 transcript:CDP03813 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein WDS homolog [Source:Projected from Arabidopsis thaliana (AT5G43920) UniProtKB/Swiss-Prot;Acc:Q9FND4] MENLMIGPKGLIKKQEFVRIIIQSMHALGYRKSAVCLEAESGISCKSVDFESLESHILNANWDDCIGALNKLKGLSDETRASALFVVLKQFLLECLSRGEDALALEVLRKQVAGLRMGKEKVHNLAVGLLFLKELGSNKIDNGIIQEFRKRMIIDLENVLPPPITLPERRLEHLVEMAVSAQVDNCTYHTSDAITLYEDHHCGRDHFPRETVQILTNHKNEVWFVQFSNNGKYLASSSCDCTAIIWKVLETGKVTQKHTLKSHQNPVSFVAWSPDDTMLLTCGNMEVLKLWDVETGICKHTYGNDGFIVTSCAWFPDSKRLVCGSSDPEKGIYMWDSEGNDIKAWKGVRMPKVSDIAVTPDGQHLISIFSDKEIHILNLQTNAERVISEQHSITSLSVSGDNKFFIVNLNSQEIHMWDVAGKWVKPWKYEGHMQQKYVIRSCFGGLDSRFIASGSEDSQVYVWNQLSSYPIEILSGHLMTVNCVSWNPRRPQMLASASDDQTIRIWGPNCF >CDP03535 pep chromosome:AUK_PRJEB4211_v1:1:37016979:37018795:-1 gene:GSCOC_T00015937001 transcript:CDP03535 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLRRVANSLPFSGLFRKLEQDMETVIKVLQPGPLGIIEHKFSPEEICKANSTVMTAVENWRRNAKVEQQSPIFKEFIDK >CDP03581 pep chromosome:AUK_PRJEB4211_v1:1:36686077:36687265:1 gene:GSCOC_T00015993001 transcript:CDP03581 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDRIADWTSMLPLANPIFVEIDGSQLDAWRPSAKCKTACGPVNWGPTLLTISNADYPLKNEELLTMHQLQFFPLN >CDP13160 pep chromosome:AUK_PRJEB4211_v1:1:1530068:1532450:-1 gene:GSCOC_T00038007001 transcript:CDP13160 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVRDINLELNKINQQARDFGLDSKSVVPAALPAAPAVGGTRGRQTDSVVAPMVGRADDASEIVEILLSPSEKVVSVLPITGMGGLGKTTLAKSIYNNKQIDAHFNKKIWVWEKRYFLVLDDVWDDDQELWDDFFTTLNGLNPTTGNWCLVTTRLGPVAHSVSRVLMMENEGYSLGRLPDVHCWSILKEKAVGGQEEPDVLKAIKERVIKRCDGLPLAASVIGGLLRLKRKEEWRSILENRLLSLSAGGDHMMQILKLSFDNLPSPAVKKCFAYCSIFPEDAEMEGDVLIELWMAEGFLHAGLENKTMEEIGEYYLEILLQSSLFEEARKYGRRRWYKMHDMVHEVSKSIMSKSTRFINSETGSGDNSNQVRCLVIDSFGEGTINLFESRSNLLHTLFLSQGSLSDDMLMKLKNLHVLNLSGAKNQNLPISIGKLIHLRYINFEDSRSEALPESVCKLYNLQTLWLNRFALKVLPKGMCDLISLRHLHYYTDDKEFQLPLEMGRLTCLQTLEFFKVGREKGRRIGELGSLKNLKGSLTIRNLQLVKDRKAAEKAKLSEKANLFSLKLEWAWAWDREGDNYNCDKDVLDGLQPHPNLEELVIENFMGDQFPRWLMELPTATTLPKLARLEFNSCDSCRELPPLQNFTSLKELVIKYCDGLTNLPGDMLHSCTSLQKLQVIGCGNLISFPLDLQQTPSLLELELCWWSLALVTSQMMVMIMKILQFTMSLIGLD >CDP13088 pep chromosome:AUK_PRJEB4211_v1:1:2667789:2674198:1 gene:GSCOC_T00037865001 transcript:CDP13088 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNETKGSWCLLTTRLESVANAVPRHLQMHDVPYSLGKLSDDECWSMIKRKVLAGEEVPKELEALKEQILRRCDGLPLAASLIGGLLLTNRREKWHSIVQESLFNEYQSQINQILKVSFDHLSSPSVKKCFAYCSIFPQDTELGEDELIQHWIAEGFVLKNNRVMEETGGEYLRILLQNSLLEKVGESWGTYYKMHDLVHDFAKSILNQDRYLALNSSEGLAENTTRTIPASIRTLFLHVEGGISVDMLLRFKYLHVLRLYGDDVKFLPSSIGKLLHLRLLDISYSGIRSLPESLCKLYNLQTLTIDDGELEGGFPKGMSDLISMRHLNYHHHRAEFKMPMQMGRLTCLQTLEFFNVSQKRRCGIEELGTLKYLKGSLEIRNLGLVKGKEAAKQAKLFEKPNLSCLVFEWESGDRESDNREEDVLEGLQPHPKLQKLEIHSFMGNKFPQWLINLPKLEALRIKDCHGCSELPSLGQLPSLKRLNLTRLENIQFIGDEFYASRSGTRRRKFFPALEQLRVEEMGNLVEWKGTDQVRLTVGEAEADALPMLRDFRIDSCPQLTTLSCSCKGLYVRSCRNLTSIKTGYDTASVEELRIESCDNLRELPEAVFGSSLQRLVIHTCPRLISLGVNGQKCPLTCLEELSIVECDGLTILSDKMFQSCRSLRSLSVKCCPNLVSFPLNLQETPSLERFILDNCPKLIPHSFKGFAFATSLRELSINSPFFSYDSSVDDFDWSGLRSVSTLRELRLEGLPHTESRASGSSTRTRKFFPALEELYVRDMRNLVDWKDADQVRSTTGEAETDVFPMLRNFHIQHCPQLTNLACSCKILVVEYCGNLTSIKTGYGTASVEELSIRSCDNLRELPEDVFGSSLQQLVISNCPRLISLGVNGQKCPLTCLERLSIDYCSGLTTISDKMFESCPSLWSLLVQWCPNLVSFSLNLQETPSLEEISLFECPKLIPHRFKGFAFATSLRKLSIGPFSSDDSSMDGFDWTGLVNASTLRELQLRGLPHSDSLPHQLQYLTTLPSLSLFNFRGIEVLPHWIGNLVSLETLKLWFCEKLQSLPPEAAMRRLTKLTRVEVYGCPLLRQRYTPQRGIYLEEEISSYPASSESEQEGNR >CDP07045 pep chromosome:AUK_PRJEB4211_v1:1:29093607:29096699:1 gene:GSCOC_T00024141001 transcript:CDP07045 gene_biotype:protein_coding transcript_biotype:protein_coding MANALLPLLLLCILLLGPATFSARIGNHQKALPHLVPKFRVSPAKGLIRGLNLHPRQDVNIVANDFAAANDSRIVEKPLKLNILGAPDPSVADLGHHAGYFNLPHTVGARMFYFFFESRRKNNSDPVVIWLTGGPGCSSELALFYENGPFHITDGLSLVWNNFGWDKISNIIFVDQPTGTGFSYSSSNDDIRHDEKGVSNDLYDFLQAFFRKHPEYAKNEFYITGESYAGHYVPALAGRVNQGNKNKEGILVNLKGFAIGNGLTNPLIQYKGLPDYALEHKLISQSYYNGMQESVTECEEAIEACGTSGTDSCETAYELGNEIFDDILSSAGNINYYDIRKQCEGQLCYDFSNVENFLNQDTVREALGVGHIEWVSCSSTVYDAMVDDWMRNIEVDVPALLEDGIKLLVYAGEYDLVCNWLGNSRWVQAMEWSGQKAYLAAPNTSFTTNGVEAGVRKGYGSLTFLKVLNAGHMVPMDQPQAALEMLESWIKGKL >CDP06936 pep chromosome:AUK_PRJEB4211_v1:1:27993079:27995179:1 gene:GSCOC_T00023988001 transcript:CDP06936 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSFSLYKAFTFRDLGDLAEHGAAAFETVRDITAASYVIGDMSDGIVDDPLFDRYKKLGCSISTLDRDSSDYKMIVNYLERTYEPYKVGEINYGVSVENIYEVEPSACPSLEEIKKLPNKMLLWCGSRSSNLLRHLHKGFLPAVCSLPAPGYMFGRAIVCFDAAAEAARYGFTAVDRPDSFLVLAVASLGEQILETSSPPEDTESLEEKKSGVKGLGRKKTDESEHFVWKDDIKVPCGRLIPSEHKDSLLEYNEYAVYDPQQVTIRFLVGVKYEEQDAQYVQE >CDP08944 pep chromosome:AUK_PRJEB4211_v1:1:30400045:30403481:-1 gene:GSCOC_T00028086001 transcript:CDP08944 gene_biotype:protein_coding transcript_biotype:protein_coding MACLTLSASGWVNNIILYLITEFNFKIIDAAKGFNVISGCMALFPILGAVIADSFIGCFSVIWISSIINLLGVLLFTLTASLGILRPKPCNGSGFCDSPSQFQHAILILAMAFGSIGVAGTRFTLGTMGAYQLDNPKHQEKFFNWFLFAWNSAAIVAATVVIYVQDDVSWAWGFGMCVAANVLGLIIFLAGWRFYCDIKPQGSPFKDLTCVILAAIAKRKLLLSDKTQDFYSETMVRSKEPVAAAPTESFKYDFPSLSQCFDLVKWINQEIICTVQQVEDLKTLIRIIPLWTTGIFLSTPVAIQSSLTVIQALTMDRHFGPNFKIPPASIMVFTLLSAISLPLIDRLSHPIWQKISIPPLTLLQKIGIGHVLTILSMAISALVESTRLRLSKAHNLHSTSTSTAMAAMLVGMAFYLSAAFIDLFRRVTNWLPDNINQGRLDNVYWMLVAYWWSEFRLLSCLYLVLQVQSARRGIVRQINIGLPNSMLNQLGLSLKQAFRFFIDLLSFN >CDP03725 pep chromosome:AUK_PRJEB4211_v1:1:35551830:35552646:1 gene:GSCOC_T00016197001 transcript:CDP03725 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPVSDRCEAAVTISYEAQARLQDPIYGCVSHIFALQQQVVNLQAQLAFLREQAAQCLANGYSNTAANPNEIKSEGKCLSYSPDVQSWFQPENSGTIPHFDSNFVPKNGEMSHFQRGIMSQTSLGSYEYPVNLPGENISTGSQSMDSLDHMQTPEDEQWGFPDHDMEDLQSVAFRYIQHS >CDP09227 pep chromosome:AUK_PRJEB4211_v1:1:32617635:32619298:-1 gene:GSCOC_T00028465001 transcript:CDP09227 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGNFSDGLPEVNSSDHGMKDGGGSDEPKTSGSSSSNSMAEESTGKKLSSSATGVRQYVRSKMPRLRWTPDLHRCFVQAVEKLGGQERATPKLVLQLMNVKGLSIAHVKSHLQMYRSRNIDDHGQVINERGYPFRSMDHFLRNGWQFATLDRGIRSSFSYSYSDDNWTSNMTWRAMPGTVDDTNIKRGTVFHNTSLGRIYGGIGANAINGSLFGTQERRKSYNYMEDFTWLPDTKINHKGIWPSRGETNSELTQVYKEKRVESIVNAASPETKCSGTKTEKANTAKRKAPDNGVDLNLSLSMNLRQGESKRTHNWDEEEKDSTLSLSLFSASNAEKCSIDLNMSSTFNRLNEDTQKFKDPMLASTLDLTI >CDP09004 pep chromosome:AUK_PRJEB4211_v1:1:31017539:31021007:1 gene:GSCOC_T00028167001 transcript:CDP09004 gene_biotype:protein_coding transcript_biotype:protein_coding MISCMCFWKFGNLPLSFQDKGYWMPKGGGHLGDGETVFSNSSRIEAKRTHQWLSDAADHEVFSTKKQAVHVPVSKQIPGVPMTSLAWENASGFQSAPNQFIDRLFGPDTTRSANLSSRNTSQLDVENSNMRKKVIDDQLGGDTSVGLSMSYPLQDPETCVSYGGIRKVKVNQVKDSEIGLQAAQEHNIGVSLDQAYNRDTETAFVSMAQAFGKEAESVTLMGHSHSRVEVNMKPLDSTFPKGDDSAMSLSHSFSKGDSSTISFGGCQDEPYMDVLARPVNSSYDLLYNQSSLQTAEIIDARDLEAPIAVASTSQTPKGKPDSVTKNKSEMKPTRKEAPNSFPSNVRSLIATGMLDGVPVRYISVSREELRGTIKGSGYLCGCQSCNYSKALNAYEFERHAGHKTKHPNNHIYFENGKTIYQIVQELRNTPESSLFDAIQNVTGSPINQKAFRIWKESFQAATRELQRIYGKEELNL >CDP09161 pep chromosome:AUK_PRJEB4211_v1:1:32121467:32125303:-1 gene:GSCOC_T00028377001 transcript:CDP09161 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRKDVQVCMLKVLYFSIRFVWNCVKTHPYVSSTLIFLGLLYACNPSLFWLVIYLSAFVCIVFSTAKLYYRFGNAKNPKRDEKNVSDGAKPVRTSRLVKNDVHARSVRRRRSRETSRDDYSQTSEEEKSTVFSSTFNHGTVDKSAIVEQQPKEIREVEVDSLAVHAECSSSNYLRDLRPEFRIQSHEGPQRLDRERGEIETESSEGTEGEDDERDKEENNKAVQWNEDDQKNLMDVGISEIERNRRLESLIARRRARKLLSLQVRKTLMNIDNSAPFGHITPLMVPRHNPSILNPPSGRFSPMPGSAPSILVPMHNPFDIPYDPQEEKPILTGGSFDQEFLPLHQKDLFSRHESSSIESSFPGESKQDRKDASQFYDSAFKERERGSEQHENSELESQLDQKDTEKIIEVETSQPPKSNSNMVRDHIDGRQEEENSEEKTIWMPSDDLSGKSSTASSSEEEEQFPRVDKDAILKSLSSPVSRNISAKWDSRSEMEHDLINSGPSMLHDNRMEGHFFADKACSHTPTYSISSDLQVEVSEISSPPLTVDGSISSHDENRISEGSMEGEITSSSEDISAASPHQCGGGDEKESILKDIHEVSEIGTVEVSNTRSSEEPVASAGKMTEKAPKPLTQLTSNAELPDASQDHPSDNEGGQQTAERGFPRVTERYNGRQVSRLLPHLLVRQVPSIIPLSPRSVLQPKFSIDPSSSSHYTDEMHEEFGTPMAETSNILNDARGESLPLSAPVTTSLAVEDTTSCISHGRDAVLQVSHQEQKCNLRSLLFYLICFLCNHVIQNMITGSS >CDP09040 pep chromosome:AUK_PRJEB4211_v1:1:31232712:31235756:-1 gene:GSCOC_T00028216001 transcript:CDP09040 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDASVQSDIKLWPFKVIPGPGDKPMIIVNYKGEEKQFAAEEVSSMVLTKMKEIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSAGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDEIEKMVQEAEKYKAEDEEHKKKVEAKNALENYAYNMRNTVKDEKIGSKLSPADKKKIEDAIDQAISWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGGDMGGGAMDDDAPSGGSSGAGPKIEEVD >CDP11073 pep chromosome:AUK_PRJEB4211_v1:1:8310906:8314135:1 gene:GSCOC_T00033084001 transcript:CDP11073 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVAKYMPTILTRSSAVLNSVSHELQQQRGIRVQVRDGNLERALALMQKKMQSSGIERLIRSEQSHHIKNSEKRVLARKARERTVQSQQFSRVLKSLLDKKVRGL >CDP13155 pep chromosome:AUK_PRJEB4211_v1:1:1583125:1589177:-1 gene:GSCOC_T00038000001 transcript:CDP13155 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLFSPTRILKPSFKPSNKNNTCIVQNFLNLCSQGHLQEAVKSLDLLAQKGIRLDCKKLAFLIQKCAESKNLKLGRWVHLHLKVTGLKHPNTFLANHLINMYGKCGDHIEARRVFDKMSLRNLYSWNNMLSGYAKQLMVKPARRLFDKMPERDVVSWNTMVIAYAQSGICDEALRFYKELRRSVIGFNEYSFAGVVTVCVKLKELRLTKQVHSQVLVAGFSLNVVLSSSIVDAYAKCGELGDARKLFDQMGRRDVLAWTTLISGYAKWGDMESARVLFDVMPERNPVSWTAMISGYARNNKGHEALDLFMKMMMLCIRPDQFTFSSCLCASASIASLKHGKQIHAHLIRIKFRPNSIVLSSLIDMYSKCGCLELAKQVFDVVGDKQDVVLWNTMLSALAQHGSGEKAINLFAEMVTAGVRPDKITFLVLLSACSHSGLVHEGLRLFESMNRDHNIVPDQEHYSCLIDILGRAGRFHEVMDHLRKMPCKPDEHVWNALIGVCTMHGNIELARIAAKHLLQLNPQSPAIYISLSSIYAALGKWDSVMRLRQLMNEWNVSKEQALSWLEIDHNLHQTTVSDKVNSSSLGEANPLVELLADHSGI >CDP03578 pep chromosome:AUK_PRJEB4211_v1:1:36695789:36702374:-1 gene:GSCOC_T00015989001 transcript:CDP03578 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLSEGTAINDMGHWFSRLELESELLEGFSSSYEVVGSPAYKRPLSSLDHEIAQLTKLRSGPHENLSRVLPGKRESSVSTLKMLAGREANFSGRGRFSTADRCHVLSKYLPVNGPWVVDQMNTRAYVSQFSADGSLFVAAFQGSHIRIYNVERGWKVQKNILARSLRWTVTDTSLSPDQRNLAYATMSPIVHIVNVGSTTTESLANVTEIHDGLDFSDEDNGGYSFGIFSVKFSTDGREVVAGSSDNAIYVYDLEANKLTLRISAHTSDVNTVCFADESGHLIFSGSDDNLCKVWDRRCFRAKGKPAGVLIGHLEGITFLDSRGDGRYFISNGKDQTIKLWDIRKMSPNSPRDHGFRNSQWDYRWMDYPPQARELKHPGDQSVATYRGHSVLRTLIRCYFSPDHSTGQKYIYTGSYDSCVYVYDLVTGAQVAKLQYHKSAIRDCSWHPNYPMLVSSSWDGDVAKWEFPGNGEGPVSNRPQLGRGHFH >CDP17088 pep chromosome:AUK_PRJEB4211_v1:1:37626024:37628570:-1 gene:GSCOC_T00005003001 transcript:CDP17088 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGIKRGRWTAEEDEILTKYIQANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRSDLKRGNITAEEEELIINLHASLGNRWSLIAGHMPGRTDNEIKNYWNSHLSRQLHKFKKPDSESSVPPGPLQPAAEVMDLVVEHGGGEVSKKRKGSRTNGSNMRKNRRSTNPSQPKELAGPAAATVQMPRTPTLEEETLSSAVSLEEGGTSKFVVSSSLMTDACPKRERRQNLASTGTTSDECTPEVGETKSSVMLQPEGGLMTSGSDGAVGLDSGMLCLDEMMNIGDPDGILTFHGNTSTKETCSETAATNVERRCDNMDPGCYTTRASSIVDDQEETDKLGKMDVSKGRNQIGCTSPAHEDHHDGKLDDWDDWQWDEPVVQNNLTTLPGEEADLLSWLWDSDNNNNYLNSSGNFDDGAGMDDEKHNAMVAWLLS >CDP03909 pep chromosome:AUK_PRJEB4211_v1:1:34224834:34228154:-1 gene:GSCOC_T00016413001 transcript:CDP03909 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGRFRALLRDRPPAPRLSTSNSSSFKLFATLLLALALFLFSTLSLLHSSSSHSSDLDQGSFSLAGGGRSRRSVLALKSDPLKPRLDQIRKQADDHRSLVLAYASYARKLKLENSKLVRVFADLSRNYTDLISKPFYRSLFGMDADSIDESVLRQFEKELKERIKMTRQVISEAKESFDNQLKIQKLKDNIFAVNEQLTKVRKQAAFSSLIAAKSIPKSLHCVAMRLMEERVAHPEKYTDEGKPMPPEFEDPKLYHYAIFSDNVIAASVVVNSAVNNSKEPWKHVFHVVTDKMNLGAMQVMFKIKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYKQYMNFSHPLINAKFNPNACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITYYSTTKPLDKSWHVLGLGYNPFIDKAEITNAAVVHFNGNLKPWLDIGMLQYRPLWTKYVDYENEHIQACNFGL >CDP17516 pep chromosome:AUK_PRJEB4211_v1:1:19809469:19813125:-1 gene:GSCOC_T00011456001 transcript:CDP17516 gene_biotype:protein_coding transcript_biotype:protein_coding MADAALSATIQVALQTVVSLAGDHVNLVREFPEELERLNESAEMIRGFLVGADGKMHSPGVQIWLRRLEEEVFKAGNVLDDLNYENLRRKVKYQNQPMKKKVLFCFSFFNKIGFRWRLGSMIRDINTNLERIHGHARGLGLPDKRQVEEAFPTGATASRQTDSKIVRSDVLGRKEDESKIVKLLTESESDSISVISITGPPGLGKTTLTKAVFNTPQFDNHFDKKIWVCVAKEVEIMELFKMILESSTRKKAEVDSREVIVEGIETELKEKRYLLVLDDLWNHQEGLLNDFFTTLEALKPKKGSWCLVTSRLQEVAIVLSRHRRINFTRHDLRKLYDDDCWSIVKNWANVGEEVPEDIREQVLRRCDGLPLAATLIGGLFSQKRKEDWPSILEESLLTGDQVELWMAEGFLQPDSQNQTMEGIGYEYLRTLLQTSLLEEVKEGGTWYKMHDLVHDFAKSILNHNSSNQDRYLAVYSSERINEKTSASLRTLFWKGGMADDMLSKFKYLHVLKLFGEDVTELPNSIGTLKHLHLLDVSDSMIRTLPESLCKLYRLQTLRIGKLEEGFPKKMSNLISMRHLHYYYHAVREIQMPSSIGRWTCLQTLEFFNIGRQEEGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELANLSRKPNLYRLVFEWGNRDQESDKCDEDVLEGLQPHPNLKELHIRNFRGDRIPQWLVKSSALVELHLINCTELALPTLGQLPSLQNLYLCGLENTLCIGLSFYGTIRRQTFFPALKILSLESMKSLEEWKDAHVFPVLEKLHIRDCPRLTTIPTPSRFPSLDVLEIEENCHVLLRLCHCPTLQSVELDDCPNITTLRGMSCAACLKKLVVEGCENLRELPEDLYQFQALEHLEIWGCPRIDSFGSNPNNGQKSLLKSLEVFCIGKCNGLTRLPVEMFDPCMSLRRLTLFDCPNLVSFPLDLRRTPSLETFWLERCPKLITELPSGFGYLTSLRDLQDLTNIAFLFLRGFGAIEALPDWLGNLASLEELILFLCEKLEYLPSMAAMERLKLRRLQIKACPLLTGRCTPQTGSEWPKISNIPHRDIS >CDP04041 pep chromosome:AUK_PRJEB4211_v1:1:33298820:33300420:1 gene:GSCOC_T00016573001 transcript:CDP04041 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSVILLDLWVSIYATRARIALAEKGIKYQAQEEDFVYKQKSSLLLEMNPLHKTVPVLIHNGKPICESLNIVEYIDEVWNHKSPLLPADPYQRSQARFWADYIDKKVSSRNIWGNKGEEREKAKKEFIEALKILQGVLGDKTYFGGDSFGFVDIALLPFSIWFYTLENVANFSVEAETPQLVEWVKRCRERESVSSSLPDPKKVYDFILPMMHRTGLA >CDP07053 pep chromosome:AUK_PRJEB4211_v1:1:29176843:29180565:-1 gene:GSCOC_T00024151001 transcript:CDP07053 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMKRYVLRLFFSLKYVTANVVDRNSGRIVASASTVEHALKNTLECGRSCNAKAASTVGEVLAMRLRVEGLEQGQANGIHVNVNKEIEKKGFKNRTKVWSVVNALKNNGVKLILDDDDDNQNSRSISLYTCSSTCDMTEGDCPLYLL >CDP17051 pep chromosome:AUK_PRJEB4211_v1:1:37909069:37914517:-1 gene:GSCOC_T00004958001 transcript:CDP17051 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQAEFIKSVSDSIYLFGKENPSDLLPPQPFNICTIMYTSGTSGNPKGVILTHENISTCIRGVDIFMEQFEDKMTANDVYISFLPLAHILDRMIEEYFFHKGASVGYYHGDISEIQDDLMELKPTFLAGVPRVFERLENAVVCPAGVLKALEELNPVRRKIFGMLYNYKLNWMKRGYKQKHASPIADLLAFRKVKARLGGRIRLIVSGGAPLGSEVEEFLRVTSCAFVLQGYGLTETCGLASLGFPDEMCLIGTVGSAFVYNEIRLEEVPEMGYYPLGDRPCGEICVRGKMAFAGYYKNPELTRETIRDGWFHTGDIGEMSENGVITIIDRKKNLIKLSQGEYVAVEYLEKVYCVSPTIEDIWVYGDSFKSMLIAVVVPHEENTVKWARQKGYQDSFSGLCSLNQLQDLILQELRSTAERNKLRGFEHIKAIILEPQPFELQADLMTPTLKKKRDQLLKRYKGEIEGLYEKLSGVKR >CDP09080 pep chromosome:AUK_PRJEB4211_v1:1:31558694:31563397:-1 gene:GSCOC_T00028272001 transcript:CDP09080 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein C [Source:Projected from Arabidopsis thaliana (AT3G54380) UniProtKB/Swiss-Prot;Acc:Q67XV2] MAERGQPFRQARGGVTRRNLPSSRSSFFTKSATRYQPPQNRNLNIRRNAQHMDSSFNSPNGNDRDNSDNRSWIKDAAEVIDEENNLPSLVGTCPFMCPVEERLQRERLRDLATFERLDGNPGKSSSNLAVKKFCRTISSSELQVSDVRPLSVLEGTLNHLFNLFHSSEHPFEVVHDFIFDRTRSIRQDLSMQNISNNRAITMYERMVKFHIISHQKLHRSSSSPNVSSLLYLNLEQLTKSLMTLFNLYEANRISHSIYDNEPEFCSFYVLLQIHPDSKGEPLSLWFCNLPSAIMKSKQMCFARNLLRYFRLGNYKRFMLMMKSEASYLQCCIVEPYINEVRALAVSCLNQAGYKLQPFPLADLSNLLLLQESDVESFCNECGLETSSDEVGKRILAPKQSSFFHPRKGFQKYYPMHSDGLQRVSINLLDL >CDP18589 pep chromosome:AUK_PRJEB4211_v1:1:147799:165157:1 gene:GSCOC_T00012421001 transcript:CDP18589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13490) UniProtKB/Swiss-Prot;Acc:Q9LJE2] MIEVKSERVISNLAKHFRDSSSNLSKIPQRFYGYPGRELKHAEKEGREMAVEAMLQQPWKLRHLLHFATTSTSRVLSANPIPLISFRRTTPSHPICSSALIARCCSSSTAADSTTTTTAAPKPAGRSRKPSSSSSPANTSDRDAIRAIRRQNEVQELRSKGFEPYAYQWDRTHTANQLQEIYKDLGNGEEPNYEGDNVSVAGRIVARRTFGKLAFLTLRDDSGTIQLYCEKERLANEQFEQLKTVLDIGDIVGASGSIKRTEKGELSVRVISFSILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADIFRKRAKIVSEIRNTVESLGFISVETPVLQGAAGGAEARPFITYHNSLGRALYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYQSMMNMTEEIVTRCALAVHGTLNIEYQGIGICLERPWRRETMHNLVKEATGIDFLKFGDDLKAAKEATLKALDYSHDNIDTFSIEACPSVGHVLNEVFEIVVEPQLIQPTFVLDYPIEKSPLAKPHRRHAGLTERFELFICGRELANAFSELTDPLDQRARLEAQVRQHNEKKTAIDAELVDCEENKNKSDDDSYEVTLDEDFLTALEYGMPPASGMGLGIDRLVMLLTDSASIRDVIAFPVLKNQQ >CDP03651 pep chromosome:AUK_PRJEB4211_v1:1:36153845:36154775:1 gene:GSCOC_T00016086001 transcript:CDP03651 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRTETCLVLVLVLMLSLWSGAKAQSVSSCASTLVGLSPCLSYVTGNSSTPSPACCTQLAGVVQSAPRCLCTLTNGASNFMGLNINQTLALALPGACNVRTPPISQCNSAANGPASSPASSPAAAPAATSPASPSAGAPKTTPERTASKAVPAAPGSTSDGSLVKPALFLLLAALASTAVFDL >CDP04035 pep chromosome:AUK_PRJEB4211_v1:1:33373232:33375872:-1 gene:GSCOC_T00016563001 transcript:CDP04035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 2 [Source:Projected from Arabidopsis thaliana (AT2G30520) UniProtKB/Swiss-Prot;Acc:Q682S0] MASPLVNNSSRLSLAMERTGQWVFSQEIPTDVLVEVGKATFSLHKFMLVAKSNCIRKLILESKEADLTRIDLSDIPGGPEIFEKAAKFCYGVNFEITVHNVAALRCAAEYLQMTDKYCDNNLAGRTEDFLSQVALPSLSGAVVVLKSCEDLLPLAEDLRIVQRCVDVVSSKACVEANFPSRSPPNWWTEELSILDVKFFGKVITSMKSRGAKAFTIASALITYSEKSLRDLVRDHSGNGARTAVSDDSDLRTRQRELLESIVALLPPEKSSFPINFLCCLLRAAIFLGAASACKIELEKRISAILEHVTVDDLLVVSFTYDGERLFDLESVRRIISGFMEKEKSVAVFNAGDFREVSSTAMLRAAKTVDAYLGEIATFSEFSISKFNGIAILVPKAARKVDDDVYRAVDIYLKAHPNLDEIEREKVCSVMDPLKLSYEARVHASQNKRLPVQIVLHALYYDQLKLRSGTEDQKMPNAITTRRQLQADTSLARENEELRTELLKMKMYIADLQKTQGPSTKATAASSKRPTFFSSMSKTLGKLNPFKHGSKDTSNLDDALVDISKPRRRRFSIS >CDP13159 pep chromosome:AUK_PRJEB4211_v1:1:1538604:1543204:1 gene:GSCOC_T00038005001 transcript:CDP13159 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVRRTFSPLKLRKLLQPVSFSTYTYTKPVSNSLSSASPTQNPPKTLYPLFFFRPFSSEAVNIQSFPVKAISRDGNYDDSSSQGLPVCPGCGVQMQDSDPKQPGFFVVPTPKTPNYKLRIHKNPVFDEPEISDSLKKGLLNETVEPQDVENLENPDQKLAERPLVCARCHNLRHYGKVKDPSVENLLPDFDFNHTVGRRLMSISGARTVVLMVVDASDFDGSFPRKVAKLVSKTIDENSRSWKEGRSGNVPRIVLVVTKIDLLPSSLSPTRLEHWVRTRARESGASKLTSVHLVSAVRNWGVKNLVDDVVELVGSRGHVWAIGAQNAGKSTLINAIGKCIGGKLSHLTEAPVPGTTLGIVRVEGMLPANAKLFDTPGLLHPHQITTRLTREEQKLVCISKELKPRTYRIKVGHSIHIGGLVRLDIEELSTDSLYVTVWASPLLPLHMGRTENASTMLEEHFGRQLQPPIGEEQVEKLGKWVRKEFRVSGNCWDTSCVDIAAAGLGWFAIGLRGEALLGVWTYDGVDIVSRNALLPQRSHNFEVAGFTVSKIVSTADRSSNKKRKDEKKRKASDSIAVAADAPPALTVDAAASSC >CDP06881 pep chromosome:AUK_PRJEB4211_v1:1:27392386:27392601:-1 gene:GSCOC_T00023918001 transcript:CDP06881 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFTPIDLLEYHHLHRYFHHHLQLSVLMNLNSSARWLWSRIGACAVIHFCALNNIRKISLFPRDPRRLSP >CDP15606 pep chromosome:AUK_PRJEB4211_v1:1:26591303:26599055:-1 gene:GSCOC_T00015513001 transcript:CDP15606 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLLLLFLVMTAGCRRIFSNTDPHDVTVLLSLKVQWQNTPPSWGKSDDPCGFPWEGVSCNNYSRVTELLLSAMGLSGILSGDIGGLTELTSMDLSFNRGLTGPLSPRIGDLQNLSILILTGCSFNGNIPSELGNLAQLSFLALNLNNFTGEIPPSLGKLSNLSCLDIADNQLTGSIPISSSLTETPGLDLLKKAKHFHFNNNQLSGQIPGTLFSSEMVLIHVLFNGNNLTGGIPSTLGYVQTLEVLRLDRNALIGNVPLSFHNLTNLMELHLEHNQLSGPFPDLTGMKDLNYVDLSNNSFQKSQAPDWFGILQSLTTLVAEYGSLEGTVPQKLFALPQIQQVKLRNNAFNETLDMGRTIGQQLKVVDLENNEITFAEAALGSGYDKTPILLAGNPVCSADLAKTNYCEDMHPLPPYCTSLANCASTSCPADKKLSPQSCECAHPYEGTMYFRAPSSRELSNYTLFHQLEISLWTKLNLTPGSVSLQNPFFNVDDLLQVHLAFFPSVGEYFNRSEVQRMGFALNYYIFMPPEQFGSSYFRPFPYTFGGVAAERKNAISKAIVTAIAACSVILGLLLICLGIYAVRQKRRAERAVELSKPFASWAPSRKDSGGAPQLKGARWFSYDELKKSTNNFSEKNEIGSGGYGKVYRGMLPSGLFVAIKRSQQGSKQGGHEFKTEIELLSRVHHKNLVGLVGFCFEQGEQILVYEFMPNGTLRESLSGKSGIYLDWKMRLRAALGSARGLTYLHELAIPPIIHRDINTRNILLDENLTPRVADFGLSKLGSDSSNGHVSTQVKGTPGYIDPEYYMTQKLTNKSDVYSFGVVMLELVTAKLPIEKGKHIVHEVRMAMDKNDEECYGLGNMMDPAIRNAANLVGFVRFVDLAMQCVEESAADRPTMSELVKELETIILQNDGLDTNSTSASSISTSSATVFGMTKGAKHLYDVMPR >CDP03972 pep chromosome:AUK_PRJEB4211_v1:1:33775961:33779767:-1 gene:GSCOC_T00016489001 transcript:CDP03972 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPLRIASNSVSSLLNLTFDGPCRLASNSGFYGLPDQPLNNIPLSTSASANRRTRRFLSQVPLHATNAPNRETIKSQSSLSFDVVIIGAGIIGLTIARQFLLFSDLSVAILDAAVPCAGATGAGQGYIWRINKTPGAEKWELASRSHQLWVNLAESLQHQGLNPLQILGWMKTGSLLVGKTKEESTLLKAKVKQLCDAGLEAVFLSNQDLLLKEPALVLGKEGTAAYLPDDCQLDARCAVAFLEKENRHFASEGRYAEFYHEPATYLLRSGSSGEVDAVQTSKNTIFSKKAVVMAAGCWTGTLMHELIKSTNIKLDIPVKPRKGHLLVVENFNSFKLNHGLMEAGYVNHQSASLPSTASHKRPLYDAQATSVSMTATMDTSGNLVLGSSRQLLGFNVDIDESIINRIWQRAAEFFPALTEKSLDELKKNRAVRVGLRPYMPDGKPVIGPVPGWSNFYIAAGHEGEGLTLALGTAEMIVDMVLGNPGKVDPKPYAVDRCCT >CDP04069 pep chromosome:AUK_PRJEB4211_v1:1:33057154:33065355:1 gene:GSCOC_T00016608001 transcript:CDP04069 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSIFLTASPSVAQPKVLFSPFLPPNPLRLSTTLAYHQSHRRRRYRISTIRSASIPTSLSASSSSDQPIKAIKPDVFGGKKELTGFQALADAMSPTVRIASSALIFAGAIAAGYGLGSKFGGSRNVAMGGAVALGTAGAGVAYALNSCVPEVAAVNLHNYVADFDDPAALTKEDIEAIANRYGISKQNEAFNAELCDIYCRYVSSILPPGNEDLKGDEVETIIKFKNALGIDDPDAAAMHMEIGRRIFRQRLETGDRDADLEQRRAFQKLIYVSTLVFGEASSFLLPWKRVFKVTDAQVELAVRDNAQRLYGFKVKSIGQDVNVDQLVGLREAQLAYRLSDELAEDMFKELTRKLVEENISTALNILKSRTRASEATRIVEELNKVLKFNNLLISLKNHPEANRFARGVGPVSLLGGEYDSDRKIDDLKLLYRAYVWDSLSSGRMAEDKLTALNQLRNIFGLGMREAESIKLEVTSKVYRRQLAQAVSSGDLAAADSKAAYLQNLCEELQFDAEKAVEIHEEIYRQKLQQAVADGALSDEDVKVLEKLQIMFCIPRETVEAAHADICGSLFEKVVKEAIAAGVDGYDAEIKKSVRKAAFGLRLTREVALNIASKAVRKIFISYIQRARAAGSRTESAKELKKMIAFNSLVVTELVADIKGESSDTPPAEAPVEKEEKVVDEGEDEEWESLQSLRKVRPGKESLAKKGQTEINLKDDLPERDRTDLYKTYLLYCITGEVTNIPLGTQFTTKKDDSEYALLNQLGGILGLTSKEIVEVHRSLAEQAFRQKAEVILADGQLTKARIEQLNELQKDVGLPPQHAQKIIKGITTTKMAAALETAVAQGRLSIKEIRELREAGVELDTMISESLRENLFKKTVDSIFSSGTGEFDEEEVYEKIPKDLNINVEKAKRVVRDLARSRLSNSLIQAVALLRQRNHIGVASSLNDLLACDKAVPSTSLTWEVPEELADLYVIYLKNDPAPEKLSRLQYLLNISDSTAEALQAMKDRALPNGNATAGEEEFVF >CDP15556 pep chromosome:AUK_PRJEB4211_v1:1:25770097:25771290:-1 gene:GSCOC_T00015443001 transcript:CDP15556 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIEIPSHFLCPISMQLMRDPVIVATGMTYDRESIEKWLFTCKNSTCPMTKQELQSTDLTPNHNLRRLIQAWCTLNSSNGIERIPTPKPPVEKSQILKLINEAKNSTNTQIKCLQRLRSISEGSQSNRKSLEAAGAVEFLASIIEKNDEAYDEALNLLYHLDASDADLKKLMSDDGKFAETLMPVLKCGSCQSRAYAIMMLKSAFRVADPVQLMTAKPEIFIEIVHILKDQISQQASKAALKLLVDLCPWGRNRIKAIEAGAVLVLIELLLDSSERRASELILTLLDQLCRTADGRAELLKHGAGLAIVSKKIFRVSQVASHRAVGILFSICKYSPTSRALQEMLQVGVVAKLCLVLQVENNQKTIARAKEILYLHARIWKDSPCIPPALLSSYPA >CDP06920 pep chromosome:AUK_PRJEB4211_v1:1:27750520:27754809:1 gene:GSCOC_T00023967001 transcript:CDP06920 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQVLLRLPIFCNLFLLLVYVQACSNLAMAGSIVKFLPGFQGPLPFELETGYIGVGESEDVQLFYAFIKSESNPESDPLILWLDGGPGCSSFIALFFGTGPVIVEPLSFDGTLPNLILNPYTWTKVVSIIYLDSPVGTGFSYAKTAKASQSSDFQASDQAYEFIRKWLHDHPEYKSNPFYVSGISYGGIPVPILTQLISNGNEDGIEPRIDLKGYILGNPQIKVSGLLNYRVPFAYMMGLISDELYESLKVSCKGEYEIIDPSNAVCSKNMQAYNEASNHIYAIFMRLYFKKLGKA >CDP14427 pep chromosome:AUK_PRJEB4211_v1:1:10403349:10405015:-1 gene:GSCOC_T00040857001 transcript:CDP14427 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLFLASSARLPPLSSPAWELLMGQRRVGRSGISGGDAARACDEVDRPGCYGWCAGYLWFDYCCHHQYWN >CDP08495 pep chromosome:AUK_PRJEB4211_v1:1:22318987:22320805:-1 gene:GSCOC_T00027421001 transcript:CDP08495 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLVPTCSYIFETKESKKVASIGKQRKDNEDEKISEKKINARLQECGPRFTLKLISPQHGTFDSKGGEYVWFTR >CDP09008 pep chromosome:AUK_PRJEB4211_v1:1:31052682:31054293:1 gene:GSCOC_T00028173001 transcript:CDP09008 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGLELFVSRLSFYTTAEELKSLFSPFGLVTQARLVIDPITRRTKGFGFVQFDSETDAQNALKAMNGRIVNGRLIFVEVAKTTRSENQTS >CDP03975 pep chromosome:AUK_PRJEB4211_v1:1:33763709:33764493:-1 gene:GSCOC_T00016493001 transcript:CDP03975 gene_biotype:protein_coding transcript_biotype:protein_coding description:CML3 [Source:Projected from Arabidopsis thaliana (AT3G07490) UniProtKB/TrEMBL;Acc:A0A178V7U1] MDPGELRRVFQMFDRNGDGKITKKELNDSLQNLGIYIPEKDLIQMIDKIDVNRDGYVDIDEFGSLYQTIMDERDEEEDMREAFNVFDQNGDGFITVEELRSVLSSLGLKQGRTLEDCKLMIKKVDVDGDGMVNYREFRQMMKGGGFAALT >CDP17056 pep chromosome:AUK_PRJEB4211_v1:1:37866823:37869468:-1 gene:GSCOC_T00004965001 transcript:CDP17056 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKIHVLGLVLACTCLLFRSLDAQGKGPNALVLDCGSSDGGTDADGRKWEADAKYLVNSNDKSIASKADNQDPSLPSDVPYMTARIFTSEAIYQFPVANATDRVLLRLHFYPASYPNFNISDSYFSVSAGGIQLLSNFSAFITAEALSQGYLIREYLLAPSNLPTLNVTFKPSDKSFALVNGIEVISPPQVFDQDPTLVGGGLQDDSTDFGSTDNTVPISTSSMQTMFRINVGGQFVSAKNDSAGLMRSWYDDTPYVYGGGLGVALEANVTIEYKNLPPYIAPLDVYGTARSMGPDPNVNKGFNLTWVFQVESNFSYLVRFHWCDWEFDKVNQRIFTVLLNNKTAEKEADIFGWTKEKATPMKRDYVIYVDGKQGNDELWVALHPLTSTGSEYIDALLNGLEIFKLSDAKSNLAGPNPTISDLMRKQIESDQAPRPFAAPKKSYSTALIGGAAGGAAAFGVAAAIVFLAHSRKKRYVGTDVGVTSWLPIYGNSHSSGSKSGRSHGSTTISSDAACNCRYFSLAEIKQATKNFDESNVIGVGGFGKVYKGVIDNDTKVAIKRSNPSSEQGVNEFQTEIEMLSKLRHRHLVSLIGFCEENNEMVLVYDYMGLGTMREHLYKGSKTVVSWKQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDDKWVAKVSDFGLSKTGPNMNQGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPALNPSLPKEQVSLADWAMHCHRKGALEDIVDPQIKGEISAECLKKFAETAGKCLADHGVDRPSMGDVLWNLEYALQLQENPDGCIKSSTTSGTIQSLDSESNQVIDHNDFLAMHRSTLSLGSDVDMTEKSSDDNNADDIFSQIVNPKGR >CDP03691 pep chromosome:AUK_PRJEB4211_v1:1:35819267:35824676:1 gene:GSCOC_T00016146001 transcript:CDP03691 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAASASWYLFGTRTGTQQFCPPSPSEGLLLKKTNFNYFDRGYVSGLPALSRNYSLLFHQTGLQIHKKAHFQVFCGKQRETPVIEAACMEDIYDALAERLVPTAAAASTSNYKHIVGLAGPPGAGKTTLASEVARRINALWPQKCTSFDSQVDPSEAAIVLPMDGFHLYQHQLDAMEDPEKAHARRGAPWTFDPALLLRCLETMRDQGSVYAPSFDHGVGDPVEDDIFVDVRHKVVIVEGNYLLLEESVWKDISTIFDEKWFVDVDMEIAMERVLQRHISTGKPPHVAKWRIDYNDRPNAELIMKSKNNADLIVKSVDLSR >CDP03780 pep chromosome:AUK_PRJEB4211_v1:1:35123111:35126239:1 gene:GSCOC_T00016260001 transcript:CDP03780 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVSDEGKLFVGGIAWDTEENRLKDYFNKYGEVTHAAIMRDKATGQPRGFGFVVFSDPSILDSVLRDKHTVDGRTVEVKRALSRAKQQSLRSGDSNANNSRNYGGLGNYRTKKIFVGGLPSTLTEGEFVQYFENYGDIADAVIMFDQSTGRSRGFGFITFDAEDAVDRVLNKTFHELNNKLVEVKKALPKEFNPVYAAHGRNFNAYGSYNSSRFLQPQPAAGGYPPYSSFAAPSFGYGLANTNALYGGFGAYAFGSSIAGYSGSALAYGNQTATSTGYASSTADLRKGQWGGQSIGHGALGYSLNPGYGFTVPWNTPGGAASLGRSPRGTANSGTSSYHGVEYPFTDSGKHQTLNKHRGSIKKNRNAGQNSAETGHHEASGGGFKGRTYDSSDGASQYPDGT >CDP06987 pep chromosome:AUK_PRJEB4211_v1:1:28524643:28526295:-1 gene:GSCOC_T00024057001 transcript:CDP06987 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSSWSSDLKCLPDNYVLPEGKRPGMPVPVSNDIPVIDLGDGLDYSRADMVQQIAKAGQDFGLFQVINHGVSKELMADAMNVSKEFFGMSTEEKAKYYIDSVALEEKAGCRLYTSSGYFLAQGFDFWKDTLQHPCHPLQNHINSWPDKPKNYREVMGPYTTQVRKVAMKIMDMIYQGLGLEADDTEKEFNNYNLALSINHYPACPDPALALGCCRHCDPAILTLLQQEVYGLQLEKDGHWIGVKPLPDAFVVNIGLLLEVISNGKLKGAVHRAVTNSACSRNSLVTFVGCPTDVTIKPAAALISPTNPPLYRAFNNKDFHEFVFANNAEFEAVIAYLKLKT >CDP09074 pep chromosome:AUK_PRJEB4211_v1:1:31525120:31529228:1 gene:GSCOC_T00028266001 transcript:CDP09074 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFNQLPDSVNGFKFEDEIVLPSFEESPNLLNGFKFGDNALDLNFVDTSSFSPTPGTGNLPAFSTGSSEVDSPDDGDSDPVLRYLNQILLEENMEEKPSMFPDPLALRAAEKSLYDALGQKYPPSPYQVDQNAESPDSLFGSASEHSANSSSSASSSVEPGEYKSAAGQSYSLDYSFPLSSENSSNLLFNSVSSFGNHMNGQLNSLAYNNPIPNIFSDSESISQFKKGMEEASKFLPSGNQLIIDLDKYALPPKSEEIFLESKVKEEKDDGDHSPNGSRGRKHLHRQESDIEQQRSSKQSAVYDEEAVYDEEAELSEMFDRVLLCTDIKWCHVAAQLHDEANKTGQQNGLPDGTGGGKTRSRNQGSKSEAVDLRTLLISCAQSVANDDQRTAREQLKQIRQHSSPSGDACQRLASIFANGLEARLAGTGTEIYAALASKRISAAEKLKAYQVYLSACPFKKISIFFANKMIVHKASDAKTLHIVDFGILYGFQWPILIQHLSTRPGGPPKLRITGIERPQPGFRPSERVEETGRRLARYCERFHVPFEYQAIAEQNWEAIKVEDLNIAEGEVLAVNALFQFNNLFDETVKVDSPRDAVLRLIRKMNPDIFVNAVTNGSYSAPFFVTRFREALFHYSSLFDIFDATLPREDLQRMNLEQQFFGREAINIIACEGAERVVRPETYKQWQVRHTRAGFKPLPLNQELLEKLRGKVKGGYHKDFVFDEDGSWMLQGWKGRILYSSSCWSPA >CDP17359 pep chromosome:AUK_PRJEB4211_v1:1:4584849:4586018:1 gene:GSCOC_T00009705001 transcript:CDP17359 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVSSSKLQFLLLFFLFLTSFSLSIKNSVPDVHDLFPKYHLPKGLLPGNVQSYSLSSEDNTFIIELTHHCYVQFKDQLVLYDKVLTGKLGYGKVSDVTGIHARKFFIWVSVTGMDVDESSNTIEFHVGVLSQSLPADMFQTIPTCQNKGLQESSLLSSI >CDP09113 pep chromosome:AUK_PRJEB4211_v1:1:31778448:31781244:-1 gene:GSCOC_T00028312001 transcript:CDP09113 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRHFGRDLRDKLVSKLMKDVEGTCSGRHGFVVAITGIESVGKGLIRDGTGFVTFPVKYLCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGSTS >CDP17129 pep chromosome:AUK_PRJEB4211_v1:1:37313692:37317312:-1 gene:GSCOC_T00005052001 transcript:CDP17129 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKNTIFFFVEIFLLRGGINPPKKIIIKREGKKEGSGISRWCWRLSFNFILILTTATEFLLTEYHHCDLRKMSGPMQEDVPGKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNRKLLGRVRAFDRHCNMVLENVKEMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >CDP19398 pep chromosome:AUK_PRJEB4211_v1:1:6931503:6936931:-1 gene:GSCOC_T00011771001 transcript:CDP19398 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLCDFLCKWDTDNGGGSESQNLRDISRKEMGLDWMLRPKDTMEKTPATVSDKQQEVQVEREETKKMNPRDLNPYLKNNGSGYPEDSDVNACQNQVLFSSLVGDGGASWRLKALKRAACEGRKLEEVVEDRWGSLGELAVSVASHRVAPNHAHLHAIKSRRRRLKDGEQTDADRDKGIFIEKDGSSCHANMRIPKVQDSLSWGKRKMPAQDINLSAAVSSLNKFSNDGSFMRDFMQKKNDSSNDPVSSSNAKNDRLVESNLVERHGEDGPTVKPALKANQLAAKVMKGKHEEAEKLVKEAENTKAKSDAEDESHRPRIDGSTSRYIIHGVSARQKMKEDDADLYLAQKIMWNQQYNISGQADDEYDYDDGPRKKTRHKGMGTDQKPNEISQATRIANRLLTQKERCQFCFENPVRPKHLVVAIANFTYLSLPHWQPVVPGHCCILTMQHESRTRTVDNNIWDEIRNFKKCLIMMFAKQDKDVVFLETVMGLAQQRRHCLLDCIPLPHEVAKQAPLYFKKAIDEAEEEWSQHNAKKLIDTSQKGLRASVPKNFPYFHVEFGLDKGFVHVIDDEKQFKSNFGLNVIRGMLRLPAEDMHCRQKHISLEMQKQAVTAFAQDWDPFDWTKQLD >CDP09176 pep chromosome:AUK_PRJEB4211_v1:1:32231254:32233360:1 gene:GSCOC_T00028397001 transcript:CDP09176 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVYVVYYSLYGHVDSMAREIQKGANSVEGVEATLWQVPETLPEKILEKMKAPAKADDVPEIRPEQLVEADGFLFGSPSRFGMMAAQVKAFFDATHEIWATQALAGRPAGVFWSTGFHGGGQELTALTFITQLAHHGMIFVPLGYTFGSGMFEMNEVKGGSSYGAGTYAADGSRQPTKLELQQAFHQGKYVAEITKKLKKSSPQVQ >CDP04024 pep chromosome:AUK_PRJEB4211_v1:1:33463756:33465117:-1 gene:GSCOC_T00016551001 transcript:CDP04024 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGQYAHAMGCYNEAAFHFVEASKMTESKSMKAMYQIYAAVAYICIDDAESSSNALDLIGPVYSIVDSFVGVREKTSVLFAYGLLLMRQDNLQEARLRLASGLQITHNFIGSLQLVSQYLTALGNLALALRDAGQAREILRSSLTLAKKLYDIPTQIWVLSNLTSLYQQLGEEGNEMENQEYQKRKVEDLQRRIGEASMSPHHFELIDKVKLEAHQLSELDIKRVTAGRSMNVDLDIPESVGLYTRAPMPASNRLMDLDFGRLGRHKN >CDP18580 pep chromosome:AUK_PRJEB4211_v1:1:215279:220399:1 gene:GSCOC_T00012411001 transcript:CDP18580 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAFQHSWGLSLLLSLYLISSVSTSHVYIVYLGHNQNKAPDLTSKHHLQLLSTVFTSKKDAKQAMLYSYKHGFSGFSATLNSTQAQVLSNTKGVISIFKSKTVHLHTTRSWDFLGLSMDYSTEKATPMWQQAYGGDVIVGVFDTGERFSYSFQTYLILSIWTESHSFREEPGMGPVPAWWKGKCVSGEHFDPATACNRKLIGATYYLGGFERRYGKLNKTRNLEYESPLDAVGHGTHVASTAVGSVVKNASFLGGFGQGTARGGAPRARLAVYKVCWNVNLEGRCTEADIMAAFDQALRDGVNVISGSFGLRPPLRAFFATAASIGSFHAMQMGVSVVFSAGNSGPDPSLVENVFPWSISVAASTIDRSFPTRIVLDCALSFLGEGFVAHPITAILSNASNYFSSGVCRTESVKNVTAKADGKIILCFSSMGSVRRDEAEAAARNRSAAGLIFVEPLSRPFPDITILPLLLLDIIQGTKMAQYLSRSIDRPPTIQIFPSKTVLKRAPAPIVADFSSRGPSSISPDFLKPDISAPGINILAAWPPMSPPTPTLDDRRSVDWNFQSGTSMSCPHVSGVVALLKSAHPDWSPAAIRSALMTTAYTTDTSNDQILAVGSIKASDPFDIGAGHINPLRAMDPGLVYEMKPEDYLVFLCNIGYTEDQIRSLVLHDMNRRGRTSNANLNYPSITVLNLQRTTTITRKVRNVGGWEKIAVYFVRVVNPQGVDVVVWPKLLIFSPFKQERTFYVTLIPNKISQGRYDFGEIVWSDGFHHVRSPLVILVNTAAVGGHGFQAIANI >CDP09090 pep chromosome:AUK_PRJEB4211_v1:1:31633593:31634826:-1 gene:GSCOC_T00028286001 transcript:CDP09090 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTPITTSYWCYRCTRFVRVWAEHNVVCPYCDSGFIEALDSSPPSPLPEFPRRSDSVNLRFRRNRRNRGDRSPFNPVIVLRGQAETVGEDGDGDGGGGGGERSYELYYDDGTGSGLRPLPSSMSEFLMGSGFDRLLDQLAQIEVNGLTRPENPPASKSAIESMPTIEIVSTHVNSESHCAVCKEAFDLGSEAREMPCKHIYHSDCILPWLSLRNSCPVCRHELPAESPTGLYSDSGNTNRAEEAVGLTIWRLPGGGFAVGRFSGGRRTGGGTSEERELPEVYTEMDGDFSHGSGSNSVGLPRRIVWGSRRRNGGRDGGGGGGGMGRFISNFVSFFRRFRSRGRISRSRSVSGSVSESSFSRYVGQRSRGLVVEEQNEMARW >CDP03551 pep chromosome:AUK_PRJEB4211_v1:1:36909931:36911920:-1 gene:GSCOC_T00015956001 transcript:CDP03551 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEQVVIVVGAGPSGLATAACLTSLSIPNLVLEREDCFASLWKKYSYDRLHLHLAKQFCQLPLKPFPTTYPTYVSRDQFLRYLDDYVSHFNICPLYQRSVESAQYDEAAEAWIVKARNLGSSDPEEVEEYSSKCLVVATGETTDAFIPQLEGLNTYLGEVIHSTRYKNGKSYENKNVLVVGSGNSGMEIAFDLSNYGAKTSIAVRSPLHILSRGMANMGLVLLKYVSLNAVDSLLLMLSKLWYGGDLSRYGIKRPEEGPFAMKVKYGKYPVIDIGTYQKIKSGEIQVLPAVASLGGNDVVFEDGKSYPFDAIIFATGFKRSTNQWLQGADHLLTDDGLAKPAFPNNWKGTKGLYCAGLARRGLYGAALDAQSIANDIKRALQM >CDP06856 pep chromosome:AUK_PRJEB4211_v1:1:27093469:27094600:1 gene:GSCOC_T00023884001 transcript:CDP06856 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDLEAARIRTSTLHFTPHSSTSSGLNKARPLLRCMIWALILLVIAISACTFAVWYVLRPRVPIIHINSFDISNSTQYKMGFTVKNRNLLTSLWFCTLNMSLFYNGHKISSSSLETFSLNKMNETTQSVEFAVASPNNWLQIEETNAIDDMGMEVTRKRLSFDVEVRDTVVISAGKLYYNKKKMEILCQDLKMEYFFKERSWKFMGEKNCLVRFSNAS >CDP06983 pep chromosome:AUK_PRJEB4211_v1:1:28494607:28501304:1 gene:GSCOC_T00024052001 transcript:CDP06983 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPNSAQEPGNDTNPDDEFPEEEPEEEEEPEEESSSDSDSDSDSESEPEIYTRPGEADLDLDDEENNTPEANIKRFMKVLNSKRLRRQQEEEEEDIVYHEDLFDFPKDKENWTEEDLKELWADAPVEMTKPGWDPNWADEDEIETIKDMVSEGRDPPIAPFYVPYRKHFPVIPDDHYDISNPKAAIEELDRIEEFLTWVSYIFPDGSSYEGTVWDDLAHGKGVYIAEDELVRYEGEWLQNNMEGHGVVEVEIPGIEPVPGSRLEAKMRAQGKIIKRDYMSPEDKKWFEMDVEDTMKLIGGNYEIPFYERDDWVKQFGAKPEKGRYRYAGQWKHGRMHGCGVYEVNERTIFGRFYFGELLEGTYGCDTNISAMHAAIAEVAAAKARMFVNKPDGMVREERGPYSDPQHPYLYEEDDVWMAPGFINQFYEVPDYWKMYVHEVDEEREMWLNSFYKAPLRLPMPAELEYWWSKDEKPEFILINKEPEPDPEDPSKLIYTEDPLILHTPTGRIINYIDDEEHGIRLFWQPPLKEGEDVDPEKAEFLPLGFDEFYGREVNVKKESFFMRLITSIENACKPIFDKLEKWTEEQKKASEAKIELLQQEIELKEAEICLKEAIEDMDEELKRMQKEEEKKVELGLQDDDDILPSEPTEVETIKPKQEEEDEEDEEEVDNEEDITSSSFGTVGDQDSQENDQKGKGAGKSPFAAASLSFGVSGLLSAAPSKLQQSLSIWKQGKLEMKTPTPFSPVLPFRELPLKGQTSNVVRFSPAVGKKSSLRVVAQKHRQGTPVTRSHRRNCPKQKGLANEINYGEQSYNILSFHTPIQI >CDP08456 pep chromosome:AUK_PRJEB4211_v1:1:20948760:20962314:1 gene:GSCOC_T00027357001 transcript:CDP08456 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDRMNSDVSRTGGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLANDESVLMWLSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYSDRSLDLICKDKDEAEVWFSGLKALISRSHQRKWRTESRSDGVSSEANSPRTYTRRSSPLHSPFGSGDSLQKDGNDQFRLHSPYDSPPKNGMDKAFSDVILYTMPPRGFFPSDSASGSVHSLSSGSDGLHGQMKAMGMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGVPHRIGGCFGNKLDSLLPKALESAVVLDVQNIACGGRHAALVTKQGGIFSWGEESGGRLGHGVDSDVLQPKLIDGLSNTNIELVACGERHTCAVTLSGDLYTWGDGHFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRRSISRPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEPKLVPTCVAALVDPNFCQVACGHSLTVALTTSGHVYTMGSPVYGQLGNPQADGKLPCRVEGKLAKSFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNFPTLLEALKDKQVKSVGCGTNFTAAICLHKGFSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKSLRASSAPNPNKPYRVCDNCFSKLKKSIETDASSHSSVGRRGSINQGLSDIIDKDEKVDAKSRPHLARFSSMESLKQVESRSSKRNKKLEFNSSRVSPIPNGNSQWGALNISKSFTGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKVVLDDAKMTNDGLSQEVIKLRAQVENLTRKAQLQEIELERTNKQLKEAIAIAGEESAKCKAAKEVIKSLTAQLKEMAERLPVGAARNIKSPPLTSLGSNPISGDVPNASTDKLHGPASGLELESNGVNNQLLPNGSSTNSTRSSGHNKQGHSEAAARNGGRTREGDSRNENEWVEQDEPGVYITLTSLPGGSKDLKRVRFSRKRFSEKEAELWWAENRARVYEQYNVRMVDKSSIGVGSEDLAH >CDP07092 pep chromosome:AUK_PRJEB4211_v1:1:29612586:29613113:1 gene:GSCOC_T00024208001 transcript:CDP07092 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPSDPPPSQAPLLSPQTPQSGGCLESFLWFLSCCGLLSCCCPPLFEPWPPPP >CDP17573 pep chromosome:AUK_PRJEB4211_v1:1:1241750:1245796:1 gene:GSCOC_T00011065001 transcript:CDP17573 gene_biotype:protein_coding transcript_biotype:protein_coding MPAADQSSKDASFDQQTDTSEKEEKFDWYAQWYPVIPVCDLDKRRPLGKKVMGIDIVVWWDRNENEWKVFDDTCPHRLAPLSEGRIDQWGRWQCVYHGWCFGGSGDCKFIPQAPRDGPPIHTSSKACVAAYPSCVLNGILWFWPNADSQYKDIFSKEKPPYIPEIDDPSFTSRMITRDIPYGYEILIENLMDPSHVPYAHYGILKVPRSSER >CDP06897 pep chromosome:AUK_PRJEB4211_v1:1:27548584:27550237:-1 gene:GSCOC_T00023937001 transcript:CDP06897 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLGVSLYFWKCLLFCSLIQDRLYNFQNTGKPDPSMDSNPVSKPRKRCPKKSGFDQTVNIDQKPPSANTVDDPHYKQIALHRGVLQLDQELALELMTKDTGCDASILLDGSNSEKTAIPNLSVRGYDLIDAAKAAVEGICPGVVSCADIISMAARDAVSMVRATSE >CDP03830 pep chromosome:AUK_PRJEB4211_v1:1:34753311:34755816:-1 gene:GSCOC_T00016322001 transcript:CDP03830 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQVSANIVASSAAIVVAVVLLLPQAEAARAFFVFGDSLVDNGNNNYLLTTARADSPPYGIDYPTHRPTGRFSNGYNIPDLISQALGAESTLPYLSPELNGEKLLVGANFASAGIGILNDTGIQFANIIRIAQQLELFARYQQRLGALIGADQAEQRVNQALVLITLGGNDYVNNYFLTPLSARRLQYNIQDYSVFLISEYRKILLRLYELGARRVLVTGTGPIGCVPGELATRSRNGECAEEPQQAAAIFNPLLIQMIQGLNQDLGSNVFVAVNAMQMQYDFITSPRAFGFVTSKVACCGQGAFNGVGLCTAASNLCPDRNVYAFWDPFHPTERANKIIVQTIITGSDKYMTPMNLSTIMALDSKI >CDP13110 pep chromosome:AUK_PRJEB4211_v1:1:2208057:2209802:-1 gene:GSCOC_T00037907001 transcript:CDP13110 gene_biotype:protein_coding transcript_biotype:protein_coding METHRAHCLLLPYPVQGHLNPMLQFAKRLQHEGVKITFAITKFLFETLDEVSASISVETISDGYDEGANGIVMEIYWPRFQKVGSETLTELILKLQDSGCPVDCIIYDSFLPWCLDVAKDLGVRAGVFFTQSCAVNNIYNHVHKGLLKLPLEESGVDIPGLPPLLASDLPSFVSNPGLYPASTQLFAHDQMENFEEADWIFFNTFYGLEEEAIHWMAKILPVKTIGPTIPSMYLEKRLEDDKQYGLNLFKPMTNACMSWLNERSISSVVYVSFGSLAELEVKQMEELAWSLRASSYNFLWVVRESESKKLPKDFVKETFGKGLIISWCPQLDVLAHKSVGCFVTHCGWNSTLEALSLGVPMIAMPQWTDQSTNAKFVMDVWKTGIKAGPDEAGIVRRDAISQCINLVMDGKKGQEISKHAKKWKDLARQAFDEGGSSDKNIKDFVSKLIQS >CDP04006 pep chromosome:AUK_PRJEB4211_v1:1:33563861:33568626:1 gene:GSCOC_T00016532001 transcript:CDP04006 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 1 [Source:Projected from Arabidopsis thaliana (AT4G02600) UniProtKB/Swiss-Prot;Acc:O49621] MSGGGEAEGTTLEFTPTWVVAAVCTVIVSLSLAAERILHYTGKYLKHKNQKPLYEALQKVKEELMLLGFISLLLTVFQGSIVKLCVKESVTLHLLPCSSNERNGSSHTIPETPAHGRLLAEGSANAGYCAAKHKVPLLSVEALHHLHIFIFVLAIVHVTFSVLTVLFGGAKIRQWKHWEDSIAKDNYDSQHVLKPKITHVHQHDFIKNRFLGLERHSRILGWFHSFCKQFYGSVTKSDYVALRLGFIMTHCRGNPKFNFHNWYLWIFVVVFLLLNVNGWHTYFWIAFIPFILLLAVGTKLEHIISQLAHEVAEKHVAIEGELAVQPSDDHFWFHRPQIVLFLIHFILFQNAFEIAFFFWIWVQYGFDSCIMGQVRYIVPRLIIGVFIQVLCSYSTLPLYAIVTQMGTHFKKSIFDEHVQVGLLGWAQKVKQKKGLKGPLGGSNQRNSTEGPSAGVQMGGTGRKEPEDV >CDP07137 pep chromosome:AUK_PRJEB4211_v1:1:30029145:30032821:-1 gene:GSCOC_T00024265001 transcript:CDP07137 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRNDVGDGNLSPEDHPHEQSSPALSKQVKSSPHPVDTNSVTQRLQKELMALMMSGGDLGVSAFPEGESIFTWIGTIEGGKGTMYEGLSYKLSLRFPLDYPFKPPQVKFETMCFHPNVDQYGNICLDILQDKWSSAYDCRTILLSIQSLLGEPNNESPLNSSAAALWKNQEDYRKMVRKYYYLSGEVSC >CDP09030 pep chromosome:AUK_PRJEB4211_v1:1:31170704:31171237:1 gene:GSCOC_T00028203001 transcript:CDP09030 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRGSQLRFVLIILMSMLHTRYTNALRMPVSEPEEKEWVQKVGYVRDVDGIVVRMGSPVNPPSPALIHSRWHSYHKLDGIQGM >CDP17018 pep chromosome:AUK_PRJEB4211_v1:1:38152767:38156391:1 gene:GSCOC_T00004916001 transcript:CDP17018 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLRISDDKEMEATVINGNGTEAGHIIVTTVGGKNGQPKQTISYMAERAVGQGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKKELFLNLVLEYVPETVHRVIKHYSKMSQRMPTIYVKLYTYQACIWPIFRALAYIHGVIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRFYRAPELIFGATEYTTAIDIWSTGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPSLRSTALEALIHPFFDELRDPNTHLPNGRFLPPLFNFRPHELNGVPMEIMVKLIPEHARKQCSFLNF >CDP07063 pep chromosome:AUK_PRJEB4211_v1:1:29284661:29285221:-1 gene:GSCOC_T00024165001 transcript:CDP07063 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEGSPGSSMHGVTGKEQTFAFSVASSDVPTDTTAKFHLPIDSEHKAKVFKFFSFANPHMRTFHLSWISFFTCFFSTFAAALLFQSSGITLTKQDIGNAGVASVSGSILSRLCMAAVCGLLGPRYGRAFLIIPIIMDPNGLRKRKRKACTKTVSSLQKIANLSVGDVLTVAPSPTPPNSTPSNI >CDP08966 pep chromosome:AUK_PRJEB4211_v1:1:30700433:30704717:-1 gene:GSCOC_T00028117001 transcript:CDP08966 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIDRTELAKGGSAKWILESIEIDSIADVPDDDGDQPGSIPKNTNQTSFERQISNLRKRNGVPGNNSPILTRTQSGAARGLNGLRFLDRINTGKEMDAWKAIERRFYQNGVNGRIFRDKFGVCIGMAAESKEFAGELFDTLARRRMINPENGIVKEELRKFWDDITNPDLDARLHIFFEMCDKNGDGRLSEDEVKEILVMSASANKLSNFKTHAATYAALIMEELDPDHTGYIEMWQLETLLKGMVSSEDGKDISKRTLILAKTMIPKRYRTRVSKFVLKISGKIHENWRRIWMVTLWLSVNLALFAYKFHEYRKKPTFQVWGYCVCMAKGAAETLKFNMALILLPVCRRTLTTLRETILRTVIPFDDNINFHKLIALAIAVGTFVHVVFHLSCNLLTLSTPGATGITMVFMMTFSFTLALHSFRRNVVKLPHPFDKLAGLNSFWYAHHLLAIVYILLILHGYFLIFSNEWYRKTTWMYLAVPMFIYASERILTMYDHKYQVDIEKAVIYTGNVLALYMSKPVGFKYKSGMYLFVKCPDISPFEWHPFSITSAPDDPYLSVHIRALGDWTTELKNTFEKACEPQDAQAKTGSLVRLETRARSGTPQQSQAGFPTILIKGPYGAPAQNYKKYDTLLLIGLGIGATPFISIIKDILHNRAELDLADDLLARKKGPKRAYFYWLTREQGSFEWFKGVMDDLAEYDDHHVIEMHNYLTSVYEEGDARSALISMVQSLLHAKNGVDVVSESRIKTHFARPNWRKVFTDLATQNPSARIGVFYCGSSALTKTLKQLCHKLSLETTTRFHFHKENF >CDP13114 pep chromosome:AUK_PRJEB4211_v1:1:2177035:2178669:1 gene:GSCOC_T00037911001 transcript:CDP13114 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFCSFFSFLHCYFVFLACYLVHDQFPFFVALFVNLNRLISCIDPSENCNSGLILQHRLSPLPDDTLRHILSFLYYTKCCKNFSLFTSNLTTRVEVRGRQSIRQLENGTSSS >CDP04058 pep chromosome:AUK_PRJEB4211_v1:1:33147508:33150028:-1 gene:GSCOC_T00016595001 transcript:CDP04058 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 11 [Source:Projected from Arabidopsis thaliana (AT2G30360) UniProtKB/Swiss-Prot;Acc:O22932] MPEIEEVPPASAVSEPPLFGKYEVGRLLGCGAFAKVYHARDVRNGQSVAIKVINKKKVSSTTLMSNITREISIMRRLRHPNIVRLHEVLATKTKIYFVMEFVKGGELFGKISKGRFSENLGRKYFQQLISAVGYCHLYGVFHRDLKPENLLLDENGDLKVSDFGLSAVTDQVREDGFLHTLCGTPAYVAPEILSKKGYDGAKVDVWSCGVILYVLTVGYLPFNDPNLMAMYRKIYQGEYRCPKWMSSELKRFLSRLLDTNPATRITIEEIKRDPWFKKGYKERKFYEEDFVEFNDDKVIKDDQVIQGSTSFNAFDLISLSSGLDLSGLFDESYSSARLAVEDSPEKIIERVSEVAKGVENARLKRKKEWGVELQGHRDSGKFVIGLDVFRLTDSMVVVNAKKRAGDAGFFHELWEKRIKPAILGQHQQGLELQASTSGSSCC >CDP08922 pep chromosome:AUK_PRJEB4211_v1:1:30201347:30205609:1 gene:GSCOC_T00028056001 transcript:CDP08922 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLWQNLSVRGSEVHSSYLKIAPRLASFYNHSIVTHSFHPISSIWNFRCHSAPIQISIASNQTLLVAFSLSLFLVSFYSTSPYPIRRLRGPPSRSLRRRMSKRAKAAAKPVLDEAQFERAISGLPPRFTPEELCNVIVLEKDPLVCLELFNWASKQHRFRHNVSSFHITIKKLALYNTMIYYFTEARKLTRAVNIYNHMRDIGKLDCRPSITTFNILFAALLSRGKNSYINHMYMETTRCLFRQMIDDGIEPDIFSLNSMIKGYVLSLHVNDALRVFHQMGVVYKCLPNSFSYDYLIHGLCAQGRTNNARELCMEMKEKGFVPSSKSYKSIVNSLALSGEVDEAVKYLWEMTENQRSADFITYRTILDETCRQRGAEDAKHLLQEKYRKLTFQNSIQLRASGGICLLHIHAKPPKICEIYGKGFAVVLLACKRTNVLQISPRNSLRG >CDP09055 pep chromosome:AUK_PRJEB4211_v1:1:31385149:31388319:-1 gene:GSCOC_T00028238001 transcript:CDP09055 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRISGTFSSPKVDLIIDTGNPFLNLTVDGFLKIGCVAATKAAAEEAYYIVKRGSISHHKFEDSLKKMCKEGAYWGTVAGVYVGLEYGVERIRGVRDWKNAMIGGALTGALISAASNKNKDKIVMDAIAGSAIATAAEFINHFT >CDP17687 pep chromosome:AUK_PRJEB4211_v1:1:5604386:5607873:1 gene:GSCOC_T00013235001 transcript:CDP17687 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRALLNGDWTNYWSGPENQCGVYGKCGPFGSCNPQGSPICTCLPGFKPRDTKEWNLGNWTGGCLRKQLLKCERNQSVSGEDKQDGFLKLTYMKVPDFAEPKGISEEECLKDCLNNCSCAAYAVYNGIGCMQWNGILIDSAQLPYDGTNLYIRVAYSELNTKRGSKAVIASTVAAAIALISFCGCLCWKWMAKQKGKEQQAKVSSTEEVHRVEDMITVTVDQAKFEELPLFTYEALAKATDNFQSNNKLGKGGFGPVYKGKLLDGQEIAVKRLSNSSTQGIEEFMNEVVVISKLQHRNLVKLLGCCIEREETMLVYEYMPNKSLDAYLFDANKQKLLDWRRRVIIVEGIGRALLYLHRDSRLKIIHRDLKASNILLDEDLKPKISDFGLARIFGGHQDQANTNRVVGTYGYMSPEYAMKGRFSEKSDVYSFGVLLLEIVSGRRNTSFYNDENEVSLLGHAWKLWNENEATKLIDAAIFDPGAITEMLRYIHVGLLCVQEFAKDRPDVSAVLLMLTSEISHLPRPKFPAYTERLGPSEKSCCSSNSITLTIVDGR >CDP07073 pep chromosome:AUK_PRJEB4211_v1:1:29368368:29371565:1 gene:GSCOC_T00024179001 transcript:CDP07073 gene_biotype:protein_coding transcript_biotype:protein_coding MSENGKHRILMVSDFFFPNFGGVENHIYYLSQCLIELGHKVVVMTHAYNNRSGVRYMTGGLKVYYVPWKPFLMQNTLPTFYGTLPIIRTILIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTQAICVSHTSKENTVLRSGLPPEKVYVIPNAVDTAMFKPAPERLSNNEIVIVVISRLVYRKGADLLVEVIPEVCRLHPNVRFVIGGDGPKRVRLEEMREKHSLQDRVDMLGAVPHANVRSVLITGHIFLNSSLTEAFCIAILEAASCGLLTVSTCVGGVPEACPLHFLIFLANLFQEGNKA >CDP03876 pep chromosome:AUK_PRJEB4211_v1:1:34457499:34459721:-1 gene:GSCOC_T00016374001 transcript:CDP03876 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICAKRVVVDARHHMLGRLASIIAKELLNGQKVVVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGAAALARLKVYEGVPPPYDKTKRMVIPDALKVLRLQAGHKYCLLGRLSSEVGWNHADTIRELEAKRKERAQATYERRKQLTRLRIKAEKAAEEKLGSQLDILSSVKY >CDP15600 pep chromosome:AUK_PRJEB4211_v1:1:26519736:26524766:-1 gene:GSCOC_T00015505001 transcript:CDP15600 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHKHSSEVLPERRVAVDKLQGQSDYSSRNTRRGRRFGRISGRRLLIWVFSLAVLFFIYLSVFGIHMLHVDSKGGPSSLSEVKGSFTHVIVREEQDKSAGDDVSKQPRKPHRARYFPCEVSFLDSVNYLIEPKDSANITQFSVHYVEREERPLHDASLKPRFGGHQTLREREESFYARNQTMHCGFIKGPVGFQSTGFDLDEEDKKYMSSCSVAVSSCIFGSSDFLRRPTSKLISDYSKRNVCFVMFVDEQTLSKLSAEGNVPDDRGYIGLWKIVVVRNLPYKDMRKTGKVPKFLSHRLFPSSRYSIWLDSKLRLVADPMLIIEYFLWRTGSEYAISNHYTRHCVWEEVLQNKRLNKYNPTAIDEQFNYYRSDGLVKFDQSDPNIPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFGYTYLKLKRMNPDRPFRLYMFKDCERRSLVKLFHHREL >CDP08975 pep chromosome:AUK_PRJEB4211_v1:1:30780374:30780970:1 gene:GSCOC_T00028128001 transcript:CDP08975 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCISNCVDAKAPVRATYVNLYKWPESDVEFVRSLSSNGRKNGGGHGGAAGLMHPTVVDSISCRQLYLRSYTFSRDDELNVAKRKRSCRRFRDRVSAANKRKRKLRGGGGSSCSSHGCEGGAGGRRKKRTGFRRAKEMSCVALAAIFRRLLSCTTKVDVVG >CDP09630 pep chromosome:AUK_PRJEB4211_v1:1:23443335:23445971:-1 gene:GSCOC_T00030020001 transcript:CDP09630 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDHKATVIDGKAVAHTIRSEVADEVRQLSQKYGKVPGLAVVIVGHRKDSQSYVSMKRKACAEVGIKSFDINLPEQVSEAELISKVHELNANPDVHGILVQLPLPKHVNEEKVLGEISLEKDVDGFHPLNIGKLAMKGREPLFLPCTPKAIYFEALFLEQGCLELLSRSGISIKGKKAVVVGRSNIVGLPVSLLLLKEDATVTIVHSRTKEPEKIIREADIVIAAAGQANMIQGSWIKSGAAVIDVGTNAVDDRTKKSGYRLVGDVDFKEASKVAGWITPVPGGVGPMTVAMLLKNTVDGAKRVIEQ >CDP06853 pep chromosome:AUK_PRJEB4211_v1:1:27055919:27057502:1 gene:GSCOC_T00023879001 transcript:CDP06853 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAFTATTNTANQLPPPPLPHNPTTNPPPPNTITVNGSPQKHQPTFKTTQNKPNTPIDELIVSWTSSIAYHCQKGRLSVAASEFNRMRLSGVEPNHVTFVSIISGCAHFPARALYFGAAIHGYTRKLGLDTGDVKVGSALIDMYSKFGQMGLARLCFDHMGFKNKVSWNTMIDGYMRNGDFEAAVNLFDEMPERDAITWTALIGGFSKQGLFQEALVWFQEMQLSGQEPDYVTLISLLSAIANLGMLGLGLWLHRYVMGCDLRDNIRVNNSLIDMYCRCGSVDLARQVFKSMPKRSLVSWNSIIVGLAMNGHAEEAIEYFWLMQKDGFEPDAVSFTGALTACSHAGLVKEGLNLFSTMSTVHRISPRIEHYGCMVDLYSRAGMLENALEVIEDMPMKPNEVVLGSLLAACRNKGDVKLAERLMSYIYQMDPEGDSNHVLLSNIYAALGSWHGASHVRKKMKALGIRKKPGISSIELDGVIHEFVAGDKSHVETEYVYAMLESLSLELRVSGYMPETNLSELYEYD >CDP03704 pep chromosome:AUK_PRJEB4211_v1:1:35732056:35732443:-1 gene:GSCOC_T00016163001 transcript:CDP03704 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFVLSWMDGWLVCEWLVFVRFKCLNWMLENELGCGARWNGSGIPQHSAASGER >CDP17016 pep chromosome:AUK_PRJEB4211_v1:1:38161535:38166617:-1 gene:GSCOC_T00004914001 transcript:CDP17016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrogen regulatory protein P-II homolog [Source:Projected from Arabidopsis thaliana (AT4G01900) UniProtKB/Swiss-Prot;Acc:Q9ZST4] MALSSASAAAAKAANIMLPNSSPYSINCGFKQSQYRSRTAPAPFPIGRPLRFGDFFSDSQISLVHKCTTTTAAPTTNSSHLSTITAQGSVDYIPEASYYKVEAILRPWRVPQVSSAMLKMGIRGVTVSDVQGFGAQGGLTERQAGSEFSEDKFVAKVKMEIVVGKNQVEAVIEKIIEEARTGEIGDGKIFVVPVADVIRVRTGERGE >CDP03728 pep chromosome:AUK_PRJEB4211_v1:1:35527350:35534237:1 gene:GSCOC_T00016200001 transcript:CDP03728 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGDSLLLPTVGPPIKRRAGGDGPGVLGILLELDKEMGSKLHRALRCLCSNTGWNYAVFWKLKHRARMMLTWEDAYYDGEDYPRKMCPSNFVGNLQDRHCSHDPLQIALAKMSYHVYFLGEGIVGQVAVTGKHLWVSSDQHVAHPSSPYEHCDGWQTQFSAGIKTIAVVAVVPHGVVQLGSLDKVQVVEDLTTVNHVRNVFFELQGSLADHTPYAISSSMGSSCQSDITTTTLSSGIHHDCLQQLDTSFDKDGIKSWCSGYSSNEKDGYYPCNLLMPGVYQNKMVEMVNKHEGPENSVPEFDVGEGLRIPISGDACVEQLNLENLRATGDINHDLQSSGFGDLAQSSEKRVTLHTNNFSGKNSLCNIVPSAEDSVKEMAYLPSETLDSKACSDERGMSWFDNIQYADMPKDCTNRMDMPFRFCGGYELYEALGPAFQEQHCHFEWDAEKIEFGMSIEMSEVTGNSSLVSANSGTEHLLDAVVANIQKIESSKNEKPCVKSELLLNPENMSEPCMSDMGSISSAGYSFSRDTLNSFNSSVASGVHYSKGISSTSCSRGSDTLERPQESTKIQKKRARPGESCRPRPRDRQLIQDRIKELRELVPNGSKCSIDSLLERTIKHMIFMQSITKHAEKLNKCTGSKLMENEPRIGRSSSHEQGSSWAVEVGSNLKVCPIIVENLNMSGQMLIEMLCDECCHFLEIGEAICSMGLIVLKGVTETYGEKTWMRFVVEGQNNSNLHRMDILWSLMQLLQPKTKISDGKL >CDP03598 pep chromosome:AUK_PRJEB4211_v1:1:36539088:36542872:-1 gene:GSCOC_T00016013001 transcript:CDP03598 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQAERGCILCLELFDSVDALTSHKCQLPAPDPLGMMKLPCVEPQINKLASDIKKGIGRGYEAIALDCEMVGGGSNGSLDLCARVCLVDEYEKILFHTYVLPQIPVTDYRFEVTGIKEEHLKGAMPLKEVQDQILQILCNGESVKSLWCSGGQAKVLVGHNLEHDLDCLRMNYPDHLLRDTAKYHPLMKTNLVSHSLKYDIQIGARDPYEDCVSVMRLYKIMRSQDHRMDGIGGSLPVQDNFCSSTVYDSYKLNELEQMTPVELFRISRSNYKCWCLDSSQAFEHACNTCVKAEMSNSWRK >CDP13094 pep chromosome:AUK_PRJEB4211_v1:1:2525547:2530112:1 gene:GSCOC_T00037878001 transcript:CDP13094 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVKCSANYVPLTPISFLERSAIVYRDRISVVYGDLKFTWAETRGRCARLASALHSLGISRHDVVTHKGPTAPYIYFFGDGGGGRGGGGIFSPLNIRHDSAMVSTLLKHSGAKVIFVDYQLLHIAKGALGILLKTSSKTPKLYESFLASGRPDFEVIRPHDEWDPIALNYTSGTTSSPKGVVYKLDIKDPETMQSVPPDTKTRGEVMFRGNTIMNGYFKDSKATGDAFKGGWFRSGDVGVRHPDGYIELKDRSKDIIISGGENISSIEVESVIYSHPAVLEAAVVGRPDDYWGETPCAFVKLKDDCNANADEIIKYCRDHLPHYMAPRTVVFGELPKTSTGKIQKFVLRQKAKDMGSLSRTSRL >CDP03896 pep chromosome:AUK_PRJEB4211_v1:1:34322194:34324504:1 gene:GSCOC_T00016398001 transcript:CDP03896 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLYLVLFAEGLVAFLLVVKIGPLRELVMKGLDQVKMRKGTVLTIAGTFAAILLSNFFSIVKIQNKGAKLGTMSPMDQVLWRTNLLEASLMGFCLFLGFLIDRMHHYLRKLIGLRSTAGALRKENERLEKDKLQLKEKAEKADEEIKVMKKEISSLTENLNKLEMESAEKDKKVETAEAHVAALQKQAADLLLEYDRLLEDNQNLQNQAVGYRG >CDP18601 pep chromosome:AUK_PRJEB4211_v1:1:4545:12431:-1 gene:GSCOC_T00012438001 transcript:CDP18601 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREKVVKAQHTTQGRGGAIIQVELRDVDSGSKVNERFRTDESIEKVYVEEKSFSYLYNEDDIVVLMEPETYAQINVPKHLFGESLPYLQDDMKVKLQLYDEKPMSVSIPPKVTCTVAEAQVPIKGSTATPQYKKVKLDNGLTVQVPSYVLAGEKIIINTADNSFISRA >CDP17038 pep chromosome:AUK_PRJEB4211_v1:1:37985644:37992005:-1 gene:GSCOC_T00004943001 transcript:CDP17038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiosis-specific protein ASY3 [Source:Projected from Arabidopsis thaliana (AT2G46980) UniProtKB/Swiss-Prot;Acc:Q0WR66] MDLSQQPNFSDGQARESRSFHSLSGQPRKISIGILADSFCQNSGPKDVNAKDSLVRVHENVTPSKANSAQDGKWTDRVLYAANQGKQTEVLVQETSPWLSPKSFNQKLPTPEQVRGAEITCILPATGPVTETHHVLHLPRPPPANFSAHYFDNHMSGLESSNGSQRKFDGDMPGMRDITGEGFEKLSFTTIQKVATEKDVGGYEATKEETRGSETLRMKLWEILGTISSPNEQHPNDCDLGMEAKNMKLVQKSDAECKPVVKPTQNSDTIESDSEGHGRPVRRPRKRSLIRNGASNKLKAAKSNDAQLTRYMKDNQEKYACFEEVLSRRQHYSATGGTLAVMRKKSEKWSTGIKSRMMRFNEHENPGHDEKVNNRGERRPALQNSMMHGDEVGDENETFKRIKKDSTEPKKVSLEISSQKVHVEGVSEHPRNDVDGPKLQKDVDLQQDVGYALLKSTSEQKFDIPCPAFGEKTPREIFPDLPPKTKLGEADDDSPPKLVSNTEGIRRFKSFLASKLTCDKSNSKSNSSDGPGGLEDFSYMKPRPIVEDPGSRLSSSSSEGSDSETLKEDSLPSAQSKNYGNVQQHLELHQGDGLASAVTLFALALERVKIRMKSVIRKRSAEILTSVAEGIHMQLQTAESQIQADMGKLTSLTKSKRKHLEMRFQELQGQLNVIYAKFKEEVHQHLQDCRDTVECLDANHMELRGIVEKQSASHRKLLLQAEEEIETHLTDAGRRIMKVHTLAREQMLQLKNAVVDCLNEGNLG >CDP03995 pep chromosome:AUK_PRJEB4211_v1:1:33668550:33670200:1 gene:GSCOC_T00016515001 transcript:CDP03995 gene_biotype:protein_coding transcript_biotype:protein_coding MMINSSKVHVLVLPYPGQGHINPMLQFCKRLVAKGVKTTFVNSVFISKSIPADPKSAINFETISDGHDEGGYAAAESPEAYLEKLATFGSKALADLIRKLEDKGEPVQAVIYDSFLTWALDVAKQFGLVTAAFFTQTCAVNSIYYNVYHGLLPVPLSDSPISLPGLPLLQPKETPSFVYLPDSYPAFLHMLVNQFSNVDQADWVILNNFHKLEEDAVNWIAKLWRVITVGPTVPSIYLDKRLEDDIGYGINLFKPDSSLCINWLNSQPKDSVVYVSFGSWTEIDVEQVEEIASALKESGFKFLWVVRAFEKEKLPRKFAEETSEKGLVVTWSPQLEVLAHESVACFVTHCGFNSVLEALSLGVPVVAAPQWTDQPTNAKFLADIWGVGVKADADEKGIVRRETLVSCIREIMEGEKGKQIKENAIEWKALAKEAIDDGGSSDKNIDEFVAGLAGQKAKN >CDP06930 pep chromosome:AUK_PRJEB4211_v1:1:27909254:27923310:-1 gene:GSCOC_T00023981001 transcript:CDP06930 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRLHVWCYGFRAMAFGTSEINKMDQSIEPSKVSSPAAEGNKLGAGDTLEDQITTPAEKMKNTRNKYAVQFETSSRTIHDEQKASSTINIDNSRIPRTNSISHEDGSSCHLLSGVVANLKLPTEENGVSAGMANNCKLAPSTVLSANYITNCVAERPHYSMTNDETLTPLQDLATSDPGSRFCKNCPEMEKNCGNIFSTNLLNMTEGSGVTEKKFKGKGTHAVGASVAAYCVAEAFNGESSNDMKNQGRIISATVDVNPGAEKDESLPSTKPTTRCSSTKDKSKSGSVPPKKLRKCEASVLTGPNLNNKPSDITGETDDDHKELLVAAGSVHSVRENACSFPFWKTMESFFAPVTSQDIFFLKQELNFVENLTQSQIFGDEYDRPGGISSSPRREVSNSDQQSITSTAFGRSNKPSNIVPPLLQRVLSAFVNEDGGSDPHCCERVEFELQQELDMQAQKYSSVYGLCSNKSASSSTYSTGSVSNTFSNQGRLQADEGLSYSNAGILHGNNQNDPILTHASQVNAPPGFPFNCPYQMLSPNDKLSLEPRSIGLNAPLLDGREGWIDREIKRLRGELWQKVSMVKNNLRKIGKAIKCEQDLEKRNLEESAMDQLVEMAYKRMFQGGWRSKSSRIRKVSERAALPFVKRTLARCKQFEDSGKSIFGQHDLLSSILYKPSTEKDPRPIECDGSVILDNKCKRAHGKAKRKCSGKNVFSQCALLSGILYKPPIEKDTRPIEFDGFMILDNKCKEARNRARYLVK >CDP09062 pep chromosome:AUK_PRJEB4211_v1:1:31443726:31444145:-1 gene:GSCOC_T00028249001 transcript:CDP09062 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWIFDKKGVARLITNPTRESFEQLNPTYPGTPTSPGARPKVLVYLPSNQVIRSYTELEQRLEELGWTRYHNPNRSDLLQFHRSDDSAHLISLPKSFANFKSLHMYDIVVKNRSFFEVRDSNRHRNHDHDHHQPGAR >CDP03595 pep chromosome:AUK_PRJEB4211_v1:1:36552561:36558129:-1 gene:GSCOC_T00016009001 transcript:CDP03595 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVYNGETSNPSSSTTASTSPPPVTTSTSITETVNGTHDFKITGYSLSKGIGIGKYVASDIFMVGGYAWAIYFYPDGKSVEDNATYVSLFIALASEGTDVRALFELTLMDQSGRARHKIHSHFGRALESGPYTLKYRGSMWGYKRFFKRTALETSDYLKNDCLQVHCCVGVVRSQTEGPKIYSIPLPPSDIGQHFGQLLECGKGTDVNFEVNGEKFSAHKLVLAARSPVFRAQLFGPMKDHDTQCIRVEDMEAPVFKALLHFIYWDCLPDMEELTGLNSKGATSLMAQHLLAAADRYGLDRLRLICEANLCEDVAINTVATTLALAEQHHCFQLKSVCLKFVAMPENLRAVMQTDGFEYLKESCPSVLTELLEYVARINEHSVSVNKQLTDGILDGSDVNGRRVKQRL >CDP03960 pep chromosome:AUK_PRJEB4211_v1:1:33897769:33900539:1 gene:GSCOC_T00016474001 transcript:CDP03960 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYYFNGRRGHVPAFGSWDCNDDLPFTQCFETARQTGLFRYSYSEDRDLYVAGDLYENDVVAPAMIVVPRRRVKSTSYQRQGKEAKGGEAWVVCDCECGVNVKEPPSPLPPSPPPPAPKAVDEDLYKISPELLRAQPRKKRGWGFFSICMQPPSCVR >CDP17581 pep chromosome:AUK_PRJEB4211_v1:1:1291418:1295627:1 gene:GSCOC_T00011076001 transcript:CDP17581 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVAAQASANYRRLLAAPSSSSPGINNPFRQCSTSTPSSSSHSHTPNSTCVEHLEDSACTPSPSTKISIDRSGLYNPPEHSHGPTSDSELVKHLKGIIKFRGGPITVAEYMEEVLTNPKAGFYINRDVFGAQGDFITSPEVSQMFGEMIGVWVMCAWEQMGRPEKVNLVELGPGRGTLLADLLRGVSKFKNFTESVHIHMVECSPVLRKLQYQTLKCEGEDGEKQIVSTMTGSPVSWHATMEEVPTGLPTIIVAHEFYDALPVHQFQRASHGWHEKMIDVDENSSFHFVLSPRPTPATLYLMKRCKWAETEEIAKLSQIEICPRAMDLTQTIAKRIGSDGGAALIIDYGVNGIVSDSLQAIRKHKFVNILDNPGSADLSAYVDFTAIRHSAEEISENVTVHGPITQSQFLGSLGINFRVEALLENCTDEQADSLRTGYWRLVGEGEAPIWEGPDEQTPIGMGTRYLAIAIVNKEIGVPVPFQ >CDP04025 pep chromosome:AUK_PRJEB4211_v1:1:33452878:33462381:-1 gene:GSCOC_T00016552001 transcript:CDP04025 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTIASRCFRAGELLFTRAQTLINCRPSRYSCQNGISSIRMATGSRIPRNSLIFARQLSAKFSPWNSSRTSPHFFKNLKGFIADVPAKPSPQSHGSIPNGYGAEMFSTKSGDDAQGKKPTLAKQVGAVSADQVPDAKILQTLAKYLWMKDNYEFQLRVVAALGFLVGAKVLNVQVPFLFKFAVDWLTTATGNAGALAGFNAANSTMLALFVSPAAVLVGYGIARAGASAFNELRTAVFSKVALRTIRSVSRRVFSHLHELDLQYHLSRETGALNRIIDRGSRAINFILSSMVFNLIPTILEISMVSGILAYKFGAPFAWITSLSVATYVAYTLTVTQWRTKFRKAMNKADNDANTRAIDSLINYETVKYFNNEEYEAEKYDEFLKRYEDAALKTQRSLALLNFGQNVIFSVALSAAMVLCSHGIMNSQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEERAEIRDADDAKPLKLRGGSIQFDKVHFSYLPERKILNGVSFFVPAGKSVAIVGTSGSGKSTILRLLFRFFNTDSGNIRIDGQDIQKVTLESLRKSIGVVPQDTVLFNDTIYHNIHYGRLSATEEEVHEAARQAAIHDTIMNFPQKYSTVVGERGLKLSGGEKQRVALARAFLKAPAVLLCDEATSALDSSTEAEILSALKSLANNRTSIFIAHRLTTAMQCDEIIVLENGKVVEQGPHEVLLSRPGRYAQLWTQQNSTVDSLDAAIKLEA >CDP09251 pep chromosome:AUK_PRJEB4211_v1:1:32837060:32839737:1 gene:GSCOC_T00028499001 transcript:CDP09251 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSVLETPMPLPKEAQPICRHIRGSHSFGVFYGENPLQYSVPIMLLEVSMLMVITRILRFILKPLRQPRVVSEILAGIIMGPSVLSRSKKFRSYFFPDNADFVLKNIGVLGFMYFLFISGVKTDLTVVKKAGRKHWCIALVSTLVPMICTLAVAIPLRKSMEKELAKPSSILGTSTLFALSTLPVLQLIIKELNLLSSEIGRLALLIAVISDIIGINCVIAFEAAKQEEGSSMAGLWYFISLIVFMISIFGGLRQAMLWIVKTTPEGKQVDQIYVIAILLGVVVAGFLTDMFGIAIANGPLWLGLAVPDGPPLGAVLVDKSETIVMDLLLPFSYTFVGMLTDVSSMSGNWSILQPIFLVAFIGCIAKVISTWLASRFCGMSLKESLAFSLLLNARGQVELLLFIHWMDFKMITIPYFTMLVLFTTAVTAVVIPLFSILYDPTRPYMVNKRRNIQHNPPNTELRIVALIHSEENVAGIINLLEVSNPTCNSPFSVFAVHLVELIARAVPIFIDHEKEGLDSEHTNLSSVHRALKHFEEAKREQIKLHCYTSITAKRSMYQDICGLALEKKASLIILPYHGEQLDNNLETEGVPSINCDVLAHAPCSVGIFVHRGSSNMHLLGTPSITSVYHFAVLFLGGADAREALVYADRMAGNLNVLVTVIRFLSNDSLGDNRMEKKLDDGLVTWFWVKHEGNNHVIYREVVVRNGEETLAAIRGMSSNNYDLWIVGRMHGINPVIIQGLADWSEHTELGLIGDYLFCSDLGSRASILVVQQQILRG >CDP09087 pep chromosome:AUK_PRJEB4211_v1:1:31613973:31616835:-1 gene:GSCOC_T00028282001 transcript:CDP09087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-2 [Source:Projected from Arabidopsis thaliana (AT2G29130) UniProtKB/Swiss-Prot;Acc:O81081] MAVSLYSLLSPTCFLAGVSFVMSCLVVLAIPASAAGITKHYTFEIRLQNVTRLCHTKSVVTVNGEFPGPRIVAREGDNVQVKVVNHVSNNISIHWHGVRQLQSGWADGPSYITQCPIQTGQSYLYNFTITGQRGTLWWHAHITWLRASVYGPFIILPKKNVPYPFPKPHKEVPILFGEWWNADPVAVVNQALQTGAGPNVSDSYTINGLPGPLYNCSANETFKLKVKPGKTYLLRLINAALNDDLFFSIANHTLTVVEADATYVKPFRTDILLIAPGQTINVLLEAKHHLANSTYFMAARPYFTGQGTIDNSTIAGILEYGNPTGSVSGSSFTNTSITSLLPALPPINGTSFFANFTRKFRSLANAQFPANVPKTVDKHFFFTVGLGSKPCPKNQTCQGPNGSKFAASMNNISFNLPTTALLQSYFFGKSNGVYTTDFPSVPLKPFNYTGTPPNSTFVVNGTKAVVVKFNSSIEVVLQGTSILGAESHPLHIHGYNFYVVGQGFGNFDPENDPAKYNLVDPVERNTVAVPPNGWVAVRFLADNPGVWFFHCHFDVHLSWGLEMALIVLDGKLPNQKLPPPPSDLPKC >CDP15554 pep chromosome:AUK_PRJEB4211_v1:1:25677818:25680211:1 gene:GSCOC_T00015435001 transcript:CDP15554 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFWALFPVTFLVFILASVESSSGETDAQTYIIRIQNDLKPSAFSDAEEWYSATLRSLDSTSQAFNQEPKISHARKKSQDFLHAYKTVFHGFSARLTTKQVQELKNRPGVLAVLPDKLRQLQTTRSPQFLGISSSDNPAGLLKDSDSGSNIVIGLLDTGIWPEHRSFHDEGLGPIPSHWKGECTEGEKFTKNHCNKKIIGARYFASGYEARNGRMDQSIEVMSPRDTDGHGTHTAATAAGRAVSNATLLGFATGVAAGMAPKTRIAVYKICWKRGCMESDILAAFDKAVEDGVDVISISVGGGAATYNLDPIAIGAFGAMEKGVFVSASAGNEGPNKFTVTNVAPWITSVGASTIDRKFPANLVLKDGRVLRGSAIYHGHRSFQRTPLPLIYGGNASIDLSHGTPGHFGSFSAGICMPGSLDTKKVKGKIVMCDRGGSPRVAKGEVVRMAGGLGVIVANVAPLGEGLIADAHIIPGLALGESDGNNVRTYINSTPDPRARMVFRGTQVGVKPAPVVASFSSRGPNGESIYVLKPDIIAPGVNILAAWPDGVPPTELPTDTRRTNFNIVSGTSMSCPHVSGIAALLKGAHPDWSPAMIRSALMTTAYMHDRDGRPLLDETSYQESTVWDTGAGHVNPEKALDPGLVYDLSSIDFVNFLCASNYSREAIKEITRHGAKCPRKHNMPWDINYPAIVVVFDKTEMSDFNLNVTRTVTNVGEGASSYSVVVTNPKGSRVSVNPPKMDFSTIGQKQSFVVQIKGDKLEVAPGNAVTEWGMVTWSDGKHQVGSPVIVVWRDVF >CDP09292 pep chromosome:AUK_PRJEB4211_v1:1:18655675:18656622:1 gene:GSCOC_T00028591001 transcript:CDP09292 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFSQLIASYERVFWGWFLLICGAVSFCGLLFAAVGSKLLPPSGNSLISAILNDRYYCLLVPLTPPILLIAVYFHWLCMKLFKHA >CDP08479 pep chromosome:AUK_PRJEB4211_v1:1:21991682:21993114:-1 gene:GSCOC_T00027395001 transcript:CDP08479 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLRFHFHFHFHFHFNSATTFPKLHSLSTCNPPPYLAFYYRHAPSRFTSTYLIFFLHFYVSFCLIY >CDP09711 pep chromosome:AUK_PRJEB4211_v1:1:25043479:25045040:-1 gene:GSCOC_T00030150001 transcript:CDP09711 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYFNFSKIPLFNVVRLLINKVKINEKNLEGLTCLDTFTGLATAGDENIAKDLRGAGAKTSSALPPVDTLADVLSSKESLTRKAFRQMECDVGLDGLSSEMRNALLVVAVLIATATYQAVLSPPGGISSGESTGKVQMGEAYFYVFITLNTVAFAASLGIIFSLLPKSRYNLLLFIPLLTLLMSYAFSITIIAPHSRSLQMHAFYPVMIGFGLTMLIMRCKFPEFLGQAPNRYKRDQMEGDEIRGACAHVNQV >CDP15553 pep chromosome:AUK_PRJEB4211_v1:1:25666995:25671498:1 gene:GSCOC_T00015434001 transcript:CDP15553 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYYQGGSEIQADGLQTLYLMNPNYAGGYSDAQQQPANMVILNYATGNTVNLASLASNQQPQTQHLVGVPLQGSLGSAANSHELKHEVSAPGRGGIPHFHPSLWGSLDHTGGAGAASQPNIPSGVVVSNPSGGGAADVTRQLGFHRPVVSPRQQGLSLSLSSQQPGFRSLSTEQNISVQTPVGILSPRSGDNNLRGSGGSSSSVSAVSNGIHGLQSAVFGSKYLKAAQQLLDELVNVGKEIKSDTREKAKDKGKMNRESTVHSTGEASHIGQSDTKSGVTELTAAQRQEIQMKKAKLVSMLDEVEQRYRQYHHQMQIVVASFEQAAGIGSAKSYTQLALQTISKQFRCLKDAISGQIKSASKSLGEEESLGGKLEGSRLKFVDQQLRQQRALQQLGMMQNNTWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKQMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKNHEQDGSESNKELGSTSTTAKENNVARIDQRSILQSKQEKQIAQDATHAEISTSSLTPSPTGTSPQARGGFGLIGALNMEANVEKNSKKMRTEMQNSPSSILSVDMEMKFGEPRKGFDNKFSTSQRETNKDGFSLMVNNAGHGNGFGAFNLGELGQFNPEQLTTGFHGNSVSLTLGLPPSENLALSAGTQQNYLSDQNMELEDRLEMGTMDGHYDRVNKTQTSHSSLGYETINFDNRKRFAAQLLPDFVT >CDP17544 pep chromosome:AUK_PRJEB4211_v1:1:693156:696902:1 gene:GSCOC_T00011020001 transcript:CDP17544 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTAATATIQVTLKTVVSLAADHGNLVREFPKELERLNESAEMIRGFLAGADGKMHSPGVQIWLKRLEEEVFKADNVLDELNYENLRRKVKYQNQLTKKKVFFCFSFFNKIGFRWRLGSMIREINTNLQRIHRDAEGLGLAYKHQTDSTIVRRDVLGRDEDESEIVKKLLTESESVISVIPITGMGGLGKTTLAKAVYKNEQIVGHFDQTMWVCVAEKVDRIEVVFKMILESLIGGKVEGDRREEIVKKIQHELKEKRYFLVLDDVWNDQEVLLNDFFSTLAGLNEKKGSWCLVTTRLQEVATILSRHPQINFTRHELGRLCDDDCWCIMKKWATVGEEVPKELEDMREPVLRRCDGLPLAAKLIGGLLSKKRKEDWLSILEESLLNGDQGGIEQILKVSFDHLSPAPVKKCFAYCSIFDQDTELEQDPLVELWMAEGFLQPDSQNERMMEKIGCEYLRILLQTSLLEEVKEEWRTWYKMHDLVHDFAKSILNRNSSNQDRYLAVYSSERMVENMNKKSSASLRTLFLKGGIADDMLSKFKYLHVLKLFGADAKKLPKSIGKLIHLHLLDISGSWIRTLPESLCKLYSLQTLRIGMLVDGFPKKMSNLISMRHLHYDDDDDDDTGREIQMPSGIGRWTCLQTLEFFNIGRQEEGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELANLSKKPNMYRLVFEWGNRDQESNKCDENVLEGLQPHPNLKELHIRNFRGDQIPQWLVKSSTLVELRLVNCRELPTLGQLSSLLHLYLCGLENTTCIGLSFYSTISRQTFFPALKILSLESMENLKEWKDAHEMRSTAGEVHVMDAFPMLEKLSISDCPQLTTIPNPSLKRPESSLSIDGCNSLPTNMLERLCLFPTLQRVELRSADNITTLRGMSCAACLKRLIVFSCKNLRELPEDLYQFQALEDLEIAGCPRINSFGHPNPKNSFGQKGLLKSLERFTVHGCNELTRLPVEMFESCTSLRELNLFDCRSLVSFPLDLRRTPSLDSLISNLIAEMPNDSAIEFDWAGLASSSSLQHVSLHGMYGTKSLPHQLQDLTTITSLSLKHFGAIEALPDWLGNLASLENLILYDCQELEYLPSMAAMERLKLRRLEIRICPLLTERCTPKSGSEWPKISNIPERKIDFRYF >CDP09198 pep chromosome:AUK_PRJEB4211_v1:1:32409160:32417564:1 gene:GSCOC_T00028427001 transcript:CDP09198 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVGTARQCLTEEAARALDDAVTVARRRSHAQTTSLHAVSALLALPSSTLREACARARSSAYSPRLQFRALELSVGVSLDRVPTTKPQDEPPISNSLMTAIKRSQANQRRHPETFHLYQQLQHQNNIIINCNNTSSSSPPSISTVKVELKHFILSILDDPIVSKVFGEAGFRSFDIKMAILNPPNVSRYSKARCPPLFLCNLSDLELSKRGFSFPFSGALASDSLDENSRRIGEVLVKKTGKNPLLVGVCADGALHDFTDVVNKGKVGILGREIDGLGVVCLEKEISEFLQAGGNEEMMRFKFKEVDDLVKANKGNGLLVSYGELKAFVGDEESGEAVNYVVSQLSRLVEVHCGKLWLIGCAASYDTYMKLLGRFPSIEKDWDLHLVPITSSKPLVGGVYSRPGLMGSFVPFGGFFSTPSDYENPWSIKNQPMGRCNVCNQKCEVEASVIQKGGSAISVADQCSANLSPWLQIMERDKNKRLGVEEAKDDRTDLNAKLLALEKKWNDICKHLHQTMSFQQNISEARSQVPKADTLQFVSARSESSITDSLLDERKPAKPNSCMPLDLQPTSLPKLNIVKQIPHDAFADSPAESPAQGLKTGNFLNPYSTFHNLGIALDQTTSSSITSVTTDLGLGTLYTSALEEPTKPIFQEYKDCLDNSGSVSANTSSENTSNHVAQSSPCSVPPSDGNDFKYIWRVLSEKVGWQDKAVYAIHQTVASCRNGHGKRLGSNKGNIWLSFLGPDKVGKRRIAAALAEAIFGRRESLFQVDLCFIDKVRRSNTIFDREDLKGCELNFRGKTMVDYIAEELSKKSHSVVLLENIDKADFLLQNSLSQAIRTNKFPNSHGREISLNNMIFVFTSRVSKGCDGFLSGQTSTEFSEERILAAKDVQMQISVGCDSADVVRVKSTNLMITSKKQSASLSAGKRKLIDDLESAENRMLPVPKRKPEATRSSFDLNMPVEEMEQDNDCNSSDYDSGSENTKGWLEDFLDQMDENVAFKPFDFDALAQKVLKEISLGFQKIVGSNFRLEIESEIVVQILAAAWLSERKKAVEDWIEGVLCTSFTEALQRCTRTPVAVNVMKLIACEDLLVEDHSALIRLPSRLTINQVVVVFLLIFSSKGKLGFQKFSFLSAKFEREDRVEYKHVQRFQEERLCS >CDP03853 pep chromosome:AUK_PRJEB4211_v1:1:34585159:34588000:-1 gene:GSCOC_T00016350001 transcript:CDP03853 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSDI1 [Source:Projected from Arabidopsis thaliana (AT5G48850) UniProtKB/TrEMBL;Acc:A0A178UKA2] MSSPTKEMNNGDKDSLFHVIHKVPFGDGPYVRAKHAQLVQKDPESAIVLFWKAINAGDRVDSALKDMAVVMKQLDRTEEAIEAIKSLRGLCSKQAQGSLDNVLIDLYKKCGRVDEQISVLKQKLRMIYLGQAFNGKPTKTARSHGKKFQVSVKQETSRILGNLAWAYMQKSNYISAEVVYRKAQMIEPDANKACNLCHCLIQQARYDEARLILDDVLQGKLAGSHDPRTGARAQELFLELQTRQPPPQFPGLDSEDDRDFVDELERLLDVWAPPRSRRLPIFEEITPFRDQMAC >CDP13118 pep chromosome:AUK_PRJEB4211_v1:1:2105468:2107958:1 gene:GSCOC_T00037921001 transcript:CDP13118 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPEKKVQRTPDGDSIDRLSALSDCVLLHILSLLKTKEAAASSILSTRWRNLFVSLPDIDLCFCVDDDASDRDRLFYQFTHFSNRVIEQRNTAPIRKIRLRVEHFVERYRLAFESLLISAAAAISTYSVDQLRISVEMDTTTEKFSILFPPGIFSSETIVSLSLNLDVGWNVPDFVWLPNLKYLYLMRFTLSEESIEVEVLHISSPLLKVLVLCWNEKVELEFTVVVKSENLETLVCSLERQHKVIVDAPNLKSLNVDGHVLEVHIIQSLVSIDKAVVRAEFLHNVTNLGDLFFRVQHAFEFISGLQNVKSLNLSENILKALYFSQPALPTFRNLIKLELEPVYCHSFPRSWILQVLSNLFESSPNLEVLIFSGVFKNYFGEDEKFGSVFPQAFPLSFIEHLKVIEMSNFNGEEHEFKLAEYFLKNGKSLKKIALEREGWKSVPEYCNRILSFKKCSEDCQIVFRKKWDYITCPQLRQLMNLSP >CDP08469 pep chromosome:AUK_PRJEB4211_v1:1:21737661:21739851:1 gene:GSCOC_T00027379001 transcript:CDP08469 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFQASCAPSPQSYPLVGNIIGFLLNCHRFHDWVAEMLSCTPDLTLQVNGFLGLSHGICTANPVNLDHILRSNFSNYVKGSRFHNVLQDLLGDGIFKVDGELWSSQRKIASHEFNTKSLKHFISSTVQGQISNRLIPYLSFACDKEEVIDLQEVFRRFAFDNICNIAFGVDPAWLDLNKVDHNSRNLSFVCAFDHAVEVSSDRFMSPLPALWKMKRLLNIGSEKQYNEDIQVINDYAMDIIRSKEKMHLNQEGNGLGQNQDLLSRFMDSVSNLGFHNQDEKRKFLRDIVISFILAGKDSTSTALTWFFWLISGHPQYEQLIYSELLATIATSPEKAPESLSYDELKKLHFLHATISESLRLFPPVPINSRLTVSNDTLSTGTFVGKGWFADYSAYAAGRMERLWGPDCREFRPERWLDEDGVFQPCDQFKFPVFHCGPRMCLGKDMAYMQMKSVAASVIYSFEVKAVDGGGLPERIFHPPYTLSLLLKMRGGLPVKLKKRQLTTSSWTQK >CDP03840 pep chromosome:AUK_PRJEB4211_v1:1:34670548:34674090:1 gene:GSCOC_T00016334001 transcript:CDP03840 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPRQMQSLNHQNHHHLQYCYLLLLLAVLSQAVAEAANNEVVVLFSWLQTSPSPPSSFSDWNSLDSNPCNWPYIKCSSDNFVTEINIQSIQLATPFPSNLSSLRSLRTLIISGANLTGTIPLEIGNCTSLRTIDISSNSLVGSIPITISKLQNLEDLTLHSNKLTGNIPPELSNCIGLKNILLFDNELSGNLPVELGKLASLVVLRVGGNKEIDGKIPDELGDCQNLVVLGLADTKISGSLPASLGMLRNLQVLSVYTTMLSGEIPSDIGNCSELVDLYLYENNLSGSLPAELGKLQKLQKMLLWQNNLVGHIPDEIGNCRSLLIADFSLNSFTGSIPWSFGNLTNLLELMISNNNISGSIPPVLSNATSLTQLQLDTNQISGSIPMELGFLTELNIFFAWQNKLEGSIPPGLAGCRSLQALDLSHNFLTGSLPPGIFQLKNLTKLLLISNDISGSIPHETGNCSSLMRLRLTDNKISGQIPSEIGLLRSLTFLDLSNNNLIGSIPDEIGNCLALQMLNLRNNTLSGTLPSSLSSLSRLQVLDVSLNTFSGRISGSYSQLTSLNRLVLSRNSFSGSIPSTLDRLTSLQLLDLSGNNLSGNIPMELFKIESLDIALNLSWNTLTGVIPPEISALNKLSVLDLSHNKLEGELMALSGLENLVSLNISYNNFTGYLPDNKLFRQLSATELAGNQGLCSLGHDSCFLSSGPGMGMPKTKDVRRSWKLKTAIALLAVLAVALAVLGFFAVFHVRTLKRKDNDYELGGGDISPWQFSPFQKLNFTVDQVLKCLVESNVIGKGCSGIVYRADLDNGEVIAVKKLWTSTMAVGYSRQNDQLGARGGIRDSFSTEVKTLGSIRHKNIVRFLGCCWNQNTKLLMYDYMPNGSLGSLLHERSSGCLEWELRYQIILGAAQGLAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDEDFARSSKTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEILTGKQPIDPTIPDGLHIVDWVRQKRAGAELLDPSLLSRPESEIEEMTQILGVAVLCVNPSPDDRPTMKDVAAMLKEIKHEKEEYMKVEMLLKDSQANEHKGTGKDNDKVYHGGGPSSTTMNSLCLESHNSSFTASSLLHSSSSSGKVGFR >CDP08511 pep chromosome:AUK_PRJEB4211_v1:1:22734887:22741494:1 gene:GSCOC_T00027443001 transcript:CDP08511 gene_biotype:protein_coding transcript_biotype:protein_coding METDADAKQSSLVLIKQGAEARVFESTFVGRRSIIKERFSKKYRHPSLDSKLTLKRLNAEARCMTKARRLGVSTPVLYAMDPVLHSLTFEYVEGPSVKEIFLDFGEQGIIEERMDDIAKQIGDAIGKLHDGGLIHGDLTTSNMLVQSGSNQLVLIDFGLSFTSTLPEDKAVDLYVLERALLSMHSSCGNVMDRILAAYKKSSKQWSSTLNKLAQVRQRGRKRTMVG >CDP06877 pep chromosome:AUK_PRJEB4211_v1:1:27365731:27368379:-1 gene:GSCOC_T00023912001 transcript:CDP06877 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKNLNVDGGLVGGQSKVRKRGSSSSSSSSLVRNYRLKRAILVGKKAGSSTPVPTWKMSSSSRSPSLKNVKNILMAPKGGGGGGGDRGKELSVSARKLAATLWEINGVVSTAKMKSHVEDRKSEIDQGGDRKDRILKSSKVGSVPFYCSDPSFSPLSEKMDQPNVGSHRRRASIGSQKLLPSDCNLGGFTSLQNASLMEVDQNQLHVHSRTPRGNKVKHRLKDLLGALTTSKDLLKVLNHIWRLEEQPPASLSLLSALKVELERAFIQVNKVIHEQKSSQHEIDFLLHQFEEERATWKMKEKERIRSAVKAIAGELEIEKKLKRQTERLNKKLGRELADTKKALARANKELESEKRAREILEQVCDELARGIGEDRAAVEGLKKESAKVREEVEKEREMLHLADVLREERVQMKLLEAKYEFEEKNAAVDELRTELEAYLKTKVDEEQEASSPNYERIKELQKYLRETLPVSSQYQDQEKNDVEVAYKEKVEEAEDSGDSDLHSIELNMDDNSKSYKWSDVVQDGSKKMAINGKTTGRKSTSEKRQRQSISIERQTPDGIEWEFSPTRHRNSDVLAGGKLFEFPSKAWKKECEDEIERYNMIKDLRDHIVSGTRISSSHEVASPQKKLPSQDPSRVVSEAFAVLQRAV >CDP08984 pep chromosome:AUK_PRJEB4211_v1:1:30853376:30855334:-1 gene:GSCOC_T00028141001 transcript:CDP08984 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKIFLSPLTSPTSSHSSSHSSKTSPHTLSATIMEENVDCAEVIIRKWDLNATDSARLYSSNTPSLFAQDHRSQAQQFIKSVNDLQSAMHFFVSEDPGSPMLIRAQNLMQIAMKRLEKEFYSVLKTNMTALHPESVSLRSSSSRASSTWSAFSDFQNRETSEGEDDDDEITTDIDQIHIKSNKTEKVYEDVMSDLRVIANCMISSGYGKECTHIYNLIRKSIIDETLYYLGVEQLSSSQLQKMAWDDIEVKIKKWLAAVKTAVKTLFHGERVLCDHVFCASEKIRETCFTEISRGNALTLFSFPENVARCKKIFSPEKMFRFLDIYEAVSELWIDIGMIFSFDSLSGVRAQAMTSLLKLGEAVRTMLLQFESAIEKDSSKTAVPGGGVHPLARYVMNYLVFLTDYSGALADIVADWPTSVQTSLPESYLSSPISANDVDDDSPASTISVRLAWIILVLLCKLDGKAGCYGDHVALSYLFLANNLNYVVSKVKTSNLMPLMGPDWVSKHESKGKQYSAKYERMGWAKVLTSLPDDPTVEISLPEIKDSFRKFNSGFEEAYRVQSSWVIPDSKLRDQIKFSLSEKMVPGYRVFYENHREEVGDESIVRFTPDDLENCLSDLFQGRSEGMGSSRATSYRVSSVSTSPSSSWTGH >CDP16799 pep chromosome:AUK_PRJEB4211_v1:1:3982633:3983466:1 gene:GSCOC_T00019314001 transcript:CDP16799 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVILVCSNYLKHLICRLICFLSPIVIFAANRGICNVRTDMTSLRGIPINLLDRLVIIQTETYGPTEMIQFLRCYSFTNLFLLI >CDP14447 pep chromosome:AUK_PRJEB4211_v1:1:9460439:9463481:-1 gene:GSCOC_T00040919001 transcript:CDP14447 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGRSTGKSSLTGFKEINNVVAVCRWASQNLSMGSSAGAPIAGSAVDKVEQVVGYVSIGYPFGFMASILFGRHHKATLKSPKPKLFIMGTQDGFTSVKQLKNKLSSAVGRVESHLIEGVSHF >CDP15559 pep chromosome:AUK_PRJEB4211_v1:1:25820908:25822518:-1 gene:GSCOC_T00015446001 transcript:CDP15559 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGKHQVLIFVHSGTETAKTAHALRDGALANDTLELVESNDLKELLPYGFAIHHAEMVTADRRIVQDLFSDGQIQVLVSTATLAWGVNLPAHTMIIKGTQRYNPEKGAWTELSHVDVMQMLGRAGRPQHDSCGEGVIITGHNGLPYYLSVMNQQLPIESHFVSKLADRLNEEIVLGSVQNAKEACIWLGYTYMFIRMLRNPTLYGLAADVLAVDSRLEKRRADLVHSAATLLEKNNFIKYDRKSGHFQVTDLGRIAALTISSGVTEYMNLYILDNCGI >CDP06978 pep chromosome:AUK_PRJEB4211_v1:1:28434204:28436329:1 gene:GSCOC_T00024045001 transcript:CDP06978 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVPSPSSSGMNLKSIIPPGLTLTHRVSSTRLIIQAVHRFDSASTHTSVNTSTNGSAAAAPVPNASTGKLSLNVSGHVGANRADWQSSCAILASKVVSQQQDTETSGCTGGNITAVNGHKASLDLIPIDNLPKPLSIADLSPAPMHGSKLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLSRVISHPQALAQCEHTLTKMGLNVAREAVDDTAGAAEFVAANNHRDTAAIASARAAELYGLSILEDGIQDDSSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYMFYVDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPMDMTPWCPSGGE >CDP03841 pep chromosome:AUK_PRJEB4211_v1:1:34664713:34668111:-1 gene:GSCOC_T00016335001 transcript:CDP03841 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLYSSGLFLPISHYSPSSCCIKTQALALNQSRFLSSNSVLRFKRQILVSTVQFKKLTSPRPPQVVPTVLSAQSNFLKVVRTVWKVGMDGIEAGTNLVPGAIPRPLARIAVTVVALSISLIVLTSFLSTAFTVLAMMGLIYFTFIALNKDEGPKGDGGTTSVEDSLEEARKIMEKYK >CDP09669 pep chromosome:AUK_PRJEB4211_v1:1:24163815:24164191:1 gene:GSCOC_T00030081001 transcript:CDP09669 gene_biotype:protein_coding transcript_biotype:protein_coding MILFQNLTCVKSIALCSHIWSEVSAEKRNSIAYMDCLWFNTYAESKWKEKVLKWIEREDIFSKKYVLVPIVLWSHWNLQIFCHFGESLKSEAALPA >CDP03557 pep chromosome:AUK_PRJEB4211_v1:1:36859568:36863547:1 gene:GSCOC_T00015962001 transcript:CDP03557 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGQTALLSLPKKLSQSVVSNIYIFSEQQLSAIPESYSVQPPPPPPPTPRKKAQGKNLKQSLLSLASLEENNMFSIRSSSSSISRALLARSFIMREFCAAQRRESKLEGKVALITGAARGIGKETAAKFITNGAKVVIADIRRDVGQETARQLGANASFVACDVSQESDISNAVDYAVSTHGQLDIMYNNAGIACRTPPSVVDLDLAAFDKVVSINVRGAVAGIKHASRVMIPRQAGCILCTASVTGMMGGLAQHTYSITKSSVIGMVKSVAAELCRHGIRVNCISPFAIPTAFALEEMQDYFPGLDAGALAGMIHNAGVLRGANCEPSDVANAALYLASDDAKYISGHNLVVDGGFTSIKSLNFAGT >CDP13162 pep chromosome:AUK_PRJEB4211_v1:1:1500130:1500961:-1 gene:GSCOC_T00038009001 transcript:CDP13162 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGMNHLRILLQSSLFEETRNYPGTCYKMHDLVHDLAESMSKSTKVIDQDTYIVDNGDQIRYLAIDLSSGREDREKLLESQSMSLHTLLVDGDLSDDMLMKLKNLYVLFLSTETSQELPVSIGKLIHLRYVNLEESSISILPDSLCKLYNLQTLTLKFQMPLEMGRLTCLQTLEFFNVGREKGRRIGELGSLKNLKGNLEIRNLELATTTTTKMC >CDP09297 pep chromosome:AUK_PRJEB4211_v1:1:18753896:18754375:-1 gene:GSCOC_T00028596001 transcript:CDP09297 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSMSKSKKQENKGGVLEISPDGRFIKYDEVLGRGAFKTVFKGFDQENGTEVAWYQINLEAGRAAASLDDLPKLAKSLLTEAALMKSLKHNNIIRCQHSWVDEDNMNVNMITELFSSGTLREFSKNHKCVNIKAIKNWAKQILEGLNYLHTRNPPIAR >CDP09695 pep chromosome:AUK_PRJEB4211_v1:1:24594624:24595142:-1 gene:GSCOC_T00030126001 transcript:CDP09695 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPVNDTVASPSLASQSNSSVVPQSTIELPQVVVVGSQSSGKSNVLEALIRRDFLPRGSDICTRRPLILQLVQTRRKPDGTKWALHWIDDSESFAERLVAFACVEGIFFSGSFCAIFWVKNWGLMPGLTFSNELISRDEGLHCDFACLL >CDP17115 pep chromosome:AUK_PRJEB4211_v1:1:37385986:37387873:-1 gene:GSCOC_T00005037001 transcript:CDP17115 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPILPVVLFLSSLTVYDNPSLLVSAASHGASSAYEILQSYDFPVGLLPKGATGYDLDEQTGKFNAYFNNSCSFSLEGSYQLKYNSKVSGYISKDKLSRLTGVSVKVFFLWLNILEVTRNGDDLQFSVGIASADFPVDNFDLIPQCGCGLNCDGETRKILRSPFVSSI >CDP13163 pep chromosome:AUK_PRJEB4211_v1:1:1496095:1500079:-1 gene:GSCOC_T00038010001 transcript:CDP13163 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQFPRWLMDLPTTTPPPKLACLEFNYCHRCRELLPLQNFASLKELEIAYCDGLMNLPGDMLHSCTSLQKLGVIGCYNLISFPLDLQRTPSLLKLELYECPKLKTSMTPKGFGFLTSLRKLRIGPFSDDHENSSIYNEFDWSGLISSSSSSSSCALRQLDLIGLPHVESLPHQIQHLTTLTSLLLRDFGGIKALPDWFGNFAALEFLHLRHFTELGHLPSEDAMRSLTKLKRLEVYGSPLLKERCTPESSGPDSQWSKVSHIQDLRIS >CDP03967 pep chromosome:AUK_PRJEB4211_v1:1:33848569:33848917:1 gene:GSCOC_T00016482001 transcript:CDP03967 gene_biotype:protein_coding transcript_biotype:protein_coding MILLVLFQTLVSRLASHFNSFQFGFSYFLLFLEQLNMNEFTDLPFFIRLRKLDSLKTAYFCQKLHEQWNFSNLVGQS >CDP03804 pep chromosome:AUK_PRJEB4211_v1:1:34959182:34967253:1 gene:GSCOC_T00016290001 transcript:CDP03804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] MNAEQLRECGHRMVDFIADYYKDIENFPVLSQVQPGYLRQLLPGSAPVHPDTLDDVLDDMQRKILPGVTHWQSPNFFAYYPSNSSVAGFLGEMLSAGINMVGFSWITSPAATELEMIVLDWLAKALNLPDDFLSTGRGGGVIQGTASEAVLVVLLAARDKVLRKIGKDVIGKLVVYSSDQTHSALQKACQIAGIHPDNCRVLKTDSSTDYALSPESLSEAITHDLAIGLVPFFLCATVGSTSSTAVDPLLALGKITKSNGIWFHVDAAYAGSSCVCPELRHYIDGVEEADSFNMNAHKWFLTNFDCSILWVKDRSALIQSLSTNPEFLKNNASEKNLVVDYKDWQIPLGRRFRSLKLWMVLRLYGLENLQAYIRNHIKLARDFEELVAQDSRFEIVAPRKFSLVCFRLLSPQSDEDCANKLNRDLLDAANSTGKIYISHTVLSNKYTLRFAVGAPLTEERHVVDAWKVLQVEASNLLRTL >CDP17106 pep chromosome:AUK_PRJEB4211_v1:1:37451765:37461933:1 gene:GSCOC_T00005025001 transcript:CDP17106 gene_biotype:protein_coding transcript_biotype:protein_coding description:RSH1 [Source:Projected from Arabidopsis thaliana (AT4G02260) UniProtKB/TrEMBL;Acc:A0A178V5K1] MASASCMSAHAVPVECVNVCKLWKGSDVSGRYECSVLSCAWKAPRALTGFLASTAHPSPLQLSTPYRRRYRFTCGCDAVDSGGWYIDKTSPIALVQKLLQLSQPHLHCCKWKLYCSSSMCSESSEEISPGTLWEELKPTISYLSQRELELVRRALDLAFEAHDGQRRRSGEPFIIHPVAVAQILGELELDWESIAAGLLHDTVEDTNVVTFERLEEEFGATVRHIVEGETKVSKLGKLKYKDEDNSVQDVKADDLRQMFLAMTQEVRVIIVKLADRLHNMRTLSHMPPHKQSSIAVETLQVFAPLAKLLGMYQIKSELENLSFMYTNAQDYAKIKRRVAELYKEHEKELVEAKRILMKKIESDQFLGLMTVKTDVRSVCKEPYSIYKAIVKSNGSINEVNQIAQLRIILRPKHCVAVGPLCSAQQICYHVLGLVHGIWNPIPGAMKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGKILVNGLVGHVIPKGGSSRGKTVCLNNANVALRIGWLNAIREWQEEFVGNMSSREFVDTVTRDLLGSRVFVFTPRGEIKNLPRGATIVDYAYMIHTEIGNRMVAAKVNGNLVSPLHVLENAEVVEIITYNGLSSKSAFQRHKQWFQHAKTRSARHKIMKFLREQAALSADEITEDSVKEFAAKSEEDGDVEVSECSKGTKNTWEKILKSVMQVSSRNMRGNPIQFQTGIQYPKVNGKHNKQMQHVSLKTTGEVLSQGNGIAKMIHANIPTYREVLPGLGSWQAARIAFWHNNEGHSIQWLSVVCIDRRGMMADITSAFAAVGITICSCVAEVDRARGMAIMLFHVEANVDSLVSGCSRVELILGVLGWSTGCSWLSPLQTQELLEC >CDP03831 pep chromosome:AUK_PRJEB4211_v1:1:34739421:34751250:-1 gene:GSCOC_T00016323001 transcript:CDP03831 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein [Source:Projected from Arabidopsis thaliana (AT2G20190) UniProtKB/Swiss-Prot;Acc:Q8RWY6] MEEALELARAKDTKERMAAVERLHQLLEASRKSLSSSEVTSLVDTCLDLLKDNNFRVSQGALQALASAAVLSGEHLKLHFNALVPAAVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSYAWTHKSWRVREEFARTVTSAIGLFASTELPLQRAILPPILHMLNDPNPAVREAAILCIEEMYNQIGPQFRDELQRQNLPATMVKDINARLERIEPKARSADGLVSNYAASEIKSANLSTKKSSPKAKSSTREVSLFGGDGDVTEKPVEPIKVYSEKELVREFEKIASTLIPDKDWSIRIAAMQRVEGLVIGGATDYPCFRVLLKQLVGPLSTQLSDRRSSIVKQACHLLSFLSKELLGDFDACAEMFIPMLFKLVVITVLVIAESADNCIKTMLRNCKVSRSLLRIADSAKNDRSAVLRARCCEYALLILEYWADAPEIQRSAEVYEELIKCCVADAMSEVRSTARTCFRMFAKTWPERSRRLFMSFDPAIQRIINDEDGGIHRRHASPSVRERSLHMSFTSHTSASNLPGYGTSAIVAMDRSTSLSSGTSISSALFLSQSKSSSKSTERSLESVLNASKEKVTAIESMLRGLNLSEKSRSSSLDLGVDPPSSRDPPFPLAVPASNSLANALAVDTTSGMSKSNSHNGGLVMSDIISQIQASRDSGRLSYRGGAGSESLSAISSYSAKKVEKLHETGLLEENFDFREARRTMNSHVERHYADTPYRDGNLRESHNSYVPNFQKPLLRKNAAGRMSAGRRRSFDDSQLSLGDMSNFVEGPTSLHDALSEGLSSSSDWSARVAAFNYLRSLLQQGPRGIQEIVQSFEKVMKLFFQHLDDPHHKVAQAALSTLADLIPACRKPFESYVERILPHVFSRLIDPKELVRQPCSSTLETVGKTYGIDSLLPALLRSLDEQRSPKAKLAVIEFAIGSFNKHASNTEGSGNSGILKLWLAKLTPLVHDKNTKLKEAAITCIISVYTHFDSVAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLMNFLQNKKRSKCLYDPSDVIGTSSEEGYVGASKKNPLLGRYSSGSIDSDGGRKWSSAKELAHITGSVSQASDEIQGYLYNGLETGSNNDVMASNSKDVKYIANATSESIGSWTSRDQIGGVDSTANVEATSTPRADLNGLIGSNHQRVNVALAADIETSLQVVHDSPRVAALKPNSALETGPSIPQILHLICNGNDGSPTKNKRDALQQLVEVSVVNDESIWSKYFNQILTVVLEVLDDSDSSIRELALSLVVEMLKNQKHAMEDSIEIVIEKLLHVTKDIVPKVSNEAEHCLTIVLSQYDPFRCLSVIVPLLVTEDEKTLVTCINCLTKLVGRLSQEDLMRQLSSFLPALFDAFGNQSADVRKTVVFCLVDIYIMLGKAFLPHLEGLNSTQLRLVTIYANRISQARTGAPIDAGHD >CDP03520 pep chromosome:AUK_PRJEB4211_v1:1:37149374:37153505:-1 gene:GSCOC_T00015918001 transcript:CDP03520 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSQRIQKKGKTKMKGSLARHITVQLGQVALMPLQQQQDSSPFQNLLDTDSDKQHFPSTSSLDFDAADANITDEKDFILSQDFFCTPDYITPDAPAVLSSLDCKNEEPVPCPKSPEKIKTVRSKRQRRADGISETSFNFGISALQEMSELVVDNSTSNEIQTAKPHEPGEIQRYVSQSAVALRCRVMPPPCIKNPYLKDASILEIDPFNNQRSKCADLFPGVIGGDGLSRYRTDFQEIEKIGDGNFSCVFKAVKRIDGCMYAVKCSTRQLHKDAERRKALMEVQALAALGSHENIVGYYSCWFEDEKLYIQMELCDHSLSLNKFSNLITEAEVLEAMHQIAKALQFIHERGIAHLDVKPDNVYVKNGIYKLGDFGCATLLDRSLPIEEGDARYMPQEILNDNYDCLEKVDIFALGITMYELVRGSALPQSGTQFSNLKEGKVPLLPGHSLQFQNLLKVMMDPEPTRRPSAKELLENPIFNRVRKAAKDK >CDP08996 pep chromosome:AUK_PRJEB4211_v1:1:30956599:30957619:-1 gene:GSCOC_T00028159001 transcript:CDP08996 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEEIRKGPWTEQEDVQLVLCVNVYGDRRWDFISKVSGLKRTGKSCRLRWVNYLHPGLKRGKMTPHEERLVLELHSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQERKKAAISPSSSSSSSSPSFSNCSSSISNGNSPSMESGPITETKERSFYDTGGLEMLTAKGNKTGQAEEERLQKVYSMDEIWKDIEFSDIKQWLLLCGIIALSRCG >CDP03861 pep chromosome:AUK_PRJEB4211_v1:1:34537589:34539816:-1 gene:GSCOC_T00016358001 transcript:CDP03861 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHAGLIKLGLDSNPIHASKLIAEYARFPSPSSLSHAHRVFDQVPFYLQDTPLWASLISLYSRSHQPHNALHLFFHMLRPPQAASNALPNTYVFASVARAIASAPEQLSLGQTLHAHVIKSGFLPHDVVVETALVDMYAKCGVVTCAYKLFDEMPRRNLVTWNAMISGFIQNGMVMGGFELFSNMKCSEFYAPDQFTVATILSGCAGVQDLMLGEQMHGFAIVSGFELNCLNAIAAMYFHCGEVGSAEKVLDGIGGDFFVTLIKIRGYVSNAKYRDALNCISSANNGIMILRQDHKVIVPLLTACARLCLLRVGKQLHGLISTLVESCFQFNLSYEDGAIIGCALIDMYSKCGDVCEARKVFDHLLPQNVSHGNSMMSGYIYNELIGDARALLEILPEKNVVSWTTMMTGYVQSGKPKECLSLLAEMYCSKEGLIGNCLTFVVSLEACSYLTDLENGKQIHAKVVRTLVSAATNNVVIGTALIDMYSKSGKLNYAQTVFDLMVEKNVVAWTSIIMGHAVHGNGFDALKLFHQMIGMGVEPNEVTFVAVLTACSHCGLVDNGLQYFKMMKKYGLVPREDHQTCVIDMLGRFGRLDEAFYLLEEIEDTDSNCESSYGTAWAALLGASQLHGNIKLGERIAKRMVNRNQTSTAHVTLSNVYAAAGLWDEAYGVRESWRREGDVNGEPGFSSISTHLQNS >CDP15598 pep chromosome:AUK_PRJEB4211_v1:1:26510950:26513689:1 gene:GSCOC_T00015503001 transcript:CDP15598 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKADFVIDSLRVGDISFYALLLFDNVTSEIQLLKAKTLVVDGNENVIKAQTPTKHLRNASSQEMSMLSGACLQVSSQASISTMNKAVVDLKDQEQAIIDQLIGGSMQLDVISIVGMPGLGKTFLAQKVYHHPSVTSHFHILAWCCISQTYCKKDLLLGMLSCIDPKAQYSEMNEDDLAHKLCNHLRKQKYLIVLDDIWDIEAWNALKISFPDDTNGSRILLTSRHHGIIGKPHYLRPLDEEESWELLQKRLLTREEGYPPELNVLARQIAKHCNGLPLSIVIISGILLTLDQVGWEEVAERLNSNKKIGATEQCKSILELSYIHLPEHLKPCLIYFAAFSEDQEISVQRLIFLWIAEGFVKKSESENLEKIAEGYIMALINRSLVMVGQQRSIGGVKTCRIHDLLHVFCLRKAKDQNFLQFMRGYDVLHKVEEPYNLCRLSIYSQPKHFVKSRIFCPRMRSLLYSSRGGGSHEVLDHLSFIFHLKLLRVLDLGQISLGSAFPTELSLLVELRYLAVLGWFKDNIPSSLEKLSKLETLFVTTYYSDVGLLLFLDTLMKMQKLRHLHVCGALIDLRLANDNIEYSSILYSLDTFSTVKLYVGQSMEKVMGKFPNIRELKCCLQQSEESSDDSNMIVAMDSLSQLESLKLVLGKVASHLIEFHLPLNIKKLTVEDFSFRTIATIAKLPNLQVLKLLRQADGANEWDMEGMDEEEFFPELKFLKLEDLSMVTWRGSGLHFPSLEKLVLEGCKELEELPSCLWETLTLQLIAVHRCLYSAGDVVRDIQKQQIDYGNKYLKILISEEIEDTLSWSDGDYEG >CDP17069 pep chromosome:AUK_PRJEB4211_v1:1:37764696:37772232:1 gene:GSCOC_T00004980001 transcript:CDP17069 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRIHNLVPVNAVAVEDGAASNASDPPASPENQDLGANGYQFPPPEIRDIVDAPPLPALSFSPQRDKILFLKRRSLPPLAELARPEEKLAGVRIDGKCNSRSRMSFYTGIGIHLLNADGTLGPEKEITGLPHGAKINFVTWSKDGRHLSFSVRADEDDDSRSKLKVWVADVETGKARLLFHSPDVYVNAVFDNFVWVNNSTLLVCTIPLSRGEPPKKRLVPSGPKIQSNEQKNVIQTRTFQDLLKDEYDEDLFEYYATTQLVVASLDGSVQPIGPPAIYTSMEPSPDEQYILSTSIHRPFSFIVPCGRFPKKVQVWTANGKFVRELCDLPLAEDIPITFNSVRKGMRSINWRADKPSTLYWVETQDGGDAKVEVSPRDIIYTQPAVPLENEQPIILHKLDLRYGGISWCDDSLALVYESWYKTRKIRTWVISPGSREDISPRILFDRSSEDVYSDPGSPMLRRTSAGTYVIAKVKNSDDEGIYVLLSGIGATPEGNIPFLDLFDINTGNKERIWQSDKDKYYETIVALMSDQNEGELYLNQLKILTSKESKTENTQYFILSWPEKKPCQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSPLLWLARRQGFFSPLAGFAVLSGPTIPIIGEGDEEANDRYVEQLVSSAEAAVEEVICRGVARAEKIAIGGHSYGAFMAANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATTTYVEMSPFMSAHKIKKPILLIHGEEDNNPGTLTMQSDRFFNALKGHGALSRLVILPFESHGYAARESIMHVLWETDRWLQRYCVLNSSVDSTTCTDNIKGTEGSESKVVDTAEGVRELEDQQKITLYFSQRSSLCLVFALILLY >CDP03716 pep chromosome:AUK_PRJEB4211_v1:1:35630144:35630717:-1 gene:GSCOC_T00016184001 transcript:CDP03716 gene_biotype:protein_coding transcript_biotype:protein_coding MKHEQHLTRLVPVSSYSGSWGCIAK >CDP16810 pep chromosome:AUK_PRJEB4211_v1:1:4161495:4163051:-1 gene:GSCOC_T00019329001 transcript:CDP16810 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGALISGLQQLQLKTCQLFGTSDLHHFDNILPKKRASLKPLVIEAKANAKTESAKTRNIRLRKKAYSASFIFDAILICGITCLVFLLFHLSLQIMVLASLDQFNGTATKPRLSVFCSDKQLYAMVVDDQNKRCLFYGSTLQKSIRQDLSCTTIEAAQRVGEELVKVCIDLDINEISSYDRNGLARGDRMQAFEIAISRHGFLPR >CDP03623 pep chromosome:AUK_PRJEB4211_v1:1:36347968:36348810:1 gene:GSCOC_T00016046001 transcript:CDP03623 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRTRNGQLVVLECKPCSWILGNDPAEQECFSLEEQEPTFHHPIGQPARLFSFRPASFRSSTLMSTNLASSPGPNNKSVLASNPMLVVRKRTSMQQPEWEAEAASVVRRRFFSRRNGLTSLAGFIPRTEH >CDP09670 pep chromosome:AUK_PRJEB4211_v1:1:24164359:24164822:1 gene:GSCOC_T00030082001 transcript:CDP09670 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYKNEKRPETKELIRKIPLLVPSIPQQIDDKKCGYFVLYYIYLFIKNSPEMFSIDEGYPYFMTEDWFTLEELDSFCRTLESVRVDTTSLDE >CDP03873 pep chromosome:AUK_PRJEB4211_v1:1:34469186:34472486:-1 gene:GSCOC_T00016371001 transcript:CDP03873 gene_biotype:protein_coding transcript_biotype:protein_coding MAHICQAGNKKSVITLLSVWEDFPLVSRSGRIRKLVAEHRDSDISRVELLSLPGGSESFELAAKFCYGVNFEITAANVAQLCCISDYLEMTEEFSKNNLGTRAGEYLESVVCKNLEMCVEVLQQCENLLPLADELKIVSRCIDAIASKACVEQIASSFSRLEYSSSGRLHMSRQAKCEGDWWIEDLSILRIDLYQRVITAMKCRGVRPESIGASLVNYAQKELTKKCSLWNPSNQQKVDLVSGLNGHERLVVEAIVSLLPIEKFAVPITFLFGLLRTAVMLDCTVACRLDLERRIGSQLDIATLDDLLIPSFRHANDTLFDVDTVHRILVNFSQQDDSEEDMEDGSVFDSDSPPSPSQSAMFKVSKLVDNYLAEIAPDANLKLNKFIAVADSLPAHARTIHDGLYRATDVYLKAHQSLSDPDRRKLCKLIDFQKLSQEAGAHAAQNERLPLQSIVQVLYFEQLRLRNALFCSYPDDDHKPLHQSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEKEHVCMKKSMERSNSRKFMSSFSKKMGKLNFFGHSSSKESSSPSKQSQRTDSKLTERT >CDP03763 pep chromosome:AUK_PRJEB4211_v1:1:35257582:35262556:-1 gene:GSCOC_T00016242001 transcript:CDP03763 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSHPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGASSRGRNPSGSAGMNAGPSAERPGRTSVGQDIRDRFSGAVEAFSRRNASSSGRHAEHSRHRSDDIPSSKDVQPDSERGRASRNGSSSKRAAVSSSRPSSSGEPTDSRSSRLVSSSGRLSTTQRLQPGIEPKSASFSRAGVTKGTRDDPLRSFEFLSIRK >CDP13126 pep chromosome:AUK_PRJEB4211_v1:1:2026998:2027210:1 gene:GSCOC_T00037937001 transcript:CDP13126 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIEDNNTMVFIVDIRADKKKIKDAVKKMYDIQIKKVNTMIRPDGTKKAYVRLTPDYDALDVANKIGII >CDP17369 pep chromosome:AUK_PRJEB4211_v1:1:4353168:4356659:1 gene:GSCOC_T00009719001 transcript:CDP17369 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGKCIPKGCETQLRQSSSGGEVPKSTNEEEGPGGLGKTTLAKSVYNNPKIDENFGIKSWVCVARKIDTVGLFKLILELLTKTKVEVDGREAIVQEIRGKLKGQRYFLVLDDVWDHDQGSWDDYFNTLMGLNETKGSWCLLTTRLETVANAVPRHLQMNDRPYFLGKLSGDECWSIIKGKVMSAGEEVQEELEALKEQILRRCDGLPLAASLIGGLLHTNRRDKWHSIVQESLLNEYQSEIEQILKVSFDHLSPASVKKCFAYCSIFPQDTQLREDELIQHWIAEGFVLKNNRVMEETGGKYLRILLQNSLLEKVEDEGSTYYKMHDLVHDFAKSILNPESSNQDRYLALNSSEGLAENTTRTIPASIRTLFLHLEGGVSTDMNMLLRFKGLNVLRLSGDDVESLPSSIGKLLHLRLLDIKSSRIRSLPESLCKLYNLQTLTIDDDELEGGFPKRMSDLISLRHLNYYHDDAKFKMPAQMGLLTCLQTLKFFNVSQERGRGIEELGTLKYLKGLLEIRNLGLVKGKEAAKQAKLFEKPDLSRLTFAWELRHLESDNRDEDVLEGLQPHPNLERLKIRYFMGNKFSQWLINLSKLVELQIIDCKRCSELPSLGQLPSLKRLSLIRLDNIRFIGDEEEEEGRSRASGSGARRRKFFPALEELWVIDMGNLVEWKDADQVGSTVGEAEADVFPMLRNCHIRRCPQLTTLPCSCKSLDVEGCRNLTSIKRGYGTASVEELSIQFCDNFRDLFGSSLQRLTISFCPRLISLGVNGQKCPLPCLEELSIDNCEGLTTISDKMFESCRSLRSLSVMWCPNLVSFSLNLQETPSLEKFILFKCPKLIPHGFKGFAFATSLRELSINSPFSSDDSSVDDFDWSGLRSASTLRKLRLEGLPHTESLPHQLQYLTTLTSLSLADFGGIRVLPDWIGNLVSLETLELWDCDKLQHLLEGGDFK >CDP08938 pep chromosome:AUK_PRJEB4211_v1:1:30352072:30360938:1 gene:GSCOC_T00028080001 transcript:CDP08938 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLDNLPPMDLMRSEKMTFVQLIIPVESAHRAISYLGQLGLLQFRDLNDEKSPFQRTFVNQVKRCAEMSRKLRFFKDQIHKAGLLPSPHPASQPDIELEELEMQLAEHEHELIEMNSNTEKLQQTYNELLEFKMVLKKASDFLVSSRSNSTVQEREMVENVYSNDHYADTASLLEQEMQPGPSVQSGVRFVSGIICKSKVLTFERMLFRATRGNMFFNQAAADDQILDPSSNEMVEKTVFVVFFSGEQARTKILKICEAFGANCYPVPEDVTKRMQITREVSSRLSELETTLDAGTRHRDKALTSIGFQLAKWMNMVGREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKAKIQEALQRATFDSNSQVGIIFHVMDAVEPPPTYFRTNRFTSAFQEIVDAYGVANYQEANPAVYTVVTFPFLFAVMFGDWGHGICLLLGALVLIARERKLGSQKLGSFMEMLFGGRYVLLLMSIFSIYCGLIYNEFFSVPFHIFGESAYKCRDSSCSDARSIGLIKSRDPYPFGVDPSWRGSRTELPFLNSLKMKLSILLGVVQMNLGIILSYFNARFFGNSLDIKYQFVPQMIFLNCLFGYLSLLIVIKWCSGSQADLYHVMIYMFLSPFEDLGENKLFWGQGVLQAQSLIFSVILLLCAVVAVPWMLFPKPFILKRLHTERFQGRTYGLLGTSDMNIDDEPDSARQHQEDFNFSEEFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYENIIIRLVGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFRPFSFASLTDDDD >CDP13158 pep chromosome:AUK_PRJEB4211_v1:1:1545293:1549181:1 gene:GSCOC_T00038004001 transcript:CDP13158 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSKSSGFSETTPNSKVSPATPKVSKLSSRGGVTKSATDSPSRLQSTRISLNLSPRSVASKPTVDRKPTKLGTPPDKKPTASPTPTRILKPSELQAELNIVQEDLKKAKEKLVSLEKEKSQALEELKEAKSLADEANEKLSEALVAQRRAEEDSEIEKFRAVEMEQAGIEAAQKKEEEWQKELEAVRNQHALDVSALLSATQELQRVKQELAMTSDAKNQALSHADDATKIAEIHAGKVEILSGEVVRLKSLLDSRMEIEADENAKLVAELKLEIETLKQELKNAKSYEEILAEKEASLEQLNVELEAAKMAESYAHCLMDEWKKKVEELELQTEETKRLERSASESLESVMKQLEGSNDLLHDAESEIASLKEKVGLLEISNRRQKADYEESERHLQMAKEEASNLENKVESLTAELEAVKEEKTQALNNEKLAASSVQTLLEEKNKLINELENSRDEEEKSKKAMESLASALHEVSSEAREAKEKLLSVQGEHENYETQIEDLKLALKETNEKYKTLLDDAKQEIDVLTNLNEQSKQKQQNLKSEWAQKELQLMTSLKKIEEVNSSREKEISRLVNLHKAAEDEAGAKKEEEIRLTTLLREAESEVSYLKEVLGEAKAESMSLKESLLDKENEFQNILQENEELRNSEAACQMKVAELSKLLEEALAKKQAEENGELTDSEKDYDMLPKVVEFSEQNGGGSQEKPKMELSTQQSEHHLEEHLPELEKLSHDDALQTGAEKDALNGRPKENENKDKEDDDSVEADSKMWESYKANGLPSKENFDDSGSSPTKQQGQKKKKALLRKFGSLLKKKGTSNQK >CDP07039 pep chromosome:AUK_PRJEB4211_v1:1:29037862:29039643:-1 gene:GSCOC_T00024134001 transcript:CDP07039 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKMSNSNCTGHRGGHGAIPFAVHVVRGRWFSLFASFLIMAGAGATYLFGTYSKEIKSSLGYDQTTLNLLGFFKDFGANVGVLSGLIDEVVPTWLVLLIGAGMNFAGYFMIWLAVTGRIAKPKVWMMCIYICVGANSQNFANTGALVTSVKNFPESRGIMIGLLKGFTGLSGAILTQVYLAVYGNDSKSLILLIAWLPAALSVVFVYTIREMKVVRQPNQLSIFYYFLFVSIALALFLMVMTILQKAVAFSQAAYAGSATVACALLFFPLLIAIKQEWLIWKQRNRPDISSPTVSIEIPLEIEPKQTSAAQSSSKKIDENQTALWYQNIFKKPKRGDDYTILQALSSTDMLVLFLATFCGLGSSLTAVDNLGQIGESLGYPTKTIKSFVSLLSIWNFFGRIFSGFVSETLLVKYKFPRTLMMTFALLLACTGYLLIAFPFNGSVYIASIIIGFSFGAQLPLIFTIISELFGLKHYATLFNCGQLASPLGSYILNVKVTGPLYDREALKDLARRGMTRSSVKDLTCIGTQCYRLPFIVLASITLFGALASLILVARTREFYRSDIYKKFRDAAEADDDPDMTLPTSKTATSK >CDP13165 pep chromosome:AUK_PRJEB4211_v1:1:1426712:1427631:-1 gene:GSCOC_T00038014001 transcript:CDP13165 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIKLTPPSILVGCSSLHVILHSKININLDNSLLLSFVMKSYDPLLNQTLFQSDQMKTSGMEFPFALCLGWPKPEIFLVCLVLLNCPTDSAICRYVK >CDP03979 pep chromosome:AUK_PRJEB4211_v1:1:33749881:33753103:1 gene:GSCOC_T00016497001 transcript:CDP03979 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAELIAYVDLDKEDRNREEENNSEGKEGEDVVGEGNAEELDANDVMDVDGNTGQLKDSEVLEPYVGMEFESEDAARKFYIDYARKVGFVVRIMQRRRSETDGRTLARRLGCNKQGFSPNNQGSVASGKRPRCSAREGCKATILVKMEKSGKWVVTRFVKDHNHPLIISARGVSNGVDKDKKIEQLTLELQRQDQLCTAYRNKLLNFLASVEEQGEHLSSKINGVIENVKKIEAEIQKSSCH >CDP13157 pep chromosome:AUK_PRJEB4211_v1:1:1565012:1570996:1 gene:GSCOC_T00038003001 transcript:CDP13157 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSNGNGDGDVKESLLVEGKTQLGGAGWRSRLCSVNSLRNEFISRLPDKVRGCVDLESSSPVDLSKASGLTQGEKDYYESQFANLKSFEEVDAIVASDRLDEDDVEEQSKQERAMRISNYANIVLLLLKIYATVESRSLAIAASTLDSLLDLMAGGILWLTHLSMKSINIYKYPIGKLRVQPVGIIIFAVIMATLGFQVLVQAVEQLVEDKPSAKMTHDQLVWLYSIMITATVVKLALWVYCKSSRNEIVRAYAKDHYFDVVTNVVGLVAAILGDTFYWWIDPAGAIVLALYTITNWSGTVLENAVSLVGQSAPPEMLQKLTYLSLRHPQVKRIDTVRAYTFGALYFVEVDIELPEDLPLKEAHTIGETLQIKLEKLPEVERAFVHLDFECTHKPEHSILSRLPSTDP >CDP19133 pep chromosome:AUK_PRJEB4211_v1:1:25434742:25441548:-1 gene:GSCOC_T00009390001 transcript:CDP19133 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLFTFLFIFLLSFAGIIAATQKHDKHRHSGFSEAECPGACTYRCSKTAYKKPCMFFCQKCCFKCRCVPPGTYGNKQVCPCYNNWKTKRGGPKCP >CDP08506 pep chromosome:AUK_PRJEB4211_v1:1:22606416:22607234:1 gene:GSCOC_T00027436001 transcript:CDP08506 gene_biotype:protein_coding transcript_biotype:protein_coding MKGALVLSLLILVCLVASVQCFAGKKHDPLLKHLKARRSSKRSVNYVTEELGNEYSPIYVGPQEGLKEADRITTLPGQPNAVNFDQYSGYVTVDPKAGRALFYYFAESQNSSAKPLVLWLNGGPGCSSIGAGAMTELGPFRVNKDGSTLWLNPYAWNTGKNLIN >CDP16765 pep chromosome:AUK_PRJEB4211_v1:1:3322875:3330293:-1 gene:GSCOC_T00019257001 transcript:CDP16765 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGSAYENVCESHIAFSDYGIVPDNPEFISSPYGEVAAVVSGKLRMPTDSAVHDLLECPVCMNLMYPPILQCPNGHTLCSNCKCKVRCCPVCRRELGNIRCLALEKIAESLELPCRYQIFGCQDIFPYHSRLRHEQLCQFRLYNCPYAGAECSVTGDIEYLVAHLKNDHNVDMHDGCTFNHRYVKSNPQEVENATWMLTVFNCFGHQFCLHFEAFHLGTAPVYMAFLRFMGTDEDAEKFCYSLEVGGKGRKLTWQGVPRSIRDSHITVRDSLDGLIIYRSMALYFSGGNMKELKLKVSGRIWRKDV >CDP17365 pep chromosome:AUK_PRJEB4211_v1:1:4422916:4425512:1 gene:GSCOC_T00009714001 transcript:CDP17365 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLLEILIANLRVTLDDSRLESLIGMKNVNINFYPICRNPELTVGAGRHSDMGTLTVLLQDNAGGLYIKVEEYKLDSRKEEWIEIPPIPSALVINVGDSLEILSNRRYKSAEHRVRTSSKQSRVSIPVFTAPRPTEKIGPLPHLAELGGGALYREVIFQEYMDNFFGHTPEGKKRLILSK >CDP17674 pep chromosome:AUK_PRJEB4211_v1:1:5428094:5430174:1 gene:GSCOC_T00013216001 transcript:CDP17674 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSMRESFVLLLACCFGVCIALDTITITQPIQDSEAIVSSGQKFKLGFFSPEKSSDRYAGIMYNLPGTAAVIWVANRERPLDDATGTVAILEDGNLAVLNGAKDILWSTNISSSSANYSAQLLDTGNLVLTEESNGKVLRILQTEQLRPRCDRDPRWRTMSTTGSIQLTSWRSLSDPSVGTFSAGLSPNQLPQLFIWNNGRPYWRSGHLQATFSSGEKGDWTVIWSSQENQCDVYGKCGPYGSCNHRGYPICTCLPGFKPRDQEEWNQGNYWTGGCIRKELLQCQRNESASREDNQYGFAKLTYMKIPDFAEPIAINTEEECREHCLDNCSCTAYAFYIGIGCMQWSGILIDSGQLPFDGTNLYIWVAYSEHNTKRGFKVVIASTLTVAAVLLALSVCLRWKWIAKHKGNSTVLKCCLSF >CDP15572 pep chromosome:AUK_PRJEB4211_v1:1:25974163:25983149:-1 gene:GSCOC_T00015461001 transcript:CDP15572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative axial regulator YABBY 2 [Source:Projected from Arabidopsis thaliana (AT1G08465) UniProtKB/Swiss-Prot;Acc:Q9XFB0] MSMDITSERVCYVHCNFCNTILAVSVPCSSMFAIVTVRCGHCANLLSVNVGALPQTLPTQDLQKLQKQQINNEDATKESGSSSKCNKFTPFDSAEHDQPRITPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPEISHREAFSTAAKNWAHFPHIHFGLKVDGNKQANKLDHPDAGDQGAQKSRGFY >CDP15621 pep chromosome:AUK_PRJEB4211_v1:1:26898843:26904617:1 gene:GSCOC_T00015539001 transcript:CDP15621 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLLQKCVIVNVGTLVFTEPFLQIDQKINELVSEQQKNDAKVAHDKSELEQLRQDIGIVDKQKQSILKSLEKKEKLLSNILTQIDQLRGSIAVKQDEMGTELVDHLTPEEKDSLSRLNPEITSLKEKLIACRSNRIETETRKAELEMNLSTNLVRRKQELEAVKLSAEADMLHNEAEMKRQELVEASILVENLTQQQKRVSQSINERNKKIKDIKDEKDSIKALEDKYQSTLQDEAKELEQLLSKRNTYIAKQEEYSKKIRELGPLSSDAFERYKRKNIKELYKMLHSCNEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELDAGDEKIKELITALDMRKDESIERTFKGVAKHFREVFSELVQGGRGFLIMMKKKDGDEDDNDNDEDGPRAADMEGRVEKYTGVKVKVSFTGIGETQSMKQLSGGQKTVVALALIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMVRRMADMASTQFITTTFRPELVKVADKIYGVTHKNRVSRVNVVTKDEALDFIEHDQSHNAE >CDP17522 pep chromosome:AUK_PRJEB4211_v1:1:19542377:19543692:-1 gene:GSCOC_T00011473001 transcript:CDP17522 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGRTSAPTTRTVQTKNPINRAPHSKPPFTISDIRKAIPPHCFKKSLLRSFSYLVHDLTLVFLFYYIATTYFDLLPSPYNYLAWPIYWILQGCVFLGVWVIAHECGHHAFSDYQWVDDTVGFIFHSALMVPYFSWKHSHRRHHSNIGSIEHDEVFVPKPKSEIEWYYKYLNNPPGRVITLAITLVLGWPLYLAVNASGRQYDRFACHYDPYSPIYNARERLQIYISDVGVIVTTHLLYRVALAKGLAWLICIYGLPWLVLNGIIVFITYLHHTHPSLPHYDSSEWDWLRGALATVDRDYGVLNKVFHHITNTHVAHHLFSKMPHYHAVEATEAMKPILGEYYRFDGTPLYKAMWREAKECLYVEPDEGSKGVFWYKSKI >CDP17662 pep chromosome:AUK_PRJEB4211_v1:1:5221348:5222728:-1 gene:GSCOC_T00013199001 transcript:CDP17662 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQLGKWGYGVKGLSDMNLKTLPQQFIQPPEERFTEHTLILEESIPVIDISNWNDPKVADIVCDAAEKWGFFQTINHGIPAEILQSVMDATHRFFELPPKEKMKYNKKNSPTTNVFLRTSFVPEIETAMEWHDKLTFLYVSDEEAQSFWPPICRNQVLDYIKPCELLAKRLLGMLMKRLNVTEIDKSKESMLMGSKKVNLNYYPKCPEPELTIGIGRHSDLSTISILPQDVIGGLYVRKLENDSWMYVSPIDGALVINIGDALEIISNGRYRSIEHCVTVNKHHNRISAPFFAGPEPSAIIGPLEEVLESSGEKPLYKKVLYSDYPAHFFTKAHGGKDKIQFAII >CDP09049 pep chromosome:AUK_PRJEB4211_v1:1:31358186:31359339:1 gene:GSCOC_T00028231001 transcript:CDP09049 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGECEWTPLNAKKRKLELNTHVHNDDTEYRDCRPKQKPMIRSGGWHTLPSDQNAIPWN >CDP09121 pep chromosome:AUK_PRJEB4211_v1:1:31834033:31836904:-1 gene:GSCOC_T00028321001 transcript:CDP09121 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHTPSIDREKTASTSTTSIHVLALDGILNVNSLFTVGVFLGLAWNLGDPNNTLVASPVCTASSGMVEDFVKFHVYSFSSFLFSSLIALALKQAIRKGRKRNLFNFRYCCCVLVFLSTFSSISFLYWSHCSKCCYSETQTAVQEQNRTINLLTYPSAWNNLNFPSKPPARLLKVALFVKKWPDKHHAGGLERHATTLHLTLVERGHELHIFTTASSNSSFTEYPISNLKFHLSKPTAAGYLDQAGVWKQFQTENSTGRAFDVIHTESVGLMHTRARNLTNLAVSWHGIAYESIHSDIIQELLRSPDKPQSNLLTQRVKKVVEEVRFFPRYAHHVATSDHVGDVLRRIYMIPEDRVHIILNGVYEGVFKPDALKAKVFKSKFGIPESKALVLGMAGRLVKDKGHPLMFQALKQILKENSTIRDNVMVLVAGDGPWGERYRELGSNLLVLGPLEQAELAGFYNAIDILVNPTLRAQGLDHTLLEAVLTGKPLMATKLASITESVIVSKEIGYTFSPNVPSLKKALYEVWEDGRVILEKKGQLARERGLKLYTATKMAAAYERLFLCIAHDREYRRDYCKYQQQVG >CDP03687 pep chromosome:AUK_PRJEB4211_v1:1:35851973:35858945:-1 gene:GSCOC_T00016140001 transcript:CDP03687 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGGNAGASFNAANSAGVGSAPSTGVKDEVAKNILTDNIQTSGAYSAREESLKREEESGMLKFVCVSNDGTDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRNHKSVMVIRRNQVVGGITYRPYVSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFVKQGFTKEIYLEKEWWHGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYKAIDFQKKEAGIPKKAIKAEDIPGLREAGWTPDQYGHSQYKMVSSLTDGVSHQKAMTTFLRSLVKAMYDHPDSWPFKEAVDARDVPDYYDIIKDQIDLKAMAKRVESELYYVTLDMFVADIRRMFVNARTYNSPETIYYKCATRHGTPLPLIFRNGTLGACFNLFIKLAGWKTM >CDP07133 pep chromosome:AUK_PRJEB4211_v1:1:30016937:30017407:-1 gene:GSCOC_T00024260001 transcript:CDP07133 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMSCWCPSHEVYRLSSQNVLISLMIFQSGTCSRFAGFRPSLTHFSYQLQ >CDP11105 pep chromosome:AUK_PRJEB4211_v1:1:7072065:7079532:-1 gene:GSCOC_T00033141001 transcript:CDP11105 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREKFNLVQVVKAQHTTQGRGGAIIQVELRDVDSGSKVNERFRTDESIEKVYVEEKSFSYLYNEDDIVVLMEPETYAQINVPKHLFGESLPYLQDDMKVKLQLYDEKPMSVSIPPKVTCTVAEAQVPIKGSTATPQYKKVKLDNGLTVQVPSYVLAGEKIIINTADNSFISRA >CDP07102 pep chromosome:AUK_PRJEB4211_v1:1:29719424:29723241:1 gene:GSCOC_T00024219001 transcript:CDP07102 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMVEKSLDSQLWHACAGGMVQMPVVNSRVYYFPQGHAEHTLANVDFAGMPRVPPLILCRVAAVKFLADPETDEVYARIRLVPIGNNEGCYEFDDDADKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTVVAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNQKKLIAGDSIVFLRAESGDLCVGIRRAKRGGIGGPESPSGWNSAAGNYGGFSMFLREDENKLMRTGSAGNLNSPGNGGGALKGRGRVRAESVIEAASFAVKGQPFDVVYYPRASTPEFFVKASSVSSAMRVQWCAGMRFKMPFETEDSSRISWFMGTIAAVQFADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPVIHLSPFSPARKKLRLLQHSDFSLDGQFPMPSFSGNPLGPSSPLCCLSDNIPAGIQGARHAQLGVQLADLHLSNKMHLGLISPSFQQLDPLAKSSDGITRGHLDSSDDISCLLTMGNSSQKLEKTTSVKTPRFLLFGQPILTEQQMSHGYNSDAVSQVGKSSACGISLKAEDVSLDQKVHLGSLPNTGLFWNQGCHAAELGLDTGHCKVFLESEDVGRTLDLSVLGSYEELYKKLTSMFMIERSELEGHVFYRDATGALKQTGDESFSEFVRTAKRLTIFKNPGNSVGRKWLTGLPTAERGLDSSNQAGPLSIFA >CDP09081 pep chromosome:AUK_PRJEB4211_v1:1:31564381:31567351:-1 gene:GSCOC_T00028273001 transcript:CDP09081 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSYQQVSGQKYECVLFDVDDTLYALSSGISAETTKNIAEYMVTKLGIDQTNVTEMALQLYKDYGTTMAGLRAIGYDFDYDDYHSFVHGRLPYEMLKPDPVLRNLLHSLPIRKVIFSNGNEAHVAQVVSRLGLEDCFDGVICFETLNPTYNSSEPNNDDVSELPKTPVICKPFEEAFEKAFKIAGINPEKTLFFDDSIRNLKTAKSTGLHTIWVGSSHRTTGVDHALESIHNMREALPELWEAIGAADVCSTEKAAIETPVRA >CDP04008 pep chromosome:AUK_PRJEB4211_v1:1:33550684:33554151:1 gene:GSCOC_T00016534001 transcript:CDP04008 gene_biotype:protein_coding transcript_biotype:protein_coding MWFICPGLNIEIDRILEIACVITDGSLTKSIEGPHLIIHQEKDCLDRMGEWCQQHHAASGLTDKVLISTITECEAEKQVVEFVKKNVGTYTPLLAGNSVYMDFLFLKKYMPELASLFSHVLVDVSSIKALCLRWYPRDNKKAPQKENKHRAMDDIKESIAELKYYKENIFKASKSRK >CDP17683 pep chromosome:AUK_PRJEB4211_v1:1:5500444:5501912:-1 gene:GSCOC_T00013229001 transcript:CDP17683 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILHTKEGEDEESYAKNSTFQRLVFMNFNHALNRSIRGFCQANLAEAECIRVADLGCASGPNTLLAVESIIDSINRECHNLNILKLPNIQVFLNDLMSNDFNSIFKLLPSFYQKLEESCGRGSMSCFIAAMPGSFYGRPFPDNSMHFIHSSYSLHWLSQVPSGLVTEEGLPLNKGNIYIGKTSPKNVHDAYLDQFDRDFTNFLSARADELVFGEHLFVTLAPKIDDPVAYNVQDLLGMTMIDMVSEGLIEEKALDTFNLPHYRPSLEEVKAIIEKNRALKIRYLDTIQLRYRARSLRATYEPIFQAHFGEGITNDFFTKLAANISPHQGKMKSPINSLVLSLSRT >CDP03762 pep chromosome:AUK_PRJEB4211_v1:1:35262631:35265383:-1 gene:GSCOC_T00016241001 transcript:CDP03762 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGRKIGSGSFGELYLGVNIQNGEEVAIKLESVKTKHPQLHYESKIYMLLQGGTGIPNLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLASYCISCMF >CDP06929 pep chromosome:AUK_PRJEB4211_v1:1:27894573:27901285:1 gene:GSCOC_T00023979001 transcript:CDP06929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA9 [Source:Projected from Arabidopsis thaliana (AT1G08320) UniProtKB/Swiss-Prot;Acc:Q93XM6] MASQRVGEAGFSNSGPSHHHHHNTMPYAVFRGLNPPSTSFINQEGSAFDFGELEEAIVLQGVKINNDEAKTPLYAATVRPAATLEMFPSWPTRLIQTPRGSSKSGEESTDSGSAVNTLSSRAEARLEPESPISKKSSLEPQGLEQKHLQLPNEQQQLEMANDGSRVEMPQDHQPAGGKPTTEKRRGPGSTSEKVLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIRLTQLEQDLQRARAQGFFLGGGGAPGGNISSGAAIFDMEYARWLDDDHRHMSELRTALQAHLSDGDMRVIVDGYIAHYDEIFRLKGVAAKSDVFHLITGMWTTPAERCFLWMGGFRPSELIKMLITQLDPLTEQQVVGIYGLQHSSQQAEEALSQGLEQLQQSLIETIAGGTVNDGMHHMAVALGKLTNLEGFVRQADNLRQQTLHHLLRILTVRQVARCFLVIGEYYGRLRALSSLWASRPRETMIGDENSCQTTTDLQMVQSSQNQFANF >CDP04002 pep chromosome:AUK_PRJEB4211_v1:1:33583771:33587457:1 gene:GSCOC_T00016527001 transcript:CDP04002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDEEGARRPPLNRPCLKSHNSFNNYPRWKDKLRENCFKRAREDRARLFWELRLSDFQDDSAHQQDIIKSTLRGIVSDELKSIKDSSLDINFGDPTLITADDDAIWDYDGLHTACQGDCEEILLEMQRIFYDDLRMEESKKESEICIRSWDDEEDEYLSHAVYEHMQLNDEEVKKVVWCPRCKRGDLREDAFHIFCFLCQLKLKRGDEVNLELLRIRLAEAHAEHLDRGCRLRPEFCTESRFGVTALYIRCEGCGTFEIVI >CDP03522 pep chromosome:AUK_PRJEB4211_v1:1:37141916:37142461:1 gene:GSCOC_T00015920001 transcript:CDP03522 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSIIEGEGEGEALNKYKASVAAGSGSGDDGMVIKEQDRLLPIANVGRIMKQILPANAKISKEAKETMQECASEFISFVTGEASDKCHKEKRKTVNGDDICWALGSLGFDEYAEPLKRYLNRFRELEGERANQNKSGNSEEKVMNQNLAEPRKITTHVSPTSINFNFMDESSNSLSRSCF >CDP17370 pep chromosome:AUK_PRJEB4211_v1:1:4273791:4274309:1 gene:GSCOC_T00009721001 transcript:CDP17370 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPIVVEEKEEVRRVLGGIAYVIGQRTASFVVSFLTRIPRDRSYFH >CDP03729 pep chromosome:AUK_PRJEB4211_v1:1:35516390:35516542:1 gene:GSCOC_T00016202001 transcript:CDP03729 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSKWSSPRYLWRGLSVLILAGQVIRGTIKGKVRWKNTLQQLERLHLNL >CDP03719 pep chromosome:AUK_PRJEB4211_v1:1:35600048:35612356:-1 gene:GSCOC_T00016189001 transcript:CDP03719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-16 [Source:Projected from Arabidopsis thaliana (AT3G58160) UniProtKB/Swiss-Prot;Acc:Q9M2K0] MVWLVKTYALDGQSRVVLCKHSAEVLLVDLEQVLHCSATTLAQGIAVFFYSLFNFMSQALPLLQLHSSSLFKISGYDKKADFHVQGTPVNIIVGSHVWVEDPSVAWIDGQVTKINGNEVEIQLSDGRTVVEKLSKIYPKDEEAPAGGVDDMTKLSYLHEPGVLQNLAARYQLNEIYTYTGSILIAINPFQRLPHIYDSSMMEQYKGAPFGELSPHVFAIADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRKGTEGRTVEQQVLEILRLKYKNISGTPIAMLNSLVDQNCILLKQHQCTRHGWAYGVDARDSFEMSTGKMELSVPLVLCSYFLCRYVKCKGLLKLSYSKLVLSLCKTKVVQYYEELFPISNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNKNGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPQEEIEKYKLGHPKTFHYLNQSNCYELVGINDAHDYLATRRAMDIVGISQKEQDAIFRVVAAILHLGNIEFAKGKEIDSSILKDEKSKFHLQTTAELLMCDPVALEDALLKRVMVTPEEVIKRSLDPDGATVSRDGLAKTTYSRLFDWLVDKINVSIGQDPNSKSLIGVLDIYGFESFKLNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFSQKLYQTFKSHKRFIKPKLSRTDFTIAHYAGEVQYQSEQFLDKNKDYVVPEHQDLLVASKCSFVAGLFPPLPEETTKSSNKSSKFSSIGSRFKLQLQQLMETLNSTEPHYIRCVKPNNLLKPAVFENANIMQQLRCGGVLEAIRISCAGYPTRKTFYEFLLRFGLLAPEFLEGNTDERIACKKILEKLNLAGYQVMRISAALTKHYTLMDESLDHINVILLSPVSVYCELSTHGWTSQIGKTKVFLRAGQMAELDARRAQKLNNAAKTIQRKTRTHIARKHFLALQEAAVCIQSVCRGRLACKVYDGIKKEAASLKIQANLRCHLARRKYTNIKLAGVVLQTGMRSMAARKEFRYRRQTTAATLLQANWRGHRAFSYYKKLIRAAIITQCRWRGRAARRELRKLKMAARETGALQQAKDKLEKQVEDLTWRLQLEKRLRTDLEEAKGQEIAKLQQSLQDMQSKVDESNALLIKEREAAQKAIEEASSIVRETPVPVEDTKKIDALTAEVENLKTLLQSETQRADDSERRCAEALQSSEDKRQKLEETNKRVHQLQESLNRMIYSMSDQFLELKMTLSSSNFSSSSRFFGRESQGDATSTSSDTTSTDSDFTFPAPASTPANFSSLNPGAFQLVVQDLSAAEMSGSENWENDREGAFDDFF >CDP15607 pep chromosome:AUK_PRJEB4211_v1:1:26613400:26615086:-1 gene:GSCOC_T00015514001 transcript:CDP15607 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDKTVPAALLRMHFHDCFIRGCDGSVLLNSTAKNKAEKDGRRNISLHAFYVIDHAKKELESQCPGVVSCADILALVARDAVALSGGPSWNVPKGRKDGRISKATETVRLPDPTFNISQLQQSFSKRGLSLEDLVALSGGHTLGFAHCSSFQNRIHNFNSTHDVDPSLQPSFAASLRKVCPMHNKVRSAGSGLDSSAITFDNIYYKRIILGKSIFSSDEALSTATTTKALVSKFANSQEEFYTAFVKSMIKMSSISGSGKEIRLNCRVVN >CDP14615 pep chromosome:AUK_PRJEB4211_v1:1:15093026:15093869:-1 gene:GSCOC_T00041201001 transcript:CDP14615 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKWIQGGRLAAGMLMVQAIATGLQLLSRLILNQGSFIFAYMFYRHVVGAICVAPFALFWERGNGKKLSWLIFFWLFVVALTGYASLLL >CDP06999 pep chromosome:AUK_PRJEB4211_v1:1:28667856:28671705:1 gene:GSCOC_T00024078001 transcript:CDP06999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative phospholipid:diacylglycerol acyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G44830) UniProtKB/Swiss-Prot;Acc:Q9FYC7] MASILRFRKLCILVPVNPISAVEQVSEKPQVKKNKRQPKQWRCIDKYCWMIGYICTTWWLILFSFNCLTANLPGLKVPEPPGARLRREGLTALHPVVLVPGIVTGGLELWEGKPCSEGLFRKRLWGGNFAEILKRPLCLLEHLSLDNETGLDPPGIRVRAVAGLVAADYFAPGYFVWANLIENLAKIGYEGKNMFMAAYDWRLSFQNTEIRDQTLSRLKSQIELMYLTNGRKKVVVVPHSMGVIYFLHFLKWVEAPPPMGGGGGPDWCNKHVKAIMNIGPAFLGVPKAVGSLLSAESKDVAFLRAMAPGLFSSKTLGLQTLEHAMRVGRTWDSVISLLPKGGETIWGNLDWSPEEGHVCDSLKKRQLQASASDDKGNNNDSRRAFHVKELTKYGRIVSFGKNASELPSSQFSPLVFKVASVDHGSSTNSAGVSCGKVWTEYNEMSHDSIRRVAENKAYTAETVMDLLRLVAPKLMQRAEAQFSHGIAENLDDPKYNHYKYWSNPLETKLPNAPDMEIYCLYGAGLPTERSYVYKLTPPDRCKSIPFQIDGSADGSNSCLKGGVYFGDGDESVPVLSGGFMCAKGWRGRTRFNPSGIATYIREYHHKAPRSLLEGRGLESGSHVAIMGNVAFIEDVLRVAAGASGAGLGGDKVYSDIMRMSERIDIRL >CDP09137 pep chromosome:AUK_PRJEB4211_v1:1:31954297:31960901:1 gene:GSCOC_T00028346001 transcript:CDP09137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic enolase 3 [Source:Projected from Arabidopsis thaliana (AT2G29560) UniProtKB/Swiss-Prot;Acc:Q9ZW34] MSVQEYLDKHALSRKIEDAVNAAVRAKTPDPVLFISNHMKKSVPSMITKVKARQILDSRGIPTVEVDLYTNKGMFRASAPSGASSGMYEAIELRDGDKGTYLGNGVSRAVRNVNEKISEALIGMDPTLQSQIDQAMIDLDRTEKKESQLVFTRPLLHFSNLFLPLRESAFWEFFFQSELGANAILAVSMAACKAGAAEKEACECCFWHLVPLYKHIAVLSGNTNLSLPIPAFTLISGGKYAANFLPVKQIMILPIGARQFEEALQMGSETYHHLKAVISKKYGTDGCSVGEDGGFAPSITSIREGLDLVKEAIGRTGYSEKLKIAIDVDATEFCIGTKYDLDFKSPNKSDQNFKSGEDMINIYKELCSDYPIVSIEDPFDKEDWEHAKHFSNLGICQVVGDDLLMSNTKRIERAVEESACNALLLKVNQIGTVTEAIENVKLAKDAHWGVVISQRSGETEDAFIADLCVGLAVSQIKAGAPCRGERLAKYNQLLRIEEDLGDQAVYVGDDWRLS >CDP03740 pep chromosome:AUK_PRJEB4211_v1:1:35430366:35434789:-1 gene:GSCOC_T00016215001 transcript:CDP03740 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKTFQADKFRKGACENCGAMTHNAKSCMERPRKTGAKWTNRNIAPDEKIETFELDYDGKRDRWNGYDAATYAQVIERYEARDEARRKFLKDQQLKQLEEKNNSQNKEGDSDEEDFEDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDMDPNEKFYVGDNQNRLSGQALEFKQLNIHAWEAFDKGHDIHMQAAPSQAELLYRNYKINKEKLKGQTKESIMEKYGNAAAEEELPRELLLGQSEREVEYDRAGRIVKGQEASLPRSKYEEDVFSNNHTSVWGSWWKDHQWGYKCCKQTVRNSYCTGSAGIEAAEAAADLMRANIARKEAAEETPDPVEEKRLATWGTEVPDDLVLDEKKLAEALKKENERRREEKDERKRKYNVKWNDEVTPEEMEAYRMKRIHHDDPMKDFL >CDP09151 pep chromosome:AUK_PRJEB4211_v1:1:32038594:32043225:-1 gene:GSCOC_T00028365001 transcript:CDP09151 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDLYTKDGTVDCRGNPAKKNETGTWRACPYILGNECCERLAYYGMSSNLVLFFKHRLGQHNATASKNVSDWSGTCYITPLIGAFFADAYLGRFWTIACFSIIYVLGMTLLTLSASIPGLKPTCHGKESCHATGTQSSVVFLALYLIALGTGGIKPCVSSYGADQFDDTDEVEKKHKASFFNWFYFSINIGALIASSVLVWVQDNVGWGWGFGIPAVTMAIAAVSFFSGSRLYRNQKPGGSPLTRLCQVLVASFKKYKVEVPEDKSLLYECKDTESAIEGSRKLGHTSDLVFFDKAAVERESDYKRGSVDPWRLCTVTQVEELKAIIRLLPIWATGIIFSSVYGQMSTLFVLQGETMNTYVGHSTFQIPPASLGIFDTLSVIFWVPVYDRIIVPVARKFTGHKNGLTQLQRMGIGLLISIFAMLSAGILELVRLRYVKRHNSYDLEEIPMSIFWQVPQYFIIGCAEVFTFIGQLEFFYEQAPDAMRSLCVALSLTTVALGNYLSSLLVTIVTRISTKNGKPGWIPTNLNRGHTDYFFWLLAVLSVLNLGAYILIAKWYTYKKPVGPLR >CDP04014 pep chromosome:AUK_PRJEB4211_v1:1:33520089:33522344:-1 gene:GSCOC_T00016541001 transcript:CDP04014 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNVEALKMQLGMENKEFIDYKDLLLACQTMGVAKSPHEAAAFVDVLDEAGVVLLFRNKVYLHPDKVVDLVRKAVPLALLPEDDPCKDEMNKMQKKKEEIDRLAHRQVRGILWTGLGLAVIQVGLFFRLTFWEFSWDVMEPIAFFTTTTGIVIGYAYFLFTSRDPTYQDFMQRLFLSRQRKLFKKYGFDIEKFKELQKKCKSPLDVPTAARHRMALHTEHDDDLLHGH >CDP07004 pep chromosome:AUK_PRJEB4211_v1:1:28711633:28714920:-1 gene:GSCOC_T00024083001 transcript:CDP07004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVANVREERNGDDRSETSDYDYTSEDEGTEDYRRGGYHAVRIGDSFKHGRYVVQSKLGWGHFSTVWLAWDSQKSRYVALKVQKSAQHYTEAAMDEITILKQIAEGDPEDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGIPLHMVKEICFHVLVGLDYLHRQLSIIHTDLKPENILLLSMIDPSKDPRQSGAPLILPLSKSRTLTEAGSSKDAKTLNGDLTKNQKKKIRRKAKRAAQRCAGKEAAEDIEQDNEATSAEESCHDEKTSVDLAEEQSNSSAAKDKSPLGEGTKDGHQGSKRGSRSIRQKLLGEVDLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDSYDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRFGDLRHIRRLRFWPLNKVLMEKYEFSEQEATDLADFLIPILDFVPEKRPTAAQCLSHSWISTGPRRLVPSSCNPPMQAIENGSAEKKRDKDEREAMEVRVGNMNIDGKSKQVKDSPSNVNLPKQ >CDP03721 pep chromosome:AUK_PRJEB4211_v1:1:35591439:35592082:-1 gene:GSCOC_T00016191001 transcript:CDP03721 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVDLSGEKLKKGTCCWDHAKFSYEKHGAYGFSWQQRSYRCSFCNKEFRSAQALGGHMNIHRRERARMRLSPSSDGLNSTPHTNPNFSSPSSALSADTLSSFAGFSSPISSASTAKQAAAVCQSECDDHRLTWARRHVPQNLSKRALLEVKELPILAKRTETRVWKKKEVVRLDLNMGFFRDAKDDLDLELRVGLS >CDP17587 pep chromosome:AUK_PRJEB4211_v1:1:1349630:1350757:1 gene:GSCOC_T00011082001 transcript:CDP17587 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKPKTSPPTGVWPTVKPFVNGGASGMLATCVIQPIDMIKVRIQLGEGSAAEVTKKMLKNEGVGAFYKVCAYLLPSIFSFAAISNDCLKLTCRINHLNLDLTFAMYVCQIHVTPVELYFIC >CDP17029 pep chromosome:AUK_PRJEB4211_v1:1:38054029:38057399:-1 gene:GSCOC_T00004932001 transcript:CDP17029 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGGGGGGGAAEGQYSAAKTSVWWDIENCQVPRGCEAHVIAQNISSALVKLDYCGPVSISAYGDTNRIPSSVQQALSSTGIALNHVPAGAKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPLQASAALAAAAKSVWRWTTLVSGGSPLTPAELGNMSRHDSSPASLSDSTIPDARLSTKFVIKDPPSQLYIARASSMPSRMHENSGTDYSQQLESHPEIQFKKAPHEFFGFSSVSPSSRLDGIRNTAASFPNPTDIAKLNLLDCPKNAANSNQPNSVKCSGLASSYGAHSSSGVALHAGPPTYYYNSADNKYNQNPALPCPISPSVTLTPRAAPSNGSVWGSEGCQPPPDYARGLVGVILLALNTLKVEKIIPSEANITSCIRYGNPKFRNIDVRKALDFALEQQMIVKQNLGDVQLYVGRLERLWKCVNPIDSNTKQYPKLMWDVIQQFLASSYGRSALMASESRYEAALTLKSACLKDLSLGEVLQVLYMVINVKRWVIAAQSGWQPITVTLPENQA >CDP09128 pep chromosome:AUK_PRJEB4211_v1:1:31883745:31888213:1 gene:GSCOC_T00028332001 transcript:CDP09128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BSK5 [Source:Projected from Arabidopsis thaliana (AT5G59010) UniProtKB/Swiss-Prot;Acc:Q9FIL1] MGARCSKLGFCWWPSNLKSNVPYSSDLEKCGENERMKLPAFKEYSLDELKVATSGFSVENIVSEHGEKAPNVVYKGQLEDDGSWIAVKRFNKSAWPDSRQFLEEAKAVGQLRSERLANLIGCCCDGNERLLVAEFMPHETLSKHLFHWETQPLKWAMRLRVALHLAEALDYCSSKGRALYHDLNAYRILFDQDANPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTAESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNIQMLMDSCLEGHFSNDDGAELVRIASRCLQYEPRDRPNAKSLVTSLAPLQKEIDVPSHILLGITHETSSPVQTSKLSPLGEACSRVDLTAMHELLEKVGYKDDEGVANELSFQLWTSQIQETLNSRKRGDNAFRAKDFATAISCYTDFIEGGNMVSPTVLARRCLCYLMNNRPQEALADAMQAQVTSPDWPTAFYLQAAALFSLGMNSDAQETLKDGSSMENRR >CDP17072 pep chromosome:AUK_PRJEB4211_v1:1:37761676:37761762:1 gene:GSCOC_T00004983001 transcript:CDP17072 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTVLMRRIRLLHSFSVVFLYWFYVFS >CDP08440 pep chromosome:AUK_PRJEB4211_v1:1:20188243:20190066:-1 gene:GSCOC_T00027324001 transcript:CDP08440 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFRAPSPVSTNAVPTVLAGPCAPRAVAALPLFVRITNTSSPSNLEYLYSSMTSLRSSSCSSVKAMAEAGALSAPTTPTRGRKHALISLTDKTDVAVLGSGLQELGYTIISTGGTASALESEGLSVTKVEDLTGFPEMLDGRVKTLHPSIHGGILASRDLEHHMGALDKHKIGTIEVVVVNLYPFYEKVSSSSGISFEDAIENIDIGGPSMITGAAKNHKDVLVVVDPEDYPALLEFLRGKQDNQQFRRKLAQKAFQHIASCETAVSEWLWKQTSEDKFPPNMTVSLSLKSRLRYGENPHQKAAFYVDKSLAEVNGGGIATAIQHHGKEMSYNNYLDADAAWNCVCEFNRPTCVIVKHTNPCGVASRDDIVEAYRLAVKADPVSAFGGIVAFNVEVDEVLAKDIREFRNPTDNETRMFYEIVVAPKYTKKGLEVLRGKSKTLRILEASKNSKGKLSLRQVGGGWLAQDSDDLVPEDIEFKSVADTAPQESELSDARFAWLCVKHVKSNAIVIAKDNCKLGMGSGQPNRLESFRIALRKAGDEVKGAALASDAFFPFAWNDAVEEACQSGISVIAEPGGSIRDVDAIDCCKKYGVSLLFTNVRHFRH >CDP07128 pep chromosome:AUK_PRJEB4211_v1:1:29972827:29973519:1 gene:GSCOC_T00024253001 transcript:CDP07128 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEWWAPRRRWRQVLLHRVLETTTLSGLQMLEVLDNCKSKWCRPKCTSSVLPLLIDWTKLGSNS >CDP09057 pep chromosome:AUK_PRJEB4211_v1:1:31399824:31401527:-1 gene:GSCOC_T00028240001 transcript:CDP09057 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPTAKDDGKKASTTSKVSDSAEPEPENAYASFQGLLALARITNSNSDEARGSCKKCGRVGHLTFQCRNFLSVREDVNRGGNVDDDVIREVVLEKLKGEKVKGLVKKVGLESGESSEDEEEEEEDSESSDSDYDSEMERIIAEKYGKKVNGKAKVGSSRKAEEDDDNDEDEEDMRKRRGRSRKRRNRKRENNDSEDEERRRRKRKKERRNRDDDESSDEEDESKRRKKRKSRKERRRRRSHRHSSDYSDASPEDSGDRRRKRRSRRTASTSDSDDTGSDDPRVGRDKRRSEKKSRKSRHGD >CDP09154 pep chromosome:AUK_PRJEB4211_v1:1:32061750:32063955:1 gene:GSCOC_T00028368001 transcript:CDP09154 gene_biotype:protein_coding transcript_biotype:protein_coding MATWFQVVVLIACLLPSLVECRVREYKFNVVMANATRLCSTKPIVTVNGQFPGPTIYAREDDTVLIKVVNHVKYNLSIHWHGVRQLRTGWADGPAYITQCPIQPGQTYVYNFTITGQRGTLWWHAHILWLRATLHGALVILPKPGVPYPFPSPHEETIVILGHIKSIEFLTCMQFQFVQIPSLRLTIVHAFMRHNYSFWFRLPVDPGKKYMLRIINAALNDELFFKIAGHNLTIVEVDAAYVKPFTTDTILIAPGQTTNAIVSTDQESGKYLMAASPFMDAPIAVDNLTATATLRYSGTVSSIATTLTSLPSKNATPVATKFMDSLRSLNSQEYPAQVPLDIDHSLLFTISIGINPCATLEYSLMIFQGTR >CDP17071 pep chromosome:AUK_PRJEB4211_v1:1:37761897:37762596:1 gene:GSCOC_T00004982001 transcript:CDP17071 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 53 [Source:Projected from Arabidopsis thaliana (AT3G62420) UniProtKB/Swiss-Prot;Acc:Q9LZP8] MAPDKSFMNFGSKGDPCNAKCDLKKMKRKESNRLSAQRSRNKKHQQLEELLRQVNLLKEENQSYSDRINATNQMYQNMASQNKVLRAQFDELSDRLRSMNDVIHIASEVNGVAIDTQEIPDTSHKPWQLPFPAQPMLQDPFLPQPILQDSFLPQPPPLLQDPWHLSCVNQPIAASADYFLD >CDP09066 pep chromosome:AUK_PRJEB4211_v1:1:31468990:31474203:-1 gene:GSCOC_T00028255001 transcript:CDP09066 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSANCFRGWRSSILPSEDNNNSTRLKMVHQESEVDVSTSNLSAEDNVAAETTLVGAELSNHPVGMDVMPTEIVPQSEHKVENTLDELENESKDEENVNEESGKGEGPYDDEDEEDDDVDYDYDDNDDDALMEEGSEIENKENDMCEVKDNNKSTTGEESENEEKECCSLAPKMKKVGNKNGRNKVVNEELGKLASKREAKLGGGEHNVPIVREDEKNARAEIEEKGEGSANKKGKKSRKRNRRKVKEGKAEKVASKSKDKLKPSGKKRTLKKAASMGMIFMCSSKTKRDCYRYKVLGLPANKRDIVEKVYKGMRLFLYDVDLKLMYGIYKAAGPGGYNIEPKAFKSQFPSQVRFIVMEDCIPLAEDKFKKVIKENYFTRTKFDCQLKSEQVRKLCKLFVAVSKRPRSQGLDRGFKEKTYASIGQGRLRVLDIDEERRSALDEKRQYPRIHQREVIASPLDPVHRFAPLRPPAAAPLYAYDRTTERDAYRQNLLSEHRDSYRRRPLESRDAYRWEPYGQPLLDSRDSFRRERSRQSPLQSPDVYREDTLLDHRENHGWDGVVEHLNPRPLKLEARHRDDIAMDDPYILYRERQLYRDPVYIQNLSPERDCYLPVGRRYKYRSREDPLTEYRSTRSFTRARYRY >CDP17355 pep chromosome:AUK_PRJEB4211_v1:1:4645038:4648202:-1 gene:GSCOC_T00009697001 transcript:CDP17355 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVGATITRTLEKAIALATDQVGMVIGFKNDLERFKDSAAMILAFLADAEEKKPMQRAVQLWLKRLERVSFDADNVLDELNYHNLFPSGEKPEELRVIRKKLTNRCGGLPLAASVIGGLLRIKRKEEWLSAVEKGIMSLTGDENSVLQILKLSFDNLPSLAIKKCFVYCSIFGKDCDIEREQIIQLWMAEGFLESNLNNQTVMEEIGHKYFSILLQSSLIQEVRKEEIICGRMHDLVHDLAESFWRSKCIDLENGIADNSSEVRHLVLDSIEGKTSKISKDMSRSLRTLFLGSSISSDMLSKFKYLHVLNLSRAKIEVVPTSTGKLIHLRYLDLSDSRIKTLPECLLRLYNLQTLRMYSCPALKDLPEGMSSLINLRHLGYYNDAKFLMPKHIGLLTCLQTLKFFNVGEEKGRHIEELGCLENLRGGLEIRNLEQVNGKEGAQQANLFGKVNLHELHFKWGRTRDSDSDDENVLEGLQPHPNLQVLVIENYIGDHFPSWFMNLSASFRLTRLCLTDCRRCTEIPALGQLPLLQDLKLLGLQTVRSIGLSFYGISDHSTLGRYSTASQGPRNLFPALKNLYLGNMRNLIEWMEPTAKPVGFEQVEVFPILETLTISNCTQLTTAPSHFPSLKKLTVKNSYQFLPVQNILSKVTSLLTLSITGMDDLTCVSNLLVHNNKNLQSLRLQTCPNLKELPEDLYEFWSLQTLSIDGCPSLEAFPFPSALAQLTSFQRLMIICHCDRLKVYQVKCWSHANPSGC >CDP08489 pep chromosome:AUK_PRJEB4211_v1:1:22235977:22238817:1 gene:GSCOC_T00027414001 transcript:CDP08489 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSVVVNIEKPGGNNGIALVEINDPNSPLLQDKQKVAGPKQFTWVLFLKAQRALGCIPWLVTGLLAMFVSVKKRIAHSGATDEDYKYRGRLYKFLKAFLAISVVALVIEIIAYFQKWNLSMIRPWEVHGAVQWCYMAWLTLRVDYAAPFLVMLSKFCIVLFMIQSLDRLVLCLGWFWIKFKKLMPVVEGEAYDIEDASSFPMVLVQIPMCNEKENPYFLQNSTVYEQSIAAVCQLDWPKDRLLVQVLDDSDDEIAQALIRTEVLSWKEKGVNIVYRHRFIRTGYKAGNLKSAMACDYVKDFEFVAIFDADFQPNPDFLKQTIPHFKGKQEVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWLDRTTVEDMDIAVRAHLQGWKFIYLDDVRVLCELPESYEAYRKQQHRWHSGPMQLFRLCLPAIITSNISIWKKANMIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPAWVICYVPIVLSILNILPAPKSFPFLMPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKTGRASESDLLALAERGAKSFNEEKIQRRLSESGLEMLGKLKEQGEKPVMKSKRNRIYRKELALAFLLLTASARSLLSAHGIHFYYLLFQGLSFLVVGLDLIGEQVS >CDP04020 pep chromosome:AUK_PRJEB4211_v1:1:33489175:33491592:1 gene:GSCOC_T00016547001 transcript:CDP04020 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVVVKIVLSLALVGGVGLFLRLYRALVAKPGQLRSALRKQGINGPPPAPLLGNILEIKKSRTATTKAPTCGAPPEHNCANALFPFFEKWQKKYGDIFMFSLGNTLILHVTEHDMVREITTCTSLDFGKPSYQAKERGALLGNGVLTSNGTHWAHQRKILAPELYMEKVKGMIKLVQESTMTLINSWNNIIEAKGGIADIKIDQHMRSFSGDVISKACFGSNYSSGEEIFFKLRALQEASSKKVLSTGIPGMRYLPTKSNRVMWELEKEIKTLILKVVKERQEVGYEKDLLQTVLEGAKNGNLSQEALDSFIVDNCKNIYLAGYETTAVSAAWCLMLLAANPEWQERVRGEVLEVCRGQIPDADMIRKMKLLSMVINESLRLYPPVAIISREAFKGMKFGNVSIPEGVNVWAFVCSLHTDPEVWGADSYQFNPNRFANGITGACKLPHLYMPFGVGPRVCLGQNLALVEMKILIALILANFSFTLSPTYIHSPALNLVIEPGNGVNLYVKKL >CDP06857 pep chromosome:AUK_PRJEB4211_v1:1:27130212:27131575:1 gene:GSCOC_T00023885001 transcript:CDP06857 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNNRPVTGYPAPTTNGHPPPPSNTSYPYAAPPPAAYYNQQYYTGPAYHQPDPDAIRRATFLRRLFAFIIAGVIILGTILFIIWLVLRPRLPEFRVDSLSVSNFNLSSSTLVSADFDVKITARNPNGKITLFYDNVEAAVYFKNFQLSQTTLPPFSQGKKNETSFTAELAAVKAYLDGDIANGINGERGKNGNVVLNVRMLMEVRFKAGAWKARRRYLKAFCGDLSVGISANASNGTLTGGPRQCRVGL >CDP07047 pep chromosome:AUK_PRJEB4211_v1:1:29099989:29105718:1 gene:GSCOC_T00024143001 transcript:CDP07047 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRLNSPDTSAILFEVLGHQLQFSQDPNSKHLGTTVWDASMVFAKFLEKNCRRGRFSPSKLRGKRVVELGAGCGVAGFGMALLGCDVTSTDQTEVLPLLIRNVERNTSSIVQMNSGLDSFGSIRVAELNWGNEDHIKAVDPPFDYIIGTDVVYAEHLLEPLLQTILALSGPKTTILLGYEIRSTNVHERMMEMWKGNFEVKIVPRAKMDNKYQHPSIQLYIMNLKPLERTKITRECVDQPIEEVETGPIKNGSDEDCDASCGVDEVTEVEDENASGRIVPVPDLQDKKLSEWEARRYGAMAARLLRDIQIS >CDP07094 pep chromosome:AUK_PRJEB4211_v1:1:29623912:29628639:1 gene:GSCOC_T00024210001 transcript:CDP07094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) UniProtKB/Swiss-Prot;Acc:Q9SL29] MAYISCKSVRFQEDWESARHPTANGDNGIKVKYKIDGTVLPELGSRKREKEIAKCGKSLRAKVLSRVFSEDYERVQRKILDPRGPTIRRWNKIFLVACLVSLFVDPLFFYLPVVKENVCIEIGSHLEIALTVIRSIADIFYMIQIYVRFRTAYVAPSSRVFGRGELVIDSSKIAIRYLRKGFWIDVIAALPLPQVLMWAVIPNLSGSTMTKTKNVLRFILIFQYLPRLFLIFPLSTQIVKATGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSVERQESCWINTCSHENHICQDEYLDCRWLNEPRRTAWFQSSNITTQCDPNSSAYPFGIYGDAVTVDVTSAKFFHKYFYCLWWGLKNLSSLGQNLSTSTYVGEILFAIVVATLGLVLFALLIGNMQTYLQSTTVRLEEWRIRRTDTEQWMHHRQLPQELRQSVRKYDQYKWVATRGVDEEALLEGLPLDLRRDIKRHLCYDLVRRVPLFDQMDERMLDAICERLKPALCTQGTCLVREGDPVNEMLFIIRGNLDSYTTNGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSVILPSSTRTVKAISEVEAFALIAEDLKFVAGQFRRLHSKQLRHKFRFYSHQWRAWAACFIQAAWRRYNKRKSLSELRSLESLSSETESSDGQPDDSSSVGALQKPAEPDFSVEEE >CDP08488 pep chromosome:AUK_PRJEB4211_v1:1:22217928:22221008:1 gene:GSCOC_T00027413001 transcript:CDP08488 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGVLLGPRIGIQMDRVKGLDVDSLFISHIQVNQAHKQRRRTYRAHGRINPYMSSPCHIELILSEKEESVKKERLKTRVVRKNVNPEWNEDLTLSIADPSIPIKLQVFDKDTFSLDDKMGDDKRIRHSFSIYLNLLHTRVQNFASWLLLH >CDP04045 pep chromosome:AUK_PRJEB4211_v1:1:33261175:33261687:1 gene:GSCOC_T00016578001 transcript:CDP04045 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQQNRYHLPRHLLNGSDVATMALINETRSNPTSNDANFDSNMVIILAALLCALICALGLNSIVRCALRCSRRFALETPEGVASRLASSGLTKDALRKIPVAVYKSGINIAATDCPICLGEFSEGEKVRILPRCNHGFHVKCVDTWLLSHSSCPTCRQLLFGHPTPANT >CDP08958 pep chromosome:AUK_PRJEB4211_v1:1:30584745:30589022:1 gene:GSCOC_T00028106001 transcript:CDP08958 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCSGDSSSIKKPEKKRKNYSHINTNHYTKDDQRIPTTVSPNKGGKKENKIDINPSAAPDEVASKRSFCDEDGNRSNSRAFQYTELEAATENFRSENFLGEGGFGKVYKGCLVDTGQIVAIKQLDPNGCQGIREFTVEALFLSLADHPNLVKLIGCCAEGVQRLLVYEYMPLGSLDDHLHGRLSNKKKLDWNTRMNIAAGAARGLEYLHDKMKPPVIYRDLKCSNILLGEGYHPKLSDFGLAKVGPSGDKSHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLEIITGRKAIDDSRPAAEQNLVAWARPLFRDRRKFSQMADPSLEGQYPVRGLYQALAIAAMCVQEQASMRPVIADIVIALNYLASQTYDPSRLPVHNSRKSSSSYKSRKDDEQKPLAGTTTGDDDDDDDEQTRLND >CDP06888 pep chromosome:AUK_PRJEB4211_v1:1:27480056:27481543:-1 gene:GSCOC_T00023925001 transcript:CDP06888 gene_biotype:protein_coding transcript_biotype:protein_coding METLLRPSPFLAFSTSHPPMSYSSLNPPFVSPKTKTSARNVRNRVRSSKFNSFLDLEPAWKPEPLDFDVSWLDPSDRRFDLIIIGAGPAGLRLAEQVSRYGIKVCCVDPSPLSMWPNNYGVWVDEFESLGLDDCLDKTWPMCSVYINDHKTRYLDRAYGRVSRKELKIKLLSGCVSNHVKFHEAKVWKIEHQEFESSVVCTDGSELKASLIVDASGYASPFIEYDRPRNHGCQIAHGILAEVDNHPFDLDKMVLMDWRDSHLGSEPDLRKDNSKIPTFLYAMPFSSNLIFLEETSLVARPVLSYMEVKKRMVARLRHLGVKVRSVMEEEKCFILMGGPLPKIPQSVMAIGGNSGIVHPSSGYMVARTMALAPVLADTIAECLGSTRMIRGTPLYDRVWKGLWPVERRRVREFHSFGMETLLQLDLNGTRRFFDAFFDLDPHHWKGFMSSNLSPRELAMLCLSLFGHASNPCRLDMVTKCPGPLVRMIRNMALETI >CDP03961 pep chromosome:AUK_PRJEB4211_v1:1:33893607:33894704:-1 gene:GSCOC_T00016475001 transcript:CDP03961 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGSGIGSKTVAVAVMLVVLVGLGCPEWSRAMSLCNMDEKGLMACKPSVTPPPPDPVDPTSDCCDALKGADLKCLCSYKNSFTLPSLGIDPDLALALPSKCNLALPPDC >CDP17019 pep chromosome:AUK_PRJEB4211_v1:1:38145705:38148527:1 gene:GSCOC_T00004918001 transcript:CDP17019 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAAVSLSLLIRTPVSPYLLPCSSNSIAIPPPPPSSSLTNSLPSFSAYDSFSPSFSSSTTTLATTARCIGVFHSPTYTIPTPTTRTSRTSPSGGSSSRRRRRRYSYFYYRRQRNPTFPLITTPSISPPPSPLVVMMEWQDCTVKMEIDVPTSVAYTCYSDREALARWMPFISSVKILEDKPDLSRWSLEYKAFGLDIEFSWLARNMQPIPNQKIHWRSLEGLPNRGAVRFYPKGASSCNVELTVSYEVPQLLIPVASALQPVLESLLVRGLERFAKFAKEYYSRNPTV >CDP04005 pep chromosome:AUK_PRJEB4211_v1:1:33570800:33574410:1 gene:GSCOC_T00016530001 transcript:CDP04005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT4G12740) UniProtKB/Swiss-Prot;Acc:F4JRF4] MHRAHTHFEIRSSAAGYVTHSHTLRNRRTRPTTVSMDDIIGNTQNTVAPSDQSKKKRPRRVVRPKPKSTQVEKSDDIEDINFTEDETVEIRASLLKWYDENQRDLPWRRISSKGEDEEDNEDTEESEKRAYAVWVSEVMLQQTRVQTVIDYFNKWMTKWPTLSHLAQASLEEVNEMWAGLGYYRRARFLLEGAKMIVEEGGGFPKAVPALRKVKGIGEYTAGAIASIAFKEVVPVVDGNVVRVIARLKAVSTNPKEAVAVKNTWKLAGQLVDLCRPGDFNQALMELGATVCTPSSPSCNECPISTKCRALLLSRCHDSVQVTDYPMKIVKAKQRSDFAAVTVVEVLEGPRMKDEAHPNSKFILVKRADKGLLAGLWEFPSVLLDGEADSVTRRDAIDHYLKSAFDLDPTKSCDIISREDVGEYVHVFTHIRLKMYVEWMVLHVKCFKKLWNKKQGEDDINWKFVDQQTLSCMGLTSGVRKVYGMIENYKQRTSSSLPARARSRKGSGKSKNWK >CDP13151 pep chromosome:AUK_PRJEB4211_v1:1:1616856:1621656:-1 gene:GSCOC_T00037996001 transcript:CDP13151 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCSQDESIQFNNNKGIAAIKEKIKSALEGLGVFLFPTLVQLWMPKTIGTQQLLVTSDLPFGISLLTEGLCLYRKRCLEHRYDLDCQEELGPVGRVFRNGVSEFSPYVSCYSSKEFKLRDAAVRCGVNGYLALPVFESVRDQCVGVLELITSWDGNYYFTDAIGKVIDDAFKAVGLRICDAHLSLHKDRISQHEFDLSQIENGLNAFCHAHCIRFAQTWFTSTGQNRNESVMCTSERGSVMSVKKVSSFQKDCIQFGLKKGQSVVWSAFTSGASCFCKDVKQLSIDEYPLVLSARKVNLTGSFAVCLQSRSTGNEVYVLEFFLCPYQPSYGKPQTLLKAFLAAVQENFQSFKVASVQESGADTPLNIIKLDRLDESLEMCATTGSKPLLRFSHNNCENVRTQDNLPVLQNNEDMVKAPGLKVPRNQSRRQLEDAECMSDIVIHEEKQLCRERSIDRQTNKRKADRSLSHEDARGSENHNKRTRNRDQARSDLPPDEGTTSVSHPAAPAPENEGTIMIKAAFKEGMIKFPLSLSSGMMDFEKEVTKRLNLTTGSFEIKYQNEDNNYILVSNDVDLQKLMFDMMSKRKNTVKVLLQPTENQHLEISRGSVGSPMLQINPVQNESIKAPPAPENEGTIMIKASFKEDMIKFPLSLSSGIMDFEKEVTKRLNLTTGSFEIKYQKEDNNCILVSNDVDLQKLMFDMVSQRKNTIKVLLEPTQNQHLESSRDSVGSPMLQINPVQNERVMTIKATYKEETVELQVSLSSKLMELKNEVMKQFKLSGDSFDIKCLAEPNEWIPLTSDNDLHNCVTIMKPQENPTIRLAVEPCTNQIPEEVATSASPPMPQAMTMQNESLMTIKATYKEVMIKFQLSLSSGLMQLRDAIKKRLNLSGESYEIKYQDSYEIIRQVNCQTSY >CDP08470 pep chromosome:AUK_PRJEB4211_v1:1:21742917:21745056:-1 gene:GSCOC_T00027380001 transcript:CDP08470 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMAKFFESVGNFFSGGDQIPWCDSDIVAGCEREVAEAEKGSTDELKSECIMRLSWALVHSKRPEDVQRGIAMLEGQFGNDKHGSLSLSLLLVFVQIFEFVGLSMQFFHGTLFK >CDP08967 pep chromosome:AUK_PRJEB4211_v1:1:30707210:30712712:1 gene:GSCOC_T00028118001 transcript:CDP08967 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKNNFKVSRFDSEFSPHSRDTNTMLSSEDEEFQRRNLSAVDSDDDDEDDDFDDCDSGAGSDDIDLLEFGEAGEEFCQVGDQTCSIPVELYDLSGLHDVLNMDVWNEVLSEEERYSLTQYLPDMDQETFMRTLMELLTGCNLHFGSPIDKLFDMLKGGLSEPRVALYRQGLNFFQRRQHYHLLRKHQNAMVSNLCQMKDAWLNCKGYSIEEKLQVLNIMKSQNSLMYDKMEELKSDSSEMEESGDGTWGKLAKDRKLGQKLARQSGYGIASASDFPSHGRQLALEPPKAGKQNKKGNLKLGGSKSAMAKELPGGFSLAHHGMDLKSGPYGPALPLSHHYRVAGFDPGAAFHGRDQMEADDYENESMYEVSVHRDQNFSRAGVSSKGGTFKMGKRHDEPLRVEEYTDNFMGLPKNNLHLYGRNNTVNQLSDIKVLTSKPLNARIPYDLGKKVKNVGNFLHHGSEDQMIYGKGRIPNLLLKGSHTEMLDGKEPFWLGTGQGGPFSAEQSYKYGDWNGKSKKWKMGRDSPELGVDNRFIDSEYQPKPLQERVRSSSMQNGGRGMAKFKGVRDFAKKDETESDSSEQIDEDEDDNPLMRSKWAYPSGISDLKVGRNSKKSKLFKKDAKDGIWTLDGSSHSTRQMSDSGEHLRMIKNGNHNWRAEQKGKMHDIGQVNPYIRDLGRNYFSGSGQLTGEDDWQQMYKLGRNDHIQEDQSERLHIPIFKSPHLERRRKGELYRDYGVPQSNFLQDNDLEEDDDSLLIKSLAGHAKVSARLGKKAQVNETYAGNHHEKSDIQLIGCNSNAKKRKVKDDVTYLDERENTSYFPYDSQLQMDDADSSKKRGKKKLGEDTVMLEKGINEVPNTEMEVEDVEPDIKPQKKHFTPITPTVHTGFSFSVIHLLSAVRMAMITQIPEDSLEVGKHLDQTEGAAIVNEDQDIRQDSSNGNHPQADLDVSKLAASSQLNVPSLTVQEIVNRVRSNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKSLVVYEKTNKSWSWIGPVNHSPSDNEAVEEVTSPDAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLTLMQFNLDEKERFKDLRAQKSLTTISPSCEEVRSYFRKEEVLRYSIPDRAFAYTAIDGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQRKEANEPSEQGSVTVAFHGPGEQSGFDLSSDLNIEPSCTDDDKKPEITYYDAMDNVEENVETCHGTEQGADHSGSTPLVWDSLGLNPLQENNLLCQENSTNEDFDDEIFGREPPA >CDP03707 pep chromosome:AUK_PRJEB4211_v1:1:35704525:35708134:1 gene:GSCOC_T00016169001 transcript:CDP03707 gene_biotype:protein_coding transcript_biotype:protein_coding MHYRLKKIRDNRIIPKMRIPESGQVVKLEKFSYYVARQMGFADRRQCPTLCKLASEYLRKSEGCEEEIYNFFACEQEADSLFIKLVEEFERCILSYFAFHWSQAPYMVSQVLSTDSEPKKKLKHIVMAATREQRIERVTKNLKVARVFTTLVEEMRAIGLVSTDDSRCTDVMVPMAHKDRSPVLLLMGGGMGAGKSTVLKDILKEPFWVGASGNSVVIEADAFKESDVIYKALSSSGHHDMLHTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRRRYRMGAGYKVDKDGVVTEKYWEQIDEEQESNGSKKRRPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVQSQLRSHKRFANAFTTYCQLVDNARLYSTNALEGPAKLIGWKDKDKTLLVDPEEIGILKLVGRLNEEADCIYDLYKRPHPACERGSIWKDIVLSPSRLNIQKELKYSIQKIERLRE >CDP03836 pep chromosome:AUK_PRJEB4211_v1:1:34699642:34703649:-1 gene:GSCOC_T00016328001 transcript:CDP03836 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGLNRQLPGDRKNDGDKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPLTKCKLRLLKLERIKDYLLMEEEFVSNQERLKPQEEKTEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNKIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKDKVMFKKKEGVPEGLYM >CDP04047 pep chromosome:AUK_PRJEB4211_v1:1:33248587:33252260:1 gene:GSCOC_T00016581001 transcript:CDP04047 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDIDVDIARWILEFLVRQPLDDRIVSSLISILPPTNENSGLKKSLLLRRIESEISAGSVSEKILDILEQIEELDFQDKIKQHSDLIKAAYCSVAVACTAKFLNDKDVDSKFRYFDAVRRIWRGRVCKMENAEKVGLISEELKSWKDELEAAVWDESIWDSVLQKSKGLDAVGAVRLYVREEKDDIGPSFLELVAEALRADDKLKGILGFKGTHGGAIQSSGPRDANTGGCFSIHVEVQRANVVSGRKHVASKRIRGAPSGMSRGAKIIDGDTSGADISGKTFDLPSTPEVHEVQEALKSSSLDLKAVVKDPLPDALNIADTVVSSIERKDAGKQPVEENHVGANPSIAESSGAVQANEGTLGNHCSEHQKDAPRQSLMARSSTARTFEWDDSVDALAGDSPNPGNGVQLPSPKRRVVSPLKKYEINNMKKRRKFKRWSTSEEETLRIGVEKYGRGNWKVILNAYRDVFDERTEVDLKDKWRNLTR >CDP03567 pep chromosome:AUK_PRJEB4211_v1:1:36787044:36788669:1 gene:GSCOC_T00015975001 transcript:CDP03567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MAIMAEEDERRSTTAKTFPVRPKLKPTSNNSTPTAESKYWRSFKTPKDLQLPALVASITSISFAPTPPHDFALTHSATVTIFSSQTLEPKATISSAFSDTATSASFRSDSRLLAAGDLSGSVHVFDVKSRNPLRRLRGHSRPVRLVRYPRVDKLHLFSGGDDAVVKYWDVTTETQLFNLLGHKDYVRCGDASPVSDDMFISGSYDHTVRVWDVRASTSGSVMEISHGKPVEDVIYLPSGGIVATAGGNTVKIWDLIGGGRLLHTMESHNKTVTSLCVGKIGREGGEEAQQYRILTVSLDGYMKVFDYAKYKITHSMRFPNPLLSVGFSPDCSTRVIGTSNGTLYVGRRKVKEESAGEQALVDFAGLAVADDVPKRRALRPSYFRYFHRGQSEKPSETDYLIMRPKKVKLAEHDKLLKKFRHKEALVAALNRKNPENVVAVMEELVARKKLLRCVSNLDFEELELLLLFLQRYSTMPRYASFLMGLASKVVDMRTEDIRSSNELRGHIRNLKRDLEEEMRVQQSLQEIEGIISPLLRIAARR >CDP09715 pep chromosome:AUK_PRJEB4211_v1:1:25165071:25169336:1 gene:GSCOC_T00030158001 transcript:CDP09715 gene_biotype:protein_coding transcript_biotype:protein_coding MHFYAPDDEGPKLWPSVSKDQVLEFMKWATPVIRKLLEVLLKGLNVKEFDDSKESQLMGSPVVTLIHYPMRPNPELASGATSTL >CDP03706 pep chromosome:AUK_PRJEB4211_v1:1:35715725:35717392:-1 gene:GSCOC_T00016166001 transcript:CDP03706 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPVTVVETTAAVEVEAEAAQEENPAPKAAKSKKAKEPRARKPPAPRKRGAPTHPPYFEMIQDAIVTMKEKTGSSQYAIAKFIEDKQKNLPSNFKKLLLVQLKKLVASGKLVKVKASYKLPPSRSKPATVPVKKKPLPASKAKASKAATTKDKKAPAKAKAKPKAKAAVPASSKAKAAAAKPKPKPKPKAKPVAKAKPTAKPKAAAAAAAKPKAAASKPKATPAKRKEAPKSKPEKKPAKAARTSSRTTPGKKAAPPKAPAKKAPAKKAPAKSVKPKTVKSPAKKATAKRGRK >CDP03730 pep chromosome:AUK_PRJEB4211_v1:1:35512985:35513797:1 gene:GSCOC_T00016203001 transcript:CDP03730 gene_biotype:protein_coding transcript_biotype:protein_coding MENRRRQLQHFLTPQHPSMGKSHWGEPQHFMTPQHPSLERGADSQRFGTPEHDSLGSNRWGGELQPFRTPQHPPSATNYWGTVTGTRQFGTPQHPSSVRNNHSGTFGGTRQFGTPQHPCSLTNCWGTVSGTRQFGTPQRPGSFREFRAPKHPSLGTNNWGGASPMLARNIPRLSLDQKYERLYTVSTSGDEIVTPPLLDDEDEDFFYVSKIEAIKYETPPTHNRRGLLWKFTRRKAKTKNVTVLGNSPKKNRWFPKMKLYVKKRWPNGWI >CDP03954 pep chromosome:AUK_PRJEB4211_v1:1:33926349:33927322:-1 gene:GSCOC_T00016468001 transcript:CDP03954 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIASQTLIFRKPTVISPNNLTAAYPLRLSVSLANPKRPAMITASSSTLLSNSSAKTSGYQNPALSLLTGSTRTVTTLLALALTAPKLLADKVLNLGLQLKGFHGLPEPLVHSAGPAFFAAIRDASTGTLNTPFTVVAAGMAKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPIFDTLDISPLLAFAVLGTLGSILKSSKGF >CDP09272 pep chromosome:AUK_PRJEB4211_v1:1:17481969:17484253:-1 gene:GSCOC_T00028549001 transcript:CDP09272 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMVHGILELCREEHEGVRWIVMGDDDTIFFVDNLVDVLSKYDHTKYFYIGYPSEFVLSNYWYSFNQAFGGSGIILSYPLAKALVQDMDRCLKTYASLSADLMTMRCLADIGADLTPQKGFHQIDLRGDLSGFLSSHPKDLVLSLHHIDAVDPYFPTMDRAKSTNHLMKAANVDQSRLFQQTVCHHRQNNWSFSISWGYSTHIYEKIMARSWLRMPIETFKTWQKSPNRPHYMFNVRRPFGDPCGAPHVFFFQSVKKISRNEILTVYSRSASRNLPACASSGNHSAEHVSEIHVFSPATKRTEVS >CDP09696 pep chromosome:AUK_PRJEB4211_v1:1:24596147:24598881:1 gene:GSCOC_T00030127001 transcript:CDP09696 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFYFFILLSLMNLCDASSKKIQVRKTLSSSKKIPVKSIKSPDGDIIDCINIYHQPAFDHPLLKNHTILMRPSFQPRKGPIGGGELFQSNAHGQEDKKPIAQLWQLGGRCPEGTIPIRRNQKARYAKKKHRNFPQLAGFSNHEYAYASVQSNKYLGAKATINLWQPQVQGSREFSLAQIWVVAGANSGLNTVEAGWMVFPSHFRESNTRLFTYWTSDGYQKTGCYNLDCPGFVHTSNSIALDVALSPVSTYHGAQHEIILQIFKDPKQNVWWLQHGNDDVIGYWPASLFTDLADSASLIEWGGEIINDAQDGQHTTTQMGSGHFAEEGYKGASYFKNLQVVDQSNTLVPPGDIKPVATKPDCYNIVPGKSDDAGDYFYFGGPGRNPKCP >CDP09027 pep chromosome:AUK_PRJEB4211_v1:1:31141015:31144401:-1 gene:GSCOC_T00028199001 transcript:CDP09027 gene_biotype:protein_coding transcript_biotype:protein_coding MSILQFQSDHAGAAWRSCVASAFRTALACTIIGCITLFGPPSFKQQVAFPAFSYVTAILLVTDATVEDTFRGCWHALYASVFGVCPAILSLWLMGPAQLTISTTAVAVALTAFVVVLPENSHLISKRIALGQTVVLYVLAFVNGSKTDPIMHPIHVLASTAVGAVASVLALLLPYPSLACCEVKKKFKLYAKNASERVGVLMKAFSAQDKTSAQALILQSKSLARTGTKLLRSIKSKQESMLWGRLPLKFLKPYCMNPGQILQEIETPLRGMEIALSNGAVPFPERKDDLAGIEEHISRQIKSMPLVLATTVPEANAENVAESLQTLQTVPTDHRQLPSIFFFFCLKLLQAKLVTTSAISSIKEGSTGPEKQEKWFFIRIWRNLSININKSRLMPAFKCSLSLGLAVFFGSLYSKENGIWAGLPVAISLASAREATFKVANVKAQGTVIGTVYGVFGCFIFGKYVPIQLLSLLPWFIFCSFLRRSRMYGQAGGISAVIGAVLLLGRKNFGPPSEFAIARITETFIGLSCSIVVELVLQPTRASALAKVQLSKNFEAMRNSIGAVSLTASKANLEESLKRLKLQVNELGKLIGEAEVEPNFWFLPFNSACYRKLWVSLSEMVEFLLFITQAIQFLHQESGRVDTNLWKESMSKINADLKNFKETVDSSIKCFEEVSLVKSLVLLDKEMERKNISLDLESGKSPKIPSMMKLPGSDEEVIIDKTLSHYLQHCNEFLEAIRADKGERELKSRIALTLSCIGFCMRGLVRETREIEKAIKELVQWENPSSLVNLHDISSKIRALTAAAADTDMYEELQLNHSNDLIPRK >CDP06867 pep chromosome:AUK_PRJEB4211_v1:1:27292953:27293156:-1 gene:GSCOC_T00023899001 transcript:CDP06867 gene_biotype:protein_coding transcript_biotype:protein_coding MVITCISCSRLEDRVGLVTGAASGIGKSAAGLSAKHGAEVDIADIRGDLADKSMPRFGSFFNFIYSL >CDP03539 pep chromosome:AUK_PRJEB4211_v1:1:36994215:36995142:-1 gene:GSCOC_T00015941001 transcript:CDP03539 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLRLASENGLVIFSKSTCCLCYAVNILFHELGVTPYIHEIDHDPDGKEIEKALMRMGCNAPIPAVFIGGKLVGSTNEVMSLHLSGSLLPLLRPYQPST >CDP03685 pep chromosome:AUK_PRJEB4211_v1:1:35880220:35888097:-1 gene:GSCOC_T00016133001 transcript:CDP03685 gene_biotype:protein_coding transcript_biotype:protein_coding MLQISRLVAYCSIFLCLLTSGSFSIDDIHQAFPIIEPDPAHTKLRLARAGLEAIERITNPIAAIAVIGPYRSGKSFLLNQLLSLSCNEGFGVGHMRDTKTKGIWVWGAQLELDINGVKTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQQMVNEALQHVPNSDGDKNIDQVNQIRDSLAIMGENSTAFSLPQPHLQRTKLCEMKDGELDPLYVKKREQLKDLVASLIRPKIVQGKTLNGKEFVSFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYSDKISNVHLPMAKEDLQGTHEEFREAAMKAFDEQHFGHHHAKRSVEKLDEEIEKVHKNIVLANEYQSSRICEALYISCEDKMDELQVLRLPSMSKFNAGFLHCNQSFEKECVGPSKSSYEQRMMKMLGKSKSLFIKEYNHRLFNWLVAFSLVMVVVGRFIIKFILIEIGAWILFIFLETYTRMFWSAESLYYNPVWHAIVATWETLVYSPILDLDRWAIPIGLVAAVFVVYWRCYGRKKHGPRWLLPVYNNPKDRRRSE >CDP19123 pep chromosome:AUK_PRJEB4211_v1:1:25569318:25576635:-1 gene:GSCOC_T00009376001 transcript:CDP19123 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIPLLLMGCGGVGRQLLHHIVSCRPLHSKQGIRLRVVGVCDSNSLVLASDVSTKELDDASLMEVCRIKSSGSSLHSLSNFGDCQVVSGQEVVKKAIDIADRFGKSTGLAFVDCSASSKTIEVLNQVADLGCCVVLANKKPLTASLEAYDKLVSHPRRIRHESTVGAGLPVIASLNRMISCGDPIHCIIGSLSGTLGYVMSELENGKPFSKVVNAAKSLGYTEPDPRDDLSGMDVARKGLILARLLGHRLSLENIKIESLYPLEMAPNVLPVEDFLVNGLPLLDKDIQDRITKASSKGKVLRYVCLIENNRCEVGIQELEKDSPLGRLRGSDNLLEIYSRCYREQPLVIQGAGAGNDTTAAGVLADILDFQDLFS >CDP17345 pep chromosome:AUK_PRJEB4211_v1:1:4851725:4852549:-1 gene:GSCOC_T00009677001 transcript:CDP17345 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDSTFPGYDLSARGHRSIDKFSLPLDFKLVLAKGLCIRLKSPRRLRWLNYFNTSQYPVIPSSTTPFSNW >CDP17049 pep chromosome:AUK_PRJEB4211_v1:1:37919506:37920836:-1 gene:GSCOC_T00004955001 transcript:CDP17049 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAGGGGGVDNVSFKKGAQVEVSFEEEGFRGSWYTATVLRPVSKKTNKIYLQFHTLVANDEPGSSPLQEHVDLILVRPVPPREPRRSFQVSDEVDAFHNDGWWEGIVIHVFPPPTISPTTPTAPPPITRYSVFFRSSREQLDFPETDLRLHREWVHGKWVPALEPTK >CDP06993 pep chromosome:AUK_PRJEB4211_v1:1:28599986:28610153:1 gene:GSCOC_T00024067001 transcript:CDP06993 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEVRLERKRGRKRKRVDVQNVEMDVDGKKRAVVTRSKRLVGCYVRKEFEGSGFYLGKVVSYDMGLYRVDYEDGDCEDLESGEVRSFLIDESEIDGEWMERKNKLDALLLHKDKDVEAINELKTENAVPLESANVVANAQVKETSAVSELINANCDAEIEGVQIDYDANVDSVSDSCEDEEISSEVEVPVVPPPELPPSSWNIGVPAEDVSHLLSVYSFLRSFSIQLFLSPFGLDDFVGSLICSAPNTLLDSVHVALMRVLRHYFEKLSLDGSELASKCLRGMDWSLLDTLTWPIYLVHYLMVMGYTDGPEWKGFFIHALEREYYTLSAGKKLLILQILCDDVLDSEELRAEIDIREESEGGIDPDTGMVVAPVAGPRRVHPRNSKTSACKGQEAMQIIAQSREMKSFSNSGNLGLSVQGQDGISDMDQDGNGDECRLCGMDGTLLCCDGCPASYHSRCIGVCKVFIPEGPWYCPECTINKVGPRITKGTTLKGAEVFGVDVYSQAFIGACDHLLVLNASTNLHSCARYYSKNDIPCVLQALLSSVEHIVMYKEICKAIIQYWEIPEDIISFTETSEIADHQLAEEHLNCTMPSSVMPLGLVSHNVPETLRSEDTSSCIFGANSGNMNKASLSAVTSDHAVQQGNGDASIETVGPQMNIPGEVQVKYTVFPGSLDQGTVQSDFMSREKSGPETATCMSTNMFGNCRDYVSGPYVTPKLAVAHKHIKIRVGKCFHGTENAISYMGSSFKTQGYVNNYLHGDFAASAAAKLAVLSSEENQVSGSHSSDRRKLISANISLQVKAFSSAATRFFWPHTEKKLIEVPRERCSWCFCCKASVSSKRGCLLNAAVANAIKGSMKIFAGLRHAKSGEGCLPGIATYIMFMEESLSGLTVGPFLSSAFRRQWRTQMEHANTCGALKLLLLELEENIRTIALSGDWVKLVDGWSAESSVTPNAVNASGSTQKRRPGRRGRKTSVMTEVTADDSQDILADFTWWRGGKLTKLLLQKGVLPRILVKKSARQAGGSRKIPGIYYVEASDTPKRSRRLVWRAAVEMSKNISQLALHVRYLDFHVRWNDLVRPEQNIQDVKGPETEASAFRNAYVSDKRVIDNDATYCVAFGNQKHLPSRVMKNIIKVEQTQDGKEKYWFSETRIPLYLIKEFEENAAKVLIQKTDKPVNATVNLQRRRLKAFRKDVFSYLARKRDTKDMCCCALCKQDVLMGDAVKCSVCKGACHEQCTVSSTVHINEEVEFLIICKQCYHSKALSQTENNYESPTSPLLLQRQEFAPVMVRKAENPIGCDQPSMAVKTVQHASDSKSINASKSGSTSKRKLCSWGLIWRKKNCEDTGSDFRSKNILLKGSRDFGLSGPLCHLCRQPYNCDLTYIRCETCLNWYHGEAVELQESKISDLLGFKCCRCRRIRSPVCPYLDPDSKKQLEEKKTRSKPAKQDEKDPSVDVVPQQVKLEPAMPHLPAMEQVVYVAEDDPLLFNHTRVEQITEQNSSVDYEWNATSVSGFGPQKLPVRRHNKRDKEEDCSLAGNSAHDDLSAFGGNVFNSADESLSQVQWDPTASGFGDGMMFNYEDLSFEDMEFEPQTYFSFNELLASDDGVQQDVVGSAEDVAENWENSSILPSDGVVDASFNQQEPSSLVKHAVNAVPCRMCTRYEPCPDLCCQICGILIHSHCSPWIEQSLRDGGWRCGNCREWF >CDP17512 pep chromosome:AUK_PRJEB4211_v1:1:19914919:19918453:-1 gene:GSCOC_T00011450001 transcript:CDP17512 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTAAIATIQVTLKTVVSLAADHGNLVREFPKELERLNESAEMIRGFLAGADGKMHSPGVQIWLKRLEEEVLKAGNVLDDLNYENLRRKVKYQNQPMKKKVLFCFSFFNKIGFRWRLGSMIREINTNLERIHGHARVKKLLTDSESDSISVISITGPPGLGKTTLAKAVFNTPLFDNHFDKKIWVCVAKEVEITELFKMILESSTKKKAEVDSREVIVEGIETKLKEKRYLLVLDDLWNHQEGLLNDFFTTLEALKPKKGSWCLVTSRLQEVAIVLSRHRRINFTRHDLRKLYDDDCWSIVKNWATVGEEVPKELEALRKQVLRRCDGLPLAATLIGGLLSKKRKEDWPSILEESLLNGDQGGIQQILKVSFDHLSPAPVKKCFAYCSIFYQDTKLEQDLLVEHWMAEGFLQPDTQNERMMEQIGYEYLRILLQTSLLEEVKEEGGTWYKMHDLVHDFAKSILNRNSSNQDRYLAVYSPKKINEKVSASLRTLFLKGGMADDMLSKFKYLHVLKLFGADVTELPTSIGKLIHLHLLDISGSWITTLPESLCKLYRLQTLRIRNLEEGFPKKMSNLISMRHLHYHDDAGCKIQMPSSIGRWTCLQTLEFFNIGRQEEDRGIQELGTLQDLKGSLEIRNLELVNGKDDAELANLSRKPNLYQLILEWGNRDRESDKCDEDVLEGLQPHPNLKELHIRNFRGDQIPQWLVKSSTLVELHLRNCRELTVPTLGQLSSLQRLYLCGLENTTCIGLSFYGTVRRQTFFPALKILSLESMKSFEEWKDAHVFPVLEKLYIRDCPRLTTIPTLRMSCAACLKTLVVVGCENLRELSEDLYQFQALEHLEIWGCPRINSFGLNPNKGQKSLLKSLEELIIIGCDGLTRLPVEMFEPCMSLRRLTLSGCHNLVSFPLDLRQTPSLETFWLDSSIEAFPDWLGNLVSLEELFLFNCKKLPWNASN >CDP17066 pep chromosome:AUK_PRJEB4211_v1:1:37786262:37788785:1 gene:GSCOC_T00004976001 transcript:CDP17066 gene_biotype:protein_coding transcript_biotype:protein_coding MKPARFLVFPLTISSSLTFLAVFSIWVLRATPPFQRQQTPPLHLPFNQTPLQSSGRFLPVPVVSKTLDPHVYQGDLSAKGINSFPTGGNVDISASDDASDPSTATAAANEIQIQVGTTSFNGIHRSNTTSTTTASDTKFSALAPNKNIVPRKPGNTSGSPLHPTSALPSQDLRAKLYNSSGHVPDNHEVKLLSGINPMQENSRMSRSSGSELTCDVANGMWVFDQSYPLYRNTTCPFIDEGFNCETNGRLDRDYMKWRWQPQACNIPRFNASKMLELIRGKRLVFVGDSLNRNQWESMLCLLMGAIKDPKKVYETRGRKITKSKGDYRFKFAEYKCTVEFYVTHFLVHESKARIGKKRVQTLRIDTIDKGSSKWRGADILVFNTAHWWSHHKTKAGVNYYQEGDQIHPRLEVSVALRRALATWASWVDKFVNPRKTRVFFRSSAPSHFRGGQWNAGGHCKEASEPTNATFGTSPAINGLIEEVIRRMKTPVTFLNITGLSSYRIDGHPSVFGRPSEKSSFSGIQDCSHWCLPGVPDSWNELLYYHLLFDVTNSHAE >CDP08499 pep chromosome:AUK_PRJEB4211_v1:1:22496462:22498855:1 gene:GSCOC_T00027425001 transcript:CDP08499 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTEKARSGKLSFSCIFGKHERKTTGNLVVCFTQMDGYADPMFYSFKSFSIRKLIHHSSSRLKPKEEFVWREIKDASDACTPHYFAMSLIQAGGLVYPVGGVKGNEEERHLYEPGRLPREFSCISLSTPLENSRVEIKCPMHGAKYFPLIEEIDGRIYVLSGPLPSYGSLDIVDIGFEVYYPLEDRWVDLSIPPFLKKGSYSIMFRGFFAYVVIGSRLCVLTREYACAFDTV >CDP17022 pep chromosome:AUK_PRJEB4211_v1:1:38119827:38122935:-1 gene:GSCOC_T00004921001 transcript:CDP17022 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLDGVKHLLAVVVNCCDSEIYKQPRGLENPEALARETIFSVSEIEALYELFKKISSAVIDDRLINKEEFQLALFKTNKKESLFADRVLSANVFDLFDTKHNGILDFEEFARSLSVFHPNAPIDDKIECNHLSFYFLFLLYAVVSCIYSLVYQYISFSSKTVDSTTDEEQNKGFFRAAYVISGILLVPLTLALTIFMFWRVYLILQNKTTIEYHEGVRAMWLAEKGGYLYSHPYDLGTYENMISVLGPNIFCWVCPTSEQIGSGLRFRTNNLMVLYHLLMAIKLWCPHAFFLEIQPLKKCLV >CDP17089 pep chromosome:AUK_PRJEB4211_v1:1:37584684:37586876:-1 gene:GSCOC_T00005004001 transcript:CDP17089 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRLAAAAASKVHRLLVSAAISQDHQVFPPAAAPGRLASSISGGGRTADPAIHAVKPEEKAKAASSTNQSEFKPAATKDEDPYVPPKSPCYPSSSPQIQSTGVNQPQEPINQQKRYCTSTTISSPAPVTQSTEAVSCAGLDGSPWPPEGKQEGEDDKAYFEHHKASPLSELKMVDTRKPITRATDGTAGNNQGGYYGGTGVIVWRPEQLDTAEDSLSRATEIWKSNAMRGDPDSPHGRILRALRGELCVYEHDN >CDP07077 pep chromosome:AUK_PRJEB4211_v1:1:29379092:29384237:-1 gene:GSCOC_T00024183001 transcript:CDP07077 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYEQDPDVVRWGLQLFDGDPYSNCGYCSTIPQNSTGCYENHYGSVFSNVEENELAANSLEQQFSYFTLTDQPQDFLQGAENAQTSCYQQQWFNQSMENYPFDENGNEEGTDLVTSSAYPTPGGESYSGEWSYSTELMDENALDGELGRRLNQMVPIPHIPRINGDIPSLDEATLDHQRLLERLQVYQLVECKIQGDGNCQFRALSDQFYRTPEHHEFVRQEVVNQLKSSPETYEGYVPMAYDDYLDKMSKNGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPSIQKSERVIFLSFWAEVHYNSIYPEGDLTTFGTKRKKRRSFPRQEHFEIPDDCS >CDP09733 pep chromosome:AUK_PRJEB4211_v1:1:25321787:25326026:-1 gene:GSCOC_T00030182001 transcript:CDP09733 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSESEDRMVPKGAIGSPSIEETSGGGNFGVNGPLKKGPWTSAEDAILVEYVTKHGEGNWNAVQKHSGLARCGKSCRLRWANHLRPDLKKGAFTPEEERRIIELHAKMGNKWARMAAELPGRTDNEIKNYWNTRIKRRQRAGLPIYPPDICLQALSESKQSENLSSFSSVETQHPDLLPINSFEIPAVEFKNLELNHQLYPSPLLDVPGRGLLDIPASSLLAQGLHSSYGGKTLLSAVHPTKRLRQSESLFPGLATSFTGAFTNCSKYHNDGSAQSLQSFGITSAYDQNLTSDNHSTSCVLPGSHALLNGNPSSSEPSWAMKLELPSLQTQIGSWGSPSSPLPSLESVDTLIQSPPTEHTQSGSLSPRNSGLLDAVLYESQSLKNFKSSSCQHMSSVSMAQGEVMDNSLQDLHEAEWEAYGDPISPRGHSAASVLSEYTPISRSSMDELQSLENTPGGKVKQEAEEMVPTQFCGNDDAFNSNNMIFSRPDFLLASNCFGPKGEYGKEQLHAERCSWGTSW >CDP03916 pep chromosome:AUK_PRJEB4211_v1:1:34177046:34179835:-1 gene:GSCOC_T00016421001 transcript:CDP03916 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQISSLLSQLKPHFVLFDFAQDWLPPLASQLGIKTVFYSIFVALSTSYLTVPARLPRSTAYLARPSAIGDLKKPPPGFPETSIKSVKTFEARGFLYMFKSFYSGASVYDRVLRGLNGCDVILAKTCREMEGPYVDYVTQQFKKPVLLVGPVVPEPSSEPLEGRWASWLGQFEPKSVIYCSFGSKTFLSDEQVKELLLGLDLTGLPFFVVLNFPADADISADLKRALPEGFLEKVKHKAVIHAGWAQQEQILAHQSVGCYVFHAGFSSVVEAIVNDCQLVMLPVRGDQLLNAKLVSGDLKAGVEVNRRDVDGHFGKDDIKDAVGRVMADIDKEPAKSIRGNHKKWKEFLQNSEIQTKFVSDLVKEMEAMAGLRTV >CDP16606 pep chromosome:AUK_PRJEB4211_v1:1:6253396:6255268:-1 gene:GSCOC_T00019050001 transcript:CDP16606 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSKSSLKVKAVGMHGNHPKSPTNTTAAFFDFRIVSFNQFAWAFLIVLFHIGFIVLVLTTTASRAPTLLTTAAQLYSATHPQVQQQQEEPGKQVIQQLHAPPSVHHPDDVVDHVQCRYGKVYVYDDLPPIFNKKLLEGCRIDHREPQCVALSNRGFGAEAAAELARIVPPELSRAWYWTHMFAGDVIFHNRILNYKCRTMEPKSAAAFYVPFYAGLAASNILFRGYTAKERDAPFYTFLEWIKDQYYWKRSNGSDHFLALSRTSWDFKRARDDEPWGTSFLLMPLMRKMFSLTLERSRQDPTEVSVPYPTGFHPSTFWEIEQWQEFVRSRKRSSLFTFVGGKRGFTKNDFRALLMDHCNNESDSCKAVDCARTACLDGSTMVLEAFLDSEFCLQPRGDSLTRRSIFDCMLAGSIPVFFWKETVVGQYELFMSGELESFSVFIHRNKVRNGTSIRKILEGYSGEDIKRMREMVIDMIPRISYGFPGGELGNDRDAFDVAVEEVLRRIVSN >CDP09094 pep chromosome:AUK_PRJEB4211_v1:1:31660830:31662966:-1 gene:GSCOC_T00028291001 transcript:CDP09094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g59600 [Source:Projected from Arabidopsis thaliana (AT5G59600) UniProtKB/Swiss-Prot;Acc:Q9FGR2] MFRRADLSTTIIPRWSPLQKFLLSFRPFHSSSNGYTKIIEKFGRDRPLQSGRALHAHLIINGLACKPHFASKLIAFYTECKQLSHAQKLFDEIPKSNVRRWVALIGAYARNGLYQEAMHVFSEMQKEGRKPSEFVIPSALKACGHLSDMRTGETLHALVVKYDFDSDAFVISALIDMYSKCVNIKSAKRVFDGMADKDLVALNAMVSGYVQHGIVKQALVLVQEMKLLGMKTNVITWNTIIAGFSQENDEVMVWETFRLMRKDGVEPDMVSWTSVISGFVQNFRNKEAFDTFKRMLDAGTRPSTATISGLLPACATAADIKHGKEIHGYTIVMEMEKDIFVRSALIDMYAKCGYINEARGLFDNMPERNTVTWNSMIFGYANHGHSDKAIELFNKMLREEERELNHLTFTAALTACSHAGMVPLGESLFQLMQEKYGIEPRLEHYACVVDLLGKAGKLAEAYGFIQRMPVEPDLFVWGALLGACRQHGNVDLAEIAADQLVKLEPESRGSSVLLSNLYSASSRWGKATRLKRIMKKKKLKKFPGCSWIEVV >CDP09641 pep chromosome:AUK_PRJEB4211_v1:1:23643738:23672699:-1 gene:GSCOC_T00030039001 transcript:CDP09641 gene_biotype:protein_coding transcript_biotype:protein_coding MTESSSSPASALSSWLSSMLSGKSDGEIEDLLDRMLTRLALCDDSKLQDLLSKLLPLSISSLSSSSQSVRNKVLEILNHVNKRVKHQPEIGLPLVELWQLYLESGNAPMVRSFCVVYVEMAIDRARKEDKENLVPTFLATNSKLPSQHQEILLRISVKISSYASNCARESMEGGRERVIGECYSAQIDDQVVAKYKSLGGSHDREIFLDFGLQTLLYQPTSQSGGCPAGLSVTQRDRVSGKKTLTIEMLRSRKLGILNIVEAMELPPEYVYPLYLVACVDSQESVARRGDELLKKHASAANLEDAKLIGRLLLLFNGNTGPERIPQESKVTPGSPALRVKLMSVFCRSVLAANSFPSTLQCIFGCIYGSDTIARLKQLGMEFTVWVFKHARPDQLKLMGPVILTGILSSLDNYSTSDSDAIFRQIKTFAYQAIGLLAERMPQLFREKTDMAIRLFEALKMEAQFLRLVIQDATNSLALAYKGAPSNVLKSLESLLLIYSQLEQSEVRFCAVRWVTSLFDMQHCPSRFICMLAAADPKLDIREMALEGLFPGEDQRKTLSTELSIQYPKLVDLLDYILEQQPALTDSAGLGQSKLLFHSATYVAMIKFLLKCFESDVKQNTILEDSKFVYSVDKLCSLLEHAMAYEGSIELHADASKALITVATHVPQVISSRYANKVLWLKQLLGHLDFGTRESIAQLLGVASSALPVSASSDLISELISSVGGMLKPRFEMQHGVLCALGYVTANCLLKAPTISDSLLQNTVKCLVDVVNSESASLASAAMQALGHIGLSVPLPLLPHDSGLANVLTLLHGTLSKLLFREEIKAIQRIVIALGHMSVKETSSSFLTTTLDLIFSLCRSKAEDILFAAGEALAFLWGGVPVTTDMILKTNYSSLSATSNFLMADVVSSLSTSRNIHSEVDENYHSAVRDAITRKLFDGLLYSNKKEELCAGTVWLLSLTMYCGHHPTIQQLLPDIQEAFSHLLGEQNELTQELASQGLSIVYELGDASMKKNLVNALVGTLTGSGKRKRAVKLLEDTEVFQGGAIGESPTGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKHAGDALQPYLRSLIPRLVRYQYDPEKNVQDAMAHIWKSLVVDSKRTIDEHLDLIIDDLLMQCGSRLWRSREASCLAIADIIQGRKFDQVEKHLGRIWKAAFRAMDDIKETVRNSGDKLCRAVTSLTVRLCDVSLTPMSEARQAMTIVLPLLLTEGIMSKVDSIRKASIGIVTKLAKGSGVAIRPHLPDLVCCMLESLSSLEDQGLNYVELHAANVGIQADKLENLRISIAKGSPMWETLDFCIEVVDTQSLELLIPRLAQLVRSGVGLNTRVGVANFISLLVQKVGLGIKPFTSMLLKLLFPVVKEEKSTASKRAFANACATILKFAAPSQAEKVIEDTAALHAGDRNDQISGAILLKSYSSLANDALSGYKVVIVPVIFVSRFEDDKTVSNLYEELWEENMGSERISLQLYLGEIVNLISEGIMASSWASKRKAAQAITRLSEVLGESLSPHHPVLLTCLLKEVPGRLWEGKDVILHALSALSTSSHAAISATDPDAPNAILSLILSACMKKVKKYREAAFSSLEQIIKAFRNPDFFNIVFPSLFEMCNMDTSSKTEQIPSTTGTKTEEAELENFSAVHGNIVNCITSLIHVARVTDIVEQKTKLIDVFSISLTPKFPWTVKMSVFSSLKELSSRLGDIPFNSEDPSLPASSTAFFHELFHKVSPGVVECIRTIKIGQVHVAASECLLDLLTMYRDTHPVHPPEVGFKDELLHLSEIEKSEEAKFSLTKCVDILETLERDSNTLS >CDP07042 pep chromosome:AUK_PRJEB4211_v1:1:29073261:29077945:1 gene:GSCOC_T00024138001 transcript:CDP07042 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMNMIKPKPNPQQLLRDWQRRLRQECRNIERQIRDIQKEEKNVQKAIKEAAKRNDMGSAKSLAKELVRSRKTVNRLYENKAQMNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEVAITMQEFSKEMTKAGVIEELVNDAVDNALDSEDIEEETEEEVDKVLTAIAGETAAQLPEAVRKERLKQPAQEDVEEDDEEELEEIRARLAKVRS >CDP09136 pep chromosome:AUK_PRJEB4211_v1:1:31947156:31954082:1 gene:GSCOC_T00028345001 transcript:CDP09136 gene_biotype:protein_coding transcript_biotype:protein_coding MIWFAQLLLLLLLVQENRTVVGATSNYLIGLGSYDITGPAADVNMMGYANMDQTASGVHFRLRARAFIVSEPQGNCILFVNLDACMASQLVTIKVLERLKTRYGDLYTDKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIEQSIIQAHENLRPGSIFVNTGELLDAGVNRSPSAYLNNPTAERNKYKYDVDKEMTLLKFVDDEWGPVGSFNWFATHGTSMSRTNGLISGDNKGAAARFMEDWFDQTNAGSTFSKASESSEVPRRVSNIIPIVHEKHHELLELAASFKSSPGRPATKFMSMARRVRSALRLADRPRFVSAYCQTNCGDVSPNVLGAFCRDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFKKAVDLFNKASEQLAGKVDYRHTYLDFSKLEVTIPKQGGGTEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDSQGNAFWKLVRDLLKTPDKEQVDCQQPKPILLDTGEMKEPYDWAPSILPIQILRIGQLVILTVPGEFTTMSGRRLRDAVKAVLTSSTGQFNGNVHVVIAGLSNTYSQYITTFEEYEIQRYEGASTLFGPHTLSAYIQEFKKLATALASGQPVQQGPQPPDLLDKQISLLAPVVLDATPIGKKFGDVCKDVPKNSTFKRGDTVTVVFWSACPRNDLMTEGTFALVEVLQGKDAWIPVYDDDDFCLRFIWSRPSKFSARSQATLEWRIPETAAAGVYRIRHFGAAKSLLGSIKHFTGSSSAFVVA >CDP16789 pep chromosome:AUK_PRJEB4211_v1:1:3883059:3887790:1 gene:GSCOC_T00019301001 transcript:CDP16789 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFHIAAALLALVFTIVHASDPSPLQDFCVAVPDANAGVFVNGKICKDPKLVKPEDFFFPGLNKPGSTANPLGSNVTAVNVNQIPGLNTLGVSLARLDFAPYGLNPPHTHPRATEILVVLEGTLLVGFVTSNPGMNMKNKLFTKVLNPGDVFVFPQGLIHFQFNQGHSNAVAFAGLGSQNPGVITIANAVFGSNPPISPDVLTKAFQVDKKVIKYLQSQFWEIRTTFSVRQKTIPLEIKLKIKDKRHFIYFLNLITMAVRVHIAAAILTLVFAVVHASDPSPLQDFCVAVPDANAGVFVNGKICKDPKLVKPEDFFFPGLNMPGSTANPLGSNVTAVNVNQIPGLNTLGVSLARLDFAPYGLNPPHTHPRATEILVVLEGTLLVGFVTSNPGMNMKNKLFTKVLNPGDVFVFPEGLIHFQFNQGQTNAVAFAALSSQNPGVITIANAVFGSKPPISRDVLTKAFQVDKNVIKYLQSQFWWDNHYYP >CDP03864 pep chromosome:AUK_PRJEB4211_v1:1:34525636:34529649:-1 gene:GSCOC_T00016361001 transcript:CDP03864 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQIWRWLLGLLYIFAVATIWIAASFVVQSVVDGGVSPFLVTYICNSLFLVYIPLVEIGRILEDKYGSILFWWKKKEISSLEHLADSEEVILLENNEPGIQADTSALDVVPEEHTVKNHRRGVIVQDANIGLDAKGRWTRTRVAKVSLLVCPFWFLAQLTFNLSLKYTTVTSNTILSSASSLFTFLVALVFLGEMFTWVKLFSVLLCMGGTIIVSMGDSEAGSSSVAPNPALGDILSLLSAALYAVYITLIRKKLPDEEDGKSGQASMAQFLGFLGLFNLLIFLPVALVLNFTNLEPFNILTWKQLGLIIGKGLLDNVLSDYLWAKAVLLTSTTVATAGLTIQVPLAAVVDSITGNTPHLLDYIGAAAVMVGFAGINIPPNSCSGSEQGSLELEKGSLSSAGQDHQSSR >CDP03828 pep chromosome:AUK_PRJEB4211_v1:1:34766816:34768233:1 gene:GSCOC_T00016320001 transcript:CDP03828 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVMSLLLSLILRALVNQKEDLDNERVYDIRERPWEPLLHPHLSQASGSIRSDGRGSHSDIWSSRMREKYGLNGGDNKQNIVDQNSSVEQNPRH >CDP08960 pep chromosome:AUK_PRJEB4211_v1:1:30601435:30601572:-1 gene:GSCOC_T00028108001 transcript:CDP08960 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDLMIQGGGSVNATGIIAQIPMSEAYLGHIINAWLSLLMLGVV >CDP03733 pep chromosome:AUK_PRJEB4211_v1:1:35501442:35502470:1 gene:GSCOC_T00016206001 transcript:CDP03733 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQKHIYIHESLLLPQIKTQQAPSNQPPLPVSSHGFPILAIAVLGIMASIFLLISYYILVTKCCFSWQQLDPLRRFSFRRAPRNEDFLMAYSPSLPTRGLDEMLIRELPTFRYYRTEGDESGRLNKCVVCLNEFQDQDMLRLLPKCSHAFHLDCIDIWLQSNANCPLCRTSISGTTRDPFDMIIAPNSSPQEPQPLMRRMVGSSEDFVVIQVSREEGTRSLLQGHQERSESCRQLVQSRSQSLRKSERKLTKLKPGKVHHASIMGDECIDVREKDDQFSIQPIRRSFSMDSAVDRHVYLSVQEVVTQIRHPSEVRNSEESSSRSQRSIFSFGHGREQFFQ >CDP03943 pep chromosome:AUK_PRJEB4211_v1:1:33984025:33985025:-1 gene:GSCOC_T00016455001 transcript:CDP03943 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLFQQRLPISLLLLLIPLLISPAHSLKCTSQNFTGGSTHYTNCTDLPSLNAYLHWTYVSTNKTLSIAFIAPPPKSDGWVAWAINPTAAGMAGAQALLAYKAANGSMVVKTYNISSYSSVVESKVWFDVLDKKAEFSGGVIRIFAKLALPESLTTVNQVWQVGPSGKAGPEKHEFKPENLNAKGTLQLVEDAGEISPAPSPSPGASGPSGNGTRQSGRDNAGCGRTWNKGFLSFYGFLLLWGILVLGF >CDP09660 pep chromosome:AUK_PRJEB4211_v1:1:24005827:24006545:-1 gene:GSCOC_T00030069001 transcript:CDP09660 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRLQEYTQKSCLRPPVYTIVKEGAGHSPNFRATVLVDGKKYASEGTFQRRKSAEHNAAMIALQSIQNKMNNDGYPINPKDTTLCKSILNEYALKMHLEHPAYYTVQPQGLIPVFASTFFF >CDP06924 pep chromosome:AUK_PRJEB4211_v1:1:27812357:27820459:1 gene:GSCOC_T00023971001 transcript:CDP06924 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQVLLRHPIFCNLFLLLVYDLKDPSPLNSKPGILVGESEDVQLCYAFIKSESNPQSDPLIIWLDGGPGCNSFIPLFFGIGPVILEPLSFNGTLPKLVLNPSTWTKVVSIIFLDSPVGTGFSYAKTAKASQSSDFQASDQAYEFIRKWLHDHPEYKSNPFYVSGILYGGIPVPILTQLISNGNEDGIKPRVDLKGYILGNPIMKVSGILNYRVPFAYGMGLISDELYESLKVSCKGEYEIIDPDNAACSKNMQAYNKASNHIYAIFIQINYLLVGDPLNNCCIRSLKSQTFGNLLQSNMEWITLVDHWANNKSVQEALHVRRETIGQWVSCSDTLPYTENAGSVVPYHANLSTKGYRSLIYCGDHDLLAPHIETQAWIRSLHYPIIDDWRQWIHEGQVAGYTRTYANKMTFATVKARNSCFCCFSARFVHMVTTNNKVRTFLGLTSTPFSFYFFG >CDP16770 pep chromosome:AUK_PRJEB4211_v1:1:3362178:3362515:-1 gene:GSCOC_T00019262001 transcript:CDP16770 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPIAVSAVRVQPREKVDFLDSVEGSTKHKCYSLSIWAKQGQGFRVNKITVCQDSDTS >CDP09075 pep chromosome:AUK_PRJEB4211_v1:1:31536436:31539966:1 gene:GSCOC_T00028267001 transcript:CDP09075 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLVEPFPFSGNMYDLNYRSMSAHHEQNEVDEYKFDRGSEDFSDASNGSPPPDASSPSGSDGNPPVQGDSNDPMLKFINEMLMEEDDLTNKPCMFHDCSALLAAEKSFYDVLNEPKSGPSFFSCYQNAESPNDDSSQSCYSQSSVCSSSDVNPSVESNWVGNQMGLESAVIQPSHVNLVPQSILLSNQQLLSSTNDFLDAAHRLDESWMSLLRMSDSSSQRPLDLKAWGSKGDVYGLLPQGDSFSNSTFESQNNTSNAAAVVEKSVRYHSSNGPSSKKNHGRDDSDDAEEHRSNKQLASSADESVPLERYDNVLLCPNLNPHLQPEPVFSSSEKALKDEARNKLQQTERSKASTRGRPRGGKKQGTKREVVDLRALLVQCAQAVASFDIRTSNELLARIRQHSSAHGDGMERLAHYFAIALEARLAGTGTTLYTDYRKRRISAADILNGYQMFVSAIPFKKVSNIFANKNIGKLAAGATRLHIIDFGILYGFQWPCLIQRLSVRPEGPPALCITGIDLPQPGLRPAERVEETGLRLARYCERFGVPFEFHPIAKKWDTIKLEDLKINGDEVLVVNCMERLANVPDETVVENSPRDAVLNLIKRIRPNMFIQSVINGTYNSPFFVTRFREALFHFSSVFDMFEATVLRENQDRALFEREIYARDALNVIACEGTERVERPETYKQWQVRNVRAGFRQLPLDQEIVKIIRDRVKSHYHRDFSVDEDGKWMLQGWKGRVSQAISCWEPVDEQ >CDP19292 pep chromosome:AUK_PRJEB4211_v1:1:13695409:13695564:-1 gene:GSCOC_T00011257001 transcript:CDP19292 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPKLQRRLAASVPKCGRGKVGLDPNAVNEISIANSRMKENRKREKRFCI >CDP17673 pep chromosome:AUK_PRJEB4211_v1:1:5403718:5404569:1 gene:GSCOC_T00013215001 transcript:CDP17673 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAPNKKFSSHSRSISLPPSSNPLIVTAQEDLGRLKSSVAASSPSNSLPCQKLDGLKNLYECLDDVLQLPLSQRALSNERIGKWEEEVLDGYLRLWDICGAVRDIYLLIKESLQELESSLRWKRSGDWASEVSSYMISHKHLNKIISKCYRELKKAEKNCNLAVVNKDSTMVSLIKEVQIVSLPVLGSVLSFHSGSKAGSQPRDWSLMQHKRPSHRGDSEIAAIEQIEIELHLLNNNKSNKDVLKKLVAVDSSIEELAEVLEIVFRLLLKTRVSLLNILNH >CDP09628 pep chromosome:AUK_PRJEB4211_v1:1:23435509:23436284:-1 gene:GSCOC_T00030017001 transcript:CDP09628 gene_biotype:protein_coding transcript_biotype:protein_coding MMIYCKLGGSMDSIFVGKLLGQDLGSSSLEELQQIDSQLERSLKNVRARKTQLFKEEIERLKAKEMLLLEENARLSQQRKEKKKGKKINEPGISFNSRVFFGLQFQR >CDP04081 pep chromosome:AUK_PRJEB4211_v1:1:32955392:32958564:-1 gene:GSCOC_T00016622001 transcript:CDP04081 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASFTDLLASDGYSMATSTGMAAESRGLGDRIAERTGSGVPKFKSLPPPSLPISPPPVSPSSYFAIPPGLSPTELLDSPVLLSGSSVLPSPTTGSFPFQAFNWKSNSYNSQQGIKQEQKNYQDFSFQPQAAVSRPQTNTIPEGQMQQAWNYQEPAKQDDPTVKSDVTSMQSFSPEIGNIRANSQGNGGFQSDYNNYNQSSQTLSERRRSEDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGNHNHPKPQSTRRSSASSTACSVVIQPYSSQSNDVPDQSYASTGNGQMDSVATPENSSISIGDDDFEQSSKSKSGGDEFDDDEPDAKRWKTESESEGISAPGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGSNSANRPVPSSNAPMAVRPSVMSHQSNYPIPVRSFRAPPPEGQQAPYTLKMLQDPAGNYGFGNSMGSYLNVPQENTFSRAKDEPRDDMFLESLLC >CDP09661 pep chromosome:AUK_PRJEB4211_v1:1:24020145:24025982:-1 gene:GSCOC_T00030070001 transcript:CDP09661 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSPDCVENVVIGINGQFPGPTIRALAGDTISVELTNKLNTEGVVIHWHGIRQFGTPWADGAASISQCAINPGETFVYRFKVDKAGTYFYHGHYGMQRSGGLYGSLIVDLPEGEKEPFHYDGEFNLLLSDWWHKSSQLQEVDLSSKPFRWIGEPQTLLMNGRGQYNCSLAAAQFINSSSSICEFRGNEPCAPQILHVHPNKTYRLRVTSTTALASLNLAIGNHKLVVVEADGNYVQPFSVDDMDIYSGESYSILFTTDQDPSKNYWISLSVRGREPKTPQALTILNYFPTSASKLPTSPPPIAPLWNDYNHSKAFSNKIHARMGSAKPPTTYDHRIILLSTQNSIDGYTKWAINNVSLVLPATPYLGSIKYGLKNAFDHKNPPEDFPSDYDVMKQAPNPNSTYGNGVYMLSFNSTVDVVLQNANALAANVSDIHPWHLHGHVFWVLGYGEGKFTEEDEAKFNLKNPPLRNTAVIFPYGWTALRFVADNPGVWAFHCHIEPHLHMGMGVVFAEGVDRVRKVPNDALTCGLTGKMFLNNKGH >CDP07146 pep chromosome:AUK_PRJEB4211_v1:1:30155109:30156489:-1 gene:GSCOC_T00024276001 transcript:CDP07146 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQLLLSDTPPQFLCTVNCASDSVVIRFNFQVRRCKEAFNLYNEERRKERAQEYLSTMMML >CDP17680 pep chromosome:AUK_PRJEB4211_v1:1:5481508:5486688:1 gene:GSCOC_T00013225001 transcript:CDP17680 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSATIKVALQAVVSLAADHREFPEELERLDKSAAMIRGFLAGADEDKHSSDVKNWLKQLEEEVFKADNVLDELNYDNLRRKVKYQNQLTKKKVFFCFSFFNKIGFRWRLGLGLAYKHQVEEAFPTIAAGATTSRQTDSTIVRRDVLGRDEDESEIVKKLLTESESVISVIPITGMGGLGKTTLAKAVYKNEQIVGQFDKKMWVCVAEEVDKIEKVFKMILESLTGGKVEGDRREVIVQKIQHELKEKRYFLVLDDLWNDQEVLLNDFFSTLAGLNAKKGSWCLVTTRLQEVATILSRHPQINFTRHELGKLCDNDCWSIMKKWANVGEELPKELEDMREQVLRRCDGLPLAATLIGGLLSKKRKEDWLSILEESLLNGNQGGIKQILKVSFDHLSPAPVKKCFAYCSIFDQDTELEQDRLVELWMAEGFLQPDPQNERMMEKIGCEYLRILLQTSLLEEVKEERRTWYKMHDLVHEFAKSILNRSSSNEDRYLSLYSSERMLENMNEKKSASLRTLFLKGGIADEILSKFKHLHVLKLSGEDVKELPTSIGKLIHLHLLDISDSMITTLPESLCELYSLQTLRIYALEKGFPKKMSNLISMRHLHYFHYRYDKGCKIQMPSSIGRLTCLQTLEFFNIGRQEEGRGIQELGTLQDLKGTLEIRNLESVNGKDDAELANLSKKPHMYRLVFEWGNRDRESDNCDEDVLEGLQPHPNLKELQILKFMGDQFPQWFMNLTSLVELRVANCTRCRELPTLGQLSSLQHLYLTGLENIRSIGLSFYSTTLKILSLESMENLEEWKDAHEIRSTAGEVHVMDVFPMLEELYISHCPKLTTIPTPSRFPSLDVLKIKKNCHVLLAEKVLSNMANLSSLELWDLGGCNSLPTDMLERLCLFPTLQRVELRLAANITTLRGMSCAACLKRLVVFCCDNLRELPEDLYQFQALEYLLIQGCPRINSFGYPNPNSFGQKGLLKSLEEFTIEGCDALTRLPAEMFESCTSLRELNLFGCPSLVSFPLDLRRTPSLESFILGGCPNLIAEMPSGFGYLTSLRRSVELSVSKIHQYWATSVSEAASSDNIMCSRQSQPGTSKASYCTFHILQLQH >CDP17092 pep chromosome:AUK_PRJEB4211_v1:1:37572611:37574052:-1 gene:GSCOC_T00005007001 transcript:CDP17092 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTATAYKGVKGYWTRKGYEKLDGSGSRRRKRRVVELGAENGSSRRRRFWRIRLTPRLKLKLRLRFSPRKFILGLRDAYVNLMTKLASSRFVNSGVAGYPGEGISGFGLRPLKEYDEKMIVEIYKSLVVAQGHQLVNPGVAVAVAPRKIPTLPTVTEC >CDP03752 pep chromosome:AUK_PRJEB4211_v1:1:35368621:35369841:-1 gene:GSCOC_T00016228001 transcript:CDP03752 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPFADIETSQSENATGNSSNSTSAGTSSSAASTPSRYENQKRRDWNTFGQYLKNHRPPLALSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGRPEANPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPSQQHLSAAAGAGAGSAAPAASGDHDA >CDP03869 pep chromosome:AUK_PRJEB4211_v1:1:34498086:34498234:-1 gene:GSCOC_T00016366001 transcript:CDP03869 gene_biotype:protein_coding transcript_biotype:protein_coding MTALINFNSLSSLNRFSC >CDP03835 pep chromosome:AUK_PRJEB4211_v1:1:34710420:34710953:1 gene:GSCOC_T00016327001 transcript:CDP03835 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSNFTQVIDPEFSFYASMGFDVGALIGNLILAYFAQNGHANEGNDRKEYKLWILKTIEETWNLFYKKFTTLWDEHNDGPGEAYLPEIYNNTEIHLLAKHKYMEDLFHDSLGFAAEKMTRRIVGVAHVEDFESIAEPEKRANCELQALTFAKLLLKERRRFKSIGEVVSAIQQPKS >CDP08954 pep chromosome:AUK_PRJEB4211_v1:1:30557785:30558508:1 gene:GSCOC_T00028101001 transcript:CDP08954 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTSQSTKKTNQTLPPRRGQIKMKIFKSIAGIVTKASGSKRKNAGPLSSTSTTPHETPSGFNSDADSDP >CDP13095 pep chromosome:AUK_PRJEB4211_v1:1:2520456:2525052:-1 gene:GSCOC_T00037879001 transcript:CDP13095 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAVSATIQVALQSVVSLAADHVNLVREFPTELERLNKSAEMIRGFLAGADEQMHSSDVKIWLKQLEEEVFKADNVLDELNCENLRRKVKYRNQLTKKKVFFCFSFFNKIGFRLGLAYKHQVEDAFPTIAAGATTSRQTDSTIVRGDVLGRDEDESEIVKKLLTESESVISVIPITGMGGLGKTTLAKAVYKNEQIVGHFDKKIWVCVAEEVDKIEKVFKMILESLTGGKVEGDRREVIVQKIQDELKAKRYFLVLDDLWNDQEVLLNDFFSTLAGLNAKKGSWCLVTTRLQEVAIILSRHPQINFTRHELGKLCNDDCWSIIKKWATLGEEVPKELEDMRRQVLRRCDGLPLAAKLIGGLLSKKRKEEWPSILEESLLNGDQGGIEQIIKVSFDHLSPVPVKKCFAYCSIFHQDTRLEQDRLVELWMAEGFLQPDSQNERMMEKIGYEYLRILLQTSLLEEVKNEWGTWYKMHDLVHDFAKSILNRNGSNQDRYLAVYSPERINEKASASLRTLFLKGGIADDMLSKFKHLHVLKLFGADVKALPTSIGKLIHLHLLDISGSRIRTLPESLCKLYSLQTLRIGMLVDSRQEEGRGIQELGTLQDLRGSLEIRNLELVNGKDDAELANLSKKPNMYRLVFEWSNRNRESDNCDEDVLEGLQPHPNLKELQILKFMGDQFPQWFMNLTLTSLVELRVEECTRCRKLPALGQLPFLKRLYLTRLKNTTCIGLSFYSMKNLEEWKDAHEMMSTAGEVHVMDVFPVLEKLYIWGCPQLTTIPTPSRFPSLDVLEIKRNCHVLVAEKVLSNITNLSSLSIDGCHSLPTAMLERLCLFPTLQHVELMSAHNITTLRRMSCAACLKRLTVTFCENLRELPEDLYQFQTLEDLEIQGCSRIKSFGHPNPKNSFGQKGLLKSLKRFTIDECDELTRLPAEMFESCTSLRKLTLFKCCSLVSFPLDLRRTPSLQSFSLCGCPNWIAEMPSGFGHLTSLREVSIGPFSDYSVIEFDWAGLASSSTLRHVYLYGMRDTKSLPHQLQCLTTITSLSLRYFGAIEALPDWLGNFASLDELILLGCPKLEYLPSVDAMERLKLRRLEISYFIFLSHRATSISEAASSDSAANIVKGSKVCSRQSQLGTSKASYCTFHILQLQH >CDP04051 pep chromosome:AUK_PRJEB4211_v1:1:33213107:33216273:-1 gene:GSCOC_T00016585001 transcript:CDP04051 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIPEQHLECSELEICQSTFSAEPFSSGVETLSSSTSVSRMTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAFEMYTLPKLYVKMQYCVSCAIHSKVVRVRSRTDRRIREPPQRFRRPRDDLPKPGQAPRAGGAPNAPRA >CDP09652 pep chromosome:AUK_PRJEB4211_v1:1:23806616:23813599:-1 gene:GSCOC_T00030058001 transcript:CDP09652 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLANGISSFSPQSLAEPPKISKGFLFRIPKLSPNHVKVQQSSRMVRIRADVSYEPKTTMDAPGKDSSTSTSSDEDDPLQKFLRREYKWGFTQEIDSFSIPKGLSEETIRLISSRKKEPDWMLEFRLKSYDKFGRMKEPKWSDNKYPPIDFQNICYYSEPKKKPTLNSLDEADPELIRYFDRLGVPLNERNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISEAIKEYPDLVGKYLGRVVPPDDNFYASLNSAVFSDGSFVYVPKNTRCPMQISTYFRINAMETGQFERTLIVADEGSFVEYLEGCTAPSYDTNQLHAAVVELYCHEGAEIKYSTVQNWYAGDEEGKGGIFNFVTKRGLCAGARSKISWTQVETGSAITWKYPSVVLEGDESVGEFYSVALTNNYQQADTGTKMIHKGKNTRSRIISKGISAGHSRNCYRGLVQVMSNADNARNSSQCDSMLIGDNAAANTYPYIQTKNPGARIEHEATTSKIGEDQLFYFQQRGIEYEKAMAAMISGFCRDVFNELPDEFGAEVNQLMSLKLEGSVG >CDP09188 pep chromosome:AUK_PRJEB4211_v1:1:32351310:32352460:1 gene:GSCOC_T00028415001 transcript:CDP09188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ELF4-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT2G29950) UniProtKB/Swiss-Prot;Acc:O80877] MEDTSKSLKKHYQFSRAVKRRFGRRGRVGEKKIGDKHDEDDDENEDEEDEGCDVQAWETLSKSFNDVQSVLDHNRVLIKQVNENHQSKVADNLVKNVALIQEINGNISKVMGIYSDLSVNFSSIVQQRQALSKSCGDTSNSSSNS >CDP17567 pep chromosome:AUK_PRJEB4211_v1:1:1151065:1157107:1 gene:GSCOC_T00011053001 transcript:CDP17567 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCDLDKRRPLGKKVMGIDIVVWWDRNENEWKVFDDTCPHRLAPLSEGRIDQWGRLQCVYHGWCFGGSGDCKFIPQAPRDGPPIHTSSKACVAAYPSCVQNGILWFWLNADSQYKDIFSKEKPPYIPEIDDPSFTSQMITRDIQYGYEVLIENLMDPSHVPFFSAFSCTVKVDREGGRPLEIRVKTLDKKGFTAKSSTISDNIFIPPCVFYSSGSLGGNPDNISASPAGTTENPSTPKPVKKILLVFLCIPVSPGNSRLIFTSPRNFGVWIDRIVPRWIFHLNQNLVLDSDLYLLHVEERKIKEFGSLNWHKACFVPTKADALVVGFRRWLNKYSNGQIDWGTKYTGALPPTPPREQLMDRYWSHTVSCSSCNLAYKGLNVLEIVLQVFSLASIGIVAAAKQGALSAAARSTLVSVAIISFLVSKWLSHFVYKNFHFHDYDHASR >CDP03711 pep chromosome:AUK_PRJEB4211_v1:1:35664361:35665184:-1 gene:GSCOC_T00016177001 transcript:CDP03711 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDGDRHHLMSITSSSCSSFSIINSTVTSVPSHEGLIDICLRNQDFRCY >CDP13078 pep chromosome:AUK_PRJEB4211_v1:1:3052489:3076874:1 gene:GSCOC_T00037850001 transcript:CDP13078 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAISATVKVLLGTVISIAADRIGMVREVEAELERLGNTAGMIQGFLADADGKMHSQGVREWLKQLEDEVFKADTVLDELHYDNLRREVKYRNQLIKKKVCFIFSSFNAIGFSSSLASKIRDINTSLDRINQQANVLELARKQQKEADAAGATASRQTDSIVVPNVVGRAGDESKIVEMLLTPSEKVLSVIPITGSGGLGKTTLAKSVYNNPKVDGHFGQKIWVCVAKEHIKIMELFKLILVQLTRKEVKVDDRDVIVKEIGEKLKGQKYFLVLDDLVSSHYSRFKHLHVLRLSGADVKFLPSSIGKLLHLRLLDIKSSRIRSLPESLCKLYNLQTLTMRDYELEGGFPKRMSDLISLRHLNYYHSGAKFKMPVQMGRLTCLQTLKFFNVSQERGRGIEELGTLKYLKGSLEIRNLGLVKGKEAAKQAKLFEKPDLSSLVFEWESGDRESDNREEDVLEGLQPHPNLEKLKIDSFMGNKFPKWLINLSKLEELAIVDCKRCSELPSLGQLPSLKILFLRSLDNIRFIGDEFYGSSSRRRKFFPALEEVRVRFMENLAEWKDADQVRSTIGEAEADVFPVLRNFHIESCPQLTTLPCSCKSLHVENCDNLTSIKMGYGTASVEQLSIRHCDNLRELPDLDLFGSSLQRLTIKRCPRLISLGVNGQKCPLPCLERLSIDDCEGLTTISDKMFQSCRSLRSLSVLRCPNLVSFSLNLQETPSLEQFSLFECPKLIPHRSASTLRELRLEGLPHTESLPHQLQSLTTLTSLTLANFGGIEVLPDWIGNLVSLETLELSNCNKLQSLPSEAAMRRLTKLTCVEISSYFTSGDSEQEGNNGAQTSVSCCFPSLLKKEKPGES >CDP15564 pep chromosome:AUK_PRJEB4211_v1:1:25871282:25879592:-1 gene:GSCOC_T00015453001 transcript:CDP15564 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGNAKIDFNVDFTHKLCAALMLHSSRNTDSPLSLVIGSLCIKHPNLFGKSEKLDVLWDKGLYDSNVLIAYRKPRPEWLSQQSFSIQHSVSPEIGVHGVPVDNYSRSGSGGVNLCRFSAGLDLSEPASSNWSSKTSVKFEHVRPINDDGRSISRDLHGFPVTCSGGYHDSMVVIKQESRFAKASEHSFTRFNLQIEQGIPLLSKWLIFNRFKFVASKGIRLGPAFLLTSFTGGSIVGDIAPYQAFAIGGLGSVRGYGEGAVGCGRSCVVANTEVTLPLNRTIEGAVFLDCGSDLGSGRYVPGNPALRHGKPGSGVGVGYGLRFKSSLGHFQVDYAVNAFQQRTVYVGFNSIGS >CDP09047 pep chromosome:AUK_PRJEB4211_v1:1:31324996:31327018:-1 gene:GSCOC_T00028228001 transcript:CDP09047 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILGSNGGNMGSPFFNEFKKQASFFLKEKIKTARLALTDVTPAQLLVEEATNGNPGAPDTRTLKLISRAAFEVDDFCRIVEILHKRLVSFDRRNWRASYQALIVLEHLLTHGPERVSEEFQNDRDVVEETGSFLYVDEKGFNWGLNVRKKSERILKLLDDRLFLKEERNKARTVTRGIEGFGSFCNRRSSDQEVPQESSLKSFEKCNSQFNEHGDKENSISMLEKDSARTSFKENTTPKQKVYAEDLDDWDTAEESNALLSNQEADSRMNISMEDDHPFNLNQLRHAVSLLPSRDQVLRAF >CDP06970 pep chromosome:AUK_PRJEB4211_v1:1:28366192:28366494:-1 gene:GSCOC_T00024034001 transcript:CDP06970 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKVTVIRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFFDGIYVSEKG >CDP03884 pep chromosome:AUK_PRJEB4211_v1:1:34394594:34395768:1 gene:GSCOC_T00016386001 transcript:CDP03884 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVKGLGKFLAQARAGHKAKQPKDDGLTPEQRRERDAKALQEKLARKAAGNHDNSVGNKAGIKKK >CDP08931 pep chromosome:AUK_PRJEB4211_v1:1:30287842:30292539:1 gene:GSCOC_T00028068001 transcript:CDP08931 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLEEYQIAQMYMVMKMQQENTAGTEGVEVLENRPFEDDEFGKGQYTLKLYRLQSKAPLWLTTFAPADALVMQEEAWNSYPRCKSVIQCPYFTKFRLTIETIHKADNGQSENVHGLSKNELAAREVEVLDIASTASDYWSYIIGRNNIDFSTFQSARTGRGPLLEGWQNSCDPVMTAYKLVTIDAPYWGFGSRLEQALMAGERALFLESHRNSFAWTDEWFGLTVEVMRELEQQSDTSLNEKFGGQCLVES >CDP09220 pep chromosome:AUK_PRJEB4211_v1:1:32561486:32562370:1 gene:GSCOC_T00028452001 transcript:CDP09220 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL63 [Source:Projected from Arabidopsis thaliana (AT5G58580) UniProtKB/Swiss-Prot;Acc:Q9LUZ9] MFDTASTRSNSISNPVNNLVHGILSHDSNVMLAAVISLLLVILFVSLLHVYAKWFLVKARQRSRRSVSVPQVLGARFHHFPSILVDTTVNSAASPTMGLESSAISSIPLFVFRADDDDDDEHNKHGRLSECAICLSSFEDEEMGRKLPRCSHAFHVECIDMWLHSHSTCPICRCPVLTFEDRKSPDQSKLLGDGEAVDREIAETTILLDEVAAEMSEDQTSSRLEITVEEVPNTENGNNCRKDINSVCSTSSAPQSNAHLVVVGDSLKRIMSSRSRSERQVHPSASGGATELDL >CDP09626 pep chromosome:AUK_PRJEB4211_v1:1:23410849:23415777:1 gene:GSCOC_T00030014001 transcript:CDP09626 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIHRFVSRFSINRCSKNQPTFKTRIPFSLSEKPFSSNPPSNNDKSTNSGLGSSFGVPSGDGGGGGSSDNLGWDNPSSSSWSTGLTKEHFDGEVVGQQVSPGLGPNPARPEGGMGVGGSGMGQARWTDEEMMRIRRLQAENRKSRAFVEGWKNRMVEMSVLMKQVREPGARGSYLKDSEKAEMYRKHKENPEVYSVERLAKDYRVMRQRVHAILWLKEEEEEEEKKLGHKLDDSVELLLDACPEFFNSHDREFHVASLPYKPDFKVLPEGWDGTTRDPDEVHYEISMKEDEMLYQEFLQRFNFNKMKMAKQVKCHKYSRRRPSEGWNYTVEKLGPRGKRGNGGGWKFVSTPDGSTRPLNEYEKMFVRRETPRRRRRILP >CDP09072 pep chromosome:AUK_PRJEB4211_v1:1:31513041:31518029:1 gene:GSCOC_T00028263001 transcript:CDP09072 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGFNGYQVPPTPRAARSARRRGINRRITDDSQMCAFDLLATLAGKLLLEGESSPSSTDMGSSGKDQYEASKSLAKEGMLEENKHVSNFSNQDCSDRSFIVSELVLQAPSLNNCPKELAHTQNDACSGPTSAITTSECSEKVGSAERLLSDQSNFQHGKADIELFGCRVSPGCKLDGEKKRQIKVEPNDLKLSICARDDICNSESPIAWDRKPSCLVSSDESVKSLSRDHIPSGSLPHNRENVNLVTRDDDENSSGCTQPSTVNNAFRPSSHIGDRRIRKLLASKYWKVTPNLKDGEHFKTVMSSDADMKYVHHDGKNGFKRQRSQRDFPFKKRKLYHCSSLSNLDGDICTDEMYNSPGKFSNRDACASMLPKVTGPSISGASEHAAFKSKDSQVKLKIKSFRVPEFFIEIPETATVGSLKKTVMEAVTAILSGELRVGVLLQGKKIRDDNKTLLQTGISHDNKLDALGFTLEPNLSQAPPPVSLEDHPFLLSCDSPQPLSRYPPTPSTTANAALLRGTSDASPDPPGTNLSNLVESDHDSAPSPPEMSLEKSTVDSRALVAVPAMNVEALSVVPMRKSKRSEAAQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQVKPQFKQQSEACRLLST >CDP17040 pep chromosome:AUK_PRJEB4211_v1:1:37979289:37980360:1 gene:GSCOC_T00004945001 transcript:CDP17040 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDPNWSNFLYDESAKCINLIDFGAARDYPKSFVDDYLRMVLACANSDREAVVEMSHRLGFLTGKESDIMLEAHVQAGFIVGLPFAKPGGYDFRSANITKSISNLGATMLRHRLTPPPQEAYSLHRKLSGAFLACIKLGAVVPCRQLLLEVYENYHFGNHSDETFAIGSVPR >CDP18569 pep chromosome:AUK_PRJEB4211_v1:1:330648:331301:1 gene:GSCOC_T00012398001 transcript:CDP18569 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRHRNMGICIPSLLSPGSTNTSSIPNSFSSATNKWAERLFADFQFLLSTIVTADHSDDNSATATLTPPFTIPTLAPTERSVKMPIDFYRILGIEAHFLGDGIRRAYEAKVSRPPHYGYSQDALVSRRMILQVACQTLANASSQREYNQGLADDEFGTIITQVPWDKVNFPFIYQTKFFTQKLLDEIAFQKVIFRLS >CDP17360 pep chromosome:AUK_PRJEB4211_v1:1:4518213:4524949:1 gene:GSCOC_T00009708001 transcript:CDP17360 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVRRLFCSFCGNLFLPLVLVSVRPNLAVAGSVVKFLPGFEGPLPFELETGYIGVGESEDVQLFYHFVKSESNPQTDPLLIWLTGGPGCSSFGGLTSGIGPVKFQPVLCNGTLTALVTNPYSWTKVASIIFLDSPVGAGFSYARTAKASQSTDLQASEHNYEFLRKWLHDNPEYISNSFYIGGGSYAGITVPILAQLVSNGNVAGIEPHIHLKGYLLGNPAPTTQGDGNHAIPFAHRTALISDELYESLKVTCKGEYVNIDPSNAPCLKNIQAYNKLIDNINIEHVLEPTCPDVSPKPNSLFSGRRSTVETFYKKFEELDVLEFNPVQCRAATAEKLIYYWANDMSVQEALHGTIKEWVGCNYSILYTKNAGSVVPYHANLSTKGYRSLIYSGDHDMISPYLGTEEWIRSLNYPIIDDWRQWIHQGQVAGYTRTYANKMTFATVKARNPSFSCFSGAGHIASYNKPAECRSMFGRWISYQPL >CDP09114 pep chromosome:AUK_PRJEB4211_v1:1:31787770:31793647:-1 gene:GSCOC_T00028314001 transcript:CDP09114 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGQGIEPAVLDDIINRLLEFRHARTLRQVQLSEAEIRSLCNASREIFLQQPNLLELEAPIKICGDIHGQYTDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLSRPTDVPDSGLLCDLLWSDPCREIKGWGMNDRGVSFTFGPDKVAEFLMQNDMDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPTDKKPRFL >CDP04038 pep chromosome:AUK_PRJEB4211_v1:1:33344571:33348012:-1 gene:GSCOC_T00016569001 transcript:CDP04038 gene_biotype:protein_coding transcript_biotype:protein_coding MGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >CDP03787 pep chromosome:AUK_PRJEB4211_v1:1:35083407:35085221:1 gene:GSCOC_T00016269001 transcript:CDP03787 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >CDP09615 pep chromosome:AUK_PRJEB4211_v1:1:23184096:23191283:-1 gene:GSCOC_T00029989001 transcript:CDP09615 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFSTKLAQPFLLRFSSTVATTFKLFSTATTLTPSVDHAVVITPDQLIPPARRKSSRGKKGNKLITEAQLRQNWLDSLSCPFPEKIDDNSSSNSNCSSDLLNFHGNGSPNLGSEWVIGVDPDASGALALLKPGHSAQVFDSPHLKVPIGRRLRKRLDTKSIVQLLNSFNAPMGTTAYIEQSIPYPKDGKQGWWSGGFGYGLWIGILVASGFSVIPVPSAVWKNGFRLSGSSSTKDDSREAASMMFPSLSSQLKRKKDHGRAEALLIAAYGKSLKMSLYDSCLVDN >CDP09041 pep chromosome:AUK_PRJEB4211_v1:1:31245653:31247279:1 gene:GSCOC_T00028217001 transcript:CDP09041 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSFPVYLDHPNLHQFQQPEHQGSGVENSQLPPPPPPFPVGGGGTSIRPGSMVDRARLAKLPLPEAGLKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKTKSSSSKSSSTAERQMPGSNSTSTPSPSSCSADMAGQFPQQANQLPLMAALTGLNHYGGGHIGPGIGGFQAQMMPPTGNGLANMGFNLGGNGNDLSAAGGGADHWRLPSIGGFEATTSLFPFQSEGVEASSNSMLGDHQQLENPGLNLTKQFLVNSENNQYWGGSPWTGFSGLNSSSTSHLL >CDP03955 pep chromosome:AUK_PRJEB4211_v1:1:33921940:33926209:1 gene:GSCOC_T00016469001 transcript:CDP03955 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRARCTLRPDDIPRACHLNGRPIRHVDMNRYRPAYNASPGFNLPVVRREDGQGGDGVGQAQLEQGAVVQCMKWGLIPSFTKKSEKPDHFKMFNARSESIREKASFRRLLPNNRCLVAVEGFYEWKKDGSKKQPYYIYFMDGRPLVFAALFDSWKNSEGEVFYTFTIVTTSSSSTLEWLHDRMPVILRNKEATEMWLNGRSSSDFDTILKPYGESDLVWHPVTTAMGKLSFDGPECISEIQLKRDESKTISQFFSKKGANSQADSKTENRTIKGEPMGLQEESEADDLTNHQHVTKSAENDIKPYFSNLSRDEALHIPVKREYEVCSSDTKHTDDESEKLHESPVTKKVRGASEETMVKLEEQTQKTSPTKTHKPGASSVRKKASSSGDKQPTLFSYFGKC >CDP17353 pep chromosome:AUK_PRJEB4211_v1:1:4726678:4735212:1 gene:GSCOC_T00009694001 transcript:CDP17353 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSAVPSPGSVHGGSLDEQISQLMQCKPLSEQEVRLLCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSVETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGFNWAHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >CDP11089 pep chromosome:AUK_PRJEB4211_v1:1:7834567:7838584:-1 gene:GSCOC_T00033110001 transcript:CDP11089 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFTAKVFSSIFPADMSILNYSICPITLVFACLVILDLLSSSGVNAASHEMHAASRTASEGKALLTWKASLDNYSQSKLSSWSSSASPCNVWDGVRCNKAGRVSVINITSSGIRGTLDHLNFSSLPHLTTIELSQNALYGTIPSNIGNVCRLTYLAFRSNQLSGAIPIEISQLTNLRFLYLSYNSFNGSIPSSIGNLTGLTMLNLGSNKLSGWIPEEIGKLKSLTRLSLANNKLTGPIPLSIGNLSDLTLLYLYQNYLSGPIPEEIGKLKSLTELSLANNTLIGPIPLSIGNLSDLTLLYLYQNYLSGPIPEEIGKLKSLTELSLANNTLIGPIPLSIGNLSDLTLLYLYQNYLSGPIPEEIGKLKSLTELSLANNTLIGPIPEEIGKLKSLTELSLANNTLIGPIPLSIGNLSDLTLLYLYQNYLSGPIPEEIGKLKSLTELSLANNTLIGPIPLSFGNLCDLTLLYLYQNYLSGPIPEEIGKLKSLTELSLANNTLIGPIPLSFGNLCDLTLLYLYQNYLSGPIPEEIGKLKSLTELSLANNTLIGPIPLSFGNLCDLTLLYLYQNYLSGPIPEEIGKLKSLTELSLANNTLIGPIPLSIGNLSDLTLLHRNIVKLYGFCSHVQHTFLVYEFLEGGSLMHLLSNDETAAKCEWIKRVSIVKDVANALSYMHQNCSPSIIHRDISSKNILLDSEYQAHISDFGTARILRPDSSHWTSFAGTYGYAAPELAFTMEVNEKCDVYSFGVLGLEVIMGKHPGDFILSTLSASSSTSTAYDILLKDIVDPRLSSPSKQESKQVTLVAKLALSCIEPNPQLRPTMKQVCIQLLKEIPSQFNAFPIVTIGQLLDLQMTNV >CDP17505 pep chromosome:AUK_PRJEB4211_v1:1:20089992:20095835:1 gene:GSCOC_T00011435001 transcript:CDP17505 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAACSLQFTAARPSIIPARRVLGQAVGRVGSDPNAASWIKLSSACHPSSLQPFQCNFMSSSAKTERMVTKAMSEAGEKQSSSGLSLNLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKVYPLDAVYDNPEDVPEDVKANKRYAGSSNWTVKEVAESVKEDFGSIDVLVHSLANGPEVTKPLLETSRKGYLAAISASSYSYVSLLKHFLPIMKPGILLMDLAIVLTGGSSISLTYIASEKIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKVRVNTISAGPLRSRAAKAIGFIDMMIDYSLANAPLQKELSADEVGNTAAFLASPLASAITGAVIYVDNGLNAMGVGVDSPIFKDLDIPKANA >CDP16771 pep chromosome:AUK_PRJEB4211_v1:1:3382518:3383541:-1 gene:GSCOC_T00019263001 transcript:CDP16771 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFKLVLLILMLCPLTINSSFQYLTFVQQWPRGYCTVNPANPSRCQRIPLPTVFTIHGLWPGNFTKILQNCTKTSYTQLQNFQDWNNRNLRWPDLAKPLPTMQNFQEPRFQSFWKHEWKKHGTCSENMYPEATYFSRTIQLSQRHNILNYLATGNIFPGSNPTVSSVNSTIYRAISNHVPDLMCVTPPRQTPALVEIGICFTATMTTIIDCPSQFLRTGSCGIGTINFPA >CDP09617 pep chromosome:AUK_PRJEB4211_v1:1:23201576:23204165:-1 gene:GSCOC_T00029992001 transcript:CDP09617 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKPFLVTFLSTLATSFCHVFLCKSLSAIFFQFCFCYILLIIKTIMTTILLLLGLFLLSLRLTGVQSIGVCYGLNGNNLPSKQAVINLYKRNGIQRMRIYGPDPEVLNALKGTNIELLVDVPNESIQDVATNPSAAANWVQNNIKKYSPAVKFRYISVGNEVPVGSAVARYVGPAMEKIQKAIASAGLQNQIKVSTSILTGLVTNSYPPSHGSFSNEAKPFIKPIINFLVQNNAPLLVNVYPYFSYIGSRNTIPLDYALFTSQKTVVQDGKLGYQNLFDAMLDGHYSALEKEGGSKVNIVVSETGWPSAGNPPAASSANAGTYYKNVIRHVMSGKGTPKKPGAGIETYLFAMFDENQKRGEETEKHFGLFSPNQQPKYGISFK >CDP14618 pep chromosome:AUK_PRJEB4211_v1:1:15425026:15425955:1 gene:GSCOC_T00041208001 transcript:CDP14618 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRGPLLNWPYFYQGKGMDELRQSLLLTTMELENTRLKAQEELKMRDDQLFQLKDLLSRTIKERDEAQKPCQRLVLDKLLLQQQQLMLQRQNQQSAPLSGISSIEDEPRRGGGGMDSNNGFSSSDCEESIVSSPIIEQNPPQELTHQLQVQPQHPTAELDPTLPILTDRPLPEKGQFLQAVMKAGPLLQTLLLAGPLPQWCHPPPPLDSYQIPPPPVVVPPPPTPLTLSGHSLNQDSLLTIAAYNSNINNCGRMNRKRGYFEGSDSSTEAKYQRLVLQ >CDP16806 pep chromosome:AUK_PRJEB4211_v1:1:4142619:4143324:-1 gene:GSCOC_T00019323001 transcript:CDP16806 gene_biotype:protein_coding transcript_biotype:protein_coding MHFIHSSYSLHWLSQVPRGLVTEEGLPLNKGNIYIGKTSPKSVHDAYLDQFERDFTNFLSARADEIGV >CDP14452 pep chromosome:AUK_PRJEB4211_v1:1:9160787:9166482:1 gene:GSCOC_T00040933001 transcript:CDP14452 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYTILGLFIFLIAVKYQYLRKKNRKLQPPSPPALPILGHLHLVKTAPHLALQKLSIKYGPLIFLHFGIRPFLVVSSPSLAEECLTKTNDIIFANRPESVSSKYLGYNSTILILSPYGDHWRNLRRVTTIHMFSSIQLQRFSSIWTEEIHFIIKKLFSSNSDEKTWKVKDMSSLFRELLFNVIMKIVAGKRWPSDQPGDIFSPQSLTDLCDYIPILRWIGYGGLEKGVISLHQKRDEFLQGLIDQTRKEEAEDGSCSTERRKTIIQKLLSLQEAEPEYYTDEIVKGIIQIMLSAGTHTTSQTMEWALSSLLNHPNVLQKARDELEKMQPGHLLNDSDLSKLPYLRCIINETLRLFPAAPTLVPHFSSEDCTIGGYEVPKGTTLLVNVWAIHRDPNVWEEPNKYKPERFEGMDEGGWNEGFKFLPFGKGRRICPGAAMAIRLVGLTLGTLIQCFEWERAGPEMVDLEENQGATLGKAKPLEASYKPRPSMIKTISQL >CDP04066 pep chromosome:AUK_PRJEB4211_v1:1:33086259:33087302:-1 gene:GSCOC_T00016605001 transcript:CDP04066 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSLETDKSLGPGLVLEETLYCRVEAIIKVLSNFVLMNLKDPQAEHFLRLSTKLYKDLARMAKLLIAPRGSKQVLPSLKYQKLVEITCRQLTAPLYKFMEQLQKDQQESGNNKAMASKIKRENKCIPELIFQIEDYEKYLIQLGKAAKLNLLRQAKRSTSRDFKILDPKDFVREEEEEEEGDPTNETEENEGNGAESESSEESGDEEEGEEGNGGANNNELSLEHDVPKAAADSGSDGEDEAGLSNGQRSKRRRVVEESSSDEEMETGQSLAF >CDP15629 pep chromosome:AUK_PRJEB4211_v1:1:26972303:26980218:-1 gene:GSCOC_T00015549001 transcript:CDP15629 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGTKCPPSEAGNGSLVFAVNGEKFELATLDPSTTLLQFFRYHTRFKSVKLGCGEGGCGACVVMLSKYNPELDQVEDFSVSSCLTLLCSVNGCSITTSEGLGNSKDGFHPIHQRFAGFHASQCGYCTPGMCMSFFSALAKAEKTNRPEPPPGFSKLTVSEAEKAIAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWRKGEPKEVKLSRLPLYTPDGRFSRFPEFLKGRSKSPKSLHLENSSWYTPTTLEELRSLLNSNLIENDKLRLVVGNTGMGYYKELDNYDRYIDLRYLSELQTIRRNHHGIEIGAAVTISKVIACLKDADTLNYSTDGKQVFEKLANHMEKIASGFIRNSASIGGNLVMAQRKSFPSDIATILLAVGSIVSITTGHKHESITLEEFLTRPPMDSRSVLLSVQIPHLEPKGNGNNSGSNSKLVFETYRAAPRPLGNALPYLNAAFLADVSHQVSGVLVNNIQLVFGAYGTKHATRARKVEEYLSGRMLSASVLYEAVKLVKVDVMPAVGTSHAAYRTSLAIGFLFQFLSPFLRVGSVACGGLSNGLTGDLLKDSLENHRDTSLCQWEYSKLLLSAKQELKSSKEYHPVGEPITKSGAAIQASGEAVYVDDIPSPPNCLHGAFIYSTKPLARVKGVDLESNNQLSGVAALISYKDIPEQGENVGSKAMFGSEPLFADDLTRCAGQQIAFVVANTQKFADIAANSALVKYDTANLDPPILTIEEAVERSSFFQVPPFLYPKQVGDFSKGMAEADHKILSAEIKLPSQYYFYMETQTALAVPDEDNCMVVYSSIQCPELTHSVIATCLGVPEHNIRVITRRVGGGFGGKAIKAMPVATACALAAHKLRRPVRTYLNRKTDTILSGGRHPMKITYSVGFKSNGKVTALHLDILINAGISADISPLMPANIIGALKKYNWGALSFDIKVCKTNHSSKSAMRAPGDAQGSFIAEAVIEHVASILSMEVDSVRNLNLHTFQTLNVFYGESAGEALEYTLTDMWEKLGASSCLLQRKEMIEQFNQINRWKKRGISRVPIIYEVTLRPTPGKVSILSDGSIVLEVGGIEIGQGLWTKVKQMTAFALSSIGCSGTENLVEKVRVVQADTLSLVQGGFTAGSTTSESSCEAVRLCCNVLVERLAPLKSKLEEQVGPVNWDVLILQAHYQSVNLAANSYYVPDSSFMRYLNYGVAVSEVEINILTGEAKIVQADILYDSGQSMNPAVDLGQIEGAFVQGIGFFMHEEYLINEDGLTISDGTWTYKIPTIDTIPMQLNVEVLNSGHHQKHILSSKASGEPPLLLAASVHCATRAAIKEARKQLNSWNRLDGPNPAFQLDVPAIMPVVKNLCGLDNVEGYLESLLHGFDDPTDT >CDP03639 pep chromosome:AUK_PRJEB4211_v1:1:36219526:36220229:-1 gene:GSCOC_T00016066001 transcript:CDP03639 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRRWDAQTIKSAAWMGVKRRLCFFEGEHGKGSKTNWNMIQYRLLHHCCSIECDHRRPRVSSAAPSQPDDGVLCKVYEDLDESR >CDP03809 pep chromosome:AUK_PRJEB4211_v1:1:34924805:34926307:-1 gene:GSCOC_T00016295001 transcript:CDP03809 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGCSAANLSSFLGGGATNATAAAEYICNNFNTVHDRFTDTAFAVDTTYLLFSSYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFALAFGSPSNGFIGHHHFGLENFPNDSSDYSYFLYQWCFAIAAAGITSGSIAERTQFVSYLIYSSFLTGLVYPVVSHWFWSSDGWASAARTNGLLFGSGVIDFAGSGVVHMVGGIAGLCGALTEGPRIGRFDHSGKPVTMRGHSGTLVVLGTLLLWFGWYGFNAGSFINILKAYGKSGSYYGQWSAIGRTAVTTTLAGCSAALTTLFGKRLLVGHWNLTDVCNGLLGGFAAITGGCSVVDPWAAVLCGFVAAWVLVGFNKLAERVGYDDPLEAAQLHAGCGAWGILFTALFARKEYVNEVYPGQPGTGRPYGLLMGGGGRLMAAHLVQIAVITVWVGVTMGTLFWILGKLGLLRISAEDEMAGMDLTSHGGYAYEDDDYNTSLEIGRKMEKPGGNPVPV >CDP09031 pep chromosome:AUK_PRJEB4211_v1:1:31172043:31176565:-1 gene:GSCOC_T00028204001 transcript:CDP09031 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASLLLQKQLRDLCKHPVAGFSAGLIDESNIFEWGVTIIGPPDTLYDGGYFNAIMSFPSNYPLSPPTVKFTSELWHPNVYPDGKVCISILHPPGDDPNGYELASERWSPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDKRDEFKKKVSRCVRKSQEML >CDP03571 pep chromosome:AUK_PRJEB4211_v1:1:36744704:36746940:1 gene:GSCOC_T00015980001 transcript:CDP03571 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSFTDHPPPNNFIFRVCLLAYSPLAMGILSGKYFSLDKGPPDARFNLFRGRYAEGESRYNLSKTSIKAATESYLEIAEEYNIHPVSLAIAFVLRHPLVASAIFGATTVWQIQEVLNACSVNLSDDIIADVNKVHSRFPNPCP >CDP04056 pep chromosome:AUK_PRJEB4211_v1:1:33171776:33173101:1 gene:GSCOC_T00016592001 transcript:CDP04056 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAAKPSNTPSNISDIVSRFARVCKFMSIGVFTAENQCSDGGKKVAFLGEETSSDLSAEAERDNEKIHLVDEGSVGCECGHLEICKLFDTVSTVKLAYIKLQEAHIPYDPDKIVAADEVVVSQLETLCAIKRAFKEKQLREVNSVSACLALLLAEIQVQERLLEKLKSEVKTKEAKVVNLHRELEDLKLENKRLSEEFKKRGMECIKTVNVSSFEEIVKAVSKAIHDFAKPLIALMKVSGWDLDHAANAIENKVVYAKRSHKKYAFEAYIARRMFHGFSSQSCDTERIMTLDDPINVLIEDPQSEFAKFCRKKYLLLIHPWMETSFFGNTDHRTFVTNGLHPYTPFYRAFVRMARWVWILQGTYSATIPNVEIFYVDRGSDFSGSYMECVEELKDDAFMVSRGDKRFKVEFMILPGFRIGDTLIRSQVYLSKVRSSNVA >CDP17104 pep chromosome:AUK_PRJEB4211_v1:1:37471958:37473547:1 gene:GSCOC_T00005023001 transcript:CDP17104 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILEGLIPPRNNNDGTRRLSSADLWPSAPDFWPNSHLAKPSRSNPTDAPHKATHETCHDPHDEAGGKQQVLPKGAKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDKEARKIRGKKAKVNFPNEDSTSHPTCIPSQPQYQQVHIPSPPTFCGPSSSNCKFNQLRVGSSDCNASDCYHANSIDDCVRFNNMMGFRNPSEEVSGSGSSDNECFLGHLKQVAKAAEEEAASVITENDTKDNNKAAESEEYQVEKLSEELLAYESFMKFYQIPYIDGQSAAASANPAQELATSIQLWSFDDVSPANRPMPL >CDP03893 pep chromosome:AUK_PRJEB4211_v1:1:34334173:34339264:-1 gene:GSCOC_T00016395001 transcript:CDP03893 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRVEAASKRSVKDRLNGNSAGDSARRRQITGKRQREDDKWEHDLFEDDEPKFSNRRIGASDLRLKLQKKSIQRATQNVRGSLPGGVRDLREKLSGTIYSQAMDTDPPKPKPASEVGRPARKSVIAEAPVASEKKAAASSVESVDSFLQSLGLEKYSITFQAEEVDMTALLHMTDEDLKAMGIPMGPRKKILLALESKV >CDP03603 pep chromosome:AUK_PRJEB4211_v1:1:36482673:36488858:1 gene:GSCOC_T00016020001 transcript:CDP03603 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNKVYKVLLEIFPEVDSRALRAVAIEHQKDADSAVEVVLAEVIPFLTERSTYTTSLSWESGARLQSPEAVEVFANGNSSGHNVVEDVRCPDEEQHGPFYDAKDEHDQTFDDTYDLNLVSRPEIVTSVRKRDEKSIPSGDEKSISISSGDEKSISISSGDEKSISSGVDMSFSVSVIHGNGATSDGVKISGDHASEETPPQESSFGVGYDQILQPTFRPLEHETVESIQKLNDCLHADYNNSHSEMNIPVGNSVGLLSQLNFEPVTRTSSESTIQLVDIPVNHITNLEKELNGPKDAATNTESFHEMVDVEEPMLNTVVTRSGQVFSIDLLENIIADARNNKKTLLSALETVISLMMEVELQEKAAEQAKQDAANGGLEMLKRVEDLKQMLQHAKEANDMHAGEVYGEKAILATEVRELQSRLLCLSEERDKSLGVLDEMRHSLEMRLAAAEKERKMAEEEKLVKEDVALKALKNQEAIMEKVVREANILKQEAEENAKLREFLVDRGRAVDAIQGEISVICKDVRLLKENFDERLPLSNSLTSSQKGCILTSSISSSRSVTSEQVNRVHDHDSSETTEKTDLTHFFSEPEFIRGELAAADDEKELADEGWEIFDNRDAYM >CDP03842 pep chromosome:AUK_PRJEB4211_v1:1:34661772:34664598:1 gene:GSCOC_T00016336001 transcript:CDP03842 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGLVIPCKAAVSWEAGKPLVMEQVEVAPPQTMEVRIKIKYTSLCHTDLYFWEAKGQAPLFPRIFGHEAAGIVESVGEGVSDLQTGDHVLPVFTGECKECAHCKSEESNMCDLLRINTDRGVMLTDGKSRFSIDGKPINHFLGTSTFSEYTVVHSGCLAKINPLAPLDRVCILSCGISTGLGATLNVAKPKKGSSVAIFGLGAVGLAAAEGARIAGASRIIGIDLNPNRFEEAKKFGVTEFINPKDHDRPVQQVIADMTDGGVDRSVECTGHIEAMVSAFECVHDGWGVAVLVGVPNKDAVFMTKPINLLNERTIKGTFFGNYKPRTDLPSVVEMYMNKKLELEKFITHRVSFSDINRAFELMLRGEGVRCIINMEE >CDP03857 pep chromosome:AUK_PRJEB4211_v1:1:34559241:34565753:-1 gene:GSCOC_T00016354001 transcript:CDP03857 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYVVVPLGVHLPVYRNGTNKQFLTLANAQPLLRRQYHSRSCKSIQFSSWNSSKLTCHFTNPKIHAVRESFMCFCLGALVNADFVSAPEWVPYVDQVLLMASIFLTYSAGVIPGGKPLSDARRSTSNDFTVPKNSSLSGSSMGNDNQDNLHFAWDLVAAKLLDSLYAREQAVNVSDRLSGINEDLALHRSSLRALAELPRIRCLWTCFNWLRKEAGTVDHISGSSTVDLTMVMTRIFESSFQPLCLTWLEEELCLKNNSPNKALLSSMIERLKGYSSILKYIRKSGKEDLYAELISALSFGYNGMSGCYGPGFFTQHGVSVLEDLVITIAEGIASMYLELISVDGSMSTEMNSLGLNLCTLSTRALQRLRNEVALHQWLHQNMESVVSMYEDRFELRTFETQLVEECSQREAENLGWWKKLSLQRSRTTSPSLSFLIIMHTSISIKRTKELRALTGWQYYFSLFLELSDIATPLIRTLIGKVSDAISFFLVCLIGRSLGLIYSGIRQSLRWK >CDP04071 pep chromosome:AUK_PRJEB4211_v1:1:33036612:33038638:1 gene:GSCOC_T00016611001 transcript:CDP04071 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQWLSLIAAIWLQCINGTNTNFPAYSSQLKHLLSLSQLQLNNLALASDAGKLLGWLSGIATAYLPLWLVLMIGATLGFVGYGVQYLFITNQISGLSYWHIFLLTSLAGNGICWINTVSYIIAIQNFPFDRQIAVGLSTSYQGLTAKIFTVLVDVVSRTSPSHRAKTYLLLNAVVPLLVCIAASPIAKHVKIGKSKNLAGGFIAMFVITTLTGIYAILSSFSSITSSLPPVVMVIGMGLFLAVPLAVPLGEKIRESLQQKCWLRREMRVCHVATENNDDNIMRSMESGEAQENGSEVYNVRVREELGVRLMLQRVDFWLYYFAYLLGATLGLVYLNNLGQIVESRGSSRTSSLVSLCSSFTFFGRLIPSVVDYHLTKKNYIVSRPASIALTMIPLSGAFFLLLMGNNLSLYISTAIIGTCTGAITSISVPTTTELFGAKNFGVNHNILVTNIPVGSFVFGDLAAILYKNQETAAEGSCMGVKCYETTFIVWGSLCLLGTLLAVILHARTRKFYSQNLV >CDP07023 pep chromosome:AUK_PRJEB4211_v1:1:28909061:28909507:-1 gene:GSCOC_T00024106001 transcript:CDP07023 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAEKKPAEKKPAAAEKSPAAEKKPKAGKKLPKDAGAAAAAAGDKKKKRAKKGTETYKMYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTTSGS >CDP03870 pep chromosome:AUK_PRJEB4211_v1:1:34489554:34492314:-1 gene:GSCOC_T00016367001 transcript:CDP03870 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKISADVAVMEVSQSTLGVRTRAKTLALQRLQSSPSSTATAPTLSGASDSSCYLQLRSRRLEKPSPLKPQFQQKPPSRKETCHPESQAKPNARSKLNDSVNSGSVTPPKKEEPCLENRVAEQIAQEFDLGVEVSFGENNLDSEPRERSTRESTPCSLIRDVDAATPGSSTRRRTVNQISRSAFLENIPSASEMEEFFTRAEQQQQRWFIEKYNFDVINDVPLPGRYEWVRVNR >CDP15759 pep chromosome:AUK_PRJEB4211_v1:1:16093506:16094654:1 gene:GSCOC_T00015822001 transcript:CDP15759 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVAVILSVLSICSLAYSCAPSDSAALLAIKAALNEPYFGIFKSWTGPDCCKNWYGVSCDPEVHRVADINLRGESEDPIFEKAHRTGYMNGTISRAICQLNRLSSLTIADWKGISGTIPPCITSLPFLRIVDLIGNKLTGELPADIGRLSRLTVLNVADNRLTGRLPRSLTNLSSLMHLDLRNNLFRGTIPRNFGKLRMLSRALLSRNRLQGQIPNSISYIYRLSDLDLSLNRLSGTIPPSLGKMPVLATLNLDGNNISGTIPPTLLNSRIGTLNLSKNALEGNIPDTFGATSYFMVLDLSYNQLKGGIPKSTLSATFIGHFDVSHNHLCGPIPVGSPFDHLEASSFVYNDCLCGKPLREC >CDP03820 pep chromosome:AUK_PRJEB4211_v1:1:34856667:34859166:-1 gene:GSCOC_T00016307001 transcript:CDP03820 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFLDFLSMPYSSPSILLYCASFELLWKRFTFDCIASSVSYFEWTEAPSIPSALVSFLLRLTNVKFVIVTLGKEGCIMLERGMTENAQSEETDIDDLCDILKKKKDSNRNSPACISSGVKKLCAKGIGTVTGTLSVGTAENIPPGELVDTTGAGDSFIGATLYAICANMPPEIMLPFAAQVAAIKCRALGARTGIPYRTDPRLASFLVAGSQEVAAV >CDP17399 pep chromosome:AUK_PRJEB4211_v1:1:13155365:13156657:1 gene:GSCOC_T00004460001 transcript:CDP17399 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKTHLLFSLFFFFLSLSYPEIGEPRRLVASWCKCNVHYYEDESAPTGTCAVCVVGGERSLVANLSAANCYKSEHLKRPENWALVEKAKYYYIAGFFLTVSLESILLVAQHAAAKNKVKLLTS >CDP03924 pep chromosome:AUK_PRJEB4211_v1:1:34126936:34133949:1 gene:GSCOC_T00016431001 transcript:CDP03924 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKTRPDEDANLLLKEKPREKKHKKDKKDKEKKERREKDKEKSSEKHREKKDKKHRHKDKKEKSSNKEEKKTSDEKTIVAFSDHQNSEKFGLTGLEVGETQDSRLFVDLGNKVRKDDGAKELQILERITNRDLTPPGKVLEHKIGVLDDGNKKFRDKREKNRIPNGQTVKINGIGFANGFVHNFPPKIQKNIEGSSYQEDKVTEKQKERKDSKKHKGADGRGDKEKGRDREKKSKSKDKNRKREKEKAKEFSSLMPSKLGEHDNNARDFKNDEGSYLFKETNVQNGILGKRKEPEMDGCVNGHEIQNNKLPRLVSSSCQVVQTGNTIESSSKGNQLNLEKESTLQKHKPNAKFLSSVSPVENGRKLEMTQIPINIASERQEAVSKHGKASDSPSSLKPPSGTGRNSESCQFVGSFTELEQGVVSNNTKDFTKVLSMQPVAENGRKLGSSQFNNLNAAERQAGACIPKLSEKGSRSNGFVVPKLDERASKINGSVELKKPKACSMEMSSACLEDKEIVEKYLKPPHPDAKYLSQVLSLPKVEWSDVDDQKWLFSREDHQAKNPKYVSPVIEESEQVWAEALRIESADVIALPYVIPY >CDP07118 pep chromosome:AUK_PRJEB4211_v1:1:29895035:29897239:-1 gene:GSCOC_T00024236001 transcript:CDP07118 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLEKCDGNVESKMGLVRPATEDYAQEAVEAIKAGKVIAVPTDTLYGFACDACSVEAVNRIYEIKGRKYTSPLAICVGNVEDIKHFAVTDHLPPGLLDCLLPGPVTVILRRGDSSILEKSLNPGLESIGVRVPDSNFIRVICSGSQSALALTSANLSGQPSSVDIRDFKNLWEHCSYIYDGGVLPSGRAGSTIVDLTKLGMYKILRPGSAKEETIAILERHSLLEDGSAT >CDP19131 pep chromosome:AUK_PRJEB4211_v1:1:25462147:25466926:1 gene:GSCOC_T00009387001 transcript:CDP19131 gene_biotype:protein_coding transcript_biotype:protein_coding MQFICLILLVLVEFAAGQSDLEALLELKKGILKDPSGKVLVSWDSKSLSSDGCPKNWYGISCSEGNVTSITLNGMGLVGTFGFPAISGLKMLRNLSIPNNQFSGSVNQEIGLITTLEYLDLSGNLFNGTMPSELTDLKSLVHLNLSVNYMEGTIPSGFTYLEQLKFLDLHSNGFSGEVMDLLAQLGSVEHVDVSSNSFSGSLDLALGSTYFISSIQHINVSCNNLGGELFAHDGMPYFDNLEVFDAANNHFVGNVPSFNFVVSLRVLRLGTNQLSGALPEALLLENSMVLTELDLSHNLLEGPVVSISSATLKNVNLSSNSLSGPLPAKIGHCAVIDLSNNKFTGDLSRTQSWGNYVEIIDLSSNLLIGTLPNQTSQFLRLASLRISNNSLEGSIPPILGSYPELKRIDFSLNHFSGLLIPSLFNSTRITDINLSFNNFSGTIPIESLNTQNPGLVAIDLSHNALTGQLPPEFGEFPNLVYLDLSNNNLVGDIPDDLPNSLKAFNVSYNNLSGTVPKNLQRFPLSAFHPGNAHLTLQYESSSPISEPNTSLRRQGSHIKSIIKTALIAGLVGGASTIIFLTTIIYCKFHHREDSRSTSNDATKKKDPLSLSQVESAHDPQGKSSVEPGQKGLGQQDAVGKSEMTASPLSISSSANTSPSNLQQLSDYPSPLKVCSPDKLAGNLQLFDSSVRFNSEELSCAPAEVVGMSCHGKLYKAVLSSGHILAVKWLKEGIANGRKEFSREARKLGNIRHPSLVSLQGYYWGPKDHEKLLISNYVDAPCLALCLHDRDARKLPPLALNDRLKVAVDVARCLNYLHNDSLIPHGNLKSTNILIEIPKLHVLVTDYSLHRLMTSAGTAEQLLNAGALGYRPPEFASTSKPLPSLKSDVYAFGVILLELLTGRNSAEIVRESNEMVDLTEWVRLLVMENRSTECFDKSIFSTQERPLKVLDSMLQVALRCILPADERPDMKMILEDLSSIISEHALNR >CDP09165 pep chromosome:AUK_PRJEB4211_v1:1:32145059:32146535:1 gene:GSCOC_T00028382001 transcript:CDP09165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin-like protein [Source:Projected from Arabidopsis thaliana (AT2G29640) UniProtKB/Swiss-Prot;Acc:O82391] METGKAEIYHEKQRLQFCLLHSLNNLFQDKDAFTRADLNVIAERLHIDDPNKGTWTPLSAIFKPHHNLLTGNYDINVLIAALEEKGKRVVWYDRRNGASTIDLEGSGNELMGIVLNIPVKKYVGLWRSRHWVTLRTVGGVWYNLDSDFSTPYQFKDTEEVRNFLDYIIAAGAEVLLVMNNEK >CDP09065 pep chromosome:AUK_PRJEB4211_v1:1:31465953:31466907:1 gene:GSCOC_T00028254001 transcript:CDP09065 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMSVRINAYYLLLAVVVSWELDYLVSTEGAMRLLFYQMYLTYRLHSSCSCTEATIILWNTNVPSFLRN >CDP09243 pep chromosome:AUK_PRJEB4211_v1:1:32782556:32784307:1 gene:GSCOC_T00028485001 transcript:CDP09243 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTIKKEAECGLLEDDDDDDDDDDDDDDDEKKLSLNLSLNYQEVLDAWSDRTLCADESSSIFVSANNAYVRMGEVPVIEDERRRREASVLRYKEKRQTRLFSKKIRYQVRKLNADKRPRFKGRFVKRVAEEMHK >CDP06972 pep chromosome:AUK_PRJEB4211_v1:1:28368122:28368262:-1 gene:GSCOC_T00024036001 transcript:CDP06972 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHDIEEDEFGFSRNYFLAKELGNSGKKSDHKLVDIDVVDEQVIL >CDP09609 pep chromosome:AUK_PRJEB4211_v1:1:23019731:23025802:-1 gene:GSCOC_T00029978001 transcript:CDP09609 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVLGVGLVVGCAVAMCVVAGTMVGKRVKSRRRWTKVVKVLEEFEEGCATPVEKLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVQNLPTGYVEKGVYYGLDLGGTNFRVLRVQLGGQRSAILEPGVERQPIPEHLMTSTSEELFDFIAASLQKFVEKEGNGSVALEGKLRELGFTFSFPVKQASVSSGILIKWTKGFSIHDTVGKDVSECLNQALERRGLDMRVAVLINDTVGTLALGHYHDEDTVAAVIIGTGTNACYLERSDDIIKCQGLLTTSGAMVVNMEWGNFWSSHLPRTSYDIELDSESPNPNDQGFEKMISGMYLGDIVRRVILKMSQESDVFGLSFSKLLTPFILRSPLIAAMHEDDSPDLREVARILGEILEIGDVPLKVRKLVVKVCDVVTRRAARLAAAGIVGILKKMGRDGSGSTASGKVKGGSHTKMRRTVVAIEGALYTSYTLFREYLNDAIADILGEDISSHVVLKVMEDGSGMGAAVLAAAYSSSGQDTL >CDP03735 pep chromosome:AUK_PRJEB4211_v1:1:35490887:35492541:1 gene:GSCOC_T00016208001 transcript:CDP03735 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLMSNWTDVQSTPETYVFPPDKRPGKLIFPDCKDLPTIDLEKSEGLERAEVIEKIMKASQEFGFFQVINHGVSQGLMEDTMSVFQEFFGMPAEYKASFYSSGTNQSCRIYSSTLNYHKEDFHYWRDNFTQSCHPLEDHVQSWPEKPTRYREVTSTYCVEVRKFLLRILDLICEGLGLKLGYFEDELTKIQLLSVNHHIPCPDPSLTLGMPEHCDPNLISMLHQCAVPGLQLFKDGQWLGVEPVRDAFIVISGLQLKVISNDLFTSPIHRVVTHTKDKRTTIGTFLIPSGDIPIEPAMALVDTGNPPVYRAFTYKEFFSTFTGKDCDAQSALGCFKRKLE >CDP03872 pep chromosome:AUK_PRJEB4211_v1:1:34480154:34483302:1 gene:GSCOC_T00016369001 transcript:CDP03872 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYSWLKRSLSRRQKSSLPTSTSTADNNKNSAQKTEERFYGITDQLIEFVKSFSIDTFKNFSLPDVEEGLIRAAGDGGGSGNVRDDLSDWQERHAVLILSNVKELSQLRFRLCPGILKEQEFWRIYFKLVWSYVAEYELHAIRLAKLEQMRLENEAEVKFSSYEVEMSEAKPPLPLGSGASLKSDSYLTESSNSETDKVFNN >CDP09045 pep chromosome:AUK_PRJEB4211_v1:1:31312438:31316014:1 gene:GSCOC_T00028226001 transcript:CDP09045 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILSLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTEYLVKILTERGYSFTTSAEREIVRDVKEKLSYIALDFEQELEAAKTSSSIEKNYELPDGQVITIGNERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKSEYDEAGPSIVHRKCF >CDP17401 pep chromosome:AUK_PRJEB4211_v1:1:13182415:13187020:-1 gene:GSCOC_T00004462001 transcript:CDP17401 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAIDDPNYAVGFSDRTVSECLQLQASRIDLMVNELANTPLQDGYVSYPWERRMHEMLSVPNSSSFYSVLLLPKASDTVSFRYNDLEDTLARANAWLNSSQASGVPIVFMNVQTESLLTKISGETASSTVSAGSLSDLSNLASTSLYGFEDYHGVDIGVVRAVRLWFSPLRGEVPIEVKIKENDTKLGFAISRTEEGFIYISSVIEGDDEAPSSRSGLSSLYKEATRECKLLVVSRISNMKVLPWMVSPSGAIRCFDTVSLSQKLSLHRHARVPIILHVFIWNRSVGIPSASSIRSRAISPTVLPVPPEILLGQHPNENQVLPLQPETSVESRIVSSDGSESKLERDTAGESSFRFHDFTLSNNWV >CDP16780 pep chromosome:AUK_PRJEB4211_v1:1:3663104:3664438:-1 gene:GSCOC_T00019285001 transcript:CDP16780 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVFDQVIGDDNIKEEEFEVLLPQLIPICSNLHLKEIEISEFNGKEYELKLVEHLLQNGQALK >CDP09160 pep chromosome:AUK_PRJEB4211_v1:1:32104333:32109706:1 gene:GSCOC_T00028374001 transcript:CDP09160 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRVVLNTARSISMVGYQLKGGHGSGFLGAINSGLDNYSYLNNRKISPFVSFSRRHYHLPPPPPVKVFYEIPEGGFNFPIDNPENDDSTKECAQFAAKAHNEVSQDGGEDHVRFVRLVRAWRSINLCESYHMMLEAVDGKGEVNLYYAEVIHDPLENLTKLVKWELVDQSFSYPFEEARLSEQVKEEVRRLEQFYQEMPQEMEKKNDLGRESIYNFAYGACPTLILDTICPYAMLGMPRASIRDLHKNEIDEVN >CDP14606 pep chromosome:AUK_PRJEB4211_v1:1:14256517:14263608:1 gene:GSCOC_T00041176001 transcript:CDP14606 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFAAANTATAAAAGAFASSSDAKLQIHSFNGLKGTGTPPALSSNSLLLSKRFGVFHSVSASPSSSSSGPSLIVRAVSTPVKPETSKETKRSKVEIIKEHSNYIRYPLNEELETDAPNINESATQLIKFHGSYQQYNRDERGTRSYSFMLRTKNPCGKVSNRLYLVMDDLADEFGIGTLRLTTRQTFQLHGVLKKDLKTVMSTIINNMGSTLGACGDLNRNVLAPAAPYVRKDYMFAQETAENIAALLTPQSGFYYDMWVDGERFMSAEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDLFTNDIGVVVVSDGDGEPKGFNIYVGGGMGRTHRVEATFPRLAEPLGYVPKEDILYAIKAIVVTQREHGRRDDRKYSRMKYLISSWGIEKFRAVVEQYYGKKFEAIRGLPEWEFKSYLGWHEQGDGALFCGLHVDNGRVKGTMKTMLREIIEKYKLNVRITPNQNIILCDIKHAWKRPITTVLAQGGLLQPRYVDPLNLTAMACPAFPLCPLAITEAERGIPDILKRVRAVFEKVGLKYNESVVIRITGCPNGCARPYMAELGFVGDGPNSYQIWLGGNSNQTSLAQVFLDKVKLHDLEKVLEPLFYHWKRKRQSKESFGDFTIRTGFEKLKELVDKWEGVPESSTRYNLKLFADRETYEAMDELARVQDKTAHQLAMEVIRGFVASQQNGKSE >CDP03991 pep chromosome:AUK_PRJEB4211_v1:1:33692874:33696595:1 gene:GSCOC_T00016510001 transcript:CDP03991 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing protein ZIP4 [Source:Projected from Arabidopsis thaliana (AT5G48390) UniProtKB/Swiss-Prot;Acc:B0M1H3] MRIAEISSPELRRSHDQESGPHSHTLSQIESSIKQLELHSPSTILPEALSSDLRSALTQLTQLAPFPNSVCLSIWKLSYRLWNACVDLSNAFAASGIKSSEEHAKLRQVSADLLFLAADVVGIPSPAFKCASFFYKTGLVWHDLNKFDLASSCFEKATDLVSKVEISSISDNDERKLVLNLNLARSRTAWEVSDRNLSIMLLSRSKNALFGISENYKALASQYLMFGKVILSKNEVSGVNEALKLMNEALELCEKGLRIAKTTEETLALKDLRAKALRFIAAAHLQRDEFDNVLKCVRVLRDGGGDQHPSLSVLAMKAWLGLARYGEAEKELKGMVINKGIPEGVWVSAVESFFQAAGTAGADTAKSVFLGLLGRCHVSAGSAFRVVNRVVGDSGGGSGEGSRVRAKVAAELVSDERVVALFAGEEASKERTAIHALLWNCAAEHFRSKDFQISAELFEKSMLYVPYDLENRMLRAKGFRVLCLCHLGLSQLDQAEEYITEAEKLQPDIASAFLKFKIYLQRKDHCNAIAQVQAMTSCLDFSPDFLSLSAHEAVACQSLPVAVASLSHLLNFYSLGKPMPAMEVVVFRTLVTILTKETGHASDILQYIKRALDRVSEIGADCFFGIGEVGKREKNWFAVNAWNFGVQMGKEKSYGISAQFFRLASEFYSIKFDADIEDYNLMVCKSLLLCVSAIIADEKQTNSTLLETEVKAAIELSDRAGKILLSSSAISLQDEHKETSTESDFIFMHTWSAYDLYSRLSDMGQKQMLLIKSFASSKSCNPLHLLQIGLDASQGPRSNPEVAGFALNSCLSALLASPSPDYQYVALILRKLISVSTIFKGDTDDAVISIYKQAYRIMVGLKEGEYPTEEAKWLSMTAWNRAALPLRLGQTEVAEKWMNIGLELAKKVPGMQTYMSCMEDFVSGYEKKFRELGNGENRPIMVS >CDP09025 pep chromosome:AUK_PRJEB4211_v1:1:31125583:31126958:1 gene:GSCOC_T00028195001 transcript:CDP09025 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFDPWPVFFRREWRRTWPFLVGFAVTGTVITKFSLGLTEEDAKNSPFAQRHRNAYAPSTS >CDP09178 pep chromosome:AUK_PRJEB4211_v1:1:32236515:32239658:1 gene:GSCOC_T00028399001 transcript:CDP09178 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCTPAINNLPLNRHPTPVSITVNNERYFADHPLLLQLDRCSDSTQLKQIHARMLRIGLFSDPYSASKLIQVAAYSEVSSIQYAQKVFDQIPRPNLYSWNALIRSYASSQEPVNALLIFIKMLHGSDELPNKFTFPFLIKASALLSALSVGKGLHGMVLKSEFGSDLFVLNSLIHFYGECGCFDMAYRVFMSMPKRDVVSWNSMIVGLAQGGYAEESLDLFFRMEGENVRPNDVTMIGVLSACAKNLDLELARSMHLYIRRNGIKESLVLNNAILDMYVKCGSMEDAKRLFDKMGVKDIISWTTMLVGYTKVGDFSAARSLFDTMPCQDIAAWNALISAYEQNGNPKEALATFNELQLRKEAKPDAVTLVCVLSACAQLGAIDLGGWIHVYINKHGINLNCHLTTALIDMYSKCGDLEKALEVFHSVDNRDVFVWSAMIAGFAMHGRGREAIGLFLKMQEAKVKPNSVTFTNLLSACSHSGLVDSGRGIFNQMESVFGVVPGVQHYACLVDILGRAGNLEEALDVIDNMPISAGASVWGALLGACRLHGNIDIGERACSNLLELEPQNDGAYVLLSNIYAKSGRWDKVSELRKLMRNSGIKKEPGCSLIEVDGNVHEFLVGDNTHPLSKNIYLKLDEIATRLTSVGYVPMKSQLLQLVEEEHVQEQALYLHSEKLAMAFGLISISPSQPIRIVKNLRICGDCHAAAKLISKLYNREIVLRDCYRFHHFKGGSCSCMDYW >CDP17400 pep chromosome:AUK_PRJEB4211_v1:1:13173147:13180013:1 gene:GSCOC_T00004461001 transcript:CDP17400 gene_biotype:protein_coding transcript_biotype:protein_coding MYFYLFSSVFYCYTQHIHPSKQMGSVVPSFSFGPWGGPGGSPWSYIATDGIKEITLDVGRNIRAISFADAKGFISGTFGGNNPNNIGKEEKITIQWPSEYLTSITGTYGDFNGLLVIYSLSFVTNQKAYGPFGSPSSGQAFSSPPDGNVIVGFHGRSGWYIDAIGIYVQPAPTTSLGPWGGPVGNPWSYIPTDGIKEIIMDVGRNIRAISFADANGFISGKFGGKDPNNIGKEEKITIQWPSEYLTSIKGTFGNFNGDVVIYSLSFITNNKTYGPFGSANSGQAFTASPPAGNVVVGFHGMSGWFIDALGIYVRPRV >CDP17075 pep chromosome:AUK_PRJEB4211_v1:1:37740209:37745491:-1 gene:GSCOC_T00004986001 transcript:CDP17075 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLDFDRDKDLAKDFLSHFADPDGEAKYMNMLQDVANHKMKAVHIELEDLVNYKDLDEDFLRRVTENTRRYIQIFANAIDELMPEATEPFPDDDQDILMTQRSEEGTENADGSDPQQQMPPEIKRYFEVYIRASPKGRPFTIREVKASYIGQLVRISGIVTRCSDVKPLIQVAVYTCEECGFEIYQEVTSRVFMPLFECPSQRCKINKTKGNLIHQLRASKFLKFQEAKIQELAEHVPKGHIPRTMTVHFRGELTRKVSPGDVVELSGIFLPIPYTGFRAMRAGLIADTYLEAMSVTHFKKKYEEYELRGDEEEQILRLAEDGDIYNKLARSLAPEIFGHEDIKKALLLLLVGAPHRKLKDGMKIRGDVHICLMGDPGVAKSQLLKHIINVAPRGVYTTGKGSSGVGLTAAVQKDPVTYEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDADLEMARHIVYVHQNKESPALGFTPLEPSVLRAYISAARKLSPSVPRELEEYIATAYSSIRQEEAKSNTPHSYTTVRTLLSILRISAALARLRFSDTVAQSDVDEALRLMQMSKFSLYSEDRQRSGLDAISDIYSILRDEAARANRMDISYAHALNWISRKGYSEAQLKECLEEYAALNVWQIHPHTFDIRFIDA >CDP03703 pep chromosome:AUK_PRJEB4211_v1:1:35732652:35735408:-1 gene:GSCOC_T00016162001 transcript:CDP03703 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEANKAAIESCCRVLSLISQPQDQKQYRNLAVETGEAVCKFKKVVSLLNSTLGHARVRKVKKIQTPFPPSILLENSICRTDDQPKALQLLRINSPDNPVQDSGSNVKSSLTLGNPSLEFISHGKNTLQLAQQTPPPNYHILQQQQQRYQLQQQQLKRQADMMYRRSNSGISLNFDSSTCTPTMSSARSFISSLSIDGSVANLDGNAFHLIGASRSADQSTYQHKRRCSGRGEDGSVKCGSSGRCHCSKKRKHRVKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPSMLIVTYEGEHNHPRLPSQSANT >CDP09125 pep chromosome:AUK_PRJEB4211_v1:1:31855782:31858798:1 gene:GSCOC_T00028327001 transcript:CDP09125 gene_biotype:protein_coding transcript_biotype:protein_coding MATILRDQRRSVVLVPYPYQGHITPMLQLGQILHAGGFSVIVAHTKFNSPNPLNHPEFFFLPLKDNLSGFDTSFGNTLAVIRAINENCRAPLQGSLAQMMKDQEKHGQVCCIIHDAIMHFGRSVANHLNISSLVLGTCSALYMQVYPSILQLQSEHYFPLQDSKMLEPVPGLGTLRFKDFAIPANIEIPQPLLKFYEDTSNLGSSVGIVLNTTEELDPMSLSQLKQYYKVPLFTIGPFHKMAPTSSSSSFLKEDRSCMAWLDKQAPQSVLYLSLGSLASIEAKELEETAWGLANSGQPFLWVVRPSSVNGSEWIEQLPKGFKDAVGERGHIVQWAPQKEVLAHSAVGGFFSHCGWNSILESLCEVVPMICRPCFADQLANARYLTHVWKVGLELEVVEDRGVIERAIKRLMVENEGKEMRQRAADMKQKLDISTNKGGSSHKSLSDLIDFINSFAR >CDP08934 pep chromosome:AUK_PRJEB4211_v1:1:30314391:30316909:1 gene:GSCOC_T00028071001 transcript:CDP08934 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLGPRCPAQKRVRQGLGFCSVSGKHSVAEQQLHLSSSQTNPLAVVAVAAVAAASPFAMGKTRGMGAGRKLKTHRRNQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLIALFKEKKEKPRS >CDP08460 pep chromosome:AUK_PRJEB4211_v1:1:21242684:21246006:1 gene:GSCOC_T00027366001 transcript:CDP08460 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSSWSSNLQCLPANYVVPAAKRPGKLAPISMDIPVNDLSDTNRADLVQKIMKANQEFGIFQVINHGVPENLMTDAKNVGKEFFAIAAEENAKLTTDAAQDTGSGIFSPKEFAYWKDTLQHRCHPLDNFIKSWPDKPARYRETVGPYTAEVRHYDLTMMIHNYPPCPHPTSALGIRGHYDTVVLTLLQQDVYGLQILKDGQWIGVEPLPNAFVVNIGFSLEVVSNGKLLILKHSSVASMSAFLLKRISIYSLYWKPLNIAKSVVSPSNPPMFRGFQYKEYIEILFSKNADMEATLECFKINSQATK >CDP09210 pep chromosome:AUK_PRJEB4211_v1:1:32488359:32492459:-1 gene:GSCOC_T00028440001 transcript:CDP09210 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDDSQAKRVRVLELSRRQFFILFFFFYFPPLILTLKHRGPDWSGLYQHGDFYLAHQRLAIIDPASGDQPLFNEDKRIVVTVNGEIYNHEQLRKSLPNHKFRTGSDCDVIAHLYEEHGENFVDMLDGMFSFVLLDTRDNSFIVARDAIGITSLYIGWGLDGSVWISSELKGLHDDCEHFEVFPPGHLYSSKNGGLRRWYNPPWFSEAIPSTPYEPLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVAAITARYLAGTKAAKQWGAQLHSFCVGLEGSPDLKAAKEVSDYLGTVHHEFHFTVQDGIDAIEDVIHHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKATSAWGVEARVPFLDKEFINVAMSIDPESKMIKPGEGRIEKWILRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAELHVTDKMMLNAEHIFPHNTPTTKEAYYYRTIFERSFPQNSASLTVPGGASVACSTAKAIEWDASWSKNLDPSGRAAVGVHNSAYEDLLPPVANGNLAPTMIDDVPRMVGIPAKELTIQS >CDP09701 pep chromosome:AUK_PRJEB4211_v1:1:24751691:24756409:1 gene:GSCOC_T00030135001 transcript:CDP09701 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRS2-3 [Source:Projected from Arabidopsis thaliana (AT3G19640) UniProtKB/TrEMBL;Acc:A0A178VLJ7] MRRTGLPARDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITAQEVLLNSQGPSVTSFIEELQRRILRHHQARSQEARGNGNDVDWSNLYDLEEPQSRNVSFRNKDEEGRTEGKQQNAENRDGLKLLPFEFVALEACLEAACSVLDNEARTLEQEAHPALDKLTTKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKLEQQQLDDSSVSSINEQDELDDEANQQDIDERTRANISYEGNGGPTSYDAGLQNMDNTREQLIAAARTLSKDSRGTRTSTTQSAISKQLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVSAFVVVAGIFGMNIHIELFDPDKAGMPQFLWTVGGGTTGTIFLYVIAMIWYKQRRLIE >CDP03523 pep chromosome:AUK_PRJEB4211_v1:1:37126148:37129418:-1 gene:GSCOC_T00015921001 transcript:CDP03523 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSGDEGSFSSGEEHQADKQPQQLQSQSHGSNFAATNTNGSSSQQQQQQQQPGAKKKRNLPGTPDPTAEVVALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTTTEVRKRVYICPEPTCVHHNPARALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEENNKVNQGLMNSMGPNMQGQMPDFMSSMPMNPNANTSMGLSEFNNFDPKNPLKSLPQDLVPMPFKPTNMVGGMFSSSSGTLFGSPKGISSSCSGLQLSSNTPSSFNYLQEGKNGSQLSGSPHMSATALLQKAAQMGATASNTINSPMMQKSFVGSMAGPDQIAGPKSSAYSSMQQHNINNSNNASYENFATHQPDQSGRSPAAGPTRMRGGNGNDVTTVDFLGIGVSRPPNVHEQQQRLDQLEAMSQHRMQVMDPFHQQLSHGHGEPAHVEKPLWDV >CDP17351 pep chromosome:AUK_PRJEB4211_v1:1:4770001:4774937:-1 gene:GSCOC_T00009689001 transcript:CDP17351 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLKHVISAAGTIFPARYSKNPIHIRRIGTRSRHFPLVLYSKKWDFQDFQGYAKPAQLLPASEVQIYEPSSLEQIFYSLKVQNCESLYKVKLQTSSMYGSSLTDSNAGVLLCFIADNSNSILQRIPASLAKDQSLQSGDNENSGVLHFRRGCIDEFIFWGPTLEKIAAIWVGLESGQWRLGQMSLTIICQHQSPSTETDKNPVQFRGFQYDFGLEDILLGEANDFSMAELRPCSVTELSGDVIASVNDKQLHPSLLGSQSLSSEESMKEYADLKFSLLLYDAMLILAGSSIASFSLGENAALAFLTGGIGGFLYLLLLQRSVDGLPAAEMVPTNSMENLGQILGKSRGSLTSLVLAFALAVIAAKYISGDAARVLTPNDLIFGMAGFLMCKISVVLAAFRPLPTGSRENK >CDP09237 pep chromosome:AUK_PRJEB4211_v1:1:32732538:32736663:-1 gene:GSCOC_T00028478001 transcript:CDP09237 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLIISASLIAATSSDKVTLELYYETLCPYCANFIVNYLYKLFDTGLIEITDLKLVPYGNAKIRPDSTVVCQHGPYECLLNTIEACAIDVWPDVHAHFPFIYCVEKLIYDGKKTEWETCFLKLGLDEKPVTDCYESGRGKELNLRNAAVTGDLRPPHTYVPWVTVDGQPLYDDYIDFISFICKAYKGSPVPAACSGLSAADVSQKGSLNIFTPVCYTGVTIKSLFSGITTAVASWVRGVTEAAALE >CDP17122 pep chromosome:AUK_PRJEB4211_v1:1:37346033:37353689:-1 gene:GSCOC_T00005045001 transcript:CDP17122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) UniProtKB/Swiss-Prot;Acc:Q9M350] MLHELLLALLGYTGDLIVDEREQQDTLRINLSPDAPISEECFTFKLAPDISFIQPSERDAIERIIKLGFYYRELDRFATKSRNLSWIRSSNESPSSRTSELFKGKKEKQSVYRRAIANGIAEVLSVYRSAVLHIEQNLLSDSLPILATVTQGLNKFLVLLPPLYELILEIERDGYCGGRLLNLLHKRCHCGVPELQTCIQRLLWHGHQVMYNQLASWMIYGILHDQYGEFFISRLESRDAENDSPAEVVERLTSMSTNDASLTDWHSGFHISLDMLPEHIPMRVAESILFAGKAVRVLRNPSPAIRFQGAIAYQQMPRGSQRPQLSTSRIFFTKDSSSQNKSTGEELLPQSDADKIETMLQNLKESSEFHKRSFESAIDSIRVIAASHLWQLVVVRADLNGHLRALKDYFLLAKGDFFQSFLEESRQLMHLPPRLSTAEADLMIPFQLAAVKTIGDDDRYFSRVSLRMPSFGIPVKSSQVDLPKTKAYVDGDSSVQSETSLEVPLDGWDGIALEYSVDWPLQLFFTQEVLSKYRRIFQYLLRLKRTQMELEKSWASAMSQDHSDFAKHRNDSRSCLTSHQRRQHFRPMWRIREHMAFLIRNLQFYIQVDVIESQWNVLQSHIQNSHDFTELVSFHQEYLSALISQSFLDIGSVSRILDGIMKLCLQFCWKIENQESDEITVELEQISEEFNKKSNSLYTILRSTRLAGSQRAPFLRRFLLRLNFNSFFEATARGVLNVVRPRPTLAVLH >CDP09283 pep chromosome:AUK_PRJEB4211_v1:1:17934798:17946391:-1 gene:GSCOC_T00028573001 transcript:CDP09283 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVASGRGDVERGVWRNGGNDGHKGAAYLVWEDLTVVLPNFGNGPTKKLLYGLHGYAEPGRIMAIMGPSGSGKSTLLDSLAGRLSSNVVMTGNILLNGKKQRLNYGTIAYVTQEDVLLGTLTVRETISYSAHLRLPNTLTKDEKKGIIDGTIMEMGLQDCADRLIGSWHLRGISGGERKRLSIALEFLIRPRILFLDEPTSGLDSASAFFVVQALKNVARDGRTVISSIHQPSSEVFALFDDLCLLSGGEIVYFGEAKMAVKFFAEAGFPCPSRRNPSDHFLRCINSDFDVVTATLRGSQRLREADNLADPLGNLATSDIKAMLVYKYNSSEYASRTRSRIQDISTIQGLEIERIKGSQARWWTQLSTLTQRSFANMSRDVGYYWSRIGIYIIVAICVGTLFYDVGTSYTAILARGACGGFVTGFMTFMSIGGFPSFIEEMKVFTRERLNGHYGVAVFVLSNFLSSFPFLAAISVITGTITFYMVKSRTEFSHYVYYCLNIFGCIAVVESCMMIVASLVPNFLMGIIAGAGVLGIMMMTAGFFRLLPDLPKVFWRYPVSLMSYGSWSLQGGYKNDLVGVVFDGLWPGDPKLNGEDVLKNMFGLSLDHSKWWDLFALYCLFLTYRVLFFVILKLKERTTPFFRSLYAKRTIHYLKRRPSFRRKPSFPSRRHYNLHSLSSQEGLSSPIP >CDP04054 pep chromosome:AUK_PRJEB4211_v1:1:33178854:33180068:1 gene:GSCOC_T00016590001 transcript:CDP04054 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFRLSDMMPNAWFYKLRDMSSRSRAASHPPAKKKSSSTSTKAPSQKSPYYLSQPRQSYYYSSDFSTKGSDQFRHGSTFPKGFDHLHFPDPPRRSSKRRTRRKTVYRPSPRRMNTTSFASDDHCNCHASSVTSVWLKPEQALVRDLFDSSTDSSVEPDFLRSPSSVFESDVIDAPESPNGLASRSSSCSCGFSSSATDIIIDVNAKSYTRKIEKLDAFDKMPELEDLPPILTKPAKFDDASEKAAKFRNSPKLERESAARHGSVSSKTVREETVKAKRETTSSPGTRKSVSHSTGIKLRANSPKLASKKIQGQGRKSLSGNRRSKTLQKKGFSESFAIVKASIDPEKDFRESMMEMIVENNIRASKDLEELLACYLSLNSDEYHELIIKAFEQIWFNMPDL >CDP11084 pep chromosome:AUK_PRJEB4211_v1:1:7954497:7954646:-1 gene:GSCOC_T00033102001 transcript:CDP11084 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSHNRMSGSIPKSFDHCFSLISIDISYNQLEGPLPNTSAFQKLHLML >CDP08939 pep chromosome:AUK_PRJEB4211_v1:1:30361591:30365703:1 gene:GSCOC_T00028081001 transcript:CDP08939 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFKSSEDGFLEFYKREIGFSSPSTFSRHISASQNLVEQIGLYGKLTGHEGCVNTIEFNSAGDHLVSGSDDKQIKLWEWATKALKFSYPSGHLDNVFQARIMPFTDDRKIVTSSADGKVRLGEVLENSQVETKRLGKHHGRVHKLAVEPGSPYIFYSCGEDGLVQHYDLRTNSATKLFYCSAFAEQRNSNCIRLNSMAIDSRNPNYFAVGGSDEYARVYDIRKYQLDGSSNVDSPINTFCPSHLIETHDVHITALAYSSMSELLVSYNDELIYLFQKNMGLGPSPSSMTPEYVHNLEEVQVFSGHRNCQTVKGVSFFGPHDEYVLSGSDCGHIFIWKKKGAKLVRLMLGDRQIVNQLEPNPHIPVLATCGLEKSIKLWAPTSDDVVPLPPNAEEIMEGNKQRRQDHSRVALTPDIIMHVLRLHRRQALAYIERRPNREDIESDEDDGRDAYILGFSDGDSEEGVTGNPRECNIS >CDP08448 pep chromosome:AUK_PRJEB4211_v1:1:20727076:20727675:-1 gene:GSCOC_T00027343001 transcript:CDP08448 gene_biotype:protein_coding transcript_biotype:protein_coding MERYGIVADEVIYGLLIRIYGKLGLYEDAQKTFEEVEKLGLLSDQKTYTTMAQVHLHFGSFDKALSLMEKMKFVNILSSRLALIVLLQCHVKKEDLASAETTFQALSKTGPPDAGSCNFMLNLFMKLDLIERAKHFAMKIRKDQVEFDMELLKTVMKVYCKEGMITDAKNLIDDLCRTKIHKRCFNNCVHHQYYMSRII >CDP03973 pep chromosome:AUK_PRJEB4211_v1:1:33773315:33775237:-1 gene:GSCOC_T00016490001 transcript:CDP03973 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAALQRLASQVSKSPSISLCSRAIINRSSASLSSSPSAKVADRIVKLSVVDPDGIKREVVGLSGQTLLKALANHGLIDPASHRLEEIDACSAECEVHIAQEWLEKLPPPTYDEQYVLKRNSRVRVLNKHSRLGCQVVLTPELQGMVVAVPEPKPWDIP >CDP15612 pep chromosome:AUK_PRJEB4211_v1:1:26691565:26692664:-1 gene:GSCOC_T00015526001 transcript:CDP15612 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIAQSLFFNSTIPTTFSKGNSLRFLVLNDNQLQGPLPRSLAHCERLELLDLGNNKIDDKFAVWLEILSNLEVLILRSNRFHGAIGNWDIIIPGKGLNYYVHSASLVIKGVEQSLERVLITRTAIDFSSNRFEGQIPEIIGSLHSLQTLTLSHNNFSGPIPKALGNLSMLESLDLSSWIRLEGTIPRELVNLDSLGFLNLSENRLVRPIPRGRHFDTFGDDSFRGNLDLCGFPLAKGCGDTEAPPPATPWEAEQQYDDSEFFDGFTWKAVLLGYGCGLVLGLVMGGLIFSTGKPRWIVLIVEESFKLRRRPRKWIHIRT >CDP07043 pep chromosome:AUK_PRJEB4211_v1:1:29082347:29086785:1 gene:GSCOC_T00024139001 transcript:CDP07043 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLFLSLFQLLLIASPTLIPFAYANESHRKLSLSSSTLNFPKAQAERLIRQLNLFPELEINISPGHPGNLSGSRIEEKQFSLSCLGDSGATVENLGHHAGYYKLQHSKGARMFYLFFESRKSKSDPVVIWLTGGPGCSSELALFYENGPFKIANNLSLIWNDYGWDKVSNIIFVDQPIGTGFSYSSDKSDTRHNEEEVSNDLYDFIQAFFEEHPQYAKNDFYITGESYAGHYIPAFASRIQRGNKDKEGTGINLKGIAIGNGLTNPEIQYQAYTQYALDNKLITQADANTLSPLVSQCQQAIKSCGPDGGTSCRQAYSICNGLFNSILEIAGNINYYDIRKQCISNLCYDFSNMEKFLNEKSVRDALGVGDIEFVSCSGEVYQALITDWMRNLEVGVPAFLEDGVKVLVYAGECDLICNWLGNSRWVHAIEWSGQKDFEAAPDVPFVVDSVEAGLHKGHGPLSFLKVHDAGHMVPMDQPKASLVMIEKWMQGTLPVTGIADKPSTQ >CDP09192 pep chromosome:AUK_PRJEB4211_v1:1:32364294:32369933:1 gene:GSCOC_T00028420001 transcript:CDP09192 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITGGLTVASSDSVNHEAPSSSSSAHVQEQEENAFLARDRTPNDLEQYHPPHQHRHRPVSYRLNVSVFQVVNASIRDEACAAFIVLVTFWILACLAVILGFYGPSNIELGPNSSRLLDANPFFVQSIKVKELHESKHRPVLYGFDELPRLDVKITWSEAHVAFIEPGNHREWQYFLNKGSKIRVSYCVKSPTGAALSLVIVEGKGNLVDWIEDPSHPTTTLSWNIIHGTGTVEQEILRSKMYYIALGNLNFEEVQVQLNFTIEALTYNTTQANYNCSVSHRPCIWKLFFMGNAAIITTPGPELGMTSYIWHAQISYGQRWISYLVGSASMTVLLIVATKICFSFRITGEYETGFQAGMTASERAPLLSQKDDDLSSWGSVDSMSHDEEELNDSIATPDGKQLKEGDGLKDHRQLCILCSDAFRDCFFLPCGHCAACFTCGKRAMEEAGKCPICRKTMKKVRKIFSV >CDP08487 pep chromosome:AUK_PRJEB4211_v1:1:22157259:22160290:1 gene:GSCOC_T00027411001 transcript:CDP08487 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTFDFHFCLDFKVHTQKHIYIHIHMRVNIYIYIYIHTYILNIIILKTHKFPKKVGILTALVILICCATAISSALAIHDHPHRKIFVGSMGVIASVAMYTSPLVVVKQVIQTKSVKFMPFYLSLFTFLSSTLWMTYGFISHDYFLGSPNMIGSPLGLLQLLLYFKYRKHYTMDVPSSSDVEKNSEKIKQEMLSHAEDNNDNAKDQLQLVLSEETKGKM >CDP08981 pep chromosome:AUK_PRJEB4211_v1:1:30829535:30830142:-1 gene:GSCOC_T00028135001 transcript:CDP08981 gene_biotype:protein_coding transcript_biotype:protein_coding MDESKKWSFLHGQDVDFRLILDMEGGELKFLSLMIKKIHE >CDP09078 pep chromosome:AUK_PRJEB4211_v1:1:31550618:31553792:1 gene:GSCOC_T00028270001 transcript:CDP09078 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFLYTYLISTIINILRWLDNHQPLIMNSDHNHGDSSAFQQHYRCYPVSFIEKSHLEKGDKIIMPPSALDRLAYLQIEYPMLFELSNPSAGRVSHCGVLEFVADEGLVYIPYWMMENMLLQEGDIVHIKNASLSKGTYVKLQPHTTDFLGVSNPKAILETTLRNYSCLTTGDTIMVAYNNKKFYIDIVETKPSAAISIIETDCEVDFAPPLDFKEPEKPEKPSLSNKVPPEVDEEPAKKIPKFNPFSGSSRRLDGRPALQSPPSTFSPVPKQQHKEESGGTNVLTSSASTSRRFSGKLVFGSNVENSSSGKQKAASKENNEDSVPKAEAKFQAFTGKKYSLKD >CDP08457 pep chromosome:AUK_PRJEB4211_v1:1:21045489:21050763:1 gene:GSCOC_T00027360001 transcript:CDP08457 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKLFSLLKSCINSKSLTNGKVIHQKLITLGLQNDIGLSKNLINLYISCHEFQSAELVFQTIDNPLDITLWNGLMAAYSKNFMYNEALELFEKLLKFPHIRPDSYTYPSVIKACSGLKRAENGRMIHAQLIKIGILSDVVVASSMIGAYAKCDMFDYAVQLFDEMPERDVASWNTVISCYYQSRQYEKALELFENMKRMGFKPNSVTFTSAISSCARVTDLERGMRIHQDLVRSEFVLDDFVITALVDMYGKCGCLEKAKEVFEQIKKKSLVAWNVMISGYGLRGDSKSCIELLLRMNEEKIRPSSTTVSSLLMACSKSAQLQHGKFVHGYITRNDIETDIIVSSSLVDLYFKCGSIVPAERIFLKMPKNNVISWNVMISGYVSVGSYFEALAIFNEMREVGIKPDAVTFTSALASCTQLAALEQGKEIHKLVMESKVEPNEIVMGALLDMYAKCGAVDESLCVFNQLRKRDLVSWTSMIGAFGSHGQAHEALKLFFDMLLSNVLPDRVAFIAIISACSHAGLVDDGYHYFNVMVNDYKIQPNSEDYSCLIDLLGRAGRLDEAYAILQRTPCIKEDVGLLSTLFWACHKHGEQEIGEEIARLLMQKDLDDPSTYTILANMYSSNRRWDIARKFRMKMKELGVRKNPGCSWVEIDKRIQTFFVEDKSFPLAEMVYECLSTMSSHMEKDELLYD >CDP09126 pep chromosome:AUK_PRJEB4211_v1:1:31858894:31859355:-1 gene:GSCOC_T00028328001 transcript:CDP09126 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGNRRSSIFDPFPSDVWDPFRDISLPSSFVGETSSFVNARVDWKETPEAHVFKADLPGIKKEEVKVEVEDDRVLQIRGERNVEKEDKNDTWHRVERSSGQFMRRFRLPENAKMDQIKAAMENGVLTITIPKEEAKKTDVRAIQISG >CDP03600 pep chromosome:AUK_PRJEB4211_v1:1:36521608:36528135:1 gene:GSCOC_T00016015001 transcript:CDP03600 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSDISVSAAINLLSALAFLLAFAFLRLQPINDRVYFPKWYLKGIRASPRSSGPFMKKFVNLDIRMYLKFWTWMPAALRMPQPELIDHAGLDSAVYIRIYLLGLKIFVPIAILGFAVLVPVNWTGKTLEHIHNLTFSNIDKLSISNVPSGSERLWAHLLMAYTFSFWTCYVLYKEFKIVSTLRLHFLASEGRRPDQFTVLVRNVPPDPDESVSEHVEHFFCVNHPDHYLSHQVVYNANKLAKLVEKKKSCQNWHTYYQTKYERNPKKKPTTKTGFWGLWGKTVDAIDYYTAEIEKLSEEEATERERVMSDPKAIIPAAFVSFKSRWGAAVCAQTQQSSNPTIWLTEWAPEPRDVHWANLAIPYVGLTIRRLLVAVVLFFLTFFFMIPIAFVQSMANIEGIEKVLPFLKPLIEAKTVKSVIQGFLPGIALKIFLILLPTILVTMSKIEGFTSLSSLDRRSAAKYHLFLLVNVFFGSIITGAAFEQLQSFLKQAPTEIPKTAGVAIPMKATFFITYIMVDGWAGIAAEILRLVPLVMFHLKNTFLVKTEQDREDAMDPGSINFSTSEPRIQLYFLLGLVYSVVTPILLPFIIIFFAFAYVVFRHQIINVYDQKYESGAKFWPDVHRRIIVALVISQLLLMGLLSTKKAANSTPLLIVLPVLTIWFHLFCKGRFESAFVKFPLQDAMVKDTLERATEPNLNLKAYLHDAYIHPVFKCVQLDKPKAVDDEENNPLVATKRNSRRDSKTGSDGIPETSV >CDP09082 pep chromosome:AUK_PRJEB4211_v1:1:31570762:31577236:-1 gene:GSCOC_T00028274001 transcript:CDP09082 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSKLSRSLSRSSASRNVINGRFRGRSAIWDNGNFGGFDVKKLNNSEFGGKLGLLREYLASVGGKSGQFPKASYFLDFNYVIANPRLRRFFSSEAPKKKKYENFYPKDKKETPKENGQKSESKEGGNADGNNNFQDTIMKLAQNLITPLLVVAIILSSLSISPREQKQISFQEFKNKLLEPGLVDHIVVSNKSVARVYVRSKPRNLSHEDAEEGAPFGSSNPSGENTSRYKYYFNIGSVESFEEKLEEAQEALGIDPHDYVPVTYASEMVWYQELMRFAPTLLLLGSLMYMGRRMQGGLGVGGSGGKGARGIFNIGKAQITKVDKNAKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEQLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSMSGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDNPDIKGREQIFQIYLKKIKLDQEPSYYSQRLAALTPGFAGADIANVCNEAALIAARTEETQVKMEHFDAAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVVGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDEFEMTRPYGSKTAAIIDAEVREWVGKAYNRTVELIEEHKERVAKIAELLLEKEVLHQDDLVQVLGKRPFESAEVTNYDRYKQGFEEEVQKTKQAIDDRTTNDDGPSPLEPEVVPA >CDP09085 pep chromosome:AUK_PRJEB4211_v1:1:31602805:31603427:1 gene:GSCOC_T00028280001 transcript:CDP09085 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEDEGCSTPRRHENQIAVMSVPPAAPKKKRYDYGGERPHPPKSGFFQPPDLELLFVVPPRRRQACA >CDP15590 pep chromosome:AUK_PRJEB4211_v1:1:26319322:26322088:1 gene:GSCOC_T00015491001 transcript:CDP15590 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVELDLCPSLAPFFWEDNFIEFSQSLLENLVDIAVWGEACDSRLETLLTPLQKKLVFFKNLILFARLHGKNQLELMKYCKTLVPFAAGLCYKCWFFREDNTVLDEMSSQIAKLIEKFESVVYQVRSILVCGSSSLTVSTKMHMIIAGEFVDSLLSNLLELLQHCPSRFLESLQHQMRILYDGLQFLRNILKKQQEKYDGLPGRIKCIIGVVVNDAGVVIFSLPQYNIPEALAKEIDIKLFCLLGKINTIKAAVDESYPVVPRFNFRTTNVLGIIDHLLDKLKELANYKVDPHKQNEKLQLQAMQDELVFLRSFLERSREHPNYHEGLEALWSHVVKVAYKAEFVIDSLIVRDVSFYSLLLLDNVREEIVNLAQKATEMSKASNKRTIEALYQVPSEGGISTNNKAVVELKDEAQAKIDQLIGGSTHLDMVSIVGMPDPSKATLE >CDP13148 pep chromosome:AUK_PRJEB4211_v1:1:1651392:1651964:-1 gene:GSCOC_T00037992001 transcript:CDP13148 gene_biotype:protein_coding transcript_biotype:protein_coding MATISALHIFAISVLISFLAIQFTHGEEYNFVKSIDRKAIKLRKQKLSHFRFFWHDILSGQNPTSVTVVQPPKNTTTFGFLNIVDNLLTLGPELSSKMIGRSEGFYASTSLEEVALLVTMNFVFHEGKYNGSTITVLGRNPVFDKVREMPVIGGSGLFRFANGYAQARTYTFDPNTRDATVEYNIFVMHY >CDP03618 pep chromosome:AUK_PRJEB4211_v1:1:36394848:36397174:1 gene:GSCOC_T00016041001 transcript:CDP03618 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTVIPVPPSAENVESSYKDAKNTGNRFSFLSTCSVAFRVIQEKRCSRGSMRKVIHSVKVGIALVLVSLLYLLDPLFTEVGENAMWAIMTVVVVFEFSAGATLSKGINRAIGTILGGGLGCLAAILADEFGGIGNAIVVGASVFIVGVAATYSRLVPRIKRRYDYGVLIFILTFNLVAVSGVRADKVIRLAKQRLSTIGMGFAVCIFTNLLIFPIWAGDELHRSTAAKFDKLARCLEGCLEEEPNNKAENLGAKVKDCKSVFHSKSTDESLANFAKWEPWHGKFGLSHPWDMYLETGEVLRELAALILSLGVCVQSSRQLHQATAIREPCEIIGLSLAWILRELGESIFNMTRCRAKALIAPKLEFIKQELSRLGSSLQIKGLEKDENLAMATFIFLLMQISENVEVIAQEVEKLGQLAYFRTKNPDV >CDP04052 pep chromosome:AUK_PRJEB4211_v1:1:33198184:33205305:-1 gene:GSCOC_T00016586001 transcript:CDP04052 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGRGGDANGVAVMGDERPSSTYDNTSHHQRHPGPDPDPSSIKQENLGVAEETALEVLNAIHPTMDSEEKRKDVIDYVQRIIRNSLGFEVFPYGSVPLKTYLPDGDIDLTIFSSPYVEEFWASDVLSILQREEQNENAEYEVKDTQFIDAEVKLVKCLAQNIVIDISFNQLGGLCTLCFLEQVDRLVGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSSLHGPFAVLYRFLDYFSKFDWENYCISLNGPVCKSSLPEIVAQTPHNEGTELLLSDEFLRNCMEMFSVRSRDLETNSRLFLPKHLNIIDPLKEYNNLGRSVHRGNFYRIRSAFKYGARRLEQILSLPKDEIADEIQKFFGNTLQMHGRNCGSDTQDYALLFGEGSSTLYSSSPAAVLSEDDMLLRSSTSDLESDGLLMEGHYNSVQLYRCSSDLDSLQTMSEPGYSLDGTPVSRYLCNGDSCDLATHNSLDFRTSNVTSDYSPYINYSGSGFGQYHHLSQLYLPKSYAENGHCSQTYSSDGEEDELGLDQWLEQKVNHLDLVDTSQSCADSLDGFCSCSSAVSSPRTNILENLLPDIRERDSGSVVDAEPLNPLANLSGDYDSHIRSLLYGQCCNGFALSAPGLSNPSVLRSRFGNKKPWDTVRHSMPLKQGSFSQMNSSTTIVGSPASSHAPPVSAFPSEEKHKARGTGTYLPIKNCSSRDRPSQGRPRFKATGTPFQSERHTQDNGFVPAFMETNSLPKGGRELLHAWCPVQPRTKSGVSCQSLHSKAGDTCANGFSNSMRRIEFGSLGNLADDVILAPCNACLLSSNNQKNATPDLTKEEARVADQLFGLENEDEFPPLSL >CDP09101 pep chromosome:AUK_PRJEB4211_v1:1:31690096:31691925:1 gene:GSCOC_T00028298001 transcript:CDP09101 gene_biotype:protein_coding transcript_biotype:protein_coding MERAKNEPVSKCKASAKGKPPTRLQKQAPAALHLDGIRNASKVFPCSDPPEDASSLAAIPLLSPIPLLSPSREAPDESSGDGGCGNGASGGGQCRIEQQNFGDLSASGGWQHPAVTTPLTEPSALFAMFQSQCSLVNPNQLQVSQR >CDP06895 pep chromosome:AUK_PRJEB4211_v1:1:27537002:27538539:-1 gene:GSCOC_T00023933001 transcript:CDP06895 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhN [Source:Projected from Arabidopsis thaliana (AT5G58260) UniProtKB/TrEMBL;Acc:A0A178UG88] MVSSVCSYHGILLPSSTLEQQRLIFPSMTRSTLNMSRKQTNIGGGGGHCRKTNVACNVSLEDFIGGDLLKFDLGQWLSDVEEHKALAIYPPHEGGYEGRYLNRLRYQGYYFLDLTARGLGDPETTLTKFHPVCPPHVGKQPIARWYFPPEVDYRLSLLPPNAKGLVVWIIEAKVLSKAELQFLALLPTLRPRVRVIAECGNWRKFMWKPLKEIAGLPAQEGP >CDP07107 pep chromosome:AUK_PRJEB4211_v1:1:29749622:29752540:1 gene:GSCOC_T00024225001 transcript:CDP07107 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHATVHPVPVEAPPPQTEDPNAPLAAGVRMKDIQGMPGTVGGLFLRFAQFLFAVVALCVMAATNDFPSVTAFCYLVAAAGLQSLWSLALAIVDVYALLVGRSLQNYRLVSLFAIGDGVTSTLTFAAACASAGITVLIGNDLGSCDQNHCTEFETATAMAFLSWFAALPSFLLNFWSLASR >CDP14614 pep chromosome:AUK_PRJEB4211_v1:1:15089625:15091262:-1 gene:GSCOC_T00041200001 transcript:CDP14614 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLFYYGLGDTTATYATNFLNLIPIVTFLFSTILRIEELRLHTRAGKIKTMAAILCLGGALVIAFYMGKAFHIPHLNVEKHSILKTTKPREWTRGTIFLVCSCLSYSLWFISQVKLFQLFPYKFWSTFYICIIASVQQVVIGLCIDRSKAAWHLGWNLELVTIFYSGSLATSASFCLISWAISKRGPTYPSMFNPLSLVFVAIAEAFFLGEAITIGSLLGMFLIIVGLYAFLWAKNKETKAIFKTIRANGEVEKRSVESAATVVPALSPQNDINHGDKEQQTDAVVLTRLQALNFLIETCKLRFDHFRFILSTNIVSIHRTYMHVVMY >CDP03633 pep chromosome:AUK_PRJEB4211_v1:1:36265776:36267813:1 gene:GSCOC_T00016058001 transcript:CDP03633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive patatin-like protein 9 [Source:Projected from Arabidopsis thaliana (AT3G63200) UniProtKB/Swiss-Prot;Acc:Q93ZQ3] MEGGLELSKVTLEIFSKLEQQWLHHCQPHKKTRILCIDGGGVGSPTAIFAAHSLILLEDQIRAKSADSQSRIADFFDLIAGTGIGALYAAMLAADDGYGRPLFTARDAVNFVSQNLMDMALKEAFRREDGKVLTLKDTCKPLLVPCFDLNSSAPFVFSRAGASESPSYDFELWKVCRATSATPSLFKPFHLQSVDGKTSCLAVDGGLVMNNPAAAAVTHVLHNKRDFPSVTGVDDLLVLSLGNGPSSLKLRANGDCSTSSVVSIVLDGVSETVDQMLGNAFCWNRNDYVRIQANGCVSGGVGEKEEDVMEERGVESLPFGGKRLLTETNGQRIAGFVQRLVASGRTSLPPSPCKETAVSPLANGRLVFFFFFFFALLVL >CDP17566 pep chromosome:AUK_PRJEB4211_v1:1:1145424:1149014:-1 gene:GSCOC_T00011052001 transcript:CDP17566 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGFLKILLVFFYHNDNNIGAARGLAFLHTTEKQVIYQDFKASNILLDSDFYAKLSDFGPAKLGPVNGYSHVTTGSSFIKLTGGSWWVLTVMHLLSTWPLASSSRD >CDP03998 pep chromosome:AUK_PRJEB4211_v1:1:33631614:33637099:-1 gene:GSCOC_T00016521001 transcript:CDP03998 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANPSAAAGGGGQNNGNSNSNHSNNNGQRANGATNNGLSVPENSVLGPNQAGLMHDPGVNTDWTSEEQSLLEDLLNKYSSDGIIVRYAKIAGQLNDKTVRDVALRCRWMNKKENGKRRKDDHNSARKSKDKKEKVTDSLPKSHAGNRTNGPPYAQSVMSMDSDDGISYKAIGGATGQLLEQNAQALDQISANFAAFKIQENINLFCQARNNILSIMNDLNDLPEIMKQMPPLPVKLNEDLANNILPRASLPKKS >CDP09124 pep chromosome:AUK_PRJEB4211_v1:1:31853767:31854129:-1 gene:GSCOC_T00028326001 transcript:CDP09124 gene_biotype:protein_coding transcript_biotype:protein_coding METHMTFFHGENWESIVVYVLHLLAVFAMSILVEWLSHTRLINSDKNSNVVAGLMQSGLYSIRIALAYMVMLKVMSFDVGVFVAAVGGYSLGFLIFGSLVFDESGTAPYHKPADLPPLNC >CDP11075 pep chromosome:AUK_PRJEB4211_v1:1:8218175:8219528:-1 gene:GSCOC_T00033088001 transcript:CDP11075 gene_biotype:protein_coding transcript_biotype:protein_coding MLITTDNLSHLQSELLFGSLTGLSLAKNMLTGLIPLSIGNLSDLTRLYLFQNHLSGSIPEEIGKLRSLTKLILFENILMASIPRSIGNLEIGNLLSIETLALDENNLTSNVPNSIGDLEKLTTLSLFGNKLSGQIPSAIGNLTNLYYLELSRNNLYGAIPPELGTLKLLAYIHIFQNQLSGHLPANICIGGSLTMFIVSINNFVGAMPRSLKNCSSLTTIGVGENQLSGNISEEFGVYANAEFISLRKNKFFGELSSNWSAYSKWSAYLNLVALEISNNNLSGRIPTGLGELSCLQKLSLSSNHLHGKIPRSLGKPTLLLYLKLHNNNLSGNIPSEIGQMSRLLNLSLSANNLSGSIPEQIGNCTQLLDLNLSQNALIDSIPSQIGYLPSLATLDLSQNMLLWCSIL >CDP20991 pep chromosome:AUK_PRJEB4211_v1:1:27023222:27030436:1 gene:GSCOC_T00006218001 transcript:CDP20991 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPSLLSLCLNLIVDELLRGGCDDEFLAILFKIPPELFDSMLPRLTPLALENLHRNMPSHECSTNGPQDENSGSQRKRKRWLNFEGAWKKLYEVRWGDCGAQIHSISWSAKQFEAKHELRDDWQQMYWERHLQNCLDAAAEIAMVPSFSDGIGVIKIPATIVRCIGYWEHMNSSACDYSKFSDHCQHFGLYARRLRLPSALCLPEICDLLRTSNLETLEIHWIKLKDHVDGICELLKQNSETLKSIEFVHCKLSTSFIDAICDSLWIKGLKTHGIEHFSIRISNFLDTSSSALPAGLASFLSSGRCLSSLSLSDDHLRRNFVEMILDVLFDSSSNIAALDLSENYMSGWPSHFKWTSRPGKQLSSGIGKSLQSLRVLNLRNNNLQRDDVDFLKYALVCMPKLESLDLSDNPIEDDGIKNMMPYFVEMSEKPFSLIDLKLGQCELTFNSVSQLLNVLSRWKKPLISLSIGENNLGRFVGMNFHAFIELTSSQSPI >CDP16800 pep chromosome:AUK_PRJEB4211_v1:1:4098311:4100735:-1 gene:GSCOC_T00019316001 transcript:CDP16800 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGALTSGLQQLQLKTCQLFGTSDLHHIDNILPKKRASLKPLVIEAKANAKTESAKTRNIRLRKKVCFCLWNYKICVLGKICSAH >CDP06894 pep chromosome:AUK_PRJEB4211_v1:1:27535957:27536918:1 gene:GSCOC_T00023932001 transcript:CDP06894 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSFKFTLLSLLAIFANSWMAIARDPDILTDFIVPPNLNGSEITGHFFTYHLNTTGNGGPDARETDVTMDEFPALNGQGVSLAVYTFPPRSAYPTHIHPRASELFLVTKGKVEVGLVDTANKLYKNSLGAGDVFLFPKGLVHYQYNALARASASAVSFFGSASPGEVSLPTTLFATGIDDGILAKIFKTDVPTIQKLKSGLATPRF >CDP18578 pep chromosome:AUK_PRJEB4211_v1:1:228213:232372:-1 gene:GSCOC_T00012409001 transcript:CDP18578 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQGGGFEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYAHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQWYDQ >CDP14098 pep chromosome:AUK_PRJEB4211_v1:1:11434703:11437088:-1 gene:GSCOC_T00039303001 transcript:CDP14098 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKEAQEFFNPYHGMMVKYCNGCFKLYSSESGFTELPRKLKKSERKPLVTNVNELKQRARLEKQRRRLVQEVTLNAPENGLLVKSLVPVAHHVLSAKAELLACARRVADNIPIYFCSLCGEVHVGGTPHMIRTCDVSGSQSTKEHTWERGKVEHLLPVVDSFHLYDRLGRAVSHDERLQVDRIPAIVELCIQAGVDIPEHPTRRREYPIYRVAGRLIDFEKRFPKDYSSGKDISAFGFWDTPLKSGGVEKNLDLLSDDIKGFAEKGMEAWEKMRSGAIKLMQKYAVQTCGYCSEVQVGPKGHRVRQCQAFKHQMRDGQHAWQEATIDDVVPPVYVWHVRDHHPVVLVDALKRYYGKLPAVVELFAQAGAKVGESYNGVMREDVVVPELDEEKLVV >CDP17081 pep chromosome:AUK_PRJEB4211_v1:1:37671141:37674639:-1 gene:GSCOC_T00004993001 transcript:CDP17081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 2 [Source:Projected from Arabidopsis thaliana (AT1G02640) UniProtKB/Swiss-Prot;Acc:Q94KD8] MGWEGVQLGGFFGIAQPIRHTEPQKIDHLFILPSSQTSQPSIRRKLKMATVVPSKTRKGTRSAACNASALSSLCCFLLIVTITIFASDGNAEGLRPAFACDARDARTKGWGFCGTNLGIAERVSDLIGRLTLQEKVRLLVNNAAEVARLGIKGYEWWSEALHGVSNVGPGTKFGGEFPAATSFPQVITTAAAFNASLWEEIGRVVSDEGRAMYNGGLGGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAGKYAASYVRGLQGNLDGGRLKVAACCKHFTAYDLDNWSGVDRFHFNAKVSRQDIEDTFDVPFRSCVKEGKVASVMCSYNQVNGIPTCADPQLLRHTIRGAWRLDGYIVSDCDSVGVLYDNQHYTSTPEEAAADAIKAGLDLDCGPFLGLHTERAVQLGLLKEADINSALANTLTVQMRLGMFDGEPSKQPFGNLGPHHVCTHSHQRLALEAARQGIVLLKNHGPSLPLSPRRHRTLAVIGPNSNVTATMIGNYAGVACGYTTPLQGIGRFAKTIHQQGCAGVACSSEELFGGAIDAARQADATILVMGLDQSIEAEFRDRAGLLLPGHQQQLVTRVAAASKGPTILVLMSGGPVDVSFAKNDARIGAILWVGYPGQAGGAAIADVLFGTHNPGT >CDP03734 pep chromosome:AUK_PRJEB4211_v1:1:35492631:35495811:-1 gene:GSCOC_T00016207001 transcript:CDP03734 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRVLRWFDVQSVPGDYRFPLEDRPGRLPIPLCDAVPVIDLHKSTTSPEKWSMVRQIVEASQEYGFFQVINHGVSEDVVRETSTVFKEFFNMYAEGKKGTSASDGDLSRGWIYMNSSSVFAKDGIHLWRDNVKLACHPLEECMQGWPPKPTRYREVVATYVEEMRRLSGRILELICEGLGLEAGYLERLSQVQLVVGNYYPPCPDPSLTLGLLKHCDPSLITILLQEGNACGLQVLHNGKWIGVAPLPNALVVNIGNQLEIISNGKLKSAEHRAVTNSYEARISIATFINPSHNSIVEPAKVLVDELNPPRYAPTLYKDFAHTSKVASTEATKPPAPLDS >CDP08476 pep chromosome:AUK_PRJEB4211_v1:1:21971832:21973887:-1 gene:GSCOC_T00027391001 transcript:CDP08476 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNPKCLKASFFPQNDQNPSEKSAVRTEPMKANLSPASADDNCKEDRFSALPDHILFNILSFLRTKEAASTSILSTRWRYIFLDLPKIDLDDYELVNTRRFRGDESDDCYHDVMGELEEKFIDFVDRLVMHRESPLSEFHFSCGCGCLTDQTIVVRSWLSSVLSRNVQVIDVRVKFYGGEFWGPEVFPSEILTCETLVVLKLDGNVSLKVPKLLCLPNLRVLHLDTLTLLGDSTGSTLKWNCPLLDDLRIDLVIFCDVGLVDINLPSLTKLVWASQKDKVVLNTPKLECLEYRFYESILSSNCKFKFLTKADLRCEYPDALPEHLAHELCQLFGQLCNVKHLDLRGCSLESLLREDHLLPEFLNLTHLVLKWTWIGSWKFLEILLWSAPNLEMLVFELMRQFDMASGIHLHCGAKEKPHCLSQHLREVKIMEFVESHQLGFEMVSYFLKHGAGLQKMTIYHSRTSPTTWSSSTRKKLMELSRCSRNCEIVLI >CDP16766 pep chromosome:AUK_PRJEB4211_v1:1:3331924:3337474:-1 gene:GSCOC_T00019258001 transcript:CDP16766 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTSLSSKHHTLIQALLSRGPLKESEFRSIFHRVTGKSPDHQVFNDYISDINDELSYVQLELRKCLNQYDGKAYYGVVNTVSDEHSKLGTKYSVPQIALYKGILEAIVQDTAGEGSISNIDALNIRLENQVLSGVDSQSQDNSTEIPSALKNFSMSQKDKTLEEFVHDQWLCSISDGRIGLGVRSFLDLRSWFHDNEVPTCQVCNEAAVKAEFCQNENCNTRVHQSCLKKLFSLAGVKRVCPGCGTQWRLVAKREATEEQEADDQDGPSENTSQPDPSARKRLRTSKGVDRNTQESDSTITSSTLSGSRRLTRSSARRTAAA >CDP09238 pep chromosome:AUK_PRJEB4211_v1:1:32737131:32740720:-1 gene:GSCOC_T00028479001 transcript:CDP09238 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREEVVCVTGGSGYIGSWLVRLLLDRGYTVHATVKDLKDEKETKHLEALEGAESRLRLFEIDLLNYDSIVSAVTGTTGVFHLASPCIVEKVDDPENELLAPAINGTINVLTAAKELGVRRVVVTSSISAIIPSPNWPADKVKNEECWADEEYCKQKGVWYPLSKTLAEKAAWKFAKEKALDIVVVNPGTVMGPILPPALNASMLMILRLLQGCTNTYEDVFMGSVHVKDVALAHILVYENSSSTGRHLCLEAISHYGDFAAMVAELYPEYKVPRLPKDTQPGLLRAKDGAKKLMDLGLQFIPMEQIIRDAVESLKSKGFIS >CDP03988 pep chromosome:AUK_PRJEB4211_v1:1:33707597:33711450:-1 gene:GSCOC_T00016507001 transcript:CDP03988 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNILKLPFTQKKFLKLPISLNSGSLISLRSRSLFFSSKQLCPLPHFSVKWSNAHMHCRVRGFAAVKSGKIQPQKKKKRLDEICLERFQQYSRTFIQSWILQGKVFVDGKVVYKAGTPIPDKAVVLIKAEIPKYVCRAGHKLEAAIEQLGVDVSGKVALDSGLSTGGFTDCLLQYGASYVYGVDVGYGQVAEKIRQDERVSVIERTNLRYLSELPQKVDLVTLDLSFISILLVMPAVVNVMKEEATLVTLIKPQFEARRSQVGSGGIVRDPAVHQEVLDKIIKGVEDYGFSCKGWIESPLKGAEGNVEFLACFCRTAV >CDP06923 pep chromosome:AUK_PRJEB4211_v1:1:27768525:27770123:1 gene:GSCOC_T00023970001 transcript:CDP06923 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAYTSTDRTSYFCCEIVEFVRNIDDQQILIPVCPSLSREPNKLFTGGRSIIQLLYKKFEELDIRESTPVKCRMEWITLVDHWANNKSVQEALHVRRETIGQWVSCRDALPYTKNAGSVVPYHANLSTKGYRSLIYSGDHDLMVPHIETQAWIRSLHYPIIDDWRQWIHEGQVAGYTRTYANKMTFATVKARNSCFYCFSARFVHMVTTNNKVRTFLGLTSTPFFFFLGAGRSPCCL >CDP08971 pep chromosome:AUK_PRJEB4211_v1:1:30744739:30747166:1 gene:GSCOC_T00028124001 transcript:CDP08971 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSISSHSLLHRNNVEGFSALVSSPTACYDSETSPFRKVFSAGDLEGMTPKQHSRRADSSLANEYSIIESMSKACRYSPEEKKERIERYRSKRNLRNFNKKIKYECRKTLADSRPRIRGRFARNDEIEKASQNQWDQAGIEEEDEDDDNWINILDTFSTTLIP >CDP03682 pep chromosome:AUK_PRJEB4211_v1:1:35916148:35920578:1 gene:GSCOC_T00016128001 transcript:CDP03682 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-carotene isomerase, Strigolactones biosynthesi [Source: Projected from Oryza sativa (Os11g0587000)] MLFVVLLSPLILSIINNPVITIELSFIIKSSIIFPSSLPLSIYLLSNMDAKLSVVVQHYCHLSPLCHPRRKHRSDHSPSPYVVAVLSRSPDKSVELSGTMASRTVYKDNWFDRAAINHLSQRLQETTGLRSSKSGYDGLVEAAGVAYRKFNSSQQQDLIIQTLEKAFPRPILSAAMQIRALLPQSKFAREFFAVFTTIFFAWLVGPCEVRESEFDGTKEKNVVHIKKCRFLEGTNCVGMCTNLCKMSSQEFIKDSLGMPLNMVPNFDDMSCDMIFGQEPPLVINDPAFVQPCYKICKDLKILI >CDP06995 pep chromosome:AUK_PRJEB4211_v1:1:28633456:28638100:-1 gene:GSCOC_T00024071001 transcript:CDP06995 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSQSLSLALKNLSISPNESNQFAAQSVDFQFRLLGSSKNSTFSSFSIRNDGSFRENNRSNSCQVFQLKCSESDYIAKTSALKEVIEEPRIDNGSGGDGGNGRLPSGGGGGGGGGGDEEEEGDFDEKEFGPLLKFEEVMREAEKRGVTLPSDMLEAAETTGIRSLILSRYLGLQGSVWPLGFLMRYCSMLRNRMLADPAFLFKVGTEVVIDTCCATFAEVQKRGKDFWAEFELYAADVLVGVVVDIALVGMLAPYARIGKRSVSSGFLGRLQLATASLPSSVFEAERPGCKFSVQQRIATYFYKGVLYGAVGFGCGLIGQGIANLIMTAKRNIKKSEKDIPVPPLVKSAALWGVFLAVSSNTRYQIINGLERLVEASPVAKRVPPVAMAFTVGVRFANNIYGGMQFVDWAKWSGVQ >CDP06963 pep chromosome:AUK_PRJEB4211_v1:1:28313219:28318501:-1 gene:GSCOC_T00024024001 transcript:CDP06963 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDFKQLVNIAFFKFVKQLNDTPAKRRKYKERAISGSLKCIVCRSQEEFVGTEGLATHVFTSQEIGLRSRHLGFHKALCALMGWKSAEKPNVTWSPEKLSDVENLTLKEDLILWPPVVIIHNSTIGNKSPDQQVIISIEEFETKLREMGFGDKTKVYRGKPANQSVLVVEFAGRLSGLQEAERLHKVYAGNDHGRAELLRINQNGETVSAPVNNMENILYGYLGIAEDLDKLDFDAKRRRVVKSRKAIKDIAEASIKTQ >CDP14605 pep chromosome:AUK_PRJEB4211_v1:1:14176868:14177895:1 gene:GSCOC_T00041173001 transcript:CDP14605 gene_biotype:protein_coding transcript_biotype:protein_coding MDISYFELPKVGRVHSGFMEALGLQRGSGWPENIPQSDRQYAYYTIREILKDALKNNPKAKFIVTGHSLGGALAILFPSILAYHEEKELLERLDGVYTFGQPRVGDSRFGAFVEDNLESRTRKYFRIVYCNDLVPRVPWDNSWSDFQHFGKCIYFNSLYRGNIVDEVPNKNYFSVFMFMPKKMNCVWELMRGFGMGMFMGSEYKELKLMRAVRFAGIFTIAGLPAHAPLDYVNSTRFASPDLYSSKPWLGQ >CDP03576 pep chromosome:AUK_PRJEB4211_v1:1:36713472:36716809:-1 gene:GSCOC_T00015985001 transcript:CDP03576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin receptor GID1B [Source:Projected from Arabidopsis thaliana (AT3G63010) UniProtKB/Swiss-Prot;Acc:Q9LYC1] MAGSNEINASESKRVVPLNTWILISNFKLAYNMLRRPDGTFNRELAEFLDRKVPANTIPVDGVYSFDVVDRATSLLNRVYRPASENEDQWGKIELEKPLSTTEAVPVIVFFHGGSFTHSSANSAIYDTLCRRLVSICKAVVVSVNYRRSPEYRYPCAYDDGWAALKWAHSRPWLRSGKDLKVHTYLAGDSSGGNIVHHVAVRAAESGVEVLGNILLQPLFGGQERTESEKRLDGKYFVKIQDRDWYWRAFLPEGEDRDHPACNIFGPRGRSLEGLNFPKSLVLVPGLDLVQDWQLAYVEGLKRSGKEVKLLHFKDATIGFFFLPNNDYFYTLMEEITSFIHSNC >CDP03592 pep chromosome:AUK_PRJEB4211_v1:1:36570967:36575997:1 gene:GSCOC_T00016005001 transcript:CDP03592 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPWGGVSCCLSAAALYLLGRSSGRDADILKSVTRVNQLKDLAQLLDSAYKVLPMVVAISGRVGSDTPINCEYSGLRGVIVEETAEQHFLKHNDAGSWIQDSALMLSMCKEVPWYLDDGTSRVNVIGARGATGLVLTVGSEVFEESGRSLVRGTLDYLQGLKMLGVKRIERVLPTGTPLTVVGEAVKDDIGTIRMQKPHKGPFYVSHKTIDQLIANLGRWARWYRYASMGFTVFGVYLIAKHAFQYIMERKRHWELRRRVLAAAAKRSSKEEEGSNGVSENGSDNSKKDRTMPDLCVICLEQEYNSVFVPCGHMCCCMACSSHLTNCPLCRRRIDQVVKTFRH >CDP04057 pep chromosome:AUK_PRJEB4211_v1:1:33158010:33162293:-1 gene:GSCOC_T00016594001 transcript:CDP04057 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWKLNSLKLTNTRLLMYLVSIALISLLAMLASTTRMSPPGSRSLLTSKADFHFQLQIQEAQTLGQRNGAVEIKHSLDAGGRKLLGGPGSSPPRCISKCGRCTPCRPVHVPVPPGTPVTTEYYPEAWRCKCGNKLYMP >CDP07096 pep chromosome:AUK_PRJEB4211_v1:1:29654956:29659497:1 gene:GSCOC_T00024212001 transcript:CDP07096 gene_biotype:protein_coding transcript_biotype:protein_coding MGLARNPTTRSGEFLEGMLNDYVGGKQQAKLRVQKSTASARLVTVLTCLQFAFAVYATFLLYYMSPTIDLGAPKPDFSWATKIAHQWKQYIIQPQPLVVSHYQQEANSLIRAELLPPVSPTEVCEHEKIDFVQKKSNDAIMIKFKRELYQEVLDFQSKSFGTETLPQLMKMKSKWDLRGPNKPKVTVILNHFKRKTLCAQLDSLLQQTLPFHHVWVLSFGSPNELSLRRIVESYNNSRISFISSSYDFKYYGRFQMALQTEADLVYILDDDMIPGRKLLQILSHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSAELVKTLFIETPFTFMTGEDLHLSYQLQKYRNAGSFVLPVDPRDKETWGDSEHRLAYVSETTVIFKDIVRVRDDQWWKALSTGYMTQWAAMYPQKIDAMFYAHSIQEVKTLAPLLEKFRSTFGKKAYIAVSGGNFCPCEDAATALGWPKAVCKERRFKIFDLGIGSLSGISNSEVPIVQAVYASMKGLVKIHNPSVVITVDDVDSSVRKALKMAVETNQNGSTLVLLPKSSVPKVLWMADLRSTALPNWNKMRISVNIITQNRAKSLARLLKSLSDAYYLGDEVPITFNMDSKVDESTLKLVNSFNWPHGPKIFRRRIIQGGLIRAVSESWYPASDDDYGLLLEDDIEVSPYYFLWIKYALLAYHYDPQISLPELSSISLYTPKLVEVVKERPKWNASEFFKHIHPYTPYLHQLPCSWGAVFFPKHWREFYVYMNMRFTEDAKQNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKENVVRHDKTDFEVPLLKEDFRNFLPNGKLPPASKLPSINLFNQAVSLKGMKAAGAKLRQDVLSCNPTEVVAVNHETGLPSHCARF >CDP09119 pep chromosome:AUK_PRJEB4211_v1:1:31822042:31826279:1 gene:GSCOC_T00028319001 transcript:CDP09119 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDMVKLISADGFEFVIDEKAAMVSQTIRNMLTSPGSFTETQQRQVTFPDISTTILEKICQYFYWSLQYASGKETEFHIEPELTLELMMAANYLHT >CDP15599 pep chromosome:AUK_PRJEB4211_v1:1:26514643:26518839:-1 gene:GSCOC_T00015504001 transcript:CDP15599 gene_biotype:protein_coding transcript_biotype:protein_coding MEACNIFRALKSFSLRIPHSRSVRSSFSLLPIAKIHTGGERLVKKAYDGLLLDAGGTLLQLPKPVEQTYAEIGKKYGLQTTPAEIKQGFKRAFSAPWPEKLRYQGDAKPFWKLLVSEATGYNNDDYFEEVYEYYANGNAWLLPAGAYETMLVLKDSGVKLAVVSNFDTRLRKVLKDLNVLDLFDAVAISSEVGYEKPDAHIFKSALDQMSVEARRAVHVGDDEKADKEGANAIGIDCWLWGTDVKTFSDIQSRLLLTET >CDP14440 pep chromosome:AUK_PRJEB4211_v1:1:9693313:9693999:-1 gene:GSCOC_T00040903001 transcript:CDP14440 gene_biotype:protein_coding transcript_biotype:protein_coding MILHAKTRQEGDDHIALTVNVLWQIWKSRNARIFNSAQQHPLKVSEKAAQEWKEYQEAFQQRIRKSTTDTRRQEEINRREQTCSEVVTQKLATQHQIGNNSFGIGITAANGASQGIAAWSLKERQAGNKAQDNAEAVRLCMIKAATKGWRDIKIRIEDRKLLDQIRAGKVRSLELATIIEDIQKMTSWFRMCFFDRLNEDIDSMCYSLSKIALLNFCDMEWNYSIPEC >CDP09012 pep chromosome:AUK_PRJEB4211_v1:1:31066136:31067247:-1 gene:GSCOC_T00028179001 transcript:CDP09012 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGKVKKGAGGRKGGGPKKKPVSRSTKAGLQFPVGRIGRYLKKGRYSERVGTGAPVYMAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTDKATKEPTKSPKATKSPSKAAKSPKKAAAA >CDP04039 pep chromosome:AUK_PRJEB4211_v1:1:33338320:33339772:-1 gene:GSCOC_T00016570001 transcript:CDP04039 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGISPQSPMYSRQRVKFSEHVVTTNKPIDDRRSLTPSGQSLTVVRQKVVRIIYTDADATDSSDDEEETRNVRRVKRHVEEINFGPPPKTTKIEQPRNGKRSHQSLTPPAEPDVSSRKKFRGVRQRPWGRWAAEIRDPTKRKRVWLGTYDTPEEAASVYDRAAVKLKGPDAVTNFPVESIKETVGGTCQSEKSSESATSEYVALSPTSVLRYDDATSQTETEVSVGIGTSDAVSSATSVLRGCDGLTPFGNFPFPEFDDLALGADFPLSWPPMEPSGKNYAEEFGEFDFDDFLVEVR >CDP11070 pep chromosome:AUK_PRJEB4211_v1:1:8395918:8417268:-1 gene:GSCOC_T00033080001 transcript:CDP11070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 3 [Source:Projected from Arabidopsis thaliana (AT5G13000) UniProtKB/Swiss-Prot;Acc:Q9LXT9] MSSRGGSSTQQPPLQRRLTRTQTVGNLGETVFDSEVVPSSLVEIAPILRVANEVEHSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNQTQAVEVDREILEAHDKVAEKTEIYVPYNILPLDPDSANQAIMKYPEIQAAVYALRNTRGLPWPKDYKKKKDEDILDWLQAMFGFQKDNVANQREHLIMLLANVHIRQFPKPDQQPKLDERALNEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLTKVVTPIYKVIAQEAARSKRERSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCLERHGFEKNGDNKPSRDRWVGKVNFVEIRSFWHIFRSFDRMWSFFILCLQAMIIVAWNGSGQPSLIFDPHVFKKVLSVFITAAILKLGQAVLDVILSWKSRNSMSLYVKLRYILKVFSAAAWVVILPVTYAYTWDNPPGFAQTIKNWFGNNSNSPTLFILAVVVYLSPNMLAALLFLFPFVRRFLERSNYRIVMLMMWWSQPRLYVGRGMHESAFSLFKYTMFWVLLIATKLAFSYYIEIKPLVGPTQAIMSVHINTYQWHEFFPRARSNIGVVIALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPEEKNEPTKKKGLKATLSRNFAEIPPSRQKEAARFAQLWNKIITSFREEDLISNREMDLLLVPYWANRELDVTQWPPFLLASKIPIAVDMAKDSYGNDRELKKRIEADSYMSCAVSECYKSFRNIIMSLVQGKREKEVIEFIFLEVDNHIEGGNLIKDYNLSALPSLYDLFVKLINFLLENKQEDRDQVVILFQDMLEVVTRDIMEDQLSSLLESSHGGLGHEGMVPLDQLYQLFASAGAINFPIPESEAWKEKIKRLYLLLTVKESAMDVPSNLEARRRISFFSNSLFMDMPTAPKVRNMLSFSVLTPYYTEEVLFSLHDLEVPNEDGVSILFYLQKIFPDEWTNFLERVNCNNEEELRGSDELEEHLRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDDLMEGYKAIELNEDQMKGERSLWTQCQAVADMKFTYVVSCQLYGIHKRSGDPRAQDILRLMTTYPSLRVAYIDEVEEPSKDGTKKVNQKVCYSTLVKAAMPNSNSKEPGQNLDQIIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKRHDGVRYPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYLSTLITVLTVYVFLYGRLYLVLSGLEEGLSKQPAIRDNKPLQVALASQSFVQIGFLMALPMMMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRFYSRSHFVKGLELMILLIVYEIFGQSYRSSVAYILITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVLPEKSWESWWEEEQEHLSHTGIRGIVAEILLSLRFFIYQYGLVYHLNMTKNTKSFLVYGMSWLVILLVLFVMKTISVGRRRFSANFQLMFRLIKGLIFLTFISILVTLIALPHMTAQDIVVCILAFMPTGWGLLLIAQACKPLVHRAGFWGSVRTLARTYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRTSRNKD >CDP08919 pep chromosome:AUK_PRJEB4211_v1:1:30188439:30191236:1 gene:GSCOC_T00028053001 transcript:CDP08919 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKINFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQVQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKELEKEPNPWLLKLSQSTLPLVVLLLGTCAKLLLLVLSRLLTRRILLEPKSPRLLLRRVPNEPFLPRILASLCQNWVLDRRWQMLQN >CDP07016 pep chromosome:AUK_PRJEB4211_v1:1:28861707:28866032:-1 gene:GSCOC_T00024097001 transcript:CDP07016 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADLHNVSVFDSSFLRESHSSQSRQWGEQSRQSTRASSLLQMWRELEGEHAVNHPNARLGERIQHQRSDRSNTDSVSTFLSDGPESINGNESLEASYVQNEYGTCSQSHIGSENEHDDSNSLVSEQSADLGEVERERVRQIFREWMNSGAKSHPPNGSHTNNHSRAQWLGENERERVRIIMEWVQVNCQQRGNYDSPTDEGASEIGPQIELVRDGLLVNHGEICERKAIRRLCGRQALLDLLARAQSERKREIEELLDHRPVSSFTHRSRIQSLLRGRFLRNESTSRDERQSSRAASELGLLRQRNTVSDLREGFLSRLDNSVRGSSNNHQSEDASSSEVHTRNGQLESDEEQEVLEDIYDLFEPSDEESERNDFPSIGYINQQHSVIEEAEGHGDEGQELLNNVDYMPREQGGENDVSGNLRTDVQANPLLCETSEIETSVHDYLVDTDEIVQEQNAPNGGASDVREITGQVEDREPNAAEDSNWQETSAQVEEEQELFLDHEQIEWQQPTSTEADEWAHGNEEGLTETWEENIAHQWDQERPDNDFRDHHNTQEPNEDWHDDGLQEAIDSWLDVPSGQGVGGSSGRVDAFYFPEDDNVYSMELRELLSRRRVSSLLRSGFRASLDQLIQSYVERQGNASMDWEADGASSSPAFIEQDQEQQNDDQVQDGVLSGGVDRISASDTASQPVWDQDLHRLNWSHNSPHHQLGIQEWEIINELRLDMVRLQQRMDNMQRMLEACMDMQLELQRSVRQEVSAALNRSAVSADTCKDAQHKDDSKWDFVRKGICCMCCEQNIDSLLYRCGHMCTCSRCAEKLVQGKEKCPMCQAPVIEMVRAYSVQ >CDP14442 pep chromosome:AUK_PRJEB4211_v1:1:9681307:9682015:-1 gene:GSCOC_T00040907001 transcript:CDP14442 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTDQIINGIILVMLTAGTNTSLVTIEWALSLLLNHLEMLEKARVELDAQVRTDRLVDEHAEGIGVSMPKAKPLEKTCFRN >CDP13074 pep chromosome:AUK_PRJEB4211_v1:1:3148072:3148338:-1 gene:GSCOC_T00037843001 transcript:CDP13074 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFLHIENSHLHLTQFVPRILTLTITYMLPFVDRPRFQRECVERNNKLLYYILDCLSMGSSSRLKIWRSAARPCQ >CDP17509 pep chromosome:AUK_PRJEB4211_v1:1:20039825:20040689:1 gene:GSCOC_T00011442001 transcript:CDP17509 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTLGLVSLSLVDLEVLVKAGQPKGRKNAMKILPIVKNQHLLLCTLLICNSLTMEIYALLPAWATILISITLILAFGEIIPHAVCSRYGLSISAKLSIVVQLLVIVVFPISYPINEVLSPSQSQRASQLI >CDP11076 pep chromosome:AUK_PRJEB4211_v1:1:8141271:8203458:-1 gene:GSCOC_T00033091001 transcript:CDP11076 gene_biotype:protein_coding transcript_biotype:protein_coding MHAASSTGSEGKALLRWKASLDNYSQSKLSSWSSSANPCSAWDGVRCNKAGRVSVINITGSGIKGTLDHLNFSSLPHLTTIELSQNALHGTIPSNIGNLSRLTYLAFWSNQLSGAIPIEISQLTNLRFFNKLSGWIPEEIGKLKSLTKLSLGDNTLTGRIPLSFGNLSDLTLLYLFQNFLSGPIPEEIGKLRSLIECSFGQNLLTGSIPQSIGNLGNLILLFLFNNFLSGPIPEEIGNLLSLEDLEFGNNNLTSKIPNSIGNLEKITRLSLFGNKLSGHIPSAIGNLTKLTDLQLSQNNLYGAIPLELGTLKLLAYIRIFENQLSGALPEGFNNLTHLNLGISKNYLTGRIPTGLGMISRLQKLHLSSNHLHGKIPSSLGKLTLLLELKLHNNNLSGNIPTEIGQMSRLLNLSLSANNLSGSIPEQIGNCTQLLDLNLSQNALVGNIPSQIGSLPSLATLDLSQNMLESKLPLELGEMKSIETMNLSHNRISGSIPKSFDHCFSLISIDISYNQLEGPLPNISAFQKAPFDSLRNNKGLCGSVTGLKPCSQSTQKNTSRRTTKRMIFLINKPQEFTRNMFSAWSFDGKMVYESIIDAIENFDPKYCIGVGAFGSVFRAELPNGQVVAVKKLHETDGGALRRPKDFANEIRALTNIRHRNIVKLYGFCSNVQHTFLVYEFLEGGSLMHLLSNDETAAKCEWIKRVSIVKDVANALSYMHQNCSPSIIHRDISSKNILLDSEYQAHISDFGTARILRPDSSHWTSFAGTYGYAAPVVNKRKGKGTVKDESKDENKCKKFHGVRQWRWGSWVAEIRDPVKQKQVWLGTYDTTEEATMPYDHAAIRFRGPKAITNFPPPSPVKNELSSITSAVK >CDP13083 pep chromosome:AUK_PRJEB4211_v1:1:2836974:2838023:1 gene:GSCOC_T00037857001 transcript:CDP13083 gene_biotype:protein_coding transcript_biotype:protein_coding MELFKLILVQLTGEEVKVDDRNVIVKKIGEKLKGQRYFLVLDDVWVHEQGLWNDYFNTLMGLNETKGSWCLVTTRLVPVANAVSRPLKMNDGPYFLGKLPDNECWSILKEMVIAGEEAPTELEAIKNQILRKCDGLPLAAKLIGGLLVNNVKEKWKSIVEEGLLDEDQDEIEQILKVSFDHLSPASVKKCFAYCSIFPQDTKLGEDELIQHWIAEGFVLPDRKNTRMMEETGGEHLRILLQSSLLEKVAYEGSTYYKMHDLVHDFAKSILNPQSSNQDRYLALHLYEEMVENVRRNKAASIRSLFLHLGGGISADMNMLSRFKHLHVLKLSGYDAIFLPSSIGKLLHLR >CDP08973 pep chromosome:AUK_PRJEB4211_v1:1:30760503:30761656:1 gene:GSCOC_T00028126001 transcript:CDP08973 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CDP11078 pep chromosome:AUK_PRJEB4211_v1:1:8085878:8090051:-1 gene:GSCOC_T00033094001 transcript:CDP11078 gene_biotype:protein_coding transcript_biotype:protein_coding MMALQQIRKKAQKDGQKKNEETISNVASEIKSNLDELRTKLEKDRQAFAKALSKSSKDCENLLKNESTKFQAVYENFCKEKTTHMQGLKDAISKYEEEKEKLFMRYEQLRKKEKSMIAELEKACASRITDLEESLKKKKQDDKTFSILRKTLGSFLDNASDEDFPPDD >CDP08474 pep chromosome:AUK_PRJEB4211_v1:1:21804473:21804805:-1 gene:GSCOC_T00027387001 transcript:CDP08474 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTVGNACGTIEFLHAVGNIASEINLAEGSYPNKFFKTTANMNPEECATFLENDREMEVAHSVAATGGDTEARDNVDIHFICFTRVNGQLMSFMRTSFTWFFLFEQLVA >CDP17347 pep chromosome:AUK_PRJEB4211_v1:1:4839601:4840611:1 gene:GSCOC_T00009679001 transcript:CDP17347 gene_biotype:protein_coding transcript_biotype:protein_coding MELIPALPEELGLECLIRLPYTAHRAATQVCRQWRKLLRSQEFYYHRKKYGYTEKLACLVQAFPAEKTIPDTKPASSPSYGLTVFDPLSQTWERLGPVHKYPNGLPLFCQLASGEGKLIVMGGWNPASYEPVRDVFVYDFTAQVWTQGKDMPSKRSFFAVGAIGSKVYVAGGHDENKNALKSVWAYNVSEDEWVELTQMSQERDECEGVVIGDKFWVVSGYKTEAQGEFESSCESYQLDTGDWSRVEGVWKPGKCPRSCVGVGNDGKPVSWPESNSGAGEGAIGIKMDELVILKSGSADQEFFLGKMDGANIDKLKKLEVPNEFSGLVQSGRFVEI >CDP06942 pep chromosome:AUK_PRJEB4211_v1:1:28035583:28038938:1 gene:GSCOC_T00023994001 transcript:CDP06942 gene_biotype:protein_coding transcript_biotype:protein_coding MQHYIVYMGNHAHPSSESVIKDNHHMLASVIGRHDVIHHHYTKSFRGFSAMLTADQAQRLAEEESVVSVFQSKINKVQTTHSWKFLKVDSIQQYNHLPIKVKSDVIVGVIDSGIWPESKSFSDHGLGPVPKKFKGKCVPGEMFTLANCNRQEEICDIRVDILIRARYYFKGFEAQVGPLESFNGTFFRSARDSDGHGTHVASIVAGSLVPDVSLIEAAKGTARGGVPSARLAIYRACWFDICTDADMLSALDYAIHDGVDVLSTAVGPQPPQPSYFHDAVSIGAFHAFQKGILVSASVGNGFFAQTATNVAPWILTVAASTMDREFQSNIGLGNSKIIKNAFLFQGFGLNPSNLEILISLFFLISVFLSFCQINSLDPNLVKGKIVVCTVEKITDNATQLGVYTRNIGGVGMILVEPLAQDLVFQFGTQATLIGQDELEEIQKYIATERNPVAAIYQTKTVLATKPAPEIAAFSSKGPNIITPDVIKPDIAAPGVNILAAWSPVALFFSGLHPVDYDVISGSSLAAAHVAAVAAIIKTSHPSWSPAAIKSAMMTTATVLDNTGNCIRRHPHDTCATPFDYGSGHVNPVAAIQPGLIYDLDSNDVIDFLCSYGATAAQLKNLTSQIFRCKSPSRPSYDFNYPSIGVSNMNGSLSVQRTVTYYGKGSTQYISKIEQPSGVHVTVTPSVLKFTNAGDKMSFTANFTPSKASNGSFVFGALTWSNAIHTVRSPIAVNVLSL >CDP06959 pep chromosome:AUK_PRJEB4211_v1:1:28266297:28271562:-1 gene:GSCOC_T00024019001 transcript:CDP06959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase E, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22510) UniProtKB/Swiss-Prot;Acc:Q9FK88] MGSSIQLVFGAVPCHYTTAKSYFTRSSSYFSVKNYVKGKWDKDILCEQLKGPVDFQGCLRVQGRGAISRLYSVGKPNRRLETLSCKCQRTESVSQAIVEVGSGKSVNGMSEPSSLQSLEGPKLLNNEKTVISSDDEIAAASEMSDTLQGFGINSIEDEAWNLLRASIVYYCGNPIGTIAANDPSAANILNYDQIFIRDFIPSGMAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKFSGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLVPEEASADLIRALNNRLVALSFHIREYYWIDMRKLNEIYRYTTEEYSYDAVNKFNIYPDQIPPWLVEWMPTTGGYFIGNLQPAHMDFRFFSLGNLWSIISSLATTDQSHAILDLIEAKWEDIVANMPLKICYPALEGEEWQIITGYDPKNTPWSYHNAGAWPTLLWQLTVACIKMNRPEIAENAIKVAERRIARDKWPEYYDTKGARFIGKQARLFQTWSIAGYLVAKLLISNPKAAKKLINVEDSELVNALSYALSANPKKRPRRKLKQSYII >CDP09698 pep chromosome:AUK_PRJEB4211_v1:1:24707889:24710992:1 gene:GSCOC_T00030131001 transcript:CDP09698 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSDLHTEAGLKALDEFLAGKTYVSGDQLTKDDVKVYAAVLKQPSADLYPNASQWYQSVSSKLAPSFPGKAVGVRIGQGAAAEAAPAVVAKEAPAAEDDDDLDLFGDETEEEKKAAEQREAAKASTKKKESGKSSVLMDIKPWDDETDMKKLEEEVRKVEKPGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDDLIEDQLTVEPCSEYVQSCDIVAFNKI >CDP09651 pep chromosome:AUK_PRJEB4211_v1:1:23787884:23788428:-1 gene:GSCOC_T00030052001 transcript:CDP09651 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEEFPKNSENKASQSYKKTPILCNLIMRMILMISTSKVMNLETRMMKIKMKNGLDSSLEHVVLFRLIFIKHFNMNLLPYLDCYLLRIKHVWDFYFINFVNLDEFGELFDELNINLDDWILWLYFVNLICRCIYIYIYMYI >CDP17127 pep chromosome:AUK_PRJEB4211_v1:1:37324073:37327979:-1 gene:GSCOC_T00005050001 transcript:CDP17127 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOEP16-4 [Source:Projected from Arabidopsis thaliana (AT3G62880) UniProtKB/TrEMBL;Acc:A0A178VCJ7] MEGDIGGEVPCSSFAVDSALRVGTAGLIWGSCTGSLEATKLGLTGITRASFVAKSAGRYGIQWGLFATVLSFTRCGLQRYRGQHDWVNPVVAGAVAGTVIGASARNWKQAAGVSGLVCALYYAAEDAT >CDP09613 pep chromosome:AUK_PRJEB4211_v1:1:23137462:23142298:1 gene:GSCOC_T00029984001 transcript:CDP09613 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLITCCSFIIFFYKSLSFKLLPLLACEMRLLSLWCWKDFSLLSLLTKSIKSSSSPSSSEIMSILDLPELVLECILEKLPPEGLCSMAAVCRSLRDRCMSDHLWEKHMNQKWGRIIGPAAHREWQWHIATRKDSCFNQATPKGSFNYLTQLWPLSLVRSSLKNRITKRKNSPPVDSVMSWYLALESGKLFPAQIYNREHGHVGFMLSCYDAELSYNPRTDTFQARYPPHGNRAVAVETDVTWDRIRAPPVDTPPHDLHISDCLSELHPGDHIEIQWRRNKEFPYGWWYGVVGHLETCDGNSNYCRCHESDSVVLEFNQYTPGSRWRTTTLSRKDHREGGNEADGFYGGIRNLQRNEEISTWKKLWPTEILE >CDP09093 pep chromosome:AUK_PRJEB4211_v1:1:31657058:31658861:1 gene:GSCOC_T00028289001 transcript:CDP09093 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKINGYDNGGGSGSGDDDRVSDWEAGLPGIDDLTPLSQALIPPDLASAFRITPEPSRSMLDVNRESQKTLTSLCGGASGGSSHHSQALSSSNNFKSFHDGTTITGNRTHRDNMIIDIDETTDLTREGSDSRKTRRLMESPTEEADSSAAGKNSCTNNNDNCGEDVSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSTEGPSSSDHLFASTPVPPSLHDSTGGGSGGAATGHGLGHGNSNGHVGMPIPMPYPPPAGAQMVSVPMMGMPGPTPGGYHGYESHHHHHHHHHQYGMVQQQRDWAGNKFATLAPYHHHVAPNDK >CDP15566 pep chromosome:AUK_PRJEB4211_v1:1:25892231:25898926:1 gene:GSCOC_T00015455001 transcript:CDP15566 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit beta [Source:Projected from Arabidopsis thaliana (AT5G20890) UniProtKB/Swiss-Prot;Acc:Q940P8] MVENLFTIQIKYYLRKNFHFQTQPKVEALQSTHSKPSYSAITQNPRALFPSLLCCSGRKPPLQPSPPSLTVVQEKASRLSSLPPINNYPFRNLGSLLSLSTCGSVFSLPSSFTMAVEKLFKDEATEEKGERARMASFIGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNAKIHPMTIISGYRVAAECARNALLEKVVDNKQDAEKFKSDLMKIAMTTLSSKILSQDKEHFAKLAVDAVMRLKGSTNLEAIQIIKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVAEIEGAEKEKMREKVQKIIAHGINCFVNRQLIYNFPEELFADAGVLAIEHADFDGIERLALVTGGEIASTFDNPESVKLGQCKLIEEIMIGEDKLIHFSGCEMGQACTVVLRGASSHVLDEAERSLHDALCVLSQTVNDSRVLFGGGWPEMVMAKAVDELARKTPGKKSHAIEAFSRALLAIPTIIADNAGLDSAELVSQLRAEHHEEGSAAGIDVISGSVGDMAELGISEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >CDP09181 pep chromosome:AUK_PRJEB4211_v1:1:32269586:32272525:1 gene:GSCOC_T00028404001 transcript:CDP09181 gene_biotype:protein_coding transcript_biotype:protein_coding MALLITYDEHGGFYDHVPTPVEGVPNPDGIIGPDPYYFAFDRLGVRVPTLLISPWIDKGTVIHEPNGPTPQSQFEHSSIPATVKKLFNLKSNFLTKRDAWAGTFENAFKLRETPRDDCPEKLPEVKQSLRPGGPREDVELSEFQLELIQLASQLNGDHVLNAYPDIGKGMTVGEANRYAEDAVERFLEAGRAALRAGANESAIVIMKPSLTTRTAAVDARYLETF >CDP08478 pep chromosome:AUK_PRJEB4211_v1:1:21983696:21984690:-1 gene:GSCOC_T00027393001 transcript:CDP08478 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPKTAKLSRESPDDDCQEDRLSALPDGILCHILSFLPTKFAASTSVLSTRWRYIFFGVPKIDLDVDDILLIHPHQQGAGNDVNDGKVIYIRY >CDP03615 pep chromosome:AUK_PRJEB4211_v1:1:36412386:36414543:-1 gene:GSCOC_T00016037001 transcript:CDP03615 gene_biotype:protein_coding transcript_biotype:protein_coding MDELETFSPSDFVSIVDIRYKDQTICSKVLWGIPNANGFNGWFFNCPFRIDLLTNSARDDDHAGEVKLSVSDGLPPITSMEKERKDGKLWQDLHDGIRLSWILVNSKIKQAANLSSWSSLGGQRHWPTDKDFLIRFGSVLPAKDILPCPAVECILLMRFRVIHTEGIGVQTTLKLTELSMQLEDMEGAHVNGRNSLLVLKEALSCRRSKNYSEALESCLLYSKVQSELKEEKMRNESRLDRIFILGGIAVCMTFCYYFL >CDP17058 pep chromosome:AUK_PRJEB4211_v1:1:37856241:37858492:1 gene:GSCOC_T00004967001 transcript:CDP17058 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKNAGLGQRKCAGIAAEALTRGVSTAVNVEKETTQQPGRERNLYKRLSALGAKKGLVGATINEYLREGRVPHKQELMRCIKELRRFGRHHQALETMEWMDTRNVKLSHRDHATRLDLICKTQGIDAAEDYFNGLPPSAKNQFTYGALLNCYCVEKMKDKALDLFDEMHQMQIAPSSLAFNNVMSLYMRLGQPEKVPPLVDEMKKRKIPLSTFTYNIFMHSYSCLDDIEGVERVFEEIMQEGGKRYDWTTFSNLAVAYVNAGLNEKAVLALKKVEQEMGPRNREAFHYLLSLYARTANIGEVHRIWKSLRSSLPTVTNLSYLTLLQALNKLNDVHGLQNCFQEWESTCSSYDIRLANLAIGAYLRHDMAEDAESVFHSALKRSSGPFFVAREMLMMYYLKNRNIRLALQCMEAAISELDGSEWQPKSDSICKFLTVCEEEKDVDSAEEFCKYLKKVNCLNHRGYKSLLQTYVAAAKTAPYMRTRIEGDGIEICSELENLLQNVSPE >CDP03614 pep chromosome:AUK_PRJEB4211_v1:1:36417425:36418071:-1 gene:GSCOC_T00016035001 transcript:CDP03614 gene_biotype:protein_coding transcript_biotype:protein_coding description:OEP7 [Source:Projected from Arabidopsis thaliana (AT3G52420) UniProtKB/TrEMBL;Acc:A0A178VGL9] MAKLNLNPAKSAAVVLGALAMGWLTIELAFKPWLQRARAAMDKSDPARDPDDVSDSAEKTVEARDEPHDASDADK >CDP03879 pep chromosome:AUK_PRJEB4211_v1:1:34424021:34431305:-1 gene:GSCOC_T00016378001 transcript:CDP03879 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIVLAREDDRAKRFDFETSEDEKRMTRIRSLKKKASKITHTLRKRTKRVAHCRFASITTEDFRDEKEEEAVNIFRQTLVDRDLLPACHDDYHTMLRFLRARKFDVDKTVHMWLDMLNWRKENGVDTIIKDFVYNEYEDVQRYYPHGYHGVDKQGRPVYIERLGKVEPSKLMGVTTTDRFLKYHIQGFERIFAEKFPACSIAARRHIDSTTTILDVHGLNWMSFGKIAHDLVMRIQKIDGDNYPETLHQMFIVNAGSGFKLLWNTVKSFLDPRTTSKIHVLGNKFQSRLLEVIDASQLPDFLGGACSCVNEGGCLRSDKGPWNDPELMKLVRALHANEATYLWKSTGLSDCDDIEIKPIPSKVLSSEIDSAKTGLNVGSSSSRIIQSVPPSNKKTTKRLAPVCSMVEQDGCDSRAENYIHSRNSTNVTVERRLHQKSVVDVVMDIMFKLLAWIYMLIPALSRLFKMNNGENSLENQHRPELGIQMSQGERLLQVKNDDLDPCWQRLQHLESVVNELLNKPTKIPPDKEDILLESMNRIKSIEYDLQKTKKALLATASKQVELAESLESLRESSIRATSSCWLRSSKSLSRGTTTPLT >CDP03769 pep chromosome:AUK_PRJEB4211_v1:1:35229272:35230660:1 gene:GSCOC_T00016248001 transcript:CDP03769 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLSPHTVLAFCFVLMPSFVVSQQPLNSAEQEAVYQVLHSINPEVPWRSLFPDDLCSSAPHGVVCDFFDDNATVSSHITELSFGYVSDYSPNPPCTPDSTLNASLFSPFTHLRKLFFYKCLTETSVPLPDFSSLGPSLEELVFVENPSLLGSLSGRMSTLTSLRRLVLTGTNVSGNIPDGFGNLINLEQLTLSRNNFSGEISLNFEKLKKLKVLDLSQNRFRGNVPRSLGNGSIELLKLDLSFNAFSGKIPDNLKSLKNLEFLDLSYNRFGNFGLPLFLGEMPSLKEVYLSGNLLGGRIPEMWENLRGILGMGLSGNGLVGNIPASMGVNLRNLCYLGLDNNKLEGTVPEEFGLLESVRELNLENNYLSGKVPFSTKFLAKIGDKLKLDGNPELCADEELRSAKVGSSLGKLKPCNEPYIPTYALLHGDSPHQSSASCLSMLSGFVFLLWLYMDIHLTVS >CDP08498 pep chromosome:AUK_PRJEB4211_v1:1:22396102:22396338:1 gene:GSCOC_T00027424001 transcript:CDP08498 gene_biotype:protein_coding transcript_biotype:protein_coding METMKQPFSQSEVKCLTLQLLEGLKYLHDNWVLHRDLKTSNILLNNCGELKHCDFGLARQYGSPLKPYTHLVVTLWYR >CDP17572 pep chromosome:AUK_PRJEB4211_v1:1:1210274:1212695:-1 gene:GSCOC_T00011062001 transcript:CDP17572 gene_biotype:protein_coding transcript_biotype:protein_coding MELQEVLHMNGGEGDTSYAKNSSYNLFLIRVKPVLEQCIQELLRANLPNINKCFKVGDLGCASGPNTFSTVRDIVQSIDKVGQEKKNELERPTIQIFLNDLFQNDFNSVFKLLPSFYRNLEKENGRKIGSCLIGAMPGSFYSRLFPEESMHFLHSCYCLHWLSQVPSGLVTELGISVNKGCIYSSKASRPPIQKAYLDQFTKDFTTFLRIHSEELISRGRMLLTFICKEDEFDHPNSMDLLEMSINDLVVEGHLEEEKLDSFNVPIYAPSTEEVKRIVEEEGSFEILYLETFYAPYDAGFSIDDDYQGRSHSPVSCDEHARAAHVASVVRSIYEPILASHFGEAILPDLSHRIAKNAAKVLRSGKGFYDSVIISLAKKPEKADM >CDP03798 pep chromosome:AUK_PRJEB4211_v1:1:35011461:35014812:1 gene:GSCOC_T00016282001 transcript:CDP03798 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHIPLFFFLYCFIACCSHGFAQKVYFNNQVLTLLSIKASLIDPLDQLRDWKVADQAIVNNSVHCSWTGVQCNSNGALDKLDLSHMNLSGVVSPDIQRLQSLTSLNLCGNGFSSPLPKSLSNLTALIHIDLSQNNFVDDFPVGLGRAIGLLTLNASSNNFSGYLPEDLGNATFLETLDLRGNFFEGPIPKSYKNLGKLKFLGLSGNNLSGQIPPELGELSSLETIILGYNELEGGIPAEFGDLTSLKYLDLAVGNLGGPIPAELGKLQMLDTLFLYKNNFEGNIPPLIGNMTSLKLLDFSDNMLSGEIPAEISNLKHLQLLNLMCNQLSGSIPAGFGGLTELEVLELWNNTLSGPLPSDLGRNSPLQWLDISSNSISGQIPESLCSKGNLTKLIMFNNALTGPIPASLSTCMSLVRFRIHNNLLSGTIPVGFGKLGKLQRLELANNSLTGQIPNDIGSSTSLSFIDFSRNHLQSYLPSSILSIPNLQRFMISDNNFVGEIPDQFQDCPSLSVLDLSSNQFTGSIPASIASCEKLVTLNLRENQLTGPIPKGISMMPTLAVLDLSNNSLTGGIPENIGNSPALEMLNVSYNKLEGPVPANGMLRTINPDDLVGNAGLCGGVLPPCSGNSAYTSKRNSLHAKHIVAGWLIGTSALLALVLAGLGARSLYKKWYENGSCFEERFEVNSSDWPWRLMAFQRLGFTSNDILACIKESNVIGMGATGVVYKAEMQSQKMVVAVKKLWRTGTDVEIGGSDDLVGEVNLLGRLRHRNIVRLLGFLHNDSEAMILYEYMQNGSLGEALHGKQAGKLLVDWVSRYNIALGVAQGLAYLHHDCHPPVIHRDVKSNNILLDANLEARIADFGLAKLMLKKNETVSMVAGSYGYIAPEYGYSLKVDEKSDIYSYGVVLMELLTGKRPLDPEFGESVDIVEWIRIKIRDHKSLETALDPSVGITKHVQEEMLLVLRIAILCTAKLPKDRPSMRDVLTMLGEAKPRRKGSSNHGADKDNPVFSTTPVNDIP >CDP15573 pep chromosome:AUK_PRJEB4211_v1:1:26015790:26019446:1 gene:GSCOC_T00015464001 transcript:CDP15573 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLPSKSSAPKVDDTMLALTVTGNKAQSKPLDPTQHMVSFNPTYDQLWAPIYGPAHPYAKDGIAQGLRNHKLGFVEDASIEPFVFDEQYNTFYKYGYAADPSGNNFVGDLDKLQESGAVSVYNIPQNEQKRRRIEKKKEKLEKLGEVDGEEAGDMDVSEVDNPATEAWIRKNSKSPWAGKREGKKGEGENEGGKSNAGMADKSTFHGKEERDYQGRSWIAPPKDAKASNDHCYTPKRLVHTWSGHTKGVSAIRFFPKHGHLLLSAGMDTKVKIWDVYNSGKCMRTYMGHSKAVRDIWFSNDGSKFLTASYDKNIKYWDTETGQVISTFSTGKIPYVVRLNPDEDKQNVLLAGMSDKKIVQWDMNTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSIALHPNSNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGRCWFWDWKSCRVFKTLKCHEGVCIGCEWHPLEQSKVATCGWDGQIKYWEVGDLDDLLRQAYLSGTNLEDEEVCSTCGWTTFEDGVCPTCGSCYNLYGVKPFSGPMMVEAQKS >CDP03606 pep chromosome:AUK_PRJEB4211_v1:1:36466544:36468114:-1 gene:GSCOC_T00016025001 transcript:CDP03606 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSLPRPTIDLVTAEALLQKLVAVKSALGSITPSRWCGTPRRSSSVILLVTMSSPLYTCILSEFTISAGKRVARSMESLDLPVPVAPMTTTTLSNRCGR >CDP18591 pep chromosome:AUK_PRJEB4211_v1:1:136527:140715:1 gene:GSCOC_T00012424001 transcript:CDP18591 gene_biotype:protein_coding transcript_biotype:protein_coding MCRWVLILRWRKCYSTLAITANSKIAEYARLGQIDNARRVFDHIPHKGVVSWNSIIAGYFQNKQPSEAQSLFDQMPDRNLVSWNGLISGYVKNGMVDEARKVFDEMPERNVVSWTAMVRGYVEEGLVSEAEALFWQMPEKNVVSWTVMLGGLIQQGKMEEAQRLYDMMPVKDVVARTSMVGGLCQEGRLGEAREIFDNMPHRNVFSWTSMISGYAQNEKVDLARKLFEVMPEKNEVSWTAMLMGYIQSERIEEALELFDAMPIKSIVACNAMILGLGKNGKVTRARRIFDSMRVKDDAAWNAMIKVYERKGFDLEAFDMFRCMQRQGLRLNFPSLISVLSVCASLASVNHGRQIHAQLLRSKLDDDVYVSSVLITMYVKCGDLIKAKQVFDRFSLKDVVMWNSIITGYAQHGLADETLQAFREMCSLGIAADAVTFVGVLSACSYTGKVKEGKEIFEAMKSKYLVDPGTEHYACMVDLLGRAGHLVEAMDMINKMPVEADAIVWGSFMAACRNHMNLDLAEVAAKQLLQLEPQNAGHYVLLSNIFASKGKWGDVAKLRKHMRLRKVSRSPGCSWIEVDKKVHMFTGGEHMPHPEHPMIVEKLESLAALLREAGYVPDGSFVLHDLDEEEKVRSLGHHSEKLAIAYGLLKLPGGMPIRVMKNLRVCGDCHVAIKLISKVTGRLIILRDANRFHHFEDGVCSCNDYW >CDP17105 pep chromosome:AUK_PRJEB4211_v1:1:37463259:37466313:-1 gene:GSCOC_T00005024001 transcript:CDP17105 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPKSTFPKQRRNKTVISRIGKAGGGGGIGVGIIFLGGAVASAAAAFLIRRRLQKSTSNIKNCSRDPSTPSAEIPYKLLEDKNTNQAKGLKFIAPDSDSPACTDTHQNLSNGTAKTGIHENDNTDTSDQSFIQDQKYQIEADDGKGDPVADSEQLVHSNSPGGLVSSLQFDASPLKEEESPLPLVGNQALHQPKQVADRFSGEEIGIIREDDPVDAALVDQGTEKEKQKLENGNGEEMEINCCSDKDMGELNSQDAAETTKIEQRTGTLLQSGEEQENPFHCDQESESSGNPLPTKDQRPESEECCLAFETVEVIEKVEAAIVGQGKGDTMTQMTLVHGSPCTENLGVNGRNEKLEVSHGDQGKGNAQILMLAEEENKCDHHDSLLNIGANEETEGNDTLEAIDLDEHRGTVASMSEKGQRKCLVGSPTTESAEVKEKDEMMEEAEARDTEIISCEESQANGESAQFPHNIASASNQQKLHTEKPGFFSNVDHEDNSVFDELTSEDETCEDFSRLLKPEIGSDDGFTELQPSAEAAEARKENIVNEPASDDRVIESENSPMQLVEIQKNLNGDNEKQLEEVEGRSQVNYAVNVTIEEHNQTRPEEDSLHDEGDDDADEANMTDKVEDSSEGTGDSSVESNAEPIWPMDSLEEVCAEVKELKINGKKEEQDMEENQNVRTQQQLKTEFS >CDP03854 pep chromosome:AUK_PRJEB4211_v1:1:34581363:34584220:-1 gene:GSCOC_T00016351001 transcript:CDP03854 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNPLIISDKDKMRQWSRSMRAQGKTIGLVPTMGYLHEGHLSLIRDAHKHSQSVVVSIYVNPGQFSPTEDLSTYPSDFLGDIDKLKSIPGGVDVVFHPHNLYDYGDNSNDRSCWNDSGDQHGGEREGREVVSCVEDGGMGHETWVRVEKLEKPLCGKSRPVCFRGVATIVTKLFNIVEPDVAFFGKKDYQQWRIIQRMVRDLDFGIKVIGSDLVREPDGLAMSSRNVRLSPAERDKALSISRSLTEAKAAAENGQVNCRELMNSVIRSVQGAGGLIDYAEVVDQESLEAVEEIKGPAVFCVAAWFGKVRLIDNMEISP >CDP13127 pep chromosome:AUK_PRJEB4211_v1:1:2011861:2012361:-1 gene:GSCOC_T00037942001 transcript:CDP13127 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGNKMNDGTSYQPSEPTLCANGCGFFGSPATMNLCSKCYKDFQMEEQQKAAAKVALEKLVTSQKKADDASHAGSPESYGTAAEQVERQEEAVSAPVAVVKSNRCFCCNKKVGVMGFTCRCGSTFCGLHRYPEKHDCTFDFKGQGRDAIAKANPVVKGDKIQRF >CDP03696 pep chromosome:AUK_PRJEB4211_v1:1:35780075:35781083:1 gene:GSCOC_T00016154001 transcript:CDP03696 gene_biotype:protein_coding transcript_biotype:protein_coding MASITAYTTTSSVACAALMPKASIGRPACALGLPAMTKMGKVRCSIEGKSGAEENESKLGMGASLIAAACAATMSSPAVALVDERMTTEGLGLPFGLSNNLLVWILLGVFALIWSLYVVYTSTLEEDEESGLSL >CDP06940 pep chromosome:AUK_PRJEB4211_v1:1:28018716:28024255:1 gene:GSCOC_T00023992001 transcript:CDP06940 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHKHPNSESVIKANHEMLTSVTGRHEATIHHYTRSFRGFSAMLTPEQARKLAESDSVTSVFESREYKIHTTQSWDFLRLDSAQQYNQLPMNVKSDVIVGVIDTGIWPESRSFNDQGLGPVPTKFKGQCQTGEMFTLANCNRKIVGARFYLKGFEAGKPLESFSQTFFRSPRDGDGHGTHTASTIAGSLVSNANLFGLANGTARGGAPSVRLAIYKACWFKICNNADILSALDDAISDGIDILSLSLGPEPPQTSYFEDPVSIGSFHAFSKGILVSAAAGNAGLSRTATNVAPWIMTVAASTLNRELQSKIYLGNSKVLKSFGLNPSKLDSASGLIAGSDAAAPGIPQKNASFCQTNTLNPNLIKGKIVVCQLENEHVDRIQMRVYIRSIGGVAMILADPVAQDILFNFGIQATVIGQEEATELQTYMSTKKNPVARLSQTSTQMSIEPAPAMAAFSSKGPNTVTPDIIKPDVTAPGVNILAAWSPVALGDAGGRSLDYNIVSGTSMSCPHVSAVAAIVKASHPSWSPAAIKSAIMTTATILDDSGNYIRRHPDGSRATPFDYGSGHINPVAAIDPGLVYDFDLKDVIDFLCSNGATSAQLKNLTSETIYCNNPPKPSVNFNYPSIGVSNMKGNISVYRTVTYVGNGPTVYVSKLEQPTGVNVSVIPPTLRFTNTGEKLTFRIDFTPSKTSSGIFTFGALTWSNGIHRVRSPIALSVLSL >CDP17114 pep chromosome:AUK_PRJEB4211_v1:1:37388379:37389613:-1 gene:GSCOC_T00005036001 transcript:CDP17114 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGTTPTVYEVLQEYGFPVGILPKGVTSYELDRSTGKFTVYLNETCSFTIDGYNIRYKSKVTGVISYQRLADLSGVQVKVLFFWLGIGEVTVDGDELDFSVGIASADFQVDNFYESPQCGCGFDCVNAAVGDGIGMYGSGSKFNWRRLFYPS >CDP15610 pep chromosome:AUK_PRJEB4211_v1:1:26654083:26654358:1 gene:GSCOC_T00015522001 transcript:CDP15610 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISSTLFSLGVSAANLVASFLMNAIDKLSKLGGKESWIETNINKGHYDYYYWVLAGLSVLNMIYFLICSKAYGPSKEDEKETTFHEEDN >CDP06904 pep chromosome:AUK_PRJEB4211_v1:1:27578684:27581333:-1 gene:GSCOC_T00023946001 transcript:CDP06904 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDRSTKSILFLSPSLLTYFLPNLQQNPRRTLFSYSHNFLSIRISWEFLLRQLTMASRMAMRYVSRRFSSSGKVLSEEEKAAENVYIKKIEQEKLEKLARKGPKAEETAAGSGGSGSVADAKPSAQSSSTPGVSTDKYRNYAVVAGAVTLLGAGGWYLLSKDKKAEEVHD >CDP17356 pep chromosome:AUK_PRJEB4211_v1:1:4635911:4637026:1 gene:GSCOC_T00009699001 transcript:CDP17356 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVIWIFNQIKEGSKYQTMVYLSFFQIVWIIVLVNFPTGTIGDKVNIGVSYPRFPPDVPSLPQEIVDLYKKYGIGKIRIPPIPDVLEALRGSDIDVALGIPNEDVETMATNFDFATFWYTQFIEPYVHDVKFTFMIVGNEAIPGERGGFVSPAMRNLQTVLDNNGVGSSIHVTTAVSTNVLDFTLPPSAAEFTILALNEGLDKVVEFLQYVASNVLMVNVYPYNDYAADPANIRLDFAQFTANEAVIVDDTLNYTNLFDATLDAFFWALERANGSDVRLAVAETGWPTAGNGNVTTTALASTYNNNLVKHVVNYNGTPKKPGQGGLDAFIFSLFDENTDPDEDRRNFGIFNSNLEPAYPLFSANGNKHF >CDP09706 pep chromosome:AUK_PRJEB4211_v1:1:24833586:24835085:-1 gene:GSCOC_T00030143001 transcript:CDP09706 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGGISESKGNENSLEIESLAKFAVDDYNKKQNALLEFQKVINSKEQVVAGTVYYLTIEVKDGNEKKLYEAKVWVKPWLNFKEVQEFKPAAGDTSA >CDP03747 pep chromosome:AUK_PRJEB4211_v1:1:35401760:35406784:1 gene:GSCOC_T00016222001 transcript:CDP03747 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEKIPPGKILRLELENFKSYKGFQIIGPFYDFTAIIGPNGAGKSNLMDAISFVLGVRTGQLRGAQLKDLIYAVDDGEKDQRGRKAFVRLVYRLDTGSEFQFTRTITSAGGSEYRVNGEIVNWNDYDKKLRDFGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSEEFKRKYEELEEEKAKAEENSALAYQKKKTIKLEVKQKKEQKEEAEKHLHLQEKLKSLKQDHFLWQLLTIERDIEEANEDLEAEQRSRKEIVDELGNYESELSKKKKEQSVYLKETAQCERRIAERKTRLDKNQPEILKLKEEVSRLTMKIKSTSKELNKKKEEKLRHVEEMAKLQNDLQDLIKQLDDLREKGQDAGEKLQLADSQLETYHHIKEEAGMKTAKLRDEKEVLDRQQHADIEAQKNLEENLQQLKNRKQELEAQEKQMQARLVKILGTVDKQREELARVRKEQREMKDKLDGSKRKHEMLKTKIDELEQQLRELKADRHENERDVRFTQAAETLKRLFPGVHGRMTELCRPTQTKYNLAVTVAMGRFMDAVVVEDEDTGKECIKYWKAQKLPPQTFIPLQSVRVKPIIERLRTLGGTSKLVFDVIEYPFRTLLVFL >CDP14428 pep chromosome:AUK_PRJEB4211_v1:1:10363861:10365848:1 gene:GSCOC_T00040860001 transcript:CDP14428 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKSKILIIGGTGYIGEFIVEASTKAGHPTFVLVRESTVSDPVKGKLVEGFKNSGSTLVHGDLYCIIMKRKIIDAIKEAGNVKRFYPSEFGVDVDRQNAVEPAKSAFAGKSQIRRATEAAGIPYTYLPSNAFAGYFLPTLLQPGATAPPRDKVVILGDRNAKAVFNEEHDIGTFTIKTVDDPKALNKVVYIRPPKNSVSFNELVAIWEKKIGKTLEKEYIPEEQLLKNIQGDKKFDFNFLKSLLYELLAYEFLMDHFFAEAPIPLNHILSLNHAILVNGDTTNFAIEPSLV >CDP09295 pep chromosome:AUK_PRJEB4211_v1:1:18722474:18723136:-1 gene:GSCOC_T00028594001 transcript:CDP09295 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEILLCKVNFKNEILLVSFNSTNLNDCGYGRKYCRENAAYIVKN >CDP03761 pep chromosome:AUK_PRJEB4211_v1:1:35269660:35271204:-1 gene:GSCOC_T00016240001 transcript:CDP03761 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGGFDIVHRGAIEIMQPHEQWDSSYASPGFPGGGSNSIPCTKPMIDNRGINHLERNHRDFPQWVQQVTEQLVENQPETGLSESLQTSAENNIVPALLGELRPAKPARRNPIEGSGQQHQWFAELGDQITNFSNDAKGGGSMAKGSDDQSLNLMTLLLECAVAISVDNIAEAHRMLLELTQMATPYGPSCAERVVAYFAKAMASRVVNSWLGMCSPLISYKSIHGAFQVFNNIAPFVKFAHFTSNQSILEAFHRRDGVHIIDLDIMQGLQWPALFHILATRMEGPPHVRMTGMGTSMELLIETGKQLSNFAKRLGMSFEFHPIARKFGDVDVSMFQIRRGEAVAVHWLQHSLYDATGPDWKTMRLLQQLSPRVITLVEQEIAHAGSFLDRFVGSLHYYSTIFDSLGAYLQSDDPSRHRIEHGLLYREINNILAIGGPARSGEDKFRHWRSELPKNGFMQMPMSTNSMAQAQLILNMFPPSHGYSLVQGDGTLRIGWKDASLYSVSAWTSPASR >CDP09631 pep chromosome:AUK_PRJEB4211_v1:1:23447969:23448498:1 gene:GSCOC_T00030022001 transcript:CDP09631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type-4 [Source:Projected from Arabidopsis thaliana (AT1G56450) UniProtKB/Swiss-Prot;Acc:Q7DLR9] MGRGGVGDPLCLWNSLILGGVKNGQKYLGTVNMVGVHYEDNHVATGFGNHLARPILREEWNENLTLEEGVKLLEKCMRVLLYRDRSISCEQTPGMVIFGIVYNDDSFLIVCFNPYYMLAFRIISFDAILFFLLGICLYSGWFFFKHL >CDP03771 pep chromosome:AUK_PRJEB4211_v1:1:35202257:35206436:-1 gene:GSCOC_T00016250001 transcript:CDP03771 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKESHHRHHPLLTRGRRGGGGYSHGFSPSQIQALSAVCEAFLPSLSPPSDAISHSQGDPQLHNEAALEYYYKASGSQSPFPDEVAEILVKRGLPEGLSVVKLVLKLLSTRLGTLLVCGLICLNWKWPFVHRFSELPVKKRETILQKWSTETFLIPLRIVFLMIKIMCCYVFFSWTDENYKSRTLDAIGYNTDAREDKIKPREERPLEKGVIETLYENDSTLKTSLIQKGLFVEEEPNEDLYKIKCDVVIVGSGCGGGVAAAILAASGHKVLVLEKGHYFVPEDYSGLEGPSFEELYLSGAKLTTVDGKVLLLAGSTVGGGTAVNWSASIKTPDHVLKEWSVDRKIPFYGTSAYQSAMDEVFKRIGVTKNCTVESFQNEIIKQGCEKLGLEAGQVARNSSENHYCGSCGYGCKTGDKKGTHSTWLVDAVNNGAVILTGCKAEKFILGNNKNEEMRRRCRGVIAAVEGRNITKRKLHIEARVTISACGSLMTPPLLVSTGLKNKNIGHNLHLHPVLFAWGYFPESKSKIKGNSYEGGIITRLHKVQTGDSNNNCIIESAGLGPGACASLLPWISGNDMKDQMSKYARTARIFALIRDEGSGEVREEGRVTYHLNEMDKEHLKLGLRQCLRILIAAGAVEVGTYRSDGQRLRCDGIKKEDVEEFLDTIVADPGPKSAAEYWTIYCSAHQLSSCRMGSTEEDGAVDENGESWEAEGLFLCDGSVIPSAIGVNPMITIQSTAFCISKKIAESLKQGKFSSSLFPFTCVS >CDP07132 pep chromosome:AUK_PRJEB4211_v1:1:30014551:30016018:1 gene:GSCOC_T00024259001 transcript:CDP07132 gene_biotype:protein_coding transcript_biotype:protein_coding METQPISSFQFPPGVRFYPSDEELIVYYLHNKVNSRPLPAAVVGEIELYSYNPWDLPKKALFGEEEWYFFSPRDRKYPNGARPNRTAASGYWKATGTDKPILSCSGARIGVKKALVFYIGKPPNGVKTDWIMIEYRLPDTHKRPSRSTGSMRLDDWVLCRIRQKGNMSKNSWEVPHSPIKVTEDTPNLKELHSAYAAKNALDICSSYFLSKDCHLLAKLLATQDLPRFETNTRATSCSSNISQNCKTVYEHGTIEENQVTNSFFPSSLNQQGKPIEEIGYGNIPPSEKAMTNLNKNEFFLAGNVNGASFYNQQQSHGDMFKLNLSSAMMTLQELDVSAFAAKFLP >CDP09135 pep chromosome:AUK_PRJEB4211_v1:1:31918187:31920638:-1 gene:GSCOC_T00028342001 transcript:CDP09135 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYQGDSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPHGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLHVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEAAYRD >CDP06910 pep chromosome:AUK_PRJEB4211_v1:1:27628316:27630532:-1 gene:GSCOC_T00023954001 transcript:CDP06910 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKQPEVGGLEASLLQLMHAHNQSSIQLREHTDKAKKDAIEIAKRVSALLVDSVDGGVQEAFITEKRIEMEIRALAAAIVQFGKQTDQWLAASHAINTAIKEIGDFENWMKTMEFDCKSISAAIYNIHQS >CDP14604 pep chromosome:AUK_PRJEB4211_v1:1:14034798:14037348:1 gene:GSCOC_T00041168001 transcript:CDP14604 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYVDCKDCEWGEYVDCEDCEWEDYVVIHRRSDDFKVPTDDDEIDGAAAGEEMDEECYEVPVNRVLEACESRFSKGFRVLNQEGILARVQDDIAKLSSVLSLSEEATTRLLCKYGWNIENLCDQWFPDEDKEKVWKFFGLLGINPIGDQSESAAGFSGDYLVATYMGLGRKGSMRWCPAGCGRAVEILRGETGGRTYDVTCDCTREFCWNCLGEAHSPVDCETLDRWKKCPESQNMNWVLANCKACPSCNRLLEDATAGMQAICPSPCNYEFCWLCLGPWSDHYTTADGNYTCRFYDDVKKSDELDETEKERERARISLEKYRYCHERWSTHDEWRQIALLDMQELKAVHLKNLSQVQNRSEDNLEFIIDAWDQIVECRRILKWAYVYGYYIPDDDQWKMNFFEYVLDQAEATLKKLHQCAQTELQIYLKVPLEDFDGFRVKLSKLTSVTRKYFDELVGAIENGLLMQIQKVAR >CDP03518 pep chromosome:AUK_PRJEB4211_v1:1:37161598:37162909:1 gene:GSCOC_T00015916001 transcript:CDP03518 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVHGSVFSPAVLRVFACLNEKDLESEYVEINMRAGEHKKESFLALNPFGQVPGFEDGDLKLFESRAINQYIAQAYAAKGNQQLTFVQDAKKMGPVYVWMEVEAQKFDPPSSKLVFELAIKPLLGMNTDDAAVAEHEGKLGEVLDVYEARLGQSKYLAGDCFTLADLNHLPAINYLMGTTARKVFDALPHVSAWCADILARPAWAKVLALQKQHPS >CDP17580 pep chromosome:AUK_PRJEB4211_v1:1:1287798:1288993:-1 gene:GSCOC_T00011075001 transcript:CDP17580 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLSRHYFCSISPQPWLFVGLGNPGDKFKGTRHNVGFEMIDAFAEAVGIPMDTVHCKAVFGKGM >CDP07035 pep chromosome:AUK_PRJEB4211_v1:1:29011850:29013016:-1 gene:GSCOC_T00024125001 transcript:CDP07035 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRGPLLNWPYLYQGKGMDELRQSLLLTTMELENTRLKAQEELKMRDDQLFQLKDLLSRTIRERDEAQEQCQRLVLDKLLLQQQQLMLQRQNQQSAPLSGISSIEDEPRRGGGVDSNNGFSSSDCEESIVSSPIIEQNPPQELTHQLQVQPQHPTTELDPTLPILTDRPLPEKGKFLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLDSYQIPAPPVVVPPPPTPPTLSGHSLNQDSLLTIAAYNSNINNCGRMNRKRGYFEGSDSSTEAKYQRLVLQ >CDP03858 pep chromosome:AUK_PRJEB4211_v1:1:34550738:34552666:-1 gene:GSCOC_T00016355001 transcript:CDP03858 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLLWAVGGWLLIPDCMATLKTSSKISESSSKSQNPFLLFLGLIFIFLVLVHSSDPSISPSLESTQTLVPHSSPSSASSPSPPSSAMKLHSRRSKTMHTPSSSSSSASREFEAGEHEVPSGPNPISN >CDP07144 pep chromosome:AUK_PRJEB4211_v1:1:30119194:30132446:-1 gene:GSCOC_T00024274001 transcript:CDP07144 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finger, PHD-finger and SAP domain [Source:Projected from Arabidopsis thaliana (AT5G60410) TAIR;Acc:AT5G60410] MDLVANCKDKLAYFRIKELKDVLTQLGMSKQGKKQDLVDRILNVLSDDQVSGMWAKKNAVGKDDVAKLVDDTYRKMQVSGATDLASKSQGGSECSNVKLKEEIEDSYQTEKIRCPCGSSLPNETMIKCEDPKCGVWQHIGCVIISEKPNEGALPVPPETFYCELCRLTRADPFWVTMAHPLYPVKLLITSVPADGSNPVQSIEKTFQLTRADKDLLSKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPVITPCTRDGINRISLTGCDARVFCLGVRIVKRRTVQHILNLIPKESEGELFEDALARVKRCVGGGTETENADSDSDLEVVADFIPVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVEMNMRSRKWQCPICLKNYCLENIIIDPYFNRITSKLRSYGEEVNEIEVKPDGSWRAKVEGDRRGLGDLGLWHAPDGSLSADVESKPKPVMLKQIKQEGGSDGHAGLKLGMKKNRNGIWEISKPEDLQTFSSGNNLNEDFCHRQNIIPMSSSATSTSKDGEDPSVNQDGGGNLDYSTNNGIELESISLNIEPTYGYSDRNPIAPLGDTEVIVLSDSEEENQPLISSGHIYKNHHTDGGEVSYSVAAQGILDSYPEDPTLNVGAGSCPSFLNGHDDDLMWSLLPSGGQAGPGFQLFGSDGDVSDALVDMQQGSINCTSSINGYTLGAETAMGSAALVPESSIEPVHGNINDGLVDNPLVFGGNDPSLQIFLPTRPTDASVQAELRDQPDVSNGIHTEDWISLRLGDGGGGSTGESAAANGLNSGPPLQSKEGALDSLAEASLLLGMSDGRSSKASRERSDSPFTFPRQRRSVRPRLLLSIDSDSE >CDP09223 pep chromosome:AUK_PRJEB4211_v1:1:32588396:32590684:1 gene:GSCOC_T00028457001 transcript:CDP09223 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIKVSHDSYHHDVTVPSQSTFGDLKRILASKTGLEPMVQRLLFRGKEKDDDECLHIAGVEDTSKIILLEDPASKERKFEEMRKHQDPDKAYEAVANVRAEVDKLSDKVVDLEKAVQSGNDIAEKEFVVLTELLMIQLLKLDSIEAYGKAREQRRIEVHRVQSFVDKLDNLKARNCIPFSNCGNASFVTAKWETFHSGFGSLTAPKPFHHSPKVFEDWESFD >CDP14091 pep chromosome:AUK_PRJEB4211_v1:1:11301657:11302513:1 gene:GSCOC_T00039291001 transcript:CDP14091 gene_biotype:protein_coding transcript_biotype:protein_coding MEIICNQRQRRTQFNSPAQTTGAPIAAAVLLHKQAAKREERKIIIGNQRDYTDQIINGIILVMLTAGTNTSLVTIEWALSLLLNHLEVLEKARAELDAQVGTDRLVNEHGIGVSMSKTKPLEKTCFRN >CDP16611 pep chromosome:AUK_PRJEB4211_v1:1:5891855:5893297:1 gene:GSCOC_T00019058001 transcript:CDP16611 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAELVFIPSPGMGHLVSTVELAKLLIDRGEQLSITVLIMKLPFDKKVSSYTSMLSESSDSRIRFLDLKPEESSSQSTFSSAFLYHFIDNHKSSVRDILAEMSNSASSGLAGVVIDMFCTSMIDVANEFGVPSYIFYTSGAAMLGLVLHMQSLRADFNEDVTNYKNSDIELAIPTYINPVPAKVLPSVIFDKDAGCDMFLNQAKRYKETKGIIINTFLELESHAVKALSNDKTIPPVYAVGPVLNLKGSNGPNQDTEMIMKWLDNQPVSSVVFLCFGSAGSFDGEQVKEIAHALQRSGVRFLWSLRRPPPKGKFQFPGDYEDPEEVLPEGFLRGTAEVGKVIGWARQVAVLSHPAVGGFVSHCGWNSTLESVWCGVPMATWPLYAEQQTNAFLMVKDLGMAVEIKMDFRKDFLMEANEIVRAVVIENGIKQLMESESEFRNKVKEMKEKSRMALHGGGSSSDSLRRFLDDIMDNVPKLH >CDP03646 pep chromosome:AUK_PRJEB4211_v1:1:36186160:36186663:1 gene:GSCOC_T00016079001 transcript:CDP03646 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHPVPRKRNMTLRYDIASSLSQANALACRQKKLRRLPHIFAKVLELPFHSDADVSIEETADYFKFVVPTDDVGDDVRAHTLEIYPGVTKILIRENDFVGSGLFLDELELDFWRFRLPAATRPEMATAAYVDGELVVTVPKGSGDEDVGEAETGAIGGPGQLVLVQ >CDP08927 pep chromosome:AUK_PRJEB4211_v1:1:30240416:30243744:-1 gene:GSCOC_T00028062001 transcript:CDP08927 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEGLNAALTLELPRIVFYCDYFPIYQFVTGRWNPKQRKIEALVDQVTQLREKFTYCIPSLVARNDVKFAFKLAREAIVSQVNRSTDTSAEGSLYETCVICFEEMSIDEIFSVDGCMHRFCYSCMKQHVEVKMLHGMVPKCPHEGCDCELKVDSCSKFLTPKLVEIMKQRKKEASIPVTEKVYCPYPKCSALMSKGEVSEYSRSSAIDVGRSGSRKCIKCNGLFCIDCKVPWHDNMTCFAYKKKYPNPPGEDLKLKTLAQRNMWRQCVKCNHMIELAAGCYHMTCRCGYEFCYTCGAEWKEKKATCSCPLWDDGHIIYDSDEEFDEEFDEDSEDEDYYESDSDDYY >CDP03782 pep chromosome:AUK_PRJEB4211_v1:1:35111429:35115383:-1 gene:GSCOC_T00016262001 transcript:CDP03782 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSHRTRFLLLLLLHIIFSSFLPLNISSSATTEAQALVKWKNSLSSSSLDSWSLNNVTSLCSWTGIVCNRAGTTISGINLSGANITGTLDQLDFTSLANVAVFNLNGNNFSGFIPSGIGNLSKLIFLDLSNNLFEDIIPVDIGSLKELQYLSFVNNNLNGTLPYQIGNLQKFTSFPLLTHLSISYNELTLGFPDFIPRCKNLTYLDLSLNHLTGPIPEPVFTDLGKLEYLNLTGNSFQGQLSPFTNLSKLKVLRLGENNFSGSIPEGISLLPDLSMLELYNNSFQGKIPSSIGQLKNLQALDLRINRLNSTIPSELGLCTNLTYLALALNSLTGPLPLIPSEIGLLLNLNILFMYNNQFSGTIPPEIGNLQSLMVLDLSGNQLSGPIPPNLGNLTNLQMLQLFSNNLSGTIPPEIGNLTVLTSLSLNGNQFNGDLPDEISNLSNLQTLSLFTNNFSNNSFTGQLPPQICSGFALEEFTVNGNYLTGPLPGCLKNCSKLVRVRMEGNKFSGNVSETFGVHPALEFISLSGNQLTGQLSPQWGQCQNLTNLQLDGNKISGRIPAELGNLTRLGVLNLGSNELTGEIPEELGNLALLFNLNLSNNQLRGQIPKSISKLTKLQYLDFSTNKLNGGIPEGLGDCHGLLTLDLSDNMLSGDIPSELANLMGLQYLLDLSNNSLSGMIPPDLGRLTSLEIFNVSHNDLSGRIPPALSGMASLRGIDFSYNQLSGPIPSNSIFRVAPATAFIGNSGLCGDAQGLSSCSSNEPRNSKNSNKKVIIGATVPAVCLILLASIIAGCCMFRGKAKQHDEEAKISKGFESSESLIWEKEGKFTFGDIAKATEGFSERFCIGRGGFGSVYKAAIPNGQIVAVKRLNMSDSNDIPLTNRRSFENEIKTLTEVRHRNIIKLHGFCSKWGCMYLVYEYIERGSLGKILYDDEMAIDLDWSTRVRIVQGVAHALSYLHHDCSPPIVHRDVSINNILLESEFEPRLSDFGTAKLLNSDSSNWTTVAGSYGYMAPELAMTMRVTEKCDVYSFGVVALEVMMGKHPGELVSSLSSATASTDSDTLLKDLLDQRLPPPRGRSAEEVVFVVTLALACTRTTPETRPAMRSVAQELAAQTQAYLPEPLGRITIGKLTSYQK >CDP09275 pep chromosome:AUK_PRJEB4211_v1:1:17737610:17739476:1 gene:GSCOC_T00028557001 transcript:CDP09275 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFYFEENVLPRVPTFDILGWCKTHEVKYPTLQKMAKDILTIPVSSVASESAFNTCGRIISPHRCKLHANTLEALMCTRTWLWNEINGIQKI >CDP04013 pep chromosome:AUK_PRJEB4211_v1:1:33523636:33528316:-1 gene:GSCOC_T00016540001 transcript:CDP04013 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGLLTVGLIDIAGHATLTKEQHWLLSVFLGIVMCKIVHDLTGVVSSVFFSGYAKLDKQGKLEWNNRGFSTFHAIVVAAASLHLLIASDLFDAASQDELIVNRKSPLSDTILGISIGYFLSDLAMIIYHFPVLGGMEYVLHHGLSVFSIIQSLLSGQGQIYILMVLFTEITTPFVNLRWYLDTAGQKNSKLYVCNGLALFLGWLVARILLFMYFFVHLFSHFDQVKEVYTLGFYSLLTVPPVLAMMNAFWFLKIARGLIKTLTRARYRK >CDP17133 pep chromosome:AUK_PRJEB4211_v1:1:37279814:37289993:1 gene:GSCOC_T00005059001 transcript:CDP17133 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTSSSCSSYSSSFASSSSSPSNFASLPISAMRDKIIEKIQENRVTLIVGEPGCGKSSQVPQFLLEENIEPILCTQPRRFAVVAVASMVAKARKCEVGGEIGYHIGHSKVFSARSKIIFKTAGVLLDEMREKGSHALKYKVIILDEVHERSVESDLVLVCVKQFLLKNTGLRLVLMSATADIAKYREYFRDLGRGERVEVLAIPTTSKDTIFQRKVLYLEQVTEFLGIRSENLPLKYCSGPSPLMADAGIKAEVHKLIHDLVLHIHKNEPDIEKSILIFLPTYYSLEQQWFFLKPFSKTFKVHILHRSVDTEQALKAMKIWKSHRKVILATNIAESSVTIPHVGYVIDSCRSLQVFWDNNRKIDSAELVWVSQSQANQRKGRTGRTCDGHVYRLVTGSFFNQLEEYEAPAILRLSLRQQVLQLCCAESKAINDPRVLLQKALDPPYPQVVDDAMDLLVRIHALGRTLSRGRPEPTFYGRLVSSFNLSFDASVLILKFGDLGMLREGILVGILMDMQPLPILRPFGQENLHVDYSSNYYSEDSRSTGLTGRKEVLCMANLGAFQFWQLVFKDNCRLEKLKQLLKFDGTEDEHGMLPKIEEEWCSTHYLVQSAINQVADSYDEIISSLHRFRPKCLVTSNGVPSYYEPREYWHTCYLPSEQNRGADALGVDDDELELHNGIQKCAAVPFVSFSHFRMSEVAEKLAAVVKEMRVKHRGDISGDHKETADSHDCHTVMEASVCKFFINGLCNKGSQCLYSHSLQAKRPLCKFFFSLQGCRNGEFCFFSHDSISSSPGNGVSSCLPEDENADARTLLRLLPASPQECVLVLDDTDFRFSSNLAHHCCPSSIILTTPSPHESTIDPLLKGVKILWGLSHPYETIICKAGENVVPWNKVKCMLWFPQFDSEYLEVQKGQIKTFFEYLSIRFLADALYEVRVIITMNNIRFSQLQVEKLARDAFFFLEESFPYDEQSFGELFDEISTKKAMAVSKPISYVFRVHPPANIQFGDYRKVLHQRLNDIN >CDP03502 pep chromosome:AUK_PRJEB4211_v1:1:37235632:37239593:-1 gene:GSCOC_T00015897001 transcript:CDP03502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone protein p23-1 [Source:Projected from Arabidopsis thaliana (AT4G02450) UniProtKB/Swiss-Prot;Acc:Q8L7U4] MTPANLKRAHCNSGPFGAETHGGVPCFEWERIRTKTALEHYFVAVPCRAFSFFHLLGFSILSLSSFLQKQNINMSRHPEVKWAQRLDKVYLTVLLPDAKNAKVNLDPDGVFTFSGTAGAENHLYELKLELHDKVDVEESKINIGVRNIFCVLEKAEKNWWKKLLRGDGKAPHYIKVDWDKWADEDDDTGPGDLDLGGMDFSKFGDMGDFGGMGGMGGMGGMGGLGGMGGMGGMGGMGGMGGMNFDGMGDDDSDDEGHEVSKPAEKADDKTDEAVKSSEEKAPGPSS >CDP13132 pep chromosome:AUK_PRJEB4211_v1:1:1873485:1874972:1 gene:GSCOC_T00037960001 transcript:CDP13132 gene_biotype:protein_coding transcript_biotype:protein_coding MNCQFYSFKYLLQFLFCNFHSGFQNFQMVFWRVFLGFGELWVQLYKMVVIYYICGTAKRWLC >CDP08451 pep chromosome:AUK_PRJEB4211_v1:1:20782070:20782299:-1 gene:GSCOC_T00027349001 transcript:CDP08451 gene_biotype:protein_coding transcript_biotype:protein_coding METGLVDCRVCKGAGLILCKKCGGSGYARRL >CDP03981 pep chromosome:AUK_PRJEB4211_v1:1:33735915:33739284:1 gene:GSCOC_T00016500001 transcript:CDP03981 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLASYASSDEEQEDKPQLSNPKSAGFLSSLPPPKSSSSSSSSGHLASLPKPSSSLFASLPQPKSSSTSSLFSSLPQPTKTLNPDARAPPPAQSAGKRVVQFKPPPVYSSTNVGNEDDDEDDDDDEEQEQKKQPVVQTASVKSFLSSIPAPRHSATLGALPSASGSGRRSTIDADVPGLKDSKVVNAASGSEAGVSTSSIGYYEGQSSNDQMSISSGGDLSNSSGYANGGGDYSSWGHGSENYANHAGYGAYENNGGSGVAGDYQNWDGGNGDSVNYNGDYGSYANYGQYENNWADVPTAAVGPEVSGFAENAWRVSGKRGRNNAPEEIVEVKQDELMKDRPREDQVKLTGIAFGPAYQPTSTKGKPSKLHKRKHQIGSLFFDMKQKEMELSERRARGFLTKAQTQGKYGW >CDP17073 pep chromosome:AUK_PRJEB4211_v1:1:37761161:37761603:1 gene:GSCOC_T00004984001 transcript:CDP17073 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYKYPIPTVLGDLLRSRRLVCAQIYSIAVSPHPKKICFKFNKIKY >CDP17086 pep chromosome:AUK_PRJEB4211_v1:1:37642136:37645792:1 gene:GSCOC_T00004999001 transcript:CDP17086 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLCLKGGIHSLPPPIAVAGSFEVRSAHHPSHICANAVGRSSAAISVDKPSASSSHRDWGFSFRYPFRSLWPKGGKSTYDAAISVKDAVPVLAEEKDDNDEEKYELDHDGRNGNWVFKILHVRSLWKQEQQEEEEEDLEVRTPVEEEKLENNGLSDENERRPRCCDVDEECDVCTVCDDDDGDDQKFDLDRDSFTKLLRRVSLAEARLYAHMSYLGNLAYCIPQIKPGNLLRNRGLRFVTSSLEKKEQALQADKEKAASEDLHENHNEAAKGQQRKDGSSAEGQEVKDNKEKQVQLEEEKIDGNRISAAAAYQIAASAASYLHSHTKSILPFISKSRMNENVTENNTESTKVVNMMNEEVASVMATTDSVTAVVAAKEEVKQAVADDLNSTLSSPCEWFICDDDQSATRFFVIQGSESLASWQANLLFEPVQFEGLDVLVHRGIYEAAKGIYEQILPEVRSHLKSCKRATFRFTGHSLGGSLSLLINLMLLIRGEVPASSLLPVITFGAPTVMCGGDRLLHKLGLPRSHVKAITMHRDIVPRAFSCRYPNHVAEFLKAVNGNFRTLPCLTNQKLLYAPMGELLILQPDEKFSPSHHLLPSGSGLYLLRCQATDGSEAERQMQAAQAVFLNSPHPLEILSDRAAYGSGGTIQRDHDMNSYFRSVRRVIQEELHRTRKARKERRRKVWWPLVASRGVNPGIIIGSPVEFITTGKGLFNFAGIIHTGKESVKRFSRLVASQHMHLLVVLLLPARLLIMGAHSVIRFH >CDP09104 pep chromosome:AUK_PRJEB4211_v1:1:31709411:31716787:1 gene:GSCOC_T00028301001 transcript:CDP09104 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSSNSFLLNIPASQSRKTVKKQRLTAFAKKAGAGPFSGFQFGKSADDPSSREGQEDISGNSSPFKFNFGKLPDVKSFVPAVNSPASGLSLIRRKDPGTVFVAGATGQAGVRIAQSLLREGFKVRAGVPDLGAAQELALLAAKYKIISNDESRRLNAVASNFNDAEAIAKAIGNASKVVVTIGPGENGPTADVTFSDALQVIQAAQLANVGHVAIIYNGSSFTASTYNVLDGITSFFGNMFARSAPLTIPEFLQKLVETDLRYTLIKTNLTEDYLPESSYNIVVSAEGSAGATDYKVSKSKIASLVAGIFSNTAVAENKVVKVFTNPAAPSKPAEELFSIIPEDGRRKAYAEALAKARKEEEATRATEKSRLAAEAAKMRKEELKKLAKQEAEAASFAEQAQERAAAAAVSVENLLSKAQGISSGLSWENLSSQLKSAVQQPAEAAKMRKEELKKLAKEEADAASFAEQAQEKAAAAGVSVESLLSKAQGISSGLSWENLSSQLKSAVQQPGEDSKVQVATVRGQVRARNLPVQKAVVKKSASLKSREVPKPKAKQPESKTEVRKVFGGLFQQETIYVDDD >CDP03978 pep chromosome:AUK_PRJEB4211_v1:1:33753551:33756477:1 gene:GSCOC_T00016496001 transcript:CDP03978 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSEDAAKEFYDDYARHLGFVMRIDQCRRSEVDKRILSRRLSCNKQGYYVKMKDQYGPVRKPRSSTREGCKAMMLVKVNKSGKWVVTRFVKDHTHPLVASSRTCRTAMDHKDRKIQELTLELERQDKLCNLYHEQIITFLQSVEEETGLLSTKIEAAVKNVMEMEAEAKQQSNLQQAHLQ >CDP09206 pep chromosome:AUK_PRJEB4211_v1:1:32468741:32471613:1 gene:GSCOC_T00028436001 transcript:CDP09206 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTEYDSYPPLFRPRRSPTLPSPDSDDFFNQQVPDFDPVPVIDSECINQKKLDEACREWGMFRLINHGIPLTLLNKLHDHAKKLFSLAYESKQASFTPPISYFWGTPGLTPSGVVIQRDARAQSQNFNWLEGFHVLLTPLSQLQYEDPMLDSFRCLLEEYGRHQTRLATTIFEALAKNLQLDSERTRAYLSTATGHLRVHRYPCCFEAEQLRAWGIDVHTDSSVLSILHEDEVGGLQVCSNNQWFDVKPQFDSLIVNLGDMMQAMSDDNYIGAKHRVKVNKHKERISVGYFVLPYEDTVIESSKYKPFTYADFRAEVQRDLKTVGYKIGLQKFKLSETF >CDP09281 pep chromosome:AUK_PRJEB4211_v1:1:17890339:17897811:-1 gene:GSCOC_T00028571001 transcript:CDP09281 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 13 [Source:Projected from Arabidopsis thaliana (AT1G51460) UniProtKB/Swiss-Prot;Acc:Q9C8J8] MEIETATAARVSNADLEKGVSSEHDGDKSAAYLVWQDLSVLLPNFGNGPTRRLLNGLNGYAQPGRIMAIMGPSGSGKSTLLDSLAGRLSGNLVMTGNILLNGRKRRLDYGGVAYVTQQDTFLGTLTIRETIKYSAQLRLPSNINKDEANDIIEKTIMEMGLQDCADNLIGNWHLRGISGGEKKRLSIALEILTQPQLLFLDEPTSGLDSAAAFFVTQVLKNVACGGKTVIASIHQPSSEVFTLFDDLFLLSGGETIYFGEAKMAVEFFADSGFDCPSRRNPSDHFLRCTNSDFDDVNARLMGSRRISENYKPSGLLLDMATTEIKEKLIQKYKCSKYAAIVKSRVQEILIIVSQEGLEIEKRGGSQAGWIKQFSTLTRRSFINMSRDFGYYWLRIIVYIMVSICVGTVFLNVGTNYSAILARGACGGFISGFMTFMSIGGFPCFIEEMKIFYKERLNGHYGVGVFILANFISSLPFLTTMAFSSSAITYFTAKFRSGFFRFLYAAIDLLLSISVVEGCMMVVASLVPNFLMGLIVGAGFIGIMMATAGFFCPLTELPKPFWRYPMSYINYMAWGLQGAYKNDMIGLEFEPLQPGEPKLKGEFIITKILGFSTDHSKWWDLAVVLAISISYRMLFYIILKLREKALPVIKTIYVEKTLQHLSKRPSFRKTPPLPFPSKRHQTIHSLSSQEGLSSPIN >CDP08472 pep chromosome:AUK_PRJEB4211_v1:1:21771371:21772435:-1 gene:GSCOC_T00027384001 transcript:CDP08472 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSTLHDVEGDLFDRLPDPIVHSIFNKVQDAKTLCLSMSVCKRFYAIVPEVDAIFLSMKKENQQNPIKKNSKELKKSFKNVVGKVLAKPFKFLSQLLKLKSGSCHHGDDDYSYHMPDEVLKPFKDMRSLELVLPRSSGEIGSNLLRWKAEFGSQLQSCLFLGGTETMKIDESSELCSCGDDSLARIGDEELKLRIVWTISCLIAASTRHNLFQRTLMEHQTLHNLIIRDESNQGTFRMNREQIKEFVKCMDHSGSGEVVDKETLMERTKLPPLRMKMWYVPELKLPASGFVMKGATLAVMKPIKEGNKEVERGADWVVNAFDGEGEEGKVFGEAVRKLLKMKRSYTLEMNSF >CDP17055 pep chromosome:AUK_PRJEB4211_v1:1:37871224:37887250:1 gene:GSCOC_T00004964001 transcript:CDP17055 gene_biotype:protein_coding transcript_biotype:protein_coding MISGLCFHALSSCMVLILLAAAVAPQVSANLADSIQGCGGFVEANAALIKLRKPTDPKLDYSHITVELRTLDGLVKDRTQCAPNGYYFIPVYDKGSFLIKVKGPEGWSWDPEQVPVVVDNTGCNANEDINFHFTGFTISGRVVGAVGGESCSIKNGGPADVNIQLVSPTGDILSSVSTTSAGTYTFMNVIPGKYRLLASRDDLDIEVRGSPEVELGFGNSLVDDIFFISGYDIRGYVVAQGNPILGVHVFLYSDDVSEVDCPHGSGNAPGQEKALCHAISDAAGIFKFKSIPCGVYKLVPFYKGENTVFDVSPPSVLVTVGHEHTKVIQKFQVTGFSVGGRVVDGIGNGVDGVKIMVDGEERSNTDKEGYYKLDQVTSKRYTIEARKEHYNFEKLKDFLVLPNMASLADIKAVSYDVCGLVQTIGTDYKSKVALTHGPEYVKPQVKQTDVSGSFCFEVRPGEYRLSALSAASENAPELLFSPSYVDINVSSPILNVKFYQAQVNLHGSVVCKGSCGSSISVTLVKLDGKGKEERKTSSLTGQSDEFKFLNILPGKYRVEVKNSSPEAMSGGDNWCWEQSFINVVVASEDVKGIVFVQKGFWVNVISSHDVDAYLTQADGSRMSIKIKKGTQNICVESPGIHELHFVNSCIFFGGSSVKVDTSYSSPLYLKGEKYLLKGRIHVDTSSSGLLKLPENLVIDVLNNEGAFIDSTTARFVPDQDDQSINAVYEYMIWANPGEKLTFVPKDSRKHAGEKKVLFYPTQHQVSVTQEGCQPEIPLFSGRLGMYIEGSVTPPLSDVHIRVIAGGDSLNAALKQGDLALETSTGADGLFVAGPLYDDITYTVEASKPGYHVKPVGHHSFSCQKLGQISVRLYSNNDDKEPFPSALLSLSGDDGYRNNSVTGLGGIFLFGNLFPGSFYLRPLLKEYAFSPAAQAIELGSGESREVVFHATRVGYSAMGVVTLLSGQPKEGISIEARAESRGFYEEAVTDSSGSYRLRGLLPETTYTIRVAKKGKFASGRIERASPEELSIKVEYEDIKQLDFVVFEHPEMTILSGHVEGKRIKELHSHLRVEIMSATDPLRTEAVFPLPLSNFFQVKDLPRGRHLVQLQCVLPSTTHRLRSEVIEVDLERQSNIHVGPIKFEVEEDHQKQELTAAPVYPLIAGISVIALFISIPRIRDLHQAIAGLQLSGSTGTVKKDAKRLIPRKKTW >CDP09286 pep chromosome:AUK_PRJEB4211_v1:1:18048716:18052406:-1 gene:GSCOC_T00028576001 transcript:CDP09286 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQVLLGGTQMKFRQCGTTSSTMYWGQKLPYKHRISKRKREKESRR >CDP09197 pep chromosome:AUK_PRJEB4211_v1:1:32390794:32396239:-1 gene:GSCOC_T00028426001 transcript:CDP09197 gene_biotype:protein_coding transcript_biotype:protein_coding MRLITRVLQSANIQLSQVFRSFSTFSRFPGTRNENNFNSFESLDDFERRIFSDVTGDEGDSRSFFQKLERIEQAYGRSGLSPGNRSGNFDRLDESFNTLSDGMDEKLGEAAKYFDVDSDELESEDYAFRPDVDHQLGMTYDIKDLDLRKPGVQKPFKWDEFETTTAEVLQKADFRNVRFLANFITEGGLIIKRSQTRISAKAQRKVARAIKTARAFGLMPFTTMGTKQFVFGKTMENRDEDYEFQTYNPHSFVDMEGSGDPVEA >CDP06892 pep chromosome:AUK_PRJEB4211_v1:1:27523309:27523947:-1 gene:GSCOC_T00023930001 transcript:CDP06892 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPSTNLFLTLMLNLLAIFSPMAMASDADILTDFNLPPNVSNVDATFFTYTAARSLVGAQPPTALNFLFADKTSFPALDGQSVSLAVLRMPGGAVNPPHSHPRATELLLLISGYLEVGFIDSTNKLFNQTLNAGDMYVFPKGLVHYQFNYAPDVPAIAVSAFGSANPGLVSIPSNLFQTDIEDRILAWSFKTDIPTIQRIKASVQGSPQA >CDP17118 pep chromosome:AUK_PRJEB4211_v1:1:37375680:37378445:-1 gene:GSCOC_T00005041001 transcript:CDP17118 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1A [Source:Projected from Arabidopsis thaliana (AT2G47580) UniProtKB/TrEMBL;Acc:A0A178VZ67] MAELTAATPGSDIPQNQTIYINNLNEKIKLDELKKSLKAVFSQFGKIVEVLAFKTLKHKGQAWVVFEDVSSATSALRQMQGFPFYDKPMRIQYAKTKSDVVAKADGTFVPREKRKKSDDRGRKKKDQHDANQAGMGLHPAYTSPYGATPPLSQLPYMAGSKAAMPEAPAPPNNILFVQNLPHQTTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYENEIQSTVAMQALQGFKITAENPMLITYAKK >CDP08492 pep chromosome:AUK_PRJEB4211_v1:1:22302543:22304868:1 gene:GSCOC_T00027418001 transcript:CDP08492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 3 [Source:Projected from Arabidopsis thaliana (AT1G50420) UniProtKB/Swiss-Prot;Acc:Q9LPR8] MFQDDGSSSVTSSPLQMFPMMSLSPGLGSPYQWLKELKSEERGLYLIHLLLTCANHVATGSLENANMALDQISHLATPDGDTMQRIASYFTEALADRVLKTWPGLYKALHSTRISVVSEEVLVRKMFFEFFPFLKVAFVITNQAIIEAMEGEKMVHIIDLNASEPAQWRALLQDLSARPEGPPHLRITGVHQQKEILDQMAHILSEDAEKLDIPFQFNPIVSKLENLDVEKLRVKTGEALAISSVLQLHALLATDEEMRKKSPMVPKSSNGHHLQRVLQMNQGTLGELLEKDLVNGYSPSSDSASSSPLSSNASAKLDSFLNALWGLSPKIMVVAEQDSNHNGANLMERLSESLYFYAALFDCLESTLPRTSVERLKVEKMLFGEEIKNIIACEGVERKERHEKLEKWIQRFDLAGFGNVALSYYAMLQAKRLLQNRSCDGYKIKEENGCIVICWQDRALFSVSAWRCRR >CDP07138 pep chromosome:AUK_PRJEB4211_v1:1:30045700:30051164:-1 gene:GSCOC_T00024267001 transcript:CDP07138 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGRSLEQTPTWAVAVVCFVLVAISILIEHILHLIGKWLKSKHKRSLYEALEKIKSELMLLGFISLLLTVGQGPITKICISKEVGATWHPCNKKQEANLDQHSGVSHGEDGRRRLLSDDGARRILASGGADKCEAKGKVSFVSTDGIHQLHIFIFVLAVFHVLYCILTYALGRAKMSSWKAWEKETKTAEYQFSHDPERFRFARETSFGRRHLSFWSRTPFLLWIVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPQSHANFDFQKYINRSLEEDFKVVVGISPPIWLFAVIFLLFNTHGWYSYLWLPFVPLVIILLVGTKLQVIITKMGLRIQERGEVVKGVPVVQPGDDLFWFNRPRLILYLINFVLFQNAFQLAFFAWTWYEFGLRSCFHEHLEDIIIRISMGVLIQILCSYVTLPLYALVTQMGSTMKPTIFNERVATALKNWHHTAKKHIKESKHSGLASPISSRAATPSHHLSPVHLLRRYKSELDSLQTSPRKSNFDVEQWDTESPSPSQFHQGSIDHVSSSQHQHNIELGFLDHDGTDVQGPSLSSQVAPLPEHEINTRLSNSGKSSEFSFIQRNASK >CDP06971 pep chromosome:AUK_PRJEB4211_v1:1:28367105:28367978:-1 gene:GSCOC_T00024035001 transcript:CDP06971 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRALSLRETLDLETNARRYSNDLRYLRVWLQLAYALYFEKMKKFEAAEKMYHLGVQK >CDP07069 pep chromosome:AUK_PRJEB4211_v1:1:29335336:29337087:-1 gene:GSCOC_T00024174001 transcript:CDP07069 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSSAVEIPHERRRSGREYSPDRYVFEDSRPRHRTRNRSPSPIRSRSRSFSRSRSRSRSPIYNQYSKHDRRRDLRASPDYSYPTRSPRSETLKLEKKYNNYSDTRKNSYLDRDYRNGRYAESESDEELRGLSFEDYRSLKRQKLRKMLKNCIWNCTPSPPRNPNDPEEDEIAENSEVEKELSKKDAKNGDILKSDAKSESESESASGSTDPESDDSRSKRKEKGKGKKSSSRRKRRRSKSVSETESESDESSEGSEEDHRTRRRRKSSSRNQTRRKKSSRRSRRRRSSRRRSYSDESESEDESGSEDSDASLSSGDRVKSKKRKSSSSSRSKHNKKNQRKSHSDESESEDESGSEDSDASPSSGDRVKSTKQKSARSKRSKKKRKTESDDQASLSEKSSDSAVDAKSKPKPAEEKAMLNEVDSEVLQFKELIESRKRAALENEPVVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFETLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREQKVMSDLQRLVQRHIGQDAGPSHDPFGGRSTEGAEA >CDP03902 pep chromosome:AUK_PRJEB4211_v1:1:34279553:34280247:1 gene:GSCOC_T00016405001 transcript:CDP03902 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLHLIWVTSRMHIEIYKYPAWTDVVEIETWCQSEGRIGTRRDWILKDYANGAVIGRATSKWVMMNQDTRRLQKVTDDVRDEYLIYCPKAPRWLP >CDP09217 pep chromosome:AUK_PRJEB4211_v1:1:32540951:32543456:1 gene:GSCOC_T00028448001 transcript:CDP09217 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKKLQSSTDAKTTDRSQHKQTFAVEKPEPNLALEEKKGAREDLIKPAAHRERQAKSVKLALPGPIPESNGNRLLHAPAAAPPPPPPPLPSKLLGRSKTLRRAPEVVEFYRALMKRDAQKENRAGSTGHPPILSPKNMIGEIENRSTYLLNIKSDVETHGELVSFLSREVQDAAFADISEVESFVKWLDGQLSCLVDERAVLKHFPQWPERKADALREAACSYREMKNLESEVSSYKDNPKQQLTESLRKMQALQDRREYWRKALTTLKG >CDP06921 pep chromosome:AUK_PRJEB4211_v1:1:27755027:27756455:1 gene:GSCOC_T00023968001 transcript:CDP06921 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSNNNTLSRNGCTNNWFLPLPFNLWATKVKKLVVRNIDNQNILIPVCHPLSREPKKLFAGGRSIMQMLYEKFEELDVRESTPVKCRTEWTMLLQHWANNKSVQEALHVRRETIGQWLSCNGTLPYTKNAVSVVPYLANLSTKGYRSLIYSGDHDLLAPHIETQAWIRSLHYPIIDDWRQWIHEGQVAGYTRTYANKMTFATVKARNSCFYCFSARFVYMVTTNNKVRTFLGLTSTPFFFSLFG >CDP06950 pep chromosome:AUK_PRJEB4211_v1:1:28143980:28146311:1 gene:GSCOC_T00024004001 transcript:CDP06950 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEYTFWLQVLQKGFGFLFFGFTILFSFLSVLVYILRLKPWCSCDSCRSYLTSSWSHEFNNLCDWYSHLLQKSPTGTIHVHVLSNIITANPKNVEYIIKTRFENFPKGKPFSALLGDLLGRGIFNVDGESWKFQRKMASLELGSVSTRSYAFQVVANEIRTRLVPLLSSVAGKEGKVLDLQDVLRRFSFDTICKFSFGMDPSCLELSLPVSELANAFDLATQLSAARAISASPLIWKLKRFLNTGSEKKLKEALKLVNSLAEGVIKQKRNMGFSSQQDLLSRFMGSIEDDKYLRDIIVSFLLAGRDTVASALTCFFWLLAKHPEVKQAILDESNHIMGENISADNLASFEQMQKMHYLQAAIYESMRLYPPVQFDSKYCQEDDVLPDGTFVKKGTKVTYHPYAMGRMQSIWGPDCLEFKPERWLQDGRFKPESPFKYPVFQGGLRVCLGKDMALVEMKSIALSLIRQFDIQVNAPTSDTPRFMPGLTASVRGGLQVQIQERRTKSS >CDP17059 pep chromosome:AUK_PRJEB4211_v1:1:37852214:37855071:-1 gene:GSCOC_T00004968001 transcript:CDP17059 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAALVWTKAAPLQFPYPRAVSKIHPRNSSVAHPISCFSTSGPRPDSVGMNTEAAGEKMRQNQQSLGLLDNLFLHLFRAKMVRETGWESEKPGYDGLIEVANHLTIGRSNSETIQASVRILRSLFPPLLLELYRILVAPLAQGKVAAAMIARVTALSCTWLMGQCQVNSVPLPDGSSFMSGVFVERCKYLEESKCVGVCINTCKLPTQTFFRDYVGVPLLMEPNFTDYSCQFKFGVAPPPPPERDIALTEPCLEICPHASRRRRGRAAFSPDHKPNCPKAS >CDP19192 pep chromosome:AUK_PRJEB4211_v1:1:419818:426557:1 gene:GSCOC_T00005539001 transcript:CDP19192 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKAVYKNEQIVGHFDKKIWVCVAEKVDRIEEVFKMILESLIGGKVEGDRREVIVQKIQDELKEKRYFLVLDDLWNDQEVLLNDFFSTLAGLNAKKGSWCLVTTRLQEVETILSRHPQINFTRHELGKLCNDDCWSIMKKWATVGEEVPKELEDMRKRVLRRCDGLPLAAKLIGGLLSKKRKEEWLSILEESLLNGDQGGIEQIVKVSFDHLSPAPVKKCFAYCSIFHQDTELEQDRLVELWMAEGFLQPDSQNERMMEKIGYEYLRILLQTSLLEEVRDWRGTWYKMHDLVHDFAKSILNRNSSNQDRYLAVYSPERINEKASASLRTLFLEGGIADDMLSKFKYLHVLKLFGADVKELPISIGKLIHLHLLDISDSIITTLPESLCKLYSLQTLRISLLVYGFPKEMSNLISMRHLHYDHAGTGREIQMPSGIGRWTCLQTLKFFNIGRQDEGHGIQELGTLEDLKGSLEIRNLELVNGKDDAELANLSKKPNLYRLVFEWGNRDRESDKCDEDVLEGLQPHPNLTELHIRNFRGDQIPQWLVNSSTLVELHLVNCRELYLNRLENTTCIGLSFYSTSAEEDGGSGGSSTISRRTFFPALKILSLKSMKNLEEWKDAHEMMSTAGEVHVMDVFPVLEKLYISDCPKLTTIPTPSQSSLSIDGCDSLPTGMIERLCLFPTLQRVELEYADNITTLRGMSCAACLKSLAVDGCENLRELPEDLYQFQALEHLEILGCLRIDSFGHPNPKNSFGQKGLLKSLEQFTVSGCHELTRLPVEMFESCTSLRELNLSDCRSLVSFPLDLRRTPSLESFSLLRCPNLIAEMPSGFGSLTSLRKVVIGPFSDYSAIEFDWAGLASSSSLQHVSLLEALPDWLGNLASLEELILFGCKKLEYLPSTADMERLKLRRLKIRFCRLLTERCTPQSGSEWPKISNIQERDIR >CDP08998 pep chromosome:AUK_PRJEB4211_v1:1:30980581:30984029:-1 gene:GSCOC_T00028161001 transcript:CDP08998 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKPPPSSSPSDSTVVPPPRKVLRSNYINADDYSSRPQLALIHPPTTAATVTSRYSKFQLPNGWGVQEVPRSNTSRVDKYYYEPGTGLKFRSLRAIERYVNGEEYTPRPGALTWHDNHYKASSHGFPNPPLIFFSLNTLFKECSEHRKMIICGGKMMRLNEEPLSGNHLAIAASRETAAMSPYDLPDGWVVEEVPRKNDSQTDKYYYEPGSGRKFRSCIGAKRYIAELKEDIPLSAALAEIKEMNRPLSKAFKLGRLVKNSISCKKQCARSKTQTSSLVSVPVKVNWVLASPQGDVWNPFIFNIEIPVSVKQQWNERFRLALNVENHSTPNSGKPRYFAVFRCPIFCNLCYIYCHIRVWFTTSASGESMIWSVGIMLLTFCFYLESMPSN >CDP03708 pep chromosome:AUK_PRJEB4211_v1:1:35699936:35702971:-1 gene:GSCOC_T00016170001 transcript:CDP03708 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNSSPCFASATTISRIVRRGELSTLFLKPFSPSSKTSTPHPTPVDKFLKTDRKNAKANNINDALDHFDHMLQMHPPLPMSYFNSLLAAVAKNKHYNHVYSMYGRILDADLEPDFITLNILLNSCCTLQRVSLGFAVLGVIYRKGYRPNIVTFTSLIKGMGLEGKIGEAVQLFKKIIELGCRPNIKTWGILINALCRSGHSEKALDLHRKMGSGTVPSGSKFKTNLVCYSTIIDGLCKEGLMDKAKELFLEMEGQRISPDVVVYSSLIHGLCDAGEWEEAKGFFITMLDRGIRPNVVTFNILINALCKEGKLSEANGLLDLMIQRVENPDVITFNTLMDGLCLEGKVDDARELFVSMAAKGHECNVISYNILINGYFKCRRSDEAMRLYREMVQKGCKPMISTFNSLLTGLFQDGMVEDARKCFADMQVYGITPESSTNNILLSGFCKNDCLMEAMELFHNLEKSGCELQIETFNCILDGLCKGGKLDIAIELFGTLSERGLVPTVVTYSIMIHGFCKAGNLEKASNMFLEMEEKGCAPNLVTFNTLMRGFCISNEADRVIELLQRLAEREFLPDASTLSVVIDLLSKDDRHMKYLNLIPTFPIQA >CDP06932 pep chromosome:AUK_PRJEB4211_v1:1:27936706:27941694:-1 gene:GSCOC_T00023984001 transcript:CDP06932 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQIAVGIVGGFLVWAYLSMKPAPPKICGSPDGPPVTSPRVKLSDGRYLAYKEAGVSKEQAKYKIILIHGFDSSKDMILPISQDLINELQIYLLSFDRAGYGESDPYPKRSVKTEAYDIQELADKLHIGPKFHIFGISMGAYPVWGCLKYIPHRLSGVALVVPFVHYWWPCLPAELSKHSLRKLLVQDQWVFRVAHYTPWLLNWWMTQKWFPSLSIMEGKTDILSSQDLEILKQSSGVLNDGQEKVRQQGLYESLYRDILAGYVQWEFDPTDIADPFPNKEGSVHLWQGCEDRIIPFEINRYISEKLPWIRYHEVPDAGHFLAFNGSLCESIVRELLTA >CDP08982 pep chromosome:AUK_PRJEB4211_v1:1:30838480:30843603:-1 gene:GSCOC_T00028137001 transcript:CDP08982 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGNQDQPAFKILLSCPTGLSSSQVSVDFGKVYDRIPHPDVNLENSISEIWDQRVQKNASLFNGLKFRYGGHSFSGGAGTDQEPHVCLHLGLTDYRTFVGTNLNPLWERFLLPSEDDFRQCQHTSSPLGNGAVIETSDKKIIVLQRSKNVGEFPGHYVFPGGHPEPEEIGISSHDNRDDNSHQIMKEKLSQEMFDSITREVVEEIGVPADSLTKPAFIGISRRVLNVRPTAFFFMTCSLQSMEIQELYHSAADGYESTQLYAASMDDLESMAKKMPGCHQGGFALFKLMIDAAKDA >CDP16785 pep chromosome:AUK_PRJEB4211_v1:1:3758500:3763454:1 gene:GSCOC_T00019297001 transcript:CDP16785 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNVTENTLKAIKKIDKVRVDREARHHTMRMRGKKTMEQREAAKELEQSIHMVKAPALLQQEPSLTLPKIKVKVSQQQSEENRMEE >CDP14425 pep chromosome:AUK_PRJEB4211_v1:1:10536770:10537063:-1 gene:GSCOC_T00040853001 transcript:CDP14425 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMEILMGKTITLEVESSDTIDNVKAKIQDKEGHPPETNSASSSSASSWRTAVPWPTTTAERSQKESTIHSFLHLRGDATPSPRKRRSSLPEGWR >CDP17343 pep chromosome:AUK_PRJEB4211_v1:1:4875632:4879202:-1 gene:GSCOC_T00009674001 transcript:CDP17343 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEIFKTHDIIFASRPSHHPAFKLTTYNFTDIMSSPHNDYWRELRKICNMELLSQKRVQTFKTVREDEVFDLMNSISSQQGSIFNISRSIFSLTYGITSRAAFGKRNERTERFLQILDEHNDLLAGFNLADMYPSIKLLQVMSPLKFKLDKAHKQSDEILEDILNEHKRKIKEAKDEGREGEDLVDILLNVQKSGDFEPQLTDANIKAIIQNIFAAGSEASATAMEWAMSEMIRKPQIMKRAQDEVRSLFDGQGYVDESRLHELKYLAAIIKEILRLHPSAPLLVPRESKARLFVNAWAIGRDPKYWIEAEKFNPSRFLDSRIDFQGDDFEYIPFGAGRRICPGIAFSQPVIQLALAQLLFHFDWKLPGDMKQEELDMTAKFGITMRRKNDLLLIPIPYSRSCLIMDNSTP >CDP08945 pep chromosome:AUK_PRJEB4211_v1:1:30407290:30410101:-1 gene:GSCOC_T00028087001 transcript:CDP08945 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTISSMDTEAHSSIPQTHHRKGGWITFPFITATMAGLTLSAAGWVNNLIVYLITEFNVKSIDAAQIFNVVNGCMALFPVLGAVIADSFVGCFSVIWVSSIINLLGIVLFTFTAALSTLRPKPCSDSSLCEGPSEFQYAILILAMGLGSIGAAGTRFTMGTMGANQLDNPKHQENFFNWFLFTWNTASIIAATAIVYVQDDVSWAWGFGICVVANVLGLIIFLAGRRFYRNVKPQGSPFKSLACVIIAAISKRKLQLSAKAQDYHNEIIGDEGKQPVAAAPTESFKFLNRAALVTQSDTEQYGSIKKSWKLCTVQQVEDLKTLIRIIPLWISGIFLSTPIGIQASLTIVQALTMDRHIGPNFKIPSASIMVFVLISGAVSLPIIDRLLCPIWEKVLRRPLTPLQRLGIGHSLTVLSMAISALVEAKRLQITKAHNLQDSPVSVVPMSILWLVPQLIAVGMGEAFHFPGQASLYYQEFPASLKSTSTALVAMLIGIAFYLSSAFIDLFRRMTNWLPDNINQGRLDNVYWVLVVIGGVNFVYYLVCASLYRYQNSLPRNNG >CDP13077 pep chromosome:AUK_PRJEB4211_v1:1:3080550:3082059:1 gene:GSCOC_T00037849001 transcript:CDP13077 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKALTFGYLSCRIGFSQRTAIASLLYWFLAVSSLKGRKNTFEKIEEAIDYVNEQLPSDDSSVPAAPDPNLKNATDRNEAQIPSELITQCVATLLMIQSSIAEMYREAVSTSRCGSNIGFCSYKLEALLPTKPSSLYRNTEVHGNCQEPNIGAYTYLANKTWKFFFFLVCNVDN >CDP08519 pep chromosome:AUK_PRJEB4211_v1:1:22876281:22877380:1 gene:GSCOC_T00027455001 transcript:CDP08519 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLPVELSCYASEEEIVRAIKPFIAKYFPVEAQKPHKFAVLYEARANIGIDRTKIIDAVAKSVPSPHKVDLSHPDIHIVVQIVKTVCLIGLVEKYKELAKFNVRQLTSSKS >CDP09129 pep chromosome:AUK_PRJEB4211_v1:1:31889762:31891692:-1 gene:GSCOC_T00028334001 transcript:CDP09129 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSAPPPPPPPGIDKELILGMAEKEMEYRVELFNKLTHTCFNKCVEKRYKESELNMGENSCIDRCVSKYWQVTNLVGQLLGSGRPPM >CDP03746 pep chromosome:AUK_PRJEB4211_v1:1:35407056:35412425:1 gene:GSCOC_T00016221001 transcript:CDP03746 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFSPSTKKLKGLGGKICNLIAGPSGAYLSQFLCLWDLFTRFLLLLNYHKFNPVLEKAILFAVGNTLVCDDLNEAKRLSWTGERFKVVTTDGIVLTKSGTMTGGTSGGMEARSHKWDDKKVEGLKKKKEGYESEMEELGSIREMQLRESEASGKISGLEKKIQYAEIEKNSIEDKLSKLESEKRNIDEEIGRVMPELQKLENVINTRNIKIVSLEKRINDIVDRIYKKFSESVGVKNIREYEENQLKAVQLMAEQKLGLLNQQSKLKYQLEYEQKRDVDIRSRIAKLEATLGNLRSALKDVEKREIELKSSMEKSGDEIDRLKKEVLEWKSKSEECEKDVQEWKKKISAATTSISKHNRQIKSKEAQIEQLNSRKIEILEKCELEHISIPTISDPMDTGEPTHGPVFDFSKLSRAQQQNMNPSERDKLEAEFTKKIAGLMSEIERTAPNLKALDQYEALREKEKIILKEFEGARQAELRVAKEFNNVKQSRYEKFMEAFNHISGNIDKIYKQLTKSNTHPLGGTAYLNLDNEDEPFLHGIKYTTMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYKASPFFILDEVDAALDNLNVAKVAGFIRSKSCGGARINQDAEGGSGFQSIVISLKDSFYDKAEALVGVYRDCEIGGSKTLTFDLTKFRES >CDP03871 pep chromosome:AUK_PRJEB4211_v1:1:34485628:34488900:-1 gene:GSCOC_T00016368001 transcript:CDP03871 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKITADVAVMEVSQSTLGVRTRAKTLALQRLQSSSSATPPSPSSNFPDSSCYLQLRSRRLEKPSLLRPQPHRQLIPPPKDASPTQSQPRPGSTSNSRLRVTNSAHSGSLGSDPVTRTKNLQEGCFFVSTVAEQVGLDFDLGVEASFGENNLDFEPRERSTRESTPCSLIRGVDVATPGSSTRQKTANAVNRRSAILDNVPSASEVEEFFASADQCQRRQFIEKYNFDVVNDFPLPGRYEWVKVSR >CDP09252 pep chromosome:AUK_PRJEB4211_v1:1:32841343:32845458:-1 gene:GSCOC_T00028500001 transcript:CDP09252 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQSTTAILSLSVTFIFAAWLADSETHYHEFVVQSTPIKRLCTSRSILTVNGQFPGPTIEVRDGDSLVIKVINRALYNVTIHWHGIRQRGTQWADGPEYVTQCPIQPGAAYTYRFSIQNQDGTLWWHAHSRWIRATIYGALVIYPKLGVPYPFQNHPDKDFPVILGEWWDTDILGIMRQALFSGAAPNVSDAYTINGQPGDFYRCSSLGLLQTCSLYDCQVLLVSTYTATFYVDAGDTVLLRIINAALNQQLFFTVANHMLTVVGADAAYNKPFTTRVIMVGPGQTTNVLLTADQPPARSYMATRAYATAQGAPFDNTTATAILEYNSIPCHSRKGSSYTPILPRLPPYNDTATVASFTSQFRSLSSSDAQIPTDIDENLFITVGLGFYNCIPSPTCQGPNATRFASSMNNVSFVLPRRASLLQAYYQSIPGVYTTDFPPVPPIQFDYTGNVPRALWQPVRGTKLYKLKYGSKVQVVFQDTGIFSTEDHPIHLHGHQFYVVGQGFGNFNPSRDSTNFNLIDPPERNTIGVPVGGWAAIRFVADNPGVWLMHCHIDAHLTWGLAMAFLVDNGPGLLQSVDRPPLDLPQC >CDP03821 pep chromosome:AUK_PRJEB4211_v1:1:34853337:34856396:1 gene:GSCOC_T00016308001 transcript:CDP03821 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAKEKLVVEVVGAHNLMPKDGEGSSSPFVEVEFENHRERSTVKYRDLNPVWNEKLVFPVNDVADLPYRTIEVNVFNEKRSNNSRNFLGKVRVSGSSIAREGEEIAQLYTLDKRSLFSHVRGEITLKLYLSSREEVKQVINGNNGAGVMVSKKNKRLQQQQGTNLAVQQQLTQENKLNFQIHNHPKPVEPGGPGDMMKPVVITTGPNPTIPPVVNPAGLGVGRGGVGNGGVAVYSSTGAPGPAGEYSLKETSPHLGGGPLNKDKTSSTYDLVEQMQYLYVRVVKARDISSVFGGGGELVAEVKLGNYRGITKRVSLTNNAEWDQVFAFSKDCIQSSVVEIFVKEGNKDDFLGRVWFDLNEVPKRVPPDSQLAPQWYRMEDKKGEKAKGGEVMVAIWFGTQADEAFGEAWHSKAANVHTEGLCSIKSKVYLSPKLWYLRVHVIEGQDVVMGEKGSSMMRYPELFAKVQVGNQVLRTRIASPLANKSLSNPVWNEDLLFVVAEPFEDYVLVSVEDHIAPNRDEVVGRVVLPVTGIERRLDEKPATSRWFNLDIHFNNQNESKAMMRFASRIHLRASLDGGYHVLDEATMYSSDVRPTAKQLWKPHIGVLEVGVLGATNLVPVKIKEGKGGSTDAYCVAKYGQKWVRTRTVVDSLSPKWNEQYTWEVFDPCTVITIGVFDNARVDKNTAIGAATRDSRIGKVRIRLSTLESDRVYTHAYPLLMLHPSGVKKTGELHLAVRFSCANMVNVLHMYSMPLLPKMHYVLPLSVNQLDGLRYQAMNVVASRLGRAEPPLGRDVVEYMLDHDSHMWSMRKSKANFFRLTNILAWFVAASRFLESLRNWHKPVYSALFMIVFMVFVLVPELIMPCVLLIMASVGLWRYRSRPRHPPHMDTRLSYADAVHPDELDEEFDSFPSCRSAEIVRIRYDRLRSVAGRIQSVVGDMATQGERFQALLSWRDPRATFLFVIICFIAACGFYLVPFKWVVALWGLYLLRPPKFRNRLPSRAVSFFKRLPTNADGML >CDP03929 pep chromosome:AUK_PRJEB4211_v1:1:34094364:34098826:1 gene:GSCOC_T00016437001 transcript:CDP03929 gene_biotype:protein_coding transcript_biotype:protein_coding MDHCLAHKYPSSLSLLQSSLPPKLNPSRVSPFNFAGNITRRINFGGRTRSPYRRGFLVLAASGTGSECGEYRGLYTPVQPTTPAGRLLGTVLLNDPDYFPQVVQKQLEQLAVDRYEALLRMNLSSASHEACLHRRIAELKEHECQAAIEDAMYVVICSKFYEIRVHLVPQLSKCMYNGRLEIQPSKDWELESIHSFEVLEMIREHLTTFVGWRANSSVTDKWAVTQISRLQLCQVYTASVLYGYFLKAASLRHTLEKNLLHMNFDLGLNVGSHFPVADMWALGSKAAMFGRVLSTRSTSVSEVSSNQANKGDKLRCYVMGFDPESLQMCAKPKSKEAANLIERQSFALFGDEETGLLETNEVISTSFASLKRYVLEAIAFGSYLWDAEEYISTICELEDN >CDP13107 pep chromosome:AUK_PRJEB4211_v1:1:2311525:2311659:-1 gene:GSCOC_T00037903001 transcript:CDP13107 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKGQEIRKNAKKWKDLARQAFDEGGSSDKNIKDFVSDLAQS >CDP13166 pep chromosome:AUK_PRJEB4211_v1:1:1410520:1411011:1 gene:GSCOC_T00038017001 transcript:CDP13166 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIACLCKFNSCNNTKYNQRKRKNHMFLRPTKVHSRGLPVDFIKCKPCLLFLSAQVFNYFGHQFCLHFEVFHLGTAPVYMAFLRFMGTDEDAEKFCYSLEVGRKGRKLTWQGVPRSIRDSYLIVRDNLDGLIIYRSMALYFSGGNMKELKLKVSGRIWRKDM >CDP17357 pep chromosome:AUK_PRJEB4211_v1:1:4612933:4622192:-1 gene:GSCOC_T00009700001 transcript:CDP17357 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSWADSVAAENSAAGGRSAYVPPHLRNRPAPSSTPSAPAASYAGSVSTANDRIGGQVDSFQGGGNRWGGPRLGGGGSGSSGASIGGRGGSGWNTRSGGWGRGREREVNPFGDDDSTEEMFQQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGDALNQNIRRCKYVKPTPVQRYAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGQHGQRPPRVPRMAFPLALILSPTRELSCQIHDEAKKFAYQTGVRVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQLIRYLALDEADRMLDMGFEPQIRRIVEQMDMPPPGVRQTMLFSATFPKEIQRLAADFLSSYVFLAVGRVGSSTDLIVQRVEYVHESDKRSHLMDLLHAQRANGAHGKQALTLVFVETKKGADALEHWLCVNGFPATAIHGDRTQQEREQALRSFKSGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNDNNTSLARSLSDLMQEANQEVPAWLSRFAVRSVHGKSRRGGGRFGSRDFRRESSLTRGVTDYYGGGNLSSGYGAPGGYAGSYGPGVTSAWD >CDP03693 pep chromosome:AUK_PRJEB4211_v1:1:35793267:35796223:-1 gene:GSCOC_T00016149001 transcript:CDP03693 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPMDPLLRTELIRYGEMAQACYDAFDFDPYSIYCGSCKFTRTKFFEELEMLQYGYDVTRYLYATSNINLPNFFKQSRWPKVWSKNANWIGYVAVSNDETSRKLGRRDICIAWRGTVTRLEWIADMMDFLRPISSDKIPCPDPTVKVESGFLDLYTDKDESCRFCKFSAREQILTEVKRLIEMYSDEEEELSISITGHSLGSALATLSGYDIVETGINVSRDSRGVPVCVYSFSGPRVGNVRFKQRVEGLGLKVLRVVNVHDVVPKSPGMFFNENVPPVVMKLAEGLPWSYSHVGVELALDHNNSPFLKPTTDPVCAHNLEAHLHLLDGYHGKGQRFVLASGRDIALVNKACDFLKDHHCIPPNWRQVENKGMVRNREGRWVQAERPRLDDPHSSQPT >CDP09710 pep chromosome:AUK_PRJEB4211_v1:1:25005621:25007417:-1 gene:GSCOC_T00030149001 transcript:CDP09710 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSTSTKLGVINFDVSSGMLGTQISDLESSLALVTLSGNNYSTFRGKGGDIFFFWQISIAGGAANPALLEKKELQSKRCKMLMPMAFCFIRKYNNDIILVKNAILSTEPQLLLQTQLFFLHSSTSSSRKQPLEIFFFFSFFIFLVCCSSCMAEKLRLATPLKPCCQGVAANYSCGDVRGCDWKNICIEFVKIPNLHSFGMMCTQHKQDGMQLLQLLNLA >CDP09037 pep chromosome:AUK_PRJEB4211_v1:1:31214688:31216047:-1 gene:GSCOC_T00028211001 transcript:CDP09037 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL-related homeobox 2 [Source:Projected from Arabidopsis thaliana (AT5G59340) UniProtKB/Swiss-Prot;Acc:Q6X7K1] MESHDNLDMPISGGAPVGSRWNPTKEQIDMLESLYKQGLRTPSAEQIQQITNRLRAYGHIEGKNVFYWFQNHKARQRQKQKQDHVSPFNRFLHKTASVLPPCTNVLCSPYYMPYPETGLCHQQQQLSKVLLPRSFKRRPILPEKLEKSKLLECVMSNSKQFNQETLDLFPQQPTGTLQSRTAAAVASCSFPSNYFGYSTATPSTSSATRSFTDKGGYDDHHPFFDFLCGNNGSCDGH >CDP09647 pep chromosome:AUK_PRJEB4211_v1:1:23735323:23736965:1 gene:GSCOC_T00030047001 transcript:CDP09647 gene_biotype:protein_coding transcript_biotype:protein_coding MMALFASLGLMFQSASRCFRVIASYRSLPFSSNGPCNQDGGSASFGNGVNQEYLGKSEGIWQEADRVCQILESGSWGPSVENALSMYDEKRHSELVIGVLRRLKDVQQALNYFRWVEKKTDEAHCPEAYNMLLMVIVKSKRFDHVEQILEEMGLAGYGPSNSTCIQLIDSYVKTRKLRGAFDCIQTMRKLKFRPAFSAYTTLIGALCTVNEPDLMRALFLQMQELGYEVSIHLFTTLIRVFAREGRVDAALSILEEMKSNSLDADIVLYNVCINCFGKVGKVDMAWKFFHEMQANGFVPDEVTYTSMIGVLCKGNRLDEAVHLFEQMEQNRAVPCAYAYSTMIMGYGSAGKFDEAYRLLERQRLKGSIPSVISYNSLLTCLGKKGKVDDALRIFEEMKKDAAPNRTTYNIIIDMLCREGQYRAALDVRDAMKFSGLFPNVLTVNIMIDNTQKHVLFLWTLCIRLNVLSRQQSWVLFCGKQQRHSMHLDHCNNGLVAVISD >CDP11112 pep chromosome:AUK_PRJEB4211_v1:1:6992383:6992964:-1 gene:GSCOC_T00033152001 transcript:CDP11112 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKFPATVEPAVWWPQQAKESAHKTCLKSNGWNSKLEKEMRSIVEVIRRKDKADYLRLGGKALTLNKLLAISGPLLTGLAAISSAFMGSSSHTGFLAAMLGIVGGSLASIVNTLEHGGQVGMVFEMYRSNAGFFKLMEESIESNLMERRENGELFEMKVALQLGRRVSELRDLASSPKSKGEGAEEFASKLF >CDP15758 pep chromosome:AUK_PRJEB4211_v1:1:16017855:16022122:1 gene:GSCOC_T00015821001 transcript:CDP15758 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDYFFIINSNSHKVVPYLICYIIIYSFTASPSPAPAPAASRANINGLSPCGSDISSPFLQQHLQQGRRLLKHFQSKIQGMTSMFTIKSNCMMKWLLWLEKIWPPGLLQNHLSM >CDP07055 pep chromosome:AUK_PRJEB4211_v1:1:29192020:29192451:-1 gene:GSCOC_T00024153001 transcript:CDP07055 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMTRTNGKKKNGIVKLKIVAEKLQKSLSLGKKLASRADEFEEVNDSTNVPDDVKEGHFAVIAVDDDELKRFVVPLSYLTHPTFLRLLEQAAEEYGFDVEGALTIPCRPSELERILAEKLVDGRDSRANADWSRTKTTVKSC >CDP17083 pep chromosome:AUK_PRJEB4211_v1:1:37669277:37669429:1 gene:GSCOC_T00004995001 transcript:CDP17083 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKPTIVTNAEGQRTMPSVVAYTKNGDRLVGQVAKRQAVVNLETSFSL >CDP17523 pep chromosome:AUK_PRJEB4211_v1:1:19533095:19535391:-1 gene:GSCOC_T00011474001 transcript:CDP17523 gene_biotype:protein_coding transcript_biotype:protein_coding MATQFLVRHKEAELIVPAKPTPREIRPLSDIDDQKGHRFHLPMIMFFSYNQFLDEKNPVGVIRDAVAKALVYYYPYAGRLIEGPSDKILVNCTAEGVVFREAIAEVGLDQLRDFMQPPFPYSKEFLVDASDSTEILDSPLMLIQVTRLICGGLVLAIRINHAISDAVGLAQFLNAVSQISKDPSSAPSPLPVWQRWLLSARNPPYVTCVHNEFEVEENSNSSTEPTILDSPLNLVRKCFFFGAQEIKAIQKYLPPNIPYATKFDLVTALVWRSRTIALQLDPEEIVTLTHAVNVRGKNKPKLPSGYYGNGFVSSAAVSKVNQLCNNSFVYALELVKKAKHQVTEDFIESAIDYSVLHGKPGYSTLLKDWIVSDASRTGIDEVDFGWGKPIYGGIMDGGPTFNMAVYSRLRNTQGDDSLVVPVCLPVAAMENFQKEMEKMIKVPMYGCNKFWHPKIISML >CDP07036 pep chromosome:AUK_PRJEB4211_v1:1:29021500:29023766:-1 gene:GSCOC_T00024127001 transcript:CDP07036 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRMAKRSSFGSVVRRRLSDITNSAPQPRSPSNVEKPSLDPSSKEYIDHLAKENMALVKLIQDKNKVIELNGIELQKLRINLQKMQMQNWNLAQANSVMIAELNFGKEKMMTLQHEISCKEALLKSRSLEIKASFFQSEEIPLVSVESLERELTPAEETKHDNSKNRRPRFTRSRSVGHCTTVSHQVAAKEAAENKRLCLRRQSASSKMQQQENKENLFELEDVMLPTAGVPTDFGPASSPFTYKDCKDDKQHGAELKSRRPERTSIGGRPLRKAAEKVQSYKEVPLNSKMRRAN >CDP09183 pep chromosome:AUK_PRJEB4211_v1:1:32276128:32279270:-1 gene:GSCOC_T00028406001 transcript:CDP09183 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSFKDSLKALEADIQLANTLALSYPREIDGACLQMRLSYAPPAHIFLFLVQWFDCQLAGALGLLRILVSMAYADGRPAMSSYERKASIREFYGIIFPSLLQLQRGITDLEDNKQKKICSLKYSRRDEMDRGKISEIDLEREKECGICMEINGKVVLPYCGHSLCLRCYRDWRRRSQSCPFCRTTLKRVNSSDIWICTDSSEIVDLSVILEENLQRLFMFIEKLPLLLPDSLLNQHNFAIR >CDP03705 pep chromosome:AUK_PRJEB4211_v1:1:35726481:35727035:1 gene:GSCOC_T00016165001 transcript:CDP03705 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDHFHQEHNNANAAVRRGVQVTPSSLRVVKESHFRGVRKRPWGRYAAEIRDPWKKTRRWLGTFDTAEEAALAYDEAARSLRGPKAKTNFGSPALTPMSFTPPVMVQVPSVNPTGLAENFPHWCSPAFFATDEADRSTERAARSEYTGYKLETIGVMMSEREMDFKGEKKPFLFDLNLPAPLF >CDP08952 pep chromosome:AUK_PRJEB4211_v1:1:30464170:30468154:-1 gene:GSCOC_T00028097001 transcript:CDP08952 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLSVVNDEEAGIFEEGMGEYESAEETSDSSVVNAEAAEASSNATTVDDDTCSARAGANSSSDLLTFNFDILKVNGANRGEEVEGEDENQVVFGGRMTAAHQPDFVTKNLFPVAELGPGRAPDQARSNASNWADLSFSHQPKQPPQQQQQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGIDADINFTICDYEEDMKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFEPSTYEPEMPSNMVQPHSQNEGSHYDLDLNLGISTSSGKENGSSRDVHYHPYDVQKARISKVDISSTTVNSPPLKGLPLTPERPQLWTGVYSNSMPNYKERVIENRFEVGSSQGPQKWAWQMQSPLSATPVTVFSTAASSGFSSSASNASSPSSKAPNSSAVGLCFASYPVSSANNSQCRFQLGPQWPPT >CDP03602 pep chromosome:AUK_PRJEB4211_v1:1:36491413:36495141:-1 gene:GSCOC_T00016018001 transcript:CDP03602 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPSVPQTSIHEFTVKDSKGKDVDLSNYKGKVLLVVNVASKCGFTNSNYTQLTELYSKYKDKGFEILAFPCNQFLKQEPGTSEEAEQFACTRFKAEYPIFSKVRVNGPTAAPVYKFLKASKGSWFGSSIKWNFTKFLVDKEGRVIRRYGTSTQPSAIEADIQKALGDI >CDP13100 pep chromosome:AUK_PRJEB4211_v1:1:2436149:2440541:1 gene:GSCOC_T00037891001 transcript:CDP13100 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQTITTSSPLNLNNSPNFCYCSSCFKNQSQKTPSLLPKTLFLPSLDSKFYYHLSDKTKRGSFFSSGNGCGANSIQTRTAYATLLETPVLWAGRVCIFYVLLKAGLAGSPANPLISSDLETSSDDLGFSKWFEKFQGNPDKEATDRRKLVSKWHPTTKGTLKRNYRVSSKSEGRRLLKAIASLLSDDDHFRDASSHKGCQIRRQNAHGESVCCNNVRALFDELPTPHLVVEITPFPAGPLNENDYLKAEKLERVLRSAPSA >CDP13145 pep chromosome:AUK_PRJEB4211_v1:1:1706639:1711303:-1 gene:GSCOC_T00037984001 transcript:CDP13145 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGIKAAEDLGIPEVQFWTASACSFIGYLHYRELIRRGIFPFKNDDYLTDGTLDKPVDWICGMSNVKFRDLPSFLRTTDPNDIMFDFMGEEAQSCLKASSIIFNAFDEFETEALEAVISKFNFPNIYTIGPLQLLARHIVSKSQVNSLNSSLWKPDSKVFEWLDQRAPNSVVYVNYGSVTTMTDHHFREFAWGLANSRQQFLWIVRPDVVQGGESARIFDTLWMEFYDGNYLCWCACHLLAFLC >CDP09663 pep chromosome:AUK_PRJEB4211_v1:1:24049152:24057576:1 gene:GSCOC_T00030073001 transcript:CDP09663 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSKFMPEFKVRQQPPQFTWLLSRRKRYEKHEEPLIVLAVFNNNRDTFPASHFRLKHLEVHCNDDQRIRHSSFHKIESSKMPELSFNRLQLTDEEYCGSHIRKFGRFIARETILDEEYWTAAWLRAEAHWESVSYMRHVDTYKRKYAEQEFYALKRRCFGQEGNSLKCTCFVAVKKEEKNVRRTVLNSVVGTLDLSIRQFVQGEAYPGEVKRVSPVLVSHDPFDAHKYAYIANVCVAKFARRQGIATNMLHLATEVATLAGTKQLFVHVNADNMPAQELYRKTGFKIVEAASSPLSKDQRLLMSMEL >CDP09664 pep chromosome:AUK_PRJEB4211_v1:1:24085057:24092690:1 gene:GSCOC_T00030074001 transcript:CDP09664 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase beta-2 subunit protein [Source:Projected from Arabidopsis thaliana (AT5G21170) UniProtKB/TrEMBL;Acc:Q2V357] MGNAGGRENGGGGDGGSSDGGGGRSNGARDSHAGSAAGVPPADVMVNSPPQSPRHLSPNSPLLLAPQVPVVSLQGNGLSFYNQFQRIQNLGTINEPVVRGIPTLITWSYGGNHVAVEGSWDNWRSRKTLDRSGKDHTIILVLPSGIYHYKFIVDGSVKYIPNLPYEADGMGHVCNLLDVHEDVPENFEGVREFEAPPSPDTSYSHSFLSDEDFAKEPVEVPPQLQLTVLDTGNIDEAASSSTKPQHVVLDHLFIEKGWASQSVLALGLTHRFQSKYVTVVLYKPLNR >CDP07065 pep chromosome:AUK_PRJEB4211_v1:1:29292528:29292698:-1 gene:GSCOC_T00024168001 transcript:CDP07065 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEGSPGSSKHGVTGKERVLSLSVASPIVPTDTTAKFDLPVDSEPGKENFDCDH >CDP03543 pep chromosome:AUK_PRJEB4211_v1:1:36963681:36965285:-1 gene:GSCOC_T00015947001 transcript:CDP03543 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSTCQLAAAFGILGNVVSFLVYLAPLPTFYRIYKKKSTQGFQAVPYSVALFSAMLYLYYAYLKKNGIILATINSGGCAIETIYLVLFMIYATKDAKMRTAKLLVIFNVGAYALIVASTFLLSQGHRRVALVGWICSVFSVCVFAAPLSIMMKVIRTRSVEFMPFSLSCFLTLCAVMWFFYGFLIKDYYIATPNILGFAFGIAQMILYAIYRERDNGLVLPEAYVKEIAVTVMEVGAVLETPPADYDTACAKPVIDHPSTDQPIA >CDP03545 pep chromosome:AUK_PRJEB4211_v1:1:36956919:36957674:-1 gene:GSCOC_T00015949001 transcript:CDP03545 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKFSSKTQKRKTEPPLDDTVLKGTTTFATYNTTTTLIITLKKICQSTTCKPQGRNLSRGFIRKIK >CDP14613 pep chromosome:AUK_PRJEB4211_v1:1:14979333:14981287:-1 gene:GSCOC_T00041199001 transcript:CDP14613 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDEQQQQQQGVDQSYVNKCQEQVRPFLAALDINPGDMDGNSQFTDQEGFEESYFDSFPPGYRFCPWDSELIVDYLKKKILEEPLPRNQIRDVNVYLHSPDILTERYTPAGLGQWYFFTQREKNNEYSSRQYREAGSGFWKVTGEDSAIQLKDTVVGFKKAWVFYEGKVPVGRKTNWTMQEYRVNESPRQESASSTGTALHDFVLCRIYKTKPENSNKSRGSQISQTQMRGQILEQPTQEGTVIYLQNGYTPIHPCIRTSSSRPVNYLGGMNSIGLPENHRVSANSVTFTNQANRSGQLIADGASTSTQIRPMILTFPSRTDFMAERFQQADGSQGNVQTTLQNRHSA >CDP03825 pep chromosome:AUK_PRJEB4211_v1:1:34789655:34792876:-1 gene:GSCOC_T00016315001 transcript:CDP03825 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQPSYSLIGCPSLNLDKKCLGFSRHLNNCRKFAFFFSNVDQRLLCKVQPRRLGPSLITCFASNKPEISSTAKIRSEVLSPFRSVRMFFYLAFVASGALGGLIATTQLIAALSNPSRATEVPDILKGLGIDIGAVSVFALLYYRENTAKNAQLAKLSREESLSNLRIRVDEKRILPVSAFRGIARLVILAGPASFIADSFKSSEPFTDRLVERGVLVVPFATDGNSPSFEFEDSEELKEIIAKRKRLWQLAPVYATEWSEWLAEQKKLAGVSPESPVYLSLRMDGRVRGSGVGYPPWNAFVAQLPPVKGMWSGLLDGMDGRVL >CDP16802 pep chromosome:AUK_PRJEB4211_v1:1:4127359:4127935:-1 gene:GSCOC_T00019318001 transcript:CDP16802 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGHLFLSLQSSNDDPLAYNYLDLLGMTMNDMVSEGLIEEIALDTFNIPHFLPSLEELRTTIQKNRAFKVRYLDTIQLRLSDAEAADCGKDYVFDIIISSKYMAGNLRAVYEPLIQAHFGDGIINDLFSKLATKISQYHGKINDSDRCRTCAIIINKT >CDP15550 pep chromosome:AUK_PRJEB4211_v1:1:25625633:25631217:-1 gene:GSCOC_T00015431001 transcript:CDP15550 gene_biotype:protein_coding transcript_biotype:protein_coding description:LHW [Source:Projected from Arabidopsis thaliana (AT2G27230) UniProtKB/TrEMBL;Acc:A0A178VXD3] MGSLLKEALKTLCGVNQWSYAVFWKIGCQNPKLLIWEECYYEPVIYATGLPRNSGIEGFENWNSAEACNSQLGVGAGNELHLLVNKMMMENQVNVLGEGLVGRAAFTGSHQWILSENYRRDAHPLEVLKEVVQQFSAGMQTIAVIPVLPHGVVQLGSCMPIMENVAFVNDVKTLVLQLGCVPRILLSESYATKEPAPKLGIPVCPGSSFMRNCSESSDMYASTPFIANSCDFKTNLTQMEACLAQTSGAIGQVQGIDHPSVAMFQASDFCRSPLASHVEQYQAKIGPSANTNSPSTGQLANGVAKAEVMCSNPEVSLNQQSSLCIPRPTFNFQPAIGSSDINRGSLKLLEQQILSNNSFQNHMDKSFNVSNNMMMSILRANAGLVSSSSQDSVTCQSANVGESYGGVKSNRISVSTMGSLSDADLLSCNSNSGAHNVSDSQIANSSKLEGSLVVNDLHQAFSAQLSQQSDNTCLSECNPSLIPKDEKHGNGVQSLTLGEALYGDGCAQNPSGDDLFDILGVDFRNKLLNCGWNNSQNSTCDSSITYLDKNSSVWFKGSDASTDLYPVNQGQSESSIFSGASNDHLLDAVISSIQPSGKLNMDDSVSCRTMLTNTSSSSAPNASRPCSMVSLSGQTQGDLFAFPKSMTKPGSLGSYSLRSGPGKDDKGGYSNSGSICGSQISSWIEHQDVKPSSSVSTAYSKKPDEMTKTNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLERTIKHMLFLQSVTKHADKLKHTGDFKILGKEGGLLIKDNYDGGVTWAYELGSQSMVCPIIVEDLNQHRQMLVEMLCEERGLFLEIADIIRGLGLTILKGIMETRNEKIWARFAVEANRDVTRTDIFIALVRLLEQTTKNGATLANCIESESMMVPQFQQATSIPASGRPCDLQ >CDP03622 pep chromosome:AUK_PRJEB4211_v1:1:36350407:36358977:1 gene:GSCOC_T00016045001 transcript:CDP03622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase subunit SCY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31530) UniProtKB/Swiss-Prot;Acc:F4IQV7] MHREKFCHRPIPGLFPLKINCSRFGGKPLLLLDRQNIRPSYDIVSKLRGRCYDIKAASTESLGYEQFLPEPNAGEVVLPLHDSGGNDSLRPRPKAYKNRFLNFVRLSSVLNTAAESFFKSEIRRRLFVTAILIVISRVGYFIPLPGFDRRLMPQDYLSFVSGSVDELGDSTPELKLSLFQLGISPQIAASILMQVLCHVVPSLVKLRKEGLDGHEKIKSYIWWISLGFAIVEALVLSCYSLPYSIYAASYRVKHVMLTSSLLVCGAMTMTWICDKITDAGFGQGSSLIICVGILTGYTDTLSKMLTQLSGTAVSWWPYVLAVFGVFTVVTMWAVVVTEGCRKIRLQYFGFKLASAAREDSPIIEVEPYIPFNINPSGMQPVLTTSYLLAFPGILASLLGSRFWENVRNILNPETSLGAEPWVYYTIYAFFVFLFNIFDIANMPKEIADYLNKMGARIPHIKPGKATIEYLTKVQASTRFWGGLLLSILATTSTILDHYLRRINEGFAIGFTSVLIIVGSIIELRRSYQAYNVMPSLSNALRRYGV >CDP03664 pep chromosome:AUK_PRJEB4211_v1:1:36082473:36088118:-1 gene:GSCOC_T00016100001 transcript:CDP03664 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related kinase 4 [Source:Projected from Arabidopsis thaliana (AT3G63280) UniProtKB/TrEMBL;Acc:A0A1I9LPR2] MENYEILEQIGKGSFGSALLVRHKLEKKRYVLKKIRLARQTDRTRRSAHQEMELLSRARNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKKANGVHFLEEKLCRWLVQLLMALDYLHSNYILHRDVKCSNIFLTKDQDIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCMYEMTAYKPAFKAFDMQGLINKINKSIVAPLPTMYSGAFRGVIKSMLRKNPELRPSAADLLRQPHLQPYVLKIHLKSNCPRRHTIPVEWPSSNLLTRTRFLEPEPVPPFSDKERRQSFGNNRALNPSISGTEMDSPRSSEKVKYFTSHMEKKFSCLSIGSTSDDFGVKKALPVPSKVSTAVKTPKLTSAKVSSTPRIHTVPSKIPNPGSTRELLPVSHAPTNKPSRSARRVSLPISSRAVKLEAPYIPNTRLQNSLDSLDVSVNAPRIDRMVEFPLISSEDNLFPIRRCSSASAQCSPASPYSRDLSITKDKCTIQILGPTLSAAPRIAEPTLGVQQNGSECSEHNEATVASSRSSSDSRQRRFDTSSFQQRAEALEGLLEFSAQLMQQERFEELAVLLKPFGPEKVSPRETAIWLTKSFKGNVA >CDP08494 pep chromosome:AUK_PRJEB4211_v1:1:22312767:22314880:-1 gene:GSCOC_T00027420001 transcript:CDP08494 gene_biotype:protein_coding transcript_biotype:protein_coding MATKATFLLCLAFVLLILAQNQASSVGLSISQHQPKALNARQYGIIEGSLRPQECGARCDTRCSKTAYKKPCMSFCQKCCAKCLCVPPGTYGNKQVCPCYNNWKTKRGGPKCP >CDP03644 pep chromosome:AUK_PRJEB4211_v1:1:36196956:36201116:-1 gene:GSCOC_T00016075001 transcript:CDP03644 gene_biotype:protein_coding transcript_biotype:protein_coding MIEALKGSGMKQKSRGDADQVAVLTAWHRVDCRTREAFRRSFLPELIIIMSLMLCPKIPFQQLYNLVSVTVTQSKGTETLKMTRIRKKKAGDFELPNMVTLCNFLRMAKEGIWLQVQPFLARKIIGGEVQSSI >CDP17047 pep chromosome:AUK_PRJEB4211_v1:1:37934936:37937663:1 gene:GSCOC_T00004953001 transcript:CDP17047 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01940) UniProtKB/Swiss-Prot;Acc:Q93W77] MKMSSSASGSQGLYSAADQFELTPENVDLVLQDVRPYLIADGGNVDVVSVQNGIVSLKLQGACGSCPSSTTTMKLGIERVLKEKFGDAIKEICQVYDEQIDETTVEAVDGHLDILRPAIKNYGGSIQVLSVEGGDCVVKYAGPESIGLGIKAAIREKFPDIANVVLTD >CDP17117 pep chromosome:AUK_PRJEB4211_v1:1:37381480:37382076:-1 gene:GSCOC_T00005040001 transcript:CDP17117 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRLYDKNIEGKCFKFCFPDISISGSLFPIFFPLRTPVCVQPGIPLIVHLWRCCGSTKVWYEWCVSSPVSTPVQNPNGRSYWVGL >CDP09723 pep chromosome:AUK_PRJEB4211_v1:1:25215111:25216541:1 gene:GSCOC_T00030168001 transcript:CDP09723 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKNKAKVLDKKVPKHVREIQEKLAKLKEIEERKKREEEEKLKKEEEERLRQEELERIEEEKKRLKKERKKEKMLKKKQEGKLLTRKQKEEARRLESMRNQFLANADEGPLLSSEGNAKRPVCQTKNPKPKISSLENQDTEAMKENEDEDDQDQKSDAKSWDDFELDGTQEAKRPVYQTKNPKPKISSSENQDTEVEKENADEDDQDQEWDAKNWDDFELDGVEHDAKPEAEGVEEFGPRANSVEEEAPESNSLLPVPCSQDVSNGLRSPICCILGHVDSGKTKLLDCIRSTKVQEGEVGGITQQIGATFFPLNVPGLLVIETPGHESFANLRSRGSGLCDIAILVVDIMHGLEPLTIESLSLLKMRGTEFIVALNKMDRLLNQVITQFKEQGLNTELYYKNKEMGETFSIVPTSAITGEGIPDLLLLLL >CDP09640 pep chromosome:AUK_PRJEB4211_v1:1:23642016:23642714:-1 gene:GSCOC_T00030038001 transcript:CDP09640 gene_biotype:protein_coding transcript_biotype:protein_coding MTATQPRPATANHDLMLSGPAVWRGVIKTKVSILILKRLKTHAHTKKEMEIQEAQGHGHLVLVPYPLQGHMTPTLQLASILHSKGFSITIAHAKFKSPKAFDHPDFNFLPVAENLSEHHIANKDRIAQVSIINTKCEAPLQESLTNLIKQKPQSRVNCIIYDTVMYCAEAVASNMKLPSIILRTSSASSFIAYCAILNLPLEDCIPLKGTYFQTLLARWTNEFFECLSKTLL >CDP03575 pep chromosome:AUK_PRJEB4211_v1:1:36734112:36735500:1 gene:GSCOC_T00015984001 transcript:CDP03575 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVKPDLQELCLPFARGRRCCATFKLTNLMHTMSVAVSLTTTNPSLFNFSQPFSVIPPLSTSAFTLSLSHTPTAIYSDQPPLSSPLDSVLVRSSMLPTGKAHHDDLRQLFSKPGPHIFRDATLPVSFVGPHVVESLILAPSPKSLEVAFLLSKAILWCDTRQLTSLLRCSARRGNADVASALIEAGADVNDRDEEGQSAMSLAVKSGNTGVVQVLIESGYSMEHSVDLFLHDAAAMNRLDILEILCLGYSDIDMNSTDSRGQTALHLAAHRGHLDVVRFLVSEGSDPDVVDGKGWAPLHYATREAHVEAVEFLLEHSVSAKYAVTKEGKTAFDLAKDEDQTHMYSMLRLGDDLHRAATTEDDVEAIKNCLGQGANVNSRDQNGWTPLHRAAFKGRIESVKVLINHGAEVDQVNDTGYTPLHLAVEAGHMQVALCLLAHGARANLKSLKAELVPSTTILLL >CDP17575 pep chromosome:AUK_PRJEB4211_v1:1:1255480:1257560:-1 gene:GSCOC_T00011069001 transcript:CDP17575 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLKQVGLLNIDGYYDCLLGLFDKGVEEGFIRHSARHIVISAQTAGELIKKMEEYEPLHDKVAPSQSWDVKDCKLAKS >CDP03949 pep chromosome:AUK_PRJEB4211_v1:1:33947571:33951012:1 gene:GSCOC_T00016461001 transcript:CDP03949 gene_biotype:protein_coding transcript_biotype:protein_coding MESENARKLTLFKYSKRVILKDIVGRDDGGAGLLGRRVVIGGWVKSSREIKKDPPSTVDTDAVGPKDASCVELIQSRIPFLRSIFKVLGSGDHRIRDKLDSVTPKPPQPSTSVLKVSDGSCVRTLQVAVDSALASPSQIMPTGTSILAKGILQQLFLRGKHVVELKVEQLLHVGIVDPDSRYPLSRKRLPLEMLRDHSHIRPRTTTVASITRINNAFTQATHTFFQNHGFLYVQVPIITSMDTGGFSKKFQVTTLFNKETVQGQNIVDNTAGVSLDNIKASIKEKNRQVEELKRSESNKEALVAAVQDLRKTNELVAQLEAREKAKSETSLRTEKLNFSEDFFSRPAYLTVSGRLHLESYACALGSVYTFGPRFQAEESEYSKRLLAEMWMVELEMAFSELEDAMDCAGDLLKYICKWISEHCPDDQKFLSKRIEQTLVDRLQSIVSGSFEKITYAEAVEILKQVPGKKFEAKPEYGFTLTEEHESYLADEIYKRPVIIYNQPKEIKPFYVRLNDDGKTVAAFDVILPKVGAVIRGSQNEERFDWLSPRMKELGLTNREYEWYLDLRRQGTVKHSGFSVALDLLVIYATGLNDIKDVVPFARIYGSGNH >CDP11094 pep chromosome:AUK_PRJEB4211_v1:1:7588750:7591873:-1 gene:GSCOC_T00033123001 transcript:CDP11094 gene_biotype:protein_coding transcript_biotype:protein_coding MHAASSTGSEGKALLTWKASLDNYSQSKLSSWSSSANPCSAWDGVRCNKAGRVSVINITSSGIKGTLDHLNFSSLPHLTRFDLYDNAFYGTIPSNIGNLSRLLLAHILIFQNQLSGALPDGFNNLTHLNVLDLSQNYFTGHLPQNICIGSSLSWFNVHENNFVGAMPRSFKNCSSLRGIGISDNQLSGNISEEFGIYPHVEIMELRNNKFFGQLSWNWSGYLNLTALGISNNNLSGRIPTGLGELSRLQKLYLSSNHLHGKIPSSLGKLTLLLELKLHNNNLSGNIPTEIGQMSRLLNLSLSANNLSGSIPEQIGNCTQLLDLNLSQNALVGNIPSQVGNLPSLATLDLSQNMLESKLPLELGEMKSIETMNLSHNRISGSIPKSFDHCFSLISIDISYNQLEGPLPNISAFQKAPSDSLRNNKGLCGSNKPQEFTRNMFSAWSFDGKMVYENIIDAIENFDPKYCIGAGGFGSVFRAELPNGQVVAVKKLHGIRALTNIRHRNIVKLYGFCSHIQHTFLVYEFLEGGSLMHLLSNDETAAKCEWIKRVSIVKDVANALSYMHQNCSPSIIHRDISSKNILLDSEYQAHISDFGTARILRPDSCHWTSFAGTYGYAAPELAYTMEVNEKCDIYSFGVLVLEVIMGKHPGDFILSTLSASSSTSTVYDILLKDTVDPRLSSPSTQESKEVTLVAKLALSCIEPNPQLRPTMKQVCAQLLKEIASQFNVFPIVTIGQLLDLQMTNV >CDP17079 pep chromosome:AUK_PRJEB4211_v1:1:37686640:37691398:-1 gene:GSCOC_T00004991001 transcript:CDP17079 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDEALANVPFLILGNKIDIPYAASEDELRYHLGLTGVTTGKGKVNLGDSNVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >CDP06949 pep chromosome:AUK_PRJEB4211_v1:1:28115369:28120094:1 gene:GSCOC_T00024003001 transcript:CDP06949 gene_biotype:protein_coding transcript_biotype:protein_coding MWREIDVVINSAATTRFDERYDVAVDINVFGALHILNFAKNCVNIKVLLHISTAFVCSEEEGLASEKPFDMRETLGGVSSYLDINIEKKFVDERLRELQNENARTEAIRSAMKDLGIQRARLHGWPNTYVFTKAMGEMVLEQFKEKLKVVIVRPTIVTSTFKDPFPGWIQGVRTIDSFLVAYGKGKLKFVLGDPKSILDLIPGDMVVNCILVAIVAHADQSCGHHIYHVGSSRRNPLKFSDVHEMFLSYFIKNPWVNDRGKPVRVNKCKVLSSMDSFNKYIATRYLPFLKILKLANTLSCHHFEATYIGAKRKVNLVTRLAEMYGRYVFSKVIFDDTNTQKLQVMAGEVDAEMFNFDPRSIQWKDYLMNIHIPGAIKHLF >CDP14429 pep chromosome:AUK_PRJEB4211_v1:1:10307009:10309087:1 gene:GSCOC_T00040868001 transcript:CDP14429 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTGNFILKGSDDSWLWESFKFPSDTILPNQGLAIGNSLSSRQSATNFSQGRFYLHFDGEGNLVLATRSVPTNVYDEVQYYNSQTSSSTDALNSGYQVTFDGTGAMYIRKTINQTQQLNLVAKSLPQASEYYHRATIDFYGVFTHYYHPRTFTGNPNWTIFWYVPINICYIQGEMGSGACGFNSVCYLEDNGRPACKCPNGYILLDPKDAYGSCIPNSSLGCGEVEGSAESLFDLEVVNDINWPLSDYERIYPSEEPVCKQSCLQDCFCAVAIFSGSGCWKKRLPLANGRAASTGGQSKAFIKYRKSDAPPVCQALPPVVKKLCRVDQDADKEFRAEVESIGRTNHKNLVRLLGFCDEGQHRLLVYEYMSGGTLARLLLNNPKPSWSMRTQIAIGIARGLVYLHEECNTQIIHCDIKPQNVLLDEYFNARISDFGLAKLLMINQSRTFTSIRGTKGYVAPEWFRSNQITAKVDVYSFGVLLLEIISCRRCVENIENFGEGENPILIDWAWDCFQEGRMDMFVENDLEALEDKMMLERFLMVAIWCIQENSSLRPKMRKVSQMLEGIVEVMVPPCPSPFSTTG >CDP06922 pep chromosome:AUK_PRJEB4211_v1:1:27765099:27768363:1 gene:GSCOC_T00023969001 transcript:CDP06922 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVMTHYTGDIIPPMTLHRRCSYIEFAEELYPSVWSLKLLCLVPKTRDRPSSMEKLQVLLRHPIFCNLFLLLVYVQACSNLAMAGSIVKFLPGFEGPLPFELETGYIGVGESEDGQLFYAFIKSESNPQSDPLIIWLDGGPGCSSFIPLFFGIGPVILEPLSFDGTLPKLVLNPSTWTKVVSIIFLDSPVGTGFSYAKTAKASQSSDFQASDQAYEFIRKWLHDHPEYKSNPFYVSGISYGGIPVPILTQLISNGNEDGIEPRIDLKGYILGNPITKVSGILNYRVPFAHGMGLISDELYESLKVCCKGEYEIIDPSNAACSKNMQAYNEASNHIYAVLCDSIFKN >CDP17350 pep chromosome:AUK_PRJEB4211_v1:1:4780779:4782221:1 gene:GSCOC_T00009688001 transcript:CDP17350 gene_biotype:protein_coding transcript_biotype:protein_coding MGANINKPSKSPPPSAPPQDDQNCPEQLLPITTSSPAAKTPKKLTLIPLIFLIYFEVAGGPYGEEPAVQAAGPLLAILGFLIFPFIWSIPEALITAELSTTFPGNGGFVIWADRAFGPFWGSLMGTWKFLSGVINIAAFPALCIDYLKKLFPIFSSGLPRQLAILISTLLLSFLNYTGLTIVGYAAVALGLISLAPFVLMSLIAIPQIHPHRWISLGQKGVEKDWRLFFNTLFWNLNFWDNVSTMAGEVDKPQKTFPLALLCAVIFTCLGYIIPLVAVTGAISVDQNLWEAGFMADAAKMISGQWLKIWIEIGAVLSAIGLFEAQLSSSAYQVEGMAEIGFLPEFCKVRSKWFNTPWLGILLSTAISLGISYMSYTDIIYSANFLYSLGMLLEFLSFLWLRRKFPTMKRPYRVPLPLPALVVMCLIPTGFLVYIMAIASKTVYLMSGLMTVGGIAWYLLMNLCKAKKWFKFSDGYAEEES >CDP03749 pep chromosome:AUK_PRJEB4211_v1:1:35389046:35393443:-1 gene:GSCOC_T00016224001 transcript:CDP03749 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISTLLRYVGCRMEYAARVGMKSYERGAKDVDIMKNFFQGKLTFLHFNKGEEMAPTIGSQGGTLLVRKIPVPDAKRVFVGDLVVLKDPANSDDYLVRRLAAIEGYEMVSTDDKDEPFVLEDDQCWVLADNENIKPKEAHDSRTFGPVSMTDIVGRVIYCLRNAVDHGPVSNSQFSMRYDSPILEVELDVDEMVKNHKA >CDP03673 pep chromosome:AUK_PRJEB4211_v1:1:36016879:36029794:1 gene:GSCOC_T00016111001 transcript:CDP03673 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRRLLVALLLGFLLRVNYLCTNGESSTCLTVYREGGAPAVFQSPKCPRWTLSNYRSNPKNSPSSAARCQSAMHQGRRKSQEDRTLCALDIRIPFPCPAGVKEVAVGIIAVFDGHNGDQASDTASKLLLEYFTLHTYFLLDTTFSFLLGRSVGRLPNKGVQGQFFQKPRWEKNDHHAIYIGRLKLELSAIWDESFHLEILREALLRAIHDIDVAFTKDAFIENFDSGSTAAVILQADTQVLVANIGDSKAFLCSELHQSPYEAKATLLRLYRQNRDDKTSSFLKDYRSLKLAASSGLTFLIAKELTEDHHPDRDDERSRVEAAGGYVSEWGGVARVNGRLAVSRAIGDVYFKSYGVVSLPEVNDWQPLTVNDSYLVAATDGVFEKLNPQDICDIFWELHTDTSVSSELIDFSSYSLADRVVDAAFDRGSLDNMAAIVVPVKSFGTSQSLLKNVFDEAGEHDSSASGYIRPLNERPGDDHMSEPVEVEHTYSVGDKFERLLVERKKSSFGCFYLSENLNEIDDYTFWVEKDDHEHSYKLTQALPSAVTHTCGGLKNVHDKQSTCMFYGFTADEDNGQCTNPESFTSFLGLLGSIPLHGTGPDEHTIPDSRYILKKRYGRGSYGEVWLAFQWNCSKLSKSSEQSSKDGKFYYQYMHFRDYDGSSEASSFADDRYNGTADEKMFILKRIMVERGPAVYLSGLREKYFGEVFLNASTVLRASPPAAASDAFSGDALSDTSFNYEDGLNHIARFVESFESQSNHMWLVFRHEGVSLSKLLYTAEEVINSDDQGSNDNLKRVQILHPSKWWHWLKTTEAGQEEMRNLILQLLKALKSCHDRNISHRDIKPENMVVCFEDQFSGRCFNGLPDGDNSYATKMRLIDFGSALDDFTMRHLYGSTGPSRAEQTSEYAPPEALLNVSWYQGPTHKIVMYDMWSVGVIILELILGSPNVFQINSKTRALLDQHLEGWNENLKELAYKLRSFMEMCILIPGIPTRLHHNSETKDHGSVPPVPWKCSEDFFANQIKRRDPLNIGFPNIWALRLVRELLQWNPEDRLSVDDALQHPYFSARPSS >CDP03786 pep chromosome:AUK_PRJEB4211_v1:1:35086211:35090210:1 gene:GSCOC_T00016268001 transcript:CDP03786 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSYPDISLEDLMRVIKGFVDMLVLASGYQSSGRLSHWDSHNIEKAFQWATFLEIVLRSLRSSDDNQDSVAELDAALSQLTSNPCFPEGLRQLSCTTLSHARDLMVKHLVRSVPLTDAHLRASVAAVIGIDSHQFQSIGTDELHLYLERLMTNALKDFILTGSNAFSSFTKSAVQGLGRRQLEVSCISAAETGLDALSNMITKSNMIHDHGTTTEERPVEPAVWSQWKLRSLSYLLNKRTIRLVSGAALLFSAPKQQWIQELLLLGSIAHKWSSLVEKFMSSSYECLTIFKLFQEVQNFLSGRLQNLCCEENILTEEQGALEYLEGLLGNQFHHFWKMSPVLLAMAIPSRSMLFRLYLIELEHQMRGDSSAIRCCSCMMDGKNHGECEIAERIWCLYIFQASGTW >CDP17074 pep chromosome:AUK_PRJEB4211_v1:1:37746321:37756823:-1 gene:GSCOC_T00004985001 transcript:CDP17074 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDVPLDDKAKRMRDLLSSFYSPDPSSASMPVNNTSSRFATLDTINTPSFDADQYMNLLIQKSNLEGLLQKHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMNNNIVGMEANMEQLLEKIISVQSRSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPTRLGKCIRSEAYADAVRFYIGAMPIFKAYGDSSFQDCKRASEEAVGIITKNLQGKVFSDSESIQARAEAVMLLKQLNFPVENLKVKLFEKLEQFLVDLHLESKEIAHVSATLDGPNNHGNVTDPASSAAHESSIHEFAEAIRAYRVIFPDSEQQLVRLAQDLVNMHFEAVHRHIKKQLQSEDLLEMLWVIWSDVLLMDEVLPEAAISDFSLVAARNAVKEYVASTFSHLLLGITGTIMKVQDRQKVGVEEEYPLQSVLEASKKAVIQGCMNVLLDFRQLLDEKLELSLKLRDLTIDWVQEGFQEFFRKLNERFLFLSGKSNSGSQDLSLTQGLQGEKVLPGLVLLLAQLSLFIEQSAIPRITEEIASSFSSGGARGYEYGPAFIPAVICRTFRAAGEKCLDHYVRLRTQKISVLLRKRFTTPNWVKHKEPREVHMFVDLLLQEFEAIRGEVKQILPPELSRKHHRTDSNGSTTSSRSNPLRDDRMNRSNTQRARSQLLETHLAKLFKQKVEIFTKIEFTQESVVTTILKLCLKSLQEFVRLQTFNRRGFQQIQLDIEFLRTTLKDTSEDEAATDFLLDEVVVAAAERCLDPVPLDQPILDKLIQVKVAKSSEQNLNP >CDP03526 pep chromosome:AUK_PRJEB4211_v1:1:37089747:37098887:-1 gene:GSCOC_T00015925001 transcript:CDP03526 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSQMPPIQLEHGWEFMQNGIQKLKIILEGNAEMHFSAEDYISLYTTIYNMCTQKPPHDYSQQLYEKYKEAFDDYITSTVLPSLRDKHDEFMLRELVNRWANHKIMVRWLSRFFFYLDRYFISRRSLPGLSEVGLTCFRNLVYQELKVKVRDAIDQEREGYQIDRALLKNVLDIFVEIGMGQMDYYENDFELEMLVDSAAFYSVKASNWIVEDSCPDYMLKAKCLKKEKDRVSQYLHLSSETKLLDKVQHELLVTYINQLLEKEHSGCRALLRDDKVDDLSRMYRLFHKVPKGLDPVANVFKQHVTDEGMVLVQQAEDAASNRAETVGGLQEQDFVKKVIQLHDKYMAYVNDCFSNSTLFHKALKDAFEVFCNKVVAGSSSAELLASYCDNILKKGGSEKLSDEAIEDTLDKVVKLLAYVSDKDLFAEFYRKKLSRRLLFDKSANDDHERLILTKLKQQCGGQFTSKMEGMVTDLTLAKENQNHFQEYLNNNPVAAPGIDLTVTVKCVEVFKDFYQTKTKHRKLTWIYSLGTCNINGKFEPKPIELIVGTYQAAVLLLFNASDRLSYSEIKTQLYLADDDLVRLLQSLSCAKYKILKKEPNTRSVSQNDFFEFNSKFTDRMRRIRIPLPPVDERKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVLECVQQLGRMFKPDVKAIKKRIEDLITRDYLEREKENPNSYKYLA >CDP03617 pep chromosome:AUK_PRJEB4211_v1:1:36397753:36398304:-1 gene:GSCOC_T00016040001 transcript:CDP03617 gene_biotype:protein_coding transcript_biotype:protein_coding MRGISIFNLQKINHKLLSQRRSSREEAQAKEIMGLARHITAISAAILVVGMVGSVCSSVESAPNTQVVTVLCNSEVYFRGDPFAISLAYVIADLETQTPSRKGYDYRNISPYPNAFAYGRANCNKNITSSDCEKCLVAANTTMISGCGSRIGARAVLLDCSMRYEQYPF >CDP11114 pep chromosome:AUK_PRJEB4211_v1:1:6977751:6987465:1 gene:GSCOC_T00033154001 transcript:CDP11114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MIDQQEKEQVVEEASDSGFTKEESSLIDAFIGVLGRGRSASSKQLQDVERAVQVLEGLVGVPEPTSSSLIEGRWQLMFTTRPGTASAIQRTFVGVDSFTVFQEVYLRTNDPRVSNIVKFSDAVGELKVEATATLKDGKRILFQFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSQSGNIRISRGNKGTTFVLQKETDSRQKLLSVISTGLNVKEAIEEFITLNQKVAETELELLEGEWKMIWSSQVETDSWIENAANGLMGNQIVRPNGSLKFLVDMFLGFKFSMTGTFVKSGTNTYDVTMDDGAIVIGTYGLPMEMETKITLEIIYTDDKIRISRGYNRILFVHVRIDGSEQK >CDP03559 pep chromosome:AUK_PRJEB4211_v1:1:36847880:36851775:-1 gene:GSCOC_T00015964001 transcript:CDP03559 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSFRMELLEVMEAGKFNKKADDDIADSAAEPVRLHEKNPKSLKAKPNDVSKSSSQEFMQSKEAETVSEVKAKYKNKRKRNKRGKQDRNVKTDSAKDLIPHHRDEAMEITKDKGEESKRREQKSGKIIGGMIFMCNAKTKPDCFRYRVMGIPTSRQELVMRIKPGLKLFLFDFDLKLMYGIYEASSSGGMKLEPAAFSGAFSAQVRFKICKDCLPLPEGVFKKAIKENYDEKTHKFKTELTVEQVKKLTELFHPAPWLPPNGKASGTEIVPVPVVWPPPSLSTLPEQEIFREQVYKEQYGTKTHQRSMRNDDDHHHIMPNNGHSSRPLFLSEKEYRSFGLRSERHFLPTTAPAGLPTSHMDDNHRFNNGREQLPSNPISLSNNAALVQKGPGCHNIYFPSEKEYRTYGLRGCHEVPSRQTPTLETKQSFEDPIKDVCNPYDDSTTSLVNRYLSLPGPVATPKEPFYLESRGAHVNGSSYARETKDHLQRLNSEVELSHPLYASHALSDYNRNYHRLGGGPDFTSSKVSSRYGFDGPLSRS >CDP13153 pep chromosome:AUK_PRJEB4211_v1:1:1605761:1614361:1 gene:GSCOC_T00037998001 transcript:CDP13153 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKGSSTPAASREKSRASSSSSVEKKSKRQRMKVESVPANVDSDFMEDPDVVVVSDDNEREDGGEVEGESSPMSPAERKTKRRVLAENEEEGDFRFLGEPVADKEARCRWPHRYAVKQKRKQNAVLKDSKGEDDSEEFIDAKRHFTQAEVDGQIFNLEDDAYVQAEVGKRNYICKIVEMFEGTDGMPYFTAQWFYRAEDTIITECHEFIDEKRVFFSEVKNDNPLDCLVKRLRIARLPPNMDLECKDAAKSNCDYYYDMMFLEPHCTFISLPPENNGAGSDSGSTISSEADATGAVASGVEVSQEANDLEMRLLDLYSGCGAMSTGLCLGAYSTGVKLVTKWAVDVNKYACESLKWNHPETEVRNESAENFLLLIKEWERLCVSYALVESNVLPHPYVSSSNEQVDEVGGDDEDDDVDDSDGNSSEVFEVKEVLAMCYGDPKESGAVGLYCKIRWKGYGPDDDTWEPIDGLSDCQEKVKEFVTKGYKRKILPLPGNVDVICGGPPCQGISGFNRFRNTDQPLQDEKNKQLAVFMDIVAFLKPRFVLMENVVDLIKFSKGYLGRYAFARLLAMNYQARLGMMAAGAYGLPQFRMRVFMWGAVPKEKLPQYPLPTHDVVVRGVVPKEFESNTVAYEEGSKVELEKELFLEDAISDLPPVENDEPRDEMPYGGASKTEFQNFIRLRKDEMPGSLGFGLEVAPHLLYDHRPLQLNRDDYSRVCQIPKKKGANFRDLPGVRVRADNKVEWDPDIERVYLPSGKPLVPDYAMTFVGGSSCKPFGRLWWDETVPTVVTRAEPHNQTILHPKQDRVLTIRENARLQGFPDYYKLFGPIKERYIQVGNAVAVPVARALGFSLALALKGLSGDEPVLQLPKSFPNNVELPAEVSS >CDP09150 pep chromosome:AUK_PRJEB4211_v1:1:32037101:32038431:-1 gene:GSCOC_T00028364001 transcript:CDP09150 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRFQDTRTGLFYAENFLKKVGLGKEDYHFWKQIGKALLCTYTLFGVAWLWNETSPLGWWTLKPQPKEEQELAHLYERPNFPYPGDKEAMEEFIAKGGMIGTTIGPKGIIETDKDSINFQKNLQDKKFEQEAFKLWMRMKNEVVSELQEKGFDVE >CDP03990 pep chromosome:AUK_PRJEB4211_v1:1:33697026:33701371:-1 gene:GSCOC_T00016509001 transcript:CDP03990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 4A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25480) UniProtKB/Swiss-Prot;Acc:Q56XR7] MGFFFPLSCFASEAAVPTTQEVSNKINLEAVVVSVDDFFNKNPFFVAGVVFIWLVVVPLGQEYFRKYKFISAIDAFRKLRDDPNYQLLDIRDEKSLDFLNSPNLKILNKSVLQLAFSEGDEEGFLREVLEKFKEPDKTTVCVLDNFDGNSMKVAELLAKNGLKEAYAIRGGIRGNKGWQEIQETLLPPSVHIYPKKKAKVSQQPSGNGGVNSQTEGSSSSVTGLLANEPEKISNESVISSSELTSGTKCGPRSSSPYPNYPDLKPPSSPTPSKPEI >CDP07057 pep chromosome:AUK_PRJEB4211_v1:1:29218143:29219865:1 gene:GSCOC_T00024156001 transcript:CDP07057 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPQRPHAILVSYPLQGHVIPSVHLAIKLASRGFTITFLNTQSIHNNITSGDKTINGQGDIFAEVRKSGLDIRYTTVPDGLPLGFDRSLNHDQFMAALLHVFSAHVEEEVQKIVKSGPPVSYLIADTFFVWPGMLAKKYGLVYISLWTEAALVFSLYYHLDLLRLNGHFGCIDMREDPIDYIPGVKPLEPLDMPSYLQEMYPSSVCHKIIYKAFKDAQDADFVLCNTVEELEPETISALQEKLPIFPVGPLFPSGFTKSCVATSLWSESDCSHWLDTKPPGSVLYVSFGSYAHVQKRDLLEIANGIQESNISFVWVLRPDIVSSEDRNPLPDGFRAATSDRGMVIPWCCQINVLRHPAIGGFLTHCGWNSILESIWCEVPLLCFPLYTDQFTNRKLVVDDWKIGANLYDRVSVTQVEVSQKINRLMSKKSGDEFRNAIKVVKKTLEKALKEDGSSEKNMDRFIRDLKITLEKKNQTQKVVN >CDP07125 pep chromosome:AUK_PRJEB4211_v1:1:29954590:29958641:1 gene:GSCOC_T00024248001 transcript:CDP07125 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDX2 [Source:Projected from Arabidopsis thaliana (AT5G60540) UniProtKB/TrEMBL;Acc:A0A178UA48] MVVGILALQGSFNEHISALRRLGVKGVEIRKPEQLQDVTSLIIPGGESTTMAKLAEYHNLFPALREFVQMGKPVWGTCAGLIFLANKATGQKTGGQELVGGLDCTVHRNFFGSQIQSFEAELSIPEIAAKEGGPPIFRGVFIRAPGILDVGPGVEVLADIPVPFDKASSSDLGIQSPEENTHSEKKVIVAVRQGNLLATAFHPELTADGRWHTYFLKMAGEVGEGALCCRSTSVEDPSFSERDKNDLPIFQ >CDP13093 pep chromosome:AUK_PRJEB4211_v1:1:2550149:2551207:-1 gene:GSCOC_T00037876001 transcript:CDP13093 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVGHVTPGFGFFLIGLWHLVNHIRLHFLRPKSYTSLPWFPTSKSRYFELLLIMGGCIASISMELFISPARHQPLDPDGTIPSNHLHNFEHSNISLTIFIYALFSIILDKIQPPAQHGLTQMLGAIAFGQEYLLFHLHSTDHTGVEGQYHWLLQIVILVSFVTTLLCIGYPQNFLNNFIRSLSILFQGVWLMVMGIMLWTPEYIPKGCFMNLEDGHYVVRCHDLEALARAKSLVNIQFSWYLVGVTVFCMCLYLGLYKVFPGKAEYQSLTKLEEDDDDFRFRK >CDP04001 pep chromosome:AUK_PRJEB4211_v1:1:33599926:33608403:-1 gene:GSCOC_T00016524001 transcript:CDP04001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDVLARDFGFRPQGKSNPMRSEGGDRRSSSARSSSSAAFYDDHGSNRDGFLFNDVFGGPPRYSNNNKSSSPGNDFDYDSIFKSSSSATANSNSNNHPKTSSAPVYDKPVYDEDIFDGLPGMKSKSESSAGKFDDDVFASMASPPRRSQSNNSSNNRNDQFDDLLGKLGRNERNNNKSSSSAGFDDLLGNITMNERKPEVKSSSSRSSSSRGFDDLFGGLAGGTPANSNRPVSESNQGRKSTSSFSQSTPNTMDDPFVVLDSTSPPVSSSAGVFVDPLEEIGRLGKSGSSKAGGVFDDLDPLNGFGKSSTPFSPEKGSRGKDRSPLMSGSSASDTQTPAGQDHARSSVRQSESHSKRNAPDDSFQEPPPFDVPNVSENLQKSYHQNASSPPRVSSNVNEINSPADASPRSQEHGQTLDDIWLTVFEIPLFTPPTNAPPPSRPPPPIPRRTSKAETGFFSSNTKKKSDDFASPPSYSSFSQSPNAAWTAGKGNYASQIDELEDFATGRTQNSVDESNVHLEEEMNASSVAAASAAAMKEAMDRAEAKFRHAKEVREREYAKVARSRENVHDMQDVQERDYRENQERLEKERLQREEEEREQRRLERERERAREIERERAREIERERARHAVERATREARERAAAEARLKAERAAVEKAQGEARERAERAAVQRAQNEARERAGAEARERAEKAAAEARERANAETREREARDRAASEKAAAEARRRAERAAVERAAAEARERAAAEAREKAAAAARANQQRNDNDFESFFSMNSRPSSAPRPRANSSETMFDTNFQSTGGPQGPKPTSFGGTSSNMRKASSTTNIVDDLSSIFAAPSSGEFQEVEGETEERRRARMERHQRTKERAAKALAEKNQRDIQALKEQEERYRIAETLDIEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGPNVKKVYRKATLCIHPDKVQQKGATLQQKYIAEKVFDLLKEAWNKFNSEELF >CDP14607 pep chromosome:AUK_PRJEB4211_v1:1:14275531:14280333:1 gene:GSCOC_T00041177001 transcript:CDP14607 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNAATVERKPKWHPIPPPPPSPKILNLPRRNRHRKQHKKPTKKPYCSSHVSETGKGKLERLFGQEREFSRSGCSNSVPIIVLNSSAASSSLSGGRRDRVVEEEEEEEEDEEEKKEVGGEGMCGEFMEEKWKFQAEILRAECNFLRMEREVALKKLERNRAQMERTLRSAVQTLISGKKKIFEGKNVNAVLEEEIEELAEKLEELQKSSGLEDLEVRNCNNFDKQACLLQRRLEKIGGLSDNKYVEELQELAEASLSISNEVGNGSCVLDSRSNKSIDVEMLRRKMDGVSKEMLQKIEKDFCSILSTTENSSVASSASISKRIEYPDQKNTFLLQESVSHEDAKCTGRCKAVVRRIVEQVRAETEQWSQMQGMLMQVRKEMEELQNSRKFWEDQALNSDREVQCLQSSVQEWKEKALTLETKAKATETELADLKMELEKLKTAQGIEQCRVVINDHTTPLASIRKQLEKEKRMLRRLKEKCRTTTDGRRKLHTYNSGLATLKRSPFDDIGNLSEL >CDP07009 pep chromosome:AUK_PRJEB4211_v1:1:28799503:28802873:-1 gene:GSCOC_T00024089001 transcript:CDP07009 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSRNMPSTLNTYWGGANSSSPIFAHLGAESPLDHDFLRVGFPVDHAPFFNALVVYVEHRHYGESVPFGSMEEALKNETTRGFFNSAQAIADYAEVLLYVKERFSSPVIVYGGSYGGMLAAWFRLKYPHIAIGALASSAPVLYFDNITRPQDGYYWIVTKDFPATSGSCYRTIKDSWDVVDNIASQPGGLSILSQRFKTCSHLNSGVELKNFLVSMCASSAQYNHPPDYPLTVLCEAIDRAGSRTDIFGQIFAGVESAFGKNSSCYDVNYFHRPTETNEGWGWQVCSEMVMPIGRTVEAHMFQPAPFNLTEFTQYCKLVYGVPPRPHWITTYYGGHDIKLTLQKFASNIIFSNGRKDPYSSGGYVIYTIKEIIVFCLSFEVMPILDHLVMVFWLIYRVLEDLSDSLLAISTRSWFALDLINSFFFFFENQRESQKEKEKEKEMRGN >CDP09067 pep chromosome:AUK_PRJEB4211_v1:1:31475201:31478341:-1 gene:GSCOC_T00028256001 transcript:CDP09067 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 1 [Source:Projected from Arabidopsis thaliana (AT2G29050) UniProtKB/Swiss-Prot;Acc:Q0WQX7] MGRAKTSSSSESDKVEIKIQPRSDLNQAVVIPRPPNYQQNFHPPPHRPPQRPRSLRLFKKWIPWFVPTIVLVNVAIFVYAMYLNDCPRNSENCIGRSLLDRFAFQSTKENPLLGPSAATLLKLGALDVKSVVDGHQVWRLASCTWLHAGVFHVLANMLSLIFVGVRLEQEFGFARIGLAYVISGIGGSLLSSLFVRTTISVGASGALFGLLGAMLSELLINWTIYENKCAALSTLVLIIIINLAVGILPHVDNFAHLGGFVTGFLLGFILLIRPQFGWVNQRNAPPGYHSKNTSKFKAYQYIFLILALLLLIAEFAIGLGLLLGGVDGNDHCQWCHYLSCIPSPLWTCGPVRCSSSQLQNQVNMTCLSNHKSGFYTLQNPNNTAELQRLCAVLCH >CDP03654 pep chromosome:AUK_PRJEB4211_v1:1:36133329:36134350:1 gene:GSCOC_T00016089001 transcript:CDP03654 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEIQIMRLVNLNLFAIITGQRIRLPELEDRIWLRHIPEEGLSSAILPSTALLMGCVADLHVFHDFSFPNRTLMQHRLVVLDG >CDP03727 pep chromosome:AUK_PRJEB4211_v1:1:35535524:35537827:-1 gene:GSCOC_T00016199001 transcript:CDP03727 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHINKDDDSLRHDWAQELKAFEESRGGVKGLVDSGVTRIPKFFIQSPAAAAQQSLLATSTVNNVNGINDGLLQIPCVDLQGIETDGARRKEAVDEIRRAAETWGFFRITSHGVPISVMDGILEATRRFHEQPKEDKMHLYSADGRQSVRFYTINGTFQENHVASWRDALGFNFPDGVVDSQALPLVCRKEVSEYMKHMIKLRDVLSELLSEALGLSSDHLARIECMESEHLSCLYYPPCPEPNLTLGLLKHSDTTFFTTVVQDDKGGLQVFHQDQWVNVLPVPGALLANIGDLMQLITNDKFKSAEHRVLARCVGSRVSTACFFFPSSSHLFKPYGPIKELLSETNPPLYREVSNMEYTTAYQKNVRHRTSTLALFKL >CDP16604 pep chromosome:AUK_PRJEB4211_v1:1:6411437:6416216:-1 gene:GSCOC_T00019041001 transcript:CDP16604 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTKFSYLTPYKFSLLLKECIKAKAIESCKRIHALMLTSSVNWNSFSLGSKLTGVYASCGDFGSAKLLFQETQSPSVFAYNWMISALTFHGHHEEALGYFTLLQESKNFCPNSYTFSSVLKACLGLMDVNVGKEVHSLICKMAYDVDVSVGNALIDMYCKCGHIWDARMTFDEMTKRDVASWTSMICGYFSAGKFEESVTLFERMRLEGVEPNDFTWNAMIAGYARRGDCDKAFMFFTRMREERLIPDLVTWNAMISGFVQSQRAGEAFKLFQDMLCSGIMPNQVTFTGLLPACGLIGSIHRGREIHGFICRMEMDVNAFVATALIDVYSKCGSMRDAWNVFNSISCKNIASWNAMIRCYGNNGMVDLSVELFLRMQDEGIQANEVTFTSILSSCSHGGLVDKGLGIFKLMKEQYGIEASKEHYSSVVDLLCRSGRLEEAYDTVKEMPIEATESIVGAFLNGCLVHERKDLAERMVEDVILKKPGGFVTLSNIYAAEGDWTQVENVRMLMKDKRVLKMAGSSSF >CDP07098 pep chromosome:AUK_PRJEB4211_v1:1:29670143:29673245:1 gene:GSCOC_T00024214001 transcript:CDP07098 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMTSNQLGVIGALFLSVASSVSIVIVNKALMSNLGFPFATTLTSWHLMVTYCTLHVALRMNFFENKPVDMKTVVLFGILNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKHFSQKIKFSLFVLLIGVGIASITDLQLNFVGTVLSLLAIITTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAVLFVSGPLVDQCLTKQNVFAHKYSPIVLAFILLSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYTLLHDPFTSRNIAGILIAIVGMGLYSYFCTHENKKKQGGDFSSMSQNKDKDTTPFLANGKKMAHQDEENPTEK >CDP09088 pep chromosome:AUK_PRJEB4211_v1:1:31618682:31620466:-1 gene:GSCOC_T00028283001 transcript:CDP09088 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVGRLSKSTFLIILLLSVLSLQCFRIGAHGGDDQESSSDGDNRDLHSKGLILVKIWCLIIFFASTFAGGVSPYFFRWNESFLLFGTQFAGGVFLGTSLMHFLSDSTSTFADLTTKSYPFSFMLASAGYLLTMFGDCIVILVTKGAEKDNRVEVEEGRAASGGYGEEEGMHHDPVSVKTSSVGDTILLILALCFHSVFEGIAVGVAATKSDAWKNLWTISLHKIFAAIAMGIALLRMIPKRPFLTTVAYSFAFAVSSPIGVGIGIAIDATTQGRTADWIFAISMGIASGVFIYVAINHLVTKGFKPHAKLYFDTPFFKLLAVLLGVGVIAVVMIWD >CDP11092 pep chromosome:AUK_PRJEB4211_v1:1:7804972:7805846:-1 gene:GSCOC_T00033116001 transcript:CDP11092 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRWASSTFLTWVARARRATRATPTCSVVASTSSSESSPSSVNGGISANSSSSSNVPKSLAFEAFVTTAWLGRVEWRPWSCLWGWLGWVGLKNLQNLVKVGENLLKKPVSRINLQTGVFEALNKGTNEEALKRLADTLSKEKRLRDLRSPTGHVPKRQK >CDP03741 pep chromosome:AUK_PRJEB4211_v1:1:35429433:35430146:1 gene:GSCOC_T00016216001 transcript:CDP03741 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHAAGFSKYKRKPTPDTGIPSHPVHHLLAVSMSCLSFNKLLPSRKAWRVFTEKVQTKLHKLHPSKTIKKPKNRLKKTGKKTSCWPAFCTQSKVQRKRFKHKPSQTQTLRSYYVHKRPSAVYIDRLFIEPAAVSLVRVHSQPPKKAAATTASKEYQEVCPSTLNTEKPELVDQRENTAGTSKEEEKLNENMHSADDMWESLVLASPQMNGINERAEEFITRFRAEMLLQEQLAHYL >CDP17043 pep chromosome:AUK_PRJEB4211_v1:1:37949706:37950504:1 gene:GSCOC_T00004949001 transcript:CDP17043 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYFLHDSEKLPYEAEEIRGARSFFTMSCGWTNFCCELPPRSGTQRVEGCIQVQAHCVLLYK >CDP07051 pep chromosome:AUK_PRJEB4211_v1:1:29143429:29148229:1 gene:GSCOC_T00024147001 transcript:CDP07051 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 7 [Source:Projected from Arabidopsis thaliana (AT4G05160) UniProtKB/Swiss-Prot;Acc:Q9M0X9] MQECAKNFPHALVLQGYGMTETCGIVSVENSNLGARHSGSAGMLVPGVEAQIVSVEKSKPLPPGKLGEIWVRGPNMMQGYFNNPQATKLTIDKLGWVHTGDLGYFDEEGQLYVVDRIKELIKYKGFQVAPAELEGLLVSHPEILDAVVIPFPDAEAGEVPVAYVVRSPNSSLTEEDIKKYIADQVAPFKRLRRVTFINSVPKSASGKILRRELIEKVRSKV >CDP08976 pep chromosome:AUK_PRJEB4211_v1:1:30786456:30789347:-1 gene:GSCOC_T00028129001 transcript:CDP08976 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWRVMKLLLWALFILYLACFANGFTPQDMYLIDCGSSSDTKVDDRTYLSDKSAAKYLSTPQDILGTTSSNSITSSEDAQLYKTARIFTGTSSYKFSISKSGRVWIRLYFFPFVYNNFNMNSASFSVSTQKNVLLGNFTPKDPTMKEFLVNVTSGELVITFAPSSASFAYVNALEVVSAPDSLITDDAVTFNPSGTFHGLVNYTLETVARVNMGGPLVTLKNDTLWRTWVNDGSFLVSPSFAVTNKSLIGSVKYPGGYATEDIAPPTVYGTCTKMNSSGDPNSKFNVTWQFNVELGFQYMVRLHFCDIVSDAADEIFFNVFINSFNVAPDFGPTEKAGLAGAFYMDYVTPLANNNTVYVSIGPSTVSASPDAFLNGLEIMKLNNSLGSLSAAVSEPPPFRQGSKKNVGMIVGLSIGVPLALLVALIVFLMRRRKKQVRLGHTKTWIPISINGTASHTMGSKYSNGTTISTASNLSYRIPFAAVLEATNDFDESWVIGIGGFGKVYKGVLNDGTKVAVKRGNPRSQQGLSEFRTEIEMLSQFRHRHLVSLIGYCDEKNEMILIYEYMENGTVKSHLYGTDLPSLSWKLRLEICIGAARGLHYLHTGSTKAIIHRDVKSANILLDENFLAKVADFGLSKSGPEVDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPSLPREMVNLAEWAMKWQKKGQLEQIIDPNLVGKIRPDSLRKYGETAEKCLADFGVDRPTMGDVLWNLEYALQLQEAVIQNDPEENSTNAIGELSPQVNNFHHADYSPSAAEMSHVDDLSGVSMSRVFSQLVKSEGR >CDP17561 pep chromosome:AUK_PRJEB4211_v1:1:986391:988311:1 gene:GSCOC_T00011045001 transcript:CDP17561 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLISMRHLHYDDDDTGREIQMPSRIGRLTCLQTLEFFNIGLQEEGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELANLSKKPNLHQLVLEWSNRDRESDKCDEDVLEGLQPHPNLKELQILKFMGSSTISRQTFFPALKILSLESMKNLEEWKDAHEMRSTAGEVHVMDVFPVLEKLSISDCPQLTTIPTPSRFPSLDVLKIKKNCHVSLAEKVLSNITTLSSLELRGGGRPRIESLKLVKSSLSIDDCNSLPTDILERLCLFPTLQRVELRSADNITTLRGMSCAACLKRLTVHICGNLRELPEDLYQFQALEHLEISYCPRIDSFGYPNPKNSFGQKGLLKSLERFTIDECNALTRLPVEMFESCTSLRELSLSYCRSLVSFPLDLRRTPSLESFTLWRCYKLIAEMPSGFGYLTSLRKVTIASSSSLQHVSLHGMYSTKSLPHQLQDLTTITSLSLFGFEAIEALPDWLGNLASLDELTLRRCQKLEYLPSVDAMERLKLRRLEISLCPLLERRCTPESGSEWPKISNIPEREIDPVRSHLKILINSFSLLDHFILPVFVPHSFSP >CDP09682 pep chromosome:AUK_PRJEB4211_v1:1:24290586:24296067:-1 gene:GSCOC_T00030103001 transcript:CDP09682 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKNSNLGKEKQSRLVEEEKNHHESKTNPVSMDGKLLVKLKFPKGAEETEEGAEVVVSPVKKSHFCHECNKGFSSGKALGGHMSSAHVQARENLKKLKFNKSVKFKRDGSSSDAAARETICSICGKDFPSRKSLFGHMRCHPDREWRGMEPPKEQGKIPREHPQSLSILDDDDDEEEEAEEEESLETDNQADYHVHVAADNVAISTVAAEVVDLTRSLSSWSVKGKRGRECPRVLLDSYPYCEEEEQKIQDAVDQLISLAYKQNKHDGSSTRRGQIKEGRNSASGLKKKRVDDPMELEKQNHCFYDDCKKGNVGVKNKRRGPKTTPKNSETEVELTPLDQKLDGEESTPEKFRCSTCDKTFSSHQALGGHRSSHNKFKATVQNTADGSSPFPFGHENRANPVSQTAVENDEIKGVEASKFAENIHECTLCKKTFPSGQALGGHKRCHWQGLSSQVASPEAEEPRPLVRKGLQFDLNEPAEEEDANASDLGNSIAEVVDLTRSMSSWSVKGKRGGECPRVLLDTYSEEEEQKILDAVDQLISLAHKQIIHDGSSTRRGQIKEARNGASGLKKKRVDDQVHPARVRRDYPVKKRQFSEIATDSEETLDGLLDKGKRKDPMKPESGVEISPSSQSRHTVIEKFRCSTCDKTFSSHQALGGHRSSHNKFKATVQNTANGSSPFPSGHENRAKPVSQTAAENDEIKGVEASKFAENIHECTLCKKTFPSGQALGGHKRCHWQGLSSQIASPEAEEPRPLVRKGLQFDLNEPAEEENANTSDLGNSSGDASCS >CDP03912 pep chromosome:AUK_PRJEB4211_v1:1:34210449:34213620:1 gene:GSCOC_T00016416001 transcript:CDP03912 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSGVGVGESEEEIELSLELSIGGSYAGKCEKTTALAEESLCKDEERGVENIIVPRSGFSSSDHRQAIVVVESDHHRVEGGRLRSGSSEAVDQNRNIREMQALRRREARKKREEKLRKSSVNCKGIINVANLDKVCLEAQQLQARAEDRAMKEKESVWDDHSNHCLAGTRKEKNVVALVIDTEAMKDSNFSEKQGSDPKEAIASCQQQNLVGVMQMPEGLYQQSQCGPLKKNGSVYRYGVACLGPSGVVAGNEEDEMMKEKSMFQPALGCGSFRPYLNANVVLNMRHNSGRGCDSGHNSSGGIMSRIVNQKAVSNGSLDRSSSAISDYRSTSGKGGSISDTGSHSSCLQANDRQLSLSATSDALHQAEPNSTLSNREGGEETIRVANSPKQMFLAAEPQICMVNTCGNYKPDTKSNAVSPKFKDATSSPLKQSKEVKDNPSKIQSHNFAMPSLPQMPCVSTTGNGPNGKTITGFLYRYTKAEISIVCVCHGSSFSPAEFVEHAGGIDITHPLRHITMIPSSFR >CDP09007 pep chromosome:AUK_PRJEB4211_v1:1:31050257:31051573:-1 gene:GSCOC_T00028172001 transcript:CDP09007 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSNLSKNLKELRILFSPSAPASSSTRVFIERNYKDLKKLNPKLPILIREADNTEPQLWARFDFGVERGIRLDSMTEDQISKALEDLAKVGAALKS >CDP09230 pep chromosome:AUK_PRJEB4211_v1:1:32644852:32650332:-1 gene:GSCOC_T00028468001 transcript:CDP09230 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNWKWTSFQLLHVIAISTVLSVFQCKMSFSWSLNNEGLALLRFKERVVNDPFNALRSWKYIDGDLDPCSWFGVECSDGNVITLTLRDLCLEGTLAPELGQLTYLRYINLRNNSFSGIIPKEIGELKELEVLDLGYNNFTGPFPLDTGNNISLLILLLDNNEFISGLPPEINDLKVISESQVDENQISSVALASSNRLSNAWETVQCGYTALRRLLQGIDFLNPTEAEKNKHNSRGQILAITSSLSPSPSPSPEQPFSSISVPPSTSTLLPTEAPSPSASTPSQSPVLSPNAAPPTLESPPVVIPEDSPLLSPSPALAPTNILKNKKKSNNHTIFILSGVIGGSVIVLVSVLSLLYCRSNKVVTVKPWATGLSGQLQKAFVTGVPKLQRSELEAACEDFSNIIASLSDGTVYKGTLSSGVEIAVTSTAVKSAKDWSKNLEAQFRNKAHTLSKVNHKNFVNLIGFCEEQEPFARMMVFEYAPNGTLFEHLHIKESEHLDWATRLRIAMGMAYCLEYMHQLNPPIAHCNLQSSSVYLTEDYAAKISDLSFGNEVIAAKIGKAATVELLETTSADPESNIYNFGVILLEMITGRLPYSVTDGYIVDWALNYFRIGRPLRDIVDPTLYSFREEELEKLIPLVKDCLLPNPEQRPRMREIAATLKEITALDPDGATPKSSPLWWAELEILSTVSS >CDP04033 pep chromosome:AUK_PRJEB4211_v1:1:33395254:33397586:1 gene:GSCOC_T00016561001 transcript:CDP04033 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKYAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDANSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRTDYVKWKQENRIVPDGVNAKLLGCHGPLANRQPGRAFLEATS >CDP13102 pep chromosome:AUK_PRJEB4211_v1:1:2408977:2409915:1 gene:GSCOC_T00037894001 transcript:CDP13102 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVGHVAPGFGFFLIGLWHLINHVRLHSLHPKSYTSLPWFPTSKIRYLEHFLIMGGCLASISMELFIGPHRHQPLDTDGTIPSYHLHNFEHSNISLTFFVYSLFSIILDKIQPPAHYSLTLMLGAIAFGQQFLLFHLHSADHMGVEGQYHWLLQIVIFVSLLTTLLGIGYPKSFLNSFIRSLSILFQGIWLMVMGIMLWTPEYIPKGCFINEEGHKVVRCQNHEALERAKSLVNIEFSWYLVGVTIFGMCVYLALYRMFPEKVEYQSLTKFEDQELEDDDLEAQKRNKVGGTKASFLPLGKSLELTDMER >CDP17110 pep chromosome:AUK_PRJEB4211_v1:1:37410662:37413819:-1 gene:GSCOC_T00005030001 transcript:CDP17110 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKHQSVVVNGINMHVAEVGEGPAVLLLHGFPELWYSWRHQMLYLASKGYRALAPDLRGYGDSDAPPSPSSYTAFHVVGDLVALLNSLGLDKVFLVGHDWGSLIAWHLCLFRPDRIKALVNMSCVYHYFDPKNPSKKPLEEMRETFGDDYYVCRFQATGEVEEEFAGVDTAELVKAFLSNRDTRPPCIPRGGFQYFAHQNPNPLPEWLTQEDLNYYATKFKKTGFSGGFNFYRCIDLNWELSAPWREAQIQVPVKFVVGDLDLTYHIPGIQDYIHNGGFKKDVPDLQEVIVIPGAAHFINQEKADECSIHIYDFISKF >CDP06883 pep chromosome:AUK_PRJEB4211_v1:1:27439187:27448672:1 gene:GSCOC_T00023920001 transcript:CDP06883 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSERKSAGVLEPTMYTASMMAMVVLIVLAACADLCNGVTVVDVYRLVQYDLAGVPFGSRFASLNHHAASSLFSSGAGSGSGSGGGNSAAAADLSRTVLILPLRELNLALIREYIGQRKILGGLLLLLPSIFNPKNVDNTGEADHTPAEDVSRDILVELEQLLIHANIPYPVYFAFEHDDINAVLVDVKRNDVTGQPATATTGGYKLVVAAPEPRKIGSPAITNIQGWLPGLKADADSNQLPTIAIVASYDTFGAAPALSVGSDSNGSGVVALLEIARLFSILYSNPRTRGRYNLLFGLTSGGPYNYNGTYKWLRSFDQRLRESIDYAICLNSIGSSGDKLWVHVSKPPENAYIKQIFEGISSVAEELGLEVGLKHKKINISNPRVAWEHEQFSRIRVTAATLSELSVAPELLESTGGLSDNRHFVNEASVVRSVKLVAESLARHIYNQDGKNINVFADDSSLAVNPSYIRSWLNLLSTTPRVAPFLTKNDPLIMALKKELTEHTVEVNVQHEVLDGLFTFYDSTSSQLHIYQVASVTFDLLLLLVLGSYLIILFSFLVVTTRGLDDLISIFRRPPSRKVKTA >CDP17552 pep chromosome:AUK_PRJEB4211_v1:1:764816:769019:1 gene:GSCOC_T00011031001 transcript:CDP17552 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKAIYKNEQIVGHFDQTMWVCVAEKVDRIEVVFKMILKSLTDEEIEGDRREEIVKKIQHELKQKRYFLVLDDLWNDQEVLLNDFFSTLAGLNAKKGSWCLVTTRLQEVAIILSRHPQINFTRHELGRLCNDDCWSIMKKWANVAEEVPKELEDIREQVLRRCDGLPLAAKLIGGLLSKKRKEDWLSILEESLLNGDQGGIEQILKVSFDHLSPAPIKKCFAYCSIFYQDTELEQDLLVEHWMAEGFLQPDSQNERMMEKIGFEYLRILLQTSLLEEVKEERRTWYKMHDLVHDFAKLILNRNSSNQDRYLAVYSSESMVETINAKTSASLRTLFLEGGIADDMLSKFKYLHVLKLFGADAKELPTSIGKLIHLHLLDISGSRIRTLPESLCKLYSLQTLRIGMLVDGRDDDDDDDTGREIQMPSDTGREIQMPSGIGRWTCLQTLEFFNIGRQEEGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELAKLSKKPNLYRLVFEWGNRNRESDKCDEDALEGLQPHPNLKELQILKFMGDQFPQWFMNLALTSLVELRVADCTRCRKLPALGQLPFLERLYLTGLENTTCIGLSFYSTSTISRQTFFPVLKILSLKSMKNLEEWKDAHEMRSTAGEVHVMDVFPVLEMLYISDCPRLTTIPTPSRFPSLDVLQITRNCHVLLAEKVLSNITTLSSLELWDRESSLSIEGCDSLPTDMLERLCLFPALQRVELRMADNITTLRGTSCTACLKRLVVSSCKNLRELPEDLYQFQALEDLEIWGCPRIDSFGYPNPKNSFGQKSLPKSLERFTVGGCDALTRLPVEMFESCTSLRELDLSNCRSLVSFPLDFRRTPSLESFSLRACPNLVAEMPSGFGYLTSLREVKIGPFSDDSAIGFDWAGLASSSTLRRVSLFGMRDTKSLPHQLQCLTTITSLSLRNFGAINALPDWLGNLARLEIDDCPLLTERCTPQSGSEWPKISNIPKRVIH >CDP07104 pep chromosome:AUK_PRJEB4211_v1:1:29731240:29732402:1 gene:GSCOC_T00024222001 transcript:CDP07104 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTPSSQNPLAFKSLKAKLEAKKFIHSTETKFKDTEREIYTHATRILGSAFVQNLYNDIPLREWTQKKEEKPNDAHKAKLQVLDHFGQVNACLISKITARELGVDATFHL >CDP09616 pep chromosome:AUK_PRJEB4211_v1:1:23197921:23198727:-1 gene:GSCOC_T00029991001 transcript:CDP09616 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFAPVAEVLNALSLRGTDVELLIGVANEDVKSIATNPSAAANWVQNNIKNYSPAVKFRYIAVGNEFAMFDENQKPGAETERHFGLFFPDKRPKYVISFN >CDP03891 pep chromosome:AUK_PRJEB4211_v1:1:34350189:34352373:1 gene:GSCOC_T00016393001 transcript:CDP03891 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGKRNIKIFCPSASKIIELVAWDEQRLDLGSIARAFGLEPNTLKLNGHFISRGVDLIACSVTWKSLLSFFSSRGLSTGTTDSDALIVDGKLSKLGSKRKHDLSDLGNGTKPNGVGGHSKDLQLGHIDLFSSKRSKDGTAGFLNRIDKLTGFNCLSLKRKLSSRDTSPLKRTRVNETKSERNDGRSQSVLNGRQFSCSIAGNRMKRLREDSLVLAAPHKRLR >CDP16804 pep chromosome:AUK_PRJEB4211_v1:1:4133724:4135236:1 gene:GSCOC_T00019320001 transcript:CDP16804 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKAVYKNEQIVGHFDKKIWVCVAEKVDRIEEVFKMILESLIGGKVEGDRREVIVQKIQDELKEKRYFLVLDDLWNDQEVLLNDFFSTLAGLNAKKGSWCLVTTRLQEVATILSRHPQINFTRHELGRLCDDDCWSIMKKWAAVGEEVPKELEDMREQVLRRCDGLPLAAKLIGGLLSKKRKEEWLSILEEGLLNGDQGGIEQILKVLGLELCQNLFANFLVCKH >CDP03564 pep chromosome:AUK_PRJEB4211_v1:1:36813096:36817071:1 gene:GSCOC_T00015971001 transcript:CDP03564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 2 [Source:Projected from Arabidopsis thaliana (AT4G03100) UniProtKB/Swiss-Prot;Acc:F4JI46] MNTTGMVMISNGGGCGGGGVVVGTEQSRRQQQQQQLSLLEFLVAALRKSMVSCRVDRQEEAPISAALHPIEIGWPTNVQHVAHVTFDRFHGFLGLPLEFQVEIPSRVPSASVTVFGVSADSMQCSYDTRGNSVPTILLLMQGRLYAQGGLKAEGIFRINPENSQEEHVRDQLNRGNVPDDIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEDSVELVKQLNPTETALLNWAIDLMADVVEHEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIMKTLREREETVTEGCSPMSSHSSDRPSGEEFESQQEDMETSCESTGPASDDDEPANYSHSSDEKDEIESVSDIEECFLRQLDENESAKNGFRKQLEGILCRDHGSPISCCTFGGDSYPFSSESKTGSSCLITDGEDSIALGLKAPDMNGMDADGGNTANDVERVELTAAPTPPVLLGVLTESI >CDP09084 pep chromosome:AUK_PRJEB4211_v1:1:31585838:31590901:-1 gene:GSCOC_T00028278001 transcript:CDP09084 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPYIGETLKLYTQNPNSFFSSRQKLYGDIFKTHILGCPCVMISSPEAAKVVLVTQAHLFKPTYPPSKEKMIGPEALFFHQGPYHSMLKKLVQASFLPSVIRESVPEVEGIVLKLLPSWENTTVNTLQEMKKYAFDVAVYAAFGDMSREVEVKGIKRLYQMLEKGYNSMPLDFPGTPFHQSMKARKVLTETLKTLIWRRRRSESGKHGGGLLEMLLRAKDHKFNRLTDSQIADNIIGVIFAAHDTTASVLTWVLKYLHDHPHLLQAVTREQEEIRRIRTASKRGLMWEDTRRMPLTSRVIQETLRSASILSFTFREAVQDVEFQGYLIPKGWKVLPLFRTIHHSADFYPQPEKFDPSRFEVPPRPNTYMPFGNGVHSCPGSELAKLEMLILLHHLTTTYRWKVVGEEEEGIQYGPFPVPKRGLPVRVHRRKVT >CDP11081 pep chromosome:AUK_PRJEB4211_v1:1:8001530:8003134:-1 gene:GSCOC_T00033098001 transcript:CDP11081 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAMAGKSSSKKRGSESDASKSEKLKRVVIEDDFDADLSNDIKEITTALQQIREKAQKDGQKKNEETISRCIQPVFVRMDVKQCELNNTDSRIFYLSALDISCDSYLSCLRIAWRDFAPKYSKLVIQFVVTVIYCSADAISKYEEEKEKLFMRYEQLRKKEKSMIAELENACASRITDLDESLKKKKQDDKTFSILRKTLGSFLDNASDEDFPPDD >CDP17506 pep chromosome:AUK_PRJEB4211_v1:1:20074108:20077699:-1 gene:GSCOC_T00011437001 transcript:CDP17506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.1 [Source:Projected from Arabidopsis thaliana (AT5G19640) UniProtKB/Swiss-Prot;Acc:Q3E9B5] MATIDSLNTAISQKEQASKKNYVFEEISEVERSANYDQKQKLCLNIGGVLPIRNHLGGWSSAFLLLANQGLATLAFFGVSVNLVLFLTRVLGQDNASAANNVSKWTGTVYLCSLVGAFLSDSYWGRYLTCAIFQVILVLGLVIVSLSSWLMLVKPVGCGDGILDCNPTTPIGNAIFYSGIYLVALGYGGHQPTLATFGSDQFDENNPKQKSSKAAFFCYFYFALNVGSLFSNTILVYYEDTGRWTLGFWVSAGAAVVALASFLLGSSCYRYIKPFGNPLPRVAQVFVAAIKKCKLPQVDSAKLYEVEGSESVIKGSRKILHTDEFGFLDKAAIMTEEENFGKRSAWNLCTITQVEEAKCIIRMFPIWLCTIIYSVVFTQMASLFVEQGAVMNKSLGKFNLPAASMSAFDICSVLLCTGIYQRILVPLAGRLSGNPKGITELQRMGAGIIIGMLAMIAAGVTEIERLKRVIPGQQSSSLSIFCQVPQYVLVGASEVFMYVGQLEFFNSQAPDGIKSFGSSLCMASISIGNFVSSMLVNMVMQITAKGDKPGWIPEDLNEGHMDKFYFLIACLSAIDFVIYIFCAKWYKCGNPDEDNSITQSNKEEDQGGDDVLNKV >CDP15558 pep chromosome:AUK_PRJEB4211_v1:1:25789283:25791228:-1 gene:GSCOC_T00015445001 transcript:CDP15558 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYMYQALTPKPLAPGEELVKISSMPNRAQPAFKGMAELNRIQSKVYETALFSAHNILLCAPTGAGKADVAMLTILQQIALNRNDNESSDNKNCKIVYVAPMQEMVAEVVGYLSNRLQDYDVEVKELSGDKTLTSQQIKETQIIVATPEKWDMITRKSGDRTYPQQVKLVIIDEIHLLHDDRGPFLESIVARIVRQIVTTKGHIRLVGLSATFPNYEDVALFLQVDLNKGLFYFDNSYRPVPLVQWHKVISVAGKHQVLNFVHSGTETAKTAHALRDGALANDTLGKFLKEDSVSREILQSHTELVESNDLKELLPYGFAIYHAEMVTADRRIVEDLFSDGQIQVLVSTATLAWGVNLPTHTVIIKGTQRYNPEKGAWTELSHVDVMQMLGHAGRPQHDSYGEGVIITGNSDLPYYLSVMNQQLPIESHFVSKLADRLNAEIVLGSVQNAKEACIWLGYTYMFIRMLRNPTLYGLAADVLAVDNLLAKRRADLVHSAATLLEKNDLIKYDRTSGHFQVTDLGRIAALTV >CDP06915 pep chromosome:AUK_PRJEB4211_v1:1:27692409:27696788:1 gene:GSCOC_T00023960001 transcript:CDP06915 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLQAILIILQWLHDHPEYKSNPFYVSGISYGGIPVPILTQLISNGNKDGIEPRIDLKGYILGNPVTKVSGILNYRVPFVYGMGLISEELYESLKVSCKGEYKIIDPSNAVCLKNMQAYNEASNHIYAIFM >CDP18526 pep chromosome:AUK_PRJEB4211_v1:1:13274934:13276048:1 gene:GSCOC_T00011936001 transcript:CDP18526 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTNPEFPKQVCKTQKTVIGISQSIQVQMPKFQRHMIAKTFSYISSEDTNSKIQTNSSQKGQLLSQFSHSDQSRTATVKTA >CDP08461 pep chromosome:AUK_PRJEB4211_v1:1:21315627:21317273:1 gene:GSCOC_T00027367001 transcript:CDP08461 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGPRTVARALGRGTPGGQEIVDLYLPELGVNRPHRTTAIVASRRHVSFELVDLVRRGRR >CDP06951 pep chromosome:AUK_PRJEB4211_v1:1:28174330:28178956:1 gene:GSCOC_T00024005001 transcript:CDP06951 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYADAGLTTFDMADHYGPAEDLFGIFINRVRRERPPEVLENIRGLTKWVPPPVKMTSSFVRDSINVSRRRMDVSSLDMLQFHWWDYSNPGYLDALKHLTDLKDEGKIKTVALTNFDTERLQKILENGIPVVSNQVQHSVVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNLSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQNLLQTLKQVASKHGVSIPTVAVRYVLDQPAVAGSMVGVRLGLAEHIKDTNAVFSLVLDEEDLSSIREASEKGKDLLKVIGDCGDEYRRA >CDP14619 pep chromosome:AUK_PRJEB4211_v1:1:15431267:15434919:-1 gene:GSCOC_T00041209001 transcript:CDP14619 gene_biotype:protein_coding transcript_biotype:protein_coding VLSTRARKNVVLSEIKVGVCIYAFDILYINGQPLLQAQLDSRRQHLYESFEEEPGFFQFATAVTSNDLEEIQKFLEAAVDASCEGLIIKTLKKDATYEPSKRSNNWLKLKKDYMDSTGDSLDLVPIAAFHGRGKRTGVYGAFLLACYDVNNEEFQSICKIGTGFSEAVLEERSASLRSKVIPKPKSYYRYSDTINPDVWFEPIEVWEVKAADLTISPVHRAATGIVDSDKGISLRFPRLLRVREDKNPEDASSADMVADMYRAQKHNQSNRDDDEQ >CDP03512 pep chromosome:AUK_PRJEB4211_v1:1:37191043:37191414:1 gene:GSCOC_T00015908001 transcript:CDP03512 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSPSDHLLLYSCIVSIIVSGRPISAHAASLDHQELNTWMPMKAAASCRGSIAECLGSGELSMDSEINRRILATRNYISYGALQRNTVPCSRRGSSYYNCQPGGEANPYTRGCSAITRCRS >CDP15754 pep chromosome:AUK_PRJEB4211_v1:1:15648290:15649775:1 gene:GSCOC_T00015814001 transcript:CDP15754 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLSSYSLLTCDVVEVAGERAGGETDVGYVRVYGLSPVAEYFVPDEEGNSVAPAMELLQDKVLIDSWRGWTGFKKEEGEGDLNPEPLIPWCLNLSC >CDP06869 pep chromosome:AUK_PRJEB4211_v1:1:27305518:27306592:-1 gene:GSCOC_T00023901001 transcript:CDP06869 gene_biotype:protein_coding transcript_biotype:protein_coding MANMAVASNVMKRLQGKVALITGAASGIGESAARLFVKHGAKVVVADIQDDLAQKVCQDLDPSSASHVHCDVTQESDIENAVNTAVSKYGKLDIMFNNAGIGGSRTSNILDDKKSDFERVISVNLVGIFLGAKHAARVMIPNRCGSIINTASVCSTLGGGAPHAYVSSKHAVVGLTRNTAVDLGRYGIRVNCLSPYFVSTPSAADFVERIYGGVSKVYTYLDGAELKAEDVADAALYLASDDSKYVSGHNLVVDGGHSIVNSAMCMYENSKK >CDP16605 pep chromosome:AUK_PRJEB4211_v1:1:6288053:6291310:1 gene:GSCOC_T00019046001 transcript:CDP16605 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNHNFFWFLSLFLIWFQAVQAQEHGVYIVYLGAAPASSIAARMNDHVRILSSLGERSEDRVIHRYSNGFSGFAARLSEEEAESTARRPGVVPVFRDPYQDDELSISFSQQSTSISSALHVEDTIIGIFDTGIWPESKSFSDEGLGPIPSRWKGTCMEDPDFSSSNCNRKLIGVRYYNNTDFDPSPFNAPRDQGGQGTHAAAIAAGSPVRGASYRGLAKGIARADPIAIGAFHAVEKGILVVCSAGNGGPERESVVNVVPWVLTVGATTIDRFFESNIALGNNKVIQGGGIHFANIKKSPVYPVIDARSAKDPNETSYFAASNCLPESLDKSKVKGKIVLCEDHLEESSDEERLQTVLSLGVVGVILINSDEKPKKERTPPSEIVDKYKPAPAVAYFSGLGPVYNNDNLIKPDVVAPGVDILAAWPSNDTKTTPSFNIVSGTSVAAPHVSAIAAIVKSKYPSWSPSPIKSAIMTTAIQTDNLKTPIKINNGDPATPYGFGSGQVSLSGPLQPGLVHDIEIADYLQYLCNKQMSNLNYPSIAVSFFNDHKSFKVKRTVINVGEEDSLYTVSVGTPKDEGLEVHVNPKKLQFTKNIRKLSYQVTFRHDNSTEFINGDVFGSITWTSGNYKVRIPYVVT >CDP07103 pep chromosome:AUK_PRJEB4211_v1:1:29729018:29730674:1 gene:GSCOC_T00024220001 transcript:CDP07103 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGVMNGTWDGVVPLECQPKPSKVLRLSAGLSWMEAQEPLHRDIDVNATCGIGPGMVFANSLLNLNPRIGVIGLVPCAVGSRMGTKISEWGRGTYLYNQLLRRAEAAAALQAGGRFRIRALLWYQGESDTNNPQDASLYKMRLERFFTNLRADLRLPELPIIQVALASGQGPYIDAVRQAQFGVHLRNVKIIDAKGLPMEPDNLHLSSPAQVQLGDKFAHAFAATG >CDP09207 pep chromosome:AUK_PRJEB4211_v1:1:32474753:32480289:1 gene:GSCOC_T00028437001 transcript:CDP09207 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSDEAAAKKKRKRINGKKKILIHDKVEVRSTEEGFLGSWHAGTVVGCGELRRRIKYDEILNDDGSERLVEWVKVSPALDGLVRGNQATSNCCRGNIRPLPPSVDFQKWSLHYGQCVDVFVQDAWWEGVIFDHEDGSDQRKVFFPDMGDEVKAQIETFRITREWDDITDEWRPRGNWLLLELIEEVELEWPLLVSVKQIWYEIRVKMEFGKLKEWTSSSRDIWRQLLLQVLSTSYKLTVKQIFHELNSSENSTEEGQPLFEFSANALDAILDPESIFSDTMAIVPYGTNCQLETHAALSADLNPSEEQNAPNALACIGWVEMDNSTHSMKRPNELPCVQAPAFSGLPPNPDHSPEAGSGAKSGRCPTSSDKLNGKLKASGDRTKLQWLPAGIDMVPGTACCPGSVTDYIQKRKLNYKSRAASTLEVRMHISYLGWKIQFARDKAVTRMRYISPEGEIHYSLYQVCLRLQPGSDVPSRICQDDESNSDYPVESSVSSSLTVIPKADTGALKVLSCSEPVYFERDNCPEAVLNYSNWGGTTYHGQNGAKGGIMALKAKRHLSFLGWKFYLEPKGFKKEMRYGSPCGKKFYSLRSACHWCVTEGRIHLNPSPPSNAMVSEGHVNDDLSKQLLIESSSKTSQPKQLAQQGQVKCHGIRGPERKRNHCLLQQSLAALHTGPQNEDSYLLDDVKESQASAKQRDDVNAEMSSCVLRSSKRARQSVVSPSIHQTPRTTLSWLIDNNVVLPRAKVHYRGKKDGRVMKEGKITREGIKCTCCQKVFTLSKFEAHAGSNYHRPSANIFLEDGRSIFQCLLKLKGETNKRKIRSEPREMKGHRLHNDHICSVCHYGGELVLCDQCPSSFHTICLGLKEVPDGDWFCPSCCCGICGLSRLNEDTGRPVDDRLINCGQCEHQYHIECLKKKGLVKHDCHPERNWFCNEKCEQIHLSLHNLLGKPIPVGHDNLTWTLLKYKNAEDSDQEGLDNEHLMESYSKLNIALSVMHECFEPMKEPRTKRDLVEDVIFSRWSELNRLNFQGFYTVVLERDDDLITVATVRVYGEKVAEIPLVATRFQYRRLGMCRIMMNELEKKLIELGVQRLVLPAVPSVLSTWETSFGFSRMTESERLNFLDCTFLDFQGSHMCQKLLKNTQCTELSQLTGKLVQQKLSPHSGEKDNDLEARGAASEVLQAEQVEDIEVVDQGAAEYVDFLFSYEFG >CDP09194 pep chromosome:AUK_PRJEB4211_v1:1:32378260:32379664:1 gene:GSCOC_T00028422001 transcript:CDP09194 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVVTVYGNGAITETAKKSPFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTPADDENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRVLRNMDDDEVFSFAKKIQSPYDLVMQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPARRARAIVQAVTHYSDPEVLADVSCGLGEAMVGINLNDDKVERYANRSE >CDP14101 pep chromosome:AUK_PRJEB4211_v1:1:11501708:11510202:1 gene:GSCOC_T00039310001 transcript:CDP14101 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEENLEEQQSMSTDPLALQAAEKSLYEILGKNYPHSPRATFVTALENNAHTPDGFSESSSNHVTNCSDSGSNSAASWPIPELSELSGKQVQCLHMLKNSTICGNGQVASLTDENLIPSFYSNHETMMLFKKGLEEGKKFLPSGNQLIVDLDKHTLSLKLELLAEKKKDEVEKLAEISGGRKHQNQDDDDSLGGRSNKQSATSEEEVELSELLDRVLLHADSKGLEADSDVDVEQPNGSFKTLSRSKFLHRSRVGMSPEKRLGAEGEALDLFGLLISCAQFVAADDHRTATEKLKQIRQHVSCLGDPHQRLAFILVNALEARLAGTGRDLHVALTSKRISTADQLKAYRVYLCSPLRPTFSFFANEMILEAASRATILHIVDFGITFGFQWPTLIQQLSNRDGGPPKLRITGIDFPQPGFRPAESMQQTGHRLAKYCARFNVPFEYQAIATRNWDKITIEELKLTRNEVLAVACQFGLKNISDDMVEGECPRDAVLSLIRAMNPDILVTDVLSAQLSGPFFLTRFRDALSFFFTMFDAIDNNLPRKDAQRRKFEQEFLGTQLLNIVACEGLARVERCETYRKWHARYNRAGFRQLPLNQDLLKELRGKVKKAYHKDFMLDEDGCWLLQGWKGKIIIMVYCFCKINGNQSEHFLEIKDWELRNILWRKLKLHKEFNFFFLNCVKKETDYQGGTEGISFLESLDPVNGFGFDGASVYASLRSEDPSSYAPFYNMSSELDFPDEHEFYPVLKYINQMLMEENVDEQPSMSHDPLAIQAAEKSFYEILGENYSPSPLETSVAALERNAQTPEGFSESSSKDSRNSSRSSTSAAPSPSSFQTNSIESPPQLKNLTICGDGQVRSLTDKNLISCFYSDNRTMLQFKKGLEEGNKFLPTGNQLEEKLNNGLQGRKHQYLDDSDSYIGRSNKQSALYEEDAELSETIERALLYGDIKPSHGFSETLHNDQLLHRSHVGKSQTERQVTDGGPFDLIAMLISCAQFVASDDHSTANEQLKLLRQHASRSGDPHQRLAFIFVNALEARLAGNGHDLHAALTSKPMATSEELKALRVFLCSPFRQIYAFFANKMILEAASNATTLHIVDFGITFGFQWPNLIQRLSKKDGGPPKLRITGINFPQPGLRPATKIEQTGHRLAKYCKRFNVPFEYQAIATRNWEKITIEELKLKRNEVLAVVFQFDSKNILDELVGNECPRDAILSLIRTMNPDILVTEVLSAQLSGPFFLSRFREALFFFSTIFDVLDNNLPRKDGQRMKFEQEFLGTEIFNIVACEGLARVERCETYKQWQARYKRAGFRQLPLNQDLMKELRSKTKEGYHKDFMFDEDGCWLLQGWKGKVICACSSWVPA >CDP17556 pep chromosome:AUK_PRJEB4211_v1:1:792664:795449:-1 gene:GSCOC_T00011036001 transcript:CDP17556 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILHTKGGEDEESYAKNSTFQRSVFMNVNHALNRSIQEFCQANLAEAECITVADLGCASGLNTLLAVESIIDSINKECHNLNILKLPNIQVFLNDLMSNDFNSIFKLLPSFYQKLEESYGRGSRSCPFSASF >CDP09134 pep chromosome:AUK_PRJEB4211_v1:1:31913293:31915629:-1 gene:GSCOC_T00028341001 transcript:CDP09134 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTSRLASVDRTSSFFRVSINFLRNLSTNASDSALPAGAGASPTKPRRKKKKNLFEVAQFLPNWGIGYHMAKTHWTNVSYQITKINLYKDGRHGKAWGLAYKDGVPADAPKKISGVHKRCWRYIPNSKKTNQSSPDPQVQGA >CDP17096 pep chromosome:AUK_PRJEB4211_v1:1:37532446:37537454:-1 gene:GSCOC_T00005015001 transcript:CDP17096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47490) UniProtKB/Swiss-Prot;Acc:O22261] MTGDTTHLFSSRGLLCNAGAGAAAGVIAATFVCPLDVIKTRLQVHGLPKLAGANIKGSIIVGSLEQIFQKEGLRGMYRGLSPTVLALLPNWAVYFTIYEQLKSLLCSDGVNHQLSIGANMLAATGAGAATTIATNPLWVVKTRLQTQGMRNGVMPYRGTLSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPAYEKIKLYLANRENTTMDKLTARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGRHSEKRYSGVVDCIKKVFQQEGVPGFYRGCATNLVRTTPAAVITFTSFEMIHRFLINNLFPPDPQRHPL >CDP15585 pep chromosome:AUK_PRJEB4211_v1:1:26284120:26287711:1 gene:GSCOC_T00015484001 transcript:CDP15585 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFDRTKIRNICILAHVDHGKTTLADHLIASYGGGVLHPKQAGRLRYMDYLDEEQRRAITMKSSSIALQYEDHSINLIDSPGHMDFCSEVSTAARLSDGALVLVDAVEGVHIQTHAVLRQAWIEKLTPCLVLNKIDRLISELRLSPMEAYTRLQRIVHEVNGIVSAYKSEKYLSDVDSLLSVPSGDVGDDNFEFVEDDEEDTFQPQKGNVAFVCALDGWGFSILDFAEFYASKLGASSAALQRALWGPRYFNAKTKMIVGKKGMSSGSKARPMFVQFVLEPLWQVYQAALDEDGDRGVLEKVIKSFNLLIPPRELQNKDPKAVLQSVMSRWLPLSDRILAMVVKHMPDPITAQSFRISRLLPKRETLDNAGSSEVLAEAEVVRKSVEACNSSPTAPCVAFVSKMFAVPLKMLPRGEDLRNYADDASGESEECFLAFARVFSGVLHAGQRIFVLSALYDPLKGEPMQKHVQEAELQSIYLMMGQGLRPVASAKAGNIIAIRGLGQNILKSATLSSTKNCWPLSSMVFQVAPTLKVAIEPSDPADMGALMKGLRLLNRADPFVEVAVSARGEHVLAAAGEVHLERCIKDLKERFAKVSLEVSPPLVSYKETIEGELSNPLDNLKQLSGSSEVIEKTTPNGRCVVRVKVMKLPTMLTKLLDESSELIGDIIGGKSGQDCKSLETSRGSIVDDENPIEALKKRIIDAVESDYTNGDSEADKERSEKCRTRWQKLFNRIWALGPRQVGPNLLLTPDTKGKFDDSPVLIRGHPYVSVRLGFLDGSDLSGESADTSGVTDQTLWREAESLESSVVSGFQFATASGPLCEEPMWGLAFVVEVYISPLAEQPIEADASAPQSEQYGIFAGQVMTAVKDACRAALLQRKPRLVEAMYFCELNTPTEHLGSMYAVLSRRRARVVKEEMQEGSPLFTVHAYVPVAESFGFADELRRWTSGAASALLVLSHWETLPEDPFFVPKTEEEREEFGDGSSMPHNTARKLIDAVRRRKGLPVEEKVVQHATKQRTLARKV >CDP17119 pep chromosome:AUK_PRJEB4211_v1:1:37373056:37375543:1 gene:GSCOC_T00005042001 transcript:CDP17119 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQSVDQQQHALDSQSPPASKDDMIACVTALEAALLPCLPARELQAIDRSPHPSHQIDVERHARDFMEAAKNLQLHFISLQREDQPSKAETLRKEIATMEEELKLKNELIDQQERLIQDWRKGLEDQLEKHNSELERV >CDP17524 pep chromosome:AUK_PRJEB4211_v1:1:19497467:19499816:-1 gene:GSCOC_T00011475001 transcript:CDP17524 gene_biotype:protein_coding transcript_biotype:protein_coding MSITDIIFALQDSFSVSPFMFFGFRSDFFFWGKRSDFVRLPLVRNISELTQNSYGRPGLSHMTIAGSLLHGMKEVEVWLQTFAPGSHTPIHRHSCEEVFVVLKGGGTLYLASNSHSKYPGNPQEFPIFSNSTFHIPVNDAHQIWNTNKEEDLQFLVIVSRPPVKVFIYDDWHMPHTAAKLKFPYYWDEECYLMPPTKDEL >CDP13150 pep chromosome:AUK_PRJEB4211_v1:1:1626772:1630412:1 gene:GSCOC_T00037995001 transcript:CDP13150 gene_biotype:protein_coding transcript_biotype:protein_coding MISRQIETLLQRSKTTSHLLQLQSLSIKTALDHQEHFLSRLILASSLISLQISREIFDKSPITPSRFARNTLIQGYSKSSIPLESVKMFVDLQRSGIKPDNYTYPFVLKACGRCSMVGVGGTLHSMVLKMGFDWDLHICNTLLRMYGGLHLIAYSRQVFDDMSQRDVVSWSSMIAAYVNCNCPVNALTVFQDMIIQHEKPNSITLVSLLAACTRLLNVKLGESIHSHILTNGVGLNVELGTALLEMYAKCGHMLEAFLIFDSISDKNLQSWTVMISSLADNGFGEEAMSLFSKMEEAGLQPDSKSFSAVLSACSHMGLVDEGKDYFDKMVKVHNIRPTMEHYGCLVDMFGRAGKIEEAYQVIKSMPMEPNSIVLRSFISSCRYHGRIVCEEKHLKQLLKIEPDVGANYVLAGSMCSLSGYWSDTNDLRVSMKEKGLKKVPGSSWVQLPNFSSTSFSFFGSGVQLVVYAYCYLVFCSLLHKSFSELVFNVADEVKVAAGNDIMLKAAC >CDP09639 pep chromosome:AUK_PRJEB4211_v1:1:23640707:23641534:1 gene:GSCOC_T00030037001 transcript:CDP09639 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKAKASPSFFKVLVPGFYSKLSMPPLFWKTFEHLLAESALLQIKSGETWSVKIERIGEQYFFTDGWPKFVKDHGLKMGEFLVFWLVLGKNNSTTIFEVAMYGTTGCDKDLNPSAPIHDPRHFLPQLVDQPNPEINRNPLTQPSKSAVQGN >CDP14444 pep chromosome:AUK_PRJEB4211_v1:1:9654569:9656992:1 gene:GSCOC_T00040909001 transcript:CDP14444 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVFLIHLTCFTLFFPFHILAQNSGLVSVGSILAANESSIPWLSPSGDFAFGFRQLQDKDMFLLSIWYDKIPDKTVAWYVGSVVNPVPRGSTVELQAKRGLVLRDPQGQDIWSTATIYDEVNYGFMNNTGNFIIMASNNNTRLWESFRFAVDTILPLQRLTYGSVLYSRQSETNFSRGRFSLRFHQDGNLLLRVGSVPILDADAVYYSSQTSDAVNPLNSGQFNYHRATLDFDGAFTHYFHPRTFTGKPNWTAFWAIPENICTAMDGTKGSGVCGYNSVCSLVGRKPVCECPQGYYLLDPNDKYGGCTPNFNQSCDELEQLPVEDKYDILAGSDIEWSNKSEYEVVNPSTEARCRKACLQDCLCAVALNLHPSKNGVEINLHCFSYKKLAEATNGFREELGRGSFGIVYKGEIKVGSIKDTVAVKKLDRVAQDAEKEFLAEVNTIGQTNHKHLVRLLGFCYEKQHRLLVYEYMGNGTLASLLFGKTIPSWKLRTQIATGIARGLVYLHDECSSQMIHCDIKPQNILLDDYYNARISDFGLAKLLQINQSRTLTNIRGTRGYVAPEWFRNTQITSKVDVYSFGVLLLEIICCRRHVEDVDTGEGGNAILTDWVWDCFQEGRLDALVENDFEVLEDTMKLEKYVKIGIWCIQEDPSLRPTMRKVSHMLEGIVEVMIPPCPSPFFSTI >CDP09246 pep chromosome:AUK_PRJEB4211_v1:1:32793717:32798053:1 gene:GSCOC_T00028488001 transcript:CDP09246 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSPASVSDERWVVHATAGAAAGASAAALTTPLDVVKTQLQCQGVCGCDRFVTGSIRDVLRTIAEKDGYRGLMRGWLPRMLFHAPAAAICWSTYESAKGFFEDVNDGEHNGNVQ >CDP03756 pep chromosome:AUK_PRJEB4211_v1:1:35303813:35311963:1 gene:GSCOC_T00016234001 transcript:CDP03756 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRFLVLILSVASICDAATFNPISDSHRSAALELFTPTDGSLKSLEETFEALRTFEVLGIDKKSEIKGYTCPTVVDTLWSPSSALKDLFQALRVNGVLKCELNDEVFAGIASRLKDNVKDANSLLDLHYSIGSLKLIKGQTAEVDVHLEDADGIFRAIKALSQSDGRWRFSSNNPESSTYAAGIALETLAGVVALASHDIDQSLMDTLKQDILKLFDGIERYDDGSCYFDEKLVDAQGNWGPVSASSSIVRGLTSFATSTPESLNLPGDRVLCLAKFFLGIGVPGNFKDLYHQIDALASLENNRQVISIPLILSLPSSVLSVTRKDQLKVRVNTVLGSAVPPLSVKLMQIFTSGSKDASIIDQELKFDPETKLHVLDALPENVDVGKYIFTFEILLHDPEHKKIYATGGRTKVSVYVTGVVNIDQAEIAILDSDLGNVETKKRLDLTGENTIALSANHLQKLRLTFQLTTPFGRAFKPHQAFLRLRHENGVEHIFLVRSSGKNFEITLDFLGLVEKFFYLSGGYDIQLTVGDSVMENSFLQPLGYLDLDLPDAPEKAARPPPQPVDFSSKYGPRAEITHIFRSPDKRPPTELSFAFLGLVLLPLGGFLIGLLLLRVNLRNFPSSTLPAFFAISFHIGIAAVLSLYVVFWLKLDLFTTLKALGILGISLMFTGHRTLSHLASTSAKLKSA >CDP15552 pep chromosome:AUK_PRJEB4211_v1:1:25631633:25632393:1 gene:GSCOC_T00015433001 transcript:CDP15552 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIRFTERGMEIGGILLLPRREEKRGREVGGAKVTLVLSAFWSFSILRIRTFSCLRLGLYKNLGIGSDQNSFHLSVI >CDP06870 pep chromosome:AUK_PRJEB4211_v1:1:27320214:27321459:-1 gene:GSCOC_T00023904001 transcript:CDP06870 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASNIMKRLQGKVALITGAASGIGESTARLFVKYGAKVVIADIQDDLAKKVCQDLDPSSASHVHCDTTQESDIENAVNTTVSKYGKLDIMFNNAGIAGSGTSNILDDKKSDFERVISVNLVGVFLGTKHAARVMIPNRCGSIINTASVCSTLGGGAPHAYVSSKHAVVGLTRNTAIDLGRYGIRVNCLSPYFVPTPLAADVVERIYGGVSKVYKFLDGAELKAEDVADAALYLASDDSKYVSGHNLVVDGGYSIVNSAMCMFENSQK >CDP03874 pep chromosome:AUK_PRJEB4211_v1:1:34467401:34468423:1 gene:GSCOC_T00016372001 transcript:CDP03874 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSTKERGVLKLVHPGRHVEIHREPITAAEILSKYPRHCIARPDVFKFPYIVVRPESLLLPGKVFYLVPNRTLYNLLKARAQQSQQPSLRENQYKENHHHVSHGSRITPPKCWGGITATRQQHDRGPHRRLPTMLHYRKKSHDQDSEGYRRDRPYAKAWTEISDKIRKVPYRSSKVKHDQGYCRRLPTVFNDRTKSHDQDSEDYHSDTSYIDARTEITDNIRKASNRSYKASPPNSSVDSCRPFHSKGCDYHGHDNVAPTLSIVRRYNVTSTVPTVRNGVMQTRSLEDHAKLESCFKKQDSERKFLNLKVTFASPIVIPGSPSGSTSPKQTLWPCNQYN >CDP03852 pep chromosome:AUK_PRJEB4211_v1:1:34589588:34593033:-1 gene:GSCOC_T00016349001 transcript:CDP03852 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPKPESPINENEIRITSQGLVRSYISYATNLLQEKRGKEIVLKAMGQAISKTVAISEIIKRRLPRLHQDTAISSVSITDVWEPIEEGLLPVEQTRHVSMISITLSYKELNKNSPGYQAPPSEQTRSQYNYQQQQQQPPRQSRAIYNAGNEDSYGRGRGRGRGRGRGWGRGGYANYQENGGYSNWGGRGGGRGGGYGYRGAGYGRGRGGGGGGRGYGRGRGRMGPRPRGGGNQA >CDP03574 pep chromosome:AUK_PRJEB4211_v1:1:36736280:36737228:1 gene:GSCOC_T00015983001 transcript:CDP03574 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITTATKSTGGGRGKAKGSKSVSRSEKAGLQFPVGRIARFLKKGRYAQRVGSGSPVYLSAVLEYLAAEVLELAGNAARDNKRNRIIPRHIQLAVRNDEELSKLLGAVTIANGGVMPNIHQNLLPKKAAGGKAEIGSVSQEF >CDP08486 pep chromosome:AUK_PRJEB4211_v1:1:22155777:22156802:1 gene:GSCOC_T00027410001 transcript:CDP08486 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRLRVAVGIMGNGAALLLYAAPMITFTRVLRKKNTEDFSCVPYIVALLNCFLYAWYGLPIVSRGWENFTVVSINAIGVLLELSFISIYLRFAPANAKASYISF >CDP03680 pep chromosome:AUK_PRJEB4211_v1:1:35937967:35943423:-1 gene:GSCOC_T00016125001 transcript:CDP03680 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKNPLVFFDVSIDGSPAERITIELFADVVPKTAENFRALCTGEKGIGSSTGKPLHYKGSSFHRIIKGFMAQGGDFSKGNGTGGESIYGGKFPDENFKLDHSEAGLLSMANSGPNTNGSQFFITFKRQPHLDGKHVVFGKVVEGMEVIRRVERVGTADGKPSGVVKIVDSGETSQSSTHDAFGTQTGRKKKSAKPVSPDDSSDGRVKGNRKSEDWRKRKKRKYSSSDSYSSDTDSESYSSDTDSYTDSESDSESDSLSSSSSSDGRRHRKRRRLRERKKRRHGEKKGTGRSVRRRVKKDKRSKRKWSSGSSSGSESDNSSSSRRSSDAEIAKRPNKEKKVPAPVIGRQKDNKQKMAEGNLSDEEREPSQKNNELSNNGDSTGAKADKATKLDCDSDDSRKSSPKHGARSRQKLSSQRSSSTSPKRGMSQGVNNESRTLRTSSQLRDRSPLHGKPPPAALNQHEDSRSHSPNGATGRIRKGRGFTDRYSFVRRYRTPSPERSPQRSYRYGGRNIARNQDRYPSYRGYSERSPPRRYRSSPRGRSPPRYERRSRRSRSDSRSPDAHRGRERRRSRSPVRSPGPVNTRGAVSDRLKSRLGLPVDDFHPSRGVSTSSSKGHGSHSGSPNATQQKIAQQTTRSQSSSPGEQRGLVSYEDVSPIGVST >CDP09648 pep chromosome:AUK_PRJEB4211_v1:1:23752096:23756473:1 gene:GSCOC_T00030049001 transcript:CDP09648 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKVYETRSSNTKAKVKYTTWTTAMDNCLSKILAEHAKEGSKGENIKPATYDAAVRAVNENFGLELNKHHVKNRLKTLKKQYAVLSEIVGRKGFKWDKAQKMVIANDATWKEYIKVNPDAKTLRDKAFENYDELGIIVGTDQALASCSDNDTHGVDEMFDNFETAIEPEIQSDEKQTKNLRWTEQMDNCLGKILTEQVQKGYKIGNTLHTEAYEAAVRALNKECGPGFSKENIKNRLKTWRKQYSILNELLSNDGFKWDAMQKMIVGNDSLWDEYIKTNPEAKYLRGRCIENYDALHFILGNDSANRCWSTTGEKGDGNLAPCNQQHNGIRLPDVVEELSLDNTCEGTQGSSQQTRARPSSSSRSKQLTKKRSSSDAMVEVMRAVAVNIGRIADALTANESVCLDEIFEMVKNIPGFDDDLIIDACEFLSFDEKRAKMFLKLDERLRKMWLLKRLRSQGS >CDP15561 pep chromosome:AUK_PRJEB4211_v1:1:25838359:25841849:-1 gene:GSCOC_T00015449001 transcript:CDP15561 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEILGVSKNASPEDLKKAYKKAAIKNHPDKGGDPEKFKELAHAYEVLSDPEKREIYDQYGEDALKEGMGGGGGMHDPFDIFQTFFNGDPFNRGSSRGRRQRRGEDVVHPLKVSLEDLYSGTTKKLSLSRNVICTKCSGKGSKSGASTKCSGCQGTGMKVTIRQLGPGMIQQMQHPCNECKGTGETINDKDRCPLCKGEKVVPEKKVLEVHVEKGMQNGQKITFPGEADEAPDTATGDIVFVVQQKEHPKLKRKHDDIFVEHTLSLTEALCGFQFILTHLDGRQLLIKSKPGEVIKPDQFKAIDDEGMPMYQRPFMRGKMYIHFTVEFPDSLSPDQVKALEGILPPKPQSQLTDMELDECEETTLHDVNIEEEMRRKQAAQQEAYEEDDDMHGGGAQRVQCAQQ >CDP17664 pep chromosome:AUK_PRJEB4211_v1:1:5234714:5239710:1 gene:GSCOC_T00013201001 transcript:CDP17664 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDIKKIGLGLTGFGVFFSFLGVIFFFDKGLIAMGNILFFSGVTLTIGLKSSMQFFTKRANYKGTISFGAGFFLVVIGWPILGIILEAYGFIVLFSGFWPTLAVFLQKIPILGWVFQQPYIRSFFDRYRGKRVPV >CDP03892 pep chromosome:AUK_PRJEB4211_v1:1:34341001:34346179:-1 gene:GSCOC_T00016394001 transcript:CDP03892 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGVDKKLLCELEEMGFPEARATRALHYSGNSSVEAAINWIIDHENDADIDEMPLVPVDIPIEASDPFFISEEMKLKAPELSDQVRKNREVEEKKLERSREKERIRAGIGLQVAKRMAEENERKRNVAQRKADVEEKRRARERVRQKLQQDKAERRGWLGLPVEGPLSVNPAISFSHESKNLELGKPAVLTVSARKEDLMRECLRSLKRQVMGDDSQVKKAFQTLLIYVRNVANNPDDEKFRKIRLGNPAFQSRVGKFKEGVKFLELCGFEIIGGEFLYLPKDKVDMATLAEAWAVLNSAITNPYFGLFSRSLDAGD >CDP09289 pep chromosome:AUK_PRJEB4211_v1:1:18415515:18423686:1 gene:GSCOC_T00028586001 transcript:CDP09289 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYSSIIFASIILSLSCSAVSDLSFWHLQQYVRQSDRKFEQKTNRFWEFHEQSNSWVEVELPFDLVSCVNDTCTRVGSVDHQTMDKIEDTEELDVPESKRSSEKEDCLKAGVGENSNAVLPFRKRVSLTKMSDTSIWITGPSGSIYERFWNGLQWVIAPHDLPASAGYAISVFIVNQIILALSEAGNLYQMQLNENAHPVWIDFTPLINNSTSHETGQRFPARVISGVTSEDRERLYLCTKNRSLIELIGTEPTRWKNHGRPPGADVEAIVDATSVRPEAVFTVSAAGDLYEYDQSSKPAWKKHIQKEGSELDLSLAPLKGCSFHGLNGPTSVSIFLLTKGGDLVERQFQQRKWKWVPHGSPEDHFLTSITCNPQDEPNENLNTMFLTTAAGLVFEYRIPKQSGASQENQILEHWVNHIHPSNAKIAKRITGLQLQVGRMIFPLDDGRLSELHLAGIGGESSGPSQQINARRRVSPKYVWSILDAPETEGWNAEYCTEEYGPTNCISGMKEETNDEDLTGLISRRRKGISSQQNYLLLGISGSSSTKTLEDLSIADHSITKNFRLRAMHGGKSFFLITDEGLTFEYLSAENVWFWLRHEHSTAIRGAVGNYNGSLYLIDEYGSLFIRERSGNELAWINCTAIKKGRQVIGGPPWDGIPGKTQKVTATDALFFVSRSGRLLQLTVALRKFKWKDCRNPPNTKIACIADQEALREKIVFVVGRNGRLYQYNKVTELWHEHYQSQHLVLSRLPGTAMRPSPLSLKGSLFMLSENGGLVEYHWNQLDGWNWVEHGTPNSNVTLVGSPGPCFEGGQLFLIGSDGNVYLRFLDQATWKWKNCGFPYTETKVDADQKQIGEGNGNKDICINEEIETSFEKLAENLQAINKNCDPKVESTRPISFAETSVIFELRDGRLAELQKTGDANWAWSRSIGTPTSLCLANYWTTLAS >CDP07059 pep chromosome:AUK_PRJEB4211_v1:1:29226558:29234991:-1 gene:GSCOC_T00024158001 transcript:CDP07059 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDHYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPDWDDTDIEVRVDEGGDSINAATTPASPSLSKLNSGSLPSPPLPERAVVARKISGASLAWKDLTVTIKGKRKYSDKVIKSSNGYALPGTMTVIMGPAKSGKSTLLRALAGRLPDSARMYGEVFVNGSKWHLPYASYGYVERETTLIGSLTVREFLYYSALLQLPGFFCQKKSVVEDAILAMSLGDFANKLIGAHCYMKGLPRGERRRVSIARELVMRPHVLFIDEPLYHLDSVSALLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDHGDLSSVNMDTAVAIRTLETTYKSSADAAAVETMIVKLTEKEGPSLKSKGKASNPTRIAVLTWRSLLIMSREWKYYWFRLILYMLLTLCIGTVFSGLGHSLSSVVTRVAAIFVFVSFNSLLSVAGVPAHLKEIKIYACEESNLHSGTFVFLLGQLFSGIPFLFLISISSSLVFYFLVGLRDEFSLLMYFVLNFFMCLLVNEGMVLLIVSICQEVYWSILTLVSVHVIMMLSAGYFRIRSALPRPIWMYPISYVSFHTYSIQAS >CDP04021 pep chromosome:AUK_PRJEB4211_v1:1:33482303:33483545:1 gene:GSCOC_T00016548001 transcript:CDP04021 gene_biotype:protein_coding transcript_biotype:protein_coding MTICMIYREWLVGLVQESTVTMVNSWKSIIEAGGGIADIKIDQHMRSFSGDVISRACFGSNYSKGEEIFKRLRALQEAASKRVLATGIPGARHLPTKSNREAWALEKEIKTLILQVVKERTEAGYEKDLLQMVLEGAKSSDLSRDDIDRFIVDNCKNIYLAGYETTAVSATWCLMLLAANPDWQERIRAEAVEICRGQIPDADMIRKMKQLTMAINESLRLYPPVSVVSREALKDMKFGDIKIPQGVNVWTLVTTLHTDPEIWGPDSYKFNPDRFANGITGACKLPHLYVPFGVGPRVCLGQNLALVELKILISMILANFSFSISPRYKHSPALHLVIEPGNGVDLLVKKL >CDP08496 pep chromosome:AUK_PRJEB4211_v1:1:22327553:22329604:-1 gene:GSCOC_T00027422001 transcript:CDP08496 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKILKESDQLERASNTILIDINFYKQSPSKKIPRTIENTGELDETIYKPNDEELIPFAFLTFFSHSSSILKQNNTPKILTTTCHFSSIICRGPAFIPDLLSMNPNAHYFKRGTYDIKNIFFLNFFKACNLCLAFILNHHLWLFFLSKLLLWKDIKNRGNSTSHKLELVLNNFTMCSGHHVGSKWFVDTITFLHDPNFRGHRVVTFHN >CDP08452 pep chromosome:AUK_PRJEB4211_v1:1:20787014:20787970:-1 gene:GSCOC_T00027351001 transcript:CDP08452 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTNFFPISQILVHGDITADNLLVAASRIVKIGDFSFNQVLCILILVCYVLLTCFYFYDDNNELRCSTGIPIFTIPECCLGSSTYHTKVVDMLVVGFTRMIMYSHCLLT >CDP03993 pep chromosome:AUK_PRJEB4211_v1:1:33678690:33683075:-1 gene:GSCOC_T00016512001 transcript:CDP03993 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRRALTALAAVLAWFFANASFSNGNPRDVDCLRAVKQTLEDPLNSLSTWNFDNATEGFICKFTGIECWHPDENKVLNVRLSSMGLKGQFPRDLANCSALTGLDLSSNNISGNIPSDISSIIKFVTTLDLSSNHLSGQIPEGLANCSFLNSLKLDNNQLTGHIPLEIGLLDRLKTFSVKNNQLTGPVPTFINGSVNADSYASNPGLCGGPLPACQGPSKKPRTGIIVGAAVGGVTIAALGVGFGMFFFMRRLPGKKKEDDPEGNKWAKSIKGAKGTKLSMFEKSVSKMRLSDLMKATSNFSKNNIIGSGRTGTMYKALLEDGTSLMVKRLQDTQHSEKEFVSEMATLGNIKHRNLVPLLGFCMAKKERLLVYKLMPNGSLHDKLHFPHDADKKMDWPLRLKIAVRAAKGFAWLHHNCNPRIIHRNISSKCILLDVDFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVFSFGVVLLELVTGELPTSVAKAPESFKGNLVEWISNLSINSKLHDAIDQSLVAKGYDGELFQFLKVACSCVLPAPKERPTMFEVYQLLRAIGQRYNFTTEDDILVLSENGDADQLQELIVAREGIEMQ >CDP14095 pep chromosome:AUK_PRJEB4211_v1:1:11415062:11415498:1 gene:GSCOC_T00039297001 transcript:CDP14095 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLGFCWWPSNLKSNIPYSFDLEKCGESERMKLPAFKECNLDERKAATSRFSVEYIVSEHADKAPNVVYKGQLEDDSSWIAVKHFNKFAWPDSRQFLVITSFKQGPSYSVSQM >CDP07040 pep chromosome:AUK_PRJEB4211_v1:1:29055483:29058361:1 gene:GSCOC_T00024136001 transcript:CDP07040 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSLHKKPTRNRGFYVRMRFLNHHNNVNYPKHGGRASQQSIEKSFCYRYFKWLLWISLALYFLSSFLITHKPTPSALSLSTTTILPRLKASRALFESTNSTSIGKFRGLKVYIYELPSKYNTDWLQDGRCSNHLFASEVAIHRALLTSEVRTFDPWEADFFFVPVYVSCNFSTVNGFPAIGHARSLIASAIEVISSELPFWNRSLGSDHIFVASHDYGACFHAMEDRAKADGIPEFLKKSIILQTFGVNYDHPCQDVEHVVIPPYISPKSVRKTLDKAPINGRRDIFAFFRGKMEVHPKNVSGRFYGKRVRTVIWRKYGNDRKFYLKRHRFAGYQSEIVRSTFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFPAAVPWADISLTVAENDVAELGPILEHVAATNLTAIQRKLWDPSVRRALLFNDPVVDGDATWQVVEALSGKLDRSRKKSRVSSE >CDP08518 pep chromosome:AUK_PRJEB4211_v1:1:22859907:22861323:1 gene:GSCOC_T00027454001 transcript:CDP08518 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMNSESSNNSNTPAAGPVMTSSKQPVQSAKNVDTQSVLKSLRYLEDFYFLILISLLQSELMALMMSGDSGISAFPEEGNIFCWKGTITGSKDTVFEGTEYKLSLSFPTDYPFKAPKVKFETGCFHPNVDVYGNICLDILQDKWSSAYDVRTILLSIQSLLGGNLTCR >CDP09677 pep chromosome:AUK_PRJEB4211_v1:1:24215568:24222983:1 gene:GSCOC_T00030092001 transcript:CDP09677 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPDARKDPGIGSSKDKLSDETLLMDIDDIDFDDFDDMLNSVTLQELGEDFLKKFCKKAATAFFEQYGLISHQINSYNNFVKYGIQEMFDSVGEIVVEPGFDPSKRGDGEWKYASIKFGKVILDKPDFWTGDKFFGDGGKEYLKFLPRHARLQSMTYSARIKVETHVQVYTKKLLASDKAKTGRAVHIENECEKEEKREVIIGRLPVMVRSDLCWMNGVEKDDCEFDHGGYFIIKGAEKTFVAQEQICLTRLWLSSVPCWTVSYRPVARRKRVYLKLVDESKFANLIGGQRVLSVYFVIAEMPVWILFFALGASSDREVVDLIDLNIEDTKIANILTGSIYYADTKFEDADLKCKGGFRKGRNALDYVQMLLNNCKYPPAESVEECISNLLFPNLTGFKQKACFLGYMVKCLLEAYTGRRKVDNRDDFRNKRLELASELLERELKVHLKHVERRMVKAMQRDLYGDRSLQSIEHYLDASIISNGLSRAFSTGGWSHPYKKTERVSGVVASLRRTNPLQMTADMRKTRQQVSYIGKVGDARYPHCSHWGKICFLSTPDGENCGLVKNLASLGLVSTKVLEPLIERLLDCGLKQLVDHTSSLVHGEHKIFLDGDWIGTCKDSAFFVAELRSKRRNKEIPPQVEIKRDEHHGEIRIFSDAGRVLRPLLVVENLKKIKDLKGENYSFQSLLDKGIIELIGPEEEEDCPTAWAIDYLYTGNRENPSMKYTHCELDMSFLLGLSCGIIPYANHDHARRVLYQSEKHSQQAIGFSTTNPSIRVDTNIHQLYYPQRPLFCTMLSDCLWKPAYPRHRGMLPRPEYYNGQCAIVAVNVHLGYNQEDSLVMNRASLERGMFRSEHIRSYKSDIDEKHNLGKKHKSEDEVKFGKMPSKIGRVDSLDDDGFPFIGASLQTGDIVIGKYSESGADHSVKLKHTERGMVQKVVLSADDDGKNFAVVSLRQVRSPSLGDKFSSMHGQKGVLGFLESQENFPFTAQGIVPDIVINPHAFPSRQTPGQLLEAALAKGIALGGVQKYATPFSALSVDAVTDQLHRLGFSRWGNERVYSGRTGEMIHSMIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIRFGEMERDCLIAHGAASNLHERLFTLSDSSEMHVCRKCKSMANLIQRSVLGGRKIRGPFCRFCESAEDIVKVNVPYGAKLLSQELFSLGISLKFDAELC >CDP07114 pep chromosome:AUK_PRJEB4211_v1:1:29866456:29871701:1 gene:GSCOC_T00024232001 transcript:CDP07114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:Projected from Arabidopsis thaliana (AT5G60640) UniProtKB/TrEMBL;Acc:A0A178UQB2] MPNRLFILLSLTCLLLFSSLLTPVLSKTPAPSDADDDEDLSFLEADDGDDDDAAYDDFEIPSNFDHGDGEENDDVELGPKVDEKDVVVLNEGNFSDFIESNKYAMVEFYAPWCGHCKALAPEYAAAATELKNLEGEKVALAKVDATEQHELAEQYEVQGFPTVFFFADGEHKPYTGQRSKDAIVTWIKKKIGPGVGNITTVDDAERILTSEDKVVLGFLNSLVGSESQELAAASKLDDGVNFYQTVNPNVAKLFHIEPDMKRPALVLLKKVAEKVTLFDGQFTKSAIVEFVSANKLPLVTTFTRESAPLIFESPIKKQLLLFATSSDSGKVVPTFQEAAKDFKGKLIFVYVEMDNEDTGRPVADYFGIEGNASKVLGFLGNDDAKKYIFDGEITLEKIKAFGADFLEDKLKPFYKSDPIPTTNDGDVKIVVGNNFDEIVLEESKDVLLEIYAPWCGHCQALEPTYNKLAKHLRGIKSIVIAKMDGTTNEHPRAKADGFPTLLFFPAGNKSSEPIPVETDRTVVALYKFLKKHASIPFELRKPTSLPTTKGSDAKEGTKSSTADVKDEL >CDP03587 pep chromosome:AUK_PRJEB4211_v1:1:36644526:36648029:1 gene:GSCOC_T00015999001 transcript:CDP03587 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLNQKLKRLCLRLRWPIRRRSKHKVVVKKFGKSNSRGHHDHKDALNVTSSSAVIHPSSELNGSKSEKPIRIATFNAALFSMAPAVPKTQKSSSFDFDNEDTSISQGIMSTPGMDYAFRAKSMNGRPPKSILKQSPLHSSSMREPDSLTKQQKFARSKLRVSINLPDNEISLRRSGHLSFRRDEKEEEYLGNSSISRVLKGKAPLRSTMSMPSSPIANGQNHRNTRAIVEVLRELNADILALQDVKAEEERGMQPLSDLAAALGMTYVFAESWAPEYGNAILSRYPIKRWKAQKIFDDADFRNVLKATIDVPHIGELNFYCTHLDHLDENWRMKQINAIIQSTDAPHILAGGLNSLDESDYSPERWTDIVKYYEEMGKPTPKVEVMKYLKSKQYTDAKHFAGECESVVMIAKGQSVQGTCKYGTRVDYILSSPSCPYKFVPGSYSVFSSKGTSDHHIVKVDVIKVADSSTSQQFVHGKKRQPRQRVVRITHSSSAKGVWKA >CDP03966 pep chromosome:AUK_PRJEB4211_v1:1:33851859:33855456:1 gene:GSCOC_T00016481001 transcript:CDP03966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25410) UniProtKB/Swiss-Prot;Acc:Q8RXE8] MSVIIPSISLAPTLTSRHPKAPTSFLFLPNDSTSNPNSLSFSLAFTKRPLLLPFLNKGFRGFGSLSAIACSTTSSPFTGKVGWHKREGNFSLLSFGASLDESVMEADKKADSSQVLSAMLPFVVALTAVSALSQPSTFTWVSKELYAPALGGIMLSIGIRLSIDDFALAFKRPVPLSIGFVAQYALKPALGVLVARAFGMSRIFFAGFVLMSCVAGAQLSSYASFLSKGDVALSILLTSSSTIASVLVTPLLTGLLIGSVVPVDAVAMSKSILQARNSVVLAPVTLGLVLNTYAKPVVYLLQPVMPFVAMICTSMCIGSPLAINRSQILSPEGLRLVAPVLTFHAVAFTLGYWISKIPILRLEEEVSRTVSLCTGMQSSTLAGLLATQFLGSTQAVPPACSVVAMAIMGLCLASFWGSGRRIRDLPSVLISQAGSTVKA >CDP18582 pep chromosome:AUK_PRJEB4211_v1:1:201413:202782:1 gene:GSCOC_T00012413001 transcript:CDP18582 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVMDIPSKATLAALLFSSINPRALAVDNTAPPTLPPVIQAEAPQPSPSNPSPFSQNLILNAPQPQAQPSTDLPEGSQWRYSEFLNAVKKGKVERVRFGKDGSALQLTAVDGRRATVIVPNDPDLIDILAMNGVDISVSEGDSGNGLFNFIGNLLFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVDDFHSHFPNHRLLHFHHRLLPHPKTIDGII >CDP06938 pep chromosome:AUK_PRJEB4211_v1:1:28001008:28005585:-1 gene:GSCOC_T00023990001 transcript:CDP06938 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGKKLSQQQQQKQQRREQRDSEKNDDESQEEEEEEVEEEEEDNEISQSPSNNDVPSKFLLYQQSVQSPKGDISYLQKFFLMYVGGRMPLHLQEDFCGTALLSTEWLRTDARRTAIGLDLDIEALKWCMESNLKKVGADVYSRIFLFHGNVLEPLQATLVNFDPQDLGQRITLGDCQNGPDAVLVNSSTQGSLLGVSHKLMKDTRLPERDIVCAFNYSCCCLHKRQELVLYFKYALSILNKRGGIFVMDLYGGTSSECQLRMQRRFPTFTYIWEQAEFDVLQRKTRISLHFNFQNQKKKLRHAFSYSWRLWSLPEIKDCLEEAGFRSVHFWMRSMPNSKEIRNIDGFGIGRDIKYEEVTSFQQQDSWNAYIVGVV >CDP09063 pep chromosome:AUK_PRJEB4211_v1:1:31450298:31455431:1 gene:GSCOC_T00028252001 transcript:CDP09063 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEEETDLSESEVEDYSIQWYAKLKDGHEKLQVSGEEYSCPFCPGKVHKYSLKDLYQHASGVSKGSTKRKMKDRGKHLGLVRYMDSDVDIKKPLAESIKKKADDPIASAGPSEKFVYPWMGIVANIPSEKRDGRYVGDSGSKLRDDFTLKGFNPLKVQPLWNWTGFSGYAIIEFNKDWPGFCNAMAFEKSFEAEHHGKRDYHEACDRGATLYGWIARADDYDSSTIIGEHLRKKADLKTIADVQEEEKHRTSMLVSNLANEIAVKNKHIKDIESKYNETIQSISNLMTQKDEMHRLYNEEMQKTQEAARVQLEKIFSEHETIALRLEVQKQKLIEREKELENLEAYNERQKLELEKQMNAKATLEQKKADENLLKLAEEQKREKEKLHVQIIELEKKLDAKQALELEIERLRGAVQVMKHIGSGGDEEVDYKLVAIQVELKEKEEALEDLEVRNQALIVKERKSNDELQEARKELVNCLMERTSQTSIGVKRMGEMDDVPFKNAAKRMFRRKEIAVKAAELCSQWEDHLRDPNWHPFKIVKTDDSKGHKEVIDTEDEKLKKLKNKFGNEVYEAVTTALMELNEYNPSGRYAIPELWNYNQKRRATLKEGVAYIMEQWKCLKWKTKDQ >CDP08946 pep chromosome:AUK_PRJEB4211_v1:1:30410171:30416473:-1 gene:GSCOC_T00028088001 transcript:CDP08946 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISSSAEGEAQLPTCNRRQGGWITFPFFLATMGSLTLAGGGWMSNLVVYLIDKFNIKSIDATQIINVINGSTSLFPVVGAILADSFLGCYTVIWISPFVSLLAPIVLILTATVDSMKPQPCHLIASSFCTPPSTLQYAVLYTALTLGCTGAGGTRFTLGTMGADQFTKPREQGVYFNWYFFTMYTSAVTASTAIVLVEDSVSWAWGFGICAVANVLGLALFLVGSPFYRYLKPEGSPFTALARVVVATIRKWKVPLSPNSDDCYYGPRGESKMELATPTESLRFLNRAALRSEGDINPDGSIAKIWNLCTIQEVEDLKSLIRIFPLWSSSIFLSTPIGIQLSLTTLQALIVDRHLGPHFQVPVGSVLVVALISTSICLSFFDKFLWPTWKKLIGRPPKPLQRIGVGHVLNISAMAISAMVEAKRLKMAKSHQNMSVLWLMPQLVTRQQEHGRSAVGLFFESTICNNCLLLLLFFLGLVKKVEEQKGVSTWHTPVSVGEKKNN >CDP17340 pep chromosome:AUK_PRJEB4211_v1:1:5064909:5065576:-1 gene:GSCOC_T00009669001 transcript:CDP17340 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTVDAICLNWLQEALEPAFQRAGQRIGTEIWKIENFQPIPLQKSDHRKFYSGDSYIVLQVHIASNISSFVSYFWALFLQIECL >CDP08965 pep chromosome:AUK_PRJEB4211_v1:1:30692713:30693313:-1 gene:GSCOC_T00028116001 transcript:CDP08965 gene_biotype:protein_coding transcript_biotype:protein_coding MWARLFKNGVDVVSESRIKTHFARPNWRKVFTDLATQNPSARIGKLISPLTKTLKQLCHKLSLETTTRFHFHKENF >CDP17682 pep chromosome:AUK_PRJEB4211_v1:1:5489716:5491172:-1 gene:GSCOC_T00013227001 transcript:CDP17682 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILHTKGGGDEESYAKNSTFQRLVFMNVNHALNRSIQGFCQANLAETECIRVADLGCASGPNTLLAVESIIDSINRERHNLNILKLPNIQVFLNDLMSNDFNSIFKLLPSFYQKLKESYGCGSRSCFIAAMPGSFYGRLFPDNSMHFILFLQTSLAFSGSPISGFIEGLPLNKGNIYIGKTSPKSVHDAYLDQFDRDFTNFLSIRADELVSGGHLFVTLTPKIDDPVAYNVQDLLGMTMNDMVSEGLIEEKALDTFNLPHYRPSLEEVKAIIEKNRALKIRYLDNIQLRVIGVEAAHCGKGYVFNTNTNAKYMARSLRAIYEPIFQAHFGDGIMNDFFTKLAANISQHQRKMKSPINSLVLPSPEHESRTCILCLD >CDP13143 pep chromosome:AUK_PRJEB4211_v1:1:1750110:1752702:-1 gene:GSCOC_T00037981001 transcript:CDP13143 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVETTEKPHAVLVPFPAQGHVTPLMRLAKLLHAKRFHITLVNTEFNHKRLIRSKGPESVKGFDDFRFETIPDGMPPSDKDATQDVPQLCDSCPPVSCVISDGGMRFGIKAAEDLGIPEVQFWTASACSFIGYLHYRELIRRGIFPFKNDDYLTDGTLDKPVDWICGMSNVKFRDLPSFLRTTDPNDIMFDFMGEEAQSCLKASSIIFNAFDEFETEALEAIISKFNFPNIYTIGPLQLLARHIVSKSQVNSLNSSLWKPDSKVFEWLDQRAPNSIVYVNYGSVTTMTDHHFREFAWGLANSRQQFLWIVRPDVVQGGESAMMPEDFLEEIQDRGFLTSWCAQEKVLEHSAVGAFLTHCGWNSMMETICAGVPVICWPFFADQQTNCHYSCEKWEIGMEINHDVKRDEVAELIRKMIVGEEGEKMRFKAKEWKKKADEATEVGGSSYINFDKFINEALHYKG >CDP17107 pep chromosome:AUK_PRJEB4211_v1:1:37441852:37443619:1 gene:GSCOC_T00005026001 transcript:CDP17107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exopolygalacturonase clone GBGE184 [Source:Projected from Arabidopsis thaliana (AT1G02790) UniProtKB/Swiss-Prot;Acc:P49062] MALREIKALYLVLASAALLLCNKGECSSFGARRGLAAAVETVFDVTKFGARPDGRTDGSLQMIRAWNAACKSGAPAKLVFPPGKFVAGEVVFQGPCTTPTPITIEIQGTILSSTDLSVFTQGVWISIENVNGVIVTGGGTLDGRGASAWEYAGGDGAGLLPVSLQMLKVQNGVIQNINLLNSKGFHSKVTWSANITVSDLTITAPADSPNTDGLHISSSQNVNVTNLTIATGDDCISVGEGNTNIAISKVTCGPGHGISIGSLGKRPAEKDVNGVTVTNCTLTGTTNGARIKTYHASPPMRASGIVYEDIIMNGVRNPIIIDQHYNSGKKDQPSRVQITDVHFRNIRGTSASPVAVNLDCSQAVPCQGVELADIDLAPAPGVAAISSACINTKPTLGGKLSLGQGGCQQ >CDP04040 pep chromosome:AUK_PRJEB4211_v1:1:33302371:33306360:-1 gene:GSCOC_T00016571001 transcript:CDP04040 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIMFDYRFESEDDPLFNKLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICKEVKERRLQLFKDHFVDERKKLASTTSMDSHSLKCAIDHILEAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPQVQRKLRQEIDTVLGPGVQVTEPDTLKLPYLQAVVKETLRLRMAIPLLVPHMNLNEAKLGGYDIPAESKILVNAWWLANNPENWRKPEEFRPERFLEEESKVDANGNDFRYLPFGVGRRSCPGIILALPILGITLGRLVQNFELLPPPGQSKIDTAEKGGQFSLHILKHSTIVLKPRSL >CDP16786 pep chromosome:AUK_PRJEB4211_v1:1:3766049:3774825:1 gene:GSCOC_T00019298001 transcript:CDP16786 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFHIAAAILALVFTIVYASDPSPLQDFCVAVPDANAGVFVNGKICKDPKLVKAEDFFFQGLNKPGSTANPLGSNVTQVNVNQIPGLNTLGVSLARLDFAPYGLNPPHTHPRATEVLFVLEGTLLVGFVTSNPGMNMKNKLFTKVLNPGDVFVFPQGLIHFQFNQGETDAVAFAGLGSQNPGVITIANAVFGSDPPISPDVLTKAFQVGNDVIKKLQVQLIFDTSFVITMAVRIHIAAAILALVFTVVHASDPSPLQDFCVAVPDANAGVFVNGKICKDPKLVKPEDFFFPGLNKPGSTANPLGSNVTAVNVNQIPGLNTLGVSLARIDFAPYGLNPPHIHPRATEVLFVLEGTLVVGFVTSNPGMNMKNKLFTKVLNPGDVFVFPQGLIHFQFNQGHSNAVAFAGLGSQNPGVITIANAVFGSDPPISPDVLTKAFQVGNDVLPKKFEIQFDTSFVITMAVRIHIAAAILALVFTVVHASDPSPLQDFCVAVPDANAGVFVNGKICKDPKLVKPEDFFFPGLNKPGSTANPLGSNVTAVNVNQIPGLNTLGVSLARIDFAPYGLNPPHIHPRATEVLFVLEGTLVVGFVTSNPGMNMKNKLFTKVLNPGDVFVFPQGLIHFQFNQGHSNAVAFAGLGSQNPGVITIANAVFGSDPPISPDVLTKAFQVGNDVIKKLQSQFWWDNNYP >CDP09662 pep chromosome:AUK_PRJEB4211_v1:1:24038977:24045046:1 gene:GSCOC_T00030072001 transcript:CDP09662 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASKRAYSTLSSKSRNGGRYALFQKHSPHFPFPRTKESENLRSQFFENPRSDLHVVFLSPPPRVDLLTIDRRWSAFIFRSILLPLPPTASVRTFSIFTEMAEDSRKDSGEVDANLADAEMEKVKKKKKKGLFSRVWNAVFRSQGDDFEKRLKHITKEEAAVLSRMRRRSQSLRGMIRNFIILSALLEVVAVGYAIMTTRTLELDWKMRALHVLPMFLVPGFSFLTYSALNSFSRMLDRKDHTTVERLRAERQAKIDELKEKTNYYITQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDESKLTAPVGKSNDVELVHPGGLRNRKQVQTRDGSTGSTVLHPDEEEMIHQTGPEGLDVSSHQQLVVEHQQLTGSSTPDGGWFARIAALLVGDDPTQSYALICGNCHMHNGLARKEDFPYVTYYCPHCSALNRPKQPDGRNSESNSPDMSSSTSNSDAEVTKNAASAVVKTSATSSPHSPVDAASRMAESDIVASDGPVS >CDP09638 pep chromosome:AUK_PRJEB4211_v1:1:23625175:23626688:-1 gene:GSCOC_T00030034001 transcript:CDP09638 gene_biotype:protein_coding transcript_biotype:protein_coding MAECRARKRAVVLVPMPLQGHMTPMLQLGSILYSKGFSIVVAHSEFRPPNPLNHPEFIFHPLLDDSSGYKTSLVNLMDLISAINSNCRAPLQEYLGQLKKDQTLEGCEVACVIYDSVLFFVDSVATQLNIPGIVLRTSMASYMLLYRCIFQLQAQNLIPFPESRLQEPIPELYPLRFKDLPFSITAEISEELMDFFDSMVNIRSSVAVIFNTTDCLEHSSLSLLQKQYQVPFFPIGPFHKMAPAASTSFLEEDQTCIAWLEKQAPNSVLYVSLGSIAIINEQELTETAWGLANSGIPFLWVVRCDSVNEAQSVDHFLDGHFKESVGERGLIVKWAPQKKVLAHRAVGGFWSHCGCNSTIESICEGVPMICRPLFGDQRLNARYLTCVWKVGLEMENVWDRGSIEKATRRLMIDTEGKEMRQDMLLMKDKIEASLQKGGSSYESLIDLTQFINSFSTAARE >CDP08504 pep chromosome:AUK_PRJEB4211_v1:1:22559947:22566366:1 gene:GSCOC_T00027434001 transcript:CDP08504 gene_biotype:protein_coding transcript_biotype:protein_coding MNGALVLYLSLICLMASATQSHGGHGHGNDPLVNFLKARSLKRSVNHVNEELGNEYSPVYIGPQEGLKEADKITTLPGEPNGVNFDQYSGYVTVDPKAGRALFYYFAESQNSSTKPLVLWLNGGPGCSSLGAGAMTELGPFRVSKGGKTLWKNPYAWNNVANVLFLESPAGVGFSYSNTSSDYITGDTKTAADSYTFLVNWLERFPEYKTRDFLITGESYAGHYVPQLAQLILHINKITNQTVINLKGVAIGNGYYDIEAQNSGSYDYYWTHALISDEIHHGIVSNCNFSSAYPPTEACQAYQSQANSAIGNIDYDNIYAPLCSSNTPPWINEYDPCSFNYAYTYLNTPAMQKSLHANTSGIPGPWETCNGYIGSHWDDEPDTVLPVIKELTSSGISVWLYSGDTDSVCSVTTTRYGLNKLGLSVKTPWYAWYTQGEIPRIQNQGLLDTGESYAGHYVPQLSPPILYNNKITNRTVINLKGIAVQKSLHANTTGIPRPWEACVYILAFPDIVLPVIKQLTTSGIRVWIYGGDTDIVVSVTTTRYVLNKLLGMLGTLKARLVVMQWNMKT >CDP03616 pep chromosome:AUK_PRJEB4211_v1:1:36399607:36403609:-1 gene:GSCOC_T00016039001 transcript:CDP03616 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGAEAPGPEGFPKTSPLDFFKKFRRLKPPALELTSPGAFGCLFFSFCLIFCILLLDYRTANRGFGLHGHSLLRTWFGLNSSFSSSSAEPGDLGNGKVNFLEAGGSGCDIFDGNWMWDESYPLYQSEDCKFLDPGFRCSENGRPDKFYTKWRWQPKDCYLPRFDAKRMLEILRNRRLAFVGDSIGRNQWESLLCMLSSAVSDKTSIYEVNGNSITKHSGSLSFKFGDFNCSIEYYRAPFLVVQGRAPAGAPVNVKMTIKLDQMDWSSSNWKDADVLVFNSGHWWNYEKTIRGGCYFQEGAEVEMDMSVQSAFHRSIQTLVDWIRREVNLKKTHVFFRSYAPVHFRGGDWKTGGSCHLETLPELVSSRVPSETSVEVRTTTDVFVNLIKKSELQNVELLNVSRMTSQRKDGHSSLYYLGPKAGPAPLHRQDCSHWCLPGVPDSWNELLYAALLRKELTDAQNSAQHLHPPPL >CDP03823 pep chromosome:AUK_PRJEB4211_v1:1:34801375:34803126:-1 gene:GSCOC_T00016313001 transcript:CDP03823 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFMDPDFLARRCVWVNGPVIVGAGPSGLAVGACLKEQGIPFVILEKTDCIASLWQKRTYDRLKLHLPKQFCQLPKFPFPDHYPEYPNKKQFIDYLESYATHFDINPQFNECVQSAKYDEACRLWRVKTVSTNGSARSDVEYFCQWLVVATGENAERMVPDIDGLKEFGGEVLHACDYKSGEKFRGKKVLVVGCGNSGMEVSLDLCNHEAQPSMVVRSSVHVLPREICGRPTFELAMFMLKWLPLWLVDKLLLVLAWFILGNIEKYGLKRPSLGPLQLKNTKGKTPVLDIGALEKIRSGEINVVPGIRRFACGMVELVDGEMLNIDAVVLATGYSSNVPYWLQEAEFFSRDGFPKSPFPNGWKGKDGLYAVGFTRRGLSGASADATKIAQDIGKVWKEDLKQKKQKVPTHRRCISQF >CDP03832 pep chromosome:AUK_PRJEB4211_v1:1:34728043:34733271:-1 gene:GSCOC_T00016324001 transcript:CDP03832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G33210) UniProtKB/Swiss-Prot;Acc:Q8L7B5] MYRLAANLVSKACVARNATQQVGSRLAWIRNYAAKDILFGVEARSLMLQGVDELADAVKVTMGPKGRNVVLEQSFGTPKVTKDGVTVAKSIEFKDRVKNIGASLVKQVANATNDVAGDGTTCATILARAIYSEGCKSVAAGMNAMDLRRGISMAIDSVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMERVGKEGVITIADGKTLYNELEVVEGMKLDRGYISPYFVTNQKNQKCELEDPLILIHEKKIGSINAVVKVLELALKRQRPLLIVSEDVESDALATLILNKLRAGIKVCAIKAPGYGENRKANLQDLAILTGGEVISDELGLNLEKVTIDMLGSCKKVSVSKDDTVVLDGAGDKKTIEERCEEIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVSEKMDRVTDALNATKAAVEEGIVQGGGVALLYASKELENLQTSNFDQKIGVQIIQNALKAPVQTIASNAGAEGAVVVGKLMEQDNPDLGYDAANGEYVDMIKAGIMDPLKVIRTALVDAASVSSLLTTTEAVVVEHPKDEKESTAMAAGMGGGMGF >CDP17112 pep chromosome:AUK_PRJEB4211_v1:1:37403721:37406161:1 gene:GSCOC_T00005032001 transcript:CDP17112 gene_biotype:protein_coding transcript_biotype:protein_coding MISTTIVHLHKTAAYNPSIVVFLPFQAEKHLSFLQAPQWGKTTEAVAMGIDLKAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNVVILKRLFMSKINKPALSLSRLITYTKGKEDKIAVVVGTVTDDTRVYEVPSLKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGPAPGVPHSNTKPYVRSSGRKFERARGRRNSRGFRV >CDP17547 pep chromosome:AUK_PRJEB4211_v1:1:714435:718476:1 gene:GSCOC_T00011024001 transcript:CDP17547 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKAVYKNEQIVGHFDKEMWVCIAEKVDRIEVVFKMILESLTDREIEGDRREVIVQKIQHELKEKRYLLVLDDLWNDQEGLHPQINFTRHELGRLCDNDCWSIVKNWANVGEELPRELEDMREQVLRRCDGLPLAAKLIGGMLSKKRKEEWQSILEENLLNGYQGGIEQIIKVSFDHLSPAPVKKCFAYCSNFHQDTELEQDLLVELWMAEGFLQPDSQNERMMEKIGFEYLRILLQTSLLEEVKEERRTWYKMHDLVHDFVKLILNRNSSNQDRYLAVYSSESMVETINAKTSASLRTLFLEGGIADDMLSKFKYLHVLKLFGADAKELPTSIGKLIHLHLLDISGSRIRTLPESLCKLYSLQTLRIGMLVDGFPKEMSNLISMRHLHYFHYDTRREIQMPSGIGQWTCLQTLEFFNIGRQEEGRGIQELGTLKDLKGSLEIRNLELVNGKDDAELANLSKKPNLYRLVFEWSNWYWGSDNCDEDMLEGLQPHPNLKELKILKFMGDQFPQWLVKSSTLVELHLVKCRELPTLGQLSSLQHLYLSLKILSLESMKNLEEWKDAHKMLSTAGEVHVMDVFPVLEKLYIRDCPRLTTIPTPSRFPSLDVLEIKWDCHVLLAEKVLSNIANLSSLELRGGVRQCIESLKLVKQPESSLSIVGCDSLPTDMLERLCLLPTLQRVELRDADNITALRGMSCAAYLKRLRVLSCDNLRELPNPKNSFGQKGLLKSLEEFTVNGCDALTRLPVEMFESCTSLRELNLVDCPSLVSFPLDLRRTPSLESFSLCGSIEALPDWLGNFAFLEDLILYYCLKLEYLPSVDAMERLKLRRLEISYCPLLTERCTPQSGFEWPKISNIPELEID >CDP06875 pep chromosome:AUK_PRJEB4211_v1:1:27353807:27356192:1 gene:GSCOC_T00023910001 transcript:CDP06875 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVLSYVQNLWPFSILKDDLRVSNSLVKKLPIPESTKRFVYAIQEPESGAVIYVLCVQNLSERSALDAECLIKVVKPDAVLAQVGPSIEGNEFSMEEIASEARSSPGSYFSAKSDDRRGNEFLLPTSAFEVLRRCFVHKINKEKYENVAGSLVLREIFGVSFNGHFFSAKRAAEEVGASFFLLESPFVKCSGDGKCSLEMDKEKEEEVEVGLGTGLRGFGIQPNSLVPQKGISMASMSLRRFSAMNDVQSWMVKSLSRELSNSSSVLKMGSEDVQPRVDYEAPQFSQSIYPLLVDLHDIFVDIPYMGRALAHAQKMLSAINKGEAVDGQLLSEVHVFQIAVEGLRIALNDAARLPINKLGNPLPSKYEFSELPVQEKSHALIAQALQSQTTKFKSIVALVDANGLAGLRKHRNTPVPAEIKNMVQQLVTNCENDRKILSDKKALLSAKPILAVGAGATAVLGASSLSKVVPASSLVKVLTFNVPASLKLMVTQTYKVVALTFGKTVGPSKVVAPGVASGVKTSFLKAAASAEKIRAVAHSIIASVEKTSLSATRTAFYEIMRKRHVQPVGFLPWATFGCSIATCAGLLMYGDGIECAVESVPAAPSIASLGRGIQSLHLASQAVRQAESSKIQKSIESLLHRFRNMKVQ >CDP03510 pep chromosome:AUK_PRJEB4211_v1:1:37197376:37197963:1 gene:GSCOC_T00015906001 transcript:CDP03510 gene_biotype:protein_coding transcript_biotype:protein_coding MASQELKHRTRDEEAEEEIQPPKDTGTTATRRHQKKRTAMAKRGLRSLGLALALPLSLTLLDISLFGSSLQYATMKKPFWSPPLWALHSACLASAFLMGLSAWLVWAEGGFHRNPTALLLYLGQLSLSLAWDPIVFQAGASRMGLVLCVALFGALVGCARIFRTMNPIAADLVKPCLLWALILSLANIVLVLPLV >CDP03996 pep chromosome:AUK_PRJEB4211_v1:1:33662603:33667469:-1 gene:GSCOC_T00016516001 transcript:CDP03996 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSPVTNALWETRSKIFERLLDPPLDAPPQSELLTRTPSYSRTAILYNFSTDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCTDDDSMTRPLLLVTASVDKMVLKKPISVDIDLKMAGAVIWVGRSSIEIQLEVTQPTDGKSDAAESVALTANFIFVARDYKTRKAALVNRLSPETEKEKMLYEAAEARNQLRKRKRVVDRKEIQNGEFGRLEALLGEGRIFCDMPALADRDSILLRDTRLENSLICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGVMPCFLEVDHVDFLRPVDVGDFLRFKSCVLYTELQNTDQPLINIEVVAHVTRPELRSSEVSNRFYFTFTVHPEAKAMNDRVRIRKVVPATEEEARRILEHMDADSFNLVYKS >CDP06965 pep chromosome:AUK_PRJEB4211_v1:1:28332560:28333912:-1 gene:GSCOC_T00024028001 transcript:CDP06965 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKEDVIRILMLPWLAHGHVSPFLELARKLTSRNPNFQVYICSTPVNLSPFRENLAVKFELSSSIQFIDILLPSTSELPPEYHSTKNLPPHLMPALKTAFDGAKDCFLDILKDLKPHLLIYDFLQPWAPAAAQEENNIPSVHFMSCSASIGAFLFHCTEYPDLDYPIPELNFPEITRQELVQFMYNVSNGLTNKERYSQCIEKSTDFFLVKTLSEIEQKHMDYFSEMTKKEVIPVGPLVQEPENRSSDMVFLEWLSKRGPSSVVFVSFGTEYFLSKEEIEVIASGLELSMVSFIWVVRFHGRENVTSLLEVLPEGFQKRVAERGMVVEGWAPQVKILSHPSIGGFASHCGWSSTLESIAFGVPIIAIPMQLDQPLTSRLVAEVGVGIEVRRENGKFREEEIARAIKQVVLQEEGKEVRKKVRELSNKIKEKGDQEIDHVVEKLLQLVKD >CDP06969 pep chromosome:AUK_PRJEB4211_v1:1:28365237:28366002:-1 gene:GSCOC_T00024033001 transcript:CDP06969 gene_biotype:protein_coding transcript_biotype:protein_coding MYLECITACSVFNHLLDDSPLPVNEASSSVLVATFSSTHSLNGKGSTGGGKLSGNVLSYYFQVCDPNGRWYLADSGFVPGDLLFLTGKALIHATTGLSPTASHRSALDIPPGTSSGGKTSLVFRLMPQGNAILDCSPIAVVGHVIL >CDP09102 pep chromosome:AUK_PRJEB4211_v1:1:31692189:31698890:-1 gene:GSCOC_T00028299001 transcript:CDP09102 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTSNVYIHVIEDVINKVREEFINNGGPGESVLTELQGLWEMKMMQAGAILGPIERSSAPKATPGVTPVHDLNVPYEGTEEYETPTADMLFPPTPLQTPMPTPLPGMAQTPLPGNAQTPLPGTAQTPLPGTADSSLYNIPTGGTPITPNEYSSVNDSGGAPEVKPGPGRPSPYMQPPSPWLNQRPPLDVNVAYVEGREEGERGASHQPMTQDFFMMSAGKRKRDEFASQYPNGGYIPQQDGAGDTISNELKVGQGSNTQHNTTIAGAEMSLQGGRAVSRISQLDGPIPDPYDDVLSTPNIYNYQGVVNEDYNIVNTPAPNDIQAATPAAVTQNDTVDDDEDEPLNEDDDDELDDVDQGEDLNTTHLVLAQFDKVTRTKSRWKCTLKDGIMRINNKDILFNKATGEFEF >CDP07105 pep chromosome:AUK_PRJEB4211_v1:1:29732577:29733016:-1 gene:GSCOC_T00024223001 transcript:CDP07105 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRADKIRIQKWYTTYKDHITLKDYEIHDGMGLELYYN >CDP09149 pep chromosome:AUK_PRJEB4211_v1:1:32027655:32029356:-1 gene:GSCOC_T00028361001 transcript:CDP09149 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSKELHIQKENDPVPKGWIEYICDDSLRLAIPVGPRFQADLPALPVSPHKNGQHNMHRKSRSSKWLSIKVWPTKGRSLEVSGDVIGKGRPKSCSCVSPGSVECVRQHINAKKIQLQSDLGPAFWLWKFDSMGEDVSKLWTLEEQKKFKDVVRIHAKSFGQSSINSALECLPCQSRETIVNYHLNVHILRRIAKQTRLGSSRIDTDDESEETPPTKGSRKRLQANHVTSSISKYVKTKYLMGRR >CDP09182 pep chromosome:AUK_PRJEB4211_v1:1:32273277:32274877:1 gene:GSCOC_T00028405001 transcript:CDP09182 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSWEDSVTCSINTIYLLFSSYLVFVMQLGFAMLCAGSVRAKNAMNIMLTNVVDAAVGSISYYLFGFAFAFGDGSNSNPFIGTEFFALKGIPSGSYSYSFFLYQWAFAIAVAGITSGSIAERTQFSAYLIFSFFLTGFVYPIVARWIWSSSGWLSPSSSGPLLFGSGAIDFAGSGVVHLVGGVAGLWGSLIEGPRVGRFDAFGKPVAMRGHNSTLVVLGTFLLWFGWFGFNPGSFDKILVAYPNTSDQGNWTGVSRTAVTTTLAGSTAGIVTLFGRRILVGHWDALDVCNGVLGGFVAITSGCSVVEPWAAIFCGFVAAWVLIGLNILCLKLGFDDPLEAAQLHGGCGAWGVIFTGLFAKEEFIIQAYNSGDSSVSRPYGLLLGGGWGLLGAQVIELLVIFGWVSITMGPMFYTLHKLKILRISVEEEVAGLDVSRHGGYAYNALQQEGNRTSILR >CDP17084 pep chromosome:AUK_PRJEB4211_v1:1:37657576:37660351:-1 gene:GSCOC_T00004997001 transcript:CDP17084 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPSLTSASSSIAGDNRKHWWVTNKKIVDKYLKDAKVLLGTRQDQSEILSALNLLDAALELSPRLDLALHLKARSLLYLRRFRDVADMLQDYIPSLKMLSDDSSSDNSSSSSSAHLSRERVKLLSSDRQDQEPTFKCFSVSDLKKKVMAGLTKNCEKEGQWRYLVLGQACCHLGLMEDAMVLLQTWKRLATAAFRRQSICWSDDSFFFREFPTSADLPAPINQPTTPPKTESESISHLLSHIKLLLRRKTAAIAALDAGLYSEAIRHFSKIVDGRRGAPQAFLAECYMHRASAYRSAGRIAEAIADCNKTLALEPSCIEALTTRAALFETIRCLPDSLHDLEHLKLLYNSILRDRKLPGPAWKRQNVQYREIPGKLCSLATKIQELKQRVASGETGNVDYYALIGLRRGCSRSELERAHLLLTLRHKPDKSSSFIDRCEFGDERDMESIRDRARISALLLYRLIQKGYTSVMTAIMDEEASEMQRKKAAAALQAAQSLQLQQAREQSKIVETFNSASTAKEVCKGDNGSRLESKGGSNAAASCSAFQGVFCRDLAVVGNLLSQVGFNRPIPVKYEALSC >CDP03805 pep chromosome:AUK_PRJEB4211_v1:1:34952415:34957691:-1 gene:GSCOC_T00016291001 transcript:CDP03805 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESELYDGIRAQFPLKFGKQTKSHTPLEQIHSSTRRTSATDYKVSSSSSSSSAAGTSKSSNDKPFPSLSSSSKAWLQSLKNPNPNPNRDGTPEVDPIRSSSQQADVVIGPPRPPPHQVDSEDVMIGPPRPQTANEEEDDDNDDDDGPLIGPPRPPPGSVDSDSEDDEEGEDQYRIPLSNEIVLKGHTKIAAAVAVDHSGSRVLSGSYDYTVRMYDFQGMNANLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLSRPGRVPVTTCAWDREGKCIAGGIGDGSIQIWNIKPGWGSRPDIHVPHGHSDEITGINFSSDGRILLSRSFDGSLKVWDLRHVKEPLRVFDDLPNHYAQTNIAFSPDEQLFLTGTSVERDSTTGGLLCFYDRVKLELVSRVGISPTCSVVQCAWHPRLNQVFATAGDKHQGGTHILYDPTISQRGALVCVARAPRKKSVDDFQIEPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPELPITGPGHGGRVGSTKGSLLTQYLLKQGGLIKETWMEEDPREAILKYADVAEKDPKFIAPAYAQTQPAPVFAKSDSEEEDK >CDP17036 pep chromosome:AUK_PRJEB4211_v1:1:38002054:38005265:1 gene:GSCOC_T00004940001 transcript:CDP17036 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGWQRPLHPLQIVGMSVFILLVAAFYCFLGLFLGSAIAEITVTTVFSFAALSASFLFIRCTAIDPTDKTRYRFRRRKKTTVKARTISSNLKFGFFALGHLLARVFRRVERKILRTFIRRKYLLLDHQQPSVPLLPFHLLVLRDDDSASVAPHPKELDLSFCALCDFEVQKHSKHCRTCNRCVEGFDHHCRWLNNCVGKKNYTTFILLMVFVLIMLIVEGGTAIAIFIRCFADQEGIEIELNRRHYLKFPRGVLAVISVLLVMMTAYSSIALGQLFFFHMLLIRKGMRTYDYILAMKEENQFMEVESGEDSDFSSDESTDSSSPEKPSFMSRVTCSEGGINQNPEKLTITIDRQAGASTTNEKQGFRASINPWKLITMSQEKAILAANRAKERLMKQKTMTQHDPLEPLPLETKSGPSIKPEKSIGSNMGTGLTPLILKETIPGSPGKFSSPRRRISCSPTIPSAIMGSPKQRYRSNFDLQLTQVSSELETYISRQVLSSILRKDGNRISPK >CDP03785 pep chromosome:AUK_PRJEB4211_v1:1:35092998:35094609:1 gene:GSCOC_T00016265001 transcript:CDP03785 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNVLLLLALVSLCNGLEIADRWNILNPERRAPPLGGASLKNYCESWRLNVELNNIRGFEVVPQECISYIGKYMTSTQYKTDSQRAIEQCHLHLTSCCTLKGDGKDAWIFDVDDTLLSTVPYFKIHGFGGEKLNVTSLEAWMRKTKAPALEHTLKLFHEIRGKGIKIFLISSRRECVRDATVDNLIKEGYHGWSGLTLRGLDDEYKGVRNYKAEARRRLVEEEGYRIWGIVGDQWSSFDGGPAAERTFKLPNSLYYVS >CDP13120 pep chromosome:AUK_PRJEB4211_v1:1:2095254:2097079:1 gene:GSCOC_T00037924001 transcript:CDP13120 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDFVDFANRVIRQRNKASIGKIEVDVMHFVKSYRLAFESLLISAAAALSSCNVQQLHLSVRMDKTTERFSIPIPPGIFSSKTLVSLSVTFEVDWNVPDFVWLPNLKNLYLFEFRLVDEDSIQRLLQGCPLLEQLMLFVQPFSYESESEERIEVEVLHISSPSLKSLLLCWNAKVELEFTVVVQSENLESLFCSLQGQHKVTIDAPNLKSLTVEGHVLEVHINQSLVSIDKAVVQAEFLHNVTNHSDLFLRSQRAFKFLSGLVNVKSLNLSENILKALYFSQPALPTFRNLIKLELIPVYCYSFPRSCILQVLSNLFESSPKLEVLIFSEVFKNYFGEDEEFGSVFLQALPLTFIEHLKVIEMTNFRGEEHEFKLIEYFLKNGKSLKKMALEREAREDWKCVPEDCERILAFKKCSDDCQIVLKKKWDHITCPKFRQLLKLSP >CDP13138 pep chromosome:AUK_PRJEB4211_v1:1:1785838:1786432:-1 gene:GSCOC_T00037972001 transcript:CDP13138 gene_biotype:protein_coding transcript_biotype:protein_coding MASTISAFNISTIAILIFFMPIHVTCKQKHEFVKEINKEALELREEKLSHFRFFWHDIGTGIHPTSVTVVKPLPKFRNGFGFVNMIDNPVTIESELSSKLVARAQGFYASASQAELALLMIMNFVFVEGKYNGSTITLLGRNPVFHKVREMPVIGGSGLLRFARGYAQARTHSFNLKTGEATVEYNIYVMHY >CDP04004 pep chromosome:AUK_PRJEB4211_v1:1:33575096:33577237:1 gene:GSCOC_T00016529001 transcript:CDP04004 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGGSIAVSSFISSSNFSQKAKPTSTLSLSMVTSKSLITSRRVACSAVQESSAAAAAVAAETKEEEEEKQKEGTKEAATAKATAPAAKKPPAKAPPKPLPQLMEEEVIPSLTATLEAQEDITQLELSFQDNKLEGSFLKKGFPYSFWAFFPDGNLTGPRGFSLSSYGSGVSTVEPFLVDEKRITAKHVVFWVRKRLAAQGIIPVWKE >CDP11097 pep chromosome:AUK_PRJEB4211_v1:1:7368242:7372777:-1 gene:GSCOC_T00033126001 transcript:CDP11097 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQGSKMNQQQMVNPPLPMMSAPPPQLMNPQQPQAPQMLMNPPQQQQQPMMNRSYGMWGPHTQPMDLQLKFQNPNPNSMKTQGAGFVSGNKGQSKHPFGPRNNWKGKKVNKPDKRKDSGRRMDNPLSMVGGSSSAVGGILGSVPGNVGGGYQPPNLKDLQHQNRVKARKFFPKKYYNYNNNKNSYNRGKFAPRNTTSYIIRAKKSGGIAELVSPCPVTPAVLPTPIFSPSREVLVDMAKEEWGVDGYGSMKGLIRLRSPGHEGEGHEEEEEEEGGSSESDVEEHVEVERRLDHDLSRFEMIYPNYGTAGGVEYNNVLENRVDDQDTHIAQLEEENLILKERLFLMESELGDLRRRLQCLERQSRSEDINEEVVENSSENESESRGGAHSLEDNNEEMVEVNVEGGMDAKVKEHFILDGKDEVCKNEDSITEIRLQEEKKGEIKVKCVPEDEQSSDELQVDVLKDYHVCAEAPENIPAGDVCMVEVAHTGKGFVEEKQSKQEAGEREGLEKSIDEGDLTDCPSLIIELEEGSNCQQY >CDP09673 pep chromosome:AUK_PRJEB4211_v1:1:24176752:24177612:1 gene:GSCOC_T00030086001 transcript:CDP09673 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQKRLIGCDDAMVTILVFHCKDPEFFDKVNFSIEPVSWLGIATVPEPLVLVFQRSRIRIA >CDP09642 pep chromosome:AUK_PRJEB4211_v1:1:23681085:23683641:-1 gene:GSCOC_T00030041001 transcript:CDP09642 gene_biotype:protein_coding transcript_biotype:protein_coding MYHCTTSGGLRTFSIFFFFCFCFSICLLPSFSGSVTATTAPAPAPAASEYKNYTVGDSLGWYDTLENPKVDYQKWVAGKNFSLGDFLIFNTDNNHSIIQTFNFTTYKNCDYDNASDNDTVQWAPADPSSTSPHPISVAVPLLKVGMTYFFSSDYDGEQCKNGQHFKINVKYGHGLPPSLKSPSNEALAPNNPVPGDDDSAPDTLVPSNFDNPQTVSDNAEPSESATLSAFSYLFGVKLCWTLILLGVYGIVFN >CDP07143 pep chromosome:AUK_PRJEB4211_v1:1:30113044:30117788:1 gene:GSCOC_T00024273001 transcript:CDP07143 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRRREPPPASKFTVYQNPALSAALTSKSRRPSVSTFLVILALSSASAVALFTATCWEKGLADILRLRNVPQDVSSVFVKVIQTITSLVLFGTVVAFIKAISIWKVRDAAEVTILSSSKGKEEHLHLTARQLGLIGVKPKVEPAVVDSSKKPPKSKNASASPSQTLVPLHQPIPAPGYSSRVRGDKSSTSRGNKMHAFSSSSKSPSSPSLYLVPTASSQSPVQNSPGSDKLLANPWSNKRATSHKEITTEQELEKFLADVDEKISESASKLATPPPTINGFSRASPTTIVSSANTSGTTRSTPLRPVRMSPGSQKFSTPPKKGESDLPPPMSIEESIEAFEHLGIYPEIEQWRDRLRQWFSSVLLNPLLTKIDSSHVRVMQAAAKLGVTITITQVGSDTPSKGTMATLSPVKRTNEWQPAIAVDEDGLLQQLRTTLVQALDACLPKLPLGNIQQSPQQNSLVPILQECIDAITEHQRLHTLMKGEWGKGLLPQSSVQADYTVQRIRELAEGTCVKNYEYLGSGEVYDKVNKKWTLELPTDSHLLLYLFCAFLEHPSWMLHVDPTSYAGSQSSKNPLFLGVLPPKDRFPEKYIAVVSCFPSILHPGACILAVGKQSPPIFVLYWDKKPQFSLQATLWDSIILLCYKIKTSYGDIVRGMHLGSSALRILPVFHPETVN >CDP03886 pep chromosome:AUK_PRJEB4211_v1:1:34384614:34387280:-1 gene:GSCOC_T00016388001 transcript:CDP03886 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTLSAVLKTEDRSGGPMRTPSNRTLMDIIREDPNGGGYSKDTRKSWRHFREKLRLRRQGSGSSWTSSVPVPASDVPIQHPMGGSSSSRRMMMSRRDSTRLNTPTPDQTSQPDADLSSGVPRSRSSMLQRNESRAIRGRYDNARGIDDEMGAEEGEETEGETTEEEEGGGGGTGGGEEQPVRMSLMALLAETDRQMGLDGSGFTMDDDEDADEEEEEEEEGGAGGGGYNNCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNNFILEILDIF >CDP17015 pep chromosome:AUK_PRJEB4211_v1:1:38175760:38179066:-1 gene:GSCOC_T00004913001 transcript:CDP17015 gene_biotype:protein_coding transcript_biotype:protein_coding description:GA 2-oxidase2, GA metabolis [Source: Projected from Oryza sativa (Os01g0332200)] MRRKKTRALGIPRIDLSLLPLDSSKLSKFIVEACEEFGFFTVANHGIPNHIIARMEGEGVEFFAKPASEKQRAGPPTPFGYGCKNIGFNGDKGELEYILMEANPASKSALSFCCAVNEYIEAVRKLACEILELAAEGLWVPDKSVFSKLIQDVNSDSCFRINHYPPSTTTTSTSPFSNSKSPNSPPPRVGFGEHSDPQILTILRSNDVPGLQICCTPAKDDDDDDDDEDEGLWISVPPDPSQFCVFVGDAFQALTNGRFRSVRHRVLANSAKPRMSTIFFGAPPLNACISPLPHLVSRHSPILYRPFTWGEFKKAVYSTRLADHRLDLFKCP >CDP03953 pep chromosome:AUK_PRJEB4211_v1:1:33929648:33930655:-1 gene:GSCOC_T00016467001 transcript:CDP03953 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSIVLQNPAVLSPYISTAVISPPLPRKHPNIISCRQPACTAAVSAPNAHRSTGPTQPLLRVVSVPPSEKPKTIASSSSAPVHHYPSTDKSQNKNSPPPQSSSSLLLTTSTRTATTLLVIALAASKLLAGRIQAIYGLLPQVEPLIYSSAAPAFFAAIGEYPTTVRLDTPFAILAGGMAKWLDIYVGVLSLRCLLTWFPNIPWDKQPLSAIRDLSDPYLSLFRSVIPPVFDSLDLSPLVAFTVLGTIGTILRRF >CDP13140 pep chromosome:AUK_PRJEB4211_v1:1:1774889:1776661:-1 gene:GSCOC_T00037975001 transcript:CDP13140 gene_biotype:protein_coding transcript_biotype:protein_coding MLDACNICFRSHVRTGTVIVQSCKNRCMQSVFVVINLRATGTGILCEFHFILEFCDPLVRRFRMQL >CDP07076 pep chromosome:AUK_PRJEB4211_v1:1:29375046:29377732:-1 gene:GSCOC_T00024182001 transcript:CDP07076 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEIQKGNKPFTTFTKTGWNNIEAGFKEKTGKRYSHPQFRNKFNQMRIRYFDFCMLSKEPGFTLDPALGKLDATDDVWKSAIKANKKTKRFRRRGCPLYNELGVIYGEPVGKSTNVSQVAQCSLDTDVKCLEDQSTNASPSESVRGSSDNEDYPSRSVRQRCQKLPSLRNEVQEVKDLRLIVDGGALEPTQIIVPSSTANKKHTDVAYLQTPATNHVGTLPSSSPFSITNCVRCLESIQGIDATTYLKAIKMFKDVDWREMFMAMSAERRLDWLASLD >CDP15760 pep chromosome:AUK_PRJEB4211_v1:1:16212673:16213764:1 gene:GSCOC_T00015823001 transcript:CDP15760 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLLVVVLSILCIFSLAYSCPPSDRAALLAIKAALNEPYLGIFKSWTGTDCCMNWYGVSCDPEVHRVTEINLRGVSEDSIFKMAHRTGYMSGTISRAICRLNRLSSLAIADWKGISGTIPSCITSLPSLRILDLVGNKLTGGLPTDIGQLSGLTMLIVADNQLTGRLPRSLTNLSSLTHLDLRNNFIRGTIPRHFGKLRMLSRALLSRNRLHGKIPKSISHIRRLSDLDLSLNRLSGRIPPSLGKMAVLATLNLGGNNISGTIPITLITSRIWTLNLSKNALEGNIPDTFDRRSYFAVLDLSYNQLKGTIPKSLVSASFIGYLDLSHNHLCGLIPEGSPFDHLEASSFVYNDCLCGKPLRDC >CDP07134 pep chromosome:AUK_PRJEB4211_v1:1:30017472:30019343:-1 gene:GSCOC_T00024261001 transcript:CDP07134 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFFSSFICLQDKWSSAYDCRTILLSIQSLLGEPNNESPLNSSAAALWKNLASRSGLDTLKNLGQDMNEELDRQVPLIDEIDTKHAFAFMDFVLTSLLKLFQIRSSQNMRTDIILLCILLLGIAAYLYK >CDP19195 pep chromosome:AUK_PRJEB4211_v1:1:558593:564647:1 gene:GSCOC_T00005542001 transcript:CDP19195 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTAAIATIQVTLKTVVSLAADHGNLVREFPKELERLNESAEMIRGFLAGADGKMHSPGVQIWLKRLEEEVLKAGNVLDDLNYENLRRKVKYQNQPMKKKVFFCFSFFNKIGFRWRLGSMIREINTNLERIHGHARGLGLPDKRQVEEAFPTGATASRQTDSKIVRRDVLGRDEDESEIVKKLLTESESDSISVISVTGMGGLGKTTLAKAVYNNSQFDNHFDKKIWVCVAKEVEIMELFKMILESSTRKKAEVDSREVIVEGIETELKEKRYLLVLDDLWNHQEGLLNDCFTTLEALKPKKGSWCLVTSRLQEVAIVLSRHRRINFTCHDLGKLYDDDCWSIVKNWATVGEEVPKELEALRKQVLRRCDGLPLAATLIGGLFSQKRKEDWPSILEESLLTGDQGRIEQILKPSPGVQIWLKRLEEEVFKADNVLDELNYENLRRKVKYQNQLTKKKVFFCFSFFNKIGFRWRLGSMIREINTNLQRIHQDAAGLGLAYKHQVEEAFPTIAAGATTSRQTDSTIVRSDVLGRDEDESEIVKKLLTETESVISVIPITGMGGLGKTTLAKAVYNNPQFNDNHFDKKFGDVIVQGIQNEIKGKRYLLVLDDLWNHQQLDDFFTTLKALEAKKGSWCLVTTRLEHVATTLSGLPQINFTRHGLGKLCNDDCWSIINKWATVGEEVPKELEDIKERVLRRCDGLPLAAKLIGGLLSKKRKEEWLSILEDSLLNGDQGGIEQIIKVSFDHLSLAPVKKCFAYCSIFDQDAELEQDLLVELWMAEGFLQPDSQNQTMEGMGYEYLRTLLQTSLLEEVNEGWRTWYKMHDLVHDFAKSILNRNSSNQDRYLAVYSSERMVDTINAKTSASLRTLFLEGGIADDMLSKFKYLHVLKLFGEDVKELPTSIGKLIHLHLLDISDSMITTLPESLCKLYSLQTLRIGKLEEGFPKEMSNLISMRHLHYLISMRHLHYDDGRTRREIQMPSGIGRWTCLQTLEFFNIGRQEEGRGIQELGTLQDLKGSLEIRNLELVNGKDDAELAKLSKKPNLHRLVYEWRNRYRGTDNCDEDVLEGLQPHPNLTELHIRNFRGDQIPQWLVKSSTLVELHLVNCRKLPALGQLPFLKCLYLTGLENIRSIGLSFYTLKKLSLKSMKNLEEWKDASEMMSTAGEVHVMDVFPVLEKLSISDCPQLTTIPTPSRFPSLDVLEIKENCHVLLAEKVLSNITTLSSLKLMRRAESSLMIDGCDILPTDTLERLCLFPTFQHVELWGADNITTLRGMSCAACLERLEVHHCENLRELPEDLYQFQALEHLMIQYCPRIDSFGYPNPKNSFGQKSLLKSLEQFTISGCHELTRLPAEMFESCTSLRELTLFWCGSLMPSGFGYLTSLREVHIGPFSDYSVIEFDWAGLASSSSLRHVSLNGMHDTKSLPHQLQDSTTITSLSLFDFGAIEALPDWLGNLSSLDELILWACQKLEYLPSVDAMERLKLRHLEIRRCPLLERRCTPESGSEWPKISNIPERDIIVPVRSHLKILINCFSLLDHLILPVFVPHSFSP >CDP17579 pep chromosome:AUK_PRJEB4211_v1:1:1284401:1287736:-1 gene:GSCOC_T00011074001 transcript:CDP17579 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIPSFVNGVPVFLAKPQTYMNLSGESSGPLAAYYKLPLNRVIVLHDDMNLPCGVLRLHHNGGHGSHNGLKSVIHNFRGNTLFPRLRIGIGRPPGQMDPKAFLLQKFNAAARERIDAALKEGAYALEQVLSKGLTETARCFNTDQKYKHIRLQTMPT >CDP07044 pep chromosome:AUK_PRJEB4211_v1:1:29088676:29092313:1 gene:GSCOC_T00024140001 transcript:CDP07044 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSFLPQSLSLLILLLSSTSPAFSIRATGNDHNLLPSSTLNFPKKQAEKLIRELNLFPEHDININTGNNSAAAAATSVVEKRLNLPVLGDSGATVQDLGHHAGYFRLPNTKAARMFYFFFESRKDKTDPVVIWLTGGPGCGCELALFYENGPFKIANNLSLVWNNFGWDKVSNLIYVDQPTGTGFSYSSSEEDVRHDEFGVSNDLYNFLQAFFKQHPEYAKNNFYITGESYAGHYIPALASRVLQGNKNKEGTYINLKGLAIGNGLTNPGIQYKAYPDYALDMKVISQSDYNTLQKSVADCDKALKPCGTNGENSCVTAFQVCESIFNDILSIAFGLNYYDIRKECEGDLCYDFSNVEHFLSQQSVKSALGVGNIEFVSCSTTVHQDMITDIMRNLEVGVPALLEAGINLLVYAGEYDLICNWLGNSRWVEAMEWSGQKNYLAAPTVPFTVDGSEAGLQKKYGPLIFLKVHNAGHLVPMDQPEAALDMIQRWLQGKL >CDP15619 pep chromosome:AUK_PRJEB4211_v1:1:26866178:26869475:-1 gene:GSCOC_T00015536001 transcript:CDP15619 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQITFFSALLFSFLLQPEEVISFTFLGDHLCRHDDAVALLQFKEMLSVSTYASCDHSYPKTTHWKADTDCCNWDGVTCHNLTGRVIGLDLSCGQLQGVIHPNSTLFHLSHLRRLNLAFNNFTGSRISHRFGSLKSLTHLNFSYSNFQGEVASEISYLYCQISGVIPESIGNLTQLTKLSLENNYLRGKIPDKLSISQKISSLSLDHNLLSGKFPKSLLNLTHLDLLDLSSNQLTGEIRDFKSRLLSWISLQNNQLTGSIPPSIFTIPTLYHLDLSANHFNGVGQDVWFMSQTFDDLFYMNLTHNFFTGTIDQLPVTPSLQSLDVSSNSLQGPIPPSICTSSLLWILDLSNNNLSGPIPQCMGNLNQNLDTMDLGNNRLSGTIPNTFSKDNSMRLLMLNDNQLQGPVPRSLAHCEGLELLDLGNNKIDDKFPVWLETLTELEVLILRSNRFHGAIGNCQTKSPFPQLRIIDASHNELTGALPKEILNNFAAMKILIAQTAIDFSSNRFGGQIPENIGSLHSLQLLTLSHNNFSGPIPKALGNLRMLESLDLSWNRLEGTIPMELVNLDFLGFLNLSENRLVGPIPRGRHFDTFGDASYRGNLDLCGFPLTKDCGDTEVPPPATPWEAEQQYDDSEFFDGFTWKAVLLGYGCGLVLGLVMGGLIFSTGKPRWFVLIVEESFKPRRRPMKWIHMRT >CDP09009 pep chromosome:AUK_PRJEB4211_v1:1:31054365:31055514:1 gene:GSCOC_T00028174001 transcript:CDP09009 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLTFSQSSLLLLPKCQLQHSLRAWNPAAQNLASTLAVSSGHFLATKLVLSPIKCVPKKHVFGGIRATVISDSKMPTTFTVDSAGEGVDVLPDTGGGGNDFGNASGKGGGGGGGNNGGDSNDSNESEGDSGESKDENLGSSKKTALSMSQKLTLVYAFLVGAGGLMGYLKSGSQKSLISGGLAASLLYYVYTALPTKPVFASSLGFGLSAALLGVMGSRFKRSGKIFPAGIVSLVSLIMTGGYLHGIMRTAH >CDP09099 pep chromosome:AUK_PRJEB4211_v1:1:31682829:31685542:1 gene:GSCOC_T00028296001 transcript:CDP09099 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLEVSRKYAETPTPKTPKAQSLTFEQLLQDDEASWQHSTSPTLARNHYHDEDLSPHNNKKSVLTKVKERARRLRQSFSGKKKHDDENHETPDGNTTPPWGVSLDDSYDDEEDEDAEYLGAPSILCLWPFTIYIFFVQTFNLNIKHYKCTIIYVCPDFGFISLRTFLPGFSVYESELAPEIYRETARQHPRADPVVSEKHLFPTSIKHEDGDEGKDKAAAVSKTITETVSEKLAPAYAKVSDATQLIASKIAGLTISSPEDQEKHANPDTEHLPADDVKNIDRSSEIREHLGNLSPQQWDKGVSVKEYFMNKLEPGEDDRALSQAISEAISPRKSPRDMGMVEKVREAVTSFLRHDEHPHSASKGSVAEPSTEKIKGTNFSQNVPVSTTKTEASPPQPNLKSLGESTVEKLHGDPSKATSLSSNNPVSPPYSLSSVNVNSSPVTMSPRAISREEPSKETIKPTNLSLNLPNSTKKGVPPPNSNSSTRARTPSHAPISPGANFMEQPRNERAKAANLSPQVPLFIRTEVPPLNSISSTNASSLSHVSSPRGASSKGKPSRETMKAEYLISHNPLWIKTEVPSPTSTSSANVNPSSYFPLFRSTSLTPQVPVFHSASSSPLVPVSTNAQEDLEEQTHGRILQAN >CDP09191 pep chromosome:AUK_PRJEB4211_v1:1:32358374:32360637:-1 gene:GSCOC_T00028419001 transcript:CDP09191 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLKTLLASGFRKYFVICINRVREKHAALALESNASTSSDIGTKAMGEVLRKYDKVHGSINNLKCQNVQLEDLKNPCLCELMAWHINVKEKKNKTTIPGLLDDCKLQISEGRFSISASLSNSRVLDVDLTCSICLEALFDPVSLTCSHMFCYMCACSAGSVSTIDGIKAADSKSKCPLCRKNGVFSGAMRMSQLSILLRRKLPEYWDERRQKERKARLEEAKEYWQLQCRAFVGID >CDP17685 pep chromosome:AUK_PRJEB4211_v1:1:5562739:5562882:-1 gene:GSCOC_T00013231001 transcript:CDP17685 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEAEQKELKEAGVELLPDERVGLRIHGWEIESSKASILKSLRRQE >CDP09064 pep chromosome:AUK_PRJEB4211_v1:1:31456552:31459421:-1 gene:GSCOC_T00028253001 transcript:CDP09064 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGLVCIASVLALAFMLGAEARIPGVYTGGDWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFSSGLSCGACFEIKCASDPGWCHPGSPSIFVTATNFCPPNYALPNDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVTYRRVPCRKQGGIRFTINGFRYFNLVLVTNVAGAGNIVRVSVKGSRTGWISMSRNWGQNWQTNGNLVGQSLSFRVAASDRRSSTSWNIAPAHWQFGQTFVGKNFRV >CDP03666 pep chromosome:AUK_PRJEB4211_v1:1:36071680:36076131:-1 gene:GSCOC_T00016102001 transcript:CDP03666 gene_biotype:protein_coding transcript_biotype:protein_coding MDYMWAEQNSGAGGGLQLPESPRQPMEFLSRSWSASAMKVCKAVAARQTPSLLPKGAGFAAANSTACNAAPAAAENMNPIQEEAEDSAKLSGNTFSFASSATSQLVLERIMAQTEVSPLTSGRLSHSSGPLNVCLTDETDSPPISPSEEFEDVIKYLRVNNSLQPLFTGGTRNGYSGGGGGASTPGGKTVGRWLKERREKKKEESRTQNAQLHAAVSVAGVAAAVAAIAAATAAASSTTGKDEQVAKTDMAVASAATLVAAQCVEAAEAMGADREHLISAISSAVNVRSHGDISTLTAAAATALRGVATLKARVLKEVWNIATVIPVERGIGIGGSVRNNNNNDFVNFGGYGEGFVPEENFLGVCNQELLARGRELLKRTRQGDLHWKIVSVYIHRTGQVMLKMKSKHVASTITKKKKNVVLDVCKDMPAWPGRHLFEDGEQRRYFGLKTEVRGIVEFECRNQKEYDIWTQGVSRLLSIVAETKKRFHK >CDP03855 pep chromosome:AUK_PRJEB4211_v1:1:34577337:34580756:1 gene:GSCOC_T00016352001 transcript:CDP03855 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILAEYTEFTGNFTSIASQCLQKLPATNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQLPIAFLERIKEDFTKKYGGGKAATAVANSLNREFGPKLKEQMQYCVDHPEEISKLSKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTQGTKMRRKMWLQNMKIKLIVLGIIIALILIIVLSVCGGVKCH >CDP07148 pep chromosome:AUK_PRJEB4211_v1:1:30168787:30171861:1 gene:GSCOC_T00024278001 transcript:CDP07148 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKINFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETVKSVEMHHEALQEALPGDNVGFNVKNVAVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEIVTKIDRRSGKELEKEPNPWLLKLSQSTLPLVVLLLGTCDKLLLLVLSRLLTRRILLVPRSPRLLLRRVPNEPFLPCMLVSLSQNWVLDRRWQILQN >CDP03709 pep chromosome:AUK_PRJEB4211_v1:1:35673040:35677502:1 gene:GSCOC_T00016173001 transcript:CDP03709 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHHAISFKSPIPPPPNYALSPSYPTTIHNPTSNHFCHSNRNIPSSSSSYYYYCSIFNCSAGIQIPLPKQQPLNSLSFCNSNNASSFDVDVDDDERLRNVGVIKEIDVATLGNLCVDIVLNVPRLPPKPLDQRKAYLDNVSKSPPDKRNWEAGGNCNMVIAAARLGLSCATIGHVGDEIYGQFLLDVLEDEGISVVRMDGENDEVSSSSAAYETLLCWVLVDPMQRHGFCSRADFSNEPAFVWMKKLSPEVKKAIKHSKILFCNGYGFDELAPSLLVSALEYAVEVGTSVFFDPGPRGKTLHSGSPDEQRALSKFLRMSDVLLLTSEEAESLTGIGNPVLAGQELLRRGTRTKWVIIKMGAKGSLLITTSSISCSPAFKVDVIDTVGCGDSFVAAIAFGFIHKMPLVHTLTIANAVGAATAMGCGAGRNVASLEQVIELVRRSDLNEDDSFWMDLLDKDLDVEQITLLSNMVINGSNGRLSRLCLEKVALEMLQKLEHVRLERVVPS >CDP03799 pep chromosome:AUK_PRJEB4211_v1:1:35005347:35007337:-1 gene:GSCOC_T00016283001 transcript:CDP03799 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRSVAFCTAISHHPRSLAGLPSGAIQILRSPFNGKPLRIPHSRLAAPRKQYQSSRLTIMMMAKPTIQFIQGTDEQTIPDVKLTKSRDGTNGVAIFRFEEPSVFDSSSEVGDITGFYMIDEEGVLQSVDVNAKFVNGKPAAIEAKYIMRTPRDWDRFMRFMERYANANGLQFIKK >CDP13133 pep chromosome:AUK_PRJEB4211_v1:1:1871407:1873275:1 gene:GSCOC_T00037961001 transcript:CDP13133 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVAGIWVQMTRRGFPFIPTFLVVVALRASKWLFGLYVLSCVVGVFWKEFCFSTCILEGRLFRRMWNFKFCNTGFLLMLMSPLYCWNWGARWVLCLKIS >CDP11079 pep chromosome:AUK_PRJEB4211_v1:1:8046287:8047988:-1 gene:GSCOC_T00033095001 transcript:CDP11079 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSRRISHLFGLAAALVALLSLVLACEASGSTVPRNGAGFVRTQGPRFVLNGSPFLFNGFNSYWLMHVAADPKERYKVSEVLRDASAAGLSVCRTWAFGDGGDRALQISPGIYDERVFQALDFVISEANKHGIRLILSFVNNYNDFGGKGQYAQWARNAGAHVNSDDDFYTHSTIKEKTAIKSRLLCFQVLVTRLNTITRISYRDDPTIMAWELMNEPRCQADYSGRTVNEWTQEMASFVKSFDRKHLLEIGMEGFYGDTMPEKKQFNPGYQVGTDFISSNLLRDVDFATIHAYPDQWLSGKDDKAQLVFMQRWMSSHWEDSRTILKKPLVIAEFGKSSRDPGYSLSARDDYMSNVYRITYGFARSGGTMSGSLIWQEWIRTTTDTLLS >CDP17132 pep chromosome:AUK_PRJEB4211_v1:1:37292640:37295864:1 gene:GSCOC_T00005056001 transcript:CDP17132 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRTLDSRHAIESCTLQLHGWRPFQLSAIIPNQTFDSDSPKPYPATTVLHTKRPCRADRGTSFSIEALDISRLSLFDDDRPLSSAAHKRDGVRWFARKRRRRGSRSVSGRSSDRSRSGTYRRRCCSVGASAAYATCSDFPVAAGTDSSGELFVNGDANWSSDVSEAARNTRRERENGNGEKEHLITGFGHAGNFDNQGNESGYGSEPGYRGDAEIGYGDEFDEEEDDPRLPFWGQQFGDNVSNLEGVGENPPQKAHHRCRRKKHDFRMIDAIR >CDP09654 pep chromosome:AUK_PRJEB4211_v1:1:23820822:23823111:-1 gene:GSCOC_T00030062001 transcript:CDP09654 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNCLMEFKYNCQSISASLEKNLAFECGIGSTCNFDVAGHSPSVGSSFPVNAAAQPEGDSSLPVDHFDGVFKYLNQMLMEEDLEQRPCMYQDLLALQAAEKSFHDALTGADFNVVANKEEKKRDDFDNGSRRRRNHDREDSEHGEGRSSKLFASHNEAGMPENEAAEEMYDKALLCPKRNPGFYDDSSTCDSDDPTPESKGWNYQKVGIKPESKRGRPRAGEKKINIRELVDLSSLLTQSAQAVAEYDNKTAQELLNRIREHSSPCVSAGDILKAYQAYILACPFKRMSNIFADKSIGRLTKGARCLHIIDFGIAYGFQWPCLIQGLSLRPGGPPKLRITGIDLPQPAKRWETIQLEDLKIGKGETIVVNCLYRLRHIPDETAEGSTARDDVLNLIKKINPDFFVHGVTNGTYNVPFFVTRFREALHHFSSLFDMLEKTVPQSDQDRMLFEKEVLGRDSMNVIACEGSQRIERPETYKQWHVRNQRAGFSQLPLNQDIVREVRAKVKLQYHKDFLVDEDGHWILQGWKGRVFYALSCWKPIQD >CDP03519 pep chromosome:AUK_PRJEB4211_v1:1:37156346:37159984:1 gene:GSCOC_T00015917001 transcript:CDP03519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18a [Source:Projected from Arabidopsis thaliana (AT3G62770) UniProtKB/Swiss-Prot;Acc:Q93VB2] MATLSSLSSTAFTNSNPNSKFLSPMLQPYLEQQQQQIDPPETDEEDDRSPHSNKPIMPNMSPSTGGNPNYNSSMDRSPAALLHVSFNQDYGCFATGTSRGFRIYNCDPFREIFRRDFDNAGGIGSVEMLFRCNILALVGGKDNPQYPLNKVMIWDDHQSRCIGELSFRSEVRGVRLRRDRIVVVLEHKIFVYNFADLKLLHQIETIANPKGLCAVSQASGSFVLVCPGLQKGQLRVEHYASERTSKFILAHDSRIACFTLSQDGQLLATASTKGTLVRIFNTHDGTLLQEVRRGADRAEIYCLSFSSSAQWLAVSSDKGTVHVFSLKSTLGNLRSENSSPPESDLARTTSGSSLSFMKGVLPKYFSSEWSVAQFRLLEPSQYVVAFGHQKNTVVILGLDGSFYRCKFDPVTGGEMTQLEYHNFLKPG >CDP09162 pep chromosome:AUK_PRJEB4211_v1:1:32128753:32134604:1 gene:GSCOC_T00028378001 transcript:CDP09162 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLEPLRPIIHSQISINYNPNLKYLYHHSFLCNRYGAINTNLFSFTLNSTSCRAQSRSSFEFYPINLWGVCSKLQKLRDSVRVRANSSCEHDTDSADKGETKTSETRSGSTPGPASGSGTGSSRREKQGKGNWCWSKGSNKWQWQPIIQAQEIGVLLLQLGIVLFVMKLLRPGIPLPGSEPRPPTSFVSVPYSEFLSKINSNQVRKVEVDGVHIMFKLKGAELGVAGSEMNTKLQESESLLRSMSPTKRVVYTTTRPNDIKTPYEKMLENDVEFGSPDKRSGGFMNSALIALFYIAVLAGLLHRFPVSFSQHTAGQLRNRKSGGSGGSKVSEQGETITFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGRFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVEAPDRTGREAILKVHIAKKELPLAEDVDIGDIACMTTGFTGADLANLVNEAALLAGRQSKLVVEKIDFIQAVERSIAGIEKKTAKLKGGEKAVVARHEAGHAVVGTAVANLLSGQPRVEKLSILPRSGGALGFTYIPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVIYSGRVSTGALDDIRRATDMAYKAVAEYGLNETIGPVSLATLSGGGIEDAGSMPWGRDQGHLVGLVQREVKTLLQSALEVALSVVRANPTILEGLGAKLEEKEKVEGEELQELLKLVVAPAELTYFVRGKQGSLLPLQTGPR >CDP09228 pep chromosome:AUK_PRJEB4211_v1:1:32630434:32634422:1 gene:GSCOC_T00028466001 transcript:CDP09228 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKLSARELQLVLVYFSQEGRDSWCALELIERKSDIGDVVALLVDMDCVGLKPSFSMIEKVISLYWETGEKDGAVLFVKEVLRRGIAYSDDNREEHKGGPIGYLAWKMMAEGNYKDAVNLVIDMRECGLKPEMYSYLIAMTAVVKELNEVAKALRKLKGFTKAGLIAELDAENTGLVEKYQADLLDAGVRLSNWVMQEGGPSLYGIVHERLLAMYVCSGRGAEAERQLWEMKLVGKEADKDLYDIVLAICASQKEAGAIGRLLTRMEVTSALRKKKTLSWLLRGYLKGGHFDDAAETVIKMLDLGLCPEFLDRAAVLQGLRRRIQQSETLETYLKLCRRLSEASLIGPCMVYLHLKKHKLWIMKML >CDP06990 pep chromosome:AUK_PRJEB4211_v1:1:28570095:28574983:1 gene:GSCOC_T00024061001 transcript:CDP06990 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRNPSSIASEREVADDLQPTGNDQKLELDAGALFVLKSKGSWMHCGYHLTTSIVAPPLLSLPFALASLGWAAGVISLVIGAAVTFYSYNLISLVLEHHAQLGHRQLRFRDMATDILGPKWGKFYVGPIQFLVCYGAVVGSTLLGGQCLKAIYVLVNPNGDMKLYEFVIMFGGLMLILAQMPSFHSLRHINLVSLVLCLAYSACATAGSIYLGNSSKGPNKNYSLSGGTETKVFGIFNSLAIIATTYGNGIIPEIQATLAPPVKGKMFKGLSVCYSVVTLTFFTVGISGYWAFGNEAESLILSNFSDNGKALLPKWFIFMTNIFTILQLSAVAVVYLQPTNEVLESTFADPKSSEFSVRNIVPRVILRSISVVISTTIAAMLPFFGDINALLGAFGFMPLDFVLPVVFFNLTFKPSKRSPIFWLNVTIAVVFGTLGVIGAVAAVRQISLDAKNYRLFANV >CDP07084 pep chromosome:AUK_PRJEB4211_v1:1:29507509:29508257:-1 gene:GSCOC_T00024197001 transcript:CDP07084 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLVVFLLVMISSHVATSRKVMPAEMQEQEKRFLVANDEGKIDDNSGSSADNHHHLPRQDFNNHSGGGSMGGDDSDARKN >CDP04077 pep chromosome:AUK_PRJEB4211_v1:1:32986369:32990158:1 gene:GSCOC_T00016618001 transcript:CDP04077 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLRSVAASQPQSNLAKILLPTASPAQFTASRSFASKNSKSNAGAAQAKKKAGGKKDGPDEKVRPEDVLVTPTEELVAEIEEEHRRRLAQNAGNKALDVGPNGRPLFTSTPSLSELTRKDACHYMEFSKEELERVLPEGLPPGMEKEFEETMRHALLIRQSFLDLRDNFRRVVDPPMYPTAKVPQTRRKIVLDGHRSCGKSIALAMLVHWARDEGWLVLYVPKGREWTHGGFFYRNPRTGLWDTPVQAANVLQDVMKFNQTPLQELHCKLTEPIPLGEGAGVGWAKGADTMPVPEGSTLYDLVQYGIKHTHASVGALVRLREELLLVEKYPVLFAIDQYNSWFTFSEYEEPVTVRSCRPIHARELTTVNAFRSMMHKDLMMVGAFSHSTAVGKLRKDLPEVPPNARINFPRYNLDEAATVCHYYVRQQLVNRDAFSEDNWKKIYYLANGNGSEIRQLMPFMR >CDP09053 pep chromosome:AUK_PRJEB4211_v1:1:31381491:31382242:1 gene:GSCOC_T00028236001 transcript:CDP09053 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGYSGCGSGSGCGGCGMYPDVEKDTTVTLIEGVAPVNIFPEGSEKSFVAEGGHGCKCAPSCRCNPCNC >CDP21408 pep chromosome:AUK_PRJEB4211_v1:1:392902:393783:1 gene:GSCOC_T00013679001 transcript:CDP21408 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAGLVQFMNAVGEIARGASAPSVLPVWQRELLNARDPPRVTCTHHEYDEVADTKGTIIPLDDMVHRSFFFGPAEVSALRKSIPLDISRKCTTFEVLTACLWRCRTIALQPEPNEEVRVICVVNARSKFDPPLPQGYYGNAFALPAALTTAGELCKRPLGYALELVTKAKGDVTEDYMKSVADLMVIKGRPHFTVVRTCVVSDVTQIGFNEVDFGWGRPEYGGPAKGGVGAIPGVVSFYIPVTNKNGEKGRVVPICLPGFAMDRFVRELEKLLSNNHHSIIDRSTSVRSAL >CDP09645 pep chromosome:AUK_PRJEB4211_v1:1:23725120:23730604:-1 gene:GSCOC_T00030045001 transcript:CDP09645 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVESIFDIPVQSPPAEEFSAADLDWTKFGTIERHDDVALIPYARVDAFIIGECLNAECPTRFHIERGRKRARGSLQEYKDDEYLEYRLYWCSFGPENYGEGGGILPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALLIYNNRRHVNKSGSICHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENVLEKHIEGIQRYCGSNAKVNSLASQYVHKLGLIIKRSTHELDLDDQASVKLWVERNKKSVFFYQDTSETDPFILGIQTEWQLQQMIRFGHRSIVAADSTFGIKKLKYPLCTLLVFDSRQHALPVAWVITRSVVKSDVAKWMKALVDRVHTVDLGWKVNAFLVDDAAAEIDPIRQNSLLCLPFCFSIFSCPVLFSLWRIRRSWLRNILKKCNNIEVQREIFKRLGEIVYSIWGEVDYMVPLEKFTQDFVDQTAFLNYFRATWLPKMEMWLTGMRTLPLASQESSGAIEAYHVKLKVKLYDDSHLGAFQRVDWLVHKLTTELHSSYWLDRFADESDSFQNVKEEYLASTSWHRALQIPDTAVTLDDKDHPFAKVLSQRESTRTRIVWNPGSEFSLCDCEWSMQGNLCKHVIKVNMICENLRSYQPTLSFQSFQDILMSLQEKPLDDSIALDLSTAWAHQMLDQIQKLVELNSSDDISTVVNNMPLRWTTKKGRTSCGKPLTTLALPSCSKNDAAARKRNRKRKRLSRIR >CDP07005 pep chromosome:AUK_PRJEB4211_v1:1:28737644:28738951:-1 gene:GSCOC_T00024084001 transcript:CDP07005 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRIESSRVIKPLHEGIPPTTNHIPLSVFDKVTFDAHMAIIYAYHPPNPPNATLETGLRKALSVYREWAGRLSKDNDGEPIILLNDAGVRFVEASMDIELDQVMPLKPSAFCLSLHPSIQGNIQELVQVQITRFTCGSIVVGFTADHTVADGQATSNFLVAWGQACRGLEIHPLPLHDRGIFKPRDPPCIEFEHRGVEFKNPKLEQFHPLLDEDVDGVAVDQFHFSLGFLAKLKAKASSRNGNDKSYSTFESLVAHLWKAVTKARGLSAYQTTSVRISVNGRMRMDPRIPNEYFGNLVLWALPTAKVKDLLREPVPYGAKLIHDTVAKVNDNYFRSFIDFASHKVKEENLVPVVDMGQSVIECPNLDVSSWLRFPFYDLDLGGGSPYVFMPSYYPLEGTILLLPSFIGDGSIDAVIALFEDHLAAFKQICYELE >CDP07017 pep chromosome:AUK_PRJEB4211_v1:1:28872923:28873522:-1 gene:GSCOC_T00024099001 transcript:CDP07017 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRAPGQIAASPAHSTLLRIIAMILLALILLVGIAVIVIWLAVKPRKLVYTIESGSIHNYNLTDDHLNATFNFELRAYNPNSKVSIYYDRIETRVLYDDSTVAFNNVQPFYQPRRNVTHLGLNLVATNAGLFPGTARNLRMERSTGEIEVEVRVKAKIRFKVEAIKSHRTLRLLCGPLVVHFSSSRNFERTFCDIDL >CDP03889 pep chromosome:AUK_PRJEB4211_v1:1:34357444:34359042:-1 gene:GSCOC_T00016391001 transcript:CDP03889 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLVVVLLLCGVLLLPSTAKDVPFDDNYSITYGNDHVAFFNQGTQLQLSMDVHSGAGFGSKVNYGSGFFDMRIKVPNKDSAGVVTTFYLSSNSQYAHDEVDFEFLGNKEGKPYALQTNVFARGVGGREERTLLWFDPTADFHSYQILWNPYHVVFYVDNIPIRIYKNNTASGVGYPSQPMQILASLWDGDGWATDGGKTKTDWSQAPFIASYQDFNVDGCPLTDNQNMDIQRCRSPNYWWNQRKFWKLDSNQMKAMEAVRNKYMNYDYCSDRNRYPVPPLECSSNTYE >CDP17531 pep chromosome:AUK_PRJEB4211_v1:2:29172197:29172918:1 gene:GSCOC_T00004485001 transcript:CDP17531 gene_biotype:protein_coding transcript_biotype:protein_coding MLALMKWTPLEEGFYLYEVTGYSTGAITTYMSVPVDMVKIPSYIAIGI >CDP05044 pep chromosome:AUK_PRJEB4211_v1:2:1113047:1120205:1 gene:GSCOC_T00019960001 transcript:CDP05044 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCEVSSFQNGSEAAAGDNGIKIFYRTYGRGPTKVLMIIGLAGTHTSWERQIRTLAGTLTPNDEESPACDQTPIASHNESLGVEVCAFDNRGMGRSSVPTKKSAYTTTLMAKDAVAIMDFLGWEKAHVFGHSMGGMIACKMAAMVPGRVLSLALLNVTGGGYECIPKLDRQTLSIAIRFLRAKTPQQRAAVDLDTHYSKEYLEEYVGTQTRRAILYQEYVKGISSTGMQTNYGFEGQINACWTHKLTLAEFEAIRAAGFLVSVIHGRHDVIAQLCHAKRIAEKLYPSARMVELHGGHLVSHERTEEVNKALLELLKASEEKLSTHDWTNLPLKSSGWIKFGRINSEGGTSLSFMVDLVGKLHAFFLYLFGLLVLVAEYLRRSTRSLRPAKVGPALT >CDO99566 pep chromosome:AUK_PRJEB4211_v1:2:11313094:11315318:-1 gene:GSCOC_T00029199001 transcript:CDO99566 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFKNGLVVPPQELKSPASSTHAPAFDNAKNLEETLKDFLSSHSNNGFSLGFADNALLAYAPPQRSHITAHQRMFCGVNDIYCVFTGSLRNLPTLIKQYGLSKGVNEAMFIVEAYRTLRDRSPYPAHQVLKDLDGSFGFVIYDDKARTAFAALGADEVVKLYWGIAANGSLMISDDVNLVKASCAKSFAPFPTGCMFHSERGLMSFEHPMHKMKAMPRVDSEGVMCGSNFKVDALSKINSIPRVGSEANWATWGQQA >CDP14012 pep chromosome:AUK_PRJEB4211_v1:2:5077963:5082900:1 gene:GSCOC_T00039176001 transcript:CDP14012 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSPKDWPEPIVRVQSLSESGLPVIPDRYIKPPDQRPSISPATQASHVNIPLIDLGDLNGDHEKRTAITEQIFQASTEWGFFQVVNHGVCPQLMARARQAWYGFFHQPMEVKQAYANSPKTYEGYGSRLGLEKGAILDWGDYYYLHYLPSSLKDQNKWPALPSDLRAVIDEYSAQVVKFCGALMKILSQNLGLGDEALQDAFGGENIGACLRVNFYPKCPQPELTLGLSSHSDPGGLTVLLADENVAGLQVRKNDMWTAVKPAPHAFIVNIGDQIQVLSNAKYKSVEHRVIVNSDRERISLAFFYNPKSDLLIEPAKELVTPETPALYPAMTFDQYRLYIRTRGPRGKSQLGESQSSPR >CDO97048 pep chromosome:AUK_PRJEB4211_v1:2:16121527:16122434:-1 gene:GSCOC_T00014270001 transcript:CDO97048 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVEFRCFVGGLAWATTDQSLEQAFGQYGEIIESKIINDRETGRSRGFGFVTFRDEQSMRDAIEAMNGQNLDGRNITVNEAQSRGSGGGGGGFRGPRREGGGGGYGGGRREGGYGGGGGYGGGRREGGYGGGDRGYGGGGGSRYSRGGGASDGNWRS >CDP09343 pep chromosome:AUK_PRJEB4211_v1:2:23430073:23431745:1 gene:GSCOC_T00028669001 transcript:CDP09343 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIFQDFRKSKSGSQDLSLTQGVQGEKVLPGIVLLFAQLSLFIGRSAIPRITEEIASSFSSGRARGYEYGPAFIPAVICRTFWVAGEKCLDHYVRLRAQKISVMLRTRFTTPNWHKEPREVHMFVDLLLQEFEAIRGKVKQILPPELSRKHCRRDSNGSTTSSRSNPLRDDRMNRSNTQRATSQLLESHLAKLFKQKMEIFMKIEFTQVW >CDP17806 pep chromosome:AUK_PRJEB4211_v1:2:51111588:51120451:-1 gene:GSCOC_T00003934001 transcript:CDP17806 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWQHMMRYRSKKRIAKAVDIKLLSSLDRDDLKKICGENYPEWISFPVFEQVKWLNKQLAKLWPFVADAAEAVIKESVEPLLEDYRPTGITSLKFSKLSLGTVAPKIEGIRVQSLKKGQITMDIDLRWGGDPNIILGVEAALVASIPIQLKNLQVFTVIRAIFQLTDEIPCISAVVVALLAEPKPRIDYTLKAIGGSLTAIPGLSDMIDDTVNSIVTDMLQWPHRIVVPVGGTPVDLSELELKPQGKLTVTVVKANDLKNKEFIGKSDPYTVVYVRPLFKVKTKTIDNNLNPEWNQTFPLIVEDKETQSLIIEVFDKDVGQDEKLGVAKVRLIELEAERSKELELRLLPSHDMLKIKDKKDRGTITIQLFYHEFNKEEQLIALEEEKQILEARKKLKESGVLGSTVDALDGAASAVGSGINAGVGLVGSGVGAGVGLVSTGFGAVGSGLSKAGKFMGRTITGQSSNHRKSGSSTPVNSVQENGGAKPQ >CDP05394 pep chromosome:AUK_PRJEB4211_v1:2:3855679:3858232:1 gene:GSCOC_T00020418001 transcript:CDP05394 gene_biotype:protein_coding transcript_biotype:protein_coding MAELENPGVMPKVIGLVSGVLERVAEANDVNARFGPQRISAFHGLTRPTISIESYLERIFKYANCSPSCLIVAYIYLDRFSQKQPVLPITSLSVHRLLITSVLVSAKFMDDIFYNNAFYAKVGGISAMEMNLLEMDFLFGLGFQLNVTPTTFYTYASFIQRQSWLPCPPPSRLQPSTPDNSTPPNLHRCVSSSHRQQQLTV >CDP04923 pep chromosome:AUK_PRJEB4211_v1:2:171278:176389:1 gene:GSCOC_T00019792001 transcript:CDP04923 gene_biotype:protein_coding transcript_biotype:protein_coding MWVELICGLIIFRLLKRFFYDDGDAADILDADAFSSDATALFTVAHRLEKLYGGKAYVGLQIPDPDAATRQSIDMVLVTKREAVIVSVKNVSGIISIDSKDGAWICTAIPGAGHNKHHISQDQRLPDPVAETKQLISILESYLEQRGLALPEGYLSYKVICPNPNFCTVHPNLFPSEVITYDQWTQLKPEPKNMLSGWIKGAFGGGKKDMQESLHEKLDFILGTAPMWDRLELKGNKFLFGEFLDFKGKQDDIQALRNVKRSKVGRLIIQKTSMLGLAHSKLQVLYSSRDYRGEGTSASEWKEVTVRSNTEVLFQPQNSTKTRKYKLSSVISMSLSA >CDP14067 pep chromosome:AUK_PRJEB4211_v1:2:5529794:5531005:1 gene:GSCOC_T00039254001 transcript:CDP14067 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFSFTILVLSFLSLLTATATATIGVVYTPSNFPTPERVASTLTSLRIPSVHILHPTPAAIRAFAYSNISLILSVPNSLLPSFAANVSFASEWLFYHVLPFHPRAHISLISVGTDVVSSSSILAPTSDPSTFLLPAMRNLHLSLLDLGIKTISVSTSFSFINTVSQAFPPSSAEFHPAINPLLVKPILQFLAETNSSLLIDLYPYTVYKVQPAIPLGFALFREDPFNFRDDPITAARYRNLFDVMVDAVVTALAITGHENMKLILSQTGWPSSSSGENEEATPAYAQMYLKGLISHLKSGLGTPLKKDGVAEVYIYELFDGDDEEENNPHQRWGILYPNMTMKFNLDFSGSEKLSAMPAAWLVELALGIISHVVVYFLLL >CDP08858 pep chromosome:AUK_PRJEB4211_v1:2:52402659:52405114:-1 gene:GSCOC_T00027974001 transcript:CDP08858 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPMNIQKRYAVVTGANKGIGFEICRQLASQGITVVLTARDEKRGLDAFHKLKATDGLSGDLLFHQLDVADPSSVAAIAEFVKTQLGRLDILVNNAGVGGSIVDSEALKASTVGPDFDWKKIITETYELSAECLQINYYGAKRMIEAFLPLLQLSPSPRIVNVSSSMGRLMNVPNEWAKGIFRDVDNLTEERVDEVLNEYLKDFKEGLLEANDWPVAYTLAKASMNAYTRIVAGKFPRFKVNCVCPGYVKTDITFNCGILTVEEGAESPVMLALLPDDGPSGVFFFRKGLSSFE >CDO96876 pep chromosome:AUK_PRJEB4211_v1:2:14248319:14253288:-1 gene:GSCOC_T00014042001 transcript:CDO96876 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGYISAERGTEHLDSRVLDPDPELGSERPWKHVEFFNQKYMARSLSQKMAEPASESLTCTRDEVVEKRSLKSGEISQSEEFVAKLSLQTTGEERDDNNDLTRIQSKPERYGMEPHESVIENTTKRAFLNHQGAKSEEYGYKAQLANTEVSNGTNGYLGQQMAKHVENDVNLPDCTQDGNGEKEDFKFQQITRRDKSDAYSSEYIEEIGKNTKSVYPQPDNIEAGDENSPSNAGVQSNQSLDSDVGPQKGRYFYYDTPLFGETGSWIPVSIPPMSESEHDEWSRGFSLNGGYLPEGDMGLSGLVGEDKELTMWDVVLEMLLVARGKVSSMASGDIDTISWISGHLIEQAWKEMAETLTEANFGNAQEILESDPPKWLPDSAASSCMLCNVKFHPIMCTRHHCRFCGGIFCGECTKGRSLLPEKFRTGDPQRVCDVCFVRLESVQPFLMDQVSRAAQLPTHDLTDLSTLRSWVNFPWGQSMEYEIYKATNTIRGYTKVGSLAPEKKIPEAILRDAKGLAILTVVKVGMMVTYNVGTGLVVARREDGSWSPPSAISTFGVGWGAQAGGELTDFIIVLRTNSAVKTFSSNTHLSVGAGLSAAFGIIGRTAEADVRAGAGCYAACYTYSCSKGAFVGCSLEGSVVTTRARENSRFYGSQLLKASDILIGSLPRPPAAAMLYRALGDLYQKL >CDP15514 pep chromosome:AUK_PRJEB4211_v1:2:45850048:45857020:-1 gene:GSCOC_T00015372001 transcript:CDP15514 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLRHSIFLAFLSGPCILRLRNSCFACNDESVAERENGIKERAEGIEEALFSKTPFEFWLINMGEHSEDDKVLPEMNKKRIVKTRAQVEALEKLYDEHKYPTEALKEEFAKSVGLTEKQVSGWFCHRRLKDKRLANPEAYANGRQDRSSGILQDRGSGLRQDSCGSTKQGDDRNYDPREVESRRLVGQEYSAADLTYELGSHYTGNHGSADDTSSGSSSSLRNMSFPQDRDRFALANSRYQAQSLPMDIKEVKPRTGPSGYLKVKGQVENAAITAVKRQLGRHYWADGPPLGVEFDPLPPGAFESSIQEPPNESYYAEEAVLPRSPENSMVHKNSNLGSGSQYNPKASSHDSDMERTRFKMAHGPDRSENCFPPKYKQKSPLHNHGDFYAGRSSSMDIHEGSARKTRVYDSQDPDRKAKYGDGGMSLDSFSGHRHSRLHNPRVDSDHADPWLQNYNEMNSNVAPAEHFVSKSSNLASKGVEYDDFTHKGLSKRMAKKAKSSGERLTLNGNFDPVQPKNGLTSAKRVRNELPQEHYCKKTSWSEVPPWTNQASRSAAEMPTSFSEDDETADTSSSVD >CDO97096 pep chromosome:AUK_PRJEB4211_v1:2:16481690:16482883:1 gene:GSCOC_T00014332001 transcript:CDO97096 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISLKQKPLVLAFVLYLSISATASAFTSSAPSFPPSVNQPELLTYPTTLIASILSTLGFQELSSAAVDANLSTSTPITIFAPSDSSLLTCPSCSLPLLLQEHAVPGLYPNHFLRNLAFGTKLETLASGHCLTITSSAAQNVSTRVVFVNGVEISEPDLFNNGVFLIHGLRGFVSHLSPLSCNVERMTTLSFPQPSLHKSPLSSIMRLMLKDAIIRLRFGGYSIVSLALKVKFGELSELKSMTVFALDDISIFSGAGYAYLHHFRFHVVPNRRLMAADLLSLQAATTLPTMEIGQNLVVTAAGGGGQFSPVKINYVKVTTMDLLHNSRIVVHAVSTAFPRMHHHLSLTDGAAVEEAYRPPCDISLDGGFCDVAAPVPAGIRSTPDIIGRLDDRDGL >CDP00091 pep chromosome:AUK_PRJEB4211_v1:2:6282923:6283621:1 gene:GSCOC_T00029883001 transcript:CDP00091 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEKKKNKKPKHQHPNDQTSKSTTSSDLAAFKPSSEVKGLRFGGQFIVKSFTIRRARPLEFLRLLSLPPTLNLNHQQNQEKTNGKQPFPSTTAFLPTNFTILAHHAWHTLTLGLGTKKSKVVVFVFESENMKAAVDRVWPPEIPLGEVNKKLIRGQTGCEMARFKFRKGAITFYVYAVRRIGNMGFSFADDLRTILQSVVALNDFLDHTAMLALPNQRSINYSPPVAMVH >CDO99736 pep chromosome:AUK_PRJEB4211_v1:2:9374487:9379539:1 gene:GSCOC_T00029415001 transcript:CDO99736 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVDVSNMRARNAEVVGTDAEMDKVKQSVDKLSMEVDNLDQRVNEVERFYSSKSLNQPSTSWSTSSRNNKDRERYAPSFKKLQQDASRREAAAAKRMQDLMRQFGSILHFHPNTFCLDSFITQHKWAWPFMQPVDVEGLGLDDYYEVIDRPMDFSTIKNQMEAKDGTGYKHVREICADVRLVFTNAMKYNDEKSDVHGMAKTLLAKFEEKWLQLLPKVIEEEKRREEEEAEAQSNMQLAKQAAHAKTARDLSNELNEVDSQVEELRDMVVKKCRKISLEEKRNIGIGLSKLRPEDLTKALEMVAKTNSKFVLRDEDLELDIDAMSESTLWRLKFFVKDALRVQGRGSSNCEENKADNRKAATKLSNNPVSKTKKDKSDALANTAKKRNKMPAP >CDO99577 pep chromosome:AUK_PRJEB4211_v1:2:11223911:11225280:-1 gene:GSCOC_T00029212001 transcript:CDO99577 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATSNSPAVLVKAPIRVAAICGSLRKGSCNRGLIRAAIEISSKSIDGMIIEYTDISSLPFLNTDLEVDGTYPPEVEAFRDKIRRADGILFASPEYNYSVSGPLKNAIDWGSRPPNVWADKAAALVSAAGGSGGNRQQYHIRQIGVFLDLHFINKPEFFLRRPLAPPQKCDRDGNLIDEEIREKLKEVLLSLYAFTLRLQGKSK >CDP18024 pep chromosome:AUK_PRJEB4211_v1:2:46770137:46771267:1 gene:GSCOC_T00008627001 transcript:CDP18024 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLKQIFIRFMVIPDMLKNAPMFKRLEARIKGKGSALGVGRGRAVAMRARAQAAGRGAPPGRGVVPPVRR >CDP17171 pep chromosome:AUK_PRJEB4211_v1:2:50708078:50708629:-1 gene:GSCOC_T00006344001 transcript:CDP17171 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEVPYSVAASEAGGITQGMGAYKVQVLFDGKPQTCVFLDTPGHEAFRAMRAHGARVIDIAVIVVATDDGIRPQTEEAIAHAKAAGVRIVIAINKVRLHLF >CDP09366 pep chromosome:AUK_PRJEB4211_v1:2:23137463:23141695:1 gene:GSCOC_T00028702001 transcript:CDP09366 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:Projected from Arabidopsis thaliana (AT5G26667) UniProtKB/TrEMBL;Acc:A0A178UDQ9] MRHYYSLFTIPPVVRGEEDLYNLYCGSAGFLPPTCFNWHCPKLHLSPYFPRFHLSYFPSLPELWLVLMGTVLDAATKDANGSLLTDKKVTVVFVLGGPGSGKGTQCANIVEHYGYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQRAMNESGNDKFLIDGFPRNEENRAAFELVTGMEPTFVLFFDCLEEEMERRLLSRNQGREDDNIETIRKRFRVYMESSLPVIEYYNSKGKVRKIDAAKPVEEVFEAVKEVFAPSNAEVMHYRNFLCSIL >CDO99746 pep chromosome:AUK_PRJEB4211_v1:2:9279169:9289989:1 gene:GSCOC_T00029427001 transcript:CDO99746 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISGKIIEHVLVAKSCQQNRHLSTQYRQACCNLNRCNLSLFLGGNLARSRMSTIQLRAFSKLKRRIVFCNAAAPPTAASSIEKSDFLKLQNGSDIRGVAVAGVEGEPVTLTEPITEALAAAFASWLQDRKQPDAARRLRVSIGHDSRISAKTLQDAVSRGIGRAGLDVIQYGLASTPAMFSCTLTENEDFLCPVDGSIMITASHLPYNRNGFKFFTSAGGLGKADIKDILERAASIYKTFTDEDLRDLERKASQSIRRVEYMTIYTSNLVQAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAGKVLEPLGAIASGSQFLEPDGMFPNHIPNPEDKAAMEAITKAVLDNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHRRFKRGYKNVIDEAIRLNSVGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASAKASGEGRGSKVLTGLVEGLEEPAFAAELRLKIDQTHADLKGGSFREYGEAVIHHLENITVTDPKLQKAPVNYEGIRVSGYGGWFLLRLSLHDPVLPLNIEASSQEDAVKLALVVLSAAREFPALDVSALNKFVQA >CDO99488 pep chromosome:AUK_PRJEB4211_v1:2:12043587:12047584:1 gene:GSCOC_T00029082001 transcript:CDO99488 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLALLLILLAPCTFSLNQEGLILQQLKLVFDDPDNFFSDWNDRDITPCKWRGVTCDSLTRSVTSLDFSNANLAGPFPASLLCRLRNLTSISFYNNSVNSTLPEADLPLCRTIVHLNLAQNLLTGKLPSSVAELPNLKYLDLTGNNFSGEIPGSFGTFRQLEVLGLVDNLIEGAIPAFLGNISTLKQLNLSYNPFFPGRIPPELGNLTNLETLWLTQCNLIGEIPDSLGRLSRLTDLDLALNALGGPLPSSLTELTSVVQIELYNNSLTGELPPNGWSKMTALRRIDASMNGLTGTIPTELCELPLESLNLYENSFEGELPESIANSTNLYELRIFQNNLTGALPKDLGKNSPLLWLDVSSNNFSGEIPANLCEKGVLLELLMIDNSFSGEIPASLGQCQSLNRVRLANNNFSGAVPDGLWGLPHVSLLDLKSNSFSGGIAKTVASASNLSSLILSSNKFSGDIPEEIGFLETLLEFSGNDNVFSGFLPGSIVNLGQLGKLDLHDNALSGELPKGIHSWKKLNELNLANNDLSGNIPPQIGSLSVLNYLDLSGNRLTGKIPNELQNLKLNQLNLSNNRLSGDIPPLYAKVMYRNSFLGNPGLCGDIDGLCDGRSDRNNGYAWLLKSIFVLAGVVLIMGVLWFYWRYRNFRKVKRAIDKSKWTLMSFHKLGFSEYEILDALDEDNVIGSGSSGKVYKVGLSSGEAVAVKKLWASTKIADESSDVEKCNVQDDGFAAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHSNKSGLLDWPIRYKIAMDAAEGLAYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKVVDTNGKGTKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELITGRLPVDPEYGEKDLVKWVCTTLDQKGIDHVIDTKLDSWFKEEICKVLNVGLLCTSPLPINRPSMRRVVKMLQEVGGGNQLKNGRTKDGKLTPYYYEDASDHGSVA >CDP08627 pep chromosome:AUK_PRJEB4211_v1:2:54331123:54332326:1 gene:GSCOC_T00027655001 transcript:CDP08627 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSLLLSNTTSVEVYEKKKAVHWKYDLGRKRNFEQVFGTKKMFWFFPLFSEEDLKNIPALHGLDFPIHSDTVE >CDP08768 pep chromosome:AUK_PRJEB4211_v1:2:53195790:53203523:-1 gene:GSCOC_T00027860001 transcript:CDP08768 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVYPTPPAAHQQDFYYFPGMGDPMKVVLPGLHSLQPPTNVNGTTGTGNVIDSGTSVVPEDPNKKVRKPYTITKSRESWTDQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGTSEHVPPPRPKRKASHPYPQKAPKTAGPHVTGQLHSSSALVEPKYVMRPDSLSVPGNSVSNPVVSPWTYNTVPTANTLYMTKDKDMGLAGATITHNYSSSSNESTPRIWPINETSDQVKEKDNKLARVMPDFAQVYSFIGSIFDPNASDHLLRLRKMDPINMETVLMLMKNLSVNLVSPEFEDHRRLIASSGGGTEEYKQKAPNKMIPA >CDO96729 pep chromosome:AUK_PRJEB4211_v1:2:12433302:12437801:1 gene:GSCOC_T00013840001 transcript:CDO96729 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLASRAPRRTLLCAVGVIQDNVSHCLVAKRSYSAASLPKHIEKLRKPAIPSDFLKWSGLGSYRTSKFASGFSPLQPKPLESIIDIKRSNSKTPEELADIWDDYHLGRGHIGASMDAKLYHLLKQRAENCRYFVIPLWKGSGYTTIFFQVQMPHMIFTGLEDYKARGTQAAPYFTVSYYTEFAESKELVLIRGDVVFTSKLSDSEAKWLMETAQSFYLNDVRYKLVERFNKETRDFEFKDVLQALEMPIL >CDP05251 pep chromosome:AUK_PRJEB4211_v1:2:2751316:2758259:1 gene:GSCOC_T00020229001 transcript:CDP05251 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAAASPLIHLMTAANYNKNIPRRRLRALTSGSDCHVLGEKLSVSPLSSSSLSCWRQQSGRSLSGRQFLKSVITSAYVSGPASVSSENSEFKVEEEEASEPAQPAYLVSWGLLWRLVSRHKLRLAASLLALVGCTTCTLAMPIYSGRFFEVLVGRRQEPLWQLLSKVGILYTLEPIFSVIFIVNMNSVWEKVMSSLRAQIFQRVLIQKVEFFDHYKVGELTSLLTSDLGSLKNIVSENVSRDRVFLAIVYVAGTICLLFALSPQLAPILGFLIIFVSTFIAVYKRTTVNVFKAHALAQASIADCVTETFSAIRTVRSFGGEKRQMSFFGRQVLEYESSGIKLGTFKSINESLTRIAVYVSLVTLYCLGGSKVKAGKMTVGTVASFIGYTFILTFAVQGLVNTFGDLRGAFAATDRINSVLSGAEIDEALAYGLNKDIKLMKMPDKEFGIFLVNGFESQTQSLDMPYTTSLKSASSVRSLAGSGDICLEDVHFSYPLRPDVEVLNGLNLCLRHGTVTALVGSSGAGKSTIVQLLARFYEPSRGRITVAGEDLRTFDKREWARVISIVNQEPILFSVSVGDNIAYGLPDDYVSKDDVIKAAKAANAHDFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPVLILDEATSALDTVSERLVQSALNRLMKGRTTLVIAHRLSTVQNANQIALCSNGKIAELGTHSELLSRRGQYASLVGTQRLAFE >CDO99643 pep chromosome:AUK_PRJEB4211_v1:2:10385716:10391571:-1 gene:GSCOC_T00029297001 transcript:CDO99643 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRYSISRLPTANPHLNFPAPLRRRSFTVRSSLSLPFSEEKAVYYKHLEAAVDVVDRACRLCVHVKKSLFSSDGSILEKNDQTPVTIADFGVQALVSLEMSKLFPSIPLVAEEDSMFLRTNNLVDAVVDVLADRATSRDKLTQDDVLEAIDRGGKDAYAFGPKPATYWVLDPIDGTRGFVRGSEALYVVGLALVVDGEIVLGVMGCPNWQESHPDKSSLKNQENENAVSMSGIIMISHIGCGTWRNTLWETTNMMGGLLDNWIRCFVDDCQIVHEARFCIPDSQTWESLPLSLLYNATTEAEYVGSKEVLLLPACCGSLCKYLMVASGRASVFIQGAKAGKAIKAWDHAVGIICVHEAGGKVTDWRGSELDFAADQVKRRIIFPSGGVLVTNDSLHRAILEIISSGSLVDS >CDO97583 pep chromosome:AUK_PRJEB4211_v1:2:20146811:20149941:1 gene:GSCOC_T00014965001 transcript:CDO97583 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYNLLYPNLGGGEPKEAVVGTSKYTDPIRPKKCYDTTFKLVGYIKYAYTCTQERERERRRQTERQREREREREREEERERERERERERERERERERERERERERERERERERERERERERERERERGVGATSRKRANPNRNTERENYQITVTKILLIGAIRCSKIKLHPSLGPIKGLVVLGLWQIPRALLA >CDP07686 pep chromosome:AUK_PRJEB4211_v1:2:24728074:24730668:-1 gene:GSCOC_T00025023001 transcript:CDP07686 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVCLCVCVWFFFFLEKNKTKHIKCLNAKKSRKGK >CDP08592 pep chromosome:AUK_PRJEB4211_v1:2:39724038:39729920:1 gene:GSCOC_T00027589001 transcript:CDP08592 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIKGVFTGQKTSPDTTSTSSESFTLLRFADELSKARKVGAFKQYIVGRSSEATFSDAFEKQEAIIRYLGGFDTTGENLLTSQKQEAAKHCNCTIADVENALAKFTWAKEAQRKIEKLKEEGKPMPKSLNEVQKLMGSTPMDVARSNLAKSGQISRNAPCPCGSKKLYKRCCGKDGKSLGQTKL >CDO99999 pep chromosome:AUK_PRJEB4211_v1:2:7104839:7106056:-1 gene:GSCOC_T00029751001 transcript:CDO99999 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYAMMKCLAYGHEIVALANLLPSDDAEDELDSYMYQTVGHQIVVSYAKCMGLPLFRRRIQGSTRQAA >CDO96752 pep chromosome:AUK_PRJEB4211_v1:2:12681594:12685734:1 gene:GSCOC_T00013869001 transcript:CDO96752 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPYLCIILFILMIVSQSASECYTSMFSFGDSLSDNGNLLGFSSPKTIHQGRPPNGETYFGQPTGRCCDGRLIVDMIAQNFGLPIPPPYVSIRNAKSSRDFYAGVNFAVAGAKALDPSFFDERGISESVTNFTLRVQLDWFRDLLPSLCGTKANCMQYLQSSLTVMGEIGGNDYNHALLQGRSIEEVKTFVPAVVGAISSAITDMIRLGAANFIVPGNLPLGCLAAYLTYFQNSNRDYDYDYDEAPGCINWLNDFAKHHNEVLQIELNRIRELHPHVTIIYADYYNSAIRFYRWPKEFGFTGGTLSACCGAGGPYNFDSSVGCGDPPTTGCADPSAYVCWDGLHLTEAANRLIVKGLFEGSYSAPPIKLICAKIPSGGGLSHQK >CDP08659 pep chromosome:AUK_PRJEB4211_v1:2:54055815:54058431:1 gene:GSCOC_T00027699001 transcript:CDP08659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g00950 [Source:Projected from Arabidopsis thaliana (AT4G00950) UniProtKB/Swiss-Prot;Acc:Q9M160] MEPDTSSAPKLPLFSMATVQSPEHSGMLTPPLYTSASVPFRWEEEPGKPRPCTALITLPTSTTTESPRCLDLPPRLFLEPSKITKTPSPTTVLEGPYVLGRPKFTSSSFRFFRERQGSFDSSSSKSPERGQLSSMVLGKKLHKGRGLFGSWRQKTPKFRSGKREAGGSCSFVHPSSVSVDGADTGSDDGNIPRVKIGRIRRNGSFSSLSQAKSQFWAAVYEGFKHVLPWKSRKSKKGVLDNLDVEEVPQSEERVLLWLCCSSYMQCSYSGLRRIKRTQTNQIIYLFMYYCRIPLLVLQVIIHNFP >CDO97453 pep chromosome:AUK_PRJEB4211_v1:2:19161980:19167453:1 gene:GSCOC_T00014800001 transcript:CDO97453 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIPILRESSFQGEEFPYVTLRKVRSGPPDITDTYSLLVLNITFRTNADDLCPLFDRYGKVVDIFIPRDRRTGESRGFAFVRYKYADEAQKAVDRLDGKLVDGREIAVQFAKYGPNAERIHKGRIVEKLPRSRFRSRSQSPRRRYRDDYHRGRDYRRRSRSKSWDSYESDRYRDDYHRGRDYRRRSRSRSCDSYESDKYRGRGRDYNYRSRGRDYHYRSRSRSPSPYDRSHRRGHYDDESPEYDRIRGRGRHDKRRMSSSISSESASPRRRSPSSQSDISSRRTSSPRNGTGALTHNDLYSTAQSLSPQGRAADPQSLSPLYLEKE >CDP07798 pep chromosome:AUK_PRJEB4211_v1:2:26607795:26608648:1 gene:GSCOC_T00025185001 transcript:CDP07798 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g23440 [Source:Projected from Arabidopsis thaliana (AT5G23440) UniProtKB/TrEMBL;Acc:Q9FHL4] MTTSSSFFSSSILNIPISKTNSLIIPSPKIPSLDNPFPRIKFPSRNCTALIKCSSDSSSTTVVDAAETSSNSVKSSNSDSKDSFDGVYDEKAAEAQGKFGARVRVKVPIKVYHVPKVPETDLNGRIGFLKQYVAVHKGKKISANLPYKVEFVEEHVEGKKGPVKFFAHLKEDEFEYLD >CDP08708 pep chromosome:AUK_PRJEB4211_v1:2:53657003:53658265:-1 gene:GSCOC_T00027770001 transcript:CDP08708 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRLCRTKNTGEIFALKKLRKLDMLRQGQVEHVRFERNLLVEVDKRIFFLKMLPDSTLLKVFLFLCIIHVRDLIFT >CDP00106 pep chromosome:AUK_PRJEB4211_v1:2:6190991:6194177:-1 gene:GSCOC_T00029901001 transcript:CDP00106 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNGTGVTGNPMIVEELKNARRFAVSLAREVDAKNQMLLEIRRQNDEASAALSRVVAQKQKLQQSYGQVMRKLHLNESEMAKMRCSLNLQLRNFGMQNTSLRQELASMQEELATLAKQDKKQSQDDLERINLLVEKDKLKNQEIEELSSKLAEKIDEVQDMEALNQALIVREHISNEELQDARKELISMDKVLGLKGWEKLMLIHSKLLAYVNSLGRNGR >CDO97069 pep chromosome:AUK_PRJEB4211_v1:2:16275996:16276121:1 gene:GSCOC_T00014297001 transcript:CDO97069 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRPGIKRGNITAAEDDLIIRLQSLLGNRWSLIAARLPG >CDP15222 pep chromosome:AUK_PRJEB4211_v1:2:49121174:49125668:1 gene:GSCOC_T00042853001 transcript:CDP15222 gene_biotype:protein_coding transcript_biotype:protein_coding MALKEVEGEGPKPALEINNLRFTYPGIDGHPPPGSTPLIQDFSLSLYPGDRCLLVGSNGAGKTTILKILGGKHLVEPDMVRVLGRSAFHDTALTVSGDLCYLGGEWRREVAFAGFEVSIQMDVSAEKMIVGVAGVNPQRREELIKVLGVDLSWRMHKVSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLMRFLKKECQERGATIIYATHIFDGLEDWPSYMVYVAHGKLQLAMPMDKVREISNLSLMRTVESWLRKERDEDRRRRKERKAKGLPEFENQVDGTRVTGDPARVASRALNNGWAGGRMHSTLAGEENFFLSSNRVLR >CDO99791 pep chromosome:AUK_PRJEB4211_v1:2:8856662:8858698:1 gene:GSCOC_T00029482001 transcript:CDO99791 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKGRKDFLPSLCDFLLFPSPNHRLNKSQVPSPKSKLLSASPASASPPRSTSPVGCVLPCWFIAAECGRRSTKISLVHRWLAVQKDPVTYEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDADLEMARHIVYVHQNKESPALGFTPLEPSVLRAYISAARKLSPSVPRELEEYIATAYSSIRQEEAKSNTPHSYTTVRTLCSGGSAHVLLSFEILWGILVSLGTFAAHLGTMIRGIRIIHIFCSCGHLPLVGNC >CDO96993 pep chromosome:AUK_PRJEB4211_v1:2:15580248:15583781:-1 gene:GSCOC_T00014199001 transcript:CDO96993 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSSPYCRQYLCPILAALSFLGLLPVYKASYHEYGEALSKCILFFEGQRSGFLPQDQRMSWRGHSGLGDGWMVKTDLTGGYYDAGDNVKFGFPMAFTTTMLAWSVIEFGGYMPPAELRNALVAIKWSTDYLLKTVSQPNRIFVQVGDPILDHNCWERPEDMDTARTVYTVDAPNPASDVAAETAAALAASSIAFRSSDSGYADKLLGTATRVFDFADTYRGAYSDNANIRDGVCPFYCDFDGYQASNIGTAWLRRASQGDSYLSYIQNNGKTLGADDNINEFGWDNKHAGLNVLVSKEVLEGSTYSLQSYKASADSFMCTLIPESSYSHIEYTPGGLIYKPGGSNLQHATTIAFLLLVYANYLEKSSQTVNCGSVSASPAMLRAIAKRQVDYILGDNPKGMSYMVGYSYVFPQRIHHRGSSLPSVKDHPQFIACTEGSIYFNSSNPNPNVLVGAVVGGPGEDDAYEDDRVAFRQSEPTTYINAPFVGALAYFVANPS >CDO97478 pep chromosome:AUK_PRJEB4211_v1:2:19341821:19348358:-1 gene:GSCOC_T00014830001 transcript:CDO97478 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSVVSAISASAFISFLLLFLRFLRVSGNAEGDALNALKTNLADPNNVLQSWDPTLVNPCTWFHVTCNSDNSVTRVDLGNANLSGQLVPQLGLLPNLQYLELYSNNISGRIPNELGNLTNLVSLDLYLNSLNGPIPDTLGKLQKLRFLRLNNNTLTGHIPMTLTTVQTLQVLDLSNNQLTGQIPVNGSFTLFTPISFQNNHLDPLPVSPPPPISPTPPSARGTNSATGAIAGGVAAGAALLFAAPAILLAWWRRRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMVNGSVASCLRERPESEPPLDWPIRKRISLGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLREKKLETLVDADLQGNYVEDEVEQLIQVALLCTQSSPTERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQDFNHTHHPNTDWIIADSTSNLRPDELSGPR >CDO96979 pep chromosome:AUK_PRJEB4211_v1:2:15472073:15476096:-1 gene:GSCOC_T00014181001 transcript:CDO96979 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSDEASSAMMQRLQSSFGTSSSSVPIQQQQQQQLLMSVNQIEVPQLNSSQFRGQMRQFSPSFSVDGSKRAGIPPSHPQMPRVSPYSQIPVTRPVNQQSGIQIFGNTSGPGPSHARSLSQPSFFSLDSLPPLSPSPCKESSPSSISEHHLSVDVSMEDQNANSQSSLLPPSPFTRGANSLRVGENLPPRKTHRRSNSDIPFGFSTIMQSSPPLVPLRSPGAAIPPRENSVAKPAQLVKRESFWERSSEANAEGMGERKSEGEVVDDLFSAYMNLDNIDALNSSGTDEKQGTENREDLDSRASGTRTNGGDSSDNEATSSVNESSNSMQRLGISSSSEKREGFKRNAGGDIAPASRHYRSVSMDSFMGKLNFGDESPKMPPSPGARAGQLSPSNSLDANSNTFSLEFGNGEFSGAELKKIMANEKLAEIALSDPKRAKRILANRQSAARSKERKMRYIAELEHKVQTLQTEATTLSAQLTLLQRDSAGLTSQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKLATAELNGDPSKYQQQLAMSSQMFQLHHQQAAQLNIHQLQQQQSQSPQQQQSGSTPAKHETNQ >CDP05144 pep chromosome:AUK_PRJEB4211_v1:2:1959581:1962637:1 gene:GSCOC_T00020092001 transcript:CDP05144 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIAVAAGAQTVCFRVNSFLTRKPTSLVADSLTLSPLAQQFSTTRRHRRKPRLTVCFVLEDEELKAQLVTSEEEAREREKAMAKRISDARTAEKLARKRSERFTYLVAAVMSSFGITSMAVLAVYYRFVWQMEGGEVPYSEMFGTFALSVGAAVGMEFWARWAHKALWHASLWHMHESHHRPREGPFELNDVFAIINAVPAIALLSYGFFHKGLIPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIANVPYFRRVAAAHQLHHSDKFNGVPFGLFLGPKELEKVGGLEELEKEINRRIKLRKGS >CDP04967 pep chromosome:AUK_PRJEB4211_v1:2:473183:474540:-1 gene:GSCOC_T00019846001 transcript:CDP04967 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVLPLLLLVVSFLSSCFASTFTVANYCPFTIWPGTLAGSGTPQLPTTGFQLQSGQSLRIPAVPGWSGRIWGRTGCTFDASGVGSCQTGDCGGRLECDGMGATPPASLFEITLGAGDDKDFYDVSIVDGYNLPLIAVPQGVLNGKCNATGCVSNINMGCPKELQVVGGEDGEGNGSGDVVACKSACEAFGSDQYCCSGEFANPSTCRPSFYSTIFKRACPRAYSYAFDDATSTFTCKAYDYAIIFCPVNGMKQPSIGALTSPPIQRSRNGRVVGMVASSSTVLRRPFSALLLLIITWYV >CDP19645 pep chromosome:AUK_PRJEB4211_v1:2:5659010:5663566:1 gene:GSCOC_T00009485001 transcript:CDP19645 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKPQLPPHVLIFPLPLQGPVNSMLKLAELLCLAGLHITFVVTEHMHGRLLRYANIQSRFECYPGFQLKTIPDGLPEDDPRSRGKFAVLLDSLKSKGKLIFKDMLTSGCLSHQKRRPVSFIIADGCLGFTCDAANEVGIPIVYVRTVSPCCLWVCFCLPRLIEAGEIPFNGDDLDTLIKGVPGMESFLRRRDLPSFCRSGDPDDESMRLYKTEGQENSRAYGLIVNTFEDLDGPILSHMRTVCPNIYTIGPLHAHHKNKLAEQAASLPPTSNSLWSVDRSAA >CDP15851 pep chromosome:AUK_PRJEB4211_v1:2:45010186:45031909:-1 gene:GSCOC_T00016741001 transcript:CDP15851 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTKEYRIKLNLANSRIQLCLVVVLVVLASLTVPSDCFRHDDHYPKTIAALFVFGDSLIDPGNNNYINTSTRFQANFPPYGESFFKYPSGRFCDGRVIPDFIAEYAKLPFIPPYLQIGYQYQLAYGANFASAGAGALVETYPGSVVDLETQLWHFNEAEKLLISNIGRRGAERIVSNSVYLFSIGANDYFSDSTKSNIFKSFTPEDYVAMVVGNITAALEEIYKKGGRKFGVVNMPPLGCAPVYRAADLAAGGTGECNGQVTALAKLHNVLLSKRLEHLQKQLKSFRYSYFDFFAVFVDLFDNPSKYGFKEVKSACCGSGPFRGTNSCGGRWGIKEYELCGNPQDYSFFDSVHPTQAANQQFAELIWAGPSNVTGPYNLKSLFQLSL >CDP04995 pep chromosome:AUK_PRJEB4211_v1:2:733944:740168:1 gene:GSCOC_T00019890001 transcript:CDP04995 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNGGVDDDDDDDDDGGSDDGGEGFKREIRDLEEMLSKLNPMAEEFVPPSLAAVNHHHRLLPPAAGHFGFNANNFLIQTNSGIPTANSARRKKNNYSHGKRRMNSRTSMAQREDVIRRTVYVSDIDHQVTEEQLAALFLSCGQVVDCRVCGDPNSVLRFAFVEFTDEEGARNALSLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQAEVKLFFESICGEVHRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGAVLGSLPIRVSPSKTPVRPRAPRPPML >CDP08751 pep chromosome:AUK_PRJEB4211_v1:2:53319747:53320396:1 gene:GSCOC_T00027837001 transcript:CDP08751 gene_biotype:protein_coding transcript_biotype:protein_coding MANTACFMIVSKNDIPIYEAEVGAAPRKEDAAHQHQFILHAALDIVQDLAWTTSAMFLKGIDRFNDLVVSVYVTAGHILQFVFIFCHLSSSFSGFNIWNRIRC >CDP09429 pep chromosome:AUK_PRJEB4211_v1:2:22517869:22522553:-1 gene:GSCOC_T00028787001 transcript:CDP09429 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEEEVAAELEAVEAVYSQDSYILRNYPPHLHIQVKPRTADVSSQQFVEAIIGIQATSKYPDEPPIISIVDSKGLDDVRQNQLIASIRDKAIELSSCLMLVALCEEAVEKLSSMNHPDGDCPLCLNPLLDEKDGNDSLPFMKLMSCFHCFHCECIIRWWNWLQAQKELDHANASCSVTSIKDMEDQEGMCEITEERMGECPVCRKVFLAKDIEHVLDLVGTDYHLSSSGTESVDEDNLLQSYSEKSRRQKYEAILKLQKENDGLIEPKKHEVLLPGMFLPRSAPPSSISSEKETDGQQSEDGVVGSGATPSVPSNRPSTSKHRNFSGRKHSHRGRSSGKHARRWIQKENGAAN >CDO99648 pep chromosome:AUK_PRJEB4211_v1:2:10332247:10338100:-1 gene:GSCOC_T00029303001 transcript:CDO99648 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAPAPSAIIPQELYPADEDLAYEEELLRNPFSLKLWWRYLIARSEAPFRKRAIIYERALKALPGSYKLWHAYLRERLELVRNLPITHSQYQSLNNTFERALVTMHKMPRIWIMYLESLTNQKLVTKTRRAFDRALCALPVTQHDRIWAPYLFFVSQKGIPIETSLRVYRRYLKYDPGHIEDFIEFLLNSELWQEAAERLAGVLNDDQFYSIKGKTKHRLWLELCDLLTQHALDISGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRKLVEKARDIFEEGMTTVVTVRDFSVIFDAYSQFEESMLALKMEEMSGSELDDEEDSNETMGGEEEEEEDDRLDIRKLERKLKMFWLNDDKDVDLRLARLEHLMDRRPELANSVLLRQNPHNVEQWHRRVKLFEGNPTKQILTYTEAVRTVDPMKAVGKPHTLWVAFAKLYETHKDIANARVIFDKAVQVNYKNVDHLASVWCEWAEMELRHKNFKGALDLMRRATAEPSVEVKRRVAADGNEPVQMKIHKSLRLWTFYVDLEESLGTLESTRAVYERILDLRIATPQIIINYAMLLEDHKYFEDAFKVYERGVKIFKYPHAKDIWVTYLSKFVKRYGKSKLERARELFEHAVEVAPAEVVKPLYLQYAKLEEDCGLAKRAMRVYDQATKAVPAAEKLSMYEIYIARAAEIFGVPKTREIYEQAIESGLPDKDVKVMCLRYAELEKSLGEVDRARALYKHASQFADPRSDPDFWSKWHEFEVQHGNEDTFREMLRVKRSVSASYSQTHFILPEYLMQKDQMQTLEEAKDVLKKAGVADDQMAALEKKLLPSANDAVTKDSNRVLGFVSAGVQSVGDGAPKDTENKEDIELPEESDSEDDDKVEIAQKDVPNAVFGGLIRKREETDNPENGDDAAAGKDEDEGHLGALARFKRSRNA >CDP08845 pep chromosome:AUK_PRJEB4211_v1:2:52543182:52544245:-1 gene:GSCOC_T00027955001 transcript:CDP08845 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGTLDKCKACDKTVYFVDLLSADGATFHRSCFKCSHCKGTLVMSNYSSMDGVLYCKPHFEQLFKESGNFSKNFNTGKHERENSLTRAPSKLSALFSGTQDKCAACSKTVYPLEKVTMEGESYHKSCFKCAHGGCPLTHSSYAALDGILYCKHHFAQLFMEKGNYQHVLKAATHKKSAVAPVDPEAGEEAKEEGAEAQAAEAEQTQEQS >CDO99752 pep chromosome:AUK_PRJEB4211_v1:2:9186839:9192075:1 gene:GSCOC_T00029436001 transcript:CDO99752 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWRKVKLALGMNLCVYSPRTGNDDDSSPTSEILSNAALLSPSTDGSLHNTSPSPSPTSGSQGHGFRLSKSLSRSSKKTCSICLASMNRGDGHAIFTAECSHSFHFQCIASNVKHGNQICPVCRAKWNEIPLQYPNLDPPPGRARVNPVDWPQHNALMTVVRRLPPPRPNPNRINAPPFPAPEPAIFNDDESLGHQSDSSERSSSGKNVAENDGQRTMKIKTYTEVPAVLRFNVADNFTILINLKAPAPNSSENMSRNQASSPQVSQTSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPNDRLAVIAFSSTARRLFPLRRMSETGRQQALQAVNSLVANGGTNIAEGLRKGAKVMEDRREKNPVASIILLSDGQDTYTVSNMGSSQQQPNYQLLLPLSIHNESSSNFKIPVHAFGFGADHDASSMHSISEISGGTFSFIETEGVIQDAFAQCIGGLLSVVVKDLQVNIECVDPRVSLGSLKAGSYPNRVMSDGCMGTIDVGDLYADEERDFLVSVNVPTEISSSETALIRVKCVYNDPLTKGSVTVGSDEVRIRRPDEAGQQSASVEVDRQQNRLRAAEAMLLARTTAEKGDLSGAASILENCRKVLSETVSAKSHDRLCIALDAELKEMQERLASRHVYEASGRAYILSGLSSHSWQRATARGDSTDGSSLVQAYQTPSMTEMLNRSQASLLGSSSAQRLIRPVLSFASHPKPR >CDP06831 pep chromosome:AUK_PRJEB4211_v1:2:38111491:38111733:-1 gene:GSCOC_T00023839001 transcript:CDP06831 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKPTIVTNPEGQRTMPSVVAYTKNGDRLVGQIAKRQVVVNLENKFFSVKRFVGRKMSEMDEESKQVSYKAVRDENNN >CDO99518 pep chromosome:AUK_PRJEB4211_v1:2:11738342:11741416:-1 gene:GSCOC_T00029128001 transcript:CDO99518 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNCEKMVVISSSTSNEWPLQPQNQMIDEKGLMDQSTAAKMMEKPSQDQQQQQQPPLKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPASTNEATSTSAQTATSNPSHVVPPGQIDLSCSSAGNHINPLFYSLLTNNHHPSELNFPYPARFNTSNGYDLLQPQMNGLGLGFSSGPLASDVNGSDYRNGINSISSNKQIQDVGVIPSNSLLSSYSNSIFGSTSTSTTSTMASLIASTLQQQKMIFVVKDVKMEEGQHRLDWSVASNQNHIDQINSSDPSLLWNATGVGAWLDPSNVGSSVPSLI >CDO96902 pep chromosome:AUK_PRJEB4211_v1:2:14816853:14820293:1 gene:GSCOC_T00014081001 transcript:CDO96902 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSENQQEKHAYSVWGLPPEDLRPRLKKLMESLRSEFNGPEFEPHVTVVGAISLTENEAREKFNKACQGLKAYKAKVEKVATGTFFYQCVFLLLEPSPEVVETSYHCCGHFGYLRSTPYMPHLSLLYAATSEEEKKAAQERACALDETIGDLEFEISRLALYKTDTEDKSLKSWEKVAEYHLISN >CDP05235 pep chromosome:AUK_PRJEB4211_v1:2:2624687:2627753:1 gene:GSCOC_T00020206001 transcript:CDP05235 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDIESGAGKNRGGGANYAIHAEERDTQWISWMIPVFIVANIAVFVMEMYVNNCPKHIGPGNKCVARFLGRFSFQPLSENPLLGPSASTLEKMGGLQWTKIVHQHQGWRLISSIWLHAGIIHLVSNVLCIALVGIRLEQQCGFVRIGAIYLLSGFGGSILSSLFIQNSISVGASGALFGLLGAMLSELITNWNIYTHKVAALLTILVIVIINLAVGILPHVDNFAHIGGFLTGFLLGFVLLPRPQLGWVGRHNLPADLRVKSKYKAYQYVLWLVSLVLLIAGLTVGLVMLFRGKNGYEHCHWCRYLTCVPTSKWKCDGE >CDO99971 pep chromosome:AUK_PRJEB4211_v1:2:7346184:7350232:-1 gene:GSCOC_T00029707001 transcript:CDO99971 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEAAANYYSKDFEWNHLRLEIETNPSFLYHLLPFIDDTNASTSASTADANSDSEAWNKFHTRHSTGKFFKERRYLLKEFPELASCRDYAKVLEVGCGNGSTALPILRAKENIVVYACDCSNEALDRAKENIAAANLISAEHRYHPFLCDISTSGFPEWLACSSSQERFCKSSMVDFCEVSCSEESSCCIGGVDLVTLIFTLSALPLRMMPTAIQECFSVLKPGGMLLFRDYGLYDMTMLRFDPKQRVGYREYRRSDGTRSYFFSLESTRDLFSSAGFTELELEYCCVKSTNRRNGKLMRRVWVHGKFQRPKGS >CDO96723 pep chromosome:AUK_PRJEB4211_v1:2:12404940:12408020:1 gene:GSCOC_T00013834001 transcript:CDO96723 gene_biotype:protein_coding transcript_biotype:protein_coding MISSMGKTSIFSLLLLLLFLSGTIFIFQAKAETLNNAGKNSVRAIFVFGDSTADPGNNNYISTAFKSDFPPYGRDFSNQIPTGRFTNGRLANDYIAKYLGIKDLVPPYLDPNLKIEELVSGVSFASAGSGFDPLTPRIGNVISLSKQLEYFKEYQLKVAAAIGQEKTGELIRNAMFLVSAGTNDFVVNYFTMPIRRKSYTISAYTDFCLQNVQHFLQDLWAVGARRIGVVGLPPMGCLPVVITIYADHPLTSRGCVDSMSSVAQDYNRKLQNQLNATQIRLAANGSRIAYLDVYGPLAEITSQGRKYDFDEVSRGCCGTGWLETSFMCNPRSFVCPDASKYVFWDSIHPTEKSYGLVFQARRPTIDYIVSD >CDP17811 pep chromosome:AUK_PRJEB4211_v1:2:27003215:27013010:-1 gene:GSCOC_T00009421001 transcript:CDP17811 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLQGKIDPKTFGDRASRGKPRELEDQLQKSKKKKEREPLASEPNPGRQSKKRRLLQEESVLTTTDEGVYQPKTKETRAAYEALLSAIQQQLGGQPLNIVSGAADEILAVLKNENLKNPDKKKEIEKLLNPIPSQVFDNLVSIGRLITDYQDAGDAAGAAAANGDEGLDDDVGVAVEFEENEEEDDDSDYDLVQEDEEDEDDGLDANAGAMQMGGIDDDEMQDANEGMTLNVQDIDAYWLQRKISQAYDQQIDPQQSQKLAEEVLKILAEGDDREVETKLLLHLQFDKFSLVKYMLRNRLKIVWCTRLARAEDQEDRKKIEEEMMAQGPDHAAILEQLYATRATAKERQKNLEKSIREEARRLKDETGGDGQRERRGQGDRDADGGWLSGQRQLIDLDSLAFNQGGLLMANKRCELPEGSFRNQKKGYEEVHVPALKPKPLGPGEELVKISSIPDWAQPAFKGMTQLNRVQSKVYETALFGADNILLCAPTGAGKTNVAMLTILQQIALNRNEDGSFNHDNYKIVYVAPMKALVAEVVGNLSNRLQDYDVKVKELSGDQTLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVALFLRVDVKKGLFHFDNSYRPVPLAQQYVGISVKKPLQRFQLMNDVCYEKVISVAGKHQVLIFVHSRKETAKTARAIRDAALANDTLGKFLKEDSASREILQSHTELVKSNDLKDLLPYGFAIHHAGMVRADRQIVEDLFSDGHAQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQFDTYGEGIIITGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGSVQNAKEACLWLGYTYLYVRMVRNPTVYSLAADALASDNMLQERRADLVHSAATLLDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLERVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFITQSAGRLMRALFEIVLKRGWAQLTEKALKWCKMINKRIWSVQTPLRQFHGIPNEILMKLEKKDLAWERYYDLSSQEIGELIRFPKMGRTLHKLIHQFPKLNLAAHVQPITRSVLRVELTITPDFQWDDKVHGFVEPFWVIVEDNDGEYILHNEYFLLKKQYIDEDHTLDFTVSIYEPLPPQYFIRVVSDRWLGSQTILPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYHEFKHFNPVQTQVFTILYNSDDNVLVAAPTGSGKTICAEFAILRNHQKGPESVMRAVYIAPIEALAKERYNDWKRKFGDGLGMKVGGPILEVIVSRMRYVASQLENKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGIDIANFEARMQAMTKPTYTAIVQHAKNGKPAIVFVPTRKHARLTAVDLMTYASVDADKIMFLLQSAGDLEPFIDRIKEPMLKETLRYGVGYLHEGLTGTDQDIVKTLFETGWVQVCVMSSSMCWGVALSAHLVVIMGTQHYDGRESAHSDYPVTDLLQMMGHASRPLVDNSGKCVIFCHAPRKEYYKKFLYEAFPVESHLHHYLHDNLNAEVVAEVIQNKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSELVENTISDLEASKCILVEEDFLLSPLNLGMIASYYYISYTTIERFSSSLNSKTKLKGLLDILASASEYEQLPIRPGEEELIRRLINHQRFSFDNPKCTDPHVKANALLQAHFARQLLGGNLASDQREVLIFASRLLQAMVDVISSSGWLSLALLAMEVSQMVTQGMWERDSMLLQLPHFTKELAKKCQENPGKSIETVFDLVEMEDDERRELLQMSDLQLMDIARFCNRFPNIDLAYDVPESDNVRAGENISVHVTLERDLEGRIEVGPVDAPRYPKVKEEGWWLVVGDTKTNQLLAIKRVTLQRKSKVRLDFDASAEAGKKTYTLYFMSDSYLGSEESDHLILSCRQLDVFLLILLKS >CDP05295 pep chromosome:AUK_PRJEB4211_v1:2:3086642:3091739:1 gene:GSCOC_T00020290001 transcript:CDP05295 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPADPLMQLETTCGTLLYELQIIWDEVGESDTERDKMLLELERECLEVYRRKVDQANKCRAQLRQAIADSEAELAAICSAMGERPVHIRQSDQNPGSLKAELRAIVPQLDEMRKRKCERKNQFLEILEQIQKIKCEIYRSTGYPPANTVLDETDLSLRKLEELQTELQALQMEKSERLKKVLDRLNTLNSLCVVLGMDFKETVGEVHPSLGESEGTKNISNDTIEQLAAAIQRLREIKIQRMQRLQDLATSLLELWNLMDTPVEEQQIFQSVTANIAASEDETTEPNMLSVDFIKYVEAEVSRLEELKASKMKELVLKKRFELEDICRKTHIIPESDSSLDVAIEAIELGAVDASSVLEQIELQISNIKEEAFCRKEILEKVEKWMAACEEECWLEEYNRDDNRYNAGRGAHLTLKRAEKARALVNKLPAMVEALASKITAWENERGTEFSYDGIRLLSMLEEYTILRQEKELERKRQRDQKKLQGQLITEQEALYGSKPSPMKCQSGKKGSRLSCGGGASNRRLSLGGTTLQTPKADLLHPTRATPNTRQAKKIERLHQNDHSNLLKDDGIASLSAGRRGLDIAGLPVKKSSFGESPMVRKPFSPISSTDSSKSNATNILEDLSRKHNDMLQKTLSSNNASFTTPSKIISAVEEENRTPKAKTIPVPATPATVSVPMQTAVTPALFAAPPCNSKPVDDIPEEIEYSFEERRAGFVLPNSSLKTTILV >CDP14062 pep chromosome:AUK_PRJEB4211_v1:2:5495669:5501421:1 gene:GSCOC_T00039247001 transcript:CDP14062 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRNAARMNISACDTVYGLVIPYLTDSRDRDAVSLVCRRWYEIDAITRKHVTMALCYTATPDQLSRRFPHLESLKLKGKPRAAMFNLIPEDWGGYVTPWVREIARSFPKMKSLHFRRMIVTDADLELLATTRGRVLEALKLDKCSGFTTHGLLHIARYCRNLKTLFLEESTIIKEHDGQWLHEIALNHTGLEHLNFYMTDLDKVEFQDLELIARRCPLVCLKISDCDVLDLVGFFRAAVTLEEFAGGSFNAQPELNGDGDSNDQLGRYSAVTFPQRLCQLGLTYLGNGEMPIVFPIAARLRKLDLLYAFLDTEGHCILLQRCPKLEILETRNVIGDRGLEVLAHYCKRLKRLRIERGADEQEMEDEEGIVSQRGLIVLAQGCTELEYLAVYVSDITNEALECMGRYLRNLCDFRLVLLDGEEKITDLPLDNGVRSLLIGCSRLKRFALYLRAGGLTDVGLGYIGQYSPNVRWMLLGCVGESDAGLLSFSRGCPCLQKLEMRGCCFSEQALALAVLQLNSLRYLWVQGYRASSVNGQDLLAMVRHYWNIELIPARGVLVKNPNGEDVVHDHPAHILAYYSLAGQRTDFPETVVPLEPAAFLAI >CDP07697 pep chromosome:AUK_PRJEB4211_v1:2:24874681:24876198:1 gene:GSCOC_T00025037001 transcript:CDP07697 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFDFFYHSISPKNISTLNRKSFPQDFIFGAASAASQYERAAFEDGREPSIWDKIANHCNGDVADDFYHRYKGDIKLMKFLGSNGFRFPSHGQGLYLMGSQVKCGGGFLSPRIVDDFVDFAELRFKEFGDGVKHWPTIN >CDO99534 pep chromosome:AUK_PRJEB4211_v1:2:11552111:11554387:-1 gene:GSCOC_T00029158001 transcript:CDO99534 gene_biotype:protein_coding transcript_biotype:protein_coding MARISRNHRILTWDNREIEHSGDPAIHIPDTVFRFLDEESEGSLVGSFSDNGEYEIQDDDNNEEKDVPANDRDKSFWESQNQLLQGTLCRTSSIESKIRTITKEAVKEVQQSGNLICNCGRATNADGCKNCLMKEVCRRLQSAGFNSAICKSKWRSSPDIPSALTLFCR >CDP17162 pep chromosome:AUK_PRJEB4211_v1:2:50435071:50436236:1 gene:GSCOC_T00006330001 transcript:CDP17162 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCKSIKLLRTSAVCESKLVQNRDRCLILLEMLDNSASSAITKSCISPATTRSTTILLLTLNSQPYRLSNLTWKIKPSSTMESKTALPRISIFSSFSGSKIPSATHI >CDP09441 pep chromosome:AUK_PRJEB4211_v1:2:22324162:22326352:-1 gene:GSCOC_T00028811001 transcript:CDP09441 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNALQTNVNLLTKLITTFATVVPASGIHHARRIFDFCHRKNDTFLCNTMIKSHLAARQYSEATRLYTYLLKNEAFKPDNYTFSSLAKCCGLNLAVWEGLGIHNHAVKSGFVSNLYVGTSLVDMYGKFGEMGFARKMFDEMTERSSVSWTALIDGYVKNGDMAAALGLFYFMPEKDVAAYNVMIDAYVKMREMGLARSLFETMPERNVVSWTSMIDGYCSIGDVDEARLFFDAMPERNLFSWNAMIGGYCQNKQPHEALRLFHALQLERMIEPDDVTLVSILPAIADLGALELGSWVYHFARRKKLDRSSNLCTALVDMYAKCGEIEKARGVFDAMQLKETSIWNALINGLAVNGRAEEALEVFLEMKGKGFKPNDVTMLGVLSACNHGGLVKEGRRWFKAMEGFGLTPRVEHYGCLVDLLGRAGCLDEAESLIDRMPYEANGIILSSFLFACYYAKDISRAERVIRKAIDMEPWNDGNYIMLRNLYASERRWNDVEEIKGLMSKKGAKKEVGCSVIEINGKVCEFVAGDKLHPESAVIHLAIEHLQLHMKAESTYWF >CDP08821 pep chromosome:AUK_PRJEB4211_v1:2:52712784:52714597:-1 gene:GSCOC_T00027928001 transcript:CDP08821 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQPNTGLFVGLNRGHVVTKKELAPRPSDRKGKTSKRVHFVRSLIREVAGLAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVVRKMRAAGGGEKKK >CDP08579 pep chromosome:AUK_PRJEB4211_v1:2:40177489:40178578:-1 gene:GSCOC_T00027567001 transcript:CDP08579 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTKPSFTFNLPTTSSLTPPSNNHKPNPQLKIPPLFFKSHSISSLHSTRKSYFNTPAVKSIDVSKEDKPTSSLAEETAKNLSETITEPSQEEAPNFDKRRLEEKFAVLNTGIHECRSCGYRYDETVGDPSYPIPPGLPFDKLPDDWRCPTCGAAKGFFESKSVEVAGFAQNQQFGFGGNSLTSGQKALLIYGGLALGFLFFLSGYLLQ >CDP05104 pep chromosome:AUK_PRJEB4211_v1:2:1583279:1585980:-1 gene:GSCOC_T00020040001 transcript:CDP05104 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFQSNRRLEKDQPIYDDDNDDTASPETRDNGQSNGGIQNNTLFSKDYVNVRSRSYLMKILSKQGDSKVLFADKVLKFTGSGKMKHRILLITDFAIYVVDPDCDALKRRIALAAVEKLSLSELGDNFFAIIIPTEYDILMASTRKAEIVNALVEATKSASDYELDVLHSNRFEYNAAADLVKVVQFEEVEGGVKTRIGRK >CDP09498 pep chromosome:AUK_PRJEB4211_v1:2:21492883:21496417:1 gene:GSCOC_T00028884001 transcript:CDP09498 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPRVASTSVDSMNAAAAVTNAITRLPFPPPIGYPFAQNENPRRVIESLRFPSTSSSAVVKTVSLSLEQEFRPNALRKKQDSSSRCGFSLGVDLGLSRTGLAISKGFVIRPLTVLELRGQKLELRLLDIAQNQEVDEFIIGLPISIDGRETPQSNKVRSVAGRLAVRAAERGLRVYLQDEYGTSTEALDRMIDMGLSKSGRRGRIDAYAAAMVLEKYFSESGGGVELVLPKQLDLQNKLRGCAARNVESF >CDP05024 pep chromosome:AUK_PRJEB4211_v1:2:947579:953441:-1 gene:GSCOC_T00019929001 transcript:CDP05024 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPNNYDVYGKRIAEEAPSETAVAYNRCNASGNRIAEAPSETAVAYNKYNASGKGIAEATPVAAAKRRRADFDDPSILSFSSFYARGDGRGETRVIVDTDPIGASYDFYLQCSKMSPHIGGTSAPSVDGGFKDHHVDLSVTGSNPARLSFMGSNPAQLSVMGANPARRKDSQSMTLQGGIPTNPVPSDASRTLFVLGLPSDCRRREVAHLFRCFEGYQELRLIRKEPKYHGGDPSVLCFVDFVSRAHAAVVKDALQGYKFDELDPLSVHLILQFARHPDARLAGGYR >CDP05283 pep chromosome:AUK_PRJEB4211_v1:2:2981302:2990970:1 gene:GSCOC_T00020270001 transcript:CDP05283 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 5 [Source:Projected from Arabidopsis thaliana (AT5G51710) UniProtKB/Swiss-Prot;Acc:Q8VYR9] MGRVCGGLASIWFLMLIAFCARISLSARSEQEIRQRFYGSLVNSSAPDSGDGSIAQMFDRVLEREFSSDNDQPEGSSASSFNSSVADEQAVLETVVKITHEKTKKNDTQEANATISSKIQDMLNLENDGSDDTTLIDNDHNRYVMSNKKSKYPVLQVDLRLISDLVVVVVSAAIGGIIFSCLGQPVIVGYLLAGSLIGPGGLQFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVFGGLLQIVILMFLCGSTAMLCGAKLSEGIFVGCFLSMSSTAVVVKFLVERNSNNLLHGQVTIGTLIFQDCAVGLLFALLPVLGGNSGILHGMFSMGKLLVTLSVYLACASLLTWSFVPRFLKLMIQISSQTSELYQLAAVAFCLLSAWCSDKLGLSLELGSFAAGVMISTTDFAQHTLDQVEPIRNLFAALFLSSIGMLINVHFLWTHVDILLASVILVIVVKTTVAAAVTKTFGYNLRTSVVVGLLLAQIGEFAFVLLSRASNLHLVEGRMYLLLLGTTAFSLVTTPVLFKLIPAVMHLGVLMHWFPSESSGQTEEKISVIEAHNRLL >CDO97219 pep chromosome:AUK_PRJEB4211_v1:2:17381995:17385003:1 gene:GSCOC_T00014487001 transcript:CDO97219 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLVPAARSGTAPLAPPHSGVYSNPSLPHLLRLSHQSSLHLAPLSLSFSKLLKTHQPLFSPQLSAFDNRLFKTLASGTVSTHYPAPNDDAEKAKLAQVAKRLESTSRYFKRLGGLGFWGQLVCTLVAAVILSFSVVITGKITSPATFYATAGGIAAAFISVFWSFGYIRLSEKLRKTANDPSKAPPRADVVKSLKNGIVVNLLGMGAAILGMQATVGLLVAKALTTSANPYYQGVAPGSSPVLALDVFLVQASANTILSHFLGLVFSLELLRSVTLPPSESIPVFKAA >CDP09359 pep chromosome:AUK_PRJEB4211_v1:2:23266389:23274060:1 gene:GSCOC_T00028691001 transcript:CDP09359 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFPGGLVAQPNPRFPFSSPTNRFLIRCNVAEPLKFKDNGKPVMPLANGEQTASFPSFLTSTHIERIPVQKSDTRLKIFSGTANPLLAQEIACYMGLELGKIKIKRFADGEIYVQLQDSVRGCDVYLVQPTCPPANENLMELLIMIDACRRASAKAITAVIPYFGYARADRKSQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPIDHVHGQPVILDYLASKIICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQGHNVAEVLNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACCTHAVFSPPAIERLSNGLFQEVIITNTIPLAEQNYFPQLTVLSVANLLGETVWRVHHDCSGSFEPYSSLGID >CDP00059 pep chromosome:AUK_PRJEB4211_v1:2:6512126:6522387:1 gene:GSCOC_T00029836001 transcript:CDP00059 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSPASLLEIMDLSLYESRDFHATASASHLAGCDTAQADWPDSAEASSVDKVIQDAEREQHDPAIKSASMVGLSSRASQQSDHLKSSEKNRGPFVPFVISFSDDESGSDSDDSRRNTLATKDQTQRVDRSGRPPVSSLQRSQKLLQNKTKKARLVNREAFPSRPFVNGATSWNCRQMPSSRSFSTAQKGVASDHGSLQKSIVHVNTNKRQLQDLRQLIAIRESQLKLKSTQQTKNSVGGSGSDGKFKNPGNPGNRVRKDSGFDLRGEFNKADKKRLKTGEPQCSQLDLDNNLHSLQPILTSGKSRVDNSGKESVDDHDHRSKKLSLGTSLSGVQKQSEARDSLSLENPLNTAIAGNSTVAINIQCARNPKQGDPVIWLKQSGQIGKRATGDFPNRSNNVELDPEEYARHDVQVSIQNNVTSGTNLTERCDSDQAKSSDQVSKLKSDDKVQASSLYLVDGNLQKASLSNPSLLNGLDKLNMMGNNMDLQSLLEYEELQDKEIEDAQEHRRRCEIEERNALMAYRKAQRALIEANARCSQLYSKRELYSAQLRSLMMENPNLNLVSDPCSKREGSPSEGHKQPVSNGVCSPSGDLSQQPPFDSSQDCFLLEASLRSQLFEKLKTKKLPKKGTTQGTEDLVERNDENDDSRQMMETDTADVPLSEAENGKHSDYEDYSKEERCPELPVQINNQFDIPHSEHASSSQDVCMGSCISLGSQEFKTSGTFLLPSMKSAFSALKFIELCSVLESNNASTGMLISDVDEENEDNWVTCKSKPSISNLDLPETSIDLFVGQSGYYSCNLAIDPFWPLCMYELRGRCNNSECSWQHVRDYCCDNMKHDSTDYSVVQVRRQSPREQFDGAMVRRKSLNHVDLAAPTYVVSLDILKPDSQSFIPTSSQGYGQCWGKCFSAFLVLSSLCPMVSQSNEPFLHGTQARIEVHCSWNRQTSYFNNRNGTLGQIDQCVVDADQSLEIALLNFNQEADKYKARMQALKVLAQAIEDNPTSAVLWIVYLQIFYSNQKAIVKDDLFRYAVEYNKESYELWLLYINSRVQLDDRLAAYDIALLALSHHTSTSDGDAMRASHCTLDIFLQMMNFLCMSGSAGMALEKISGLFLSSKKSDNNLQLSLPDIVTCLTICDKFIFWICCVYILLYKKLPDAVVQKFECRKECSAIEWPSVSLRSDEKQQAASLLELAVDSLALYMDHESLENETTLRAAHLFALNHVRCVSVLEGLECSRNLLGKYIKLYPSCLELVLMSARAEYDLGGSNFNGFEEALRNWPDEVPGIHCIWNQYVGCVFQSGKFDFVKDLMDQWFHSVLEARYSDYGVLQAKDEKSDSSLMSISVSDLHAWFLSCGQNDTVFGMLNLSLYKLLQNNQSEAQAALDLALKAAAADNYQHCLRELVPFLLIGSIRDKGVVHLKGILNILNVHLVDVRASLGAEPLSRDFIQKIKKPVARQLVSKLLSPASADFSLMSLVLEVWYGLTLLPRVCDKVTDLVDFVEALMEILPSNYLLAFSVCKKLSSNATKCSASLSFWASSLLVNALFHAVPIAPEYAWVEAADVLHDLTDIKCIQESFHKKAVSVYPFSIKLWKSYLRLCETEGNVGSVKKAAKEKGIELD >CDP05063 pep chromosome:AUK_PRJEB4211_v1:2:1239334:1241337:-1 gene:GSCOC_T00019983001 transcript:CDP05063 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKPSFLLQLKSLASRAALHCHCNYPKPPPSFLSLRFLSFATPEEAAADRRKRKRRLRIEPPLSSLRHQPPAPQPPRPSSPAANQNPNAPKLPEPVSALSGNRLNLHNRILKLIRENDLEEAALYTRHSIYSNCKPTIYTCNAVMAAQLRQARYADLLSLHRFITQAGVAANIVTYNLLFSVYMDCRKTDTAMEHYKQLINDAPFNPSPTTYRILVKGLVDNSKLERAMELKDEMLSKGLDPDPIVYGYLMSGHARDSNADGVFNVYEELKEKLGGSVSDGVIYGSLMKGYFLRGMENEAMECYEKAVGKDSNVKMSALAFNSVLDALSKNGKFDEVLKLFDRMLNEHDPPKRLTVNLGSFNVIVDGYCADGKFKEAVDVFNSMGEKRCWPDTLSYNNLIDQLCKNNMLAEAEELYNGMKEKGVNPDEYTFVTLMDTCFEENRPDDAAAYYRTMVESKLRPNLGVYNKLVDGLVKVGKIDDAKSFFEMMVSKLRMNDDSYKFIMNALFEVGKHNEVLEIIGKMLKEDPTDFSSELEEFVREALGKEGRETELTKLKDDVEREKAEAAAREAEAAAKAKASARAAVSSLIPSKLFGNKQAKEESATGIEIAPDSVSGQLKAMQDQNVGETPPELSSVDGTVEKESREGANLEAAETKSVAAEQAAA >CDP09464 pep chromosome:AUK_PRJEB4211_v1:2:22038487:22044963:1 gene:GSCOC_T00028841001 transcript:CDP09464 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNQRAAESSGASFMASGDALRNDRLFPQLFTSVPSLNDAASYLAETTSLFTSCFSDFSVDRAPDDPGGREMVTLVSGETRGHLDSNYVSSRGSTLSRVESSYAAQGAPSAHDEIATGLIGDSSQNSSVLVNTANSSQSSIPMFQGLIERVRRTVRGSADDIGWLQRASDLPPVEDGNERFVEILDDIRHGLRRLPNTVVYLLVPGLFSNHSPLYFVGTKTRFSKMGLTCHIAKIHSEASVEKNAKEIKDYIEEIYWGSRKRVLLLGHSKGGVDAAAALSMYWPDLKDKVAGLALAQSPYGGTPIASDILREGQLGDYVNIRKLMEILICKVIKGDLQALEDITYDKRKEFLRKWHLPEELPVVSFHTEANTSPVALATLSQVAEAELPMFAPLSAGQAATVPVVLPSGAVMAACAQLLQTRYGEKSDGLVTCRDAEVPGSIVVRPKRKLDHGWMVYSSLNDDQSEADASQVCEALLTLLVEVGQKKRHQLAMKDE >CDO97489 pep chromosome:AUK_PRJEB4211_v1:2:19424909:19434214:1 gene:GSCOC_T00014843001 transcript:CDO97489 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGNSKAGMESSSDESARGDGSSRADRIKKKLHLSRSHFRRHHRHAGATVSSAHNLTKLLKEEDFAGIALLCLITAEMQFKDKWLACVTLGEQTFRTRVTDQTDKPTWNSEKKLLLEKNGAHIARISVFETNRLSKNNLVGYCEIDLLEFLTQDSDTDIGKFDLFDPSSKAIVGTITLSCFIEDPMETEKNFARRILSIVDYNEDGNLSFNEFSDLIDAFGNQLAANKKKELFRAADKNGDGVVCMDELAELLAVHQEKEPLITCCPVCGEILEVPDRLNSMIHLTLCFDEGTGNQVMTGGFLIDKQAANGWMFKLTEWAHFSSYDIGLRSGSSASHILVFDRRKKRLVEELIDSKIVLSMRAIYQSKVGLGVMDQGAKDILQSMSEKQGKKMDSVESAKDIPKFVEFFKA >CDP00118 pep chromosome:AUK_PRJEB4211_v1:2:6064087:6066829:-1 gene:GSCOC_T00029917001 transcript:CDP00118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MSDTIDLTGDGGVLKTIVRHAKPDAIAPSESLPLVDVHYEGILADTGEVFDTTREDNTVFTFEVGKGSVIKAWDVALRTMKVGEVAKITCMPEYAYGSAGSPPEVPPDAILIFEVELVTCRPRRGASLSSVSDERARLEELKKQREVAAATKEEEKKRREEAKAAAAARIQAKLEAKKGQGKGKGKGK >CDO97426 pep chromosome:AUK_PRJEB4211_v1:2:18988981:18990666:1 gene:GSCOC_T00014767001 transcript:CDO97426 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAANDLKPHAVCVPYPAQGHINPMLKLAKLLHHKGFHITFVNTEYNHNRLLKSRGPNSLDGLPDFRFETIPDGLPPNDADSTQDIPSLCDSTSKTCLAPFSSLLSRLNNAAPDVPPVTCIVSDGCMSFTVEAAHQFGLPEALFWTPSACGLLGYTQYSHLVERGYTPLKDMSYVTNGYLETKLDWIPGMRNDIRLRDLPSFIRTTAADDIMLNFVLHEVNNIPKGTAVVLNSFYELEHDAFDALSAMYPRVFSIGPLQLMLNQIQDEGLKSIGSNLWKEDPVCIEWLDDKEPNSVVYVNFGSITVMTAHQLTEFAWGLANSKKSFLWIIRPDIVAGDTAMLPPEFVTETKERGKLASWCPQEEVLKHPAIGGSLTHSGWNSTLESVCGGVPVICWPFFAEQQTNCRYSCVEWEMGMEIDNDVKRDEVELLVRELMDGEKGEKMRNKALEWKSKAEAAAGPDGPCFQNLDQLINDLLLPKIKLSQ >CDP05319 pep chromosome:AUK_PRJEB4211_v1:2:3268475:3269601:1 gene:GSCOC_T00020316001 transcript:CDP05319 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCCSSNSNHLVGILLIFLSLTRFSTTASAARALSGVTTNTEFIRTSCSTTTYPELCYASLSDQATIIRSDPELLAHAALSVSLDTAKSTSSMMVKLSQSHGMTPREVGAMRDCVEELGDSVDELKKSMGEMPQLRGPNFALTVNDIQTWVSAALTDEDTCMDGFAGKAMNGNTKIAVRNQIVNVAHMTSNALALINSYASLHS >CDP07690 pep chromosome:AUK_PRJEB4211_v1:2:24781244:24787769:1 gene:GSCOC_T00025028001 transcript:CDP07690 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAADVDTKELIWSTWEELLLASAVKRHGLKDWDTVARELQNRHRHRSSSSLPPTLFTAQICKNKFKHLRRRFTNNHRSASNNTAVTAGAGGGEGDCFPWLEELRKLRVAELKQEVHRYDLSIRSLQMKVKTMEEEREKSLREDDDQHDDVKKPDLDEEVKQERSENDKNDGKDPPEMVAGKFLSGDNDENMSYNESNSTESKRAGLKVEPKNEPAEAEPESESKPVGEEVSCNDSSASQERGKGGGDSRELRDSVGEDESKEGTKESSDVQSSASLLTRKRRRRGVDGGGGGGGDGVAVLSPATAPIKREGGVGGAVKSEPSAFGFLDKIRSHKHGSVFERRLESQKTEKYKSTIRRHVDLEIVQARIDDGSYTSCFLKFYKDLFLLFTNAIVFFPKSSPEALAAQELRQLVLKELKNASSDPSPQPSLPAKPKPKPEVERSDSLLAKHKSTNPIFFSRKRSSISAKASSSSANNAEKQRTNEKAVINSKTLIKSPLSTSTNDDEDSSKLKLKEKPVTGARSMRRSSKGRPNVSKPEPTPNSSNNKSSSSQQPGSKDKGDKAEAAKGDKKKSEAIASTKKSGAADFLKRMKKNSPTKGTLVEALKSSRENNSNKGGRKDQPKKKVDERKDGPARRSGGGGGGRKHVKEESSPLKKNAGRPPKKGKDVVVTGGKRGREGGEGEGSSKRPKKRSR >CDO99492 pep chromosome:AUK_PRJEB4211_v1:2:11992348:12003742:-1 gene:GSCOC_T00029088001 transcript:CDO99492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-DDT domain protein RLT1 [Source:Projected from Arabidopsis thaliana (AT1G28420) UniProtKB/Swiss-Prot;Acc:F4HY56] MKTPFQLEVLEKTYENETYPSEATRAVLMEKLGLTDRQLQMWFCHRRLKDKKEAAGMAAMKPRSAAGSVGKRGLMDSPRDEMMIAEPGSEHLSSSGSGSSEFDNGDEMPMVPIRYFESPRTVLERRVIACVEAQLGEPLREDGPILGVEFDELPPGAFGAPIVTAEHRERYRHSYDNKPYGSYDTKQIKAVPSSHQESAEPKIRSDAYGQVAPPYLYDSPVAGPAGKTLPLMQGNGHLSRDYGLEGQASSASILSQQGRQGHLPSPPTHDAFISNNEDVMQMERKRKGDEARIEREVQAQEKRIRKELEKQDLLRRKREEQMKKEMEKQDRERKKEELRMMREQQRKEERCQREEKREMERREKFMQKELLRAERKKQKEELRREKEAARQKAAMERAAARRIAKESLELIEDERLELMELAASSKGLSSIVSLDYDTLQGLESFRESLCKFPPESVKLKKPFAVRPWIDSEDNVGKLLMVWRFCITFADVLGLWPFTLDEFIQALHDYDSRLLGEIHIALLRMIIKDIEDVVRTPSGGPGTNQYSAVNPEGGHPHIVEGAYVWGFDIRTWQKHLNPLTWPEILRQFALSAGFGPQLKKKSTERGGLNDSETKGCEDIVSALRNGSAAENAVAIMQEKGFSLQRKSRHRLTPGTVKFAAYHVLALEGSKGLNVLELADKIQKSGLRDLTTSKTPEASISVALSRDPILFERIAPSTYNVRPAYRKDPADAEAIISAAREKIQRFVNGVLTGQNAEDEERDDDSDCDVAEGPEVDDLGTPSEANKIGEGCNEAGTCSGNGKDNLSDDIAVENEFGSDGASNSDQAAEIDESRSGEPWVQGLTEGEYSELSVEERLNALVILVGIANEGNSIRVILEDRLDAANAIKKQMWTEAQLDKRRMKEEIITKFSESNYGATAMEGSQSPLGLVDNRNGEASLDLMEKDEPAGGLDNAHNHVDTLAIEKSSFTNDASFAQISNSIQQNNFTAERSRMQMKAFIGHIAEEMYVYRSLPLGSDRRRNRYWLFVASPSSHDPGSGRIFVESPDGFWRLLDSEEAFDALSTSLDMRGIRESHLHIMLQKIEVPFRERVRKNLSFNCIEGKEGMKTGDELAEVSSSPGCNSGLDSPSSTVCGMNSDSLEPSSSFKIELGRNETERENALKRYEDFQIWMWRECFNSSVLRSLTYGKNRCTPLLGTCHLCFDSYMNVECHGHSCHTTSKVGNKEGLVEQTIHEEKVKVEPLNFGGSNSSHPLRIRLIKVLLNSLEASVPHNALQSSWTGDLRKIWAAKLLNASRTDDLLQILTQFEGAIKRDYLSSSFETTEELLCYCASSKVSGYDFAHRGSVSQLPWIPQTTSAVALRLLELDTSILHGQHEKPKLPDEKKVENLIKVPSKYSNTGDTQKVPTTDSKRDKQQLKEETWDYTGNASGSSDYKQVIRGRGSGRPRGRWPKGFAGSVSESGRRSLKHGGTLTEALMQQGERSYGHKHGRGRRTVRKRRTEKKFSEETWCRESCK >CDO99754 pep chromosome:AUK_PRJEB4211_v1:2:9150110:9154764:-1 gene:GSCOC_T00029438001 transcript:CDO99754 gene_biotype:protein_coding transcript_biotype:protein_coding MECANGNGNDLAETFCTQRAGPAPDPLNWNAAAESLKGSHLDEVKRMVDEFRRPLVRLGGETLTIAQVAAIAASSDAAVKVELSEGARAGVKASSDWVMESMRKGTDSYGITTGFGATSHRRTKQGGALQEELIRFLNAGIFGNGTETCHTLPHSATRASMLVRINTLLQGYSGIRFEILEAITKLLNNNITPCLPLRGTITASGDLVPLSYIVGLLTGRPNSKAVGPDGKFVNATEAFSLAGIDTGFFELQAKEGLALVNGTAVGSALASMVLFEANILAVLAEVLSGIFAEVMHGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSPLIEVIRASTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMAAYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTYLVALCQAIDLRHLEENLKASVKNTVSLVAKKVLTMGYNGELHPSRFCEKDLLKVVDREHVFAYIDDPCSGTYPLMQKLRQVLVEHSLANGDKEKDATTSIFQKIGAFEDELKALLPKEVESARCELENGKPGIANRIKDCRSYSLYKFVFTAICEGKLIDPLLDCLKEWNGAPRPIC >CDP07721 pep chromosome:AUK_PRJEB4211_v1:2:25377449:25377859:-1 gene:GSCOC_T00025071001 transcript:CDP07721 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CDP08693 pep chromosome:AUK_PRJEB4211_v1:2:53774741:53776522:-1 gene:GSCOC_T00027748001 transcript:CDP08693 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVGPQQQQVCIFFKKPSKGKNIRKCPAFQEDNGDDDSVGESSVIYKKKPAAANNKLHFSIGSSKRSIEIETIVDSRTPFFLL >CDP07756 pep chromosome:AUK_PRJEB4211_v1:2:25811155:25811340:-1 gene:GSCOC_T00025121001 transcript:CDP07756 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLVAAASMSAVLAESQAPAPAPAAANSAYAALPAVGAVVGASLVSFFAYYMH >CDO97208 pep chromosome:AUK_PRJEB4211_v1:2:17294674:17297944:1 gene:GSCOC_T00014476001 transcript:CDO97208 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGIQGQPLEITVVGCSKLKDTEWISRQDPYVCLEYASTKFRTRTHTDGGKNPTFQEKFVFSLIEGLREITVAVWNSNTITYDDFIGNGKVQLQKVLSQGFDDSSWPLQTKTGRHAGEVRLIMHYANANKPATSYAPSAPPYVAPAIPQSSLYAAPPPSVGSYLPPTTYPAPPPPYSPYPPNPAAYVPAPYYPPAQAAYPAAYGAPSAYPPPPYPPPPYDPSHYHKGPFPGIYPPPPY >CDO97109 pep chromosome:AUK_PRJEB4211_v1:2:16564197:16565651:-1 gene:GSCOC_T00014349001 transcript:CDO97109 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSNYSSPSSKKTLPLRDIPGGYGLPFFGPIKDRRDYYYNQGTDEFFKSRMQKYNSTVFRTNVPPGPFMAKNPKVVAVLDAVSFPILFDSSKVEKKNVLDGTFMPSTDFTGGYRVCAFLDTREPSHAAVKGFFSSQLGKLHNKFIPTFRSSVSELFANLEDELDKEGKANFNDHSDKISFDFIFRLFCDNKNPDETGVGSSGPKSFDKWLFLMLHPLITLGLKFVPSFVEDFLLHTFPLPFLFVKSDYQKLHDAFDKYGSGILDESEKYGITRDEACHNLVFLAGFSAYGGAKVLFPALIKWVGAAGEDLHRQLAHEIRTVVKEEGGVTVSALNKMSLTKSVVYEALRIEPPVPFQYGKAREDVVISSHDSSFLIEKGEMIFGYQPFATKDPKVFDNPEEFVGDRFLGDGERLLKYVYWSNGRETDNPTVDDKQCPGKDLVVLLSKLLLVELFLRYDTFSVEAATILLGPLVTVTSLTKAD >CDP13824 pep chromosome:AUK_PRJEB4211_v1:2:32861631:32861876:1 gene:GSCOC_T00038896001 transcript:CDP13824 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMIALTRGLPGAFPRHHLDLFNETNNGNKTNHVFAVELDMIQSEDFHDINNNHVGIDINGLNSTLAELVAYYYNGNGVF >CDP04925 pep chromosome:AUK_PRJEB4211_v1:2:182251:186302:-1 gene:GSCOC_T00019794001 transcript:CDP04925 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKGSHHPVISYRPIRPSDLEVLERIHCDLFPIRYETEFFHNVVHGRDIVSWGAVDRNRPNGHSDELIGFLTARIVMAKDSEVEDLLRFDSSKSDQTLVYILTLGVVDSYRNFGIASSLIREAIKYAASISNCRAVYLHVISYNNPAIHLYKKMSFQCVRRLHAFYFINGQHYDSYLFIYYVNGGRSPCSPLELVMLLVTYAKSGFKLAASRLWRNEDRKISKWAKCKESGSLLPTMQNKRIVIADSGAGGGCQFV >CDP13884 pep chromosome:AUK_PRJEB4211_v1:2:4079288:4084624:-1 gene:GSCOC_T00039016001 transcript:CDP13884 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRLPTLAGNALTKPFNDLVFLPVGPLDVLSEDPVDLDFADVFGPLPTQGPQNLTFENPINVFASPDANELTFDDPDVIYSRSHSLVGPTNCVSQAFKLSKLTLHESEESLEVVERVNGEAEGSFEKLSLESSILKKAIEDDGVPLSAIGLEDFEVLKVVGQGAFAKVYQVRKLGSSEIFAMKVMRKDKIVEKNHAEYMKAERDILTKIDHPFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYRQGLFREDLARIYAAEIVSAVSHLHANGIMHRDLKPENILLDAEGHVMLTDFGLAKQFDENTRSNSMCGTVEYMAPEIVVGKGHDKAADWWSVGILLYEMLTGKPPFVSGNRQKIQQKILKDKVKLPAFLSSDVHSLLKGLLQKDTSRRLGSGPTGSEEIKGHKWFRSINWKKLEAREIQPSFLPEVAGKHCIANFDECWTNMPVVDSPAASPKCAENPFQGFTYVRPAASFLQS >CDO97207 pep chromosome:AUK_PRJEB4211_v1:2:17285935:17294226:1 gene:GSCOC_T00014475001 transcript:CDO97207 gene_biotype:protein_coding transcript_biotype:protein_coding MESESSELSNTSHGQTSDVPVASATDSAQQNSGQDAPSRASVSGISNWAKNLKIPQSLVGSQNESPTESSGKSSFSRFTSGFGLRLSPKASQQGENSDGTQPAAQSGFIGTITKGIVDSSKSAVKAVQVKARHVVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETHHKDKYKVYNLCSERLYDVSLFEGKVASFPFDDHNCPPVQLIISFCHSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCFDGKALVLPSQIRYVKYFERILTYFNGENQPGRRCMLRGFRLHRCPYWVRPAITVSDHSGVLFSTRKHPRTKDLAPEDYWFSAPKKGIMVFALPGEPGLTELAGDFKIHFHDRQGDFYCWLNTTMIENRKILNTSDLDGFDKRKLPSPGFQVEVVLVDYDAAVPPTRHSETANDKTVESSGADTASEPSPVAVHEAAVVESSDKNSGSNEKDDVFSDSEAEETGSSRREVSGAPESRKSVDKNIDGSDQKTSLDQSKHLTRETERLSLGSTGSVQNEPRKDAVKGSIPNPEVTSSTANVSQFKAMAADASVFTFGDEEDYESE >CDO97502 pep chromosome:AUK_PRJEB4211_v1:2:19547511:19550830:1 gene:GSCOC_T00014862001 transcript:CDO97502 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVSDVRDSFGSLSRRSFNVRLPGHHRGKSHGSFHDSNEQPLVIQNSRWANLPPELLFDVIRRLEESETTWPGRKHVVACAAVCRSWRSMCKEIVKSPEFCGKLTFPVSLKQPGPRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYTCTNVPPPGRTSRRFYSKKVSPKVPTGSYNIAQITYELNVLGTRGPRRMHCVMQSIPASALDAGGTVPGQPQLLPRCLEDSFRSISFSKSLDHSTEFSSSRFSDIGVPGNEDEEGKVKPLILKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAASASTTSQPTQTDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >CDP06819 pep chromosome:AUK_PRJEB4211_v1:2:37620173:37622579:1 gene:GSCOC_T00023816001 transcript:CDP06819 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSEKQEALVKESWELMKQDVPRHSFRLFTLIMERAPRAKDLFSFLRDTDEIPENNPQLRAHAAKIFKLTCESVVQLREKGEVAVGDTTLKWLGSVHLQKGVLEPHFEVVKEALLKTIQEAAGEKWSEEMKNAWGEGYDHLAAAIVREMQVQAAASSKPIA >CDO99721 pep chromosome:AUK_PRJEB4211_v1:2:9503049:9507699:-1 gene:GSCOC_T00029397001 transcript:CDO99721 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKTPENEMDMIRDDEFESKSGTDIMEAASGDDQDPNQRPKKKRYHRHTQHQIQEMESFFKECPHPDDKQRKELGRRLGLEPLQVKFWFQNKRTQMKAQHERHENTQLRTENEKLRAENIRYKEALSNATCPNCGGPAAIGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPMLSYPHLPPGASRSLDLGVGNYGAQSGMIGEIYGAGDLLRSVSGPTEADKPMVIELAVAAMEELVRMAQAGEPLWVPSGDNSTETLSEDEYVRTFPRGIGPKPLGLKSEASRESAVVIMNHINLVEILMDVNQWSNVFSSIVSRALTLEVLSTGVAGNYNGALQVMTAEFQVPTPLVPTRENYFVRYCKQHADGTWAVVDVSLDNLRPTSVSRCRRRPSGCLIQELPNGYSKVMWVEHVEIDDRAVHSIYRALVNSGLAFGAKRWVATLDRQCERLASAMANNIPAGDVGVITTPEGRKSMLKLAERMVMSFCAGVGASTAHTWTTLSGSGADDVRVMTRKSMDDPGRPPGIVLSAATSFWLPVLPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNSVSLLRVNSANSSQSNMLILQESSTDSTGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPMNQGGAGISEVGSGGTLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAALSCDKA >CDO97110 pep chromosome:AUK_PRJEB4211_v1:2:16567920:16571796:-1 gene:GSCOC_T00014350001 transcript:CDO97110 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYAEEGKKLDEACSSLVLPALSIGNVGQLAVDLFISSLRAERIGYFDDPNVLPCAGNNAYAPSPPGDLSLPLEAFDSSSNRLTLVQQRSPVVKGMMVEFAKNLANFAATNGKKHVIILSSLDFKQWQNIEMSSGLQIYYLSSSSTDGTDEDCEKHGWKRLPEYNPAHRRWEYLSSANEENIVQDDDLLFEDLKEEDYYPSLPFAALFSCFKAKGLKVTCLLCYCSEGDNIPDAFQLAEAASKILIQSPNDFEGTGSGRWIVPFSWKSVYGPPPDTSLF >CDO97315 pep chromosome:AUK_PRJEB4211_v1:2:18091016:18094017:-1 gene:GSCOC_T00014625001 transcript:CDO97315 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSNSRSPSPLSSRPTASHSRNSSDSNAAARRSFSGNHVARPSVLTNPRSLNPVTPANSPAGFGRRNSFGCDEKENEKDQNLKPVKVVKSPANGSKNFMSPTISAASKITPSPRKKILAERNDPLRTSISLSEGKAIFFSGNSSENKEDLAVDHQNGEGKRVVVEGPQSSNASKRVTFLEVHSDSETATEVKPETVTVNSSSKNMPSCFPISPIIAPLDADPSLPPYDPKTNYLSPRPQFLHYKPNPRIEVLLNKEKGLDLGEAKRLDDSFLLEASESYSDNDGTEGSCSEESQKDSEDYSVENVVPEAEEEGVHVYEVSTIDEAKTFSPPDSCHMSDGIVDDTGKARPWFFSRLKSVSLLLVLLMIACLSIWGTDSPFMDSSSISNILKFSKLTEPSQLAVSAKANLNGLGVRFKQLSLDSISHLSLLINNIGGVDNIGTVKFMNLTDLQSDMLVSSFRGDYEQRQTFLTDLERENLEEGEGSETEPFEEQTFADVFSDDFFEKDSEEESEMEIEESPPAPVQLAAMNELEKSETLWNHEAVSSNVDTELKYKLDYERNSTPVLETPGAKSESEAFRNNPEAIESLNTEVDMPHDSGAKVESSSADSDHESTSDALSAIVEDRSSEMAKFPIGGSENKYRVYSVMAMASLVLALVSAAASVYLKQCNASDPNLLVHTDGLSSEKISPVSSVPQKMFQENASCHNWATEVDVEGEESFPSEMSSSKRSSSYSRKDLRGANEVHSHERKPRKYSKRESLASSSEFSEGSPSYGSFTTYERISNKHANGDDEIMTPVRRSSRLRYQVNFS >CDO97462 pep chromosome:AUK_PRJEB4211_v1:2:19230556:19232578:-1 gene:GSCOC_T00014810001 transcript:CDO97462 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLNCRMTFTKFLEHFLLLYLLLLCPKITADDGQNGFHGEERDALLALVAGFSNSFLHHNWTKVMCYENDPPYWFGIECLNGRVSGVRLENLGLTGEIKVDSLLNLTELSILSFKDNSISGPLMDFSNNQKLIYIDLSGNRFYGAIPLSLLKLNSLASLHLQANNLSGSIPSFDQTSLLEFNVSYNNLSGPIPNTKVLQSFNRFSYFGNPNLCGPPSSSDCNSKNDTSDTNKSKSSKSSKLLPILLVVNVVALIILLFLCIIFFKKYKNLKKRLEEKHILVRDEEKDEKIKMETGGNRVAADEVEKGKLVFASEDRKFELDDLLKASAEGLGKGNFGNCYKAMLERGPIVVKRLKDLKPLSGEEFMKQVRMIADQKHPNLLSLLAYYYSKDEKLLLYKFASNGNVYNRLNEGKGKPTRIPFRWSSRLSVARGVARALEYLHLNTKSTNVVPHGNLKLSNVLLDENDGVLVTDYGLTSLVAAPLAAQRMIAFKSPEYQSHKKVSRKSDVWSYGCLLLELVTGRVSADSAPPGTNAVDLCSWVHRAVREEWTAEIFDVEIAVQRSANHGMLKLLQIAMRCCVSSPENRPEMSEVAREVENIVVTADSEDEEEFSSMDRSLTDESMSTPSRSTTTLDDRR >CDO97103 pep chromosome:AUK_PRJEB4211_v1:2:16516215:16518663:1 gene:GSCOC_T00014340001 transcript:CDO97103 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPNELQFASGAFFRHFQTPTLVKSSFIKHNSFSSSSPSSSSPSPSPPCDQNLVSTAISILKHHRSKSRWSYLRSLLTSSNEALTPSQFSQIALQLRNNPHLAHNFFLFTVRHSLCCHSLFSYATIIHILSRSHLKAQAQELIQSAIRKFPNPSLSSPPPIFQTLIRTYRICNSAPFVFDLLVKACIESKRIEQATEIVRMLRSKKICPNISICNSLIELVSKSRGCFAGYDLYKEIFCSSEKNVNENGKRLKVSGPNSNTFNVLMVGFLRDGLVEKVEEIWREMAAMNCVPNAFSYSVLMAAYCENGRMKDAERVWDEMGVEGLQHDIVAYNTIIGGFCEIGEVGRAEEKFRVMSLGGFESTCVTFEHLINGYCKIGDADTAILLYKDMCRKGFRPDGTTVDAMIKALCDSSKVSDALEIMTMAIKNPNIFPQRKSFEYLIKSLCQVGSMEEALKLQAEMIGNGHELDEEIYGAFIDGYVKQGNEEMAQRLRVEMLTILIPAQKG >CDP07633 pep chromosome:AUK_PRJEB4211_v1:2:24106410:24109578:-1 gene:GSCOC_T00024950001 transcript:CDP07633 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISSLSSSFSSLTLKPPNPSSHGTPNTPLSFTLHSSFIPLYKTPISKSSSSSSLSLPSSHSAPLPLITSSMEAGIGVMGTKLGMMSCFEESGTVVPVTVIGFREGNIVTQMKTEATDGYNAVQVGYRRVRDKKLTKPEMGHLEKSGIIPLRHLQEFRLQSVEGFEANQRLVFDELFKEGDLVDVSGTTIGKGFQGGIKRHNFKRGQMAHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKRKIRKLKIIKIDNELRIVMVKGAVPGKPGNLLRITPAKIVGVNIPKN >CDP13992 pep chromosome:AUK_PRJEB4211_v1:2:4905862:4907417:1 gene:GSCOC_T00039149001 transcript:CDP13992 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTADHLTSHLSQDTDPTLNYSISNNNNSDNATAAAANATTTSSGSDLSSGSTSSNNNKKGKGKGGPDNNKFRYRGVRQRSWGKWVAEIREPRKRTRRWLGTFATAEDAARAYDRAAIILYGSRAQLNLQPSSGTGGESGGAPSDQSSSRSSNSSSSTQNLRPLLPRPSGFGLTFYSAPASLPVAGGVVAGYAPYGYYPATVQQYPNMVQCQQNLALQEPQPQRQQPEEYRTSTTARCHDMNVVLGIVDSSSYITTTSGANPNHEQQQQEQQYCHNPSKLLYDEVNSLVGSVGSSLSLCCTQTVAAAPAPSVSECSDPTVSGCPGSPPLWPLTNDDEYPPTSIWDYGDPSVFDF >CDO96885 pep chromosome:AUK_PRJEB4211_v1:2:14390802:14393029:1 gene:GSCOC_T00014056001 transcript:CDO96885 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIGTYLLMFTGFTSIVVNLGMDNIVTFPGVAILWGLDVMVMVYAVGHVSGAHFNPAVTIAFATCKKFAWKRVPAYICAQLFASTLASGTVWLAFGEEHYQSVGTLPVGSNIQSLLLEFLITFYLMFAVSGVSTDERATPELAGIAVGATVTVNSLLAGPISGASMNPARSLGPAIVSNCYTGIWVYIVGPIAGALAGCWVYNIVRLKDKPPSTETVNRNSPFRMRARMISSL >CDP18133 pep chromosome:AUK_PRJEB4211_v1:2:32298154:32301690:-1 gene:GSCOC_T00010177001 transcript:CDP18133 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGSYERFLWGFKLKSQKHPQSFSLNPLFSFPAHLSPIKCAAVSGSAAVTGGSDDTIKIYDLSTSSEIGSLHYSSSITSLCFFTPPSSALALPRNLIAAADDGAVIIYDADPFVHLKTVKSVHKKGVNDLSVHPSGTLALSVGRDECLAMVNLLRGRRSFCCRLGKEASIVRFSGSGEKFFMVLDDKINIHESEDAKIVAELDIKKRVLCAAPGADGILFTGGEDRNITAWDTNSGKVAYSIEDAHSARVKGIVVLSHSGGPAPEDEPYLVASASSDGVIRVWDVRMAYRGRPDPLAEAKTKSRLTCLAGSSFRTKRSQIGNTTLGNNQEMLDRES >CDO96803 pep chromosome:AUK_PRJEB4211_v1:2:13235388:13236992:-1 gene:GSCOC_T00013940001 transcript:CDO96803 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLIGKLSHNELTGFLPSSFDNLQKLQRLSLSHVQYKENGTAITGFSSKLLRQCHVPTRDLSNALSSNIPTSSWKLKDLFVLNLSSNSLHGFVPPAIGNLKGTAVLDLYNIPDSIGDLQNLFNLSLAHNQLTGPIPESVGNALPLELLDLSHNNLSGLIPREISKMTMNFKKSLQRGRFEYRISSKGRELAFAECEYWNVSFNGLSGEVPSNGPFTNFTGDSMRHFVVHKGWIFHHVIQLQITSQVEEKYSNLYSSSWGLPQQLLP >CDO97010 pep chromosome:AUK_PRJEB4211_v1:2:15786549:15789696:1 gene:GSCOC_T00014220001 transcript:CDO97010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT2G16430) UniProtKB/TrEMBL;Acc:A0A178VZQ7] MGVTRTRFSSLSFVLAVVGLILNAANFCRGGITSSFVRVDRKAVDMPLHADVFRVPPGYNAPQQVHITQGDLEGKAVIVSWVTVDERGSDTVLYWSDNATEKLKAKGTVTKYKYFNYTSGYIHHATIKHLQHDTKYYYEVGIDHTSRTFWFVTPPKVGPDVPYTFGLIGDLGQSFDSNSTLTHYESNPSKGQAVLFVGDLSYADNYPNHDNVRWDTWGRFVERSVAYQPWIWTAGNHEIDFAPEIGETKPFKPFTHRYHVPYKASNSTAPFWYSIKRGPAYIIVLASYSAYGKYTPQYKWLEKEFPKVNRSETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEPWFVQYKVDLVFAGHVHAYERSERISNVAYNVVNGLCSPVSDLSAPVYITIGDGGNLEGLATNMTEPQPKYSAYREASFGHAILDIKNRTHARYAWHRNQDGNAVEADSMWFYNRHWHPVDDSTTAKL >CDO99896 pep chromosome:AUK_PRJEB4211_v1:2:7955857:7956431:-1 gene:GSCOC_T00029606001 transcript:CDO99896 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFDVLLSSALPMMIMMMMKNEYRKRTVGAVKTSAWSGEAPLNPGGDLEVEKGGLTEYLATCGGLWKL >CDO99990 pep chromosome:AUK_PRJEB4211_v1:2:7177230:7185597:1 gene:GSCOC_T00029739001 transcript:CDO99990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji (jmj) family protein / zinc finger (C5HC2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G08620) TAIR;Acc:AT1G08620] MGTELVRTCIKEENMDIPSIPPGFESIAPFTLKKVEDKDIKVDHSPSASGSESQSTRMEIEIEYSKEGKIAKTLRRRPWINYCHLDNSSGDESDSEQKLLKSRLPKGVIRGCDECVNCQKVTAKWRPEEACRPDLKEVPVFYPSEEEFEDTLKYIASIRSKAEAYGICRIVPPPSWKPPCPLKQKHQWENSKFSTRIQRIDKLQNRDSTLKVLKVNHQKRKKRRRCTKAGVDHGNGSGDTKVPGDFGMYEAERFGFEPGPEITLHAFEEYADDFKTQYFSKSDTTSDPGGKMNMTLDQREPSVADIEGEYWRMVERPTEEIEVLYGADLETGEFGSGFPKNSDQVGSSSDAKYVTSGWNLNNFPRLSGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGVPGADALKLEAAMRKHLPDLFAEQPDLLHKLVTQLSPSILKAEGVPVYRCIQNPGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQNAIELYREQGRKTSISHDKLLLGAAREAVKAHWELSLLRKNTSANLRWRDVCGKDGILSKALKSRVETERVRREFLCSSSQALKMESSFDATSERECSVCFFDLHLSAAGCHYCSPDKYACLNHAKQLCSCSWGAKFFLFRYDINELNMLVEALEGKLSSIYRWARLDLGLALTSMTRENSQAPGLVGKVSCTPEGAAPKGPNLQPDATSLNDQKAKGNAGIVNTTKAICPQTLQPEITSLNDQKVKGNAGILNTTKAICQPTLVQKEKLTGELLASDKLKTFSILDNSLQNVVDAKPRQQFKRVPSPDAEACSRGKPSSTGNSFSRPEVKNGSLKGNDDIILLSDDEGEELSMKLSEKAVGVPKEKVSCSSKNMTSTNRTANVPLMSPKLSTSVCVKAEDLTLGERNLEPNLQDHIPHSISLTNTGADKNTEGFSGQIENRQCNLPSASINSLPPQPCDGEKANNEDRLIKLEVDGNSRPTDNLQNLSSNASGSQNNLDRYYRQKGPRIAKVVRRINCNVEPLEFGKVSPGKLWCDSRAVYPKGFKSRVRYINLLDPTNMCYYVSEILDAGKEGPLFMVSLEDSPSEVFVHVSAARCWELVRERVNQEIAKQHKLGKLKLPPLQPPGSLDGMEMFGFSSPAIVQVIQAMDQNRVCTEYWKSRPLMQIPQHAKPGDTVGNLSLKTEVSNHQEANQRQSVPVAVDTKITSLFKKAALEELQALYSILSNNNNPVSGQNLATRLLTEEVHRRQDN >CDP08816 pep chromosome:AUK_PRJEB4211_v1:2:52749716:52752428:1 gene:GSCOC_T00027921001 transcript:CDP08816 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRDQKPNFVTVLSVIQAIGQLGSKNMASMIHGFAIKLGCDSELPLVTGLLGAYSSGWDIGAVRILFALTPNKDVILWSAMVSACVKNEEYVEALNIFRKMQSCGVQPNRVSIVTVLPACANLGTLWLGKEIHGFSIKRDFYSHINLQNSLVDMYAKCRLWSYSVQVSSSMQTKDVVSWRSMICRSITNESPARTLILFSQMRSSCVEVDVNTVRVIIVASSQLEEIKVGLGLHGLALKMGYVEDISLMTAILQMYANFGEIGSAKTLFDYLDNKDLIAWSAMIAAYVQNEQPFDAFKVYRKMQSAGEKPNEVTFLSLLQSCSSTTAQEIGESIHAYLLKAGYMLNAFVTSALINMYCKVGRTRQGVALFDENHSRDLVCWSSMINGYGINGLGEEALHCFSNMLHCGVKPNDVVFISLLAACSHCGLEYEGWSWFYAMGEKFGITPKLAHYACMVDMLSRQGNVEEAFEFVKKMPINPDKRIWGAILAGCRETCGSSEVSEIAARQLFSLDPENASYHYDLMHIHTDGGDQVQTSELHRIHIHYRKPTYYVILECRDQVHQSKTSRVLQVMKKFSGTKNLHLNCRSGERTTRSTSNLKSWMKNFSHVVHL >CDO96864 pep chromosome:AUK_PRJEB4211_v1:2:14077060:14084051:1 gene:GSCOC_T00014025001 transcript:CDO96864 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSRAKKEEPVKKIRKPKPWKHSEPITRAQLVQMRDEFWDTAPHYGGRKEIWDALRAAAEADLTLAQAIIDSAGIIVQNPDLTICYDERGAKYELPRYVLSEPTNLIRES >CDP17188 pep chromosome:AUK_PRJEB4211_v1:2:47526040:47530829:1 gene:GSCOC_T00000654001 transcript:CDP17188 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMVEDSNFEDDQLNSMSTDDIVRASRLLDNEIRILKEELQRTNLELDSFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEEEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >CDP07770 pep chromosome:AUK_PRJEB4211_v1:2:26206346:26208057:1 gene:GSCOC_T00025146001 transcript:CDP07770 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDAVSCDNPANDPLELACIQQLATAGLILKLVEEQEKKVEVELRKMSELKGQLNTKDVEANSYQHAICVLRRFAHEDEEKMKQTQEELLQRVEEVNDLQTLNQHLIVKERATNDESTEARKELIVSLAHFLDDQSDIRIKRLGEIDILPMQIAVQRKFTTAKSFIEAARLCSLWQSKIENPEWHPFRIVGDTSKNLFVQEILDEEDEALVDLQMEWGGEAYAAVVTALKEMNECNPSARRITPELWNFTEGRRATLKEIVLHLINMLNPPQKRSRTGKIFSSLFSGVVSRSYNVVRPLKFPGHK >CDP05329 pep chromosome:AUK_PRJEB4211_v1:2:3364967:3371954:-1 gene:GSCOC_T00020332001 transcript:CDP05329 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSYLSVSVVCTVLSFVGLRCWTAISLEQLKSDGLISDSVISSGDGSHALELLLSSYATIALAANFALNTFILLILCLKTIFFSELYSSEARKLVERLINYVIYKGTFLPLVVPPNVFQAGLWSIWLAVLCCLKMFQALARDRLERLNASPSVTPWTYFRVYSALLLVFSVDILWIRLCLAVYTTISSPTSYLLFFEPLSIAFETLQAIVVHGFQLLDVWLHHSAGDTANCRISKLLDLSATGSLWEWKGILNRNLGFFLDMMTFFMALAHYLHIWWLHGMAFHLVDAVLFLNIRALLSAIVKRIKGFVKLRMALGTLHEALPDATLDELRAYDDECAICREPMAKAKKLSCNHLFHLACLRSWLDQGLSENYSCPTCRKPLFMGRSEHEANSHASEISSDEQLARQISAGLHRPNLPGHGQSTGIFPNQTQNPLEAGDWRGTAIDSSWLSLDGAGPSSGVRSVGLGRVQMMMRQLAAVGETYAHTALEDAAWSLWPMNPSQAGTSRSPVPPGPTRYPGSAGGLHMRTPSRASNDNIAGMLAMAETVREVLPHIPDEIIIQDLQRTNSATVTVNNLLQM >CDP16544 pep chromosome:AUK_PRJEB4211_v1:2:42610762:42610932:1 gene:GSCOC_T00018927001 transcript:CDP16544 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNIWNSHPKNYGPGFRTCRVCGKSNGIIRKYGLMCCRQCFHSNAKEIGFIKYR >CDP05322 pep chromosome:AUK_PRJEB4211_v1:2:3293778:3299029:1 gene:GSCOC_T00020319001 transcript:CDP05322 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFTHVPPGFRFHPTDEELVDYYLRKKINCRRIDLDVIKDVDLYKIEPWDLQELCRIGTEEQSEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQAKGWVVCRVFKKRIATMRKVSEHESPIWYDDQVSFMAEMDSPKQHSQSNLTYQYPYSCKKETNLHYQIPPDHFLQLPLLESPKLLPAPASMACNSLPTYGVNMTHASALQSSALTLEHMNQSQDQGLHSVYSSDLNNEQAMDQVTDWRVLDKFVASQLSQEEVSKENDCSNATNAFPTSDDSNLMLRHLEKQEMVPEMASTSSSSCQIDLWK >CDP05009 pep chromosome:AUK_PRJEB4211_v1:2:851849:855086:1 gene:GSCOC_T00019911001 transcript:CDP05009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASSTEKNKQVFESEEDLAVDLAGYVAHLSDQFAKTRGAFTLVLSGGSLVKSLRKLVEPPYIDLVDWSKWHVFWADERIVPKDHPDSNYFLAYNGFLSKIPIPVGNVYAINDALSAEGAADDYETCLKHLVKNRTIEVSEVNGFPKFDLMLLGMGPDGHVASLFHGHPLVKENKKWVTFIKDSPKPPPERITLTFPVINASAHIALVVVGAGKADAVRSTLGSDQDTDLLPVHMVSPDGKLNWFLDKGAASKL >CDO99485 pep chromosome:AUK_PRJEB4211_v1:2:12070550:12075580:-1 gene:GSCOC_T00029079001 transcript:CDO99485 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLPTTISFSVTFLILLSSLKTASGCFTSIFALGDSLADTGNYATIYPPIFHLSKGTLYCGLPPYGETYFHHPTGRCSDGRLIIDFIAEYYGLPPVPPYLRGANHRSNSRFQAGLNFAVAGATALDVPFYGEKGISSTLGNISMSTQLRWFKGLLPSLCNSSSCSEVFKSSLFLMGFGGNDYGKAFLQGKSLEETKSLVPLVISATGSAINELIDLGVVNIMVNGLLPDGCSPAMLTYFKSSNKEDYDTATGCLSWLNDFSNYHNELLQEELNGVRANHPDALILYADYFNPLMQLFRSPEQNGFGGRSLMACCGDGGPPYNYNFSAQCGEPASTACAWPSLYISWDGIHLTEAAYRFMAKGLLEGPYTTPRINPLCTSMKKDFGFASAMTSQL >CDP05001 pep chromosome:AUK_PRJEB4211_v1:2:781674:784511:1 gene:GSCOC_T00019900001 transcript:CDP05001 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPTPALTSSPPPSLPFSPNSITTEQIQKCLDDNKNLILAILENQNLGKVSECAQYQAVLQRNLMYLAAIADAQPQPSATPPQLPSSSGAQQGIYVQQAQATTVQQHQGVPVQKLPFQLSALRSQDQQHQLLHFQAQQQFQGQGGSNHGMHQIMQTGLSNSGSLMEGRGSKQSSLDANSGDGQGK >CDO99625 pep chromosome:AUK_PRJEB4211_v1:2:10633963:10639286:1 gene:GSCOC_T00029272001 transcript:CDO99625 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSRAPEALFSDRVKINGVVTLMTLTVNGQLRWSDRCLVVEKEILGFVVNGSKIKIRTIVEAGAGICCGGNKGALVRKNFTFEPLSDDLLRLWCDNLQNYIDSLGRPKRLFVLVNPYGGKRSASKIFLDFVKPLLEDANIEITVQETQYRLHAKEIALSLELPKYDGIVCVSGDGILVEVINGLLGRGDWKTAITMPLGVVPAGTGNGMAKSLLDSAGEPCTPANAVLSIIRGHRHSLDVATVSQGQTKFFSVLMLAWGLVGDIDIESEKYRWMGSARIDFYAIQRIFCLRKYNGCIKFVPAPGYEACGEVTSIECESTGEVIPSEDGTDKLLVNGQQNGYQGADIDMKNLNWRKVDGPFVSIWLHNVPWGGEDTMAAPDAKFSDGYLDLIMVKDCTRLGLLRLMTELNNGGHVKSPHVQYLKVKAFILEPGPRNDDPSKEGIIDVDGELLASGKGTYRCDQKTLLAYEKLHINVEQGLATLFCPI >CDO97116 pep chromosome:AUK_PRJEB4211_v1:2:16622053:16623227:1 gene:GSCOC_T00014357001 transcript:CDO97116 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVEHLVSGFTLASLGFWHAFNTVRAYHANDSSKFTARFWYPFRSPICKLKNLELTLVMSFSISAIFMQILDFPSLHLSSKLENFEHATMFLHLAIFSGFNLLAELSHLSETLSGVSGILAASVFAQELFLLHYHSTDHIGLEGHYHGLLQLIVLASLFAALVVTSCPSSFPAALALSVSVILQGCWFIVMGFMLWVPKFIPQGCVAQLSDASSSIMQGAVVCETQEASFRARALANLQLSWMLSAVLIFVTGILLGFSRAFAPRGPSTDYKQLQTRATDIPLAITGFKQTWPREVRT >CDP09399 pep chromosome:AUK_PRJEB4211_v1:2:22846236:22850089:1 gene:GSCOC_T00028746001 transcript:CDP09399 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKSEVQIGKESCGISSDFNPTPPLSNLPPSLHHKQHSSNPQQFYSSHYTTPNNFAASILTSSPSSPPPNSPSSPCSSLSDNPNNNHSLHIIINENEPIAPSIASSPTTKTTPHKRPHLGQSQFSTKSSNSLIKSPTLSNSLHKYPFSPAPVAPKNNFHYRRLLRHLHLRRLRVHIRLVLLLSLPFFYFLVSHPSHSFVLDFLSAFAFSAALLFSLNLALPRLPSIRLFLARSLPIKLPSSSQVVSGTNRPHLPVFWSIGSRPKTEKRANSGCWVQAYSNGDVYEGEFHKGKCSGSGVYYYYMSGRYEGDWVDGKYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYSFANGHRYEGSWHEGRRQGLGMYTFRNGETQSGHWQNGILDVPSTQNASHPVSPVAVYHSKVLNAVQEARRAADKAYDVAKVDERVNRAVAAANKAANAARVAAVKAVQKQMHHRSNSDDIPIPVH >CDP07705 pep chromosome:AUK_PRJEB4211_v1:2:25149345:25150784:1 gene:GSCOC_T00025052001 transcript:CDP07705 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTFLVALLLLPIHLLNARTVITSFNRSSFPDDFVFGASSAAYQYEGAAFEGGKGPSIWDTFTHKYPGKILNGSNGDVAEDFYHRYKVYYYYHKKV >CDP05098 pep chromosome:AUK_PRJEB4211_v1:2:1534432:1540065:-1 gene:GSCOC_T00020030001 transcript:CDP05098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein MTM1 [Source:Projected from Arabidopsis thaliana (AT4G27940) UniProtKB/Swiss-Prot;Acc:Q944H5] MVDELKQSQNLWLNTAAQSSSIVDIEAFQPQEHQLQHSTTISDGKLDFFERAFSAAGAAVFSAILVNPLDVAKTRLQAQAAGVPYSHPLSNLTSRMAVFGPNMMFADLRCSPSCTRAGVHGTVSICPPDCFHYKGTLDVFYKIIRQEGIARLWRGTNAGLALAVPTVGIYLPCYDIFRNQLEEFTLQNAPSLTLYAPLVAGSLARSLACISCYPIELAKTRMQAFKDTHITKKPPGIWKTLIELTSNVKSTPNNQSLQSYRVLWTGLGAQIARDVPFSAICWSTLEPVRRRLLGLMQDDANVVSVLGANFSAGFVAGSLAAGATCPLDVAKTRRQIEKDPIRALRMTTRQTLLEVWRDWGIKGLFTGVGPRVARAGPSVGIVVSFYEVVKYVFHRQYATEV >CDP14011 pep chromosome:AUK_PRJEB4211_v1:2:5056918:5060117:-1 gene:GSCOC_T00039175001 transcript:CDP14011 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGSKSKPCPSDMDMDGKFQAKRGGDVGQNCSKLLELSATDDLAGFISEVEEKGCDVNELSFWYGRTIGTKKMGFEERTPILIASMYGSTKVLKYIVQSGKVDVNRAYGSDGVTALHCAAAGGSDSSVESVKLLLDASADVNSLDANGNRSGDLIVSCVKSLSNSKRKAMEMLLKGIASEAVDVGEEQGNARAQSKEGVEKKEYPIDVSLPDINIGIYGSDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFKKATCAKGDACEYAHGVFESWLHPAQYRTRLCKDETGCSRKVCFFAHKAEELRPLYASTGSAMPSPKSVAITSMDMATLSPLTLGSSSMLMPTTSTPPMSPSTACSSPLGTSMWQNKMNLTPPTLQLPGSRLKTALNARDLDLELELLGLESIRTQQQQRQQLIDEMAGLASPSHWNNNRIGDLKPTNLDDVFGSLDPSLLSHLQRLSPKVPASSSSQLQSPTGIQMRQNMNQLRVSYPANVSSSPARKSSTLGFDSSAAVAAAVMNSRSAAFAKRSQSFIDRGAGSQRAGLSSFSSSQNMMASKVSDWGSPDGKLDWGFNGDDINKLRKSASFGFRNGNAAAAAATKGMSPSVDEPDVSWVNSLVKDVPSTNAGLYSSEQKHGGFNDILPSWIDQLYIEQEQMVA >CDP14043 pep chromosome:AUK_PRJEB4211_v1:2:5329195:5334229:-1 gene:GSCOC_T00039218001 transcript:CDP14043 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGASCQASLLPLSQCGSCSVIHSQPLAFPPVISRKLKAHFLATQLRRNGKVVCRMSETDIDPESDNNETDQSVHEGEDQLKSDTHQSPETGMLESNSLEVKHSPETDAQTGGAEDGEDLQVSIGSPLPGVKPQQLDESIRIPKETIDVLRDQVFGFDTFFVTGQEPYEGGVLFKGNLRGQATKSYEKITKRMEDKFGDEYKLFLLINPEDDKPVAVVVPRKSLPPDTTALPEWFAAGAFGLVTLFTLLLRNVPALQSNFLLTFDNLELLKDGLPGALVTLLVLGAHEACHILVASESGIKLGVPYFIPSWQIGSFGAITRILNIVKKREDLLKFAAAGPLAGFSLGVLLLLLGFILPPSDGLGVVVDASVFHDSLLAGGIAKLLLGDVLKEGTAISVNPLVLWAWAGLLINAINSIPAGELDGGRISFAMWGRKASARLSAAAIGLLGIASLFNDVAFYWVVLIFILQRGPIAPLSEEITDPDNTYITLGIVVLLLGLLVYLPYPFPFTSEAISTF >CDO97090 pep chromosome:AUK_PRJEB4211_v1:2:16448616:16451736:1 gene:GSCOC_T00014325001 transcript:CDO97090 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQHPELSRQVTEILHKRKTPEKLWKETKKTWDIALPAIITAVTQFSLELVTSAFAGHLGDAELAAVSVVQNVIDGFVYGVMLGMGSALETLCGQAVGAGQVGMLGIYLQRSWIITIVTALFLTPIYVFASPILKLLHQNRDISNLAGKFALWVIPQLFAYALNFPVQKFFQSQSKVWMMTMLSIASLALHVLLNWVLVTKLGHGLLGAAMAGNITWFLLDISQIIYAISGCFPDAWNGFSWLAFKSLTNFVKLSLASAIMLCLELWYFTAVILMVGGLKDAAVAIDAISICMNLQLWTMMIAVGFNASVSVRVSNELGAGKPNAAKFSIIVNVLTSGILGIVFAAIIIGTKSKFPLLFTDKAQVIKKTSKLGYVLAATIFLNSIQLVLNGVAVGGGWQFSVALLNIVCYYAIGLPFGAVLGYKFNLGVQGIWWAMFGGSLLQTVILLVIISRTNWHKEAVEADDRVKTWGGATAPTDLPQPQETT >CDO99880 pep chromosome:AUK_PRJEB4211_v1:2:8101563:8107367:-1 gene:GSCOC_T00029583001 transcript:CDO99880 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGILTLFCLKNNSQFKEFAGTSSRSLRENMMGSYHDNRSLPIEVHPSSSAQPVEQYTFAEVHQRHSLGTRRNSEANHDNLLRYKRAAFSPSVVEGREQDYLYSKNGFRENDDYIYPSEELYGRMRHHVDVAYCQRDVINSSVMEPNRQDVVHANSQRGLRSSNSWNNPSSQDQLASKYRFPNRSLPAVDELVRLRVEPESANVEFDHRFLGETEVSMLNFAPNYGLSQRTTCTSNRDAICVSGAESMRSSSDNRYQMEMQDLRRKAISLDELDGYDPPRRSLKRKHDIDEEWSRHRTRDTLLGSTYNQNNSEYQNQRDEEQNHQDAMNVLPSKTLKYNHNDYRGAGRKVDFVDYGGDMISDDQWLYCDPVDYKQEYSGKPFKPGKRILKGRPRHGFLDSDNSKTLNKRCSLTQNVWLRGKNSKELDESAFETQESKNWVSSSESGPLEESKEFKELVQAFFLSFSKMLNEKLGTRNRYKEQGRAGSLFCIVCGKSLSKEFKATQGLATHCFMSKKAGLRAQHLGLHKAICVLLGWSTVVGADVITFTPQAISSSEALAQKEDLILWPPVVIIHSISMSAGHPKGQKVFTEEAVEDFLRGKGFSVGKIKVCQGMSANNIMVVKFLGTFPGLRDAEKLHKYFLDDKHGRIDFEQMSSVKGKDNNKKKERMQADKFEEYVLCGYMAISEDLDKVDFETKRKCSVRSKREIEDIAEEPLKL >CDP17197 pep chromosome:AUK_PRJEB4211_v1:2:47664133:47669674:1 gene:GSCOC_T00000666001 transcript:CDP17197 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNTHFRINFQPFDEFIKMVIPPAVRPERVTNFLKPYVLKMHFTNKFVSAQVIHTPTATVASSASSQEQALRLSMEKARESTRDVAAAGKIGKILGERLLLKGIPAVSIFLKREQKYHGKVKAVIDSVREAGVKLV >CDP17209 pep chromosome:AUK_PRJEB4211_v1:2:47812118:47814461:-1 gene:GSCOC_T00000680001 transcript:CDP17209 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYYIADLPVKQAMPATFFTMQHPSKTMAFSNVVLFLLILLPCGSKVQSQETSAYPKDQNHQGFETMMTQACQHVDDQTSCLANLQSRLDTWSQQNPISVLKAALENTLNEARLAVDSLTKFSTLSVSYREQIAIDDCKELLDFSVSELAWSLAEMNRIRSGVKNSHYEGNLKAWLSAALSNQDTCLEGFEGTDRRLERFVRGSLTQVTQLISNVLSLYTRLHSLPFKPPRNSPNDTSESVDFPEWMTEGDQEIVSASPKGMHVDSVVALDGSGHYRSIAQAIYEAPSYSIRRYIIYVKRGVYHENIDTRKKKTNIMLVGDGIGQTVITGNRNFMQGWTTFRTATVAVSGKGFIARDISFRNTAGPENHQGVALRVDSDQSAFFRCSMEGHQDTLYIHSLRQFYRECSIYGTIDFIFGNGAAVLQNCKIFTGNPLPLQKVTITAQGRKSPDQSTGFIIQDSFVYATRPTYLGRPWKQFSRTVFMNTYMSSMVQPRGWLEWSGNFALGTLWFGEYRNYGPGASLAGRVKWPGYHIIKDASAAGYFTVGRFIDGRSWLPSTGIKFTEGLTN >CDP06843 pep chromosome:AUK_PRJEB4211_v1:2:38388848:38390449:1 gene:GSCOC_T00023857001 transcript:CDP06843 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHWTCSQWQRRRGNSHKFHEHQQDFREVCNELRMRFVIYAFCRSNPNRILPFPFPEHDPVSSTVGMEFAIDNSSQIL >CDO97510 pep chromosome:AUK_PRJEB4211_v1:2:19612322:19614564:-1 gene:GSCOC_T00014878001 transcript:CDO97510 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIWTIGCQLKAEFKLYTKRMFDLLVSAYSTISIQDAALFLGMNEDDATNYVLQHGWIVDANSRMLTVKRQAVAAEQKLDPSKLQRLTEYVFHLEH >CDO99727 pep chromosome:AUK_PRJEB4211_v1:2:9442536:9445266:1 gene:GSCOC_T00029404001 transcript:CDO99727 gene_biotype:protein_coding transcript_biotype:protein_coding description:TET6 [Source:Projected from Arabidopsis thaliana (AT3G12090) UniProtKB/TrEMBL;Acc:A0A178VCX6] MYRFSNTVIGFLNLFTLLASIPIIGAGLWMAKSSTTCESFLQTPLLVIGFVILIVSLAGFIGACFNVAWALWVYLLVMLFLIGALMALTVFGFVVTSQGGGQPVEGRVYREYHLNDYSPWLRKRVEDPHYWMAIRNCILSSKTCASIVMWTPYDYLNRDLTPIQSGCCKPPTSCNYAATTMAQDPDCYLWNNSPTMLCYQCDSCKAGVLEDVRRDWHKLSVLNIVMVVLLIGIYSIGCCAFQNTQRAETDYPYGRNRMSKIHPRWDFHWWRWLNERRRQLY >CDP09336 pep chromosome:AUK_PRJEB4211_v1:2:23534600:23537649:1 gene:GSCOC_T00028658001 transcript:CDP09336 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWGFICMLMMAVFGGLMGLTSLLKSVNRWLFEAKLGDRRFSLPPGDLGWPFIGTMWAFLRAFKSSNPDSFISSFVNRFGPVGLYKTMMFGSPSIIVTTPEACKRVLTDDEAFMPGWPSSTLTLMGRKSFIGISAQEHKRLRKLTAAPVNGHEALSIYLKYIEDNVIEALEKWAGMGQIEFLTQLRKLTFRIITHIFLGSESEQVMEALEREYTVLNHGVRAMSINVPGFAYYNALKARKRLVAIFQSVVTERRARRKENSTAEKRDMMDALMDAVDDKGRKLDDEEIIDVLVMYLNAGHESSGHVSMWATLFLQKNPEVLQKAKAEQEAIVKNRPLGQVGLTLKEIRQMDYLSKVIDETLRVVTFSFVVFREAQKDINISGFTIPKGWKALVWFRNVHFDPELYPEPKKFDPDRWDGLTAKAGTFLPFGAGARTCPGNDLAKLEISIFLHYFLLDYELERQNPSCPLIYLPHQRPIDNCLGRVRRVSPSSVRKKED >CDP07769 pep chromosome:AUK_PRJEB4211_v1:2:26161076:26165637:1 gene:GSCOC_T00025144001 transcript:CDP07769 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVIDWKSIDSRFVKDEVYENINAPQWVDFSAPDASVNDESWFCRPDCNHPKRVEDFFKETTPHFKRSASVSEILPFGERTRRDATLKKRGLRGPSISPIKDLKYGRITEDSENQDPNFLTPPHYKGKFMKEGIKSSTEKKQICESTLKKEQPRSLRSTLSAKNLFAGSDILNKVAEFCNELKKLATRAKDRENVEEKLIKDHVRDDSGDLDDKEKERKPLLEVSMEKHEAQQNSKFQDKRTRKKRYDDAENTPVTINVKTIKHQEETLLQIRTCPPTPQCFSASRGPCNATHTPPQAFKSRIPERGVLQELGQRNFDEKKNEIGKKSNHGGHAAIIGEKEAKPLDVLWFLKPCTLSS >CDP07811 pep chromosome:AUK_PRJEB4211_v1:2:26779741:26780265:-1 gene:GSCOC_T00025203001 transcript:CDP07811 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDIETFMKFAFHQTKLALDSLEVPVGCVIVGEGNNIVASGRNRTTESRNATRHAEMKGIDVLLDLWRETGFSKTQVAEKFSNCILYVTCKPCIMCVAALSMLSIKQVYYGRANDKFGGCGSILSLHFGDHSKRGFKCQEGIIVSEAVSLLRAFYEQGNPNAHRSHRPPSQLV >CDP17780 pep chromosome:AUK_PRJEB4211_v1:2:51441164:51443861:1 gene:GSCOC_T00003899001 transcript:CDP17780 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGHNGSGPCGACKFLRRKCLKGCIFAPYFDPEQGTAHFAAVHKVFGASNASKMLLRVPAHKRLDAVVTLCYEALARIRDPVYGCVGHLFTLQQQVVNLQAELACAQARLSTLQRLPSMLPPPAQGPSPGNFQSCTANASSIHNLEPPLPSEQTSMDMEGFSNPVNDRDLGGGDDLHAFAQGFVSRYLPEVKFKPCTSP >CDP05059 pep chromosome:AUK_PRJEB4211_v1:2:1220435:1223149:1 gene:GSCOC_T00019978001 transcript:CDP05059 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIFSFLGSFQSCIKVAVDFVSPENVQECMKLAEEFRLLPKSHRSKQDILEVKKLALHAARLAVDEARNLMMSLPYDPTLVYFPSASCKLISILVIHESQARHSTDGPW >CDO99927 pep chromosome:AUK_PRJEB4211_v1:2:7695694:7696773:-1 gene:GSCOC_T00029648001 transcript:CDO99927 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIPSIFKNKETAKNHHSLMQRWPSCNQPKTLSFRAGDDVFKTVNSVYFDPLDIGISETQDSWFTNSSESASFSTESEENSGEESLEMILRGVRSERLFFEPGGRSSMILEEEEEAAEVEKSSETFPPLKESVVLAMESEDPYMDFKKSMEEMVETNGLKDWESLEELLGWYLKMNGQTNHGFIVGAFVDLLIGLASSNSNKSCSDHDHDSSFLSASSSFSSPASSPLSPVGRKEKEEIDNDDAGDRIVEELY >CDO97240 pep chromosome:AUK_PRJEB4211_v1:2:17527233:17530404:-1 gene:GSCOC_T00014511001 transcript:CDO97240 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQENCLGSTPGRPSKKRPMMTAATTTTTNIESLQGRQQLSVTTKKRVVLGELTNIPKIVELSSIPKPVHPKPKSSTSREKEEVVKSDADVDRCPDVSRKYADAPLIYQHLRSLEVEGNRRPLHNYMEKVQKDITPTMREILVDWLVEVVDEYKLVSDTLYLTVNYIDRFLSSHALSRTKLQLLGVSSMLVAAKFEEITPPHIEDCCYITDNTYTREEVVAMESNILMFLNFNTSNPTAKSFLRMFTKAAQEISTFSNLQFEFLACYLAELSLLDYTCVRFLPSMIAASAIFLARFTMLSSIHPWSLALQRYTGYKASDLKECILAINDLQLNRRPTSAQALREKYMQNKFKRVAALCPPSEIPSHYFEVVTGKHSSEAQEAPL >CDP16435 pep chromosome:AUK_PRJEB4211_v1:2:35431872:35439756:1 gene:GSCOC_T00018332001 transcript:CDP16435 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTTYTAEALCLVEDSIFSEEHVVKSLVAVGFNNVQLHDQISLGNPNDSTGPSTICPCLPWSNSESSKSDAVTVATDKKYGSKQVISVTPRLYNYILNNVRESKILRELREETSAMHGSQMQVSPDQAQLLSMLVQILGAKRCIEVGVYTGYSSLAIALALPEGGELIACERDAKSLEVARRYYKRAGVSHKVDVKHGLAADTLKSMILNGESCSYDFAFVDAEKRMYQEYFELLLQLIRVGGVIVLDNVLWHGKVADPLVNDAKTISIRNFNKNLMEDERVSTSMVAIGDGMIICRKR >CDP07716 pep chromosome:AUK_PRJEB4211_v1:2:25322314:25324637:-1 gene:GSCOC_T00025066001 transcript:CDP07716 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFLGLSPMTSMKRLLKNMVLLRMLRCRRFAFVTMKTVEDANAAIEKLNETIRYAFRCYLCSGWPKIKVNITEKPLQTLDLSLLQAEESQFIDSRHKVYVGNLAKDVTTDKLKNLFAEKGKVLSAKVSQVPGTSKSSGYGFVTFSSEEEEVEGAISSLNNEDHVKLLT >CDP08822 pep chromosome:AUK_PRJEB4211_v1:2:52701498:52709557:-1 gene:GSCOC_T00027929001 transcript:CDP08822 gene_biotype:protein_coding transcript_biotype:protein_coding MITCTVIEFLGCVPLLQRLPSSSLKKIAHVVTVKHYDRGDYVVREGEPGDGVYFIWDGEAEVSGSVHTEDENRPDFQLKRYDFFGHGTVLSDQHAEVIALSKLTCLVLPHEHGNLLQTKSIWSADKAIENCPLVEHVLHLDPIEVNIFRGITLPDAPKFGKVFGGQFIGQALAAATKTVDCLKVVHSLHAYFLLIGDLDIPIIYHVHRVRDGKSFATRRVDAIQHGNVVFILVASFQKEQEGFDHQEAEMPSVPDPDVLLSMEELRDRRLTDPRLARTYRNKVATSTFTPWPIEIRFCEPNTNTNQDRSPPSLKYWFRARGRLSDDQGLHRCVAAYASDLIFLNVSLNPHRERGLKTTAISLDHSMWFHRPFRADDWLLFVIQSPNAYNARGFVSGQMFTRDGKLAVSLTQEGLLRGAAKTPKSAIKSKL >CDP05328 pep chromosome:AUK_PRJEB4211_v1:2:3354364:3355234:1 gene:GSCOC_T00020329001 transcript:CDP05328 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTPTFSSSATFFLINLLFFTLLTASHSCGTCRNPGPGTGSGARCPRDALKLGVCANVLGLIRVSIGAPPTLPCCSLLQGLADLEAALCLCTAIRANILGINLNLPVTLSLVLNNCGRNPPNGFICP >CDO97154 pep chromosome:AUK_PRJEB4211_v1:2:16861912:16862735:-1 gene:GSCOC_T00014406001 transcript:CDO97154 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSIQQIRICIFCSPETTKWSEFWSALGYVTAGPKTGRALFYYLAESSNSPSTTKPLVLRLNGGPSCSSFGIGATTELQTYNCRNLPPVLDFLTQNTASDYITGDTKTATDSYTLIMNWSERFPPRA >CDP08805 pep chromosome:AUK_PRJEB4211_v1:2:52841268:52851223:1 gene:GSCOC_T00027908001 transcript:CDP08805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 4 [Source:Projected from Arabidopsis thaliana (AT1G01650) UniProtKB/Swiss-Prot;Acc:Q0WMJ8] MKLKMRALSCTVSTVVLAVVFLSSSSVFAGDIVHQDDEAPRRPGCDNNFVLVKVATWIDGNEEMEFVGVGARFGQTLESKEKRADQTRLALADPPDCCSKPKNKLTGEVILVYRGNCSFTTKANVAEDAGASAVLIINNQTELFKMVCEPNETDIDIQIPAVMLPIDAGQQLEAVMKNKSAVMAQLYSPHRPLVDVAEVFLWLMAVGTILCASYWSAWTAREAAIEMDKLLKDGSDDYLNLESSNLRGVVDINTSSAVLFVVVASGFLVMLYKLMSFWFIEVLVVLFCIGGIEGLQTCLVALLSCFRCFEHAGESFIKVPFIGAVSYVTLAVSPFCIAFAVVWAVYRRVSFAWIGQDILGIALIVTVLQIIRVPNLKVGTVLLSCAFLYDIFWVFVSKWWFKESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVAFSLRYDWLSKKNLREGYFLWAMIAYGLGLLITYVALNMMDGHGQPALLYIVPFTLGTFLTLGKKRGDLKNLWTRGEPERPCTHVELQQTE >CDP00016 pep chromosome:AUK_PRJEB4211_v1:2:6978913:6981177:1 gene:GSCOC_T00029771001 transcript:CDP00016 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIVAENISPIRDCMPTSDRRQTVQMTAAGASPSPTLGQLLKRVGDARKEATGDETPVHQVLDLSDPGMEPRSLPFVLSFTNLTYSPTDGETLFAKTKVLLNDISGEARDGEIMAVLGASGSGKSTLIDALANRIAKESLKGTITLNGEQLESRLLKVISAYVMQDDLLYPMLTVEETLMFAAEFRLPRTLSKSKKQMRVQALIDQLGIRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSIHQPSYRILGLLDRLIFLSRGHTVYSGPPTNLPQFFADFGHPIPENENRTEFALDLIRELEGSPGGTRSLVEFNRTWQNMKRGTNTAGTGNVESSPTHGLSLKEAISASISRGKLVSGATNTDASPTSMVPRYANPFWIEMAVLSKRSFTNSRRMPELFGIRCGAVMVTGFILATMFWRLDNSPKGIQERLGFFAFAMSTTFYTCADALPVFLQERYIFMRETAHNAYRRISYCLSHALVSLPALIFLSFAFAAITFWSVGLDGGTSGFFFYFAIILASFWAGNSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPPYWIWFHYISLVKYPYEAVLQNEFDDPAKCFVRGIQIFDSTPLGAVPDSLKIKLLASMSNTLGVKITSSTCVTTGVDILKQQGVTDLTKWACLWITIAWGFFFRILFYFSLLLGSKNKRR >CDP08865 pep chromosome:AUK_PRJEB4211_v1:2:52364831:52368874:1 gene:GSCOC_T00027982001 transcript:CDP08865 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKGISLILFVGLIAILSSNQFRNAPLLILEYVNDLITLETLSSSTVIPIDLMLGYNRGHHHHHDDKKRKIVSICDDFPPDFPPPDTNTTSTICVDHNGCCNFTTVQAAVDAVEVLSSKRTIIWINNGIYFEKVIIPKTKPNITFQGQGFTSTAIVWNDTANSSHGTFYSGSVQVFAANFIAKNISFMNVAPIPGPGAMGAQAVAMRIAGDQAAFWGCGFFGAQDTLHDDRGRHYFKECYIQGSIDFVFGNGKSFYENCQLTSIANPVAVGARAITGAVTAHGRASKDENSGYAFVNCSIGGTGRIWLGRAWRPFSTVVFAYTSMSDIIASEGWNDFNDPSRDQTVFYGEYNCSGNGANTTLRVPYAQKLNDTQATPFLNISFIDGDQWLQPYS >CDO96883 pep chromosome:AUK_PRJEB4211_v1:2:14366656:14366919:1 gene:GSCOC_T00014052001 transcript:CDO96883 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAGTDTSSVTIEWALLLNHPGVLEKARAELDARAETDQLVDEHDLSNFPYLHNIISETLWLCPIAPILVPHKSSDDCKIGGYNIP >CDO99936 pep chromosome:AUK_PRJEB4211_v1:2:7639780:7639962:1 gene:GSCOC_T00029658001 transcript:CDO99936 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTNSAVAAMEGGKPAIVTNAEGQRTMPSVVAYTKNGDRLVGQVAKRQAVVNLETSFSL >CDO97606 pep chromosome:AUK_PRJEB4211_v1:2:20327223:20332346:-1 gene:GSCOC_T00014992001 transcript:CDO97606 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVCFTASFAKPSQTHRNFTIFKPLKPIDSPKSNLLPKQSLAIPIIKCASEDSLSLQPVRENPEKPGWENVLSALASLYPVYVTVGGIIACLKPSTFSWFVEKGPASYSLSLGFIMLAMGLTLELKDLINLFRQRPFSILYGCAAQYTIMPAFGWIISKSLGLPPVMSVGLILLACCPGGTASNVVTLIAQGDVPLSVVMTVCTTLGAVVLTPLLTKILAGAYVSVDAVKLSISTLQVVVAPILLGSSMQSIWPDAVKCITPFAPLLAVLASSLLACSVFSENVIHLKSSMVGASLSSDLPLLSRVHTILSSELGIVIVSVLMLHFAGFFVGYLSAAIGGFAEPQRRAMSIEVGMQNSSLGVVLATSHFSSPMIAFPPAMSAVIMNIMGSTLGFIWRYIDPSDSKKNVKVGSE >CDP00098 pep chromosome:AUK_PRJEB4211_v1:2:6234279:6238978:-1 gene:GSCOC_T00029892001 transcript:CDP00098 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFQHVVTAPFARAVRFRTLTVACSTVTLPSLKKKAEASSKVDLQSQVLLGMSEQELQQLAVDFGQERYRGKQLHHLLYKRKVKQIQDFSQVPLAFRNELQEAGWRVGRSPVYKNVTASDGTIKLLIKLEDNRLVETVGIPVEDDKGSVRLTACVSSQVGCPLRCSFCATGKGGFARNLKRHEIVEQVLAIEDVFKHRVTNVVFMGMGEPMLNLKEVLEAHRCLNKDIQIGQRMITISTVGVPNTIKKLASHKLQSTLAISLHAPNQKLRESIVPSAKSYPLEVIMKDCRDYFLETSRRVSFEYTLLAGVNDTIEHALELAELLHEWGRSYHVNLIPFNPVEGSDYQRPYKKSTLAFAAALESRKITVSVRQTRGLDASAACGQLRNQFQKSPLLTGAEDQQSNSEIAVSC >CDP05298 pep chromosome:AUK_PRJEB4211_v1:2:3113905:3117107:1 gene:GSCOC_T00020294001 transcript:CDP05298 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEENINDLGMEDPDNEVIDSEPESEGDEDVKLAEPSKTAVNNRDGLLDKLGDISWPENVGWIHKLSVDIDREQEVDVNDDLTRELAFYTQALEGTRQAFLEFQSMGIPFLRPSDYYAEMVKSDAHMEKVKGRLLAEKRKIEESEERRKARENKKIAKEVQAQKLKERAKQKKEEIESVKKWRKNRQHSGFAGGDKDGDLDFAFEDGKAFERSNKKQPGVAPGDRSGGKAGKGGGRRGMKGPDKNRKNREFRNSKFGYGGRKGLNKQNTSETTSDVRGFNGNFSGRKRKR >CDP21190 pep chromosome:AUK_PRJEB4211_v1:2:21301509:21305926:1 gene:GSCOC_T00002270001 transcript:CDP21190 gene_biotype:protein_coding transcript_biotype:protein_coding MTNARGEVKYPIKGINILKAHGKSARDSYLLKGYALNTGRAAQGMPMRVAPARIACLDFNLQKTKMQMGVQVLVTDPRELEKIRQSEADMTKERIEKLLKAGANVVLTAKGIDDMALKYFVEAGAIAVRRVRKEDLRHVAKATGATVVSTFADMEGEETFDPSLLGHADEVVEEHVADDDMIMIKGTKTTSGVSLILRGANDFMLDEMDSALHDALCIVKRTLESTTVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVARLRAYHHTAQTKADKKNLSRYSFLNPENCCLFQIYMSFCSYQIVISFPLSTALLEML >CDP05099 pep chromosome:AUK_PRJEB4211_v1:2:1543483:1546609:1 gene:GSCOC_T00020032001 transcript:CDP05099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV1 [Source:Projected from Arabidopsis thaliana (AT5G53280) UniProtKB/Swiss-Prot;Acc:Q9FK13] MKWEMEVEEIEAVLEKIWDLHDKLSDAIHSISRAHFLSSIRSHNRKSNDDAASFQFHHRKKTTPDASSANGEHNSDNNRTTGFVYIKDYNLGMGVDADDAAALQEAKSLNAIRTALENLEDQLEFFHTVQAHQRAERDAALARLEQSRVVLAMRLAEHQGKKYKVIEEARALVGDVCNASCFVSPENTPVASPTGEKFASPKGDRSNAFVKVFLSGFRFVKKSLKLDQVGGILGNAALVAMSMLALMHLHQVGLKDKYIVEFPQRQEDLYNRNMTRVSRQEGSRSGRSTQLDVLLARG >CDO96720 pep chromosome:AUK_PRJEB4211_v1:2:12384795:12385766:1 gene:GSCOC_T00013831001 transcript:CDO96720 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYPQAELPLNENDSQEMVLYEVLTEANAISPSNFPSSRNQASVNKMLHRPSHATGKKHYRGVRRRPWGKYAAEIRDSTRNGTRVWLGTFQTAEEAALAYDRAAFRMRGAKALLNFPSEIVTASESSILRQRVHHNHHAKLNLDRQESNSSSSSTEVSSGTGLGLASFESENAAGDLSNAESEEYAATFSVF >CDP09351 pep chromosome:AUK_PRJEB4211_v1:2:23339285:23339972:1 gene:GSCOC_T00028681001 transcript:CDP09351 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKKTSGSTKNGRDSKPKNLGGEKVILGNIIVLQRGILAS >CDP16448 pep chromosome:AUK_PRJEB4211_v1:2:30508693:30512810:1 gene:GSCOC_T00018359001 transcript:CDP16448 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMGNCSLGNCKCGINYYNDLSGEDGSSEADAGDGGGAVVVVGVKMDTRSKELLTWALVKVAQSGDRVIALHVIDPNTEDKATLLSLVKSFDSVLAAYEGFCNLKQVDLKLKVSRGSPIRKVLAREAMSYGAMSLVIGTAETNHPMRSVVSVAKYCAKTVGKDISVMAVYNGKIMFRREATHSSGHDLQGSDAMGSRQKRRKTSLSCPPSLVSSTFSCEDSSSPLSSSSALEVDNPMGLVPVQTQKVPEPKAGWALLRKAFLHYPKSSALSSVKKSSVMQWILKLPSLQSFAVICPDHEHNVSEKDKLLCSDLDEDKGTTVPFGAHNGLSEHFSASALEIIPKELEGLSEKYSSKCRLFSYQELLSVTSNFSPDNMIGKGGSSRVYRGILPDGKELAVKILKPSADVLKQFVSEIEIITSLNHPNIISLFGFCFEDNNLLLVYDLLSRGSLEDNLHGNQKKSNTFGWEERCKVALGVAEALEHLHNRTAVPIIHRDVKSSNILLSEDFEPKLSDFGLAMSSSSSSYQINSNDVAGTFGYLAPEYFMHGKVVDKIDVYAYGVVLLELLSGRKPIDNGHPKGPESLVTWAKQILKGGKVADLLDPSLVNAYDDERIEKMVLAASLCIRRGPQFRPNISIVAKLLQGDQETTRWARQQVKGMDEVDVEGQQSSTNIQSFINLALLNLEDHSVSSSSTEQTISVEDYLQGRWSRTSSFDQTLV >CDP05142 pep chromosome:AUK_PRJEB4211_v1:2:1940745:1946979:1 gene:GSCOC_T00020090001 transcript:CDP05142 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSARKKSNKRSNSNLKRQQHLSVASPSMSFRLKHRRKIILLTTVAVAWGVLLTVSRTSISSTAFQSKLQSSHLHTVEVVNEFPHDPGAFTQGLLYAEDDTLFESTGLYGNSSVRKVALRSGKVQAIQKMKRSYFGEGLTLLGERLFQVTWLRNIGFIYDRNDLSKFEEFTHQMQDGWGLATDGKILFGSDGSSTLYQMHPQTMKVISKHIVKYKGHEVRYLNELEYVNGEVWANVWQTDCIARISHDDGTMLGWVLLHNLREALLAAGFTGIDVLNGIAWDKDKNRLFVTGKLWPKLYEIKLHPIQTPFNGGDVEKICIPRAIHF >CDP00099 pep chromosome:AUK_PRJEB4211_v1:2:6230710:6234080:-1 gene:GSCOC_T00029893001 transcript:CDP00099 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQPYAFLANKLPFVLYSGPSNRRSPHSTGFRRSCRISLSVHRRFKESKISKSLQLFLIFSSTLVLCNFFREHLKSLYRQRSCCQGPAVTQISAMAVGLAEG >CDO97469 pep chromosome:AUK_PRJEB4211_v1:2:19267254:19272976:1 gene:GSCOC_T00014818001 transcript:CDO97469 gene_biotype:protein_coding transcript_biotype:protein_coding MADCLCSILNSRAITSLSLLIPRCPPQNPFISLPALSFANPRVPRRRKEKQLLFCISNNESSSSISSSSKIDIISTREHSDGSIVFQFGDPREAMKDDELKQSSLSNKGGESDGQVESRVVKVLDGDHERKVIVKKMEREVRVHSSNLVADSGTSGVVSTNEESSTSSFLEGPSKSSEKLNAEVPVSGLSVEKVWNVSSSELNANTLIDADDTLSTVSVLEKRSKKESGETSEVLLDGKGDNEVVQQLVSVKPDSPTEVTDTVHQESDSESVELLDLPGDSESHSLESGINNIIMDDSSDSDTIEVMPVSPQTDAEPTLDEEAGCIAVDTFGEEDTSIQISEIKSDQSIVLSEAGIGLDKLQRSDNSERSSLEIIQLESPEVPVDGEEIPIAEIVLSSAAALLPHPAKLLTGGEDAYFISGRYWLGIADGVGRWSDRGIDAGVYARELMENCEKIVSEGNGSITIGRGRRRVRVRATPEEVLSLSVAESQSPGSSTVLVAHFDGQALHVVNIGDSGFLILRNGVVFERSHRMTYKFNFPYQIQRGHDPSELLEEYEIELEEGDVIIIATDGLFDNLYEQEIVSIVSASLSAGRRPKRIAEMLAAGAQEVGRSSTERSPFTDAARAAGDPTRKGGKLDDVTVIVSLVRKEYSSHGRG >CDO96791 pep chromosome:AUK_PRJEB4211_v1:2:13096258:13098088:1 gene:GSCOC_T00013921001 transcript:CDO96791 gene_biotype:protein_coding transcript_biotype:protein_coding MFFISIAQAANPQFKVEGEVYCDKCRAQLKNRLSEPMPGTNFVYLEPLAPFFHARHLTYSLEGQTEASTIYSLEVEGDHEDEICEDVLVKSNKPDCSEIPKQGLAQASSRISLTANNGIVD >CDP08801 pep chromosome:AUK_PRJEB4211_v1:2:52885154:52889031:1 gene:GSCOC_T00027903001 transcript:CDP08801 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKPATTLFPSIEKCDTTVDRESIAADLDGTLLISSSSFPYFMLVAMEAGSLLRGLVLLLSFPLIAIAYIFFSEALAIEMLIFISFAGVKVRDIELVSRAVLPRFYANVVRRESFEVFDKCKRKVVVTANPTIMVDPFVKDFLGADKVVGTEIEVNPKTKRATGFVKKPGVLVGKWKRLALLEEFGDEKPHVGIGDRESDHDFMAICKEGYMVYPSKSSRPLPLDRLKSRLIFHDGRLVQCPTPLNALITYIWLPFGFVLSIFRVYFNLPLPERIVRYTYPMLGIKLVIKGTPPPAPSDGSPGNLYVCNHRTALDPIVIAIALGRKVSCVTYSVSKLSRFLSPIPAVALTRDREADAARIKSLLQKGDLVVCPEGTTCREPYLLRFSALFAELSDRIVPVAVNQKTNMFHGTTVRGVKFWDPYFYFMNPTPTYEVTFLDRLPEEMTCKAGGKSPIEVANHVQKVLAGVLGFERTQLTRKDKYLLLGGNDGKVESMYGKKPNGST >CDP07666 pep chromosome:AUK_PRJEB4211_v1:2:24504374:24506225:1 gene:GSCOC_T00024993001 transcript:CDP07666 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSQLWSLLGLLTVLQNILPTQLLSFLHSFYESLQDFFSPHAYFEIPEFNGYCGVDVNDLYRHVNLYLNSVYPSSSCRRLSLSRSKSSNRIAFTVAPNHTVHDSFDGHHLSWTHHVETIQDSLEEKRSFTLQLPKRHRLTLLSPYLQHVTTKAEEFERVSRERRLFTNNGHGSYESGWSSVPFRHPSTFETLALEPELKDQLMDDLKAFSEGKEFYQKIGRAWKRGYLLHGPPGSGKSSLIAAMANFLCYDVYDLELSKVSDNSELRALLIQTTNRSIIVIEDIDCSIDLTGDRLAKTRGESSIHNHKKGPPSRHDHRGCSDSGGGDDGRVTLSGLLNFTDGLWSCCGEEKVIVFTTNHRDNVDPALVRCGRMDVHVSLGTCGMHAFKALVKSYLGVDSNASFDVAESCIRSGGALTPAQIAEILLRNRRDADVAVKAVISAMQAKILGVEGAGDHHGGGEYDDLAKSLESFDRRLMGSPDNWEGSPEKLVGKKRKDGYNSCSWERKVNLLVRLKSLTKSDSGRRGV >CDP15508 pep chromosome:AUK_PRJEB4211_v1:2:45774912:45778720:-1 gene:GSCOC_T00015364001 transcript:CDP15508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AUXIN RESPONSE 4 [Source:Projected from Arabidopsis thaliana (AT1G54990) UniProtKB/Swiss-Prot;Acc:Q9FZ33] MAILTEEPDPPKPSTETTPKPLSKSKAKTTTSSPPSSSSSTNYTNPFQFWFYFTLTVSLVTLFFISLPSFSTQDPKTWFFNLPPNLRQHYSKGRIFKVQLTPNHPQVEAFSIQDGPFSSDHRVLIVHGFGCSSFAFQGIVKSLGLKGVHAIAIDLPGSGFSDKSVVVAEENVGGSGGVLGGFWEVYGQIKERGLFWGFDQLIEKGYVDYEEDDIRVSKREVVKAIELGDEEMGRVLSQVIDAMGLAPVDLVLHDSALGLSANWILENARLVRSVTLLDSVPSRTALPSWALELPVVREAVLGVGLVFQSVLGKYCLKSVGKAEAEAHRLLLKGRNGRRSAVGMVKRANHSLDLSEWSGLNEVKDLPMRVIWSSGSSKEWSEEGSRVADALSQATLVSHSGGAWPQEHVSEEIAESIYEFISALPKSTRQSEEEPIPEHVQMMLDDAKGNDHHNHHHHHHHGHDGHAHAGYSDAYGLGHGWAV >CDP07679 pep chromosome:AUK_PRJEB4211_v1:2:24631624:24633351:1 gene:GSCOC_T00025010001 transcript:CDP07679 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSEGLSAIDPIPRYTSLISQCINAKNLKLGRQLHSLLIKTALTLNTFVTNRLMDMYSKCNSIACAEKAFIDVPVKNSHSWNTIISAYAQMGWFSKAYQLFDLMPARNVVSYNSVISSLTKHGFYRESINIFKRMQKEDSLRIDGYTVVSLVNACASLGSLRLLGQVHGAAIVNGLQFGVVLCNSLIDAYGKCGRPQWSYMVFSHMQERDIVSWTSIVVAYAQAGRLEESCKVFHQMPERNVVSWTALISGFAQNGQGEKALYLFSQMLEEGVVPSAFSYVSVLSACADLALVERGKQVHGRITRGASTADYHNAYVVNALVDMYCKCGDMRSSMTLFKRFHDKDVVTWNSMITGLAQNGQAESSLALFKCMRETRVHPNYVTFLGVLSACSHAGLVSEGFQILDRMEKDFGTVPRLDHYAILIDLLGRKNKFKEACELVERAPEGSDHVGMWGALLSACRIHGNIDLATRAAEALFELEPENSARYVMLSNVYSAAGWWDEACRVRHLMDERGLSKEAAYSWIEVRNIRHEFISKGRTHSEIEEMLHKLVDQMKDAGYIPLIPILYLPHDRVS >CDO99473 pep chromosome:AUK_PRJEB4211_v1:2:12170373:12171615:-1 gene:GSCOC_T00029066001 transcript:CDO99473 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLHQTILLPEAPVHSILKEEESSKNPFERLPDDLIVSHILDKIYEAKSLCLISLVSRRFSSLVYQTHVLSLRIALYWGRAPGQVLDFETCAKFLAKFSSIKSLYVELDYSRNLSGDVDPPVVKWKIDTESASFMILTARSLQLGTTDDDNDQGNAFNPDHFRLLRRFFNCCMIMACWWFKFVEKLVRLLPESLQKVVVADSKREGKVHFARRDIIRMRNGNSNFGVGETNVKAWHAPFLILPLSGYVMKTVTLFIIKGTDRSDSDDLLIAKEAFDGEDDHQVYVEAIEETMKRDLISQDVLPARDFSFYLNLD >CDP05106 pep chromosome:AUK_PRJEB4211_v1:2:1595276:1600525:1 gene:GSCOC_T00020042001 transcript:CDP05106 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAIGKCGSGFIGPSSDSLKTIWLERIKSELSLESKDIEKEWAMTGCTVIAETWTDNKSRALINFLVSSPSKTFFHKSVDASSYYKNIKCLTDLFDSVIQDFGQDNVVQIIVDDTLNCTGLVNHILQNYGSIFVSPCAWQCLNSILEEFSKVDWVNRCILQAQAISKFIYNTSTVLNLMKKYTGGQEIIKSGITKPVSDFLSLQSILKQRSKLKHMFNSPEFSANSAYANKSQSITCVGILDDNDFWRAVEECVAVSEPFLKVMREVFGGKPAVGYIYELMTKAKESIRTYYIMDEIKCSTFLDIVDKKWQNNLHSPLHSAAAFLNPSIQYNPEVKFLGSIKEDFFRVLEKLLPTPELRRDITNQILLFTRASGMFGCNLAREAIDTVTPGIWWEQYGDAAPVLQRVAIRILSQVCSIFTFERNWSTFKQLHSEKRNKIDKETLNDLVYIHYNLKLARSLASRPLEVDPIQLDDIDMTSEWVEEPENPSPTQWLDRFGSALDGNDLNTRQFSAAIFGGDHIFGL >CDP08642 pep chromosome:AUK_PRJEB4211_v1:2:54171627:54179494:-1 gene:GSCOC_T00027677001 transcript:CDP08642 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDAISCPPFGSFADLLSQTIEGIIEVVRTSRNVLIEKKSFAELSSYLDRLIPLLKELKQKDARDSEALKNLIEVLNHETKRAQELITECSEKNKFYLLMNCRLIAKRMQNITREIGQALCCIPLASLDISSGIEAEITQLVNSMHAAEFRAAVVEEQILERIELGIQERNVDRSYANNLLVSIAEAIGISTEQAVLKKEFEEFKKEIENERLRKDHAEAMQMEQIIALLERADAASTREDKEKKYFTIRKSLASHPFEPLEAFCCPITKEVMKDPVETPSGHTFERSAIEKWLAEKNFCPLTSTPLDTSMLRPNKTLRQSIEEWRDRNTMITIASMKSRLSSEEEGEVLDCLQELKELCEKREIHREWVVLEDHIPMLVNLLSAKSREIISRSLLVLHILAKDSDECKESIVKVDNAMESIVRSLGRRIGVGKLAVGLLLELAKSESIRDCIGEVQGCIFYLVNLTRSDDNQASRDARDVLKNLSFSDDNVIQMVKANYFKYLLQRLSSGSDDVKMRMAKTLGEMEFTDHNKSSLFEEGVLDSLLNLVSHGNLEMKMVAVKAILNLSSLTKNGQEMIRQGAVRPLLDILYCHTSQQNLCELVAETIVHLALSTIRQDSSEMELSLLESKDTRQLFSLIHLTWPAVQQRLLQAFYTICQSPSATTVQELLNECCAVQILVQLCDNHEVRVNAVKLLCCLIEKCNEATITEHVGQKTVQTLLRIIEDSENEEEVASALGIIANLPMSTPVSNWLLEGDGLRIMLRFLRSKKPNGPCKDQLIENAVGALCHFTVPANRTSQQKAAEADVIPLLVQLLESGTSLTKRRAAISLSQLSESSSDLCRPIPKHRMCWCFPALPEAGCPVHRGICAVRTSFCLLEAGAVGLLVKVLGEPDPGACEASLDALLTLVEGDGLHGGSKVLDEERAIPSMIKLLGSSSPRLQEKILTSLEKIFQVPEIKQKHGPSAQMPLVDLTQRGSTQIKPLAGRILAQLNVLHEQPSYF >CDP07708 pep chromosome:AUK_PRJEB4211_v1:2:25221921:25225704:-1 gene:GSCOC_T00025055001 transcript:CDP07708 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSDVVRIPTRIYQQQHNKQMSTPAMAQLLLNTLYYKRFFAYYAFMFWGSLMVRGDKPEIFVLNAEGAQREYMELRKD >CDP05064 pep chromosome:AUK_PRJEB4211_v1:2:1253201:1253683:1 gene:GSCOC_T00019984001 transcript:CDP05064 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLYSKNARNVPGYEEPTVLAAETPFTVDEVQALYELFKKISSSIIDDGLIHKAISPACLY >CDP00065 pep chromosome:AUK_PRJEB4211_v1:2:6458498:6463034:1 gene:GSCOC_T00029843001 transcript:CDP00065 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR9 [Source:Projected from Arabidopsis thaliana (AT2G39570) UniProtKB/Swiss-Prot;Acc:O80644] MGIPSWDDIVLIEHGKNSGEPSVVKVNCPDKAGLGCDLTRIILEFGLYVTRGDFSTDGKWCYIVLWVVPRPSSLKIDWESLKSRLLSACPSCLILSYFNQQSSCSPPPQVYLLKVFCLDRKGLLHDVTKLLCELELSIQKVKVMTTPDGKVLDLFFITDGMDLLHTQERRNKTCKHLGLVLGDYCISCELELAGPEYVGQQDFSSLSPEVAEDLFSCKLSHKDACSQVVTSKVARVNKATITVDNVLSPAHTLLQIQCTDQKGLIYDILRTFKDLDIQIAYGRISPTAKGYRNMDLFIQKRDGKKIVGHESQVALCSHLKEEMLHPLRVTITSRGPDTELLVANPVELSGKGRPRVFYDVTFALKTLGICIFSAEIDRHSTSDRQWEVYRFLLEESRGFPLASQRARSEIVERVKGTLMGW >CDP07694 pep chromosome:AUK_PRJEB4211_v1:2:24837945:24841562:-1 gene:GSCOC_T00025034001 transcript:CDP07694 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFKPFMPKEQAGLEGRTIDIGNLKIQVRNAIAEGGFSCVYLARDAIHGTKQYALKHIICNDEESLELVMREISVMKLLKGHPNVVPLSAHTIFDMGRTKEALLVMDYCEKSLVTMLDNRGAGFLEEKQIFTIFRDVCNAVFAMHCQSPPIAHRDLKAENLLLGPDGLWKLCDFGSTSTNHKRFEKPEEMGIEEDNIRKHTTPAYRAPEMWDLFRRDIISEKVDIWALGCLLYRICYLKSAFDGESKLQVLNGNYRIPELPKYCTSLTDLIRDMLQSSPDSRPDITQASTFLDWLLLLGF >CDO97098 pep chromosome:AUK_PRJEB4211_v1:2:16494524:16497866:1 gene:GSCOC_T00014335001 transcript:CDO97098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV2 [Source:Projected from Arabidopsis thaliana (AT2G16070) UniProtKB/Swiss-Prot;Acc:Q9XII1] MDEDRIGLVLVRVAELRGKITNCVHKAATSGKKEDGESLSKDSKVGVDAQEQEAEEDDDEEAAESLLNIREALESLEAQLSSLQALQQQQLYEKEAALAEIRYSQRKLLEKLKDYRGEELEVIREAIAFAGEAVEDNNDLLLPPYPSRPLHSAMSDNDYLSQFPSTRKKTQNGVVSGETKNAPAKGFLESDTDQLQPEPGRPLSRVKGFIGAVAKSALTIVGVFSVLSLAGYEPRLRKGDKQFKLLHLFQQHQSGDVPVLENGETRCLVKERVEIPFESGVATPDVNYGCG >CDO97452 pep chromosome:AUK_PRJEB4211_v1:2:19152233:19160487:-1 gene:GSCOC_T00014799001 transcript:CDO97452 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSERENGGQLSSMDSESRWVFQDDEDDEEASAIGYGEGDDENDDADGLLSPRNGTMDSDDDDNDNAEQRLIRTGPRIDSFDVEALEVPGAHRNDFEDITVGRKFLLAFQTLGIVFGDVGTSPLYTFSVMFSKAPVNGNEDVLGALSLVLYTLILVPLIKYVLIVLWANDDGEGGTFALYSLICRHAKVSLLPNQLPSDARISSFRLKVPSAELERSLKIKERLETSLTLKKLLLMLVLAGTSMVIADGVVTPAMSVTSAVGGLKVGVSGIKQDEVVMISVAFLVILFSVQKYGTSKVGIVVGPTLFVWFCSLAGIGIYNLLKYDTGVLRAFNPIHIYYFFKRNSTKAWYSLGGCLLCVTGSEAMFADLCYFSVRSVQLTFVFVVLPCLLLGYLGQAAYLMENHDDTTQAFFSSVPSGAFWPVLLISNIAALIASRTMTTATFSCIKQSTALGCFPRLKIVHTSRKFMGQIYIPVMNWFLLFFSLVLVCTISSIYEIGNAYGIAELGVMMMTTILVTLVMLLIWQINIIIVLSFACIFLGLELTFFSSVLWSVGDGSWIILLFAIVIFLIMYIWNYGSKLKYETEVKQKMSMDLLRQLGPNLGTVRAPGIGLLYNELAKGIPAIFGHFLTTLPAVHSMIIFVCIKYVPVPVVPQSERFLFRRVCPKSFHIFRCVARYGYKDVRKENHQTFEQLLIESLEKFIRREAQERSLESDGDGDSDSEEEHSFSRVLIAPNGSVYSLGVPLLTEFRDASKSSAQASTSEEVKPESSSSPTLSDAEQSLEKELSFIRKAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGIANLSVSHSHLIQVGMTYMV >CDO97629 pep chromosome:AUK_PRJEB4211_v1:2:20508716:20512976:1 gene:GSCOC_T00015025001 transcript:CDO97629 gene_biotype:protein_coding transcript_biotype:protein_coding MENRIAAGSYFQYSPSGGHPPLQRSTSISDRERYLADLIAERRNLGPFIPILPICSRLLNQEIMRVSGLVSNQYLDHERMGHESPYGSLGQHPNGGPMSVETWNPLGTEENRLLQKLAPFQPSPLEWHSSPGTIPIVKRVIRLDVPVDKFPNYNFVGRILGPRGNSLKRVEAMTECRIYIRGQGSVKDCIKEEKLKDKPGYEHLNEPLHILLEAEFPEDMIDSRVDHAVAILEKLLKPVDESMDLYKKQQLRELAMLNGTLREESPIMSPSMSPSMSPFNSTGMKRAKTGR >CDP09385 pep chromosome:AUK_PRJEB4211_v1:2:22955346:22956464:1 gene:GSCOC_T00028731001 transcript:CDP09385 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYPPPPPPPITTASPGGSSSTTIVIPHPQYDSSIHPDVMNDTSPSPSPSASIIIVIIVIASAIIVSASIYLLLRFLSRRCHRTFRTFSSTSVADDVVLQNRRISVSGCVDDRRVADSSLFDSLPLFTFGSVTGKLAAGDCAVCLSKFEPQDQLRLLPLCCHAFHAHCIDTWLASNLTCPLCRIAVNASDADVLSKIIASSEGTQRNNDMNSNGNNNVNRSGSFRVEIGSISSRRGTSDTSGDGRRSYSIGSFDYIVDDGCEVPVDSTHHRGVSDCTSTDKESFGIPVTGPPGESLAAEVAGGRSWLRDYVDRLSSFSFSSRTMSFRSSGRYMFGSSRRNDVVVPVADLEANPNPIGEEIGEYFRWLSGV >CDP04951 pep chromosome:AUK_PRJEB4211_v1:2:364584:365948:1 gene:GSCOC_T00019827001 transcript:CDP04951 gene_biotype:protein_coding transcript_biotype:protein_coding MSWELSVLNGNLDVCRRAVHVSVYDKNPEEHVTSTAVPDDVIQPQSEEYWAPHPQTGVFGPSTEQNPVACGERGLRTSDVNAPGDSVLEQKAFFRPLEDLEKPPPQP >CDO99597 pep chromosome:AUK_PRJEB4211_v1:2:10974562:10979896:-1 gene:GSCOC_T00029235001 transcript:CDO99597 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIQPIPKWLAFIHTTAEVILEQHRHHLPRWFASVLPAMTELAQAAGLDLVFSQRSKRLLSDLFHQRQQILKMTCTENPVDYSQQKIPSLLWYLESLPPTYHVDEQNIMKHLSGDGSILQSPSATASAYLRTGSSKCLEYLEFLVQKCPNGVPAEYPLDEEFIKLCLVDHVQRLGLAEHFEEEIEDILKLVYKNLRKQDLEPANRRLVAAKLYKDALAFRLLRMQGHDVKPSCFCWFLQHADTLEYIEQNCEQLTSVLYNVYRATDVMFRREYELEEARAFSRKLLEKSISFSCKDDDLVIFPGLHKLIKHELTLPWITRLDQLDQRMWIEENKISPLWIGKASFYRLFHLQHEKMVQLANENYKLRQSVYAKELEQLKRWSKESGLREIGFGREKTAYCYFAVAASSCLPRDSVVRLIVAKIAILITVADDFYDVEGSTRELKILTKAIQRWNGENLTGHSKIIFDALCDLVMDIARKYNHQREGSKFLKGIRDIWQETFGAWMVERTWSDTGYRASLDEYLDIGMISIGAHTIILPACCFLSQDYPIEKLKPDNYGKITKLFMSAARLLNDTQSYQKEQIDGKMNMVLLHLDENPNAKIDGSVAYVKGILEEKTKEFLEIVLMDDGPEEMPKSCKHFHLSCMKVFHMFFNSTNLFDSEEELLNDIKKAIYIPPDLDSQMSDLYIPPPPEKEEILQISARWDVNTTQHFLHGIGKESAV >CDP07665 pep chromosome:AUK_PRJEB4211_v1:2:24491152:24492090:-1 gene:GSCOC_T00024991001 transcript:CDP07665 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPSTEVHHDAHPYFRVYKDGRIERFTEFPYTPPSEDPQTGVKSKDILIPPENNVSVRLYLPRTTTPDEKLPIIIYIHGGAFVIESAFSRVYHPYLNSLAAEAKAIAVSIEYRLAPEHPFPACYDDSWIAFEWVLAHASSAQGPEAWINNHADFARIFLAGDSAGANIAHDVMVRASEKIGPGDEVKIAGMILVHPYFGDGKPDKLDKLLSLIAPDSSICDDPRLHPMAHPDLLSRLVCKRVLVLTAEKDFVRERSLLYYSALKKSRWDGELEMMETEEEGHCFHLPNPTSERARVLMKRIVTFVNQDPS >CDP18247 pep chromosome:AUK_PRJEB4211_v1:2:48511937:48514127:-1 gene:GSCOC_T00011809001 transcript:CDP18247 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSKRRQTKNTCSKLAKKPTSKPDDIGSQSNAEKLKASNFPALSIKIGTWERHSMHEGHLVAKCYYAKRKLVWEVLEGALKSKIEILWSDIVGIRATIIDDQPGVLEVELHQPPTFFKETNPQPRKHTLWQQASDFTGGQAPICRRHRVKFAPGMLDKHYEKLKQCDPRLQVLSRRPFPSQESLYFDANNANGLSEFSLDFNGYGPQFLAGLMQFPSYSSHPSLPIHLRQAALPRLMDSNIQMSVNDLIMLENHRRALLSEANLAKLANQIHLPGGPAVTPVGYDFSSHLANAMALGELRRKSNEFSSLGLLNFNNIENHLLVDNAQMVSSSSSSSSDENSIVARVKSMYPFLDLEQIMQGNPTISRGLVGYPESADGAWQEIDEGMATSTSNNSPRPYALAAYPMTEEFSTLALNQDI >CDO99479 pep chromosome:AUK_PRJEB4211_v1:2:12113162:12115764:1 gene:GSCOC_T00029073001 transcript:CDO99479 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLKFDVPKLPGWSLYNVEGGQRAAVFNRIVGVKEKVYPEGTHFLIPWFERPTIYDVRARPYIVEGTSGSHDLQTVNIALCILTRPVADQLPTIYRSLGENFNERVLPSIVHETLKAVVTQYNASQLITQRETVSQEIRSLLIKRAASFNIALDDVSITSLTFGREFTAAIEAKQIAAQEAGRAKFVVEKAEQEKKSAIIRAQGEAASAELIGKATAENPSFIKLRRIEASKDVAQTISNSANRVYLNSDDLLLNLQGMNLSTSSLIEKMNCEGSQLFLIWGIFR >CDO97552 pep chromosome:AUK_PRJEB4211_v1:2:19891471:19892663:1 gene:GSCOC_T00014931001 transcript:CDO97552 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRYPTTITPTQTRIGWVGIGVMGSAMASRLLSAGYSVTVYARTPSKAAPLQSKGAHLAPSVADLARASDVVFTMLGHPSDVRQIVLENLVPSLNPNSVIIDHTSSHPTLAKQIYDSALERHCHSVDAPVSGGDIGARDGKLAILAGGDEDVVKWLKPLFDEMGRVTYVGGPGKGQHCKIANQITTGANLLGLSEGLVFAEKAGLDKLKFVEAVRGGAAGSMVMELFGDRMINKDFKPGGVAEYMVKDLGMGVDVGEEEGDEVVVLPGAALIKQLFASMVANGGGKLGTQGLISVIEKMNGS >CDP08695 pep chromosome:AUK_PRJEB4211_v1:2:53770252:53773072:1 gene:GSCOC_T00027750001 transcript:CDP08695 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWLFITVTPVTSTRNKCSLFRSSRHSYASSTLRRSCSCINRVRASTTEPKRKDSALAVDIFNFQLSSSLIPGSLRSFLFDPLTKKAVNVEENMVGRDRECNKVKVGEELRRANWVERIIELQRQWRQKQLKDEECSIQEASEDCDGNGAEDFCEVEYDDDAAAEDEVEAESFRRLMSHVSWSDTELFAKLASLSNLAYVIPEIKVRSSTGFILLSGKKTWANDLRRCYGLDFVTSSLTKKAEAAALKMKLDQDSTSVPLETSATTESGAEKRQNSRQNHLPHPSVAYDIAASAASYVQSRAKDLLSIGSEPQLEISHAVLHAKGKHQIDEEVGTPPGIHKSEMAAYVAASSMTAVVAADEKQKQEAARDLQSLHSSPCEWFVCDDSSIYTRCFVIQGSDSLASWQANLFFEPTNFEGTDVLVHRGIYEAAKGIYEQFMPEITQHLERFGDRAKLQFTGHSLGGSLSLLVHLMLLTREVVKPLSLLPVVTFGSPFVFCGGQKVLHKLGLDEDHIHCVIMHRDIVPRAFSCVYPNHVAQVLKRLNSTFRSHPCLNKNKLLYSPMGTIFILQPDEMSSPPHPLLPPGNALYALKNTQSANVASALRAFLNTPHPLETLSDPTAYGSEGTILRDHDSSNYLKAVNKVIRQHTRQDIRKARKQRHLLRQLLASKSPHTWSNDCTLEERLATKEPTTGV >CDP14064 pep chromosome:AUK_PRJEB4211_v1:2:5511174:5514837:-1 gene:GSCOC_T00039249001 transcript:CDP14064 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLQAVVVLSALVLFPVSVEPVTRHYKFNVVQRKKTRLCATKSIVTVNGKFPGPTVYAREDDRLVVKVVNHVQENVTIHWHGVRQLRTGWSDGPAYITQCPIQSGQSYTYNFTLTGQRGTLLWHGHVNWLRATVHGAIVILPKRGVPYPFPKPDKEEIIVLGEWWKSDVEAVINQSLQVGRAPNVSDAHTINGLPGPAPNCSSNGYTLHVESGKTYLLRIVNAGLNEELFFKIAGHQLTIVEVDASYVKPFKTDTIFIGPGQTTNAILTADQDAGKYLIAISPFMDTTVATDNQTATGVIRYKSTTAFSPTALTTIPPRNATPVTNSFMESLRSLNSKEYPTKVPLEIDHSLLFTIGIGINPCATCQNGSRVVAAINNVTFVLPTTALLQAHYYGISGVYTEDFPGNPPTPYNYTGTPPTNIQTTNGTKVYKLAFNSTVQVVIQGNSIVAPESHPTHLHGFNFFVVGKGLGNFNPNTDPKKFNLVDPVERNTISVPTGGWTVIRFRADNPGIWFMHCHLEVHTTWGLKMAFLVENGNGPNESILPPPTDLPKC >CDP18370 pep chromosome:AUK_PRJEB4211_v1:2:43031650:43032652:1 gene:GSCOC_T00012949001 transcript:CDP18370 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHIKITKWHGIVLSFLDHSIIPGSLHSKKVAVKKFQFGSIIGGNGLDLNQKFYLQMFSWDFKPI >CDP00053 pep chromosome:AUK_PRJEB4211_v1:2:6559587:6561966:1 gene:GSCOC_T00029827001 transcript:CDP00053 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15a-3 [Source:Projected from Arabidopsis thaliana (AT2G39590) UniProtKB/Swiss-Prot;Acc:O80646] MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVAVKDIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >CDP07685 pep chromosome:AUK_PRJEB4211_v1:2:24718632:24721008:1 gene:GSCOC_T00025022001 transcript:CDP07685 gene_biotype:protein_coding transcript_biotype:protein_coding MDADADDVDEDDDRTSSEHTRDDDDRRRGISVDTPLQLNLLPLAPVPRRTPIHTQPLRWSSDNGSSGSSGNVGLPVVGRGFDVNRVPAVGGVAEEVSSPNSVASSFQMDFSIFRGGGNLGNGGNKRDFGAAGGNDVVEAERTSSRASDEDENGLNTRKKLRLSKEQSAYLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTDENRRLHKELQELRALKTSNPFYMQLPATTLTMCPSCERVATTSTAPSTTTTTAAAAAPVPSTTTTTPATNVKSTTTATTTTSDNAPKAIPFPISRPRFYPFAAAAAHTNHPHHHHHHHHQSAAS >CDO99798 pep chromosome:AUK_PRJEB4211_v1:2:8801255:8802983:-1 gene:GSCOC_T00029490001 transcript:CDO99798 gene_biotype:protein_coding transcript_biotype:protein_coding MAECEDDYYDSYYSERLDHDDANKKKNKFITLTEEDVGSRMDDDILQVSSLLLVSREAATILLCRYNWDVTDVVQEWFENQEVVRSASGLFREKPGPMDVEDGDEEFVCRICWKEHPIALVVVASAACGHVFCKNCWKEFISKSINEGYVKDGGCLMLRCPQDSCGAAVGGNIIRSLASDGDKNKYDKFLARSYVESRANLKLCPTPGCNCAVELDNRGPDRLSKNFDVSCNCMYGFCWNCLGEAHSPVDCETVALWAVKNSSDLETENWLLANTKPCPKCQRRIENVGDIDNGDWYMTFAPPCGCDLRNGEETLACNTYEKAKNEGVYDEVEKTRRRAKASWDYFERWYNNHLSLERAFLDLHLMKNQNLEMLGKIHDLEATQCWFIVDAWVQIVECTRVLKWASVYGYYLQQYEHKKKELFDYLLSEAEGVYEILHQCANKGLSKCLEAESECSLDTFLDFRTKLPALTRVTRKYFADLVTALENGIADVASSSHTIKQK >CDP17800 pep chromosome:AUK_PRJEB4211_v1:2:51249633:51253157:1 gene:GSCOC_T00003925001 transcript:CDP17800 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGRRRRERNYRAAHGAGQTGLPPAPDRSSLDALPAKLRKIMSFAESRKAAISGAQKNKGENSRDTELRLDSEDKVGSKITGIRKDKKDGISMVKHPNVDDEAVQSHVNEKKKKRRKRKQVTDLRFEASGDLGVTGSKRKERKKQRLEERKKKRKKIREEENVGFPAQDEVKFGEVVKGPPKLVAVPKVFKTTQDASQERLRIRAVEAYRRRKGWTSRPGVQLPATAILSEVS >CDO99735 pep chromosome:AUK_PRJEB4211_v1:2:9380500:9387833:1 gene:GSCOC_T00029413001 transcript:CDO99735 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNPTMSPNPDANQLPEADSLPDGFVESSSTVDQLGPETPKTEDEDKEPEPIDYKEEKLVDPNLRPQLVGDGDLDLVEEKSEEATAVVAGSENAESSRGNAQVEEDCQSSGKCPQVDSKGMSSSGNAENNKKAEATEGKRKNAKRTFKSEKEILEFTLKYQHVLAERDSAIAVRDKLESLCRELQRQNKTLMDECRRVSTESQNLRQDLSNKFQDAIKEVSNKLDEQKDECLSQLKENEMLKYKLKELADQYALSEQQHAQKLKQKELELQLADLKLKQHEEKLVQEQSQMKLYAEQVSHLLATEKNLRLQLTADGDKFQQFQEALMKSNEVFETFKQEIEKMAKSIKELKKENAFLKNKCEKSDISLIELVEERELLKKQLERTRNQKEKLEALCRSLQAERKANSTGSSSSDAVAV >CDO97101 pep chromosome:AUK_PRJEB4211_v1:2:16510826:16511377:-1 gene:GSCOC_T00014338001 transcript:CDO97101 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTSYGRRGSMRTAKLILLDGKLEEFSCPMKVSNLPKRNLDFFICNADEMEFDGLVSAVNGDEELQPGQLYFELPLIFLTHRLQAEDMASLAVKASVALSMRSEQQMDKYCYRPRRVAVEDPLAFFHEENVKVRSTTTPQADYCDLRLVKRGGPPAVDGGAGKSKVSKFAATLSVILEAEE >CDP05157 pep chromosome:AUK_PRJEB4211_v1:2:2038996:2040629:1 gene:GSCOC_T00020107001 transcript:CDP05157 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPCVARVFTLISDFGLAKWFPSQWTHHSIVPIEGTVGHLAPEHFMHGVVDEKTGVFAFGVFLLELLSGKKPVDGSHQSLHNWVIKGSQF >CDO97455 pep chromosome:AUK_PRJEB4211_v1:2:19172449:19177807:-1 gene:GSCOC_T00014802001 transcript:CDO97455 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGPSRKRSFVEDDDQSNKPPAQKRVRFPKGKKVKTGDYVVDDQPGEDGPVRSQDPQLVAKERRRRRSQMAADLLDEENRGMLPDIMRAEVQYEDDETFVEDGIQIEPFNLEKEREGGYFDPQGNYVEFLNENEIKDAWLDSIDANKDFAANTFAVPKNEDDVADLSSDDLGKINRRIADSLEPGETVLQALRRLKGTSNNRKEKMSAETKQLFDQLTEDAMMLMDNGDYNVYNEKQETFQREAEGYEKLAAARRQGTSISSGPGKSGSVLDEDFIPNGMDNGKAASVFNLGNGASLNSSAAADNIGDGFDMFGEDDENATFNAVSDGSNLDLSKSSQHSEGGDLQDDYVYDESSGYYYSSRLGYYYDPSSGLYCYAASGQWFSYNEESGTYDEVKQAAYTMS >CDP09418 pep chromosome:AUK_PRJEB4211_v1:2:22672126:22674787:1 gene:GSCOC_T00028774001 transcript:CDP09418 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPKKGSASVLNQWENEGKKLTKWELSRVVKELRKFKRFNLALEVYEWMNNREERFRISTSDTAIQLDLISKVHGISSAEDYFLKLPDPLKDKRIYGALLNAYGRARKKEEAESLMDKMRDRGYACHALPFNVIMTLYMNLQEYDKVQSVISEMLEKNINLDIYSYNIWLSSCGAQGSVGDMEEVLERMKLDTTINPNWTTYSTMATLYIKLGEMEKAEDCLKKIESRITGRDRIPYHYLISLYGTVGKKEEVYRVWDLYKSIFSIIPNLGYHAVISSLIRVGDIEGAEKIYNEWLSVKSMYDPRVGNLLLACYVRNGFSDKAEAFFDEMIEVGGRANSVTWEILAEGHVRDRKISEALSCLKNAVSAEGSQGWRPKPVNVSSVLKLCEQEGDISSKEALMGVLRQAGCLDDETYMSFIPMSTGSFTGVEQAIDRDRTHDDDAGSEIVFSQLQESL >CDP00153 pep chromosome:AUK_PRJEB4211_v1:2:5725235:5729603:-1 gene:GSCOC_T00029969001 transcript:CDP00153 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRPKGDFSVSSRHSSMLQEAVGSKRASDSLLYSYKRSFNGFVAKLTEEEKERLASMDGVVSVFPNGKRELHTTRSWDFVGFPQNAPRKTAESDIIVAMLDTGVWPESKSFDDTGFGPAPSKWKGTCETSKNFTCNNKIIGAKHYRADGQVPDVDIPSPRDSEGHGSHTASTAAGRLVSKASLFDLGSGTARGGVPSARIAVYKICWSDGCYDSDILAAFDDAIADRVDIISLSVGGSFPLNYFEDTIAIGAFHSMKHGILTSNSAGNSGPGASSITNFSPWSLSVAGSVTDRKFVTNVLLGNKNVYQGVSVNTFQLHDELYPLVYGGDVPNVAAGFDSSDSRYCIYNSLDVTKVNGTIVVCDKLNDGTTTLDAGATGTVMQDGGNKDFAFSFPLPVSYLSTRDGTTILNYINSTSEPTAVIRKSTTIVDKAAPYVASFSSRGPNPITADILKPDISAPGIDIVAAWSEATTVTGDEGDTRVVPYNIISGTSMSCPHATGAAAYVKSFNPTWSPAAIKSALMTTATPMSTKTNIDAEFAYGSGQINPIKAAAPGLVYDITEADFVSFLCGQGYNTTTLRRITGNNSSSCTKANNATVWDLNYPSFAVSAKSGQVTRVFHRTVTNVGNPVSTYKATVTAPPQLSIQVNPITLSFKSLGQKLSFSVTVTAEIPRTIISGSLVWDDGVHQVRSPVVAHSYA >CDP17187 pep chromosome:AUK_PRJEB4211_v1:2:47517970:47523667:1 gene:GSCOC_T00000653001 transcript:CDP17187 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKEGMTQEQHHVTIEAPKALQDKGEEVKEVEEKPQNKTKTKRVASLDIFRGLTVALMVLVDDAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGVAIALALKRIPKRLMAIRKVIWRTIKLLFWGLLLQGGYSHAPDKLTYGVDMKRIRWCGILQRIAVAYLVVALFEISTRNAQVKDLSHQWFSIFKMYIWHWVLGASVLIIYLAVLYGTRVPDWHFIVHNEDSTLLGKMLTVTCNVRGKLDPPCNAVGYVDRKVLGINHMYQHPAWKRSKACTYSSPHEGPFRDDAPAWCVAPFEPEGILSSISAILSTIIGVHFGHVLIHMKDHSSRLWHWTVMGSALLIFGITLHFTHAIPLNKQLYTLSYVCVTAGAAALVFSAFYILVDIWKLRYLFLPLEWIGMNAMLVYVMAAADIFAGFINGWYYDNPHNTLVHWIQKHIFIDVWHSTKVGILLYVIFAEILFWAIVAGIFHRLGIYWKL >CDP05345 pep chromosome:AUK_PRJEB4211_v1:2:3459819:3461690:-1 gene:GSCOC_T00020350001 transcript:CDP05345 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQLWCHVSSVDDERLEFQDGDLVVFSEIKGMTELNDGKPRKKKNTRPYSFILEEDTTNFSPYERGGVLCY >CDO97397 pep chromosome:AUK_PRJEB4211_v1:2:18769814:18772883:1 gene:GSCOC_T00014732001 transcript:CDO97397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP12 [Source:Projected from Arabidopsis thaliana (AT5G64350) UniProtKB/Swiss-Prot;Acc:Q8LGG0] MGVEKQVVRPGGGPKPVPGQTVSVHCTGFGKNRDLSKKFWSTKDPGQEPFAFQIGQGKVIRGWDEGVLGMQVGEVARLTCSPDYAYGPSGFPAWGIEPNSVLVFEIEVLGVQ >CDP09472 pep chromosome:AUK_PRJEB4211_v1:2:21878850:21885740:-1 gene:GSCOC_T00028851001 transcript:CDP09472 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEFELVEERLKTFLGQLQTEFAILDRIVYKNKNQHRRCSYFQYLLKVRRDLRLLQSAKLDEILNSCFLVIHGKRPKQKLQLLESLKRRRCDGGKYNFLERLLGVARLLSKMVEPMLKAAVDISKLLAQSFFMGFSLTILSLLARLRVLIQQILLDVVCVYDSVSSLSRKEQAIKITEEGFEVFREYYPIKEQFISLECIWQTDKYILVEKMNESESKTQGKDGREDVSQRASPVQYQSIEVLLGDYETGKAYPEAAVEGPDGMTKDNGSLADPVSESNEEKHLRDGSSGAGPTAHSNMALEGGLLTTSSSSTHSNPLKRKAGKEKVAFMSVQAPSPSTSNKLDSGFKLTDKVDEQKEDPFFSLLTGGNKNTSVF >CDO96773 pep chromosome:AUK_PRJEB4211_v1:2:12934349:12936572:-1 gene:GSCOC_T00013897001 transcript:CDO96773 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFTGSIPRSLGSLNLLETLNVASNYLTTAAYPNELSIISSWTNLKSLKLLILDYVSIKGTIPRTIANFSSSLQKFLVRNCELEGSIPPEIGNFSRMISLDLSSNFFKGQLPLTFQGLPNLQGLELQNNTISGAISPNFCNLRSLTLLDLSQNKFSGQLPECLGNMSSLGKLNLSSNGLNATIPASLWNLKNLMSLDVHSNAFGGFIPQEIGNLLAATDIDLSRNKFSGNIPASVGSLKNLISFTLADNELQGSIPEVVGKMVNLESLDLSQNNLTGEIPTSLISLSHLKYFNVSFNHLHGKIPENGSFLNFTIESFMSNEGFCGGPAHLRLLPCPNNSPQASRTKRFPRFAYILLPTALTVFAVIIFELVLIMAQRRSRNHAQTNPLPPTAHERISYYDLVHATNDFGESNMIAKGSYGSVYKGVLRDGSILAIKVFNLQVEGAFKSFDAECEVLRNIRHRNLVKVISSCSNHDFRALVLEYLPNGSLERWLYSHNYFLDFRQRLNIVIDVATALDYLHHGYSSPIAHCDLKPSNVLLNQDMTGHVGDFGIAKLLSGGESKAMTNTLATIGYIAPGDLKYGSEGKVSRKCGVYSFGILLMETFSRKKPTDEMFTEDFDHNLITPPDENSTTIVQCIFKIMEMAVTCTVESPEERIDIKDALNELKNIKVQFLSK >CDP18233 pep chromosome:AUK_PRJEB4211_v1:2:48398543:48402433:-1 gene:GSCOC_T00011793001 transcript:CDP18233 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGQIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEDELRYHMGLTGITTGKGKVNLADSNVRPLEVFMCSIVRKMGYGEGFKWMSQYIK >CDP08763 pep chromosome:AUK_PRJEB4211_v1:2:53224864:53225061:-1 gene:GSCOC_T00027854001 transcript:CDP08763 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMKRRAAACTQKKCIPVKMANSRDSLLVLSVGVVGIGLGMGIVVGFLMCSYHAATRSFRRRFF >CDP05110 pep chromosome:AUK_PRJEB4211_v1:2:1634809:1637294:-1 gene:GSCOC_T00020048001 transcript:CDP05110 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVCSSRLISRDYGVFLISPTPSSSSFATYTTFSFSLGHPVLFNKFPPIPCRRPPLSSSSPSRRRTLVCSKSSEEEEEEVSSGGTQVDEWLQRLPDKKKPLYSHSLPCVEAWLRSLGFHQSKEDRAVWFVENPDWHAQLSLDVTDLYIRYLKSGPGNLEKDVERRFSYALCREDIENAILGGP >CDO96899 pep chromosome:AUK_PRJEB4211_v1:2:14801788:14805284:1 gene:GSCOC_T00014078001 transcript:CDO96899 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSLTGRIPSSIFNISSLRMIDFSNNSLSGSFPVDMSYNLPALEELYLFTNHFNGSIPSFIWESKNLVIVRLSENNFTGGISRRVGNLTSLKGLDLSDNELTVLLSLNLTCLDYLKPLSQSFGTGEIPVEMGNNAILQRLALGYNNLSGHVQPGFFNMSSLTLLVLYNNHFSGPLPASMWTTLPNIERIYLSTNKFTGMLPSSISNVTKLTLLAMLENSLTGPIPNTIGDLKFLRRLYLGGNNFTRESSTEELTFITALTKCREFEAAELSLNQFNGFLPSSIGNFSASLQLLEGEIPNGGPFVNFTDQSFLQNSALCGSDRLHFSPCKTETHSKSRSKTVLRYILPPIVFGILIVAVIVYLWASKQRQSVGLPQTDFSLPHTWRKFSYLELLKATDSFSTKNLLGTGSYGSVYRGTLADGSNIAVKVFHLQSEGAIRTFDSECEVLASVRHRNIIRILSCCSNEDINALVLEYMPKGSLESWLYAGNYFLNFLQRTHIAIDVGSALEYLHHDHMPPIVHCDLKPSNILIGQDMTACICDFSIAKLFGEREVLAQTKTLATIGYMAPEYGTEGIVSPSGDVYSFGIILLEMFTLKKPTDDTFGEELNLKQWVMESLRESSIMDVVDSNLIGQDDVQLQGKKECLEDIFHLGLDCLADSPHGRINMKDIVLRLKKIKAKLLK >CDO97036 pep chromosome:AUK_PRJEB4211_v1:2:16042892:16044673:1 gene:GSCOC_T00014252001 transcript:CDO97036 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTRSFLSYVELKKQAMKDLEAEPDIEMGQLDPVDEKNLSQFFEEVGAIKADMEEITNLLLDLKDLNEDTKSIHSAKILRGVRDRINSDMVTILRKAKIIKGRLELLDTSNAENRGVSNAYKAGSPVERTRVSVTNGLRMKLRDLMNEFQGLRERIVADHREGLKRRYYSATGEEPSEELLEKMITGNAKERVFEGKADLLLENQERHEALKEIQKSLTELHQVFLDMAVMIDNQGDQINNIEQNVANAKSFITGGAKDLNRAKKLKKRSTLACWVSVVVLVFVLVCLMAILF >CDP18042 pep chromosome:AUK_PRJEB4211_v1:2:47250674:47250814:1 gene:GSCOC_T00008656001 transcript:CDP18042 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRTKKILQLLHLRQIFNGVFLKVNKAMLNMLQGIEPYVAYGYPT >CDP00022 pep chromosome:AUK_PRJEB4211_v1:2:6918125:6919306:-1 gene:GSCOC_T00029778001 transcript:CDP00022 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRHSCDHAEEDFIYMEVGSQSAVFSHHYSKSTSSSSSHAREFEFQMSSSSERDAAPSPADELFYKGQLLPLHLPPRLQMVEKLLQNANISSYDSILEDTCFEESFSTPLFTNAYNTPTANNTPFESCNISPSESCQVSRELNPEEYSFEYSTEASSFVGDQNPKRSWTKKLKLIKQSSLGSKLKASRTYLKSLFSKSACSNETCAAAPRNHVVDGSGSVLKANECINKYVKVAKKAPFGQIQNGGKKVSASSSVIKNFDKANFDENGVGGRGRHRRSFSGAIKRISIARSSSSLSSSGSSSASSSTNSNGFQELQFFKRSNSVNSDLENPIQAAIAHCKSSQQLFNSRKTVSDLGFCSLSSARVIYADQRPGLCRG >CDP19639 pep chromosome:AUK_PRJEB4211_v1:2:5622059:5623096:1 gene:GSCOC_T00009474001 transcript:CDP19639 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVPSFFGRRSSTPDEIWDPFQGWPFNSDFSPFSGQLRTTFPSSSSETASFAHASIDWKETPNAHVFKADVPGLRKEEVKVEVEDDRILQISGERKREIEDKGHTWHKVERSSGKFMRRFRLPENAKVEQVKASMENGVLTVTVPKAEIRKPDVKSIEICG >CDP08599 pep chromosome:AUK_PRJEB4211_v1:2:39619759:39620588:-1 gene:GSCOC_T00027599001 transcript:CDP08599 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSILMINFDDYRDADDAIRGRDGYNFDGHRLWVELTHGRRGSSSSYDLYSSYSSGGSRGGVSRRSDYCVLVTGLPPSASWQDLKARILDFLTGDVCFSQVFRDRDGELSNIFSIWKKFVCLFNMKSLLQISVGSSVTGFVFQHLL >CDO99815 pep chromosome:AUK_PRJEB4211_v1:2:8660831:8665858:1 gene:GSCOC_T00029509001 transcript:CDO99815 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAELTGTPSLYTTRTTHHRYQYYSSQLSYVSTRLSNYRRISLRPHYPRTNQNARTRCSVKEAEAPVALQTEDPKKKPECYGVFCLTYDLKAEDEIKSWKKLVNVAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSLQALEGVAMELEDSLYPLLREVSIGIDPYEVFQDAEWALLIGAKPRGPGMERADLLDINGQIFAEQGKALNAVASRNVKVVVVGNPCNTNALICMKNAPDIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNVTIWGNHSTTQVPDFLNARINGLPVKEVIKDIKWLEQEFTEKVQKRGGVLIQKWGRSSAASTAVSIVDAIKSLITPTPEGDWFSSGVYTNGNPYGVAENIVFSMPCRSNGDGDYELVKDVNFDDFLHSRIKKSEAEVLAEKRCVAHLTGEGIAVCDLPEDTMLPGEM >CDO97347 pep chromosome:AUK_PRJEB4211_v1:2:18335579:18338299:1 gene:GSCOC_T00014665001 transcript:CDO97347 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSLRDAFDRVGKKQKLSISKSQEVIDQVRHEVEQALVDIQSDHVATWALVDIQSDDVATSPIDQRSILDELRNKLNMIAPLNQLEGSQKELNLSLNKYQKVLDKTLNPDISKAYRNVDFDPHTLHQIILNHFYREGLFDVADSLIQEAGEPEAISLRLKFVELHEILEAMKLRNLEPALQWVSENFEQLKECGLFLKLKLHKLQFVEILQKRCQADALDYAKTYLAPLASVHMDEIQKLMGCLLWVGKLDSSPYSELVDPSNWEKMTEEITEQFCSFLGQSSPSPLSVALAAGIEGLPTLLKLATVMAAKKQEWLAMKQLPVPVELGKEFQYHSIFVCPVSREQGSEENPPMLLPCGHVLCKHSIHKLSKNSTRSFKCPYCPQDASVTQCRQLFF >CDP15511 pep chromosome:AUK_PRJEB4211_v1:2:45823354:45832761:1 gene:GSCOC_T00015368001 transcript:CDP15511 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGKVVLDKGWLAARSTQIELTGVQLTTTNPPSGPDSTWMEAVVPGTVLATLVKNKVVPDPFYGLGNEAIIDIADSGREHYTFWFFTTFECNLLNNQHIDLNFRAINYSAEVYLNGHKKILPKGMFRRHSIDVTSILLHDRPNLLAVLVHPPDHPGRIPPEGGQGGDHEIGKDVAAQYVEGWDWIAPIRDRNTGIWDEVSISVTGPVKIVDPHLVSSFFDNYKRVYLHTTIELVNKSAIVAECFLNIQVATEVEGNTCLVEHLQTQNLSLPAGAHVHYTFSEIFFYKPKLWWPNGMGKQYLYNVEITIEVRGFGESDLWSQPFGFRKIENHIDSATGGRLFKVNGEPIFIRGGNWILSDGLLRLSKKRYQADIKFHADMNFNMIRCWGGGLAERPDFYHYCDMYGILVWQEFWITGDVDGRGDPISNPDGPLDHDLFLLCARDTIKLLRNHPSLALWVGGNEQVPPEDVNSALKTYLKLHPYFENLNSDDISKRELFPVLKDPSQYLDGTRVYVQGSLWDGFADGKGDFTDGPYEIQNPEDFFKEDYYEYGFNPEVGSVGMPVAATIRATMPPEGWDIPLFIKLPDGYVQEAANPIWEYHKYIPYSKPEKKVHDQILLYGTPKDLDDFCLKAQLVNYIQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFYGCRCAAEPIHVQLNLATYYIEVVNTTSGELSSVAIEASVWNLDGMCPYYEVSEKLAVPSKRTVPIFEMKYPKSKNAKPVYFLLLKLYNISDYGILSRNLYWLHLPGGDYKLLEPYRNKKVPLKITSQALIRGSTCEVQVRVVNTSKKPDSRSLLDKNILTKSTRTGDCDTTLLEPVPSANEKKQEMSLFQKIQRNFAKEQSSLKVTAISGTEYGVAFFLHFSVHDSKKDKKEGEDTRILPVHYSDNYFSLVPGEEMSITISFEILPGVNPHVTLHGWNYQGGHTVL >CDP17789 pep chromosome:AUK_PRJEB4211_v1:2:51327424:51337663:1 gene:GSCOC_T00003911001 transcript:CDP17789 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDENPATPIASKPSPNLKKDQSPATVNSQSSSSHPMNNTCANKNANDDSTPPKNPLTPKEFIVSVAAKIASQPLHYSDPGVWGVLTAISETARKRQQGINMLLTSDEHCIGRVVKDVTFQIVSPAVSANHCKIYRKIVAAGDGNNSSSFFTSVFLKDSSTNGTYLNWEKLNKGSSEATLRHGDIISFAFPPDHVSAIAFVFREILKLSNQGDGASLKRKAEEPGSESKRLKGIGIGALEGPISLDDFRSLQRSNTELRKMLEDQVAKIESLRTENRASIERREIEMKDLKESISESYLGQLKELQQLLEAKENELIESNRISSEQKHAVEDLNERLGASVQSCAEANEVISSQKASISELKASLDEERDQRREEREKAVEDLKISIQRIQAEAQEEMKRLSDAAAKREKEQQEVINKLQESEKERCSLMETLRSKLEDTREKLVMSENKVRQLEAQVREEQLAAATRRKRVEELELDTKRLRKELESEKAAREEAWAKVSALELEINAAMRDLDFERRRLKGARERIMLRETQLRAFYSTTEEIQVLFVKQQEQLKAMQRTLEDEENYENTSIDVDLNLPNGHIQRFLSRDKEVYQSAAKGESSTSTQRYGRDHNETSSDEVSVTEKHDCNMKIHGNDDDTQEVEFSGAQHSVKGGFGSEIDGVGAARILEGDPVGTEKNLETDGIGTLAVSVGDLVATERILETESPGQNRGGSFDLNKCGALGEDTMQLEDETNGEAIWQAEMVPSGSLHHSQCNNPLEVENTILDTQTGDSIKTADLLASEVPGSWAYSTAPSVHGENDTPKSKDNDDAAAATLHDSVCVIAESQNVPSSKSLGARWNKEHEALSKMIGIVAPDLKEQFRCAAGSNYIKEGAERGDVSDSNTDGCYDDDNHDANSEGESDAETIGGGGEKEDLESDHGMEEDDEATQDDSYG >CDO97037 pep chromosome:AUK_PRJEB4211_v1:2:16044728:16047042:-1 gene:GSCOC_T00014253001 transcript:CDO97037 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHCSFYLNCAFLFMCSIGWISLPPVNAALKQYQFDIQVKNVSRLCHAKPIVTVNGMFPGPTIYAREGDRVQINVTNYAQYNMSIHWHGLKQYRNGWADGPAYVTQCPIQTGQSYTYDFNVTGQRGTLWWHAHIFWLRATVYGAIVIMPKQGMAYPFPQPDFEFNLVLGEWWNDDVEEVVKQGNKLGLPPRMSDAHTINGKPGPLFPCSEKHTYAVEVEQGKKYLFRIINAALNDELFFAIAGHNMTVVEVDAVYTKPFSTDAILIAPGQTTNVLVHANKISSRYFMAARPFMDAPLPIDNKTATAILQYKGVPNNVLPSLPNLPAPNDTSFALSYSAKLRSLNSPQFPANVPLKVDRHLFYTIGLGMNPCPTCQNGTRLTASLNNITFIMPQIGLLQAHYFNLTGVFTTDFPDRPPTPFNYTGAPLTANLRTVRSTRPRLSRIAFNSTVELVLQDTNLLSVESHPIHLHGYNFFVVGTGIGNFDPKKDPAKYNLVDPPERNTIGVPTGGWTAIRFRADNPGVWFMHCHLELHTSWGLKTAFVVENGPGPDQTILPPPKDLPSC >CDO97391 pep chromosome:AUK_PRJEB4211_v1:2:18669199:18675178:-1 gene:GSCOC_T00014720001 transcript:CDO97391 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDKNDYYGGESSSLNLNQLWKRFRGDEQPPEKLGTSKEYNVDMIPKFMMANGALVRVLIHTNVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSSLMGLFEKRRLRKFFIFVQDFEESNPKTHEGMDLNKITARELISKYELEDDTIDFIGHALALQIDDAYLDQPAMAFVKRVKLYAESLARFQAGSPYIYPMYGLGELPQAFARLSAVYGGTYMLNKPQCKVEFDANGKAYGVTSEGETAKCKKVVCDPSYLSEKVKKVGKVARAICIMSHPIPDTNDSHSCQVILPQKQLGRKSDMYLFCCSYAHNVAPNGKYIAFVATEAETDDPQAELKPGVDLLGPVDEIFYETYDRFEPTNCPDADGCFISSSYDGTTHFESTVVDVISMYSKITGKALDLSVDLSAASAAAAAEE >CDP15193 pep chromosome:AUK_PRJEB4211_v1:2:49649550:49661575:-1 gene:GSCOC_T00042808001 transcript:CDP15193 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVETDDIESVRNELSEVERSLRLSLRHLSSSFSSSSVLSTERDGNLNEEIALQWAAIERLPTAQRLRSSLFDQPDDNEAAGKRKMAIDVTKLSALERHMFIERLIKHIEYDNLRLLQNMRKRMNKVGLEFPSVEVRYNDLHVEAVCEVVEGKPLPTLWNSFKSSLPDVTRLPGLESRVSKISIIDGVSGIIKPGRMTLLLGPPGCGKTTLLKALSGNLNKSLKVTGDISYNGYKLTEFVPQKTSAYISQYDLHNGEMTVRETLDFSLRCQGVGSRAEIMTQLSRREKQAGILPDPDIDTYMKASSAEGQKTTLQTDYILKILGIDTCADTLVGDAMRSGISGGQKKRLTTGEMIVGPTRALFMDEISNGLDSSTTYQIVACFQQLAHILDATILISLLQPAPETFDLFDDVILMSEGKIVYHGPRSTAVEFFQSCGFRCPERKGVADFLQEVISEKDQAQYWYSTEETYIYVSLDAFSRKFRESSHGKKLTEQLSTTLVKSKSCKDAMNFTAYSLPKWELFRACLSREFLLMKRNRFVYIFKSVQLFISASITMTIFLRTRMGLDLLHANKYMGVLFFAGGVLVVDGLPELSMTVTRLAVFYKQRDLLFYPAWAYAIPSAILKLPLSLLEAVVWTCLTYYVIGYDPEVGRFFRHLILLFALHMASISMYRFVASVCRTVVVASMVGLLTLLLVFLFCGFIIPRPTMPNWLKWAFWVSPLSYGEIGLTLNEFLSPRWKKMLPTNTSVGQETLDARGLNFDAYFFWISLGALFGFTLLFNLGYTLALTFLNPVSPRAIISREKYFQIQRIKKLDVIAHAEEKLTDPARATATESQNAGKMALPFEPLTVVFQDLQYYVETPLAMKEQGFPEKRLQLLCDITGTFRPGVLTALMGVSGAGKTTLLDVLAGRKTSGYTKGEIKIGGFPKVQRTFARISGYCEQTDIHSPQITVEESVLFSAWLRLHPQIDSKTKIDFVKEVLETIELDGIKDVLVGIPGVNGLSTEQRKRLTIAVEFVANPSIIFLDEPTTGLDARAAAIVMRGVKNVASTGRTIVCTIHQPSIDIFEAFDELILLKSGGRMIYSGPLGRNSSEVIGYFEGIPGVPKIRNNYNPATWMLEVTSTSSEAELGVDFAEIYKHSSLYEINKELANTLSTPPIGHKALDFPTHFAQNGWIQFKTCLWKQHWSYWRSPSYNWTRFLFMFITSLIFGALFWKQGKKIKDQQSLFNMLASMFSAMFFCGVFNSSTILPYVSTERTVLYRERFAGMYATWAYTLAQVTIEIPYVFIQVLAFTVITYPMIGYYWSAYKVFWYMYSMFCTLLCYNCVGMTIVSITPSYPLAAVLQSAFYNIFYLFAGFLIPRPQIPKWWVWLYYLAPTSWTLNGMLTSQYGDIHEPIQVFGQTTTVAKFLRDYFGFHHDQLPVVAVISILYPTVLACMFSYFIAKLNFQKR >CDP08762 pep chromosome:AUK_PRJEB4211_v1:2:53232775:53235921:1 gene:GSCOC_T00027853001 transcript:CDP08762 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFIFGLDLHDDKEKRKALKTVAALQGIDEMTIDLKGKKLTIIGTVDPVDVASKLRKFWPTGIIAVGPAKEPEKKEEPKKEEAKKDEAKKEEGKEEPKKEESKKDEPKKEEPKKDEEKQAPEPAPVQVQVPVPVQVPVMPYRPYYPPMQSSVMPYRPYYPPMQTYYYPNHSVEENPNGCVIC >CDO97627 pep chromosome:AUK_PRJEB4211_v1:2:20473624:20478640:-1 gene:GSCOC_T00015020001 transcript:CDO97627 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGISVSSKLPYRIQPQPISPLLNFTPRPWLPKTTRKRPFSLAPRSSSSSNSTSSNAYPSSSTAVIDPPKPFSGKAEADVVVVGSGIGGLCCAGLLARYGQDVLVLESHDLPGGAAHSFEIKGYKFDSGPSLFSGFQSRGPQANPLAQVLDALGESIPCATYDSWMVYVPEGEFLSRIGPTEFFEDLEKFAGLEAAKEWRKLLDAILPLSVAAMALPPLSIRGDWGVLSTAAARYAPSLLQSFAQMGPQGAFGATKLLRPFSDIIDSLGLKDPFVRNWVDLLSFLLAGVKSNGILSAEMIYMFSEWYKPGCCLEYPLHGSGAVVDALIRGLQKLGGRISLRSHVESIVVEGGRAVGVKLRSGQIVRARKAVVSNASMWDTLKLLPKEFVPKSYADKIKSTPQCESFMHLHLGFEAEGIPEDLGIHHMVVNDWKRGVDADQNVVLISVPSVLSPDLAPPGKHVLHAYTPGTEPFELWEGLDRRSKEYKELKAGRSEVMWRAVEGALGPGFDREKCEVKLVGTPLTHKRFLRRDRGTYGPAIEAGKGTFPGHSTPIPHLFCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAVGI >CDP08736 pep chromosome:AUK_PRJEB4211_v1:2:53441043:53445514:-1 gene:GSCOC_T00027812001 transcript:CDP08736 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYPHQTNQMPSPPHHSHHHHPRFEPIPGHMKADFEICPPHYQSWLSGGNYAYPYPAQCHSCCDHNYFPAYCAPRPPYAHAPPLYCHGNYTIPPASYPVHYIPPPNNTMEPPRYKYHSEMHGESRCCGCPNHTHNSTPGKNVKIEEEDPDIEKKANIAVVPFDLTSYPYPVMWIPPSYMMNEAINKANEAQPEVKEKYSGVARADESSKPSGQQQKWLNGWFPFDMENSNLAKQGNDSTWKQQHQDESKRQLSFPLFWMPWSPEEMKRKDSGKTNFGEESAEGVSPQLEVTPVMIPDADEKASKPDVTKEIHNCECSKAPEKNSGQKTVPVKSAEPTEEKKNQENNKEKVKSSLAKNLNVTDERISSQTSPTSESSSPMKSSKLPPICLKVDPLRKKNKNNGGSGSPSPPGDKGKLPKLSKDSTQLPSSSTTKESTEKDMTVGKSMPETAKNLEQSKQKVKMIEVTDRQNRQEPAEYLSISGPHGRSLSANSHDAELVHQTNDNSEELFPDVAASEVKSFDSICQSDEDKENSKVHESSADEPKGFAKIRLSEVEAALIIQSAYRGYEVRRREPLKKLKQIANIKEEVFTLNNRIKALESFSDTGENDKLRTMLGETIMNLLLKLDAIQGLHPSIRDVRKSVVRELVSLQEKLDHVSLERSESAHKLSSSAQPYEDMQLIDNRCFQEGEEAEKAFLESSLSKAEDVHEIYPEELCKGVAPPVLDIASDTRNLEIPETVMNKEDLNNGAQVPITDLLKIDTTQKSETGCLPDNRARDDSLNLVCNDGERRSSEFQPQPFSEEQPAELPKGVLESDTKSQDDSLLLSEAVDDKALQDGEVMEDNLRVKELTELPQAVPDDDVDKSRKHVILDKEDDKFVKDDVTRDINAEVPDDEVLNMDQAERWQEPMVKKTVTSKSNVTLACHENMANNMELCKKVDLKSQQFSVQALEEDKSCVSELGDISEMHDQVVLEMDANVLGSNMNDLHTCSAEMEREELTTSTKPVEVYKAEEEVLQELATMETEAETENESSKENGVAENYYSSSCEDAIVVAQVCDAPKVFTKSWNDASTDQKLLDERIGMEASGLGTVDNKFFAQDCDSAALEQDLVDQMMSVPPDAKETEEGEVLPSSPTASQISLGSNASTEHDRKLVEENDKLREMMQKLIEAGQQQLTAISNLSGRVKDLENKLSRKKKWKMRRHGTTRYTSGPSCLKPYNDPLNEKAVPLAM >CDP04956 pep chromosome:AUK_PRJEB4211_v1:2:393279:394556:-1 gene:GSCOC_T00019833001 transcript:CDP04956 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPAFYRWLAERYPRSVVDVPIDTTAPNPNGLEFDNLYLDMNGIIHPCFHPDGLPAPETYDQVFKAVFKYIDRLFSMIRPRKLFYMAIDGVAPRAKMNQQRARRFRAAKDAADEASKTETLKGVHVPEGEDFGNKKLDSNIITPGTEFMQLLSSALRYYVHLKMNSVSGWRGIKAFFGSISRTLICCSFWTGLKTHRLSLSLSLSLSLSRTHTHPSPSTNVIPYDYADLF >CDO99935 pep chromosome:AUK_PRJEB4211_v1:2:7640152:7641260:-1 gene:GSCOC_T00029657001 transcript:CDO99935 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATVSISALSNIQGGETRERKGNINSAKDKGRRELLFSAAATVAQVTDSRTDLLKKYLKKSEENKTKNDKERLDSYYKRNYKDYFGLLEGTLKQKKEQLSESEKGILEWLEKNK >CDO97237 pep chromosome:AUK_PRJEB4211_v1:2:17511231:17513440:1 gene:GSCOC_T00014507001 transcript:CDO97237 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWRGSISRSLLSTARASTFRSAPALPRVRPPTLSSLRLRPRRLSFAHSRTTGELGCAQSLMPLCNLTAGAQLTSHLTANLRACCELSHGT >CDP15157 pep chromosome:AUK_PRJEB4211_v1:2:50107231:50115411:-1 gene:GSCOC_T00042756001 transcript:CDP15157 gene_biotype:protein_coding transcript_biotype:protein_coding MTESNWRRRELAFLIVYAVAFYAFVIRRSLQLSHDYYTKLYGLRPGWIANRLNDASDAQWRNFRGNLQILTVVFGIFTLVANVLRTYCCLRAKGMAYVWLLISLAYLSYLHGACIIFILTIASANFLLVKIFGRTKYFSYLLWTFNLSFLVCNRVYGGYQFSSFGQHWAFLDDFRGTFRWHICFNFVVLRMISYGYDYHWMDQNNRFDQKKHIQRCSACSSGKICYMSLQERSVQNDKFSFAVYLCYLVYAPLYIAGPIISFNAFASQLDTPQKNYSSKQVAWYGFRWILSLFLMELMTHFFYYNAFAISGVWKQLTPMDNFIIGYGVLNFMWLKFFLLWRYFRFWSLINGIEAPENMPRCLNNCYNLDTFWKNWHASYNKWLVRYMYIPLGGAQRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCIFFVPEILVKSAANTFKVQSVFGEFLLRELNAVAGAVTITCLMVANLVGFVIGPSGINWLISGFLHEEGLPTLGGMFISFYIGTKLMFHISDSQQKRLKKSTM >CDO99539 pep chromosome:AUK_PRJEB4211_v1:2:11486598:11489564:-1 gene:GSCOC_T00029166001 transcript:CDO99539 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGPLLFLFPKCLINPFLLPFLFLLFLSIPSITSANPQIIYSEYCNDVVRESSPSSTQPLTEDPIKLTISNARFTFSSGKHDEPHPSMYNFPKKLRFTTRSAQRTQNDGVFKVDGGVDLRGFRLQGHISNHNRRGLRIVVYRPHRTPVDPFYVDDEVHDFYLSGFWNSTSGKLCMVGSGEERRYRSLFAVFKVNYPNASSIFNSYVNGTLELLTVDSKLVGSLNVLGLNSRGYVYKLINKEIEKNVFQRFDDLSNGSLGLRGTDQVCQLIGRARFLDLDYKSNCESVNCDVIHGGKGDNALPSSMTFDKIECLENGYVRYLLQFGTAQMKLSSEPNETLVAEGKWDGAKKRLDMVACRTVDGQGTVGDCSIRLSLRFPMVLSVRQRNSLVGEIWSSRSPNESGYFGRVEFGSRTRRVMRPVGVRYEYTEIENAKTSCAGKTKHDGGKGGKFPNALSRDMGFVMSVRNQKGESVSGYTSPLFMGNKHFSQDGAFGEGESTGQVSYSQGNLVNISYELVFRPLRGLNISRELPSFSSLRISAEGIYDSNLGHLCMVGCLYVPLPYVKFGRNSSLDCEILVDIQYHELNAKVGKVVNGTIQSKRMKSDPLYFEPLEIVSRSLYKSQAKESLWTMDLEMTMVLVSNTLACIFVGLQLVYVQKHPKMLPLISVLMLTVVTLAHMIPLLLNFEALFLSNRNRQNVYLGSDGWLEVNEVLVRVITMVAFLLEFRLLQLTWSAKAKDESRKTLCISEKKAFFLCLPLYLGGGLIAWFAHPSSTSHGKALSFLPDYKPHPQRQSFWGDLKSFAGLIRDGFLFPQVLFNLFCDTRERALAPSFYVGTTLVRLLPHVYDLYRAHSSVLFSLNKIYANPRLDYYSTAWDIIICGVGLLLAFLIFLQQTFGGRFLLPKRFRQNLVYERAPVVNADTE >CDO99558 pep chromosome:AUK_PRJEB4211_v1:2:11365470:11371218:-1 gene:GSCOC_T00029188001 transcript:CDO99558 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQQWTPPCNNQCTHKYAALMQIPWRVFCKKGCDSDGDTWEECMGECDEICYKDPVFKDHQWSAYIDRSPGAASYSEECFHACIAGCGYKFDIPPQQVSQVHPNRPSKPPPEEKPTPPPVESRPSPDSSTPTINDVPSTSA >CDO96771 pep chromosome:AUK_PRJEB4211_v1:2:12923899:12926006:1 gene:GSCOC_T00013895001 transcript:CDO96771 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGTSIGAVFFVIASALLLLSPSPSADASPLLSACRFDQVYQLGDSISDTGNLIRESPLGAALPFARNPYGQTFSHHKATGRCSDGLLMIDYFAQALGLPLLNPIKDTKANFEHGANFAVAGATALSSAVLAHHHVRNPVTNSSLDVQLQWMKDHFHKFCHNDCERKLQNALFMVGEIGGNDYNYAYLQYLDDARDTLRILELVPLVVAKIKHAVEKVISFGARTIVVPGNFPMGCLPIYLTKFGLESEGDEFDENHCIWLLNSFATFHNDHLKKAIAELQEKYPYVTIVYGDYYAAYEQLLNLGETEGMFVSFELQKACCGVGGLYNFNETRMCGFPGVKACRDPERYVSWDGIHLTQEAYRMIVDWLQADLFWKLRCHH >CDP05303 pep chromosome:AUK_PRJEB4211_v1:2:3149833:3153572:-1 gene:GSCOC_T00020299001 transcript:CDP05303 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKASLDPESRLLVSWTGSGDPCGGSFVGVACNRRGQVANISLQGKGLSGKLCPAVAELRHLTGLYLHYNALYGEIPREISSLSELTDLYLNVNNLSGEIPPELGNMDSLQVLQLCYNQFTGSIPTQLGALKKLNVLALQFNELTGAIPASLGDLGSLMRLDLSFNRLFGSIPTKLADAPILEVLDVRNNSLSGNVPNALKRLIGGFQYENNPGLCGAGFSSLRACTASDRPNPSRPEPYGGGPTGLSTRDIPETANLRLNCSETHCSKSSKALQASVIVGVLVVCIIVLVIGILSFSHYHRKQKLENASDAQDSRLPTDHAKGAQRKNGSPLISLEYPSGWDPLAEGRHFGDVSQEFMQSFRFNLEEVESATRYFAEKNLLSKSYFSATYRGSLRDGSVVAVKSISKTCCKSEELEFLKGLNILTSLRHENVVRLRGFCCSKDRKECFLIYDFVQNGNLLQYFDVVDGSDHVLDWSTRVSIINGIARGIEYLHGYKVNKPAIVHQNISAASVLIDQRNRPLLSDSGLHKLLTNDTVFSTLKDSAAMGYLAPEYSTTGRFTEKSDIYAFGVLLFQILSGVRKVTSSMQAAADLGKTQDFMDRNLHGKFSEPEAIRVGKVALWCIHESPEERPGIETVLQELGNCTNFS >CDP18386 pep chromosome:AUK_PRJEB4211_v1:2:43267594:43272206:1 gene:GSCOC_T00012967001 transcript:CDP18386 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNPLVSKLALYDIAGTPGVAADVSHINTRSEVVGFMGEEQLGQALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKSLCTAIAKYCPHALVNMISNPVNSTVPIASEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKAKVNVAEVNVPVVGGHAGITILPLFSQATPKANLPDEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSSVTELPFFASKVRLGKNGVEEVLGLGPLSDYEKEGLEALKPELKSSIEKGIKFANQS >CDP00029 pep chromosome:AUK_PRJEB4211_v1:2:6797253:6801491:1 gene:GSCOC_T00029788001 transcript:CDP00029 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVKLDGDHGGSEEQLLLQENGNVDSSWRLNFDGFQLSAEQKEKPPRGRHDCLGVLGPEDNVAEYYQQQVEMLEGFNEMDALAERGFIPGMSKEEREKLARSETAAIRLSNIANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESLRTLISDEDGFNLTVEQECWVVGIMLSVTLVKLLLVFYCRSFTNEIVKAYAQDHFFDVITNVIGLIAALLANSISDWMDPVGAIILALYTIRTWSLTVLENVNSLVGKSAAPEYLQKLTYLCWNHHVAIRHIDTVRAYTFGSHYFVEVDIVLPANMPLQEAHDIGEALQEKLELLPEIERAFVHLDYEYSHKPEHAQAHL >CDO99802 pep chromosome:AUK_PRJEB4211_v1:2:8772240:8774030:-1 gene:GSCOC_T00029495001 transcript:CDO99802 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTVLTSSHGCGLCGFMVQFLRGRWFMMFATFLIMSGSGATYIFGAYSKAIKSSLGYDQSTLNILGSCKDLGATIGVLSGLLAEVTPSWFVLLVGALMNFSGYFLVWLAVTKRIPKPPVWQMCVYICIGANSQNFANTGSLVPCVKNFPERRGMMLGLMKGFVGLSGAIFTQLYLAIYHNDAKDMILLIGWLPAVISLVFLFCIKEMKKISGHPHEVKVMYQNLIITVGLALTLMALTIAQNYFNFSHADYVASATGVCVLLFLPFLVAVKEELETWQQKKEDALKPPNRVVTEEPPLPESSNPRKQEIVPAHCTIDKGGGDDEFRQEGCCCGDICNKPKRGEDYTICQALLSADMIILFIVTFCGLGCSQAAVDNLGQIGESLGYPTKTVNTFVSLLSIWNYFGRALSGFGSEQLLRKWKVPRTLMMTISLVVPAIGDLIIALPFPGSVYIASVLVGFAYGVQLTMVFVIISELFGLKYYSTLLNCGQLASPIGSYFLNVRIVGKLYDKEALKQLARAGLTRSMVPDLTCIGTQCYRTSFLILAGVNTLGALISLILVMRTREYYKSNIYMKFRDEMEANEKEIAMVSSADHK >CDP08626 pep chromosome:AUK_PRJEB4211_v1:2:54349917:54357859:1 gene:GSCOC_T00027649001 transcript:CDP08626 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSSSSSSAAMQQQPGLGLAAIRTRVGKYELGKTLGEGSFAKVKCAKNLQTGDTVAIKIIDRERVLRHKMVEQIKREISTMKLIKHPNVVSLIEVMASKTKIYIVLEYVDGGELFDKIAKYGRLKEDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSCGSLKVSDFGLSAFSKQVRADGLLHTACGTPNYVAPEVLTDKGYDGTSSDVWSCGVILFVLMAGYLPFDEQNLVALYRRIQKADFCFPTWFSSSSKKLIKRILDPNPLTRITIPEILENDWFKKGYKPPLFEQEEGVSLDDIDAVFNDSEEHLVTERKEKPVSMNAFELISRSQGFSLDNLFEKQMGLVKRETRFTSKSPANEIISRIEQTAKPLGFNVHKKNYKMKLQGDKTGRKGHLAVATEVFEVAPSLHMVELRKTGGDTLEFHKARQSFSLLKCVCIL >CDP08890 pep chromosome:AUK_PRJEB4211_v1:2:52010839:52015469:-1 gene:GSCOC_T00028019001 transcript:CDP08890 gene_biotype:protein_coding transcript_biotype:protein_coding MNLITTIIGFGMSATFIVFVCTRLICGRIRRMESRQMFEIGSRMEFELPEHRISGLEPTVVAAIPTMKFKREAFSSTEDTQCTICLGDYEDKDVLRIMPKCGHSFHLSCIDVWLRKQSTCPVCRLSVQDSFEAKYVHPTTRRTTQSFDDSEIPVEQSQHSQIWLLPAGQRPEGSVSTTSAANSVTINVESSISGAAASRHEQGESV >CDP00004 pep chromosome:AUK_PRJEB4211_v1:2:7069242:7071542:-1 gene:GSCOC_T00029756001 transcript:CDP00004 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFACFGSSKKHHKTKKPESLTSPKNQNLNESHEVNEALICSNQADEEGAEIADPIEVIPEIKGKNEEQVSTSFGKKVTFDLLLKAPEECQAQEGALENDQKRKKRDESRPEVILDFPSSSEKKEGKAKKEESKDEADLLSDSTISSLFTYPPNHRYQNCESSDDECVDMGLKKNNPEDEEDDGKSDDDMDGNYEEKNGSEDSVDIQEESSELFSISIEYKKQSSAVERDEKEVSSPLKPAPDREINKPNARDRTQYVHPVLNPIENLGQWKAVRAKTTSSLEHQEKENLNLVQEFTIPCAEKENSSLTSKNPIPFSEEPNPNQSQQQRSKRFSNRLRHDEVAVDTSLSSWLMESDKTPPDGNSPGSVGNSAPKKTESPTSFEDRPILGVLTVEDLKQMSKSATPSPRRSPRHSPDEMPIIGTVGSYWRHTREARAADTRSPGKGTSVTSCTTSRECRKGGMNGHHTPFQARLERTLDGATVQV >CDP07771 pep chromosome:AUK_PRJEB4211_v1:2:26213034:26217926:1 gene:GSCOC_T00025147001 transcript:CDP07771 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSPPPPLSRCNALELSTVTKRGKKHGLVAYGMDRLPDEILIVILSCLNLKEAVRTSIISRRWRYLWRFTSCSLEFLNQWDGNPGTWVEPTVFLSWVDQVVKLHQGPSVDKFIVHCQGITDESPNRICDWIHFAMEKEVKVFELDISCHDFPDLNKFLSISREVKPSVDRIGLTSLTSLRLVDVNVKDEMIEYLLSSCRHLEHLCIKFDNYMKKLNVLSSSLKSLSIFYCTKLKSLTISAANLLSFVYGEASMSPVLKLQLQNVPLLSELQLKGPHCGSFLFEPSEHSKYSHQLQRLVLNVPMLDVVSAPRHDHSLFGLPQLQCLKQLDLILHARAGESFLFFVLLTTACPFLSSLGVMMFYDSLASKEAIATGLRRYNRAKADLTAHGHPCLKVIKLINFAGYRSDFRFALALLQIAKSLEKIIIKPGPGFLGAERITTVRERAKQLEANLPPGAELLIVSDLQIGSDLRTL >CDP09410 pep chromosome:AUK_PRJEB4211_v1:2:22738942:22740262:1 gene:GSCOC_T00028759001 transcript:CDP09410 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSSGPIGSLDEIHRAILMLELEKISLKDETDHPVSRHRLIKLESALASLLMSLEQELCKRVVGQDMAVKSVADAIRRSRAGLSDPNCPIASFMFLGPTSIGKTELAKALAAYICNNENDVVRIDMSEYMEKYSVSRLIGAPPGYIEAIYQAMKTEVMEMAKQTFQPEFVNRTGEHIIFQPLDSKKISKIVDIQINCVRERLKEKKIYLHYTREGVNLLVTLGFDQNFGARSVKRVIQQFSENEIAMGIMSGEFIEGDSIIVDADVSTKPQDVPSHSRLLIEKMDNNVALGCMVGCGRVKDVFIEK >CDP08907 pep chromosome:AUK_PRJEB4211_v1:2:51879243:51881070:-1 gene:GSCOC_T00028040001 transcript:CDP08907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP9 [Source:Projected from Arabidopsis thaliana (AT2G45680) UniProtKB/Swiss-Prot;Acc:O64647] MGSAQKLELHGDQSRNGSNLNNPWPRPPSTAVQPLRMPPAPPMAIPLISLKEEPDDHGEDKPPIPMARSSQPLPMPTPLQMRQPVAPPTPPKRTSTKDRHTKVEGRGRRIRMPATCAARVFQLTKELGHKSDGETIRWLLEHAEPAIIAATGTGTVPAIAMSVNGTLKVPTTSPAAADNSNTVKRKRKRPSNYSADQHVNMPTNTDDNVATSTGNTSTSSTTTMSTFSSNNPSILAPLMAIQPTNQSQILAIPPNVNVNVTPFINVSARPISAFIASSSTTPSAANATRTQVLREFSLEIHDKHEQQN >CDO99690 pep chromosome:AUK_PRJEB4211_v1:2:9817486:9821171:1 gene:GSCOC_T00029361001 transcript:CDO99690 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-(+)-linalool synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G61680) UniProtKB/Swiss-Prot;Acc:Q84UV0] MAINCTILASSYYRTTRTIGAPIREVKPALVACSASRQRLNVSQCLNSAFNPLIYHEDLVNDELYVRRTQKMMDVRHMLKTGGEDRLKDLRLVDAIQRLGIDHHFQEEIEALIWRQHVSAKCCFDQSEGLHEVSLCFRLLRQEGYYVPPDVFNNFKDEKGKFMASSGKVNVRALMELYEAAQLSIEGEDILDDAAEFSSQLLNALLKYLDEDNAALVKNTLKHPYRKSMYKFKANNFMGSEVEGINGWENCLLELSNADFYMAQGIHRQELLQITKWWKDLGLARELKQARDQPLKWYTWPMAMLNDLRLSDERVELTKSISFIYIIDDIFDLYGKPEELTLFAEAVNRWDLAAVDQLPDYMKKCFRALYDTTNEAGIKIHKKHGFNPTKFLRKTWASLCNAFLVESRWFRSGSRDQPMADEYLKNGKVSSGVHVVLEHVFFLLGFGATNEGPIDLSDASAIISSVAAMLRLWDDLGSAKDEDQNGHDGSYIDYYMKEHQGVTLDIAREHVFKQISEEWKRLNKECLRLNRFSSSFQRASLNLARMVPLMYSYDDNQRLLDLDEFKTVITSLSIGNSISITLIRCLVCKICKFVYISIFNMNSKK >CDO97437 pep chromosome:AUK_PRJEB4211_v1:2:19043135:19045605:1 gene:GSCOC_T00014782001 transcript:CDO97437 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRIRCSWCGVQLTVPPRAQNIQCAVCKAVTRVQQNDRLPGQAQSSLHDATNKLKGFLNTVSSNINSMVASVNSYPMQASSYGSYAQPARPISSLMPFPAKGKKRAVLCAVSYYGQRHRLKGTVNDVRCMKYFLVERMGFPNDSILLLSEEEPNPSLIPTKYNIRKALQWLVQGCQSGDSLVFHYSGHGSQQRDLNGDEIDGYDEMLLPVDHQIEGTILDDEINATIVKPLPSGAKLHAIIDACHSGTVLDLPFLCRMNSEGYYVWEDHGFLSSTYKGTSGGLAISFSACDDHQVSVDTMALSGNAVTGAMTYSFIQAAQKEPGLTYGRLLNSMSQIIRDAKAGTHLNGPISALLRKVLGTDLSQVPQLSSSAKFDIYSKPLSL >CDO97708 pep chromosome:AUK_PRJEB4211_v1:2:21189973:21195934:1 gene:GSCOC_T00015125001 transcript:CDO97708 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRFPFFSLKSEGLPCVKRHSSLSGGTAQSEQIDKRTVPRFGTCSSSSSSSRSGSSIDLGTNQQQQQQKDQVLRYHNQTKHNFNNYARGPYGLDWANQPNPFRRYVSSPLLPLLHPPSPNSPATTRDGSPPPLYHTVFNSLPSPKPISKTTISQLFYDSLALSAWKTTGFSTWSLRVNPSSGNLHPTEAYIIAPPIDSLIDHSFVAHYAPKEHGLEIRTEIPSGFFPKIFPKNCFLIGFSSIFWREAWKYGERAFRYCNHDVGHAIAAVSMAAAGLGWEVKVLDGLGYDELEKLMGLDVFPEFKIPSRPVKGKMPEIEFEHPDCVLLVFPSGISDFGVDYKGLSLAISQFSTLEWKGKPNLLSKEHVCWDIIYRTAEATKNPLNIFNQSMVAPFLGSGDISESSYKGFSLREVVRKRRSAVDMDGSTTMARETFYQILLHCMPSGFGSGEKQRKQLALPFRTLSWDCEVHAALFVHRVVGLPKGLYFLVRNEEHLDDLRKSTRSEFKWTKPDGCPDELPLYELAKGDCRELSKRLSCHQDIASDGCFSLGMVAHLEPTLHEKGSWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCFFDDPVHEVLGVRGSKYQSLYHFTVGGPVIDKRIMSLPAYPGPGVDA >CDO99527 pep chromosome:AUK_PRJEB4211_v1:2:11648351:11650991:-1 gene:GSCOC_T00029143001 transcript:CDO99527 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNSNKIKKLAGTLLLKIGRKKHPLTLSTLQRETSHHSFSQTPHSDFSLFYLASLKEEKTLSIFHKLSIFHKLSAKYGFPKLKSIHSPLTLRIYGFILHQLVKKLLQHHQGMDWHLLHLLRCKHMSLLL >CDO96910 pep chromosome:AUK_PRJEB4211_v1:2:14919815:14920000:1 gene:GSCOC_T00014089001 transcript:CDO96910 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVISQSSRTIVFEVEISDTIQVVKAKLQLKDGIPPQHQSLVLAGKQLDEDRTLEDYGI >CDP05125 pep chromosome:AUK_PRJEB4211_v1:2:1811875:1812795:-1 gene:GSCOC_T00020066001 transcript:CDP05125 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPTIVLYPSPGMGHLVSMVELGKFTLNHHPGLAVTILVVNPPYNTAASTAAYMNRISATTPSITFHHLPSPPLDPDSYPSLEALHFELLRLSNPHVHQALHSISLTAGISAFIIDFFCTCALSVATNLGIPTYYFFTSGANCLALLLYLPTLHQSTNKSFKDLDELLHLPDLLPIPPRDMLVPLLERTSPEYAFFLDVATQLAKSSGILVNTFESLEPSILKSIADGKYVPDGPTPPVFSLGPLIASDNGKGGGAAGGMGGGVHECLKWLDMQPSGSVVFLCFGSVGQFPAEQLKEIAIGSDH >CDO96938 pep chromosome:AUK_PRJEB4211_v1:2:15201465:15202064:-1 gene:GSCOC_T00014131001 transcript:CDO96938 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTLSFLLVVFAIVMTAMAPPVHGQINPDDQSPKAVERWFKKLGHAKQEKLTKLHFYFHDILSGNKPTAVQVAQANITSKSPTLFGIVNVMDDPLTVGPEPDSRIIGFAQGLYASASQKDVGLLMTLNFVFTDGKYNGSTLSILGRNPVFHKYRELPILGGSGVFRLARGIATAKTHTFNATTGDAIVEYHVIVMHY >CDP18231 pep chromosome:AUK_PRJEB4211_v1:2:48386051:48389593:1 gene:GSCOC_T00011790001 transcript:CDP18231 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNNSVAGVDNTFRKKFDREEYLQRAREREEKEAETKFKKSKGPPVQRKPLKHRDYQVDLESRLGKTQVVTPVAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQQRFELLKKRKDTVGFTEQDFDERILKQQQEEEERKRQRREKKKEKKKEKAVEEEPELDPDVAAMMGFGGFRSTKK >CDP05054 pep chromosome:AUK_PRJEB4211_v1:2:1157554:1163985:-1 gene:GSCOC_T00019971001 transcript:CDP05054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G24300) UniProtKB/TrEMBL;Acc:W8QN76] MASTQTSRIIPCRHTPFPPASAESPANGKGWAVLRHVGFASFYRHNRSGKRFSVCVRRSYAEPNGLDGPGFTKKSQDGSSSSALEDDQKEGLVLGTQRHEGPAALASFHLIPQSGDAKATDSYTKLPSGQEHATPDTEENILARITFNIIFVAAEVAPYSKTGGLGDVCGSLPIALAARGHRVMVVSPRYLDGGPSDKRFANAVEVGCPTKIYCFGGVQEVSFYHEYRGGVDWVFVDHPSYHRPGTPYGDVFGSFGDNQFRFTLLCHAACEAPLVLPLGGFTYGEKCLFLANDWHAGLVPVLLAAKYRPYGVYKDARSIIVIHNLAHQGVEPAITYNNLGLTPDWYGAVGWVFPTWARTHALDTGEAVNVLKGAIVTADRILTVSQGYSREITTPEGGYGLHELLSSRKTVLNGITNGIDVNDWDPSSDPHISSHYSLIDLSGKVLCKIALQKELGLPVRSDCPLIGFIGRLDFQKGIDMLLSATPELLQDDVQLVMLGSGERQYEDWMRHLESLYPDKYRGWVGFNVPISHRITAGCDILTMPSRFEPCGLNQLYAMRYGTVPVVHRTGGLRDTVENFNPYAQEGTGEGTGWTFSPLSRENLIAALRIAVGTYRDHKSSWEGLMKRGMQRDYSWNNAAVQYEQVFDWAFLDPPYVK >CDP18134 pep chromosome:AUK_PRJEB4211_v1:2:32528208:32528618:-1 gene:GSCOC_T00010184001 transcript:CDP18134 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTVMPKDIQLARRIRGERA >CDO96950 pep chromosome:AUK_PRJEB4211_v1:2:15286330:15288082:1 gene:GSCOC_T00014147001 transcript:CDO96950 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCLNAVPSWDDVVIAYEPVWAIGTGKVATPQQAQEVHVAVRDWLSKNVSAEVASKTRIIYGGSVNGSNCADLAKQEDIDGFLVGGASLKGPEFATIVNSVTSKKVAA >CDP08786 pep chromosome:AUK_PRJEB4211_v1:2:53016548:53018500:1 gene:GSCOC_T00027883001 transcript:CDP08786 gene_biotype:protein_coding transcript_biotype:protein_coding MIKCRSLSIQAVLFKRRLSFLTTSSITRPRGSLPTRESAARSACSQLTHKFISSELKHSAKFNLFHQGKQLHGHIIKLGYQNVLPLQNLLLNFYLNCKCVSNAHQLFGEMIVRNIITWNTMICGIANEFSYFKSNFNLGICYFGRMLTGEVRPDWITFRGLFRLCVDTNNVEMSQVLHCFVMKLGLCDDLFLSSALVDMYGKLGLVEEARHVFIFIKQKDLVLWNTLVSCYVLNSFEDEALRLYKVMRSEGLTGDEFTFTSLLSSCASFGNCQLGRGIHGTLVKLSFDLDVLVASSLVDMYAKNESLVDARKVFDMMISKNVVSWNTMIVGYGQYGDGVQAMKLVNKMFQEDFHPDELTLASVFISCGILAMVGEITQLHAYTVKNGLSSLTSIANAMISGYSKCGSIVSALQAFRSILGPDLVSWTSMIGAYGFHGFPHEATELFKRMLSNGVKPDRIAFLEVLSSCGHGGLVNEGLQYFALMTDVYKLVPDPEHYACVVDLLGRVGLLKEAFDVLTSIPVEHCSDAMGAFLGACKVHGNLGLAEWAAEKLFTLEPKRAVNYALLSNMYASNGAWSDVATIRRLMREMCYPKAPGCSWMEIAGKVYSFVSGDKSHPHARMVYSILGHLYELMKVEVKESTEIFNLLFLN >CDP07813 pep chromosome:AUK_PRJEB4211_v1:2:26851152:26853957:1 gene:GSCOC_T00025208001 transcript:CDP07813 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNISLLLSFCFLFISSAFAAPKPIHTPKPVRTPLEGLLPNGNFEDPIKPSDIKKTTLIGKYALPKWEISGKVEYIHGGPQPGGMYFAVAHGVHAVRLGNEASISQTIPVKIGTLYALTFGASKTCAQNEVLRVSVPPQTGDLPLQTLYSSNGGDTYGFGFIPNTNYAKITFHNPGIQEDPACGPLLDAVAIKELSPPKPTRANLVKNGDFEEGPYRLINSSHGVLLPPSQEDRTSPLPGWIIESLKAVKFIDAMHFNVPSGRAAIELLGGRESVIAQIIRTVPNKEYNLTFSVGDGKNGCHGSMMVEAFAAKSTLKVPFQSVGKGQYKTVSFKFTAISARTRLTFFSSFYHTKIDDYGALCGPVLDNVKVVTARF >CDO96741 pep chromosome:AUK_PRJEB4211_v1:2:12571528:12576103:-1 gene:GSCOC_T00013856001 transcript:CDO96741 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKIYLFGDSITESSFAGGGWGASLTNHFSRRVDVVVRGFGGYNTRWALKVIDKVFPPAPAADSGVGEAEAPLAVTVFFGANDASLPDRHAAFQHVPVDEYKQNLRSIVSFLKRRWPRTHVLLITPPPIHEAARLLYPYGENKLGLPERTNEAAGSYAKACLSVAAEFEAPAIDLWTKMQQFPGWQTALLWDGLHLTERGNRIVFEEVVEKLKGEGLSLETLLVDLPLITDIDPNDPLKAFEI >CDP09505 pep chromosome:AUK_PRJEB4211_v1:2:21399956:21401462:-1 gene:GSCOC_T00028893001 transcript:CDP09505 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSKVRMTCLISCLLAWTRIEIWHGLKSTITLVLHLQKQKVQQESGANSEISDTHFGPKLIRQFPQKKPVATNTEESKPRLSKDILAGIFGGTS >CDP16462 pep chromosome:AUK_PRJEB4211_v1:2:30980012:30980674:-1 gene:GSCOC_T00018389001 transcript:CDP16462 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSLLFLSFLLLNSFLSFAAEKPNPVLDHDGDEIRAGVEYYIVSSIRGAGGGGVTYGRSIGNESCPLPVVQEKLDLLRGHPVTFTPVNSKEGVVRVSTDLNIKFSKAAPVCNESNVWKLDFDEELGQHFILTNGVEGNPGCGTIHNWFKIEGPYHKSYRLVFCPTVCNICNVICKDVGVFSDRRLALGGTRSFTVFIQKARSMKNADILKPISSAFRFK >CDP09454 pep chromosome:AUK_PRJEB4211_v1:2:22230019:22230129:-1 gene:GSCOC_T00028828001 transcript:CDP09454 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLKFFVYTVVIFFVFLFIFEFLPNDPGRNLGCEE >CDP08540 pep chromosome:AUK_PRJEB4211_v1:2:41420011:41423621:1 gene:GSCOC_T00027487001 transcript:CDP08540 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDPKEASEDGVTSMQIGSQSEGKHEEVDIAQSMEKLNVADPCPSFKRKPVIIIVVGMAGSGKTTFMHRLVCHTMGSNVRGYVINLDPAVMSLPYGANIDIRDTVRYKEVMKEFNLGPNGGILTSLNLFSTKFDEVISVIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVITYVVDTPRSTSPATFMSNMLYACSILYKTRLPLVLAFNKTDVAQHQFALEWMEDFEVFHAALDSDHSYTSTLTRSLSLALEEFYKNLRSVGVSAVSGAGMDAFFKAIEASAEEYMENYKPDLDRRRAEKQQLEEQRRRENMDRLRKDMEKSRGETVVLNTGLKDTDVNANTMMHEDDAEEDDDVNFERFSDYEDGIDKDEDEEIASFSF >CDP13972 pep chromosome:AUK_PRJEB4211_v1:2:4735199:4743843:1 gene:GSCOC_T00039127001 transcript:CDP13972 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFVYFSHYLVTLPPPCWTNTAHKHGVKVLGTFIMEWKEGRIMANKLLSTKESAQMYAELLTELAVALGFDGWLINMEVELDVGQIPNLKEFVSHLTQTMHSSLPTSLVIWYDSVTINGDLDWQNQLNDMNKPFFDLCDGIFVNYTWKETYPKESASVAGDRRFDVYMGIDVFGRNTYGGGEWTTNVALDVIKNDDVSAAIFAPGWVYETKQPPDFQTAQNRWWGLVEQSWGISLKYPQALPFYSNFDQGHGHHKSVDGAQVSGTPWNNISSQSFQPFLKFSGDSASDTIQVSVDFKEASYSGGGNITFKGTLDGDAYFKARLFQGQLILGDLPVHFTYSVKSNDSSLLGLLLEFSSAMKDKKSILLASWRNTLLTMNRFSSQFSSVIMPRRVTNAEAAPEWIVQESSIAMGGYTLTEIHAVCYMATPLVTGSQLEPDGPNSSLALSQSEYSAVLGHLNVHMPSQNSDFPPSASWTVGGEYIKWSSGSDGSKKFSVKLIWQLKDGKDSAFPMFNIYVQKLVDPKLTDKMLAEVAEFLGVAEVEAFYISDLILPSATSSLKFIIQVCNFDGASQKLEDSPFLDLQVPGS >CDO96990 pep chromosome:AUK_PRJEB4211_v1:2:15567649:15571421:1 gene:GSCOC_T00014196001 transcript:CDO96990 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICDVCESAAAIVFCAADEAALCPSCDEKVHMCNKLASRHVRVGLAEPSEVPHCDICESAPAFFYCEVDGSSLCLQCDMLVHVGGKRTHGRYLLLRQRVEFPGNKPGRSDELGLQPMSPGEARREALQPSKLATNENQQNHNTCPIPMLENDNHVGDKMESKLIDLNARPQRLHGQASSNQEQGMEMLSGSNHESVSVVPVASFKRENDK >CDP18232 pep chromosome:AUK_PRJEB4211_v1:2:48390285:48392210:1 gene:GSCOC_T00011791001 transcript:CDP18232 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGSGKAVERRLLHLLHGRKTRTHLTEIHAHFLRHHLHHSNQLLSHFVSVCGSLNKMPYANLIFQQFQHPNILLFNSMMKGYSLCGPYKKSLHIFTAMKSRDIMPDEFTFAPLLKACTNLGDPELGEAVHKEVLVLGFERFGSIRIGIVELYSSCERMDDARKVFHEMSHSDVVVWNLMIRGYCKIGNVKMGFQLFRQMDERSVVSWNTIISCLAQIGRDKDALELFHEMRDGGFEPDEATVVTMLPVCGRLREVEVGRWIHSFVESNGLFQDFVTVGNALVDFYCKCGDLNTAFLVFRKMARKNVVSWNAMISGVAFNGKGELGVELFDEMTDEGVSPNDSTFVGVLACCVHAGLLQRGRDLFSLMFGKHSINPKLEHFGCMVDLLGRSGCLKEAFDLIETMPMKPNARLWGALLSSCRTHGDMELAERAVKELINLEPWNSGNYVLLSNIYAERGDWDEVEKLRVLMGENSVIKVPGQSMFG >CDO97041 pep chromosome:AUK_PRJEB4211_v1:2:16084847:16085987:1 gene:GSCOC_T00014261001 transcript:CDO97041 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSSRRTGSSSSSSSAAAWTPQQNKLFEKALAQYDKETPDRWQNVARVVGGGKSADEVKRHYEILVEDVRRIETGRVPYPNYTTTRGTTAIPN >CDP17976 pep chromosome:AUK_PRJEB4211_v1:2:43818985:43819601:1 gene:GSCOC_T00001231001 transcript:CDP17976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DMP10 [Source:Projected from Arabidopsis thaliana (AT5G27370) UniProtKB/Swiss-Prot;Acc:Q3E912] MDLQPCLEQQQQSHANDSTPNGKPYCNSIQHPVHKTLATAANLANLLPTGTVLAFRTLTPSFSNKGRCQPSNKYLTAFLIGFCTVICFFSSFTDSFFDKHDGKLYYGIATFKGLYIFNNECRRDHDEKSEGEDMKELSEIKYGCFVHEFAFGSWDSLKLFVHYLSYYS >CDP05180 pep chromosome:AUK_PRJEB4211_v1:2:2199562:2200962:1 gene:GSCOC_T00020138001 transcript:CDP05180 gene_biotype:protein_coding transcript_biotype:protein_coding MPASQTSVELPVLDISQPLSPSSLSSISVACKEWGFFQLSNHGICPDFLKRLQFLCNHIFMLPSDDKLKAGPSSETKTYTPHFIASPFYESIRVSGPDFFASAQSSSEALLNRPNPEFSDVLQEYGSKMTDLSRRVIEIVLECLGAAADLGRKLSSEFDSCRGYFRINNYSSSREAEAESMQLDEVEGLGMHTDMSCITIVYQDEIGGLQVRSKDGKWMDINPSNGNLVVNIGDLMQAWSNGKLRSSEHRVVLRRCANRFSTAFFWCFEDEKVIFAPHEVVGEETSRLYKPFVCADYLKFRENSEKGKFEKVGYTVQHFAGIKT >CDP17801 pep chromosome:AUK_PRJEB4211_v1:2:51201742:51236123:1 gene:GSCOC_T00003926001 transcript:CDP17801 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKESRGPACTFEFDGESSGLLGPGESRWPFINGYAFATWIYIESFADTLNTATAAAAIAAAAAATSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGMEAYFHAQFLVVECGSGKGRKASLHFTHAFKPQCWYFIGLEHTCKQGLLGKAESELRLYIDGSLYENRPFDFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPEKMIRLASRGGDVLPCFGNGAGLPWLAANDHVQKMAEENANLDAEIAGSLHLLYHPNLLNGRYCPDASPSAVAGMHRRPAEVLGQVHVANRMRATEAFWALSYGGPMSLLPLTVSNVHDNSLEPQQGDLSLSLATTSLAAPIFRIISLAIQYPGNVEELCRRRGPEVLSRVLNYLLQTLSSLDAARNDGVGNEELVAAVVSLCQSQKQNHALKVQLFSTLLLDLKIWSLCSYGLQKKLLSSLADMVFTESSIMRDANAIQMLLDGCRRCYWTTRESDSVDTFSIDDAARPVGEVNALVDELLVVIELLVLAAPPSVAVDDVRCLLGFMVDCPQLNQVSRVLHLIYRLVVQPNASRAQTFSEAFMAYGGIETLLVLLQREAKAGDLDEPELSTENEKSLSTGKSDLNNQDGLLESSHIGNTGHMEENELASPEKGKLESSTIERMSSVSEYLFLRNLGGITSSISAENARKNVYNADKSDSIVVGIINILGALVISGHLKFGTHAPPDMSNNLLGLLEGGGTMFDDKVSLLLFALQRAFQAAPNRLMTSRVYTALLGASLNAPSTDDGLNFYDSGHRFEHLQLLLVLLRSLPYASKTFQSRALQDLLILACSHPENRRSLTAMEEWPEWILEILISNYETSVVTTETSSSLKDVEDLIHNFLIIILEYSMRQKDGWQDIEATIHCAEWLSMVGGSSTGDQRIRREESLPMFKRRLLSDLLDFAARELQVQQTQVIAAASAGVAAEGLAPKLAKLEAENAAQVSVALVENAIVILMLVEDHLRLQSKIYTTSRFPAVSPSPLSTVLPVGHSSTIVGEPLETLAERKSSSGDSAGLPLDVLASMADANGQLSAAVMERLTAAAAAEPYESVACAFVSYGSCVMDLTEGWKYRSRLWYGVGQPSNASAFGGGGSGREAWKSALEKDANGNWIELPLIKKSVFMLQALLLDESGLGGGLGIGGGSGTGMGGMALLYQLLDSDQPFLCMLRMVLVSLREDDDGKDSMLVDVEDGSSEVSRRQTSNIASFDVNARMSSRKPRSSLLWSVLSPVLNMPVSESKRQRVLVAACILYSEVWHAVSRDRIPLRKQYLETILPPFVALLRRWRPLLAGIYELATADGLNPLVLEDRALAADALPIEAALAMISPSWAAAFASPPAAMGLAMIAAGAAGGETTVSATTSHLRRDSSLLERKTTRLHTFSSFQKPLEAPSKSPAAPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRNKSDMGRVKRWNVSDAMGTAWMECLQSVDTKAVYGKDFNALSYKFIAVLVGSLALARNMQRLEVDRRMQVEVISRHRLCTGIREWRKLIHYLIEMECLFGPFGNRLCNPQRIFWKLDFTESSSRMRRYLRRNYHGSDHFGAAANYEEPSELKQDKDSVVTPSKASMLAAEAISIEVLNADDDQEDSANPGGQSTDTNLNGDIQSRITETADQPLRTSMESRDAPVTNNQDLAQNPAVVAPGYVPSEHDERIVLELPSSMVRPLKILRGTFQITTRRINFIVDSSESNTSGDGLDCRNETKIEEKDRSWLISSLHQIYSRRYLLRRSALEIFMVDRSNFFFDFGSTDGRRNAYRAIVQARPPHLNNIYLATQRPEQLLQRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSSTLDLSNPSSYRDLSKPIGALNADRLMKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRLEPFTTLSIQLQGGKFDHADRMFSDIVATWNGVLEDMSDVKELVPELFYLPEVLTNENSIDFGTTQLGEKLDSVRLPPWAKNPVDFIQKHRMALESEHVSAHLHEWIDLIFGQQRGKEAIQANNVFFYITYEGTVDIDKILDPVQQRATQDQIAYFGQTPSQLLTIPHMKRFPLADVLHLQTIFRNPKEVKPYAVPHPERCNIPAAAMLASSDSVITVDLHAPAAHIAEHKWQPNTPDGQGTPFLFQHGKPNASSAGGTFMRMFKGPTGSGPEDWHFPQSLAYSTSGISSSAIVAITCDKEIVTGGHVDNSVRLISVDGAKTLEIARGHCAPVTCVALSPDSSYLVTGSRDATVLLWRLHRASTSRSGGTVEPSTPSSTPTSTSSSTTNTLAEKRRHRIEGPIHVLRGHLGEILCCAVSSDLGIVASCSKSSDMLLHSIKKGRLIRRLRGVEAHAICLSSDGIILAWSKQLNALSTFSLNGTFIARSQLPSASSISCMEVSVYGHFALVGLNPSLDSDGVYDSSTNLKSRAGSESFDIGSNEENRLSIPLPSICFFDLYSLKVFHNMKLGEGQDIMAMALNKENTYLIVSTADKQLIIFTDPALSLKVVDHMLKLGWEGDGLSPLIK >CDO97585 pep chromosome:AUK_PRJEB4211_v1:2:20155288:20157996:-1 gene:GSCOC_T00014967001 transcript:CDO97585 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLKSFHGENFSFVADAYDPLDPRGNVTIKWDLMQDNDGTQDVRVSIFNYQLFRHVEPPGWKLSWIWPGNGVIWDIWGAEATEQGNCSAFRGRQLPHSCEKKPVIVDLLPGAPYNKQVANCCKGGVLTSLSQDQAKFGASFQMNIGSSSVNGGPIPGVPANFSLGLPGYTCGDPFKVPPSKFIEDQGRRRTQALGTWNVTCSYSQFRASVSPTCCVSLSAFYNDTIVHCPKCSCSCLGQPGAKCVKPGELPPVLQVQQDQEPEPVVLCTHHMCPIRVHWHVKLSYTQYWRVKLTVTNLNLVKNYSQWNLVVLHPNLRNITQVFSFNYKPLNPYGQINDTGMFYGIQYYNDMLLQSGESGNVQTELLLRKDPGLFTFRNGWAFPRKVSFNGEDCVMPLPDEFPRLPNADQLEAQPLPISIVILLSFIVYSMIA >CDP08792 pep chromosome:AUK_PRJEB4211_v1:2:52971746:52975512:-1 gene:GSCOC_T00027891001 transcript:CDP08792 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVDIINDGMQEFYVHFHGPNDSPYHGGVWKIRVELPDSYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDQTAYEQRVKEYCQKYAKPEDVGAVSEEKSSDEELSEDEYDSSDDAVAGPVDP >CDO97263 pep chromosome:AUK_PRJEB4211_v1:2:17682485:17683242:1 gene:GSCOC_T00014539001 transcript:CDO97263 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEVERNLQSEELIHFTRKEFVQINNQQPIECRGSPYQLTSVQRYWYLTADMTWTKFVIDSVY >CDP09347 pep chromosome:AUK_PRJEB4211_v1:2:23389768:23390936:1 gene:GSCOC_T00028673001 transcript:CDP09347 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIIYSLERRKERFLYFLKAFLKMLLTRAMMIVLTLLLLYQHLTCNILFGSQCKHFYLGKTLFG >CDP04959 pep chromosome:AUK_PRJEB4211_v1:2:408881:413896:1 gene:GSCOC_T00019837001 transcript:CDP04959 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTTVGDISSSDRALVEQVSRVVEQAKELQDLSASLISRTSREEDSLRQRANSLNSSIHSLRSSIRKSNLLLDSTLAEKLEEELFRARYLLNEGDAAAFLPSKSHGRFLRMFLGPINVRANRKDVQLKVKEEYNSFRDRTAYLFLFFPLLLIVLRSWIWNGCLPALPVQLYQAWLLYLYTGLALRENILRVNGSDIRPWWIYHHYFAMAMALISLTWEIERGPDCAQKQKGVLLYLKWAIMQGIAMLLQNRYQRQRLYTRIALGKAGRMDVVWGETAGVEGQLWLLCPILFILQGFEAYVGLLLLKTAFLGVISEWQVITCGILLIIMAVGNFANTVQTLVTKSRVKAKMKKGKSKQELDQGSGKHQ >CDP08904 pep chromosome:AUK_PRJEB4211_v1:2:51896027:51900922:-1 gene:GSCOC_T00028037001 transcript:CDP08904 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQELPEGTVQNILDQESLKWVFVGGKGGVGKTTCSSILSILLSRVRSSVLIISTDPAHNLSDAFQQKFTKNPTLVNGFSNLYAMEVDPTVENEETASSDGMDGFLSDLANAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLAKMMSLKSKFGGLISQMSRLFGADDEFGEDAILGKLEGMKDVIEQVNKQFKDPDLTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVLFDEEAVESKLLKARMRMQQKYLDQFYLLYDDFNITKLPLLPQEVCGVEALESFSRHFLSPYQPSLVRGTVEELQERISALKEQLKDAEAQLEKIRKGKQKV >CDP15501 pep chromosome:AUK_PRJEB4211_v1:2:45621594:45630843:1 gene:GSCOC_T00015356001 transcript:CDP15501 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGGGNGLTNSRGAEGGDTILVPTRFVWPHGGRRVFVSGSFTRWTNHIPMSPMEGCPTVFQVVYNLTPGYHQFKFFVDGEWRHDEHQPFVSGNYGVVNTIFLPSESDMVPTFFSPEMPGRSNMDVDNDPFLRVERVPTITQNDIELSRHRISMFLAMHNAYELLPDSGKVIALDVNLPVKQAFHILYEQGISVAPLWDFRNGQFVGVLSALDFIMILQELGNHGSNLTEEELETHSISAWKEGKLNLMRQIDGNLRQYRRRLVHAGPYDSLKDVAVKILENKVATVPIIHSSSQDGSFPQLLHLASLSGILKCICRHFRHSSSSLPILQQPISSFPLGTWVPKIGDSDGKPLAMLSSNASLNAALSLLVQAEVSSIPIVDENDSLQDIYCRSDITALAKDRAYAQIQLSELSIQEALQLGQDANAPYNGQRCQMCLPSDPLHKVMERLALPGVRRLVIVEAGSKRVEGIISLSDVFKFLLD >CDP05380 pep chromosome:AUK_PRJEB4211_v1:2:3763890:3766066:1 gene:GSCOC_T00020396001 transcript:CDP05380 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPISAELPPANSSGEFPAYRGSCSFSSLVPCLTETWGDLPLKVDDPEDMVIYWILRDAVNVGWSPFNLTASSDVSVDVRVKAEPSDATEPATTSPAADHCSAPPPAAAGAAQPKGRHFRGVRQRPWGKFAAEIRDPSKNGARVWLGTYETAEEAALAYDRAAYRMRGSKALLNFPHRVGLNEPEPVRVTAKRRSPEQAASSSSSVSSASESGSPSPSPKRRRKVVAAELSAEPEPEVESQSNAYRVNCRKTHVPVGEQLLVS >CDO96781 pep chromosome:AUK_PRJEB4211_v1:2:13019232:13021004:1 gene:GSCOC_T00013907001 transcript:CDO96781 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPNQSDLRSDLKPQVTYRCKKCRRIVATEEMVVPHERGGGQKCFKWKKRSHTQVENEPPECSSIFVEPMKWMESLQDGGVEDKILCMGCKTRLGSFNWAGMQCNCGKWITPAFQLHKNRIDECQL >CDP16543 pep chromosome:AUK_PRJEB4211_v1:2:42531234:42532388:-1 gene:GSCOC_T00018924001 transcript:CDP16543 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGVSKAGRTEFTECWSTIWKTPYIMRLALSAGIGGLLFGYDIGNPESHPTHPPRVISGALLYIRDDFKAVDGKTWLQGKVDEAKSILQRIYPTEEVEEEMQALKSSVDEEMAQQGFVGEGSLLSKVRQTLSYLVFWRGLYARITVQVAQQFVGINTVMYYSPSIK >CDP17179 pep chromosome:AUK_PRJEB4211_v1:2:47422575:47424343:1 gene:GSCOC_T00000644001 transcript:CDP17179 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPFVDDQCDIMDYFDEDFTAALGEDLESNLSAVSAMEAPPISIEIPAPQQNSNSCNSAATLENMPNHHNQPIILTFGNPSTPEINPQPLNLEDDRVVSEVLTSHGSFVNLEEAAQNARKKKKTGGRVRPASQIHDHILAERKRREQLSQGFVALSAIVPGLKKMDKTSVLGDAIIYLKHLQERVKTLEEQVAQQTMQSVVLLKRSQLLVEDEGSSDDTGGPDEQPLPEIEAKMCNRNILLRIHCEKHKGVLVKILSEVEKHNLAVMNTCVAPFGNFALDITIIAEMEKEFSLTIQELVKILGSAVHRGTVAD >CDP05243 pep chromosome:AUK_PRJEB4211_v1:2:2680245:2684887:1 gene:GSCOC_T00020220001 transcript:CDP05243 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNDFIEWLGADLSVKILMFLEDPSDLVRVSAVSSSWRQFMIVNGICKQLCLKMFPEMSTVTRAIEISNMIEPVESRTNEPIEWACLKRDHKVYAFLAQGLASFPRKDCLSEALGASSTDNYPDESIQNTLEPSDRIGQRASYWSSKGEIDSAVPETLTYKLMAKLCVITEIHIQPFQVYFQFGSPIYSAKAVRFLMGHSIAGMEAQMEGDVSSAAQESSHEKFVWTYVSPEFPMAHENCLQKFKLPEPVLCIGGILQVQLLGRVQKQEIDSLYYICVSHVEVVGRPATPAFDVEILDQSGKCMLRHNPEQHLCSNNRSPQCESSCPPRIHRFGASIRGWEHMILNTLLGARGIMVNDYDYDGEDDDSDDQYN >CDP08849 pep chromosome:AUK_PRJEB4211_v1:2:52467240:52471505:1 gene:GSCOC_T00027961001 transcript:CDP08849 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIMEGRNLLSSKLKVVANIPFNISTDVVKQLLPMGDMFSEVVLLLQEEAALRLVDPSLRSSEYRPINIFVNFYSDPEYKFKVPRTNFFPQPKATVVAFRLKQALDYPRVSSTKSFFSMVNSAFNGKRKMLRKTLQHICPPTEIEAALSEVGFQTTSRPEELALEDFVKLHNLISKP >CDO97421 pep chromosome:AUK_PRJEB4211_v1:2:18899519:18903342:-1 gene:GSCOC_T00014762001 transcript:CDO97421 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTHYSRLHLQTTMMKVILSRKYWLPVFLSFSNLLKSDSGLLSLLKRFCPVPSLSGNIRRPWFCKCPKTCHQPSIMQLAVKQQRQQWKTFFQSTSDTIIEEEKDDTPRATIIWRAIKLPIYSVALIPLAVASAAAYLQTGLFSSGRFVVLLASSALIITWLNLSNDVYDFDTGADKNKKESVVNILGSRTGTLVAAVLSLILGFMGLIWASLEAGNVRSILLLASAITCGYIYQCPPFRLSYQGLGEPLCFAAFGPFATAAFYLLQSRTCELPITGTVLSASILVGLTTSLILFCSHFHQVKDDMAVGKMSPLVRLGTRAGSDVVKIAVVVLYSSLLVLGLFGGLPITCIILCGLTVPIGRLVIRYVAENHQDNTKIFMAKYYCVRLHTVFGTALAAGLVAARIVAGSGI >CDO99505 pep chromosome:AUK_PRJEB4211_v1:2:11878064:11880421:-1 gene:GSCOC_T00029109001 transcript:CDO99505 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLGIKSNEFALPSVLKACSISKDFVLGIQVHGIVVVSGFESHVYVANTLAVMYSKCGEFVDSRRLFDEIPERNVVSWNALFSSFTQINRFGEAVGLFQEMIAGGTMPDEFSLSTLLNACTGLGDIGQGQKIHGYLIKLNFDYDPFSLNALVDMYAKLGSLEDASAVFQEINHPDIVTWNSIIAGYALHEHHDQALQLFQQMTSSGIRPNVFTLSSALKACTGLRLQELGRQLHSLLLKMNSMDSFVSVGLIDMYSKCGLAEHARIVYDSMPEKDLIAVNAMISGYTQNGSHMEGLMLFVETSRKGMGFNQSTLVAILSCTASMQAISACKQFHALSVKSGLQSDAFVTNSLIDSYGKCSQTDDAARVFEEYSTIDLPSFTSIITAYAQCGQGEEALKLYQKLQGANAKPDSFVCASLLNACANLSAYEQGKQVHVHVLKYGFVSDIFAGNSLVNMYAKCGSLKDADRAFSEVSQRGIVSWSAMIGGLAQHGHGKKALLLFHEMLRDGVPPNHVTLVSVLCACNHAGLVTEAKRYFAMMEESFGIQPTQEHYACMIDIHGRAGKLKDAMDIVNKMPFAANARIWGALLSAARIHKNVEVGQNAAEMLLSLEPEKSSTHVLLANLYASVGSWENVAKVRRIMKENNVKKEPGISWIEVKDKIYTFIVGDQSHSRSKEIYAKLQELGDVMARAGYVPMIETDLHDVEQEVKELLLSHHSEKLAVAFALIATPPGAPIRVKKNIRICLDCHTAFKFICKIVSREIIVRDNNRFHHFSDGSCSCGDYW >CDP08878 pep chromosome:AUK_PRJEB4211_v1:2:52115684:52121050:1 gene:GSCOC_T00028002001 transcript:CDP08878 gene_biotype:protein_coding transcript_biotype:protein_coding description:SK31 [Source:Projected from Arabidopsis thaliana (AT3G61140) UniProtKB/TrEMBL;Acc:A0A178VFN7] MEAEDDIGRHMMDENEIYANGGDGAGAETQRQRPIISGEQLDVEAYAALYSGRTKIMRLLFIAERCDNVSMQLEALRMAYDEIKKGENTHIFRDVVQKIDGRLGPNYGPDPAWADAVDRRAEQRREKLENELNAYRTNLIKESIRMGYNDFGDFYYAHGALAEAFKNYVRTRDYCTTSKHIVHMCLNAILVSIEMGQFTHVTSYVSKAEQTPDAVDAVTSAKLHCAAGLAHLEGKKYKLAARKFLETGPELGNNYTEVIAAQDVATYGGLCALASFDRTELKSKVIDNSNFRNFLELVPEIRELIQDFYTSHYASCLEYLGNLKANLLLDIHLHDHVETLYDQIRNKALIQYTHPFVSVDLRMMANAFKTSIAGLEKELEALITENQIQARIDSHNKVLYARHADQRNATFQRVLQTGGEFDRDVRAMLLRVSLMKHDYALKASRKQH >CDP17541 pep chromosome:AUK_PRJEB4211_v1:2:29615607:29615933:-1 gene:GSCOC_T00004507001 transcript:CDP17541 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKFGVLLCADDSENVKKRYGGHFGAFVRMLGEEGETWKVFRVANGEFPDDEEIKEFDGFVITGSFSDAHGTDVWICRLLNLLKQLDSMKKKVLGICFGHQVYIYR >CDO97512 pep chromosome:AUK_PRJEB4211_v1:2:19617605:19621272:1 gene:GSCOC_T00014881001 transcript:CDO97512 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRAARHRGKAKAFPKDDPSKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTVIETPPMVVVGVVGYVKTPRGLRCLNTVWAQHLSEEVKRRFYKNWCKSKKKAFTKCSKKYETEEGKKDIQAQLEKLKKYASVIRVLTHTQIRKMKGLKQKKAHLMEIQVNGGSVAQKVDYAYGFFEKQIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYKLGKAGQEGHTAVTEFDRTEKDITPMGGFPHYGVVKDDYLLIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >CDO99895 pep chromosome:AUK_PRJEB4211_v1:2:7956482:7960699:-1 gene:GSCOC_T00029605001 transcript:CDO99895 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSVVDSDLGVPPESWEVADLDATMRRLMLSSAKKESNSSVNNNGNGNNQSELGDALAPDSAASSANLGGGVSEDLINSVDQFLREALQNPRERLSVLRMEQDVEKFIRDPTRQQMEFYQLPTSYLRLAAHRVAQHYSLQSMVLLDNNLPDGSGSRIIVRKTSECRIPLIRLADIPVNLPSEDTGVVKVAIKQRPQKGSQIASGSNSHMSKSNSLKSVEERKEEYNRARARIFNSNSLSAGASGRGESEPRTQDFSQHVLRGVSKTEEKSVPGDGQPDLNVSRGLIDSMTGSNRSARSRTEKEPAGRSKTTNRVAIFRDREVDRKDPDYDRSYDRYMQRFDPGFGFSGGGYTIQPLYTPALNYNTEFPQLGSAPRPSISSEHQPRPLPQHLPGPWTAPSTPAITYGPPETLIPPFSPNHVGARSNSGLYLHTAQYPCQHPGMAFIHPHEQVHQSFAQSHQQQPDASFGLARPR >CDP00136 pep chromosome:AUK_PRJEB4211_v1:2:5880633:5887117:1 gene:GSCOC_T00029944001 transcript:CDP00136 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMSNVDLFKSSTLIKLSAFVFVSVVFFYLGKQWSQSDAYQQILFFSSNQSPKPVSSSSVSLSPNFNKTFNLSSLFNHTASTLSPPQQQQQQIVVASTPPPPPPAVKRMGVVDENGVMTNDFEVGDFDPEAVENSGQQEEEATSNGGGQTQTFKINKFQVCPESLREYIPCMDNLEAIKKLNSTAKGERFERHCPEKDQGLSCLVPAPKGYRTPIPWPKSRDEVWFSNVPHARLVEDKGGQNWITVEKDKFKFPGGGTQFIHGADKYIDQMEKMVPEIAFGHNTRVVLDVGCGVASFGAYLFSKNVLTLSVAPKDVHENQIQFALERGVPAMVSAFATRRLLYPSQAFDLIHCSRCRVNWTRDDGILLLEVNRLLRAGGYFAWAAQPVYKHEVVLEEQWEEMENLTTNLCWNLVKKEGYIAVWQKPLNNSCYLSRKDGTQPPLCDQDYDPDKVWYVDLKPCITRLPEDGYGANVSKWPARLQNPPDRLQSIQFDAYISRKELFKAESKYWNEIIESYVRVLKWKNFKLRNVLDMRAGFGGFAAALIQNRLDCWVLNVVPVNGPNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDLLHAAGLFSIERKRCNITTIMLEMDRILRPGGHVYIRDSLAVMDELQAIGNALGWRVSIRDTSEGPHASYRIFIGDKNLLRT >CDO97657 pep chromosome:AUK_PRJEB4211_v1:2:20751485:20757207:-1 gene:GSCOC_T00015062001 transcript:CDO97657 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSEEVSVQELASNLSTYKEQLQQVRKLLDDEPGNSEYLDMEKELLEVISLTEELLATARQNENSGLGVGASGDASLSLRHAADFSNVESGSMLDYSKFPVGTKVQAVFSEDGEWYEATIEAHTPNGYYVYYDGWGNKEEVDPDNVRPIHDATVNPLLEAEKVAQATKEALKRKIAQAASTDFQSRSLPAKLRIEPDDPEDVRAAKRKKIHAFKSKMRMEQLEMTQNKRQNAWQQFQTTKGRAKKVGFFSGRKRESIFKSPDDPNGKVGVTGSGKGLTEFQKREKHLHLKGANMEAADD >CDP06796 pep chromosome:AUK_PRJEB4211_v1:2:36286524:36288808:-1 gene:GSCOC_T00023773001 transcript:CDP06796 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVIQFLQALKQLPREKVQLATKFGVFKIEPTKVTVKGTPEYVRSCCENSLKRLQVDYIDLYYIHRIDTAVPIEETMGELKKLVEEGKIKYIGLSEASPDTIRRAHAVHPITALQQEYSLWTRDIEPELLPLCRELGIGLVPYSPVGRGLFAGKAVVESLPQNSFLETHPRYTGGNFEKNKTIYFRLDALAKKHGCTPAQLAIAWVLHQGEDIVPIPGTTKVKNLQENNGSVKVKLTEEDLKELSDAVPINTVAGQRTGDALFRTSFYFANTPPPPKKCRLE >CDP18258 pep chromosome:AUK_PRJEB4211_v1:2:48716507:48719175:1 gene:GSCOC_T00011830001 transcript:CDP18258 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVHFRPTARLRWLSTATEMATTTATTATTAVTQDTVTAVTKDKPNISLFRKLKELNIGPGSDANVSVVLDEWANESQAKRFDVARQINFLRSRKHYHLALQLSEWLESSKIEMNNADRAVQIDLLAKAKGIASAEVYFDGLQGSAKTNKTYGALLNCYCKEKMLDKAVQLFAKMKELNFTSTLNYNNVMSLYLSSNQPEQVPLLVQELEQNKLKADKYTCNLLINSYASSNDINAAEKVLEKMKKDKVKYDWFTYGNLATIYVNAGLLPKAKEMIGEMEKFENVRDREFFHMLINLYELMSDLAGVNHVWNSLKSVFPSPSNTSYLIMLLALFKLGDLENLEKYFREWESQCSLYDVRLFNVVLESYLNRNMIGEANALYESMVTKGIDPTLATLNIFTIYHIKNDQIDSALKYLEMGACKTIPEEHRWFPTDETIKLFLEYFEKKNDATRAEKFCNSMKKIGRLDSAVYASLLSKILQGNRVNVHKCYCAKQSWPVLKPKPKLWRCKTPACTPARGGGGSKLWSNAVIITTSNVDSLVYLYVGH >CDO97155 pep chromosome:AUK_PRJEB4211_v1:2:16863722:16867546:-1 gene:GSCOC_T00014407001 transcript:CDO97155 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRAKRAARGTSADMEVAFTEMSLEMEDGTKSSSDVGKMEDDLIKDGLPGQPSGVMFKQYAGYVNVDKVNGRNLFYYFVEAAQDPLSKPLILWLNGGPGCSSLGYEDMPGTELQIHYSWSLLLVLVFLILAPTPTTKAEDSYTFLVNWFKKFPHYKARDLYVMGESYAGYYVPELAEVIIKRNAMGEPISKIQLKGIMIGNGIMNEVTDVRGTYDFLWSHALISDETYQRLRENCMVETKTCQEFEDAGKMEFGAIDPSNIYGPSCSHSDSPWRTKFLVGYFPCEGDYVVNYLNLPHVQEALHANQTKLPYSWQLCSDIIDYWKDSPSTMFPTYRRLIASGLRILLFSGDVDVIVSVTSTRYSIDAMNMKVIKPWHPWLDETSDVGGYQVIYDGLTFATVREAGHQVPEYQPRRAFALLRRFLAAEN >CDP13857 pep chromosome:AUK_PRJEB4211_v1:2:34273957:34280327:-1 gene:GSCOC_T00038978001 transcript:CDP13857 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQKQRSLMDPSAPLIILLMLLMLLQLLAVMAIARVENFTRSDFPADFIFGAGTSSFQVEGAAREDGRTPSIWDTFVYANKGLSNGASGDIACDHYHKYKEDVQHMVDTGLEAYRLSISWSRLIPDGRGRVNPKGLEYYNNLINDLLIHGIQPHVTLFHLDTPQVLEDEYGGWLSRKIVKDFTAYADVCFKEFGDRVLYWTTINEGNIFAMGGYDNGIAPPGRCSFPFGLNCSEGNSITEPYIAGHNMLLAHSSAVKLYYKKHKATQHGFVGLNIYAPWFSPYSNATEDIIATQRAIDFYIGWFLHPMVFGDYPDIIKKNAGTKIPALTPRESRLLKGSFDFLGLNHYLTLYVKDSPSSLNVNIRDIVADMGLSTLVEPEDAPENQNDDTSSSLSGILEYLRNVYANPPTYIHENGKGTERNGTLNDTSRVKYMYSYIRTLLDAIKNGSNTKGYFLWS >CDP08720 pep chromosome:AUK_PRJEB4211_v1:2:53560720:53565420:1 gene:GSCOC_T00027788001 transcript:CDP08720 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >CDP04945 pep chromosome:AUK_PRJEB4211_v1:2:322429:326856:-1 gene:GSCOC_T00019816001 transcript:CDP04945 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTAEASETSQNSNRAVDQNHGTSVSHFPNHGIKPQHEFSEEQVRPILEVIAATGKFWHEWDKLKGMLSFYLKQVLSQYPEAKLSSEQQISSMGETFLELVKRLDDALQSFVEGPPFTLQRVCEILLAARTIYPNLSKLALALEKNLLVTSVLTISTDLCPGTSDPRLTTGGRENEEPNAKLESTQNGVQPLAGDKDEIMTEVQASEVDEDMTIDMETFEEIVRSSETNSTPTCDSESSSL >CDP15218 pep chromosome:AUK_PRJEB4211_v1:2:49142517:49145551:1 gene:GSCOC_T00042849001 transcript:CDP15218 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFLPFLTMVILQLGYAGMNIVSKLAMDSGMNPFVHVAYRQLFATLSIAPFAYFLERETRPRLTFSILFHIFMCSVFGATVNQITYFVGLKNSSPTIACALSNLSPAVTFILAVPLGLESAGLRAKAGQAKVLGTVVCVGGAILLSFYHGPVVGVGQSRIHWKFADNLRNKTVSNHVNFVLGPFMLIASSVSWAVWLIIQARVGKMYAAPYSSSALTCFMASIQCAIIGFCFDHHLSAWSLYPAIRAVSSIYAGIVCTALAFCLMSWCIERKGPLYVSVFSPLLLVIVAILSWAILQEKLYIGMVIGSTLIVMGLYCVLWGKNEEMKPTKTPDQEVDSGKQFTMEDLELQLFKKSNTSAGTNGEN >CDP09446 pep chromosome:AUK_PRJEB4211_v1:2:22286860:22302117:1 gene:GSCOC_T00028817001 transcript:CDP09446 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVRRRLTRRGKEKVDYPDIEESFVLDDKGVVDWTKLPDDTVIQLFSCLNYRDRASLSSTCRTWRTLGSLPCLWQVLDLRPHKCDAAAATSLASRCRDLQKLRFRGAESADAIINLQARSLSEISGDYCRKISDATLSVIAARHEKLESLQLGPDFCERISSDAVKAVALCCSHLRKLRLSGIREVEGDAINALARNCPNLIDVGFIDCLSIDEIALGNVLSVRFLSVAGTTSVKWSMVLQHWNKLPNLGGLDVSRTDIIPNAVSRLFSSSQSLKVLCALNCPALEEDASFVSNTNHRGKLLLALFTDIFKGVASLFADTTKQERNTFLNWQNTTTKDKKLDEIMNWLEWILSHSLLRIAESNPQGLDNFWLSQGAYLLLSLMQSTQEEVQERAATGLATFVVIDDENASIDGGRAETVMRDGGIRLLLNLAKSWREGLQSEAAKAIANLSVNTNVAKAVAEEGGIDILANLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAQAGGVKALVDLIFKWSNGGEGVLERAAGALANLAADDKCSMEVASVGGVHALVTLARTCKVDGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTQSPHDGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALANSCSNSSPGLQERAAGALWGLSVSEANSIAIGREGGVAPLIAFARSDAEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVHLCSSSVSKMARFMAALALAYMFDGRMDEVALVGTSSESTSKTVSLDGARRMALKHIEAFILTFSDQQAFSAAAVSSAPAALSQVTESARILEAGHLRCRFASPSLLSLSI >CDO99474 pep chromosome:AUK_PRJEB4211_v1:2:12166277:12166621:1 gene:GSCOC_T00029067001 transcript:CDO99474 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGYLVEFEDFFPTMVEKLGAEGFLDELGNGFRLLMDEEKGLITLESLKKNSALLGLQDMKDDELRSMLEEGDLDGDGKLNEMEFCVLMFRLSPELMKTSRVFVEEAILNEL >CDP08872 pep chromosome:AUK_PRJEB4211_v1:2:52283509:52286033:-1 gene:GSCOC_T00027991001 transcript:CDP08872 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRKNKQASASTSATDEEPQLPEGWRVVDHEKCNGEVVKCYTNVIGQKFFTMEDLMRYVAYAKREQISIYKPGFHPTQLAHTSSEDEWESLDPDSSSSEEEVDKRDELKAPTERPKEQAAVAISSKKLPSSSTQVSDHAVAVDKKLDEGATSSNKGKGVAKSLTKPVPLRSSRRLRGFGPEIIPEKAQESDVVEDKQQ >CDO97570 pep chromosome:AUK_PRJEB4211_v1:2:20041910:20049388:1 gene:GSCOC_T00014952001 transcript:CDO97570 gene_biotype:protein_coding transcript_biotype:protein_coding MGVETPETGMVTSPVGIAMDFPVTDGAMSCSPPKMPSRLLRRMSDPKTSTPPTVEQIEAKLRHAHLRRQKFYEHLSSKARPKPRSPSQSSSDEDDRGQRLEAKLQAAEQKRLSILAEARMRLAKLDELRQAAKTGAEMRFRKERAELGTKMELRVQQAETNRMLILKAYKQRRAMLKERTSQSLLRRMARESKYKERIRAAICQKRAAAEKKRLGLLEAEKKRACARILQVRKVAKRISHQRETKRREIQNKLEDRLQRAKRQRAEYLMQRGRGHGSVRGNGKQIHEQADVLSRKLARCWRCFYKLRKTSLQLAKSYNALNINKSSVTALPFEKLALMIESGSTLKTTKALLDRLEIRYVLSRAFASTPSPSSWNDIDHLLKRVASPKRRATPRKSMGSREARKQGSSKQAPKTPPKLSRYQVRVVLCAYMILGHPASVFSGHGDREIALAESAEKFVREFELLVEIMLYGPRENSNNPDHASTRRLNFRSQLAAFDSAWCSYLNSFVVWKVKDAESLEEDLVRAACHLELSMIQTCKMTPEGESGSLTHDMKAIQRQVTEDQKLLREKVHHLSGDAGIKRMETAISETRTKYFQARENGSPVGSPFTDVSSPITASIPTSHPSLGTSEKSSTMNGNTQRPNNVARRLFGDKDNLSEVGADRQSHTSGVKMDLENDLIVNESVHGEQLVHDENISFADGSQNSMEEKVKETMKKAFWDGIIESVEQNEPNFGRIIELMREVRDEIRWMAPESWKGDISEVIDLDILSQVLSTGNLDMDYLGKILEFALVTVEKLSAPAHTEELKAKHRGFLEELAEMCRAGDASRKSHIIALVRGLRYVLDQIQTLKQEVSKARIRLLEPLLKGPAGLDFLRKAFIKHYGDPDDALTTLPLTMQWLLSIRDIKDQQWAEHTNILLELIRSHESSSERLVPSTTLRTGGSFSVRSGNQASLVSSSAASSSGAECKGEKVDLMVRLGLLKLVSEISGITEASLPETLKLNFMRLRTVQARIQKIIVIATSILVLQQTFLSERIAISHGDTERIVLSSVRQLTDLLDMTKDAGIVEITELLTRVVDDCGSVDNTKLQSIKNIMARMLTKSLQAGDTIFSRISHAIYVASRGVVLGGSGTHGRELAEIALRQVGAASLLDEVVGAASVLVVAATVSMNVHGPWYARLLENV >CDP09424 pep chromosome:AUK_PRJEB4211_v1:2:22627304:22629498:-1 gene:GSCOC_T00028782001 transcript:CDP09424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:Projected from Arabidopsis thaliana (AT4G09320) UniProtKB/TrEMBL;Acc:A0A178UXQ6] MEQTFIMIKPDGVQRGLVGEIIGRFEKKGFTLKGLKLITVDRPFAEKHYADLSAKPFFNGLVEYIISGPVVAMVWEGKNVVTTGRKIIGATNPAESAPGTIRGDFAIDIGRNVIHGSDGVESARKEIALWFPEGIAEWQSSLHPWIYE >CDP14007 pep chromosome:AUK_PRJEB4211_v1:2:5016923:5021957:1 gene:GSCOC_T00039167001 transcript:CDP14007 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKTREGRRLAGVLGRQGERVRLYMRGTILGYKRLQSNQYPNTSLIQIERVNTKEEVAWYLGKKMAYIYKAKVKKNGSHYRCIWGKVCRPHGNMEKFFMRTICVVTDEHDQKMLVERERARCGSEDYTDDVNHA >CDO97119 pep chromosome:AUK_PRJEB4211_v1:2:16627738:16629041:-1 gene:GSCOC_T00014360001 transcript:CDO97119 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDAEIEDVEWNEELQAFMYPCPCGGLFRITREELRLGEEIARALAVLFTSPSSIIRKILPIPIARIRMSVFGL >CDP08586 pep chromosome:AUK_PRJEB4211_v1:2:39777120:39788657:-1 gene:GSCOC_T00027580001 transcript:CDP08586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 27 homolog B [Source:Projected from Arabidopsis thaliana (AT2G20000) UniProtKB/Swiss-Prot;Acc:Q8LGU6] MEAILTECVQNSLRHFMYRNAIFMCERLCAEFPSETNSQLLAVCYLQNNQAYSAYHILKGNHMAHSRYLFAQSCFKMDLLNEAEAALCPPNESSAEIPNGAAGHYLLGLIYRCTDRRKSAIYHFNQALSLDPLLWAAYEELCILGAAEEAATVFGDAASLCIQKQHLPHGLGSKSMQASAEDQGLMSSRNVGAEDLSPRHLKNIHGNSQRDAAGNYHSAPQPGGTGSQPLNGGPTTLSFYSTPSPMAAASQLSGVAPPPICRNMQINGPNSCATGADSSPRSTVNSTIQAPRRKFVDEGKLRKVSGRLFADSAPRRSIRLASGDSVANTNSNVSTVSGNGTNHSSKYLGGSKIATGSVRPVTGRKGQSWSNENFDEGIKHDGYDDSRNSSTTCSSPSSESRSLDQEGPTSAAGVCLSGSRVAVGASDLLALLRILGEGYRLSCGYRCQDALDVYVKLPQKQYNTGWVLSQVGKAYFEMVDYVEADHAFNLARLASPYSLEGMDVYSTVLYHLKEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLDSRFAYAHTLCGHEYVALEDFENGIKSYQSALRVDTRHYNAWYGLGMVYLRQEKFEFSEHHFRMAFQINPRSSVIMSYLGTALHALKRNKEALEIMEKAIMADKKNPLPLYQKANILVTVENFDGALEVLEELKEYAPRESSVYALMGRIYKRRDMYDKAMLHFGLALDMKPSATDVATIKAAIEKLHVPDEIEDNL >CDP15834 pep chromosome:AUK_PRJEB4211_v1:2:44432352:44433299:1 gene:GSCOC_T00016708001 transcript:CDP15834 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLWQQVFSVGTEWEAMDEIYGSNWDFSNLENAFEEGGELLGQNVYLFGCTETQGIADASLVPVVVAVVSRFAPSDKIAIVSVQRANEQIWPMKRLKMDWYPYIPLGRRDAKVEDLKSCRVFILRCKQRKVGLKQLGIDRAKEYDYCLPHFYNPFKKVEDDQQESEVQILFSRESEPPLICSFDWELDVLDEVADELIEQEALAADEKHAFKDFVKEKVREAKKAQREAREAKEKMLQEMGDETRAAFDSIRFYKFYPVSLPGSPEIAKSSFINRYYGNAHKVL >CDP05023 pep chromosome:AUK_PRJEB4211_v1:2:924881:937868:-1 gene:GSCOC_T00019926001 transcript:CDP05023 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVREVLFEVRRHASGTYPNYPPTGQQLDDGRGRTLLSYFSLRGITQMTERWAEYRNPKKLGKHAALFISPHADRVAVAFRNQITFLQKDDDYQQPSGTFTSGNISAFTCGTWSEAHEVLGVFDDTNTLYFIKANGEEIARITGKHLKVSLPILCLILQDGNDVNKACLCTFSILTSDGSLHDLEISQDLSASMSAAPLARTGVMLKKQFPKNVFCMHHHPKLSLFATISSASGVAISNTSGHPGSPSLSLWQRSSSSDLELMVSIDFEGLYAQAKGVDQLISPKVLISPEGNFVGTLDAKGCLLIFKFHQKQWSLSNLYNTKRYDLQMKNDLSGGGMDFLNDLVDFTWWSDDVLAVAKRDGTITMFDVPTGVKLLEKDPVYSMPIMETVEKLSGCLFLLESTSGQSYKSSEEKRTTDLRLIEQLECAKLQWNLFSLSERSVSEMYDLLIRKQDYQVALSFAHHHGLDKDELLKSQWMSSSQGVNEINKLLSTIKDHVFVLSECVDCVGPTEDAEKALLAYGLHLTEDYRFSKSQEDESSQVWDFRMARLKLLLFRDRLETFLGINMGRFSAQEYNKFRNLPINDAAVALAETGKIGALNLLFKRHPYSLGPYILEVLAAIPETVPVQSYAQLLPGNSPPASIALREEDWVECDKMVSFINSLPEDHGSRVLIRTEPIVKRYMGFQWPSTADLSSWYKNRARDIDTLSGQLENCMCLVDFGYQKGISELQHFYEDISFLRQLIYSDENEGKRNFFLSLIAWEKLSDYEKFRLLLVGVTEEDVIGRLKNIAIPFMQKRDYHIAADSTDELIGSQCTMDNTADSFLVRWLKEISLENKLGLCLIVFEEGCTDLENSYFFKDEAQVVDCALQCMYLCSSTDRWSTMSSILSKLQHLRGYGNEDLKTRLKVTEGHVEAGRILAIYQVPKPINYFREAHTDEKGVKQTLRLILSKFIRRQMGRSDNDWANMWRDLQSLQEKAFPFLDLEYMLIEFCRGLLKAGKFPLARNYLKSTGSVVLAADKAETLVIQAAREYFFSASSLDCPEIWKAKECLNILPSSRNARAEADIIDALTLKLPKLGVNVLPLQFRQMKDPLEIIKLAITSQDGAYLNVDELIEIAKLLGLSSHDEISSVQEAIAREAAVAGDLQLAFDLCRVLAKKGHGSVWDLCAALARGPALDNMDVNSRKHLLGFSLSHCDEESIGDLLNGWKDLDMMGQCETLMMLTGSEPPESAVQENLPFENGYQWESILRENGKILSFSALHLPWLLELITKAETTKKHISGSVSGKQYISVRTQAVVTIISWLARNGFAPKDNLIISIAKSIMEPPVTEEEDIMGCSFLLNLVDGFSGVDIIEGFVKARESYNEITSIMNVGLIYGLLHNRRGECEEPAQRRMLLLREFQQKHKSVASDERDELDKAQSAFWREWKLKLEEQKRVADHSRVLEQIIPGVETARFLSGDTSYRESVVFSFIESIKLEKKHVLEDVIKLAHTYGLDQTKVLLHYISSTFTSEAWTVDDIVADLSQFRKEVISSAAETITVITVSVYPLIDGHDKQRLAYIYGLLAECYLQLEELKEPLPTIGQSPMHLDAIHLARFSKVVSQECFRVSFIGGLNFKKIAGLTDLNWDSFNDEVFSHISEKNVEALADMVRNLIGLYGDSLPEGLLSWQFVYRHHVLNLLTTFETQFKTDGLSESPENFHCFLSELEQTYNAVLKYVKFIEYPGILDIMMRFFAVMVPFEKPSSKCFDSLWQECLLKLLNMWLRMMSDMQELKSLEHSDESFCSESLVTCLKVFINLILKGKVSPIEGWGTIISFSNSGVNGDAIVEIFNFCRAMLFSGCRFLAVAYVFTDALSQLSPGSALASSTGRYYINIQDLPHLYISLLEVILLDLDSGSLEKQKFHSFLSSLSKLEGNLEELKCVRDSVWKKLAEVSDNLQLPSHSRVYILELMQCIRATDKELKVFSSELDTYVIPWEGWENVQSGCVNHEKTSDCGMSNVADTANRFTNTLVALKSSQMLSAISPSLEIAPEDLLTTESAVSCFVKVSESAKSESEIDALIAMLGVWEELFMYGRKDSPKVDDIGNSWSNDDWDEGWESFLEESREKESKSNSTLLVHPLHVCWLEIFKKLIRLSRYEEFLRLADKYKGNTTQILLDEDDARCLSQIMLELNCFIALKIMLLLPYEAVQLQCLEAVEVKLKQTGIPDEFGKDYEFLLLLLSSGIVVPIITKSSYGTTFSCLCYMFGNVSRQWQEAQLSSLKYMIASEDKSNLNLIFVFTRLLFPCFLAELVKADQQILAGFFVTKFMHTSASFSIVNVVDASLRRYFEKQLQLLDDDEASWEGINSSEPLLNTILSFRDRLGELIPSALSLLPAPG >CDP00078 pep chromosome:AUK_PRJEB4211_v1:2:6354851:6358610:-1 gene:GSCOC_T00029866001 transcript:CDP00078 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKASALTLAEKCKNILAANWQGNLNTVKADAKGSKGEVYTSKVKYFVKKGKPYIWVPEKDSHNVNAMIDERSSFAVASPFPGPLASLLKSIKKLPARVALTGDIVPLRDEKIKLAAESLREIISVEQKTIKESSYSVSGILSSSRLGSTSRSDNLKELLEGNEQHFVYKFNLNSCTYIDGNGGNHEVNLEDIEASKPDPLSPFSTSLIDGINQSEMRRRALILFCITHLKKNAKDAYLLSVDRKGFDVLGKVLGPGRADGSREYVWKEFRIIFKEEARHIETFCRQLAQMEEEALKNISSFSGL >CDO96776 pep chromosome:AUK_PRJEB4211_v1:2:12971221:12975490:1 gene:GSCOC_T00013900001 transcript:CDO96776 gene_biotype:protein_coding transcript_biotype:protein_coding MDRACLVFHLVLVLCLLRTSIVRTKNITIDQSALRALKSHVTFDPSDVLSRSWIYQIPVCNWTGVKCSSLHQRVVALNISHLGLQGNIPPQVANLSFLNSIDISGNDFQGNLPEELVHLQRLSYINFSFNKFSGGVPSWLGFLPNLQYLYLANNSFTGILPSSLFNASKLEDMRIPYSQLEGIIAEEIGNLGNLKILDLQDNELMGSIPRTLFNISSLQVITLTNNSLSGTLPMNICTKLPKLQGIYLSRNLLSGQILSRFKNCTELQSLLLSMNNFSGQIPREVGNLTMLSRLRCGYNMFEGTFPPGIGNLLNLEVLHMAFCLLNGPVPAEFGNLQKLRTLDLTMNFLSGPIPKSIFNISTLTNISFTLNNLSGSIPPNIDQQLPNLEGLLLGGNKLSGVVLDSISNLTKLSHLELGQNQFTGSIPTSLGSLRFLEYLNIQRNHFTSDSPSEFSFLTSLTKCRNLRFLSISENPLSGELPPTIGNFSSSFQNFYAILCGIKGNIPHEIGNLSSLLLLSLGNNSFTGPLPDTLKGLSNLQGLDLQDNRISGSIPNHLCTLRHLAIVQLSRNQFSGQVPDCFGNITSLRELYLYSNRLNSTFPASLGRLKDLLYLEISSNSFIGDIPPEVGNLKAALDIDLAENEFSGNIPTTLGGLQNLISLTLAHNELQGPIPETFSNLLSLQLLDLSNNNFTGEISTSLESLLQLKYFNVSFNELQGRIPLNGSFANFTYESFMSNKALCGGPPSLHFPPCTVHSPHGSKKKRLRLVAYTLIPSALMIILITILLAILKKRRKRANTSEVELFPTILHERVSYRELQQATNDFSESNLIAIGGYGSVYKGVLEDGAPFAVKVFSLQSEGGFKSFEAECEVLRNLRHRNLVKVISSCSNPDFKALILDYMPNGSLEEWLHSYDCSLDFLQRLDIMIDVASALDYLHHGYSSPVVHCDLKPGNVLLDEDMTGHLSDFGISKLLGGGEITAQTKTLATIGYIAPEYGFEGVVSTRCDLYSFGILLMETFTRKRPTDEMFGEDCGLKDWVMDALQNSATHFIDSSLITLRDENSNKKVQCALSVMELALRCSAESPEQRLDARGALNELRKIKVVSLAD >CDP13959 pep chromosome:AUK_PRJEB4211_v1:2:4617044:4618607:1 gene:GSCOC_T00039110001 transcript:CDP13959 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFLDVWTWIQNLPPITQWKSNSMSTCVCSSRSSRAHLKLSITKSLQSSVSLSILAAYNVPVNLWTSKPFKLKSTLQDKETVSNLLLNFVEDILSYSPNRCPSLLKLPSIDSSLNLKDIFNFSFLSLTFLICIYEAPSDIRSECLSTLKNQFSCPRSRDVSRMLMRVLGSNVEEQWMRSINLAITNWIVELQASSHSLKAPSPLYSYSNSAFGLWKVQLYCPVIAMDVETSSNPSPDDRLLFSLNYHQLEGVIQLNYSVIVREKWIEVLVNTDNIRCDVIRLVNEALMNERGAGTAEKHFPSRISLHITPTVQTNIISISVSKSSQNPKREIGLERAIEASLEPTNPFVGINFSAGETMTMSLRPWKFEQSVYGNSAILNWFLHDSADGKEVSSSKPSKFALLQPKAWFKNRYATAYRPFNKDGGVIFAGDEYGDKVCWKVDRGAVGKLMEWEIRGWIWLTYWPNKHRTLYSETRRAEFREVLNLMLA >CDO99472 pep chromosome:AUK_PRJEB4211_v1:2:12184328:12185815:-1 gene:GSCOC_T00029063001 transcript:CDO99472 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGFANLTLGAAAAVEEHQGKANDDPFERLSDELIVSHILDKVSEAKYLCRCSLVSKRFSSLVFVSKSVSLKIPVEMPVVQPDPTPRLAAFPGKPVHAVTYPMGFLQLKAYKCIRGGSSPPHCAFDADLMLDYLPKFLGKFTELKSLAVEFDYSASGVDGNLNFAKQPVIKWTFDPEAWCFVLVIAPRSIDEVGENIYARESDLHQIVSDVHVGIFVCSCIIMGGLVRLLSESLAQVVITDSKKQGRIDFGETDIVEITSKVRPFMTAEEDSKLLLKIWEESTFEQLQEMHAISAAFASLPAPDMKVTSFFLGKGKAKGKGKGNKGKGKSKARGNEGDCDDDYQEVKKAFQGPQAFCLNAVMEMLKEIRGSF >CDP05129 pep chromosome:AUK_PRJEB4211_v1:2:1834301:1837000:-1 gene:GSCOC_T00020071001 transcript:CDP05129 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRVARPLMMMAKVKETTGIVGLDVVPNAREVLISLYSKTLEEIKAVPEDEGYRKAVESFTRHRLKVCREEEDWESIEKRLGCGQVEELIEEAKDELKLIGHMIEWKPWGIPDDYECEVIENDAPVPKHIPLHRPGPLPEEFYRTLEAVSTNTLEDAVSRSKKDEPAIASGEQQSK >CDP08814 pep chromosome:AUK_PRJEB4211_v1:2:52756914:52759460:1 gene:GSCOC_T00027919001 transcript:CDP08814 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGNSDETCDLGYQPSQSSLDQNDQSSSTEATSFSLQSGYSFCRTNSDVSAFSEPTDDNSSTFSETPSPLCWPGMKSPKTPVLSKLVMQQQNPAADDETENEDLELDMMKERFSKLLLGQDMSGSGKGVSTAVAVSNSITNLYASIFGQHLRLEPLHPDKKLMWKREMNCFLSICDHIVEFVPALHDLQDGTAVEVMTSRPRSDISVNLPALRKLDALLLEVLDSFEETEFWYAEQGSMSGKSRSGSFRRIPAPQRKEEKWWLPVPCVPSGGLSEKSRKHLRHKRDCANQIHKAAKALNNSILAEMEIPDSYMASLPKSGRAIVGDAVYRYMCNGEKFTPDLLLNCLNLGSEHEALEFADKIEASMYTWRRKACSMTHSKSSWDLVKDFMSDIDRTDKNHILAERAESLLFCLKQRYPELSQTSLDASKIQHNRDVGKAILESYSRVLEGLAFNVVAWIEDVLFVDKTTRNQDQ >CDO96905 pep chromosome:AUK_PRJEB4211_v1:2:14829197:14829505:-1 gene:GSCOC_T00014084001 transcript:CDO96905 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTKLGSENPVVIFSKSNCGMCHTIKTLINNFGANPKVYEIDQHQDGQKMERELLRLGQEPSVPTVFIGKEMIGGSDEVIGLNVKGELKPLLIRAKAIWI >CDO99591 pep chromosome:AUK_PRJEB4211_v1:2:11133233:11154763:1 gene:GSCOC_T00029227001 transcript:CDO99591 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDEKLLKEAKKLPWEDRLMHKNWKVRNDANIDLAAVCDSISDPKDPRLREFGPFFKKTLADSNAPVQEKALDALIAFLKAADADAARYAKEVCDVIVAKCMTGRPKTVEKSQTAFMLWVELEAVEQFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGSKIVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDAVKSILLEKMRDTMKKELEAELVNVTGTAKPTRKIRSEQDKEPEHEAASEAVISGPSEEAAAEVHQEIDEYELVDPVDILTPLEKSGFWDGVKAAKWSERKEAVAELTKLASTKRIAPGDFTEICRTLKKLITDVNIAVAVEAVQAIGNLASGLRTHFSGSSRLLLPVLLEKLKEKKPALAESLTQTLQAMHKSGCLNLADIVEDVKVAVKNKVPLVRSLTLNWVTFCIETSNKAVILKVHKEYVPICMESLNDGTPEVRDAAFSALTAIAKSVGMRPLEKSLEKLDDVRKKKLTEMIGGSGGGPAVVSSSGAIQASVGSSSSLEVSDGSFARKSAASMLSGKKPVQAAPANKKAASTKLGVNKKGDGSGHAKVSKPAETEDVEPAEMSLEEVEEKLGSLIQADTISQLKSTVWKERLEAIGSFKEQVEAIQELDPSVEILVRLLCAVPGWGEKNVQVQQQVIEVITHIASTASKFPKKCVVLCLVGISERVADIKTRAHAMKCLSTFCEAVGPGFVFQRLYKIMKEHKNPKVLSEGILWMVSAVDEFGTSHLVLKDLIDFCKDIGLQSSTAATRNSTIKLIGTLHKYVGPGIKGFLSDVKPALLTALDAEYEKNPYEESAAPKRTVKAAESMSSSSGGGLDSLPREDISGKITPVLLKGLESTDWKIRLESIETVNKIIEEANKRIQPTGTGELFGALRGRLYDSNKNLIIATLSTIGGVASAMGAAVDKSSKGILSDVLKCLGDNKKHTRECTLSTLDSWLAAVHLEKMIPYITTAITDAKLGAEGRKDLFDWLSKQLNVLVNFPDATQLLKPVASSMTDKSADVRHSAEACFAEILRICGHEVVSKNLRDIQGPALAIVLERLKPYGGLYETFESGRVSAASKGSSKIGKSNGYGDRPTRHGSKANSSRAIPTKGPRQESLMSVQDIGVQSQALLNVKDSNKDDRERIVVRRYKFEEIRSEQIQDLENDLMRFFREDLHRRLLSTDFKKQVDGIEMLQKVLPSIGKDIIEVLDVLLKWFVMRICESNTSCLLKVLEFLHELFDMLKSEGYIMTEAEAAIFLPCLIEKSGHNIAQVREKMRELIKQIILTYSAAKTFPYIQEGLRSRNNRTRIECVDLVGFLLENHVSEIWGHLKSLQSVASLTSERDGDIRNAALITLATGYKILGDDIWKFVGKLTEAQRSMLDERFKRKAREMDKRKEGKPGEIRAVLRRSVRDNGLDLAEQSGEVSRSTVGPLSNRDSYSHPEFQMDRAPIPRTVPGTVGPTDWNEALDIISYGSPEQSVEGMKVVCHELAQATSDPEGSAMDDVVKDADRLVSCLANKATLKCFRLQCWSLFVVLNWFKMLTLFDLSR >CDP09329 pep chromosome:AUK_PRJEB4211_v1:2:23629389:23630028:-1 gene:GSCOC_T00028649001 transcript:CDP09329 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIKEKLNDMSHMRKAKAQAKEEEKEEVDLAKTRIQVAKEVRLAREAEAAMDLHVNKAAEKVAQQEAKYSQTKNANQLPPDAIIDPDYGASLGNEGYSTPHQTCGEDCQGPYTGHGTTSKLASNAAATGTGNLASNTAAMGTGYPTNTAGGPPTNNIL >CDP08779 pep chromosome:AUK_PRJEB4211_v1:2:53056226:53057420:1 gene:GSCOC_T00027874001 transcript:CDP08779 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCTLREDDGMTWNVRSATSHGHGRPGAKLPSTADQKIKDCKKKGQMASVMRTLANQQNTLSCREMGPANIDAKLWRLESRHLLNAKALGLTPSSLAVHGCLAMPRHLRSGLLPHPIHMLLSSLPTPQIRMLTIQPFRPSSSAFLSCVVVLSVTMYLTKSIVVVGRNSKQTNNFCNPFIIHYLFIKRLQDEKWHLLDTIYVHCMR >CDO96792 pep chromosome:AUK_PRJEB4211_v1:2:13101644:13102165:-1 gene:GSCOC_T00013922001 transcript:CDO96792 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGGIKLFGATIAVQVRQAKDEGNKGEEQQTVEKRPDKIIPCPRCKSMETKFCYFNNYNVNQPRHFCKNCQRYWTAGGALRNVPVGAGRRKSKPPGRGFVAGLSDGCSLFDDASGVVHQFEFDHHHHGVVEEWHVAAEHGDFQHIFPAKRRRSSTSSNSQPRSSSTLSCS >CDP04943 pep chromosome:AUK_PRJEB4211_v1:2:297335:308332:1 gene:GSCOC_T00019814001 transcript:CDP04943 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHKTTFLFPKNPTSLLFCSTTSTKLRRLPKFTCLFHHLLPNFPLASVFTSANAIVAAAAAAGSSSAHAAVSSAITHVAVTALAIASGACLSTKVDFLWPKRDDHPGSLILDGVDVTGYPIFTDAKVQKAIAFARKSHDGQLRKTGEPYLTHCIHTGKILAALIPSAGKRAVDTVVAGILHDVVDDTGETLESIEKEFDADVVRLVAGVSRLSYINQLLRRHRRLNLNQPTLSHNEANNIRVMLLGMVNDPRVVLIKLADRLHNMRTIYALPSAKAQAVAQETLAIWCSLASRLGLWAPKAELEDLCFAVLQPHIFRRMRADLASMWSPSKRNLRRLSTKSSSFGKQFGKNSISEYEQSTEIDQDEVNMKVLLQAVLPFDLLLDRKRRIDFIENLRKPSESQINPKVIRDAGIALASLVVCEEALERELFISTSYVPGMEVTLSSRLKSLYSIYSKMKRKDVGISEVYDARALRVIIGDKNGTLHGQAVQCCYNLLNIVHRLWTPIDGEFDDYIVNPKPSGYQSLHTAVQGPDNSPLEVQIRTQSMHEYAEHGLAAHWLYKETETKLPSESIIHDPEITESPYCSKEMEDQSSVDYDVFRKYSILKAGHPVLRVEAGHLLAAVIVRVDEDGKELLVAVSFGLAASEAVAARRSSYQIKRWEAYARLYKKVSDEWWCEPGHGDWCTCLEKYTLCRDGMYHKQDQFHRLLPTFIQIIELTEQEESEYWAVVSAVFEGKSLTSIVPHSSSPDRRGFNSVNSSLMDTGINNKVLLLRTMLQWEEQLRSEAGLQKIDRDSRSSGHADSAPLAEVVIICWPHGEIMRLSSGSTAADAARRVGLEGKLVSVNGQLVVPSTELKDGDVVEVRM >CDP08843 pep chromosome:AUK_PRJEB4211_v1:2:52549142:52555664:-1 gene:GSCOC_T00027953001 transcript:CDP08843 gene_biotype:protein_coding transcript_biotype:protein_coding MQWTKETETQWTITPIFEPFNQTHDFGLLLGLCCRFYLNIHSSLEDSALLFRLPGPSMEEHSIIPSASDSTLIASIATPYYDSQEFHDSVVRLRRNPQRRKEKVYVGCGAGFGGDRPLAALKLLQRVKELDYLVLECLAERTLAERYQASKSGGKGYDPRISEWMQLLLPLAVERGVCIIANMGAKDPVGAQEEVLQIATKLGIGINVGLAHQFAIQRSGLSDHLRNVDGGVSVYLGAAPIVKCLEKYRPNVVITSRVADAALFLAPMVYELGWNWDEYELLAQGSLAGHLLECGCQLTGGYYMHPGDKNRDMSFKDLLDLSLPFAEVRFDGTVCVAKAEGSRGVLNPSTCAEQLLYEVGDPSAYITPDVVINLQDVSFQPLSDCKVLCSGAKPSAEPLPDKLLLLASKDQGWKGWGEISCGGHKCVERANAAEHLVRSWMEETYPGVNNNIISYIIGLDSLRANSKIGGLTRAVEDIRLRMDGLFEREDHAIQFTKEFTALYTNGPAGGGGISIGYKKEIILEKGLVCREHVHWHIMAARNNIMDSNDHTSSLKDIKQTSTDNELVSESLSAQYEEFLVPQTIGCPAPSGQQIPLYEVAHSRAGDKGNDLNFSIIPHFPPDIDRLKMIITPEWVKEAVSVLSNHCSFPDSDAVARRDLWVNEHVKVEIYEARGIHSLNVVVRNILDGGVNCSRRIDRHGKSVSDLILSQLVVLPP >CDP05367 pep chromosome:AUK_PRJEB4211_v1:2:3641620:3643530:1 gene:GSCOC_T00020378001 transcript:CDP05367 gene_biotype:protein_coding transcript_biotype:protein_coding MSWHLRYIYIYMYGYILYYRSLPPVAKTYTVACLMTTVAFHMELYEVSNIALYYSDVFKRFQVWRLITNFFFLGGFSLPFGFRILTILYYGVSLERGAFDKRTADYVWMFVFGAISLLVMAAIPLLWSPFKGPAMVFMIVYVWSRENPNARVNIQGLVEIKGFYLPWVMLGIDTILGHPWMPAIQGIAAGHIYYFCTVLYPLSTGKNYFKTPLWVHKLVAFWGEGYQLNAPVRQDPDAGVAFRGRSYRLGGPSRGSARPPGSSSTSSAQEGPNGPAQPTGNTADGVAFRGRSHRLGAR >CDP05307 pep chromosome:AUK_PRJEB4211_v1:2:3183978:3186787:1 gene:GSCOC_T00020304001 transcript:CDP05307 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLRLVTERVGDGAGQPVLDTDNGEEQVHVQSGVSIVIGNRPPESPGTLYISTKQVVWLSDTDRGRGYAVGFLAVSLHAVSRDPEAYPSPCIYTQIENGVEEDESEDSDAESNETLDLSKVTEMRLVPSDPDQLDTLFEIFCECAELNPEPVDEDEGEHNWVFSADQLETGGTDVEESEYPLHSIGCSNGDPDLAHTVLQLQINDQRFEDAEEMDSDNNKGHN >CDO97251 pep chromosome:AUK_PRJEB4211_v1:2:17616193:17618801:1 gene:GSCOC_T00014523001 transcript:CDO97251 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLGGVSEIPRTQALKEIWAYIKRHNLQDPENKKVIICDEQLKKIFGGKDRVGFLEIAGLISPHFLK >CDP08715 pep chromosome:AUK_PRJEB4211_v1:2:53600929:53604024:1 gene:GSCOC_T00027780001 transcript:CDP08715 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRQIGEVIGSLKALMVFQDDIQINQRQCCLLVDMMNRAYKTIAKEMQQNLRFEEKSTKWKVLESPLRELLRIIREGEIYIRQCLETKDWWVKAIRLYQNSDCVEFHIHNLLCCIPIIQISGSVSSTKQEQRLADLLLKNLSVSGPVEEKLLPSSTLVSSKDYQVRRRLGSGSQYKEIQWLGESFALRHFFGDIEPLFPAISQELALTHPNIMHIFCAFTDEERKECFLVMELMNRDLSSHIKEICGPRKRIPFSLPVAVDLMLQIARGMEYLHSKKIYHGDLNPSNILVKSRNINTDGYLHAKVCAFGLPSSVSLPQKSNSNQNGQLPVIWYAPEVLADQEQSGNADNSKYTEKADVYSFGMICFELLTGKVPFEDGHLQGDKMSRNIRAGERPLFPFHLPKYLTNLTKKCWHDDPNQRPSFASICRILRYIKRFLLMNPDHSQPETPVPLVDYSEIETVILRSFPSWAESNILPISEIPFQMFVYRVAEKEKSNISQRENSESGSDASACGDESATVDDPLPSPSERRSSASPETMNRRMLSSKKSADVKPIKQPGTPKGRVRPPPMSSCGRTMRMNSESQLMVMSPRRRASGHVSDSELS >CDP14045 pep chromosome:AUK_PRJEB4211_v1:2:5344312:5346962:-1 gene:GSCOC_T00039222001 transcript:CDP14045 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRNRRRKVGSMRIDAALDSMRPMGFPDDVVRKSIKDLLKVYGEDGWIFIEEASYKILIETIIEGQQEGRAGRDDGSGSGEKSGSLEEMCDKEERCQEQKCLPVEIDAEKSPSKNSQTHHHFISKDDSGQPQLGPPVDFAPTCSPMDRVPTNQTQKPSCGWLDADDEED >CDP09451 pep chromosome:AUK_PRJEB4211_v1:2:22254931:22256667:1 gene:GSCOC_T00028823001 transcript:CDP09451 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPALFRNPHCRVPHQKPASRSYADLFCKSLIVILVLLVIPLFPAQAPDFISQSILSKFWELFHLLVIGIAVSYGLFCRRCTNIEVDNQPRNDYSPHAYFPGVMNVSSFFEDGCEDMCNSDETKSTQNWDFMYLKNESMSKARPSVDDGKKIRSLKFGNGVKYIYEADKENVGHIWNSQYFQGENLVVVADGNYASDEHKPLGLPVRSLRSSIGDAEKTEFGPSNASSTSLKGSFGDYTKGKVQKFRSPVTINLEKEFDEVAGPSTIRWQSRSDRMEMREEVGNVQPPCHCRNHSGGNFEIENVKSRSVRHPISSGTSSVSPQPSNWKTENVEPKKDLKAFLDMFSRTTVASVDDGASVPTKARGFSIGSSRELDMPTSKGYFNDSNISAMQDMLDRGKKGSDSMKSDAKPSKLAKVLQRGRSVRTIRSSLSASDELKTKEKNSSQIDDNVAARSDQPEAPILAKKVRGGETKDPPVHTQKHNSRKVHPVPKETFSEFQSDEKQDTADSALPLESDLQLEGEPEDCEESSDDKESETDLVDNSGAEGSEVDRKADEFIAKFREQMRLQKIASVKKA >CDP08753 pep chromosome:AUK_PRJEB4211_v1:2:53304452:53314261:-1 gene:GSCOC_T00027841001 transcript:CDP08753 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPCTGGPSTTTTCSSRVVKALPDGRDGAASILVAKVVDLMSMHLDAGVLLNNPPLLADLCFSLAKGIDHAVANNEVPRRRRDLPPLLKQVYGSPNNLAVQVGLMMLTMSIQCACKLEWFSDQETDDLLKLAKEAMKSFSDPEYILIEPAHASYWVSVVMSRFYPNMKVERLLFSVQLQSGYRFCVANFPIRRGTISPKQEKVHLLVVHVDNLATSACIITPAEANFLLNGQGVEGRTIVSMDKGPQLPTNVTGKLKFGVNCLQAIGQFKGNYLVVIAVMTTTQSFNLLELQDVKPIALTNSETQTMQMSSRISLNCPISKSRIKMPVKGKLCKHFQCFDYDNFLEINSKRPSWECPHCKQPVCYVDICIDPNFVKVLSEAEEKVGNVIMSANGAWKEDKDHPSQMSGDSQVGQQNDESRSTTDRSTSLQNYNEIVSAAGTSVPTQKPPLNVLCSAFNIKGPVNNGSHHNSVQLLQKDGPPHAQSSGANISSTRLSFKQQIQQHWSLSNLNTGEALSNTESVVCSSVPAPMEPGKRIATIQTSSAVHQAARLPKDAPFLFTTPRASSRMNSMMVDSTVVVEARRIRNDELQSLDAADGESAHWRPTNRMRGGLRGEAYSAALQQFGIQPTLRAQASRPPSSAVSIRGPLTNAVADRSASASQTKS >CDP05348 pep chromosome:AUK_PRJEB4211_v1:2:3493591:3497821:-1 gene:GSCOC_T00020354001 transcript:CDP05348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase TDR [Source:Projected from Arabidopsis thaliana (AT5G61480) UniProtKB/Swiss-Prot;Acc:Q9FII5] MNQHHSLFLRPKFLALLIIVVLFQISPAISAVSPALPLQLISLLSLKSSLKDPLNTFQDWDPAPTFSKPEFPVWCTWSGIKCDKKTNQVTTLDLSRRNLSGIIPADITYISHLHHLNLSGNAFDGTLPLSIFEFPFLRTLDISHNFFNSTFPPGISRLRSLTHLNAYSNSFIGPLPNETIHLRYLEYLNLGGSYFEGPIPGSYGSIPRLKFMHLAGNNLTGPIPNELGFLNQLEHMEVGYNGYSGGLPSHLGNLANLVYLDISTANLSGEIPAELGNLTKIETVLLFRNRFTGAIPESLAQLTSLKILDLSDNNLTGTIPVSFSGLKEITQLQLMGNNLTGEIPQGIGELPGLEILGLWNNSLTGILPQKLGSNAKLQKLDVSSNSLSGPIPPSLCLSNSLVKLILFSNQFVGELPSSLANCTALNRVRLQNNQLNGSIPSGFGFLPNFTFMDISRNNFTGPIPKDLGNAVKLEYLNISENSFDSELPNNIWSAPALQILSASFADVIGTIPDFKGCRSLYKIELEGNNLTGSIPWDIDHCEKLIHLNLRKNSLTGIIPWEISTLPSITDVDLSHNFLTGAIPSNFGNCSTLENFNVSYNQLTGPVPSSGSVFTSLHPSSFTGNEGLCGGVIKKPCRTDGLADGAVEVRQQPKKTAGAIVWIMAAAFGMGLFVLIAASRCFHANYRRRFAGDREVGPWKLTAFQRLNFTAEDVLECLTMTDKIIGMGSTGTVYKAEMPGGEIIAVKKLWGKHKETIRKRRGVLAEVDVLGNVRHRNIVRLLGCCSNNECTMLLYEYMPNGSLDDLLHGKNKDQNLVADWLTRYKIALGVAQGISYLHHDCDPVIVHRDLKPSNILLDSEMEARVADFGVAKLIQSDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLLEILAGKRSVDAEFGDGNSIVDWVRSKIKSKNGFLDILDKNAGASCASVREEMMLVLRIALICTSRNPADRPTMRDVVSMLQEAKPKRKLPGGGVTGGGGEENGTACDAIPLAQKPAPIIEC >CDO97118 pep chromosome:AUK_PRJEB4211_v1:2:16626049:16626788:-1 gene:GSCOC_T00014359001 transcript:CDO97118 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATLSPATIKAAAIPGSGRTSLRRTKVTYISGLNSFGGLKAHNNVVSLGLPVSTEQSFAKIVGSLRCPSQSKGRGGGALSSTCNAADEIFRIAAIIQVLVLIGVAVGFVLLRIETSVEESE >CDP00001 pep chromosome:AUK_PRJEB4211_v1:2:7089693:7095204:-1 gene:GSCOC_T00029753001 transcript:CDP00001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWSKYAHSPAHLAVAKRDHAGLRKIVGALPHLAKAGEVNTEAESLAAELNADAVSAVVDRRDVPGRETPLHLAVRLRDPISAEILMAAGADWSLQNENGWSALQEAVCTREENIAMIIARHYQPLAWAKWCRRLPRIVASAGRIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGANLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDGKISLPPGSLIVLAHKEKEITNALEGAGVQPTEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERSEMVGPWKAKVYDMLHVMVSVKSRRVPGAMTDEELFSVNDDERVATGGEHDEYDDVLTAEERKQLDSALRMGNPEGPCEEEETEVQDLHENSDGGSFENCDPNGVTKEKKSWFGWNKKGGKNTGDDPEDSKIVKKFSKLAPEDSKLRPNESHRSTSEFPREDAGEIKKSKDKSNKKKKKKGAASESKNESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRLRELLTTKLPTGTFPAKVAIPIVPTIRVLVTFTKFEELQPTEEFCTPPSSPVHFHDAKSEVSSSWISWMTGSRGGQSSDSESRSFREEIDPFHIPPDYAWVDANEKKRRMKAKKAKSKKHKKHVASRNPETGRHSSEDI >CDP18032 pep chromosome:AUK_PRJEB4211_v1:2:46838139:46842367:-1 gene:GSCOC_T00008637001 transcript:CDP18032 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLVHGLTFPFSNSSHIQNLTIASSPSPTRSTATPSASLFFSSNSASPCRSHASNDFSSVVFLGRRVLLSISSLLVCSDPFSRSLSMAMANQVLTLERYTDSKEGFTLLRPSSWIKVEKAGATVLFEEANKGSNNVGIVVIPVRISSLRDFGTPQFVADKLIEAERRKESTKEAQFISVSERSNKEGLQVYEIEYKVDSTRGGMKRIFSAAFVASKKLYLLNISHSDGLEVPLDKDKRMILEQVLHSFDVAPST >CDP14042 pep chromosome:AUK_PRJEB4211_v1:2:5314768:5320426:-1 gene:GSCOC_T00039216001 transcript:CDP14042 gene_biotype:protein_coding transcript_biotype:protein_coding MQALHAPNRLSPANYRLSPVNRRLCPVVSFEFSPSHSFSSRLSLRRSLPSLQCCSLQSSSPVTDKKRFMEASRHGNVIPLYQCIFSDHLTPVLAYRCLVKEDDREAPSFLFESVEPGFRTSHVGRYSVVGAQPAVEIVAKENDVTILDHYKGKLKEIVVEDPMTIPREISESWKPQLISELPDTFCGGWVGYFSYDTVRYLEKKKLPFSQAPRDDRSLADIHLGLYDDVIVFDHVEKKAYVIHWVQLDQYPSREKAYTSGMKRLERLMSKVQNIDPPMLSAGSVELWTNNFGLSLNKSNMTREEYKKAVIQAKEHILAGDIFQIVLSQRFEKRTFADPFEVYRALRVINPSPYMAYLQARGSIHVASSPEILTRVKKKRVVNRPLAGTTRRGKTPHEDEMQELQLLKDKKQCAEHIMLVDLGRNDVGKVSKPGSVNVEKLMTVERYSHVMHISSTVTGELLDHLTCWDALRAALPVGTVSGAPKVKAMELIDQLEVARRGPYSGGFGGISFSGDMDIALALRTIVFPTGVRYDTMYSYQGSNKRQEWVAHLQAGAGIVADSTPEDEQMECENKVAGLARAIELAESAFVDREQEIKPNQSSFPTPVLRKRSILQVES >CDO99759 pep chromosome:AUK_PRJEB4211_v1:2:9122303:9123904:1 gene:GSCOC_T00029445001 transcript:CDO99759 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPGHSPRHLPSPSPAPETPSSVSDNSIATTTTAPTSAPSIRQPKRPKVLDEDSYVAAIEKIIERDFFPDIPKLRDRLDWLEAVRSGDPVLIRDAQLKILERRRGSAGESSIDPGTSTKSRTQTLTPGSTFFRNSSFTPFGFDENSAGKFNEHRTTPGPGVGSDRTTIGERLGDGSDGEGEVDSSLTLDEFFRRYTSEDNESFSKIMEKVNRKRKERFGFLLEGEKEGDVKLIEGAKRERVATDGFGTSDQPVATLEGWKYTAKNLLMYHPADRGEAPLTEEEMAERLKGLTKEINRTNTRFRGKVVNYKKEDDAVEVLYTPVAGATPFPLSVRDGDKVKKYDLEDLRKTPNPFYVESGKRAENGYSFVKTPSPAPGVDESPFITWGEIEGTPLRLESEDTPIDIGGSGDGPQFKIPMAPSRDVKAHSLSREAARKLRERSKMFQKPPLPSPVRGGSASPSARTLSPAAQKFVRNAIAKSSHAVDESLRASYRGSSPGMGTPKSGRSMSRFGRDSTGSRSPSVREGSNPPL >CDP13943 pep chromosome:AUK_PRJEB4211_v1:2:4529528:4533185:1 gene:GSCOC_T00039090001 transcript:CDP13943 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFVEVSDQEIRVDFALGCKCRVNLTLTSLIPTAPVAFKVQTSAPHKFLVNPPAGLLPPLATAPLQIILKPQSLLPPTFPRSPSDRFLIKTALAPELTPDSTHPDFLTSWFNSVPHRPTHDLKLKVAFVGPLLLHHATGIGDIEAVRSIIKRQRSVVADLSTRDAESLYRAATQARENSDEMVSLLVEAGLKVGARAGLDDARLASKGWTELHVAAAFDRTDQVERIVRMKDLGSLDGRDKEGRTPLHLAASRGHLGCAKLLLGAGASVDARCRDGRTALFRAAANGHRQMVDMLIEMQANPNIAEKDLGRSAIDVARDKGHFEVARVLERGEAVLHAARRGELKLLESLLETGASMNFCDQYGLTAIHMAAIKGHKDAVMTLVEFGAELECQDAEGHTPLHLAVEGGCFETVEVLINRGANVNAKSKKGATPLYISRMMGYEDVSRLLSDKGAASPVSSASPSSVLF >CDO99698 pep chromosome:AUK_PRJEB4211_v1:2:9749757:9749978:-1 gene:GSCOC_T00029371001 transcript:CDO99698 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAFLMLISGTISNSRSRQNCGISNHHHLSIGGILCFVHGLFAVTYYISATAVIQEGKKLKHPATAGAAANA >CDP16461 pep chromosome:AUK_PRJEB4211_v1:2:30917365:30918120:-1 gene:GSCOC_T00018387001 transcript:CDP16461 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQTTSGQMIDLIITLEEEKDLCKYSLSLHRLTYCQFFLFLGFWGTIVACALLMSSENLKNHIDVKNILIDMVIYFQVQVNSCLIDAILCSKNFMSDAVLVKHVLTNFF >CDP05370 pep chromosome:AUK_PRJEB4211_v1:2:3663569:3668225:-1 gene:GSCOC_T00020381001 transcript:CDP05370 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLVMCFFLMLFSSCTLANDNYNSHIFPRPLVIEYPEGNEFQLRESLEELRLQCTSWRFAVEANNLSPWKTIPPECAAYVEDYMTGRAYSYDLQRVSRAAGAFAKSVELAEDGKDVWIFDVDETLLSNLPYYAQHGYGLEIFNHTKFDKWVEEGLAPAIHSSLELYRDVTSLGFKVFFLTGRSERHGNITADNLIKVGFQHWDKLILRSPEDHPKQATMYKSEKRDEMIKEGYRIVGNSGDQWSDLLGSSPANRSFKLPNPMYYIP >CDP18030 pep chromosome:AUK_PRJEB4211_v1:2:46826944:46828198:1 gene:GSCOC_T00008633001 transcript:CDP18030 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEASSFECSEMLATLLASTPLLEESWKLCGQANAEAPQSYGTKQMGHVTYIAFSGIQMLAGLDPSCSNLVPIESSANGLFSSLHRHGEGEEPVMVHAGLLHLFLSFYSSPIFQNQDERRLTHEARVLLGRSGGRAVAGGTVLIG >CDP08713 pep chromosome:AUK_PRJEB4211_v1:2:53605382:53612740:-1 gene:GSCOC_T00027778001 transcript:CDP08713 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1 [Source:Projected from Arabidopsis thaliana (AT2G46340) UniProtKB/TrEMBL;Acc:A0A178VSM9] MEHVEDKSTVEHKSKELELDKEQEGCSTRSESSGLCVSTVSDFPGSSTNSYGDQLQKGLSNRYVMALGNQHVPRTKAYSVTDVGKGNAAEESTKRDFKTSILASVSSSNDSEAINHRQKDMWQNFFRLAGGIRSRNFSGASVSEKQGGISLSSKEKKEMESVGIKELKPLMTKQKNKVLGGVSTRSADNCRSHTQSNQQPGGDDRSKVLRSSSFTNFFRKQSRKDKAVECTEPEVHYRPHSAAMTQYEKQLITLSAQNSGVLADTKASQSLPPLPDKYLVGPVASHGKITLRDWLSSGASEMKKVERLRLFKLIVELVDLAHCEGIGLLDLRPSKFIFASPDSIKYTGSSVPIGLMTMVNQGMTKKKPLEQDAYDQREMLVKKQKLGKDMESMRHESQFFSAYCTVNETIGPKSELEPEMVQMEKKWYACPEELHSSGLLSSNIYNLGILLFELLCQFASPELHFAAMLDLRDRILPANFLSENPKEAGFCFWLLHPEPSCRPTTREILQSESIYSSEDVLVGDNAPSMMEKEEDVESELLLHFLDSLKEQRQNHVSSLLESIKCLETDIRKIGSRHEQEFYSDWMDQRLSASRSSLVSKDRDDIEILPRIFSSRSMIEEKLNLMKNISQLENAYFSLKSQAHITENSSLERADKALLSNREKWFEAQDANKDPNMEEKLIDRVGVFFEGICRFARYSTFQVCGTKWNADFLNSADAICSLSFDRDEEYIAAAGVSKKIKIFEFGSLLDDPVDIQYPMVEMSNRSKLSCVCWNQYIQHFLASTDYDGIVQIWDASNGQCFAQYIEHQKRAWSVDFSCVDPAKFASGSDDCSVKLWSINDRNSIGTIWNPANVCCVQFSTYSSYILAFGSADYKIYCYDLRHTRIPWCALAGHGKAVSYVKFIDSETLVSASTDNTLKLWDLKNTTIEGSISNACSLTFSGHTNEKNFVGLSVLDGYIACGSETNEVFAYYRSLPMPIASHKFGSFDPISGHELDESNGQFVSSVCFRRKSNIVVAANSSGSIKLLQMV >CDP05036 pep chromosome:AUK_PRJEB4211_v1:2:1040663:1042510:1 gene:GSCOC_T00019948001 transcript:CDP05036 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAVVCSLLATALVLLIVLSKNSKPDDHRKHDALSRRLGSPIFDPIVAKAHRKAEENTSRDTKAAAAAAASSSYINAAKEDMKYYDEDGTLNLRLRLMVLFPVLDVAPQDGMVDSKELEAWLTQQAVDRLDCRTRRELELRDKDGDASISFYEYLPQFTKEDIERNDTRYGEAGWWMEQFNDSDVDRNGNLNLYEFRDFLHPEDSRNERIQRRLLREKLRQMDKDNDQRLSLLEFEVGAYNDYKFYLDYESGSAANISSAAAVFDKLDVDHDKLLRVEELKPLFKYLKPGELVYAKYYTNYLIQEASFCIAIDGKLTLDEMLNHEHIFYNTVYDNGKHENEYYSYHDEL >CDP17982 pep chromosome:AUK_PRJEB4211_v1:2:43744470:43748539:-1 gene:GSCOC_T00001239001 transcript:CDP17982 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVISATVKALLQPPLEKAAALISEQLNLSRGFKKDLKRLRRSLIIIQAFLLDAQTPAVRGEALKLWLQSLEDVAFDADDVLDEFNYEMLRLKVKKTSSRGKKKVSFFFSLSQNSILFRWKMVPKVQEVTVNLKMLNEEASSFGLQAQMECTSTTKTGFPICADNRIGIRRETDSATCDPIMVGRDENLLEIVSKLLSPTDEVVSVVPLVGMGGIGKTTLARLVFNDKRVKRHFDKRIWVCVSETFDAIRLFRLILESLTGKNVEVGSRDVIIQEIQKELGGQRYLLVLDDVWNEIPAVWDDFIGSLLGVNQNKGNHIIVTTRKQQAASIIANHPLLVLGKLSSDECWSIIKEKASLARVIPIELEDIGRKIAGKCQGLPLAASIIGGMLRNKESDEWLSILESSLLGSMANGNSILQLLKLSFDHLPSPYLQKCFAYCSIFSKDHDIEREQLIQLWMAEGYIQPSVGNGPEKENMGSAFFDILLKSSLFQEVKLDEYCNITHCKMHDLVHDLAQSVSNFGKTGADGTYKIRYLALESFGEKTCPISKDNARYLRTLFLETNTFDDVPTCKFLRVLNLSWAEIEQLPTSICRLIHLRYLDLSSTAIKGLPISVCKLYNLETLRIKECYYLRELPDKFRNLISLRHFDFSTFDSKFEMPPKIGQLTCLQTLRFFNVGRDKGRQIEELGFLKNLSGRLVIRNLERVKDKEAAQRADLFGKPNLYKLEFEWSWDRNGAMNDKNVLKGLQPHPNLKSLTIRDFMGDRLPSWVMRMEVCIDNSFLRLKNLMEVKLLHCRNLQEIPTFGHLPFLERLVLDGLHEITSIRSSFYNNGASVGGQGRTKLFPALKALILDNMPNLTEWMEADVASAAGNMEVFPSLEELKIRYCTRLTTAPSHFSHLKKLEIKHVNHGLPVTKVCSEVKTLTSLDIERVCHLTSLPDVLSHNNQNLEFLKLKHCPDLTHIVPEWGFAACLREFQICNCDNLMELPNDLYSLKSLERLEIDSCPKLKSFPTPNGQEGLKSLRHLRIYNCGGLTCLPGEMLDSCTCLESLWVNYCRNLASFPVNLHHMSALSSLEVFKCPKLTSLPEGLCCLSRLSELQIGPFSNSMDFDPFQIIFNGLHLLSSSLRELTLYGWPHWDALPCQLQHLTALTELQIHNFSIDALPHWIGNLASLEALSLHFCEKLRFLPSMRDLSKLNSLSILFCPLLEERCTPQIGPDSQWSRISHIPHIYIDGRRIQA >CDO96829 pep chromosome:AUK_PRJEB4211_v1:2:13592170:13595856:1 gene:GSCOC_T00013977001 transcript:CDO96829 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHYKSIQTHKSCNFAGAIPNEIRNLTMLMILDFRSNILRGLGIPENIGNLHRLEVLVLYSNTLSGSIPTVIFNISSLQHIQLDQNKFSGTIPLTLVFLSLHHNELTGSIPTSLGSLRNLSYLNLDSNKLSMLDNNPLNGFLPASFSNYSTSLEVLSAISCKIEGNIPARISNLSSLLHLDFSSNELIGSLPRTMHSLANLQDLGLLSLSQNQIFGSIPECLGNMTNLRQIFLDSNRLTSMIPSNLLSMKDLEILNLSSNFISGSLSLEIGNLKATYDLDLSANQLAFHWQKNNLQGSIPESISNMISLEFLDLSHNNLFGVIPKSMEALKSLKECNVSFNRLSVRRSSKRKVLLLVISLSGIAAILIIAIGALLYLRWLNKPKTSGGTELMSAAKYERFSYYDLLHSTDNYNESNLLGEGSYGSVYKGILSDGTAVAIKVFNLLVEGSLKSFDRECEVLKSLCHQNLTKVLDSCSNLDFKALVLKYMPNGNLEKWLYSHNHFLDMFRRINIMIDVACALEYLHYGYDTPVVHCDLKPSNILLDEDMAAHVSDFGIAKMFGEEESILHTNTLATLGYIAPVANRVHYYYYFIYLDHYQETSSSSLIGQD >CDP14028 pep chromosome:AUK_PRJEB4211_v1:2:5210592:5216039:-1 gene:GSCOC_T00039201001 transcript:CDP14028 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRMPGGELDFLVEAKNSVKCMDNFRKLSPQVARYIYAPRVDWNLSTSRLLTMEYIDGAQVNDLKTIQRLGIQPRDVAKLVSHAFAEMMFKHGFVHCDPHAANVLVRPLPSGNGSIFGRKKPQLVLLDHGLYKDLDDSIRINYAALWKGLIFSDPKAIRENSVKLGAGEDLYALFAGILTMRPWNRVIDPAVDHLVVHGTDHSELQMYASQYFPQITELLRRLPRVILLMLKTNDCLRAVSNELMQGSSLESFLIIGRVSSEAVIESKLWQKRSILSRIDVWLERILLEARLFGMHVALWLLLLRRALTL >CDO97231 pep chromosome:AUK_PRJEB4211_v1:2:17485268:17487788:-1 gene:GSCOC_T00014501001 transcript:CDO97231 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFGDENSQDFDFTFEKITAKFAQKSGGVTCSDSSSASSTTVNSNITTSISKTNVSSSQKSNKKRNVSGAIGAVNDEPVQNSAVGNVAPAGSQTNCKKKKSADNLIETATGHGKVKKEKLGERVIALQQLVSPFGKTDTASVLHEAMGYIRFLQDQVQVLCSPYLQRLPSSPRYLLEKGGECKEGESTTDLRSKGLCLVPVELTLHVAETNGADFWSPAMVNK >CDP07799 pep chromosome:AUK_PRJEB4211_v1:2:26625203:26626219:-1 gene:GSCOC_T00025186001 transcript:CDP07799 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTNYLFPLQQDDPLLFQYSPIPSVSFYHKDPTIHRQDLVNQGASSLVSLQPNTGENRNSTARKRQQKPSPAVQADNHDCGKNPVDRKQKRTFHRDMERQRRQEMANLYASLRNLLPLEYTKGKRSITDHVHVAENYIKQIEKNIRKLEVKRDKLRNLTGGSSYVHLKNVEGKNSFSINFTVRPCSAGGIEILMKRYVADTNLVPLSRILDVLLDEGLTVVSCVCTRVNEEFIYTIQTEVQL >CDO99469 pep chromosome:AUK_PRJEB4211_v1:2:12214321:12215431:-1 gene:GSCOC_T00029058001 transcript:CDO99469 gene_biotype:protein_coding transcript_biotype:protein_coding MKISKQQQRASIIGMGSKFSYLIPFSQCQEHVSWGMADIILTVVMASTIVIFCVGKPFYRYRQPSGSPFTPMLQVIVATISKRKLPYPSNAGDLYEVSTAISDCLSEMPSTLALLISLFLFVSWLLLLLLTSSSSPPSYHLKYLHFEQQKYNLSGFFSLERYLHCGLAIAIAISVFNSESCW >CDO99547 pep chromosome:AUK_PRJEB4211_v1:2:11430587:11433216:1 gene:GSCOC_T00029174001 transcript:CDO99547 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATAGKSSSKKRGSESDVSKSEKLKRVVIEDDFDADLSNDIKGIMTALQQIRQKAQKDGEKKNEETISSVASEIKSNLDELRTKLEKDRQAFAKALSKSSKECENLLKNESTKFQAVYENFCKEKTVHMQGLKDAISKYEEEKEKLFMRYEQLRKKEKSMIAELEKACASRITDLEESLRKKKQDDKTFSILRKTLGSFLDNASDEDFPPDD >CDP08718 pep chromosome:AUK_PRJEB4211_v1:2:53585168:53586714:-1 gene:GSCOC_T00027784001 transcript:CDP08718 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRRGFMGVVTLIGIVISIGVLTPCQAQAPAPAPASDGMSIDQGIGYLLMALALALTYLIHTMDATTPSYS >CDP09305 pep chromosome:AUK_PRJEB4211_v1:2:23970074:23972078:-1 gene:GSCOC_T00028615001 transcript:CDP09305 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIQEILMIRSHEIAIAELNSLSSSRGVYQRNGNILFRTTIQKAIALEQKQLDVAKVKVQQLSD >CDO97131 pep chromosome:AUK_PRJEB4211_v1:2:16691180:16691353:-1 gene:GSCOC_T00014376001 transcript:CDO97131 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQRLELCIELLNLVIEFVVVFINAVGMVVDQTTSLHLFASNPAYTVPAPYVGLLP >CDO99606 pep chromosome:AUK_PRJEB4211_v1:2:10809295:10810863:1 gene:GSCOC_T00029247001 transcript:CDO99606 gene_biotype:protein_coding transcript_biotype:protein_coding MFARTLSRYHFSTRATLNLNNTINGYLRNGNLNAARQVFDENPESRNIVSWNSIITGYTRHNRWRRAEELFGQMPQRDVVSWNTMLSGFRQANHPEKSYQYFMKMTRAGDKPNELTFAVLISAFLNTGYSFLTPQLHCRVLSSGLNLNDSLGSALMRGYLDLGDRQGLCRVFDEILVKDVAPWNVLILGFMEFGLTSEAKRAFYLMPEKNAFSWSTLINGYVKNKMLSEARSVLDTMNENDVVCWTAMIKGYVQWEDFWEALELFILMLNSGPRPNHFTFSCVLDACAGCSSLLIGNQVHSCILKVGTVLDVILSTSLLDMYAKCGDIEAAYYIFQSMSEKNLVSWNSIIGGYARHGLAKRALQEFGRMVKESINPDEITFINVLSACGHGGMVEEGERIFNSMNSKYGVKPGIQHFACIVDLYGKAGQLKKAEEFVRGMPFEPDVVVWGALLGACGLHSCLEIGKSAASRIYKLEQDHPAVYAMLSKMHGENEVWNTVAQMKKMIRVKRARKQKAGSWIES >CDO99789 pep chromosome:AUK_PRJEB4211_v1:2:8863622:8867215:-1 gene:GSCOC_T00029480001 transcript:CDO99789 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLITTHRSFCYKVELNSCRLSFPSSNPHVNFCIPAFVSCRGPFTCLKLNHCLKLRASSEGLPSELVDEDSKFVPLNAEDPRYGPPALLLLGFDLEEAVKIQQLLKELDGDFLEVIFCTEDMITCCLWEAMNTKQPNLDAAKIAKSLPRICFLSGLTGEEMMMFIDAFPESGLEPPVFAALVPNSADKRIQELIEEIMGDHEMIASRKSP >CDO97584 pep chromosome:AUK_PRJEB4211_v1:2:20150000:20153236:1 gene:GSCOC_T00014966001 transcript:CDO97584 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPSWGSQEFDHYRDAQHAAFVAEPNSLRKHKNRAWDASLSSVTHLRGFPSPSTILLSSGQSCAHQEADVVPSSATEKHDIGDSGPQDSKGSSDKKDASHGKPATSETDTKRAKQQFAQRSRVRKLQYIAELERNAEGSEVSAELEFLNQQHLILNMENKALKQRLESLAQEQLIKYVEHEVLDREVGRLRNLYQQQQQQPQQQQQPSSHRRNNSKDLDLQLANLSLKQKDANSGRDHAPGQLNL >CDP16440 pep chromosome:AUK_PRJEB4211_v1:2:35676594:35676926:-1 gene:GSCOC_T00018341001 transcript:CDP16440 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMKTLTRKTITLEVVSSDTIDNLEDGCTLADYNIQKESTLHLILCLHGGAKKRKKKTYTKPKKMKHKKKKVKLAVLQFYKVNA >CDP13871 pep chromosome:AUK_PRJEB4211_v1:2:4002016:4003872:1 gene:GSCOC_T00039000001 transcript:CDP13871 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATSAYGSCIPANFLHSPLKITNQISSPPPLYISTNPSHVNPSHLQHLYALCNHSCHRFPKLDSDCRVELIDVGKLRTALSNSSVVVSVFTKPELANDLSSTAEVGTRWMGIGGNWIQRVMPVTPDNGKLVGFGRAVSDLGLTASIYDVMVIPSLQGRGIGRRIVQRIIRMLTGKGVYDISALCSEKEGLFFKACGFGEDILGSTTMMYTGTSSCPDAHQTAIYAGRKLLLSPPSRQ >CDP17530 pep chromosome:AUK_PRJEB4211_v1:2:29107974:29116818:-1 gene:GSCOC_T00004484001 transcript:CDP17530 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVPQPPFFPPLKSIRISASPAQNLQYKSIILALPSKNLKVSSSIGPSNAEPLSPNSSEKPLETDQSEGETDPVKLAFAKAKAYKKAKQSNPTPEIVQSPIQESAGRSEKSEELKLELPKLEALKPEDKGNGKEKVPLPLKLAFEKAKEYRKNRSAVEESRVVKGSEQNSGIKIGNEGSLGGSVLRKDDDKKEELKISSIDFVGLDFSEKKSTRALPAGLVPAVDAFPEEDLPEVEILIGDASKFEAGAAAAPQPIQEEDVDVYKPKVSTWGVFPRPSNISKTYGGGRNIRPGEVLETDADRATKEARTKQLLAAYESKIGLSIHPKLKSECEQALKEGDSLMDLGKLKEALPFYEQIMDKLAFQTELYGLAALQWSICQDSLNRSNEARGMYEKLQSHPNPQVSKKARQMNFGFQAMEMIKVTNSTLSPKNTGYQNYFDAFIRDKTNYPLEGAEVDEAVLSQALPYMILLLSPILIVVLIAAQKGLQS >CDP08543 pep chromosome:AUK_PRJEB4211_v1:2:41290246:41297023:1 gene:GSCOC_T00027494001 transcript:CDP08543 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSHSVAVDIDNLAPPAGKEACVKTSKGLISVFICGDQQKPALVTYPDVALNFMSCFRGLFFCQEAASMLLHNFCIYHIDAPGHELGADAISVNVPLLSVHDLADQVAEVVDFFGLEKVFCMGVTAGAYILTLFAMKYEERVAALILVSPLCREPSWTEWIYNKILLNLLYFYGMCGLLKECLLLRYFSKELRRGMHGAESDTIYYCRRLLDERQSSNVLRFLRAINERHDLTEGLQKLKCKTLIFVGERSPFHADSIHMNTMMNKNTSALVEVESCGSLVTEELPYAMVVPMEFFLMGFGFYNETPFTSTGSSQELSKNPSRHWCIAPELLSSESLGVKLKPIKTRPTHKT >CDO99954 pep chromosome:AUK_PRJEB4211_v1:2:7503253:7504637:1 gene:GSCOC_T00029685001 transcript:CDO99954 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLYNKSSDIEARQKYANLVKSVKESGGTAYIFSSMHVSGEQLAQLSGIAAILRFPLPDLEDIEM >CDP07814 pep chromosome:AUK_PRJEB4211_v1:2:26854396:26861889:-1 gene:GSCOC_T00025209001 transcript:CDP07814 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MIANDDDFTFCQVGVNEDKDAIDAQEVVENISGLRIQDESVNDHDSGQASGILWNDKLPRTTASSKEERKGSLSFSVIDTSSSDKVNQHVSQSEDENAVSSAKASQGQKTATRKPAQRNKVPFEKGYSQMDWMRLTRMHPDLAGLNGQSNRRLVSLNEVKQHQNEDSMWTVLKGHVYNIAPYMKFHPGGVDMLVKAVGKDCTSLFNKYHPWVNAEFLLEKCLVGTLQDGH >CDP18383 pep chromosome:AUK_PRJEB4211_v1:2:43255343:43255747:-1 gene:GSCOC_T00012964001 transcript:CDP18383 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFTSSFSYQRLKEERWFDDDFDEHIGRLRHSSTFRLRRVHVRRRLKVKIPSLRRFLRRKARSVKLAWTKVVKRLKESQSHFGDLFAGNYLFLQVTPTPLKSNNNVHKSLKPYCINHDLAPTSIYSLPKVAY >CDP13816 pep chromosome:AUK_PRJEB4211_v1:2:32685378:32686022:1 gene:GSCOC_T00038878001 transcript:CDP13816 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNFSTFEVKRGSLVALEAAISTV >CDO99945 pep chromosome:AUK_PRJEB4211_v1:2:7574303:7576883:-1 gene:GSCOC_T00029669001 transcript:CDO99945 gene_biotype:protein_coding transcript_biotype:protein_coding MWCVSTFRSVSQRKLFGNSQFIRSRAVSALTQLTPCYSDSSADESISIPDKSDTKIDDPVWELNSCGVIEILNNLKKEPISALQIFRQLKERGFKHDVGTYVAIIRILCYWGMDMKLDSVLLEVIKSRKEHLGFDISDLFEALVEGLNVEGSNLLARALEAMVKAFVTVGMFDEAIDTLFQTTRRGFGVSLLACNYLLNRLVECGKVDMAVAMYKQLTRLGLSPNVYTYGIVIKAFCRKGTLEEAVDVFEKMEEAGVTPNNFTYSTYLEGLCSHGRSDLGYQVLIAWSRESVPIDAYAYMAVLRGFVNENKLKEAEEVLLKMEEHGLMPDQFCYGALIRGYCEVGNIIKALAFHNEMAAKNIRTNCVIVSSILQCLCQIGMFGEAVDQFKSFNDLGIYLDEIAYNVAIDALCKLGKVEEAVRLLDEMRRKKMVPDVVNYTTLINGCCLAGRVSCALDLLEEMEQNGLMPDIVTYNVLAGGFSRNGRVKEALDLVKYMKEQGVAPNTTTYNMIIEGLCIGGKVKEAEKFFTSLEDKCLENYAALIDGYCESNHTEAAFKLFLRLAKHRAVVKRSSCLKLLSCLCTEGEYNKAIKLFDLVLSSVEGPCEKMCTKVIAALCGSGDMKKARWVFDNMVAKGLTPDVITYTIMLNGYCRVNCLNEACDLFNDMKERGITPDIITYTVLLDGYSKVNFRREKRFGKEGQKKDISPLLVEMKEMNLKADAICYTALIDSHCKSNNLQDAIDLFNEMIDIGLEPDTVTYSALLCGYCKRRDVDRAVSLVNEMSLKGIEPDSHTMSTLYHGILKAKKVQFQHKKSADFTGMLFEVG >CDP08829 pep chromosome:AUK_PRJEB4211_v1:2:52667439:52672536:-1 gene:GSCOC_T00027937001 transcript:CDP08829 gene_biotype:protein_coding transcript_biotype:protein_coding METAQTAPNHQNLPPQQPPAAPPTASPPSDISTRKRPLDDDILARLVDSPYYKMRVIVRDLRPHFIEVLKTPDFQNCKAAQEIREKMKIVVDLYREMIRDPPASQPPTADVQHGQDHQRDVKLPERPPSDRASTRQAENKTVPSGGASQKQQPEAGRPEGTYIVGGSAFGWNFVTYPGTKSVYYGRTKESFRSAKV >CDO97148 pep chromosome:AUK_PRJEB4211_v1:2:16827710:16828338:1 gene:GSCOC_T00014398001 transcript:CDO97148 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKQDTNWNYGWHRHPNASQPRRVEVGGSEGWHFGFNYTDWALKNGPFYLGDTLVFKYNSTPHPHSVYLLPSYRSFLTCDLRWAWKIADVNQGGGEGFEFVLRWWQPYYFACGEGGGFHCSNGTMKFPVMPLIHWN >CDP05057 pep chromosome:AUK_PRJEB4211_v1:2:1183342:1198741:1 gene:GSCOC_T00019975001 transcript:CDP05057 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTPQSRPNKRPIDKDSSQGRSIKRFFPAEGDQLSAEKVFKFRVLLPNGTSVDLNLRRPGAEMTLEEFVHTVKREYLSVVRQTEASKPRSSINWSSQDFHLFDANANPISKKLVLNNFRCNSKNPNILLLQDGSAEIGKYENMWDLTPDTDLLRELPEHYTFETALADLIDNSLQAVWSNGRNERRLIRVELAQDKIEIFDTGPGMDGSDENSIAKWGKIGASLHRLAREQGIESKPPYLADPEHCAYRIFNPRILKRRKWRLLGHLWIAFQTKMAPKYVIRSANELVISQKAVSISFIQLLFWIYFANCSLSSVCMVMEDHLQLCTWEGTTTWKFMFKFTVPREFLNVGYKSCPICLPTDRRALISSKTKQSKKVYMLHLERESLLSSSSGQTWRTNGGLRDADKDELEKASHGSFTKVEIFNTEQRSMKVKQLRSRLKDIYFPYIQVLLFNTRLSYLCDELSRTGKTQMPIEFQVNGIGLAEILGGEVAVTNVNSCNGPDFTLQLHLTKDASQATSSSNPGYTAPREANARLKCVYFPITQGKESIDKILEELKRDGCGNTEDFKTFSRVSVRRLGRLLPDARWVLLPFMEPKHKKGEHGQILKRCCYRVKCFIDTDAGFKPTPSKTDLAHQNPYTIALKSFGKKHLEKEKDVHIEINKDGKELNLAKLEKLYEDWIISMHKKYDEEIVSGNDEPTFVVNPSDKQELGISSKVIRVHKVFRRKGAIWKSGQKIKILKGAYVGHHKTNSYATLEFVILEGWEGDAGGEARLICRPISIPDESGCRLVFDNENPSIKIGGSISLPVNVIDSGKCVTIDDAEWENQLQKNSLKVPSSISLLSAKDCQELGIGGALPDNVVCAGCDPPEEIVAVVRPASFSCAVKSKNLDRKYIVQDELEMTMDIKFRAVHVDSENINIFSMKVTPSVWEDCRGFYIFQLGNKLPNLFQKAGLYTFLFCVKGRRGLTFEKKVKVRALSKAGSWRPNEQQKHLNTENASVRTPCSKGKSLLCQESSELEALCNSRHHSAEKRILLLRDSSEPSDMGNLIESKMNHRENLHPQELPELESEGDDPHQSAERRKLLPPDFASSSVMGNKVKCEMKDHEELWDELCQYGLCIKQREMNIESLNLLLSDIEQDMSNLQGSPCLDLHDLERTAGKKLVAEQIVCRGDSAAAVICRLFQSVTYKEKGIDFADKVLGVVALLGTVQTHDLSRIFAQYLGEHQMLAIVCKSYADAAYLEKHDPDGRVNNAYGLHELATKLGISINRQYHVLCVENIRPYAGDFSSDPQRYLSLPEPTLPNGKLPPGFLGYAINMIELDVNFWFWRTASGHGLRETLFYRLFGELQVYENRQCMNMASCCIKDGAVSLDGGILRGNGVISLGHWEPDVKFPVLPLDSQRYFSPRKVEVLKEIEAKKQELRETNYQLKAEERTRAEVKKKFKETKERYQSLLDEKEKSLSGLTMQILYQ >CDP17971 pep chromosome:AUK_PRJEB4211_v1:2:43908644:43911628:-1 gene:GSCOC_T00001218001 transcript:CDP17971 gene_biotype:protein_coding transcript_biotype:protein_coding MLSILSVPLVCLISNVWRGFGFVIAIDRENLNKAFAQLTLEFLTKQKYEAYDTNGTVVAGDKDKEVLVREIWVFEKSLFHPGAYWRLCGRINTS >CDP07664 pep chromosome:AUK_PRJEB4211_v1:2:24471675:24472753:1 gene:GSCOC_T00024989001 transcript:CDP07664 gene_biotype:protein_coding transcript_biotype:protein_coding MADNYSSHSQPTLPLHLCFFLLILFTFVSFTWYINYENIFEPLFDQVKLILVVSPLLLLLAMHLLSSFDRSWIYLPIPDQDSLHRAGGTPWGVGLLLVILLFMVSYQSGLRERWFPLLSR >CDO99943 pep chromosome:AUK_PRJEB4211_v1:2:7587415:7589112:-1 gene:GSCOC_T00029665001 transcript:CDO99943 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDTESSAAHYSDSLKPLLDQLPKISYGDTFTLYQWEGFWYAPRHLEAAKSVQSNFEARDDDIILASSLKTGTIWLKALLFCIMGPKISDINEDPLLKNHPAVYVQTLETQTYLANPNPDLSSMPTPRLFHTHMPYNVLPDSIKNSKCKMVYIVRNPKDTLVSMWHFFSTVKQYPIQVLFEGFCNGVHPFGPFFDHVLQFWNESLRAPERVLFLKYEELKSDPKGQVKKLAAFLGRPFENEEQVDQVLWRSSLERLKNLEVNKTGFDPWAQMPNSSFFRRGVVGDWKNALTPEMEERLDQITKTKLAGSGLDLVI >CDP13847 pep chromosome:AUK_PRJEB4211_v1:2:33927344:33928709:-1 gene:GSCOC_T00038960001 transcript:CDP13847 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVWFITCVDLVKKLIVPVKNRSNPSSLHYSSLWLFASSPSSVFLLGCCRCFCRLLGLSVYYSSLWRLRSSCLAALADLPICRRCSARSFILTIYLFFGAWSTKVMSLDHRMIARMITYLLTMIRVYLA >CDO99871 pep chromosome:AUK_PRJEB4211_v1:2:8153272:8159378:1 gene:GSCOC_T00029572001 transcript:CDO99871 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVNEDHDQRNNGGLEDLTSMTIESLRARLLSERAMSRTSRQRADELAKRVVELEEQLKLVSLQRKKAEKATADVLAILESNGISDASEAFDSNSDQETVFSDSKVSNDSEKRKETLSNFQVRRRDMDSCSSSEIDSSPSTGRSLSWKSSKDSLHSLESKKYTETVRRRCSTFASNSSLPKRIGKSCRRIRRREARSVAEDSHDDDNTHDSQGKTVDTRSQACPNCADIKQPINNGATDLKETLVSGLSENRIADDYSSRSGQDDDFKSVLQHQAQLEEQYEEDTAQGEWEEKVRENSSCVVDSCDPGNRSDVTEEREEVKASGQSSPAGIRNSVDQQSDLEVAKTSFTSEMEPEVSKDLPISPHSETGYVQEQKTSSLVAHGSSSSEYAFPTSKGSLSQGVPGNVDSTPSTSSRTYLSDGSYGNPSVHVPFPSPNNGESSGSHKELALMPQKSSSDLESVLKALQQAKSSLNQKLHGMPLLEGGSSGNGVEPSFPGNKLTGGKVDVPVGCPGLFRLPTDFQLEGSAKVNYLSSNTALSLANSYPEPVPGWFANSSLAESRSSAFVGNHFDTTPYSGIGSGAHRPTPSYTLGASALEAGLSSSGRSNYLDPQTNGALLIPSRYAYPTYPFYQEVRPQVPGNERLSRYVPSVELGTPSPARFSFYDDHITPNMYK >CDO97626 pep chromosome:AUK_PRJEB4211_v1:2:20468733:20470957:-1 gene:GSCOC_T00015018001 transcript:CDO97626 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGLGHGGVGSSRSANGFKGSSSSLDWLGREMLAMRLRDRVDHDEDDRDSEPDIIDGVGAETGHVIRTTIGGRSGQAKQVISYIAEHVIGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNVVSLKHSFFSTTDKEELYLNLVLEFVPETVNRIAKQYNRMNQRMPLIYVKLYTYQACNILFLEIFYDNFYSASYLLVLLQLLTLENVQLCMGQSLLPFPICVP >CDO99673 pep chromosome:AUK_PRJEB4211_v1:2:9991801:9995539:-1 gene:GSCOC_T00029338001 transcript:CDO99673 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTMLPGMKLGCNLKTGTSNIMRSWKNFDDPSHGEFTFSLDPPETPQLILRQGETKKYRWGPFDGDRFSGSNELRNNTVFKPIFVSTSDEVYYAYETLDDSTLVRSVVTPLGSIQYLTWRNTSRDWNMIVELNRIYYSIAENKRRKRIRVAIGISISTAFLLVLLAMAWQMMKRVKRRAEEDTVSMPIEESQDEDLELPIFGLATIVDATDQFAFGNKIGQGGFGPVYKGKLPNGSEIAVKRLSQDSGQGLREFQNEVKLIANLQHRNLVKLLGCCIQGEEKMLVYEYLPNKSLDNFIFDRVKRKLLPWNTRFDIVLGIARGLLYLHQDSRLRIIHRDLKTSNILLDIKMNPKISDFGTARIFGGEQTEEKTRRVIGTYGYMSPEYAMSGHFSIKSDVFSFGVIVLELISGRKNWGFYDPGHDYNLIGHTWKLWNEDRCLELVDEMLDGSFSIKEVIRCIQVALLCVQQRAEDRPAMSTVVFMLSNENVELPQPKEPGFITENSPFKNDPSWSGQDSNTGNELTITAVEGR >CDO97406 pep chromosome:AUK_PRJEB4211_v1:2:18812963:18816158:-1 gene:GSCOC_T00014746001 transcript:CDO97406 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVAPLLQPRDNFSSVKLLAESPSLDSIPSSYAYFNAHPHESIASDPQVSIPVIDFSLLSSNNPDQRLQAIQDLGRACQDWGFFMVVNHGIPESLMNAIIDVTNEFFNLAEEEKMGYETKHVLDPIRYGTSFNTSKEQIFLWRDFLKVFVHPDFHCPRSPRVFSELLEEYAKRTRGVARELLGGISQSLGLEEGYIAKLLDFDSGVQIYVANYYPPCPQPELAMGIAPHSDHGLLTLLLQNGVGGLQIQHNGKWVNVNALPNSFLVNTGDHLEIVSNGKYKSILHRAVVNNKMKRISIAMANGPSFDACVAPASPLLTDGHGNQIPGLYAPMKFREYLERQQGNKLDGKSCLDRVKVSICTPQ >CDO97525 pep chromosome:AUK_PRJEB4211_v1:2:19722767:19725249:-1 gene:GSCOC_T00014897001 transcript:CDO97525 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYM [Source:Projected from Arabidopsis thaliana (AT1G11400) UniProtKB/TrEMBL;Acc:A0A178W3L1] MGSRAKYNGGSGGGGGGGGEDELKQLTAELGKTLKEGERILAPTRRPDGTLRKPIKIRAGYVPQEEVAIYQSKGSLWKKEMASLQDVPPGYDPVTDASKPKTKSAKRNERKKEKRLQAALEKGKDSEKNDTSSVEDSNNVLEPEGTIASQMNELSISSNPSVVNPPSSSEESLTPADHVQDIDKKIRALKKKIRLTEAQKQKTMEQDMNPEQLEKVAKLEGWCEELKLLEDKKAELVAAAS >CDO97659 pep chromosome:AUK_PRJEB4211_v1:2:20780565:20786784:-1 gene:GSCOC_T00015065001 transcript:CDO97659 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIQSRLPLSCFASFSSSSPVFLGSANLIFKRSVRLFSATSTPDQFGKHSFLLKNFNSLQNSRLAHLRITCAAISGEFEKSPDIVVKEKCVSVILLAGGTGKRMGASMPKQYLPLLGQPIALYSFFTFCALPEVREIVVVCDPSYQDIFEDAKEKIHVDMKFALPGKERQDSVYSGLQAVDLSTELVCIHDSARPLVLAEDVGKVLKDALRVGAAVLGVPSKATIKEADSKYFVVKTLDRKTLWEMQTPQVIKPDLLRKGFELVNREGLEVTDDVSIVEHLKHPVYITEGSYTNIKVTTPDDLLLAERILKPTSPKPS >CDP16443 pep chromosome:AUK_PRJEB4211_v1:2:30237661:30239998:-1 gene:GSCOC_T00018351001 transcript:CDP16443 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALLLFWSTTILGLSHNAEGSLFSIREATVHDIRIALDHNRLTSRELVEFYLKEIRRLNPVLNGVIEDNIATKDKLNTTAGSYALLGSVVPQDAGVVKKLRRAGAIILGKASMTEWAAARSSGVPNGWNARRGQSVNPYIKSANPCGSSTGSAISVAANMVAVTLGTETAGSILCPSSYNSVVGIKPTVGLTSRAGVVPYSPRQDTVGPICRTVSDAVYVLDAIVGFDPADAVATKKASKYIPHRGYLQFLKSDGLKGKRLGIPRYSFVGFSNSSVALKAFETHFHVLRKRGAVLVDIVDTASFDTIIISMLHDESKAVIVEFKLALNAYLKQLITSPVRSLADAIVFNKDHSKLEKIKEYGQDIFEAAEKTNRIGILERQILLNLTRASKNGFEKLMKENKLDALVTPISTIVYAISAGGYPGINVPAGYDTDGTPYGISFGGLKGSEPKLIEIAYDFEQATKIRKPPPL >CDP00072 pep chromosome:AUK_PRJEB4211_v1:2:6401281:6406091:1 gene:GSCOC_T00029857001 transcript:CDP00072 gene_biotype:protein_coding transcript_biotype:protein_coding MASASQASLLLQKQLRDLCKNPVDGFSAGLVDEENLFEWSVTIIGPPDTLYEGGFFNAIMSFPQNYPNSPPTVKFTSEIWHPNVYTDGKVCISILHPPGDDPNGYELASERWSPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDNREGFKKKVSRCVRRSQEEMM >CDP07797 pep chromosome:AUK_PRJEB4211_v1:2:26594770:26602405:-1 gene:GSCOC_T00025184001 transcript:CDP07797 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKTAKLPLQSSNNANIVLPTGKTIEETYQKKSQLEHILLRPDTYIGSIEKHSQTLWVFENDAMVHRQISYVPGLYKIFDEILVNAADNKQRDPRMDSVKIVINVEENFISVYNNGDGVPVEVHQEEGVYVPELIFGHLLTSSNYDDTVKKTTGGRNGYGAKLTNIFSTEFVIESADGRRQKKYKQVFSNNMGNKSQPIISKCKESENWTKVTYKPDLAKFNMTHLEDDVVALMKKRVVDLAGCLGKTVKVELNGQRVPVKSFSDYCDLYLQSAAKTRPDPLPRIVEKVNERWEVCASLSEGQFQQVSFVNGIATIKGGTHVDYVTNQITNHIIGIVNKKNKNANLKAHSVKNHLWVFVNALIDNPAFDSQTKETLTLRQSSFGSKCELSQEFLKKVAKSGVVENLLSWADFKQSKDLKKTDGTKRQRITGITKLEDANDAGGKNSDRCTLILTEGDSAKALAMAGISVVGRNFYGVFPLRGKLLNVREASHKQIMDNAEIQYIKQILGLQHGKVYDSVKSLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKIPSFLVEFITPIVKATHKNGRVLSFYTMPEYESWKESLSGNATGWSIKYYKGLGTSTSKEGKEYFKDLGKHKKDFIWVDDNDGEAIELAFSKKKIEARKNWLRQFEPGTYLDQKEKLIKYSDFINKELILFSLADLQRSIPSMVDGLKPGQRKILFCSFKRNFVKEAKVAQFSGYVSEHSAYHHGEQSLASAIIGMAQDFVGSNNINLLQPNGQFGTRNQGGKDHASARYVYTRLSPITRSLFPKDDDILLDYLNEDGQSIEPTWYMPIIPMVLVNGSEGIGTGWSSYIPNYNPRDIIGNIRRLLNNEPMEPMEPWYKGFRGTIEKTATKEAGASYTVSGIVEEVDETTIRVTELPVRKWTQDYKEFLESIMMGNEKVKDPFIKEFRDHTDDTTVYLDIIMSEENLLMAKQEGLLKKFKLTTTISTSNMHLFDSQGIIKKFDNPEQILEEFFHLRLEFYEKRKKVLLDNLQLELLKLDNKVRFILAVVEGSIIVSNRKRADLFLELKEKGFTPFPKKKSVEAAVAGSIEDAEETEESSEVATSKGVRASDYEYLISMAIGSLTLEKVQELCADRDKLKGEVDDLIKATPKSLWTKDLDALESQLDEQDISDAQAEAARKEMRSKAMKDDGPKPTRQAPKNPRKYNKKASNTEPTTEPMEISSSSALETNNVVEVAKPKGKAGPKKAPARKGKTFSVLRDEDDEDDDEVLALKDRLAAYNLGSSSPDQSETMETEIPKREPSKRATTKKPLVSVTEISDDDDDQIEISDDEDFELEVEAAPKEKGRKKTANSKAAKPTAAPKKRGQANKTSQLVGQKLITEVLKPADVSKISPEKKVRRMRPSPFNKKSGSVLERLSQQETDKISPESEEQEESPASNASGSTEESAQVVVPRARPRRGGNRGKAKYVMSDSENDDVPDDSDFDEDDD >CDP08700 pep chromosome:AUK_PRJEB4211_v1:2:53724379:53728217:-1 gene:GSCOC_T00027757001 transcript:CDP08700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3-like centromeric protein HTR12 [Source:Projected from Arabidopsis thaliana (AT1G01370) UniProtKB/Swiss-Prot;Acc:Q8RVQ9] MARTKHPASRTRNHPKPTGVATAGTATSSAAATPARRSPRSPAAKTSPRQAGRQKKKYRHRPGTVALREIRHFQRTWKLLIPAAPFIRLVKEISNFYTLDVTRWTAEALVALQEAAEDYLVQLFEDAMLCAIHAKRVTLMKKDWELARRLGGKGQPW >CDO97676 pep chromosome:AUK_PRJEB4211_v1:2:20925432:20933572:1 gene:GSCOC_T00015083001 transcript:CDO97676 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSWVRALSSRKSRCGIGIISASNTLQNNIGNQLTEFFENKERNHFQANSSSSSQVSQQQGSLLLPCHAKSGGGIGWRATKYCNFVSLSQPSVYLEVRKFCTALVQKMREEDISGLADDTNSDVEKFDVDADVISGPKEPKFEPKAGVPIDFTKIEINKLPTVIIMGRPNVGKSALFNRLIRRREALVYNTPTDHVTRDIREGVAKLGDLRFRVLDSAGLEAEASSGSVLSRTAGMTRNVLGRSQLVLFLIDGREGLQDMDLDVGRWLRKNAPGIKTLVVINKAEKLDAFSGSLAASVGEAYSLGFGDPVALSAETGFGMSELHEALKPLLEDYMLQVLTDDNIEENSSKEDEQLNDEDAKLPLQLAIVGRPNVGKSTLLNAILQEDRVLVGPEAGLTRDSIRVKFEYEGRTVYLVDTAGWLERTNQEKGPAKLSIVQSRKNLMRAHVVALVLDAEEIGKARRSLKHAEVVIARQAVEEGRGLVVIVNKMDLLRGRENKKTYESVINAVPEEIQTVIPQVTGIPVVFVSALEGKGRIAAMRQIVDTYEKWCSRLSTARLNRWLRKVVSRHSWKDQAAQPKIKYFTQVKARPPTFVAFVSGKNRLSDTDIRFLTKSLKEDFDLGGIPVRILQRCLLRNAGSKKVTSKNQQTSGRTRMASDKRNLLEKEEDIISAEHVANGA >CDP15221 pep chromosome:AUK_PRJEB4211_v1:2:49126296:49129752:-1 gene:GSCOC_T00042852001 transcript:CDP15221 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >CDP04989 pep chromosome:AUK_PRJEB4211_v1:2:680333:682530:-1 gene:GSCOC_T00019883001 transcript:CDP04989 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC15 [Source:Projected from Arabidopsis thaliana (AT5G54180) UniProtKB/TrEMBL;Acc:A0A178U8Y7] MADFLATLPTPSISRYNCRAPDNSTSGPSLPIKLIISTAESCARASYAPTSSNCTIPFLGLRTTLLPRFCSITTTLAVVNHNSTESFPNGRIMLSSLFQQVGFRERDVEALLNCNPALTLTPFDSIQSRARSLQALGLSHLALSRLILKRPEVLTAPEIDSLLHFFLRNDDLDIRGKIEPAKIERLLNATEPTFFLGFEDKVRLLLHHGIPQEKLVHVLNKANLTKALCLKSVDEIGRTLAFLNRFGGVDLILKRPSILNYDLDSQLIPRITFLLELSGRNEDATATVLRKLPFLVAYSLDHLSDHVEFLKSFAGLTESEIFRIVAVYPNLFSASRKRKLHPRIDFLKKCGLSSQDICRFLIKAPLFIGLSFEENLACKLVFLVKIGYENRTRELAMAMGAVTRTSCKNLQEVIGVFLNYGLTCEDILEMSKKHPQILQYNHESLEEKLDYLIEDMGREVGELLAFPAFLGYKLDGRIKHRYEMKKSSLGEGMSLNKLLSVSAATFSTKNKIQTISLIDDMK >CDO97448 pep chromosome:AUK_PRJEB4211_v1:2:19111745:19121976:-1 gene:GSCOC_T00014795001 transcript:CDO97448 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSQNLGFRLDIKQILSEAQHRWLRPAEICEILRNYQKFYITPEPPVKPASGSVFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDMLHCYYAHGEENENFQRRSYWMLEQDLMHIVFVHYLEVKGNKANIQCLKDAGTVSSNSQDDSSLSFGSPANSDRLASPYTDMTSPTSTLTSACEDAESEINHPASSTFHPYLDTTQEDFRGLENLDAGFSSSYNVLQSLGSQPTSSASVHDGRTVDHPESNFVPGVERTLDSASWEEVLGQCTTGMVGGGQKSWNPPAHQANWQGDCLSPMQGVPLGQNLIPDSAYYGKGSLWEQKSLSALLQSAADPFYMRPDGQEDEAVERDVQKLRQNVEAGYMMSYKAENGMPSAGSGNCSLVLKQPHLSGIQAEESLKKVDSFSRWMAKELGEVEELPLQSTNGYSWSVIQTEDVVGDSCTPTQLQLDADTLNFSLSHEHLFSITDFSPNWAYSRLETKVLITGRFLKSGQEFTRYKWSCMFGELEVPAEVLSEGVLCCHAPPHKAGLVPFYVTCSNRLACSEVREFEYRAGPSQEIDFADIPGSDAIEMHLQRRLEKLFLTGPIGSTQSVSETITDKNVVVNKIFLLMEAEYNQMATLSPRDVSPPKGIEEQHGEKLLKEKFYTWLIQKVTEGGKGPSLLDDEGQGVLHLAAALGFNWAIKPVIISGISIDFRDVNGWTALHWAALCGREDTVAVLVSLGAAPGALTDPSAEHPLGRTPADLASANGHKGIAGFLAECSLTTHLSRLTVKDSKDDDTLQYSEAKAIKTVSERVASPITEQDVPDSLSLKDSMAAVSNATQAAARIHQIFRIQSFQRKQLDIQHINESSSMDEHTLSLIAAKTSRLGKNDWTAHGAAISIQKKFRGWKKRKEFLIIRQRIVKIQAHVRGHQVRKKYKTIIWSVGILEKVILRWRRKGSGLRGFRPDAVAKCPSAENMPRKDDDYDFLQEGRKQTEERLQKALSRVKSMAQYPEARAQYRRLLTVAEGFRETEDTSNPTLSGSEDVSYADEELFDVEKLSDHDTFMSMAFE >CDP15223 pep chromosome:AUK_PRJEB4211_v1:2:49105783:49109673:-1 gene:GSCOC_T00042855001 transcript:CDP15223 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPVPSCSGIELLQADTFDLHCFQSLTGTKFFVVCEPGTLHMEALLKHFYELYTDFVLKNPFYEMEMPIRCELFDISLALAVQKDRVALLGR >CDP13947 pep chromosome:AUK_PRJEB4211_v1:2:4549047:4551686:1 gene:GSCOC_T00039095001 transcript:CDP13947 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNNGEKNGGIEESDNGKVGSRVALHISTSQRGLISDEDSQNKFQNNFSALPKRLRFFKIGNLSSPSAKFYQIAQERDEISRAVPSSSLHIREQVSKLFSRKIHWNALWKISKEWFRNPMNLALFIWITCVAVSGAILFLVMTGMLNHALPKKSQRDAWFEVNNQILNALFTLMCLYQHPQRCYHLVLLVRWRPEDISKLRKIYCKNGTYKPHEWAHMMVVVGLLQLNCFAQYALCGLNLGYRRSERPAIGVGITISVAIGAPAAAALYSLLSPLGRDYESDLDEEAQLQATTAESSRASQLRRKSLERRFSFASRDDDGRILETRPQWSGGIFDFWDDISLAYLSLFCSFCVFGWNMERLGFGNMYVHIATFLLFCMAPFWIFNLAAININNEAVREALGVTGIFLCVFGLLYGGFWRIQMRKRFNLPPYNLCCSRPAIADCAAWLFCCWCSLAQEARTGNSYDIVEDKFYKKQVDDGDQLPISPLPREDGEFQVRSSPSSPGMSSSPPVGFRANSPSPTRFSKEYFSPGRHLPPVEEEYHTGRKDGIMTPPSPSSITRDDN >CDP05379 pep chromosome:AUK_PRJEB4211_v1:2:3750768:3751724:-1 gene:GSCOC_T00020395001 transcript:CDP05379 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF5 [Source:Projected from Arabidopsis thaliana (AT5G47230) UniProtKB/TrEMBL;Acc:A0A178UKK9] MASQTQITETSALELIKQHLLDDFAFMENYCASDDRLSQFSHLSTSQSNNSSDSDTSSFVTSNASFSFATSSSSSNINYMELETKPNVVALTTPNHPRQTATSFSERKPVLNIAIPPPVKKLLDFNKMNTSNTTTTTYEEPKAKAVEQKKVQEGSGEKKHYRGVRQRPWGKFAAEIRDPNRKGSRVWLGTFDTAVEAAKAYDKAAFRLRGSKAILNFPHEVENNSLPESELATTSGRKRAREPDVVSEDIVKKEVKREEVSPASVRKGEERGLPTDPLTPSSWTAVWDCGDVKGIFEIPPLSPLSPHPSLGYSQLMVI >CDP08911 pep chromosome:AUK_PRJEB4211_v1:2:51845500:51851738:1 gene:GSCOC_T00028045001 transcript:CDP08911 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCHTYICSSPIRHILLFLIALTALQAANGDVKKDFYIVFMTDHSILDKEETAVQQTHVDLLASLKGSEADARGSLVHSYTKSFNAFAAKLSEDEVGKLSSLDGVGSVIPNRYHKLHTTKSWEFIGLPPTAKRNLQVESNIIVGVLDTGITPQSQSFKDHGLGPPPAKWKGTCNHFANFSGCNNKLIGARYFKLDDFPDPDDILSPVDVDGHGTHTSSTLAGSLVPNANVYGLAKGTARGAVPSARVAMYKVCWASTGCSDMDILAAFDAAIADGVDIISISIGGLSGNYTTDSISMGSFYAMRKGILTVASAGNEGPNIASVTNHAPWVFTVAASGIDRGFRSKIALGNGQTVSGIGVNTFEPTQKSYPLTNGMDVAKDSKRRNLSSLCIEESMDPKKVKGKIVYCKLAVWGVDSVVKALGGIGTIVESDLFLDTAQIFMAPATMVNSSKGKSITDYIHSTRSPSAVIYRSQELKIQAPFAASFSSRGPNPGSQRLLKPDISAPGIDILASYTRLRSLTGLKGDTQYSDFTFMSGTSMSCPHVSGAAAYVKSFHPNWSPAAIKSAIMTTATPMSSKTDRDAEFAHGAGQVNPVKALSPGLVYDMDDFSYIQFLCHEGYNDSSLANLAGQQQINCSKLLPATGEDAINYPTMQLSLKSNQEPTTGIFRRVVTNVGPSQSVYNATIKAPKGVVITVKPTSLSFSRVSQKRSFKVVVKANPMSSKVLMVSGSLTWRSPGHTVRSPIVIFNPSLRL >CDP14014 pep chromosome:AUK_PRJEB4211_v1:2:5098751:5103891:-1 gene:GSCOC_T00039179001 transcript:CDP14014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMAX1-LIKE 8 [Source:Projected from Arabidopsis thaliana (AT2G40130) UniProtKB/Swiss-Prot;Acc:F4IGZ2] MPTPVDTARQCFTEEAEQALDEAVAVARRRGHAQTTSLHLVSSLLSRPSSALREACSRTRNNAYSTRVQFKALELCLGVSLDRLPSTPNPVQEPPISNSLMAAIKRSQANQRRQPENFHLYHPHQQQNQLSSSPSSITVVKVELRNLILSILDDPVVSRVFGESGFRSCEIKLAILRPLHRQMFRYPKYKGPPVFLCNLGGGGGDDELGSRAFSFPFVGFSGVLDGEENCVRRIAEVMVPRDNIKGRRNPLLVGACAYDALRTFFETLQRRRGSGILPLELFGVGVVCLENEILRFVSGTCEESFLKLRFREVDKMVENCVGAGVVVNFGDLRAMAKEDAPVDVLRLVISELTRLVEIHGDKVWLIGAAARYETYSKLLNMFPCVDKDLGLQLLPITTLRLSTAESYPRSSLMESFVPFGGLFSSPSEMNVSLRSLDHGASCCHLCNEKCDEEVNVLSNGGVTTSVAEHCPSNKPSWLRMTDFTTHSGLDVVKAKDDRVLSSTKIAGPHRNSDSMFQPLQCSQPSPKASSCQLGTQFTSVFGYQIPEDGKTTAKDDPSSPASTSSTQMIEDNGHSPPFSSTSCTAQDGLASPASVTSLTVDLNLGISSSSASTELEKPTNSGCVDISRNIWSNNTRSSSCSHPDTTGQLDGVDHQDLYRALAKRIGRQEEAVKIISHTIACCKATTERHHRENCGDIWLNFVGPDRLAQKELAIALSEILHGSREHLIHVDLSFPDEMIHANATIDLQVWSDLTVVYRGKNVVDYIAGKLFKKPFSVLLLENVDKADLLVQSSLSKALKTGRFSDSHGREFGIGNAIFLTTSESADGDKTIYAGKAGYFEEDMSKMKGLPIQMLIGFDLGDYETSHNTDMLDRTSKGSSNRIFMNKRKLIGNTDIVERQGSLEMAKRAHRASNTQHLDLNVPAEEGEICDGSIGISGSDSIRESSTTWLEDFLGQVDAVVDFKAFDFDAVAQKILEDISECFQKVVHSECSLEIDSRVMMQMVAAACLGDKRKVEDWVQCVLGQGFEEAQEKYSLTARSTVKLVLREGVFPEERTPAEFLPSTIILN >CDO97012 pep chromosome:AUK_PRJEB4211_v1:2:15795468:15802299:-1 gene:GSCOC_T00014222001 transcript:CDO97012 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRSTRRTLDSHTVKSINKAIRAGDCVLMRPSDTNKPSYVARVEKIESDSRGANVRVHVRWYYRPEESIGGRRQFHGSKEVFLSDHHDIQSADTIEGKCTVHSFKSYTKLDAVGNDDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEDCNDWFHPTCIDLSIEEAKKLEHFFCENCSSGERKKLNNSHAASRHSEAKVDGKRRRR >CDP15213 pep chromosome:AUK_PRJEB4211_v1:2:49189373:49191604:-1 gene:GSCOC_T00042841001 transcript:CDP15213 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNKVFLLFFCIFFCFSAFQAESRLLSNFFQEPQEPHFRVLSQISLPPSPAPEAHSPSPADFAAIFNVLSYGAVGDGVADDTQAFKMAWDDACHTDSGILLVPSRYSFMIQSTIFTGPCKSGLVFQIEGTIMPPDGPDSWPKNYSKRQWLVFYRVSGMTMQGGGLIDGRGENWWNLPCKPHKGVNGTTSHGPCDSPVAIRFFMSSNLTVQGLRVRNSPQFHFRFDGCQDVNVYSLYIKSPSLSPNTDGIHIENTSDVRIHNTIIYNGDDCVSIGAGCHNADIRNITCGPSHGISIGSLGKQNTKACVSNITVTDSLIKHSANGVRIKTWQGGFGSVSKVTFNNIVMDTVRNPIIIDQYYCETKGCQNQTSAVYVSDILYTNIKGTYDVRSPPMRLACSDSVPCTNLTLSDVELFPAQGQNILDPYCWNAYGSLKTLTIPPVFCLLEGYPPDSMPPNDVLVC >CDP14076 pep chromosome:AUK_PRJEB4211_v1:2:5576392:5577438:-1 gene:GSCOC_T00039264001 transcript:CDP14076 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSILNFTIAVLLVFLSRTSSTPLPYAPKFDPNLLLIGDARLTNSASSVQLTDPTSSSSSGLLIRSKTFKFRSSNSKKPATKPASFSTDFIFSISPHDGDGLALIIVPADFSSKFSRDGFGLSRQSRFFAVEFDTFRNENVGDENANHVGIDVASLHSVQVSNVSSIGLVLNSGIKLHSWVDYDSSSKRLEVRLSGFGSPRPYAPLLAYQIDLGEMWKGEEVLVGLTSSRGNSVQKTCIYSWKFTVRIAPNWLHSQPVNPQAFTSKRSEDKVADKKSLCALRLLSGLIFATGCGLLAAFVVLFLWAVFANNDQVAVIPVKCSANPGDFRYEKIQVVLEDSSDAVKN >CDO99859 pep chromosome:AUK_PRJEB4211_v1:2:8252534:8258677:-1 gene:GSCOC_T00029557001 transcript:CDO99859 gene_biotype:protein_coding transcript_biotype:protein_coding MRSANPPAAAVHYISDAAINCGFSGNSTALDGREWIGDAPLKFLSGKSRISTAAEKPFPIDPVPYKSSRVSATEFGYSFEVSPGQKFIRLHLYPALYRGFENSVDSFTVKAGPFTLLRDFSASITAETSGIISMPAGLYYTSDRDSGAPIVGQKNRFFSIDNSTALEVIQRLNTGGSSVSSAEDFGMFRRWNEDTKYLVESGAHRVHHPALRIKYTTNMPAFVAPAKLYQTSWKTGGNLKGDQIYNFTWKIPVELGFGYLIRLHFCDLDDEMAQRELREFSLLINNQIAETKADVIRWSGGHGVPVYRDYMVKMKGDQGGSSCDLLIALQSANELVFGLLNGLEIFKLSNLDNSLAISNPATPMTVCTPSGVKIRNMFLAFGHSNVVTTGMTLLVILVNVLVYHLTRIWEAKFCLKNDTVAATTEPACRCFSLAEIVLATQNFSDAFVIGRGGFGKVYKAYIPAIQEIVALKRLHWSSRQGAHEFWTEIETLSKLRHIHLVSLIGYCNESQEMILVYEYIPRGTLADNLYKMSRKGNDIAPLSWEQRLRICIGAARGLEYLHNGTEYGVIHRDVKDSNILLDENFVAKISDFGLSKLERLTQSKSYVSTKVKGTPGFCDPDYIATHRLTRKSDVYAFGVVLLVVLAGRPAVDNGTPEEQHNLVSYFRECIAEENVDRIVDPSLQGKFSSNSLKEFVKSIENCLHHQPKKRPTMAQVLASLEQALQQQESTMISASSARVVGQPFREGTLESLQVLEESAKSPQTEGITSTSAELLGSPTRGQGYPPARKLLWGWPWKAVLNRGKKQKGEMSSSLAEVLPLYSYKALANATDHFHSGNMIGEGGYCQVYKGILSNGQEIAVKRIFSLTIFGVFKNEVAVASKLQHPNIVRLLGCCAEREEEKMLVYEYMPKKSLEAHLFDSKELDWSRRAIIVQGIGRALLYLHRGDSRQRIIHRDLKASHVLLDNGLNPKISNFSLAKILGGDQDEHETDRIGGTYGYMAPECFIQRKVSEKTDIYSYGVLLLEIVSGKKNWQLVGEDRHDLIVYAWKLWNENKPMNLVDPPLLVPPTETEILRHVHVGLLCVQDSPEDRPNVSTVLSMLNDEIAELPRPKVPSHITARGLSRSSSLQSTIIIPSSDNDFSLINIEGT >CDO99775 pep chromosome:AUK_PRJEB4211_v1:2:8988492:8991795:1 gene:GSCOC_T00029465001 transcript:CDO99775 gene_biotype:protein_coding transcript_biotype:protein_coding MKLERILSVPIFSVVFMVGFVYYVTVFIFIEDCSGLSTSEGSRHAMVFTLLAFLFVFSFFVCVLTDPGGVPPGFVPDVEHSLPSDQEDKTSHVNPRQCDKCSAYKPPRAHHCRVCRRCVLRMDHHCVWINNCVGHRNYKAFVVSVFYATIATTYSWIVILNCVLQKDQDFSGRIPLKIFYIACGVMFLGLTLTLGTLLAWHIYLISHNKTTIEYYDGKRAAWLAKKSGLRPHHPFDVGAFKNFTLVLGPNMLKWLWPTAVSHIKDGLSFPTARDNL >CDO99951 pep chromosome:AUK_PRJEB4211_v1:2:7521474:7529470:1 gene:GSCOC_T00029680001 transcript:CDO99951 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGEGASAAAPSSAYEGGGDGVGGKFRKRPFRKTHTTPYDRPPTALRNPSWLSKLLVDPASKLIAASAQRFFAPLFRKRLPPPPSPPPPPLPGSFAHSLGIFSCIYVNGRAEARAAPVGDGENFTHSTDGGSISELEQLLKQKTFTRSEIDHLTELLHSRAVDLPVEDGVRGTEANASKLVANFEGQHQQVISSEEKRNKSYRSHGLDSAPGTSRRVLEDDIASPAEIAKAYMGNQVSKVSPSMLGLRSRAVREDVAMRRNVPFTPTSAMISLPTKSIAHVEVPENGFTTPRSRGRSAIYNMARTPYSRVHPTALDKGSGSRNYAYGGPLLPASSLKEPDGDLGSRKLDLKRRSSVLDEDLGSVGPMRRIRQKHNLLSHKHPPAHGFGKNDVNRTPRTSYVHVPPKSSEMAARILEHLEKMTPKEKSAESKLITAREKTPARLTTDMLRGQALKSLEHTDSLRLQQGNEDNHKLEDWSQSNSLCVPASAKEERKEVNGSEKLDSQHDLPTPVENSHITASVKGVLSTVETSDSVAKSVVHRPQKKRAFMMSAHEDSLELEDDINLRPASEPLREGRENQETSATNKSSSTAEKLAPENAAALPEIRTSPELISGKRSDLEIGNAVDVGKEKTGVTIPNSIASGTTSQLVTPSPPLGLENPKQGIHEVTTFQSSSSLVAEISGPKAFPWTNQKAEISISSDGAATGTGVTSASFGTLGSGKANDINSPEAAISNGRMANTHSVASSLTASGGMSSIVPMSSNNGPLAINSSPFSFPPAPASTGFASQFSNSTCSLISSPSTLSCAATASTSAASSSSPVLSTAVHSSPVMPIFKFGDSTEPLSSATSASSAETSVMNIRTEKEAISGSSSDLLSGNSSFASVATGSNIFGLTSTIVSSIANSQSQGSFPSTGMPNQFGSSASPSVLGNSGVTSFTSSNTLSSSSTTSTPLFGAGTGYGLSTSASSAETNSNGIGGAPAAFSFGTSSLATSSSANATSSSSGATPIFNFGSSNMVSSSSGGGSSIFGVSNFSSSSESNPASSSSAAASIFGSSWQASKSSSPFGSTFSSSPSTGFSFGVGSSPFGSSSSASIAFGASTGASPGSFSFNAASSTTTSSPSVFNAAPTFGNSTTAFTAPAGNNDQMNTEDSMAEDPVQSSMPALPSMPALPAFGQQAISPSPGGFVFGSTVASQTTPFQFGGQPSQAAQQNPSPFQASGSAEFNAGGSFSLGSGGVGADKSGRKIVKVSRSRHRKK >CDO99744 pep chromosome:AUK_PRJEB4211_v1:2:9295209:9307624:-1 gene:GSCOC_T00029425001 transcript:CDO99744 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRPNSSSAAKSNSSTSGDTSDKKGPQNSDDVVVAAKRSTFVVLTLFVLVVNGSWAIYHYQFETLPAPLSAVQVGKRGFSELEAIKHVKALTQFGPHPVGSDALDRALQYVLAASESIKKTAHWEVDVEIDFFHTKYGANRLVSGLFKGKTLVYSDLNHVAMRILPKYATEAGENAILVSSHIDTVFSGEGAGDCSSCVAVMLELARGISQWAHGFKHAVIFLFNTGEEEGLNGAHSFITQHPWSDTVRMAIDLEAMGIGGKSTIFQAGPNPWAIKNFAAVAKYPSAQIVAQDLFSSGAIKSATDFQIYKEVAGLSGLDFAFLDDTAVYHTKNDKLKLLKPGSLQHLGENMLAFLLQAAASSHLPLGKAEEQDGNSSRDAAIYFDILGTYIVVFRQRLANMFYNSTIMQSLLIWVTSIMMGGSSALISLALSSLSIIFMWISSIAFAAVAAFCLPLVSASPVPYVSNPWLVVGLFGVPALLGAFIGQHLGYMILRRYLSAVYSTRYRDLSSSVQADIAKLDSERWLFKAGLIQWLLLLILGNYYKIGSTYLALVWLVSPAFAYGLLEATLSPARLPKPLKTLTLVIGLSFPFLLSSGMIIRLTSIMVGSTVRLERNPGSNPEWIGNIVVAILIAAIVCLTLVYLLSYIHISGAKVPIIIITSILFGLSICSVVGFLEAFTEDTARAVNVVHVVDTTGKHGGKQEPDSYVSLFSTTPGNLIKEAEKIGKKMVCGKDKMPDFVSFSVNYSCWIDEDVLAGWDKSDIPAIHVERDMMDENRITEISLDTKFSTRWTLGINMEEIEDFQLKDVGESEELIPLGGKTIVDGWHIIQFSGGKKAPTKFSLTLSWINEKHGPMTRNEGSRGERPLLKLRTDVDRLTPQTQDVLTKLPPWCSLFGKSTSPHTLAFLSSLPIVF >CDP00007 pep chromosome:AUK_PRJEB4211_v1:2:7040235:7045813:-1 gene:GSCOC_T00029761001 transcript:CDP00007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAEA homolog [Source:Projected from Arabidopsis thaliana (AT3G55070) UniProtKB/Swiss-Prot;Acc:Q9M2V9] METDALPNGSSASSTTGSTTSAPATPTAAITAGAVSASALPSSKLTQLAESLKLEHQFLRVPFEHYKKTIRANHRIVEKEVSAVISGVAEAADSAELSRDDAVQQLNSLVSRLQGLKRKLEEGSRTEHLQAQRCRARLDHLESADAENLSEWNNIRLKRILVDYMLRMSYYDTAIKLAGSSSIQDFVDIDVFFEAKKVIEALQSKEVAPALAWCADNKSRLKKSKSKFEFQLRLQEFIELVRAENNMRAITYARKYLAPWGATHMKELQRVMATLAFKSNTECPTYKVLFEAKQWDYLVDQFKQEFCRLYGMTLEPLLNIYLQAGLSALKTPFCYEDDCTKEDPLSQESFRKLALPLPYSKQHHSKLVCYITKELMDTENPPLVLPNGYVYSTKALEEMAKKNDGRITCPRTGLVCNYTEVIKAYIS >CDP17831 pep chromosome:AUK_PRJEB4211_v1:2:27381348:27384050:1 gene:GSCOC_T00009452001 transcript:CDP17831 gene_biotype:protein_coding transcript_biotype:protein_coding MVILNVLRLIFLATLTALGSCKIHDNCTMRRCSDRGPAIRFPFRLKDYQPQHCGFPGFELSCTQSQETVLENPFRVKASLNQTKPPFSVNFVINKIDYESQLLYVSKLDGCLPGLLPKLDLSASLFQIQDFDDDFSFFKCSSKKWYAMIPIPCLSNSSYQVYAIHSSVDLRDASLVSCTKMFEIPSLFYALIVPQIPLVLSWSAPNCGFCEAEGKFCRLSSSLAGVQCLENGTGPLKKIKVTGMVVGPALIVLIGIASYRIFRSKKLKKEDQLKIGQFLEDYKALKPSRYSYSDIKKITGNFSEKLGEGGYGTVYKGKLSNEVFVAVKVLNNSKGGGEDFINEVGTIGQIHHINIVRLVGYCADGYRGVLVYEFLPNHSLEKFTSSENERNLLGLEKLHNIALGTAKGIEYLHQGCEQRILHFDIKPHNILLDQNFNPKISDFGQAKLCSKEQSAVSMTAARGTMGYIAPEVFSRNFGKVSHKSDVYSFGMLLIEMVGGRKNIKIGGQDNSAEAYFPEWIYNKLDQGEEITIQIDNEDDKITAKKLMIIGLWCIQWYPVDRPSMKAVIQMLEAEEPPSMPRNPFGSTNTINERATLGGGTFVNELKSISESESEEVIEKVSCNS >CDP13995 pep chromosome:AUK_PRJEB4211_v1:2:4938379:4941026:-1 gene:GSCOC_T00039153001 transcript:CDP13995 gene_biotype:protein_coding transcript_biotype:protein_coding description:PP2C-type phosphatase AP2C3 [Source:Projected from Arabidopsis thaliana (AT2G40180) UniProtKB/TrEMBL;Acc:F6LPR7] MPGVVAVVANSPVFMPSPRPRLSPIFCKATSSSPSPSPSSPSPLSPFTLRLQKQPTNLFNYSGTNRYGNTNISKSEAAVCSTSSASTTTFLKRKRPGRIDIPNEPLRFDSTPGDGERIDEVEAEGEGYAVYCKRGKRRAPMEDRHSAASGVLGDPKQAIFGVFDGHGGAKAAEFAAKNLNKNIMNEVARRSGECIEVAISEGYLTTDAECLKEDISGGTCCVTALIQQGNLVVSNAGDCRAVLSRGGVAEALTVDHRPFRQDERERIEGLGGYVDCCHGVWRIQGSLAVSRGLGDRHLKPWVIPKPDTKIVEIRPECEFLIMASDGLWDKVSNQEAVDVVRPLCMNVDNSDRHAACRKLVDLSVERGSTDDISVMLIQLGHFIPSVMQGAEVIWV >CDP17770 pep chromosome:AUK_PRJEB4211_v1:2:51516566:51527056:1 gene:GSCOC_T00003886001 transcript:CDP17770 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKMEKGRVCVTGGTGFLASWLIKRLLEDGYSVNATIRSSSDPKKNINHLTELPGALERLRVINADLDKPDSFNAAIEGCIGVFHVAHPMDFEGKETEETKINRSIRGTIGILQACLNSKTVKRVVYTSSASTVRFNDKDLNMMDESIWSDVDHIRRIFGGSGRASYAITKTLTEKAALEFAEKNGLDLVSIIPTWIHGPFVCPFMPGSVRSSMAMIIGHQDEFSIKYLYKTPFVHTDDVARAHIFLFEYPEAKGRYICSAVEVTIDKLAECLSARYPEYPIPTADSLKETTGTEESGSLSSKKLLDTGFEYKYSIEDMFDAAIQCCKQNASRETIKLMEGDKMEKGRVCVTGGTGFFASWLVKRLLEDGYSVNATIRSSSDRRKNINYLTELPGASERLQIFDADLDKPDSFDAAIEGCIGVFHVAHPIGGKDTEETKIKKAVSGTIGILQACLNSKTVKRIVYTSSASTVIFNDKGLNMVDESIWSDVDHIRMIFGGSGLASYAITKTLTEKAALEFAEKNGLDLVSIIPTWIHGPFVCPFLPGSVRSSMAMVIGHQDEFSVKYLYKTPFVHTDDVARAHIFLFEYPEAKGRYICSAVEITIDKLAECLSARYPEYPIPTADSLKETTGSEESGSLSSKKLLDTGFEYKYSIEDMFDAAIQCCKQNGFL >CDO97300 pep chromosome:AUK_PRJEB4211_v1:2:17974029:17975567:-1 gene:GSCOC_T00014605001 transcript:CDO97300 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFRFIGEESFLQETFEKFIMEQKEKAKEKERKHREDKARKDKDRRYREKKKEKHRRDKEKVVESRKGKERPKSDGTDSDRTESHSFEERERSGRDRDSKHRKRHSSDNTSLDENEKDRPRNSHRHSDERKKLKRVELHSRTPEIASDSQYKKQRRDHRDSYRSGDHDEYRGGEIDEDGEVR >CDO97470 pep chromosome:AUK_PRJEB4211_v1:2:19273075:19273622:-1 gene:GSCOC_T00014819001 transcript:CDO97470 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYSGPPPGHALPTRQQMRWMDPQTVKFKIGILVWETGNHSLLCLTYLYSSWWDVDYGLFCWMCGGSWESGNQNNLLIPAAHHSDSEPLITNAKGPKSTKSVAGKERRKCMIRFYLLHDFRFHAQS >CDP08899 pep chromosome:AUK_PRJEB4211_v1:2:51938853:51943074:-1 gene:GSCOC_T00028032001 transcript:CDP08899 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVNFSGLAAVFRAAGGVAAVAPAASTSATASHQFAQVALYSSTTSNNNSYSNAKSRWFFGNYSDTALNSGFAVAGAVVFSVAASSLTQEVYAKEPLPPDVRPDDVVLYQYEACPFCNKVKAFLDYYDIPYKIVEVNPLSKKEIKWSAYKKVPILMVDGEQMVDSSAIIDKLFQKTHPNVPVKPSPEADEEKKWREWVDNHLVHMLSPNIYRNTSEALESFEYITSHGNFSFTERIAAKYAGAAAMYFVSKKLKKKYNISDERAALYEAAETWVDALNGRDFLGGAKPNLADLAVFGVLRPIRYLKSGKDMVEHTRIGDWYSRMETAVGIPSRIQA >CDP05280 pep chromosome:AUK_PRJEB4211_v1:2:2967908:2972915:-1 gene:GSCOC_T00020267001 transcript:CDP05280 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 7 [Source:Projected from Arabidopsis thaliana (AT5G62190) UniProtKB/Swiss-Prot;Acc:Q39189] MPSLAVSGNCSLETSKDRKKKKVKNAEAEAQKSPELDSSMEQKFKKEKKSKKTKISNSDSDSEELKKKKKKEKKRKAAEIDGDNVNEEERSDTSSELGEPMNLMKKKAKLTEVDEDDEEKSVKEEHPNALTKFRISERLREALKVKGIEYLFPIQAMTFDTILDGSDLVGRARTGQGKTLAFVLPILESLTNGPAKAARKTGYGRAPSVLVLLPTRELACQVFTDFEVYGGALGLTSCCIYGQSPYRQQEIQLKRGVDIVIGTTGRVKDHIERENINFSSLRFRVLDEADEMLKMGFVDDVEFILGKVESASEVQTLLFSATLPDWVKQIAAKFLKPDKKTADLIGNEKMKASASVRHIVLPCSTSARAQLIPDIIHCYSRGGQTIIFTETKDSASELAGLLPGARALHGDIQQSQREVTLSGFRSGKFTTLVATNVAARGLDIDNVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPRRSNISKIERECGVKFEHVSAPQPADIAEAAGAEAAEKISQISDSVIPAFKAAAEELLNNSNLSPVELLAKALAKAAGYSEIKSRSILTSMENHVTLLLECGRPIYTPSFAYSVLRRFLPEEKVESIKGLALTADGKGAVFDVAAEDLDLFLKGQEGAGGVSLDVVKLLPRLQDRDQVRGGRFGSGGRGGFSDRRGGGNRFSGGRGGRGGSSDRRNGQFSKSPSGGGGFRNNNRKW >CDP14030 pep chromosome:AUK_PRJEB4211_v1:2:5225408:5228462:1 gene:GSCOC_T00039203001 transcript:CDP14030 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAKDEKSKRALRWIKTFFFLITMLLSLLVFSAPILVAVADALLPSALLSASLSPSSLSLQALSSHLSNYDFRYSLIDIPLISLIRSAVILCVYGLCDGPKLSGGPYLSIATVFSVSSLLFVSFKASFVFGNASVNGSGCVRGMEVALFACSLALAVGHIVAAYRTSCRERRKLMVYKIDIEAVSACKNGFPRMDYQKLLQIERLK >CDO97232 pep chromosome:AUK_PRJEB4211_v1:2:17492545:17493246:-1 gene:GSCOC_T00014502001 transcript:CDO97232 gene_biotype:protein_coding transcript_biotype:protein_coding MQKALRAYGEVLRLIRRLPQDTRGYYAKYARENFVNYRDVDPKDTQAVHELLQRTYAHSLWVLNKYSVDQSVAEKLKGVCEA >CDP05143 pep chromosome:AUK_PRJEB4211_v1:2:1947909:1950076:1 gene:GSCOC_T00020091001 transcript:CDP05143 gene_biotype:protein_coding transcript_biotype:protein_coding MLFALSIFICLIFIFRRRGRDKCIVKRTIISKNFKTSQNTSSPAMNKRLLSRNGWDVEMSIGNPDGRCSTVASGVLVTSNGNKTECPSMALDIRPGKEYTFNEIEAATNGFADENIVGSGDYGVVYRGVLFDHTRVAIKKLLVNSRGALKDFVAGVEAMWCLRHKNLVKLLGYCTEGMFRMVVYEYVDNGNLNQWLHESTSKVSRLTWEIRMSIIEGIAKGLAYLHEDSEPGITHRHLKSGNILLDKQWNPKISDFGISKFFGLEWSHATALPMGMSGYIAPECASTCILDVKSDVYSFGILVMEIVSGKTSVQYSVTEIEECLIDWIKLMVSEQKFDRVVDPSLPEMPSVKELKRILLLALRCVDPDADKRPNMGNVIHMLEPRDLLLADELVVKRVSSRRRSFKEDHQTST >CDO99563 pep chromosome:AUK_PRJEB4211_v1:2:11341419:11347293:1 gene:GSCOC_T00029195001 transcript:CDO99563 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSFKDSLKVLEADIQHANTLASDFPREYDGACLQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAIIYPSLMQLQRGVTDSEDKKQKAVCLERYKRRDEEEHRQISELEVEREEECGICMEMNSKIVLPNCNHAMCMKCYREWRLRSQSCPFCRDSLKRVNSGDLWVLMDSKDVVDMATITRENLRRLFMYIDKLPLVVPDNLFDTYDNHLR >CDO96891 pep chromosome:AUK_PRJEB4211_v1:2:14466023:14467877:-1 gene:GSCOC_T00014064001 transcript:CDO96891 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLQPSHINAHWRSTRRNMFKVLASLLLFLVLYCRTTVEELDSNCPQRSCNGTTAAGPPPTPPSWLPRLPQQEVDAVNTLLHLVTTSPSGQTGQKTYPPNKNISGTHCHSRTFMECKCKSSTNTCWVTKMYVFSYSYLFYSLI >CDP08916 pep chromosome:AUK_PRJEB4211_v1:2:51787912:51794422:1 gene:GSCOC_T00028050001 transcript:CDP08916 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSSGITKTLERYQRCSLSPQENAAERETQSWYQEVSKLKAKYESLQRAQRHLLGEDLGPLNVKELQNLEKQLEGALLQARQRKTQLMIEQMEELRRKERQLGDLNKQLKIKVSLEMSSLEAAEGQGLRALPCLWSSSVPSGSSMFPMHPSAMDCDPEPVLQIGYHHQYAPAEGPSAPRSMAIESNIIQGWAL >CDO99993 pep chromosome:AUK_PRJEB4211_v1:2:7136242:7141108:1 gene:GSCOC_T00029744001 transcript:CDO99993 gene_biotype:protein_coding transcript_biotype:protein_coding MESAFLKIPSFGFVNPNIATSKLVSRSSVSLRFGFHFRSCAISSASAAEPASASSPSSVVNDSEKLGPGSLGHITRPDFPILHQAVNGSKLVYLDNAATSQKPTAVLEAIQNYYESYNSNVHRGIHYLSARATDEYELARQKVANFINASESREIVFTRNATEAINLVAYSWGLTNLRPEDEIVLTIAEHHSNIVPWQLVAQKTGANLKFLELTEDEVPDVGKLKEMLSKKTKLVAVHHVSNMLASVLPVDEIASWAHDVGAKVLVDACQSVPHMVVDVKKLGLDFLVASSHKMCGPTGIGLLYGKSDLLNAMPPFLGGGEMIADVFLDHSTYAEPPSRFEAGTPAIAEAIGLGATIDYLSGIGMERIHDYEVELANYLYNSLRSVPNIRIYGPDPSRVFKRAALCSFNVEDVHPTDVATFLDQQHGVAVRSGHHCAQPLHRYLGINASARASLHFYNTKEDVDDFIRALTDTISFFTSFK >CDO97329 pep chromosome:AUK_PRJEB4211_v1:2:18168564:18173079:-1 gene:GSCOC_T00014645001 transcript:CDO97329 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPNTSRRLGDGGSIPFVGSLHPKARPSPSLSVGLLVVGAFLIIGYLYHGSGGRSADIDALNRLEGGASCALEVQRALPILKKAYGDSMHKVLHVGPETCSVVSKLLKEEDTEAWGIEPYDLEEADGYCKSLVRKGIVRVADIKFPLPYRPKSFSLVIISDALDYLSPKYLNRTLPELARVSADGVVILSGFPGQQRAKVAELAKFGRPAKLRSSSWWIRFFVQTSLEENEAAAKKFEQAAAKKSYKPSCQVFHLKSFQ >CDO97233 pep chromosome:AUK_PRJEB4211_v1:2:17494263:17496259:1 gene:GSCOC_T00014503001 transcript:CDO97233 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWSWICELPDSEDWFAESTSLSYQLTSSKPISNSTQTSIQLRAERTFEPNSEVSLTFSVVFQKYSDSTEAEASTATLCVSDKCHLAQDKPFLPLVLQLLQEIISRSPNAHDSTCPRSQLQKLKPEPIAWILDSHSPESFSSFFHLIFLARLFWICVFDAPSEVGSLYFHSLLAPNLGAFSCKQAPVLRTFFVSVGTDVELCLMRAFGYMLAKSLIFRQVGGLEAGLKALAPSASHRLGFSYATESHGLWILKGYTPVTAMDCATSNRNPNMHQFPVIEPKESALRYSLGHQQLEAVIQLEYKVEFCDAFIRVHALVDNIRLHVVKLGFKSKESGDDDDVLRNERHFPSRVRVWVGPEIGASYVGGLSLGRSTGNVEREVEIQKIFKGSSGKEITTPKVMGVARMATRTKMKNWRWDQDVEGNAGIFDGMLCDNTTGKEVATWKPSTGGRENDLAVNSFMRRNRAFTKSGGVVFAGEECCGGNGGVGWRLNKEMEGSVLKWRIGGQVWLTYWPNDVKSSYYETKCVEWCDEVDLPLIPGKYS >CDP08698 pep chromosome:AUK_PRJEB4211_v1:2:53735461:53742189:-1 gene:GSCOC_T00027755001 transcript:CDP08698 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTHRRAGPNSDRRSSLVETSDHRHVDSVETPTSQERKRTITVLLVFIIFPAISAIVYRRIYSPYTATVPNLPDVYRRGLVKTDVYYREVLAEHMKVSENVSRRQFENPVLAYITPWNSKGYDLAKKFTSKLTHISPVWYELKSEGNTFILDGRHNADTGWISEIRMKGDAKILPRVVLEAIPVDLLTKKKQRKKVINLIVAECREMDYDGIVLESWSRWANYGVLHNPEMRKMALQFIKQLGEAMHSVILERNGQTLFLVYVIGPPYSDQLQPHDFGPEDLLSLSNVVDGFSLMTYDFSVPHNPGPNAPLKWIRSILKLLLGSDNGGQGLAKKIFLGINFYGKDFMHSGGMRGGEPILGRDYLSLLEQHRPVLQWEKNSAEHFFLYSDDKHIKHIVFYPSLMSIAARLEEARLWGAGISIWEIGQGLDYFFEIL >CDP00049 pep chromosome:AUK_PRJEB4211_v1:2:6607913:6608436:1 gene:GSCOC_T00029821001 transcript:CDP00049 gene_biotype:protein_coding transcript_biotype:protein_coding MIETGEAIDWAVGEALAFATQLVEGNHVRLSGQDVERGTFSHRHAVVHDQETGEKYCPLDQVMINQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVLWELGSPVW >CDP08917 pep chromosome:AUK_PRJEB4211_v1:2:51769708:51773431:-1 gene:GSCOC_T00028051001 transcript:CDP08917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase complex subunit SAP18 [Source:Projected from Arabidopsis thaliana (AT2G45640) UniProtKB/TrEMBL;Acc:A0A178VW39] MAEVQRRGGGGGGGVRPLPPSARGPPPPPPTAKVGPRFVPVDREKTCPLLLRVFTKIGGHHNEVDFAVRGKEPKDEVQIYTWMDATLRELTDLVKEVAPEARRRDATLSFAFVFPNSRGRFVVREVGRTYSYPNARRADDGSRALGELKFQIGDYLDVAILLQ >CDO97487 pep chromosome:AUK_PRJEB4211_v1:2:19416593:19419805:-1 gene:GSCOC_T00014841001 transcript:CDO97487 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLKNNLFATHAVAAAGSVALGTVLTYPLDTLKALIQVGSVPSKQLRPAKVVQRVQAFSGISGLYRGLGWLMLGRIPSVGARFGTYELLTAFYKDGRVDNFVHVSEALMAGVAAGAVESFLSSPFEIIKLRAQT >CDP09306 pep chromosome:AUK_PRJEB4211_v1:2:23969416:23970023:-1 gene:GSCOC_T00028616001 transcript:CDP09306 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLNKLIVSYCDWGGSSRGVRAFMESHLPAFKEISPQLEVVSELNRGQHPYLKGLYRNKNERVVSVKNMTPEDILLCATRLRNSLGRKVVKLKTRHVTKHPSVQGTWTTELKM >CDO97252 pep chromosome:AUK_PRJEB4211_v1:2:17619679:17622458:1 gene:GSCOC_T00014524001 transcript:CDO97252 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLALPLLLPNPPPPKPFFQEPQPQPTPLPHPNTSSLNPLLQSLLISETHRNPTTSSNPSQTHDNHPQSPSSSSFIPRTRRRLGKHRDLNRGKPWSHHRLSCQGERTLQTLLDPEFHVENISQVLLNLFNSHDFGKNQQGPQFCTETLSLDILGLVKGLGYYKKCDLAMHVFEWVVNRSDSHLLLSNSVVAVIISMLGKEGRVSAAASLLHNLHRDGFLIDVYAYTSLISAFANSGRYREAVMVFKKMEDEGCRPTLITYNVILKVYGKMGMPWHKIMEVFEGMKVSAVAPDSYTYNTLIACCRRGALYEEAKEIFEEMKLVGCVPDKVTYNALLDVYGKSRRPHEALEVLAEMEVNGFSPCAVTYNSLISAYSRDGLLEEAMELKSQMMEKGIKPDVFTYTTLLSGFEKAGKDESAMKVFDEMRAAGCNPNICTFNALIKMYGNRGKFTEMMKIFDDIKTCKCSPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRAGFLAERDTFNTLISAYSRCGSFDQAMTVYKRMLTAGVTPDLSTYNAVLAALARGGLWEQSNKVFDEMKDCRCKPNEQTYSSLLHAYANGKEIDKMHALAEDIYSGVIEPHAVLYKTLVLVYSKSDLLAETERAFQELRRKGCSVDITTLNAMVSIYGRRQMIREANEIINFMRESGFTPTLTTYNSLMNMYSRSADYEKSEEMLRELLAKGVKPDLISYNTVINAYCRNGRMRDASRVFAEMRESGLVPDVVTYNTFIANYAAVSMFVEAIDVVHYMIKHGCKPNESTYNSIVDSYCKLGRRDEAVVFVGNLRELNPHISREEECRLLERLKR >CDO97589 pep chromosome:AUK_PRJEB4211_v1:2:20174414:20175963:-1 gene:GSCOC_T00014971001 transcript:CDO97589 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDRSNFGGCAISALFPLFFSWLSLPGVQAILAFFNIPHVLFSDGNKFSSDLRKWRSGRRRRRAPSIGIRGRFIVA >CDO99998 pep chromosome:AUK_PRJEB4211_v1:2:7107845:7116756:-1 gene:GSCOC_T00029749001 transcript:CDO99998 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFNSDVACLDADLLQLPEVAPLAIKDNPCVAEKLFDQWLSLPDTGSLVKSLINNAKGGGPLNVSGTSSNTSSAASNSLPSMFPAGSTPPLSPRSSSGSPRTTKQQRASVLGSPLKLVNEPVKELIPQFYFQNGRPPPNEMKERCLFRINQFFYGHMDGLQMHEFKPVTKEICKLPSFFSSALFRKMDLDGTGIITKDAFVDYWVNGNMLTKDIATQIFTILKQPDFRYLTQDDFKPLLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYVNRSGNGRLTLRELKRSNLIAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGVITRNELQFFYEEQLHRMECMAQEPVLFEDILCQMVDMISPENESYFTLRDLKGSRLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDAEDASNGSADVWDESLEAPF >CDO97039 pep chromosome:AUK_PRJEB4211_v1:2:16062304:16064377:1 gene:GSCOC_T00014257001 transcript:CDO97039 gene_biotype:protein_coding transcript_biotype:protein_coding METLMEDEEYNWREVKLPSLLPIVGEPELEREQGDRRRGRDILIAVDHGPNSKHAFDWAVAHFCRLADTIHLLHVVSSLENQIVYEMTQTLMEKLCVEAFQVAMVKSFARIAEGDAGKVICREAERLKPAAVVMGTRGRGIIQSVLQGSVSEFCFHHCKVAPIIIVPGKEAGNETVV >CDP05107 pep chromosome:AUK_PRJEB4211_v1:2:1600735:1603305:-1 gene:GSCOC_T00020043001 transcript:CDP05107 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDFRNRKNPPPYDSQIPMHRPSTGPSSTHPTYGNPSLYPKVGQLPGVPGRNPSFHHPTPSPPSAAPGIGIRVALKPEYRISPPPHLSPQIGDIPRSNFLFDFEFERKVLAEAEKESQNWSRLGLENLPSKTPEATSSLGSTPDSVVSKYIASGLSREAVHVAVANYGDNPNKVREFANGYALLREMGFSSNNVAEALLMYDNDTDKALAHFLNSSS >CDO97138 pep chromosome:AUK_PRJEB4211_v1:2:16748954:16753092:-1 gene:GSCOC_T00014385001 transcript:CDO97138 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMKDRQTNELVAVKYIERGDKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIQRILNVQYSIPDYVHISPECRHLISRIFVAEPAKRITIPEIRNHEWFLKNLPADLMDDNMANNHFEEPDQPMQSDDEIMQIITEATIPAAGMNSLNQYLTGSLDVEEDMEEDMESDPDLDIDSSGEIVYAI >CDO97273 pep chromosome:AUK_PRJEB4211_v1:2:17769887:17776747:1 gene:GSCOC_T00014552001 transcript:CDO97273 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVVRTYSDWVIDGEYDWPPTCCLCHTVLEDGTDSQTTRLGCLHVIHTSCLLSHIKGFPPHTAPAGYACPACSTSIWPPKNVKDSASLLHSKLKEAIMQTGLEKNLFGNHPVSLPATESRSPPPAFASDPLITMGKKEYAAGLTPSVGKDSEIVDIVEIDDPSSASPPLSNHESTLMKSSSPPGAGATTRKTAAQVERQNSEISYYADDEDGNRKKYTRRGNFRHKFFRSLLPFWSSALPTLPVTAPPRKDASNLDDIPEGRTRHHKSSRMDPRKLLLIIAIMACMATMGILYYRLAQRSFGEELPDDEQR >CDP13928 pep chromosome:AUK_PRJEB4211_v1:2:4420476:4423301:-1 gene:GSCOC_T00039071001 transcript:CDP13928 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQPRMRKRVNAYPIRRAPDGSAFQKCERCGRSVAIALADMHECESNNSLKKLKRQRQSGNVESQNIEDQPRSAFRFFMEELLDIHKEENEIAIDSKGFGMWKKMSKQERQPYLVKAEKVNSAHTKALLEEENDMSWVDDEADSAEAGKYDEENCAEDGYCDGFEYDICDDPHDSDSFLFWSEIGDSFDSKNWWQRSGPRIIR >CDO96828 pep chromosome:AUK_PRJEB4211_v1:2:13590430:13591236:1 gene:GSCOC_T00013976001 transcript:CDO96828 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVENLVELREAQDYAGTQIFNFRKCASLKCAIELGIPDVIAQHGKPIMLSGLISTLPINPSKSIHIHRLMRFLSNAGFFVQQNEGYSLSTAGRLLLKNEPFNMRAFIYYVSDPIALKPWNFLTEWFKNDDPSPFDTAHGKNFWSYAAAEPQFGKIFNEAMAGDSSLIVEVVVTQCKSVFEDLTSLVDVGGGTGEFAKAIVQNFPNLECLVCDLPHVVSNQQRTENLDFVAGNMLEMVPPGNAILLKVIRDIHPPCFNHLNFLRNKV >CDP13935 pep chromosome:AUK_PRJEB4211_v1:2:4484035:4487859:-1 gene:GSCOC_T00039080001 transcript:CDP13935 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIKRHTTKIPSASAAAAASRSSKSVNNNEISCSANSTATVRKPLSDLSNFNLIPTDTLRKLVSSNSVSNSETHSLLLKSQTSIFPISQIPLISGSHKHNGNPKSDTRSGTSVGSSNFGNDPKTKPQNPTPVRHQLPPRSSTNPSDEGSELVIYSRRRGERKHNVLPKTSTPFEKKKNEEKAASVPLSSTPAEKAKNKGKAIAMPFDSIAAEKSKDQGKLVTVPVDSIPAGNSRDKEKGISASFRPSNVEKTRDKGKDFYAPSNSTPVEKMRDKGKAIAVPVNCTLEEMEDRRRSTAVLINSEQLTKQRQKRLADAMVQSCPPLLKSGKFGNELNQAGDDMLSKSWTGLQGKHKKRKCPGKQSASEGSLSPEFVKKMKAYFEEVDAFELPVEEVSDHDLE >CDO97190 pep chromosome:AUK_PRJEB4211_v1:2:17169592:17171803:-1 gene:GSCOC_T00014454001 transcript:CDO97190 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGSQDNFLWDNEVWALSNSDNSGRSDENQPAKKFSESNNSNCPTPREAEPRVGPSLGKRKRKNGKQPSVEGNDQAAEGKGGAGADHELHIWTERERRKKMRSMFSNLQSLLPQLPPKADKSTIVDEAVNYIRSLQQTLQRLQKQKLEMIRGLTTINYDPSMITPHRLAMDSREAFLADQGSSSQLSSNPNPLGVARFPTSFRTWATPNMVLNVCGDKAHINICCPKKLGLFSAICYFLEKHKLEVVSAHVSSDNYRSLYMIQAQASGAVDHQYPEAFSFDDIFHLATGEMMMYMSSL >CDP18385 pep chromosome:AUK_PRJEB4211_v1:2:43265312:43266835:1 gene:GSCOC_T00012966001 transcript:CDP18385 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFLIFTACLQEKVLKLIHDSYHDETGNLEVPDSLAMHKDVSNRKEASQLKAYRTKNRQFIQREDCSHILDWIRRTQRQPSLSVC >CDP13863 pep chromosome:AUK_PRJEB4211_v1:2:3925228:3928019:-1 gene:GSCOC_T00038985001 transcript:CDP13863 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAPRLLSRATSSAMRWRRPFSTNVPAETPVDAKFVESWRSTIPNIEPPKTPSAFMTPRPPTPSTIPSKLTINVVLPYNSIFSAKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNDVTKYFVSGGFAFIHANSVADIIAVEAAPVDQFDQNLVQKGLAEFTQKLSSASTDVEKAEAQIGVDVHSALNAALTG >CDP05163 pep chromosome:AUK_PRJEB4211_v1:2:2084432:2086679:1 gene:GSCOC_T00020115001 transcript:CDP05163 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIDAGNGVEGPNVSELDLREEYANAFRTESFNEFWERVLALGKGNSAAHSTLGSTTASRLPSYRLFVDHLLDPDQPTVTRILDLTRTRDPQSQALLSDYFFETANASFLCSHLLRDVDQTRIKYKSLKKTLDALPPIVQISPIIPLPVSSNHHRLLEFLKSVNPFVPSASSPDRIQMVQTHCSDLLKRLESSRDKARVKLDLIAKLERGSAVFLVVLTASLTIIAAAHALALLVAAPCLIATSLELVSAKKLARGSAQLDAAAKGTYIVVRDLDTISRLVGRLSDELDHMHSVVRFSLERGDDRLQASGEVARQLKLNGFTDQLDELEEHLYLCFMTINRARNLVLKEILDPGHPTSNSASVSNLLPRLNDSL >CDO99866 pep chromosome:AUK_PRJEB4211_v1:2:8195574:8201695:1 gene:GSCOC_T00029565001 transcript:CDO99866 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSTSLQPCFYSGKSPATVFYSWESPATVIAPLGQCRCPLHCNPMAYCPGCFSSDRLFCLRRVLMETSRPRTQGYKSYDDEESYCPKLEAWRNRFETELKRFTEDDKKHEFVKLLRSQSDIAHKYFDEASHPLSYFVLDYCLRYCALNCTEALLGGETGVTLNLDKLRTTPLHMAAISLSPKLTKYCLKSGWHCDGKDDDGFQPLDCALLGLRNRVFWTREQSLYSLIFELTGEGMIEPTQTMRLLIENTSESNVSEIICRYGTEAKIVELAMLLIVSTDIKHIRVDNLYLPSNDVSSVDQLTLRQFVVNEIVMLENKLRNVVAVGSYNLAQCREMKKSMMSTLLLLEIFQRTGPEIGRAVRRTGQTYPSTADAIGCVFECSDFKLSSQETSYLLKSSFKLDLPADRVQPISEERLPGLHSYSLSGIPELDSFKTMPNFGWDETDPEHQKLLPMRTEIEKLSSAASVWQWKPNESIFKLVMMLFLLKMLWQKETWGIACSLARSSEKINEIACWYAKEGKLIELSIILMVAREKVMRPIVFRIRGEKSHRSMTFLQFVNSELAQAIDLECRLTGRKTKLEEELKKLCKQRKLVMMSALGLLEIFEKAGAALQTYFWSERGNVQKEKVIKEVSVLLSEAGFNLKEDIELHDPVESSMEVEQQNEALQDPHTSSCTLQGRNTVDEMATERGCQMQHLTRLSYVLPCGFVETYGSCGDMRNFLFTRYPRECSNLPSGAQSFRAFWTSKDSKASYCTGFPVQGGAVRGVVNSLVQKFPASKRFASVALAVIRHAA >CDP08594 pep chromosome:AUK_PRJEB4211_v1:2:39711097:39714468:1 gene:GSCOC_T00027591001 transcript:CDP08594 gene_biotype:protein_coding transcript_biotype:protein_coding MFISSEKEQISLTVDNGGCLVKALPMDSNSNSMNGVSAAPGLDESSGEVSGTFADTNAVAKQEKDQLELNMKDLEGEIERLKMKERSLVEKRRTALNKILDIKGCIRVFCRVRPFLSTEKRTSLHPLSIEPEKIVIKYGGQKKEFGFDKVFPQEASQEDVFVEVEPILRSALDGHNVCILAYGQTGTGKTYTMDGKSESPGIIPRVLKALFNKTSLESCTSFAFSISMVEVYLGSLRDLLAPKPSCRSYAVSKCNPTIQIDSKGSVEIEGLTEVQISNFTKASWWYNKGRRVRSTSWTNVNEASSRSHCLTRISIHRLGDTSGAKAAVSKLWMVDLGGSERLLKTGATGQTLDEGRAINLSLSALGDVIAALRRKKGHVPYRNSKLTQILRDSLGDRSKVMMFVHASPHEEDVGETSCSFSFAKRARAVECIRELSNELKRQREKKISELEDEMNEAEEECQKIRKLILKVEYLLPENKSISLVTCQNLEEEEKNPTSPKEYLGEVSGTPRVSAKIIRNTVGNSLPRFMNSTVASRQRKSTAEREMISKTRSVRSETRSSIQISGSQSISCSDPRFKGLLRKANKKPRYGEPISLLMEDAKCEGLDSNSPAMTPCTTIASSDPYLKVGLNHHRRRMSDVI >CDP08549 pep chromosome:AUK_PRJEB4211_v1:2:41110606:41110734:1 gene:GSCOC_T00027506001 transcript:CDP08549 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHDIEEDEFGFSRNYFLEKELGNSGKKSGYKLADIDVVDE >CDO97320 pep chromosome:AUK_PRJEB4211_v1:2:18115828:18116538:1 gene:GSCOC_T00014630001 transcript:CDO97320 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTTQGRKKIEIKKIDNLSNRQVTFSKRRVGLFKKASELCVLTGAEVAIIVQSLGKRVFAFGHPSIDAVINRYTTGSASSSSSSSSSSSSVADPNTPLGAHEIQQHNRHYAKVSKELEVERKRKELIEGSKLENGGSFWWDDPIEHMGLEELQQYKASLEELKKKLLMRADDLMLLKNSSSSSTNLGFNNSGDINPGVTAVGCDDMFFNQTTTEHCNNSIVPYGSDDFGNSLQV >CDO97597 pep chromosome:AUK_PRJEB4211_v1:2:20236225:20236986:-1 gene:GSCOC_T00014980001 transcript:CDO97597 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSLHDHDEKPISPPAASDYGSKVDTSRPFRSVKEAVAIFGERFLAGEIYAPKAFSFPKQETPCFYTPSPSPKKSQEFESWKSVDSNDPNLAEFLKKLEAELEETKMELKVLKEKESETEVALASLNAELHKNMSRLAQAEAAAAAKATMASRSAIPDRKRDDDQRDGLTVTEEERRKDLLVRLETSPTLAQMLDTAAEKEGLFGGRYKEKKTIKKKPIIPLVGDLFSRKKGSSTSMINPLYASSHVNLN >CDP04935 pep chromosome:AUK_PRJEB4211_v1:2:237515:241316:1 gene:GSCOC_T00019805001 transcript:CDP04935 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSGVASNPSTHPPSHQAAPKILLAKPGLVAAGKFSRGLVGPGGTVEDDSAAALRSRLPSIGSLNLLSDSWDFNPDRFLPFLTDNTDFTVVGVIGPPGAGKSTILNEIYGYDPTSPGMLPPFAIETEETKAMAKHCTLGIEPRISSERIILLDTQPVFSPSVLAEMIRPDGSSTISVISGESLSAELAHELMTVQLGVFLASVCHIILVVSEGVHDLSMWRLMSTVDLLKHGIPDPSSVSFSHPHGSSLISEKENKDKILEVGKEYMAAPVFVQTKLRDKDVNPHNAAQLRKALQQCFKFPSSFVRLKCQNASKEDSISAIKSQNDGTDSAALKLFLVPSKNRDDSPWAQYESYISVIWKLRDEVLSMSGSSFSRTVSERDWLKNSAKIWDMIKNSAVIADYCKTLQSSGMFRR >CDP15846 pep chromosome:AUK_PRJEB4211_v1:2:44776303:44779899:1 gene:GSCOC_T00016732001 transcript:CDP15846 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKEQEPRALPPEVQCWCYHSHCECPFASRDALLKHNNSVRGRLKFSCDICDNKFKIFFVCKEPASVFAQLSLKEGLTVFRDPEFSSDMGSSTVKRIADVLKLRIYQFPQLYKVVFELTSVFAHLSLKEGLTVFRDPEFSSDVGSSTVKRIADVLKLRIYQYPQNAGPMDHPV >CDP09369 pep chromosome:AUK_PRJEB4211_v1:2:23111348:23113470:-1 gene:GSCOC_T00028706001 transcript:CDP09369 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLAGNQVFSSISTDVSKILSHSRPLCKNFSGSCIRLTSVAARARIFSELTSQTLQCKNALSVRDPLEAILFDIDGTLCDSVPIHYYAFREMLQEVGYNGGQPITEEFFIKRMNGLHNDELCHLLFPDWDFDRAMKFMDDKEAMFRRLAPEQLKPVNGLDKLCKWIEERGLKRAAVTNAPKESAELIISMLGLDDFFELIVLGSECERAKPFPDPYLKAVEHLKVAREHAFVVEDSASGVKAGVAAGMPVVAIASRNPEKLLKAAGATLVVTDFEDTNLWGALKELDRNLGC >CDP08660 pep chromosome:AUK_PRJEB4211_v1:2:54039719:54048874:1 gene:GSCOC_T00027701001 transcript:CDP08660 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNNFLQDEDNHLEMTRARFSNVLKRHGELAERLSRDSDKMIFERLQREFEAAIASQTQEISLDGEQWNDGLLATIRERVHMEAERKAMQLPGDTSMLHGLPFHDKITYKVANKIICCLEGARIGIQYETTFAGEPCELFHCVLESKSFLEKMTVLEHTVPFFLPIREAENEFLSSNAMKFIDHVGDLLQAYVDRREQVRLIKELYGNQIKELYHSLPYHMVEFLLDDYDCKVTVSLRYADLVSILPTGVSVLAWPMHRNFMNKKGGGPLGSKTIPERLSYAEDALRIMSLPEAYAEIVLKLPHALQEIYPHNASS >CDO97362 pep chromosome:AUK_PRJEB4211_v1:2:18437895:18442967:1 gene:GSCOC_T00014684001 transcript:CDO97362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-81 [Source:Projected from Arabidopsis thaliana (AT1G51740) UniProtKB/Swiss-Prot;Acc:P59277] MAKARDRTEDFRDAVRRSAVSLGFTEAKTAAILSSFIMHKPRERTSFMKAALKTLGSIEALEHFLMKHKKDYLDQHRITEQERDSIEHEVTVFIKACKEQIDVLKNSINDEETNSRGWLGIKGDNLNADTIAHKHGVVLILSEKLHSVTSHFDKLRAVRFQDAINRVTPRRKRRQAGTEEVKEVARSSNVGPRETYDKEVRESEEAQPGPIRVQEQLLDDETRALQVELSSLLDAAQETEKKMVEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYN >CDP13850 pep chromosome:AUK_PRJEB4211_v1:2:34065911:34067707:1 gene:GSCOC_T00038965001 transcript:CDP13850 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVKTKRVCTIEPKNDIVPTRSWDAMIPEILSLIFTKIVPVEEMVRAVSLVCRGWLETVVGPYCWNEIDVEHWCRSHWNSCNAGNRRGGLLIDSVVRKLVRRSKFTVGKFCAYRLGNAGFSYVANWYVYFSYQIFFRFVSFFSLFLENILVLDCPLVNFSSWIRSGYYYLYFEINNYLRILYIIHGSVYGRCLKVLKIPVSEITDQVVEKHAELLVNLTELDISYCLKLTSKSLEAFGKHCKFLTHLKRNMPPLELGAVVASETGDDEAFAIANTMAELDHLEFGFARMTDHGLGTILTACKALTYLDIQGCWNVRLEGALEERCEELLVFKTPWTDTESESEGSVENDSRGDDQSSDESSSSDSA >CDO99959 pep chromosome:AUK_PRJEB4211_v1:2:7457848:7458844:-1 gene:GSCOC_T00029691001 transcript:CDO99959 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFYSPALLASVASFALFPDEGPRFDLLRLALTIHFSKRVFEVLFIHKFSGGMEVEAAIIISFSYFASTATMIYAQHLTYGLPEPPIDLKYAGILLFLQGISGNFYHHYLLSKLRTIGDKRYKIPQGGLFSLVICPHYLFEILGFIGVSFIAQTLYAFSFTLGTLFLLMGRSFATRKWYRSKFDNFPENTKSLIPYVF >CDO97610 pep chromosome:AUK_PRJEB4211_v1:2:20346024:20346872:1 gene:GSCOC_T00014996001 transcript:CDO97610 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRARSTPSATATSVAATTEDAPDTPKPQPPQRSSSPLSQRALSQALTSTANLANLLPTGTLLAFQLLTPVFTNNGSCDAATRPMTIILLALLAVSCFLASFTDSVKLSDGQVYYGFATFRGMWLFDARGTIASGSEVGVPDLSKYRLGFIDWVHSVLSVFVFAAVALRDKNVVGCLYPKPDHEVQEVLDIVPIGIGCLCGLLFLVFPTRRHGIGYPVTPGN >CDO96854 pep chromosome:AUK_PRJEB4211_v1:2:13985070:13987685:-1 gene:GSCOC_T00014012001 transcript:CDO96854 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKFEYDGKLNPTFKEGPFQLPVSSIKTFMKEPVTPRFVHVSSAGVARPERPGLDLSKQPPAVRLNKELGFILTFKLKGEDLIRESGIPHTIVRPCALTEEPAGADLIFDQGDNITGKISREEIARICIAALESPYACDKTFEVKSVIPFSEPYTVDPANPPPEKDYNQYFKSLKDGITGKESLEKSPAAV >CDP05076 pep chromosome:AUK_PRJEB4211_v1:2:1358815:1371045:1 gene:GSCOC_T00019999001 transcript:CDP05076 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASGTVIPSKSGRGAVGLPSVTKPFANNQLNVVPLSRVVGIRSAAGRRSGCGLERSSKLFGARLPRALGSERSHLWQTDGPGRPPKLRVFQLRSALSQIPEKPLGLYDPSFDKDSCGVGFVAELSGESSRKTVTDAIEMLVRMSHRGACGCETNTGDGAGILVALPHDFYKEVAKEMGFELPPPREYAVGMLFLPTSESRREQSKIVFTKVAESLGHTVLGWRTVPTDNSGLGKSALQTEPVVEQVFLTPTPRSKADFEQQMYILRRVSMVAIRAALNLQHGGVRDFYICSLSSRTVVYKGQLKPAQLKEYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKTEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAIMMMIPEAWQNDKNMDPQRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYITHSGRVIMASEVGVVDIPPEDVSRKGRLNPGMMLLVDFEKHVVVDDEALKQQYSLARPYGEWLKRQKIELKDIVESVHESQRVSPAIAGVVPASKDDDSMENMGIHGLLAPLKAFGYTVEALEMLLLPMAKDGIEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLSIEEMEAIKKMDYRGWRSKVIDITYSVGHGRKGLEETLDRICSEAHDAIKEGYTALVLSDRAFSPKRVAVSSLLAVGAVHHHLVKRLERTRVALIVESAEPREVHHFCTLVGFGADAICPYLAIEAILRLQVDGKIPPKPTGQFHSKDELVKKYFNASNYGMMKVLAKMGISTLASYKGAQIFEAVGLSSEVMERCFTGTPSRVEGATFDALANDALELHELAFPSRVLPAGSAEAVALPNPGDYHWRKGGEIHLNDPLAIAKLQEAARSNSVAAYKEYSRRIQELNKSCNLRGLLKFKDEVMGVPLEEVEPASEIVKRFCTGAMSYGSISLEAHSTLAIAMNKIGGKSNTGEGGEQPSRMDPLPDGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSQLGFRTLNEMVGRSDMLELNEDLVKNNEKLKNIDLSLLLRPAADIRPDAAQYCIQKQDHSLDMAMDNKLIDLSKVGIEKCVPVYVETGICNTNRAVGTMLSHEVTKRHSMVGLPADTIHIKFNGSAGQSFGAFVCPGITLELEGDSNDYVGKGLSGGKIIVYPPRESRFDAKENIVIGNVALYGATGGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAFVLDMDSKFQSHCNSELVDLDKVENEDDILTLKMMIQQHQRHTNSKLAKEVLSNFDDLLPKFIKVFPRDYKRVLASMKEKETANIAAERTAREIEEQEEAELMGKDAFEELKKFSAGSLNKKANQVERVISVKRPTCVADAEKNGGFVRYEREGISYRDPNKRIYDWKEVMEELKPGPLLTTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECTIIDKAFEEGWMVPRPPQMRTGKRVAVVGSGPAGLAAADQLNRMGHAVTVFERADRIGGLMMYGVPNMKADKVDVVQRRVDLMEKEGINFVVNANVGKDPAFSLDRLREEHDAIVLAVGATKSRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLEDGNFISAKGKKVVVIGGGDTGTDCIGTSIRHGCSGLINLELLPQPPQSRAPNNPWPQWPRVFRIDYGHQEAAAKFGKDPRSYEVLTKRFVGDENGVVKGLEIVRVQWEKDPSGKFQFKEVEGSEEMIEADLVLLAMGFLGPESTIADKLGLEKDNRSNFKADYGRFSTSVDGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKYLMEDTTGLPIDGRRQEDIVKREQDSNRQTVRT >CDP05378 pep chromosome:AUK_PRJEB4211_v1:2:3727337:3735147:1 gene:GSCOC_T00020391001 transcript:CDP05378 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:Projected from Arabidopsis thaliana (AT5G61580) UniProtKB/TrEMBL;Acc:A0A178UCI3] MELSLSSSLHSTTPASFSLSTNSTSPPRQNLGLFSAAASNFSSRVLLKFNKSSILRQPRPVVVKSQSSSEITNDNDDHGFVLEDVPHLTSFLPDLPSYPNPLKYSQAYAIVKNTFVSPEDVVAQQIVVQKGSPRGIHFRRAGPREKVYFKPEQVRACIVTCGGLCPGINTVIREIVCGLHNMYGVDDVLGIQGGYRGFYSQNTIKLTPKLVNDIHKRGGTCLQTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTHKGAAAISKEVEKRGLQVAVVGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAECVANGVGIVKLMGRYSGFIAMFATLASRDVDCCLIPESPFYLEGQGGLLEYVEQRLKENGHVLIVLAEGAGQEYVSQSAQAVNGTDASGNRLLLDVGLWLTQEVKDHFTNVRKMEINLKYIDPTYMIRAIPSNAYDNIYCTLLAQSAVHGTMAGYTGFTVGPVNSRHAYIPINRVTEKTNTVRLTDRMWARLLASTNQPSFLQKCEIVRERVDKETMEVIDDMRITSI >CDP16444 pep chromosome:AUK_PRJEB4211_v1:2:30378671:30385008:1 gene:GSCOC_T00018354001 transcript:CDP16444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 24 [Source:Projected from Arabidopsis thaliana (AT4G30890) UniProtKB/Swiss-Prot;Acc:Q9FPS3] MSDSKSKVLLFGSFTQDETQTMLNQSFSNSTRISKKKELQVDSASPVQELSFGSFGNDPGIQFGSVKAPVDSILSDLQRGNEGHGVNSVHKPMGGDLKENGSVDQSIHFYSNGNNESNKIDVLDFSVSCVSGNEDEAFHKSPTLKAQVLDGVDSKGAFSNGTISDSLDMKQFREALQRLPDGPFVASADLLPRGLINSGNLCFLNATLQALLSCSPFVQLFQELRICDVTKVGFPTLASFGLFINEFSLPSGVNAKRKDVSVIETGRAFCPAIFEVVLKNFTPDVPTSTVVRPRQEDAQEFLSFVMHQLHDELLKLEGQSSLNGGKSYVVSSAEDEEWETVGRKNKSAVTRTQNFVPSKLSSIFGGQLQSVVRARGNKASATVQPFLLLHLDISHEAVRTIEDALHLFSATETLEEYRTSASGKAGFATAKKSVSILTLPKIMILHLKRFGYGTCGSTKLRKPVRFPLELVLSRDLLVSPTTEGRKYQLVSTITHHGREASRGHYTADAFYPNGRQWLRFDDDSVTAIDTGKVLHDQAYILFYKQVPR >CDP00114 pep chromosome:AUK_PRJEB4211_v1:2:6118823:6122568:1 gene:GSCOC_T00029911001 transcript:CDP00114 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTQRRKSGINLPATMSETSLRLEAFSSASPARSVSNLPSPMTMSPRTISNLSSPSSKSTSCSDRFIPCRSSSRLHTFGLIEKASPVKDGGGGGGNEAYSRLLKSELFGTDFGCFSSPAGKGSPLSPNKNMLRFKTEVSGPNSPYSPSVLGQDSGLSAEVSTPPKPPRKVPKTPHKVLDAPALQDDFYLNLVDWSSQNVLAVGLGTCVYLWSASNSKVTKLCDLGPNDGVCSVQWTREGSYISIGTNLGQVQVWDGTQCKRVRTLTGHQTRTGVLAWSSRILSSGSRDRNILQHDLRVPNDFISKLSGHKSEVCGLKWSHDDRELASGGNDNQLLVWNQHSQQPILRLTEHTAAVKAITWSPHQSGLLASGGGTADRCIRFWNTTNGNQLNSVDTGSQVCNLAWSKNVNELVSTHGYSQNQIMVWKYPSMAKVATLTGHSLRVLYLALSPDGQTIVTGAGDETLRFWNVFPSMKTPAQVQDTGLWSLGRTHIR >CDO96843 pep chromosome:AUK_PRJEB4211_v1:2:13824773:13826224:-1 gene:GSCOC_T00013995001 transcript:CDO96843 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHAPPFFILGPLIFFLLLLLQFSSIALSASLHPPATISPTKAPTAPSPSTSLHPPTTISPTKAPTAPRPSTSPSPASSPTPAKPSPSTPTPTPTPTSASSLNPKQVRALQSLNIPTGKNPCSPLHNSTMICDSSKPFRNLISLTLANCSDDVALSLTALKTLSTLTTFKFIDCPISPIRFPSELTSNLHSFTCINSLKKLTGVWLSRLLNVTDLTVSHVTVIASGPAIILGSLKNLRSVSISHTNLTGFLPKHWHPNLTHLDLSGNQLRGKIPSSLNVMENLLVLNLSSNSLDGEIPPGIGDLTSLQNLSLASNSLSGSIPDSIAAMPDLVHLDLGSNLLNGTVPKFVSDMTKLKYLNLENNSFHGVLPFNASFLKKLEVFKIGSNDNLCYNHSSFAVKVKMGIAPCDKHGLPMSPPPAKDSSLESSSDSSDDNDNSDDNASHNRDHHGGTSKVVLGVAIGLSSIVFLIIFLVLLSKCCK >CDO96840 pep chromosome:AUK_PRJEB4211_v1:2:13765123:13768433:1 gene:GSCOC_T00013990001 transcript:CDO96840 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTTKTSSTGFWVCPFANNSREKPLKNGTSQALPGNPYSRTSPYSRTSLFPSSVSHISIGLPPKASVLSANRTRNIRSIDNNTEIIKFCELGNLDKAMELLFEYEKLKLDARTYCSLLQLCAEYKSIDNGREVHSIIERNGIETGGVLESKLVFMYVSCGHLIEGRRIFDRSESKNVFLWNLLMNEYAKIGNFKESVNMYYRMLELGIEMNSYTFSCILKCFAALENVVEGEKVHEKILKLGFGSYSAVVNSLISFYFKCGRSGSGQKLFDEMRERDVVSWNSMISGYVANGLAVKGIKFFMEMLRLGVDVDLASMVSALAACASIKDMLLSRAIHAYAVKAGFDLKMPFTNTLLDVYAKCGDMDGAVCVFENMGEPNIVSWTSMIAGYAREGLSYEAIELFHEMRKKGIDPDVFTVTSTLHACACSGSLESGMKVHAYIKEKNMETNLVVSNALMDMFAKCGSMENAEAVFSQMPAKDIVSWNTMIGGYSKNSLANEALSLFAKMQPHKRPDDVTVTCILPACASLAALDRGQEIHGYILRNGLSSDHFVVNALIDMYVKCGALVMAKLLFRKILSKDLVSWTIMIAGYAMHGFGTEAVATFRKMRQAGIEPDEVSFISILYACSHSGLLDAGWRFFNIMRNEFYIEPNLEHYTCMVDLLARAGKLSKAYKFIKGMPIEPDATVWGALLCGCRIYHDVDMAEKVAEHVFELEPENTGHYVLLANIYAEAEKWEEVKRLQEKIGKRALKKNSGCSWIEIRGKAYIFVSGDSAFPQAKSIKFLLRDLRRKMNELGHSSKQKYALINEDEIEKEVALCGHSEKLAMAFGVLSLPPSKTIRVTKNLRICGDCHEMAKFISKMLGREILLRDSSRFHHFKDGSCSCRG >CDP00081 pep chromosome:AUK_PRJEB4211_v1:2:6332525:6334352:-1 gene:GSCOC_T00029870001 transcript:CDP00081 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPVYLDPPNWPQQQNPQQVAGDGENSQFPPPLMPPPPPPGAGGTASIRPGSMAERARLAKLPQPEAALKCPRCESANTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGSRSKSPMTSDNQSSNSTSTSGVSTNNSCSTDVMSHLPPPPPLSFFPPLHNLADFSNVDIGMSFGGIHPTVTTRPGAGSEIEFQIGNTLGAGPLNLSSRFADQWRLQQVQQLPFLGGLEHSAAAGVYHLEGEVIDQPLGYDGVTTDQLTSKTLEAHGGSVKLEENHQGLNLSRNFLSIPGNDQYWSTTANAWTDLSGSTSSASHLM >CDP18253 pep chromosome:AUK_PRJEB4211_v1:2:48603339:48608925:-1 gene:GSCOC_T00011818001 transcript:CDP18253 gene_biotype:protein_coding transcript_biotype:protein_coding MDELYRIHTSFSCPDGGAVEVGSMRGLDRSSPVENLVQFRPGENSHDEVIGSEMSDLLKIQIASHHLYPNLVSAYVECRKVGAPPEMASLLEEISKASRPISSPSGISADPELDQFMESYCEALHRYKEELLKPFDEATTFLSNIELELNNLCKENFTTTTSSSMTSTNYYSDEAGVTSDEDLSCGEVEVAESQESSAAGQGESELKEMLMRKYSGYLSSLRKEFLKKRKKGKLPKDARIALLDWWNTHYRWPYPTEEEKNKLSEATGLDQKQINNWFINHRKRHWKPSEDMRFALMQGVSAGTPSPGGPICFDTAGGNGGFGI >CDO99647 pep chromosome:AUK_PRJEB4211_v1:2:10346916:10356302:1 gene:GSCOC_T00029301001 transcript:CDO99647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MAKKEDIPPDEIRCRRTDGRQWRCTRKVVEGKKLCHIHYLQGRRRQLKQKVPESLKLERKSKKISKKDGEKIRACSSRSSSRRIVKMALAAAVKKKQKKRCVSEVLDEALRRMKLKRGDLHLELIREFLKRQVEKKRLKKKNEEEEEKENEWSGETELTRELPNGIMAISQKNSDNAGGHDAVDVKIGENSCSGWNTQRSFRSKNIEPVPLSTMQVVPSVNDLRRVKKCHWCRRSIGCNLIKCLKCRKQLFCWDCVKERYLEKKEIKVACPVCRETCSCMICLKRRWKEMSHKEFYRDKRKIAKIQLLHYLISLLLPVLKQINREQNMELEMEAMITGEVPSNIQIQQSEMGNKKLCRCNNCRTSIVDYHRSCANCSYNLCLSCCWEFCRGNLYEKFCSKGCNGREIHRSAGELRLKINHISTSCISSCKAPLLSPMSLKSLKACSDGSVFCPPVDFGGCGERNLDLRCIFPLRWMKELEAGAEEVLQCHDFPETAVVCSCDSLCKGTEDKVEIQPLQKLAKRVESNDNFIYYPTLSDLNKEKLGHFQLHWAKGHPVIVRNVIRRTSVLNWDPVVMFSTYLERTISKSQNKKEVIDGATCLDWCEVETSAKQIFMGSMVDGTHLNVQHQTLKIKAWLSSSVFQEQFPSHYAEILHVLPLQEYLNPISGHLNIALKLPEEAAKPEIGPCIHISCGGLEDFMNADFLTKLCFDSNDVVNVLACVTDVPITREQFKNIQTLMKKYKGQDHSQSSRKNNNRGHLPSSSNSTEVKGKSSLHSEESQESGLQDMMEERLSLPNGIAKVPLFTGNSIKGQISCFENGNIPFDSENESEFDSESSMLCSGNIQGLEDSDDETFFRDIESSSSSCEKQTANPSGAQWDIFRRQDVPKLLEYLRQHSDELSSAYCYGGHVVHPILDQSFFLDAYHKMKLKEEFGVQPWTFEQHLGEAIMIPAGCPYQIRKLKPCVNVVLDFISPENTTECIRLTDEIRLLPLRHRARGKVLEVRKMTAYGISSAIEEIQKLMCTDFSSPVVC >CDO97524 pep chromosome:AUK_PRJEB4211_v1:2:19716335:19720096:-1 gene:GSCOC_T00014895001 transcript:CDO97524 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPSKEPCKKQACDIQACLTKSNFNPQRCVRVIELLQACCEECKYKSTHCASVSGLLKQIRK >CDP15533 pep chromosome:AUK_PRJEB4211_v1:2:46266102:46266913:1 gene:GSCOC_T00015401001 transcript:CDP15533 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLPQSLGSTNPCSIFVPGCHFSLYQYKLVSHSPCHCRSFSQETLIQKSIICARNKKRQHLSARSRKLVIESAYQIASRLKILPEPLDYLIREFGIGGANGGGGFRAFWKGSGWGGFDGWGRGGGRRKKRSLEFLAILVISGIGFWLVLGKQLDADLFLGFLGLVLFGLSVDGWRNGIKDWALGFCCCAFLVGLVLRREDFPGGAKSFGTMILGKRRRKGRVF >CDO97632 pep chromosome:AUK_PRJEB4211_v1:2:20524248:20528512:-1 gene:GSCOC_T00015028001 transcript:CDO97632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABD1 [Source:Projected from Arabidopsis thaliana (AT3G11730) UniProtKB/Swiss-Prot;Acc:Q9ZRE2] MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLNEIDRYANDSVCKLLVGNKCDLVENKVVDTQTAKAFADELGIPFLETSAKDSINVEQAFLTMASEIKKKMGNQPSASKKATSTVQIKGQPIEQKSNCCG >CDP13817 pep chromosome:AUK_PRJEB4211_v1:2:32692670:32701947:-1 gene:GSCOC_T00038881001 transcript:CDP13817 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNHIPGMYGGALKPQLLRSLLREYIPDEKHSFRNPSDLSHVVSAVKTHRLLWEWAPSSTDQKLSDGWKSAVDAWVNRVSALASSSMPDKSWAGICLLGLTSQECSSERFLASYSEWFNKILSHMQPPSDSHFVRVASCASMSDLFTRLGGFPNAKKDGNSQASKLIQPVLKLLNEDSSDAFWEEAISLLCTLTNVFPASVSRHYDSVESSIFSKFMSENCPVSIFKKLAHGLALLPKSRGDEDSWSLMMQKVLIFINNQLNVMFQGLEEEARSSEVVRLLLPPGKDPPPPLGGLTASAKNSDQAMKRPEQVLVSRVSTLMTCCCTMLTDAYPVQVSVPVRSLVALVKRVLMVDGSFSQSSPFMTAMRQDLICLELPELHRCSLELLSSIVKGLRSQLLPHVADITRLLTEYFRTCALPELRIKVYSIMKVLLMSMGIGIAIYLIQEVISNALLDLDPHGRESGGSYSAARSKTLQDALQQCFQRKRKHPTSAESVGDQSAKGGLEVETSQNMTAISVRIAALEALEALLSVAGAMRSDGWRSNIDRLLITVATNACKVGWADNNSTVVYGEATPIWADFQLAALRALLASLLSPGRVRPPHLAQGLELFHRGSRESGTKISEYCCHALLTLEVLIHPRALPFIDLQSAVDHYGSASLNLPDVHFADHRKNTSFHFSTLGKEPSQPESGDDDLYERWLANGDETDVNDLGKYTSSDKKPSGTSTHPALEKLPHGGSPSERNKREGGEFGESMAVAADKVPVDGDEIMVDLPTPESYKQTEERDHIEGRMLVATAGGHTATESDGLVSGSATSADGHTDFVVAAGKDVSSSASKRNTMVTEQRRGAGLVLEINDDTSMDSLPDIVDGDPDSD >CDP06795 pep chromosome:AUK_PRJEB4211_v1:2:36266625:36269368:-1 gene:GSCOC_T00023772001 transcript:CDP06795 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAQYSPSSYSGGYSVRVRPPDREQSPGSLRTCHTSPPRGLILIGSATNQKSPLQGLTGIYNAAIPEDDGIAILKEAFSKGVTFWDTADIYGEEHANEYLVGKALKQLPREKVQLATKFGVFKFEPSKVTVKGTPEYVRSCCEGSLKRLQVDYIDLYYIHRIDTTVPIEETMGELKKLVEECKIKYIGLSEASPDTIRRAHAVHPIAAIQQEYSLWTRDIEPELLPLCRELGIGIVPYSPIGRGLFAGKAVVESLPQNSYLKFSPRFMGDNFEKNKTIYFRLDALAKEHGCTPAQLAIAWVLHRGDDIVPIPGK >CDO99646 pep chromosome:AUK_PRJEB4211_v1:2:10356502:10361547:-1 gene:GSCOC_T00029300001 transcript:CDO99646 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVYRLFAMSRFLTVRSVKRGVQSVRQNQSTIIYSDGLQYGPLFRAGLYSQNYRLYSQYGLPARRPVSSSLWGARNQTHSGFVKKFSAVSPGRTLAQRAQLAWKSFVRRPSCNTGTPQPISRIAQAVSLALSRSYVVMPGMFALAFGRNLAWAQAPVDVDFFQPRNTIYMRAQDGHIFVVKVVLAVLQGIVLLLRALYLAILFSPSISMAPFVDYFGPRYRKVWLQLVHQTLERAGPAFIKWGQWAASRPDLFPRDLCAELSKLHTKAPEHSFAYTKKTIERAFGRKLTEIFDDFEEVPVASGSIAQVHRASLKYRYRGRHTKPMMVAVKVRHPGVGESIKRDFEIINKVAKLSKFIPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETFEQGECVSHYVDEIVGHERLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVREAQTKPSRKRLFKSKPHVIFLDVGMTAELSKSDRSNLLEFFKAVARRDGQTAAECALRLSKKQNCPSPQDFIQEVKESFDFWGTPEGDLVHPADCMQHLLEQVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPDYDVMHTLQTLLLKADWAKSLSHTIEGLMAP >CDO99767 pep chromosome:AUK_PRJEB4211_v1:2:9055792:9065041:1 gene:GSCOC_T00029454001 transcript:CDO99767 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 24 [Source:Projected from Arabidopsis thaliana (AT1G53390) UniProtKB/Swiss-Prot;Acc:Q9MAG3] MSRERKPRKIVKFFSTSRITLAVLVVVILQLSWVQLVQCQNFDDYTSGSGKVFPVDNPEFVKYFTQVAYSRLSNLTTLLLSSQFANNHSYCVKDPKAEWNRAFNFSDNLDFVSNCITNLRGDFTQRICTAAEIKAYFNSIQISNAIKPNGNCNRTSWVSGCEPGWACGVNSDQPIDLKNSRDIPVRTLDCQSCCAGFFCPRGITCMIPCPLGSYCPLATLNRSSGNCEPYNYQLPAGQLNHTCGGADMWSDVISSGAIFCSAGSYCPTSIEQTPCSSGNYCPMGSTSEKPCFKLASCDPNTASQNIHAYGAMLIAGLSTLLLIIYNCSDQVITIRERRLAKSREAAARSVREKVQARTRWKAAKDAAKKHAIELQSQVSRKFSRRKVGAENEKVRILNEEELGTDEDLYPTMDTSTSGASEQSYASSEGKTIEAGHLTRMMHEIEDHSDSFSSFAVDAKSSKSKAAKDKEIHTHSQIFKYAYSQLEKEKAQEQQNKNLTFSGVISMAANTETRKRPVIEIAFRDLTVTLKGKHKHLLRSVNGKIMPGRITAVMGPSGAGKTTFLSALAGKAVGCTINGLILVNGKTESIHSYKKIVGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKPDKVLTVERVIESLGLQAVRSSLVGTVEKRGISGGQRKRVNVGLELVMEPSLLFLDEPTSGLDSSSSQLLLRAIRREALEGVNICMVVHQPSYTLFRMFDDLILLAKGGLTVYHGSVRKVEEYFTSLGINVPERVNPPDYFIDVLEGLVKPNTSSSLSHEELPVRWMLYNGYPVPPDMQHYSVAVTASPTYVQFGSHEYSAQITNEDQSFAGEMWQDVKCNMERRRDIIRHNFLRSKDLSNRRTPNVLLQYKYFLGRVGKQRLREARVQAIDYLILLLAGASLGSLSKASEEQFGMPGYTYSIIAVSLLCKIAALRSFSMDKLQHWRESASGMSSLAYFVSKDTMDHFNTAIKPVVYLSMYYFFNNPRSPFMDNYIVLLCLVYCVTGIAYVLAIFLDPGPSQLCSVILPVVLTLVATQARDNKVLKIISDFCYPKWALEAFVIGNAERYYGVWIITRCGTLRRFDYNLHDWILCIAILILTGIASRGIAFLGMLVFQRK >CDO96933 pep chromosome:AUK_PRJEB4211_v1:2:15146330:15148989:-1 gene:GSCOC_T00014121001 transcript:CDO96933 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSFPSSTSPILVFSLALLTLFTGVRGTTFTFVNKCEYTVWPGVLANAGSPSLDSTGFELPSDASRSFIAPTGWSGRFWGRTGCNFDGSGSGSCATGDCGSGQVECNGAGAAPPATLAEFSLGTGGQDFYDVSLVDGYNVAMIVEGTGGSGMCASTGCVTDLNRICPAELRVGDGNACKSACEAFGSPEYCCSGEYNSPSSCKPSVYSQVFKSACPRSYSYAYDDPTSTFTCSGADYTVTFCPSMPSQKSSKDPTPTTTTSTTNGGDGSMSESGNMGSQTGSGSMVIDSGSESDTGSQAMLADGSYLAGLAMGGSTRTLAASVLHSGLLFAVAALSLCFLQL >CDO97513 pep chromosome:AUK_PRJEB4211_v1:2:19625076:19628493:-1 gene:GSCOC_T00014883001 transcript:CDO97513 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGIPLGRGTVPGFYQPAHSVRQVQTTIAVDRVNLLQTDAADLLRDATVNDRVELRVLGDVGAKIRILGFTSPGVQVSVDCAIVISPRKQALTYKQCGFDGLSV >CDO96774 pep chromosome:AUK_PRJEB4211_v1:2:12951014:12957979:-1 gene:GSCOC_T00013898001 transcript:CDO96774 gene_biotype:protein_coding transcript_biotype:protein_coding MDIITVLFHLALLLSLPTVSIALIKRSIQTDQSALFALKSQLFDSKNLLRTNWTANVSVCNWFGVTCFSRHHRVVSLNLSYLGLQGSIPPDIGNLSFLSSIDLSGNNFHGNLPMEEGMVHLRRLRYISLANNNFVQGNIPREIGNLGNLKILRMANNQLTGFIPLTLFNISSLQIVNLTNNTLTGDLPVNLCSELSNLQEFYLSSNKLSGQILSRFDNCSELRELSLSSNVLSGTLPREIGNLTMLKVLSLGQNNFAEGSIPPEIGNLSGLVELDLSSNYLTGQFPLAFEGLSNLEALELQNNRILGSLPPYLCKFRSLTLLNLSENKFSGQLPDCFGNMTSLRKLYLSSNILNSTMPASLGNLKDLLYLDVHSNALGGYLPQEIGNLKAAISIDMSRNKFAGNIPTTVGNLQNLIDFSLAHNELQGSIPERVGKMVNLESLDLSQNNLTGEIPTSLVSLLELNYFNVSFNRLHGKVPANGSFLNFTRESFMSNEAFCGGPPQLRLPPCPNNSPKASRTKKFPKVAYILLQIALTVIAVSIFVLVLIMAQRRSINRTQTNPLPPTTHERISYYDLVHATNDFGESNLIASGSYGSVYKGVLSDGSILAIKVFNLQVEGAFKSFDAECEVLRNIRHRNLVKVISSCSNHDFRALVLEYMPNGSLERWLYSHNYFLDFLQRLNIMIDAATALDYLHHGYSSPIVHCDLKPSNVLLDQDLTGHVGDFGIAKLLSGGESKAITNTLATIGYIAPEYGSEGKVSRKCDVYSFGILLMETFSRKKPTDEMFTEDCGLKDWVRHLLQNPELDLVDHNLITPPDENSTTIVQCIFKVMEMAVTCTVESPEQRIDIKDALNELRNIKVQFLSK >CDP17527 pep chromosome:AUK_PRJEB4211_v1:2:29032832:29033816:1 gene:GSCOC_T00004478001 transcript:CDP17527 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPIPAGLHPGPLVHDIISAGTAHRAHSIFHGHIQGNQLDVRRCDREFWEHTPIPDPRPETHTFHLPVGEATVTLQDVEVLWGLHIDGPPVIGVDTYRSIQEWGAICEELLGFSPAVGYFDGQRLKLGCLARVLDTELPADASNAECRQRTRIYILLILGGHLLSDKSGNKVPLLYLPLLRDLETVGQYSWGSACLATLYRSLCDATHPAKSAIAGPLVLLQLWIWEHIPTMRPDRIAPLEHYPDPYAARCAFRTHLGFFNGI >CDP05326 pep chromosome:AUK_PRJEB4211_v1:2:3339336:3342474:-1 gene:GSCOC_T00020327001 transcript:CDP05326 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRRFELIDHSPFLPAFFEKETSLFSTKSLTLDHPYFPSFPPEHELDFTLDLLNPAPKPLPALLDFPSPSLFDTFHTITDLTQVEKTPFCISTRRVQHRVDPVGLRTEFYLQRLCDRVSALELSFDRLAKEKKSRVGERKYTWTAEIKAPEEDGVDRKYKWTSEIKDGKKKGHLEKNYKYTAEIKGKGEDSPISRKYTFTASTGDAGESSGKEKKDVEKKDKKKRDKSEKSTGGCSTRIVEIQEEPSHHGALVLRQAFARRVDRSRGKRKELSPNDAAIKIQLSFKAYLIKRSKALRALRELAIAKSKLKEIRALFNNFSYRRRLARDTEERQRFSEKIIVLLLTVDAIEGADVLVRAAKKSMVDELEAMLDVVDPQPAGRSLSLKRRTFDMPDAVIQKELAAGVAQVVQMLDEEANGSDTI >CDP05050 pep chromosome:AUK_PRJEB4211_v1:2:1140713:1144485:-1 gene:GSCOC_T00019967001 transcript:CDP05050 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGISGSIMVFSEEKNESLYPKFFGVSCAFFALRILSEPKLSPEQWSDIKNGMLQGSAYLLGLLVWRAQKDEAGNDKSELRQKLENAQGEIEELKNRRREDAKANEKVVGIFAAQEQGWFNERKKLRQQIGCLMNELRISETNKDKALSDLKGKLQDNELLLKSKDKILKEDEQKRQDLEEKLKNAESTIAEFREAAKQENQRHSNEISKHKTACIELVSNQRQLEAEMGRALRQIESLKQDRDIILEQKEQSLLMTQKLSLELVKMRRDMEQKDQILSAMLRKSKLDTTEKQMLLEEVTLSKAKRKQAELKTERLKTDSEPRRDRYSLRSMLSKHANTKADAVSGRKGVHSNAMMASNMERPTTHQMNYLVEYEQPGFREGIEAFSPLSDRYLSEGIQDTTDFHQLEGWVRSQAEKYRTAIDQTHQLEIDAFAEQLRVKDEKLEAFRWRLLSMELESKRLQSIIEGLDNDLSQLKQANMKLEAVLLNREAELQSLKEKLALRMENHPNSQRISSNAYPHDLTLAYDTIWSKVKIVKRRPGEDEQESKTTAERNYQLAEEEKQEKSSANYPSKDIVLTLQPPRVELEEELGDAIDQDSIQEQSSSSQDAEKAEASQSAVKCITNKINSSWKMDLHALGVSYKIKRLKQQLLMLERLTGKRECHESSDSSNNGQIDKNVFCALMSLLNKQVGRYQALQGKTDDLCQRMHENSLYVRGGDLNTAKTKEEIRLLEQYLEETFHLQRYMVATGQKLMELQAKIASGFASAVEEFETPASFDMKRFADNIKTLFREVQRGLEIRISRIIGDLEGTLACDGIIHFKK >CDP20927 pep chromosome:AUK_PRJEB4211_v1:2:48752886:48757638:1 gene:GSCOC_T00012342001 transcript:CDP20927 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT1G56590) UniProtKB/Swiss-Prot;Acc:F4I562] MMQCIFLLSDSGEVMLEKQLTGHRVDRSICAWFWEQSIAQGDSFKFMPVIASPTHYIFQVFREGITFLACTQVEMPPLMATEFLCRVADVLSDYLGGLNEDLIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVSKVLSVVTGTSSNVSNTLPVATASCVPWRKMDPKNTSNEVYVDLVEEMDAIISRDGTLVKCEIYGEVQVNSHLSGLPDLTLSFANASILNDVRFHPCVRLRPWESQQILSFVPPDGQFKLMSYRVKKLKNIPIYVKPQLSSDSGMCRISVLVGIKNDPRKPIDSITVEFQLPPCVLSANLTPNHGTVNILASKTCSWSIGRLPKDKAPSLSGTLVLAAGLERLPVFPTFQVGFRVMGIALSGLQMDKLDFKNLPSRPYKGFRGLTRAGEYQVRS >CDP13833 pep chromosome:AUK_PRJEB4211_v1:2:33502456:33504363:-1 gene:GSCOC_T00038925001 transcript:CDP13833 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYAWAVSSGFNAALAAIAAKHFSSQLVRYGLVIVLNALMWGCYVNSLKALSSLQATVTNFAMNFLSSGLAGFFLFKEVLPFQWFAGATIIVLGVIVLSKSSKEGKMHTD >CDP16454 pep chromosome:AUK_PRJEB4211_v1:2:30636785:30640960:-1 gene:GSCOC_T00018369001 transcript:CDP16454 gene_biotype:protein_coding transcript_biotype:protein_coding MATALYLSNLTRLAPSSLPLSSSFTSRSYLLFFPRSFNALLREPRGKIFKLHCKFPRFSSNSILFNSKFPRCRFSSISASTNADGNSYVEGVHEIYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNTSRGPAVRALRAQTDKREYAMEMKKIVERQVLRRISKLYD >CDP13918 pep chromosome:AUK_PRJEB4211_v1:2:4338182:4345243:-1 gene:GSCOC_T00039059001 transcript:CDP13918 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLITIMYIYVMSVMIAARMVLPVHPYSRSLVKPISNIFDTSNYGVFQLDNGLAQTPQMGWNSWNFFACNINETVIKETADALISTGLAGLGYNYVNIDDCWSSWVRNSKGQLVPDPKTFPSGIKALADYVHAKGLKLGIYSDAGVFTCQVRPGSLYHENDDAALFASWDVDYLKYDNCFNLGIQPKERYPPMRDALNATGQKIFYSLCEWGVDDPALWAGKVGNSWRTTDDINDSWASMTSIADLNDKWAAYAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALMKAPLLVGCDVRNMMSETFEILSNEEVIAVNQDSLGVQGRKVYVSGTDGCEQVWAGPLSEQRVVVVLWNRCSKVATITAGWSALGLESSTPVSVRDLWKHEVVADNRVASLSAQVEAHACEMFILTPQTTTNSQIL >CDP08852 pep chromosome:AUK_PRJEB4211_v1:2:52457486:52462036:-1 gene:GSCOC_T00027964001 transcript:CDP08852 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPSTIYAINEVAIFAGAVDTTATETKGTALIHRAQQLENYAKIGAAKVGELIKAIAGSGAKVIVSGAAVGKMALHFCERYKLMVLMISSKFELQRFCHITGAVALLKLSSPNPDDLEYVDSISVDEIGGVKVTIVRNEEGGNSVSTVVLRGSSILDDLERAVDDGVNTYKAICRDSQIVPGAAATEIELARRLKEFSFKETGLDQYAISRFAESFEMVPKTLAKKAGLNAMEIIASLYAEPASGNTGVDIGLEEGVCKDVSTTSGFDSIDRFFALKYAADAVCTVMRVDRIIIAKPAGGLKRDPPMEMDGWMDG >CDP15510 pep chromosome:AUK_PRJEB4211_v1:2:45797606:45808041:-1 gene:GSCOC_T00015367001 transcript:CDP15510 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEESSSTAERRLRAIQGHLISAADGLNLRQIHKNETAGEFVHGQGYSVVLPEKLQSGKWNVYRSACSPLKLVTRFPDHPEIGTLHDNFVYAVESFQDYKYLGTRIRADGTIGEYKWMTYGEAATARSAIGSGLCNHGIQSGSCIGLYFINRPEWLIVDHACSAYSYISVPLYDTLGPDAVKYIVNHAYVQAIFCVSSTLNTLLSFLSEISSVRLIVVVGGVDEHLPSLPSTSGVKVISYLKLLDQGRGNIQPFCPPKPDETATICYTSGTTGTPKGVVLSHANLIASVAGVTLNIKFHPSDIYISYLPLAHIYERANQVLSIYFGAAIGFYQGDNLKLMDDLAVLRPTIFCSVPRLYNRIYAGITNAVKNSGALKERLFNAAYHSKKQAIMNGRKPSPMWDRLVFNKIKEKLGGRVRFMGSGASPLSPDILEFLRVCFSCRVTEGYGMTETSCVISSMDEGDVSVGHVGSPNPACEIKLVDVPEMNYTSEDQPHPRGEICVRGPIIFQGYYKDEIQTREVMDDEGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVAQCFIYGDSFNSSLVAVVSVDPDVLKEWATSEGIKFEDLAELCNDPRARAAVLADMDTVGREAQLRGFEFAKAVTLFPEPFTLENGLLTPTFKVKRAQAKEYFAKAIADMYTSLSTSDPTPQSAL >CDP18036 pep chromosome:AUK_PRJEB4211_v1:2:46998257:47004132:-1 gene:GSCOC_T00008643001 transcript:CDP18036 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGRRSSSYSSSVATVVSTVDSSPSSAESCFRELDDVFLQTQTRIWLGEVLKIRLDEGMNISDMLADGELLFEVSKMVWNMLLEKSVELRQIKAYQSPLGSRKSSGRYKPYSNVDSFLKVCKILGLNGIDLFSPSDVVEKRDIRKVCICIRALSKKARSKQLNVPDFDLVTYTVVMPTDMVGCIRRSLESSQCSISSSSSCHSYKGTRSKRQQKTLIPSYSGHYDSCSEESDEAESAYCGRESYSLFTEKFDHPATLNSCKEDSPGAFSAVRPYTARQAASRSDMRNGLGIHCSSYNYRNGYQADNLHANNDLRLTYNNNASDLADIDYALGKDASNILDSLGENVAERDFIADYLAFSDSVVLQNDGNSPIFFDGEDNICNFFMSMDSHGLGSKQKVSQNGFSHRYSDDMEDVEVASMASMTSVLGRVLNLEFDDQYNYGDSSIVELNSIEFLGNETDDQGKGFHTEGQTQDSPPYDIMSDRSGTDIKESQSKMVLENEGFCTASLSTCAENGQPSARESEKFEVCGHSQIYLGSMHPASCAGLASAEDDEEDISSGDLKKAVMGMCELDPYLEQGKDQSPLETLHHNNFWEAKVSCCRCPMKGNEIHVCSMQYEDVIVRDITCQESQHLQKDSLCNHDFASPANECKSMASSSSKNSQDVNGAGHQASTGDNKTNQHLSGDGNEDGRNQSNLDICAANVLDEEEDAGGGLKEDRFLRGSLLKTFVKGTTLAGVLFLILHISRRGKEQANKPEKPPKTRQFGGAKHASLKAKFSRSNGTYPAEKLKFGN >CDO99983 pep chromosome:AUK_PRJEB4211_v1:2:7238252:7239603:-1 gene:GSCOC_T00029726001 transcript:CDO99983 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVPCCEKMGLKKGPWTPEEDQILVSFVQHYGHENWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFSKEEEESIIKLHQIMGNRWSAIANRLPGRTDNEIKNYWNTHLKKRVHQDAVGQKDLRQLQTNLWRSNSQGTPESGEASNHPGSEGNGDVQNFTGSIHENFSSLDYSISAPGPARVLAETNLKQSAKDSSKTNKYLGPASDSRLQFLSTGESNIEPGEDSIKEDMVFWYNLLLIAGKSQEKFAQIV >CDO99823 pep chromosome:AUK_PRJEB4211_v1:2:8597660:8599697:1 gene:GSCOC_T00029519001 transcript:CDO99823 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLSLAGELDRDDEQLESRYQKGVQYLYENSNGIMSRVPTKYILPIPERPNSRTEDHCNARSTNLNLPVIDCAELQGPDRSQVIQSLAKACEEYGFFQLVNHGISREIIQNMIDAARRFFELSFDERAKYMSSDMFTPVRYGTSFNQNKDKVFCWRDFLKLSCTPISNSLEYWPSSPIDFRKVALNYANQTKSLYLMLMGAILESLGLLEEPVEEVNGNPILKEFEDGSQLMVLNCYPPCPEPDLTLGMPAHSDYGLLTLLLQDEVKGLQIEHQGNWLTVEPVPNSFVVNVGDHLEIFSNGKYKSVLHRVLVNSSNHRISTASLHSLPFDCVVRPSPKLIDETNPRRYKDTDFATFLEYISSREPKTKNFLESRKLM >CDO97547 pep chromosome:AUK_PRJEB4211_v1:2:19847575:19849701:1 gene:GSCOC_T00014923001 transcript:CDO97547 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSTRASPHLTSLLFSYRRQQEAELKLVEEETAKRVEEAIQKQVKESLDSEEIRVEIQRRLEEGRKQLVEEVAVQLEKEKEAALIVARQKEEQGRKEKEELERMLEENRRKLEEAQRREALEQERREEERYRKLEELQRQKEEAMRRKKQQEEEERAKQIKVLGKNNSRPKLSFALGLK >CDO99957 pep chromosome:AUK_PRJEB4211_v1:2:7462531:7465535:-1 gene:GSCOC_T00029689001 transcript:CDO99957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GAMETE EXPRESSED 1 [Source:Projected from Arabidopsis thaliana (AT5G55490) UniProtKB/Swiss-Prot;Acc:Q681K7] MEPFNSQRGIKLVENARNKMIAAAPSSCWQKAYQSLFTGCSRSLADEESRNRFAWHLTECFLKHSGRSPLPYCDKASLVEKCLKQVDQDAVKIYLEYHLETNSICHQLQIEAFRHQTERLVNELKKSAEYAEEKLESIEERGELLLQSSKNVHDALTNVDLRTQQLAEASKDVENHVNLVLNYSKAVHEQSMAIAASQAQLSHGQVKMKENLDEGMAMLHDSYTNLGREITNLRDEAVEIEKEISRVGDEMSSKMNTLQTKADDIGNMAESSIEKQKQLLDGQSMALSGLHLLTETQSKALEESRGTLEKLAEFGHRQQDELLQRQKQLQQAHDHLVANSKTILAAQEAFESKQASMFLALDKLFALHNAMLLESRMIKAFVVYTLSFFLLYMLTSTKQTYNVRHRVYIGLSITLLIELLIIRWTSYDIEKQGEMIFLIRFLSGALVLLQLGCAIYTYRDFEVLNHQMLLSLMEKVNWMQKERELSRDTDADSEVDWSSWVDTDLPDDVDRLQDPDYVCREEVGENSVETTSITKRYNLRCRR >CDP07634 pep chromosome:AUK_PRJEB4211_v1:2:24116493:24120639:-1 gene:GSCOC_T00024951001 transcript:CDP07634 gene_biotype:protein_coding transcript_biotype:protein_coding MADAISALASPTLKLAGKKLALLIQDEILTLAGFDKNVKTLKDKFMDIQKVIAVAEKGPRSSEVTGFLEELKDTTYDAENILDMLSTEVLIWNEMRQLNTCPSVALAPDWIFRLKNRSIVKGIDEIMERFDSILSRFSTTSSSAMHPGVVGRVEEKSEIIKMLKSSGNSVEGRVSVITITGMGGIGKTVLAQQVYNDSDLEGHFDVKIWISVSGSEGTINMSRIFDEIIDACATDYIPGGSLESKLQHSVGGLKLLLVLDDVWTENLEDWKKLEKGLLKAHNGTRVIVTGRTENVFGLSPQNVPIPHIPLEKLPHEECLKLFARNAIDGDESDLVNKGVKAIADGIVSKCDGLPLAVEAIGCLLRNKDLYKWSDILNNDLATVEEEFRDEKHRTLFVLSLSYFHLPPELKHCFSFCSIFPKGHEFEKDELVKLWMAHSLVQPSKLAETLQDKGRRYFDRLQELSFFQQKPESEKYRMHDYLHDLAELVSGKYSCMVKGENPSPIDPKTHHIALMCEKVEGASKSIEECKKLRTILMPMSRNSLTDFGETLGKMFQTLKYLRVLDLSSSSVAKLPKTLAELKLLHYLNLSRTKIKWLPNELCSLLNLQTLKLLDCPWLLGLPKGLSRLINLRHLEIEEAFWKYRCPRQPPNIGRLTSLQNLHKFCVGEAKKGFGIEELKDMKYLEGSLHIMELNKAERAAEANLKDKPRVQILELEWASETEREIARLKGKTPDEYDAEVLEDLEPHSSLTKLRVHRYCGNRTPSWLSMGKLQLLKTLILEGCQNLETLMLGRQENLKVICIKNMQKLRELPAQLECPLLHTLKIINCPDLIQLPRSIPKLQILKVKKCNSLKAIPPLIGSGTLVLVDNPVLEGFPGNYSVGFRDLGARLRRCMITNCPKIHGLPAKYYNSCRAPINSKLEIGECESIYFDRSLTQLAIDTCRSDTLLEAMNTISLHYLQSLSISNISDLISFPTKWEVKITSLYISACKDLEDLFDSEKSCKRSLPGLVNLCIRDCPKLSKFPEEGLPPKLELLVIQSCISLESLGPPDTLKDLTSLADVCIVDCPKLQSFPAEGFPSSLQHLRIGDSPLLTEKVSKNEKGRGPDWPKIMNIPYLEIDEVRPSPAPVFASCSQSLTCFKGH >CDP15503 pep chromosome:AUK_PRJEB4211_v1:2:45649220:45662356:-1 gene:GSCOC_T00015358001 transcript:CDP15503 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPESDSKIFSVRIVSIDYYMAPPIAGIDISYSSFQGGKVNEVPVIRIYGSTLAGQKTCLHVHRALPYLYVPYSDVSLQLDEEDSASTNAISLAIEKALKLKGNAGLKRQHVHGCSLVRAKKLYGFHSSEELFVKIYLSFRYYPQDVSRAATLLLGGAVLDRIVQPHESHIPFLLQFLASTFFCQTSIDYNLYGMGHLHVSKIKFRHPVPEVFSPKKAAHTFLQRDLADKATGIAANKKVDSGADPSLASPIWTSSTIPDDWTWQSYSQSDSLVDQNLLSVKRQSMSELEGDAVVEDILNQLFISYTSLSQTRTDVKMVQSLIPIWEEFERNGEQGPAVPPDPGKPLPEDVLRILSDGLELEKGLADLSRGEYSSFSQDLIKSLTDKGTLVDKVNFLVDPDEALKCLEDGNLPSQTDDGENYGKGHDGHMKQLSADQLQDSNLVGPSKLKASDQDALGLLKWLASSQAAEEINSDDELAHQTILSSFLPTSTVDKALEKASTDFENQSQQECQDILDSVEDAVATEDSDDKASNSNHNVLFQTLRNEVIPQVDGSPDDPNSVPFGDKSSSEPNYVTETSQLAGLRLTERKRKRPQWGFLPVSSNRNIHNGISLPDNSDMTGRYDSDLKVSVGTSFHEKIVADKCPNCIQGDAHGLNNCTESSSELIGCSMRDLMRKKRSHRSELSECRAPQVIKVISDKEQKEEIFVSKKLTNDEECNRSQISYSPRSAVTDKLGELCECPALAEFAKVNTDGSDLFVHAEFAGLCTRGMSCSSRLPGEPKKDSPLKEAISSCCQSSNHVGCQIFEKNYSMLDSGLKSKVSMHEIPEMANDSKKDTGVSTTVNLLQTEPQINRHVKSRGCYSSRLSASSMIAHPVELIGLTLCQKPPVIEWTDEPDGDSALSPSISQDPHELVEKEEGISFLAEVADDVLPFFINDNREEKELRNLNCQEAGYSYHQDSIIGVPVHYQNDGSYLYMLTPVQSPPSEENVKRWLSLDGRNTSREKAANASVLSISPKHLSDDLEDSRRPLCPASNLSSLDSEARSESNLDQLNHHNQENFNGQVEAHNDEVRTIQKDAYKILMSKPSALFSQEHSQLSGPDVKSKLTPLSQMGFRDPASVGGRQQLTIVSIEVQAGCRGDLRPDPRFDAIDIITLVFQDDDDAMVDCFMLLRSNTVTTETNLDGIPDCKVLLFPEEKQVFSHFTKIMSIFDPDILIGWDVQGGSLGFLAERAAYLGIGLLNNISRTPSSRGLNTTEEDMPDDTSLKVATADPVPLDGAIVEDEWGRTHASGVHVGGRIVLNVWRLMRGEVKLRMYTIEAVAETVLRKKVPYIPCMVLAKWFSSGPGRARYRCMEYLLVKTKLNLDIMNQLDMINRTSELARVFGIDFFSVLSRGSQYRVESMFLRLAHTQNYVAISPGNLQVAYQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFSTCLGKITSSMANILGVSSYSPDMKVLQNLKHEILLTPNGVMYVPSKFRKGVLPRLLEEILSTRIMVKKAMKKLAPSQQVLHRIYDARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLEAAISFVSNHNKWKAKVVYGDTDSMFVLLKGRSRREAFHIGNEIVSAISAMNPNPVVLKMEKVYQPCFLLTKKRYVGYSYESPDQSKPKFDAKGIETVRRDTCDAVSKTMEQSLRLYFEQQDIDKVKAYLLRQWTRIISGRVSLQDFVFAKEVRLGTYSSRASSLPPSAIVATKAMRADPRAEPRYAERVPYVVVHGEPGARLVDLVVDPMELLALDSHYRLNEVYYIRKQIIPALQRVFGLVGADLNRWFSDMPRPEREPVGKRHFYAANQHRTRIDFYYLSRHCILCGALVQASSYLCHNCSKSEATVATALIGRTAKLEKDIQHLAAICRHCGGGDWLLESGVKCTSLACSIFYERRKVQKELKSVAAAATELGFYPTCTAEWF >CDP08855 pep chromosome:AUK_PRJEB4211_v1:2:52435151:52436490:-1 gene:GSCOC_T00027968001 transcript:CDP08855 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRIVIRVLDCTKKKQLSKAMQIAVSVAGIERVALQGENKDQIVVVGEGIDAVNLTSLLRKKLGSVELVSVIPIGELENREEEEEEYTYGTTSIQPTVYPTYPVWTYPTYPVWTYPTY >CDP13888 pep chromosome:AUK_PRJEB4211_v1:2:4110590:4117376:1 gene:GSCOC_T00039021001 transcript:CDP13888 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQMTAKIRLVTCPRCRQLLQEPDIPVYKCGGCGAVLQAKKFKTDTKDTRFSSDGTHVEGKRELDYAPDQKDISRLNHGATSSAGGSSPDTSNDRKEDHFSDCNAKQFGDEIFSHELPNSLHLTSRENGDLSTITGEQIEQAQCDRNAEQETHNADQDIHKYENQFGDSNGKQPGDKIFSHEFPSSLRLTSQQNGDLSPSIGGQIREPIEQAQCDHNAVQETHNADHDTNKYENQFSDSNGKQPGDKIFSHGLPNSLCLTSRENGDLSPSTGEQIEQDQCDYDQDTHNADQDMHRYENQFGDSKRNRSRGRSSSDGFYSSLEFPCHETDDSSPEHQAIMASGLSNRTTDNCARMEQMAREDCNQEQDKDTNLLSKVSLLTEGKLDENDCSPKGNALEERDQSKCVMQHVDKECNFEGHSKEFPKNDSASLEISSSAVSVPEKISALIEANSEVQDNLGGHVLGSFDTEDLLDGKETDASDTDENPLDQTIPLYNVDSPGDQHLGASQRISQHASSEDVLDNLPLVNLSSELGRKNINLSKSPTKSYYGDDGSASSYDGFENRFPGRFSKPPKRKLKQNMNNSSRLQREFGPSANDVLSSNLGMQDQAILGPGSEVQNLARKSSILPEKNHSTMKYKIHQDGLHDPRSYRHSSGSRIREDNDEHVSKLPSIPRYPLNGHRKGNLSHHPFSVFQHQSDLCAANAPSYTEPDKLELLRMVHELKDELNRMHISNSRFPSSVIREDKYNPLFYNRRLAPLEGISADLQYSKYPGRFSQWKGLPQFHRVPRVAFSGDAADYRRQIECAYLHHHPHDWQCSVQLPSHSLCCNKVHCMSHGSTCYNGQCSTSSSPQHYNSSEFSVWDRQTKSDEQWHRDNETQKFHLRERYHLAKRHVRPVAGGTPIVACYHCSELLQLPADFLLSGRRRHKLRCNTCRKIMKFSLQSSTHLVPHIADAVAPPPSVVDDDSSGSIRQRNWASTSQSNDAPYAEPLSCTDDYGISFDRSFSTEGEPSLITPPIHPVERSCRTRDMSSGNSVSLEPVGPLLKRSKWRKSSSGIRQLPPSGGSPLHRLMGYSSPSAVMKV >CDO99670 pep chromosome:AUK_PRJEB4211_v1:2:10019874:10023556:-1 gene:GSCOC_T00029335001 transcript:CDO99670 gene_biotype:protein_coding transcript_biotype:protein_coding MLITIVRVVTRKWCIIRGIRKLCMLKDLVVSEKKKGTLRDTSQDDIQESPASANESLEIPVIDFNRIKIATDNFSEANKLGEGGFGAVYKGRLEDGQQIAVKRLSRHSGQGMEEFKNEVVLISKLQHRNLVRLLGCCIQGEEKTVILEYLKNKSLDKFLFDRTKRLELNWEKRFNIIQGIARGLLYLHRDSCLRIIHRDLKASNILLDDDMNPKISDFGLARTFQVTQELANTRRVVGTFGYMSPEYAMGGVFSEKSDVYSFGVLLLEIVSSKKNTGFGYHEKHISLLGYAWQLWNECKAPELLDESLTNSCTPSEVMRCIRVGLLCVQDHPTDRPTMANAVLMLSSSESEIELPQPREPTFTFQSLLESDNCQSGISLCNDSINEVSVSMVEGR >CDP05323 pep chromosome:AUK_PRJEB4211_v1:2:3303088:3307201:-1 gene:GSCOC_T00020321001 transcript:CDP05323 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGTTAAFLLIHIAILFSPSFAADPFAFFDFEVSYTTASPLGVPQQVIAVNNKFPGPVINVTTNYNVVLNVRNKLDEDLLITWPGIQMRRSSWQDGVLGTNCPIPSKWNWTYQFQVKDQIGSFFYYPSLNLQRAAGGFGPFIVTNRDIIPIPFAMPDGDIVLMIGDWYTQNHTALREALDNGKQLGMPDGVIINGKGPYRYNNTLVPDGIDYETINVDPGKTYRVRVHNVGASTCLNFRIQSHNLLLAETEGYYTSQQNYTSMDIHVGQSFSFLVTMDQNASSDYYIVASARFVNQTVWQRVTGVAILHYSNSKGKASGPLPDPPNDVYDSSYALNQAMSIRQNVTASGARPNPQGSFHYGQINVTDTYILRSVPPVTIEGKLRATYNGISFVKPETPFRLADAFNVKGAYKLDFPSQPLDRPPKMDRSIINATYKGFIEIILQNNDTVVQSFHLDGYSFFVVGMGSGNWTENSRGSYNRWDAISRSTVQVLAGGWTAVLVSLDNVGIWNLRSENLDRWYLGQETYMRIVNPEDAGNKTELPVPDNVLYCGALAKFQKPQKASSATTIHVGSKAYLTLLVVFFAMIYIFC >CDO99560 pep chromosome:AUK_PRJEB4211_v1:2:11355408:11358181:1 gene:GSCOC_T00029191001 transcript:CDO99560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingolipid delta(4)-desaturase DES1-like [Source:Projected from Arabidopsis thaliana (AT4G04930) UniProtKB/Swiss-Prot;Acc:Q9ZPH4] MATDFFWSYTDEPHASRRRQILSQYPQIRELFGPDPFAFLKIAMVVLLQLWTATYLHDASWLKILMVAYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRWLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGVDMDVPSLAEGHLVTNILTKSIWVVLQLFFYAFRPLFLKPKPPGIWELVNLLVQLALDAAMVYFWGWRSFAYMILSTFVGGGMHPMAGHFISEHYVFKPDQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGYKLHKVREIAPEYYDSLKSYRSWSQVIYMYIMDCTVGPFSRMKRKLSTVTTNKSD >CDO99771 pep chromosome:AUK_PRJEB4211_v1:2:9015070:9022228:1 gene:GSCOC_T00029459001 transcript:CDO99771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 4 [Source:Projected from Arabidopsis thaliana (AT3G10360) UniProtKB/Swiss-Prot;Acc:Q9SS47] MVLHYVITLFSFLFFLSFLLFILYAFYIFAPDLLDCVYLNFCGKFLFLDLGIRKMVDEGGKDDCLLSSGRLMSDSGDDLMLGGSSCNGDLMNNSSILESELELLLLQKEQQRQRNRGLFVGSARDFDIHRSGSAPPSVEGALSAAGSLSRNPNFASLHSTASNTGTDRVLTEEEIRSHPAYLAYYYSNENLNPRLPPPLLSKEDWRIAQRVQAAGSSVDGTGDLTNNLLVDDGVSSLLLSMQPRLAVKKAEEDLIELRKAAVRNLSRKSSTEYLEGSSSSSVGLSKAGMGARRKSFADIVQEGCGQPATSSGRLSRSASPESFDSMHRGQLTAGLSRDLGHPSAYPCTTGASLTSPPGFPAVGSRVSLDAKKNIRDPKSQDLSSHDTEIYHILASFPGLTVNKVSEDAPLSSPTPNCHQLNMQQHFIDKSRAEKLIPATNHNEFSRGKMVSQLDYGNFNLDRQVNLPRRASSLVNLQSLVNSSDGNLGLSNIHSPDIQQAHYSEKQLNYATHAAAMPRNLSHTRNYTSTSHPDLHQLERMYIETLLAQQNQHCQLPLLSKSGNLNLQYHGIPTFGLHMSHQGNRMPNAVHPTVESGGPTFQNEQPSQFTIALKSQLGGASGTRIEGKTISPLLEELKSNKGRSLELSDVLDHVVEFSMDQYGSRFIQQKLETACTEDKITIFPKIIPHARSLMTDVFGNYVIQKFFEHGTETQRKELADQLVGHVLGLSLQMYGCRVIQKALEVVDVEQQTRMVTELNGSVMKCVRDQNGNHVIQKCIECVPQDRIQFIISSFFGQVVALSTHPYGCRVIQRVLEHCNDPNTQHFIIDEIMNSICTLAQDQYGNYVIQHVLQHGNPRERSEVISKLAGQIVKMSQQKFASNVIEKCLAFGGPQERKVLIDEMLGSTDENEPLQAMMKDPFGNYVVQKVLETCDDQSRGLIVSRIKVHLNALKKYTYGKHIVSRVEKLIATGEKHMAISSS >CDP05165 pep chromosome:AUK_PRJEB4211_v1:2:2091793:2094913:-1 gene:GSCOC_T00020117001 transcript:CDP05165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent protein translocase protein TATB, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52440) UniProtKB/Swiss-Prot;Acc:Q9XH75] MASAILSPTASSLRLSSLSSSSSTNRRHTFWGLSSSAISVSSQSSSFDFSIWLPQLGLCQTHQWTGLKRFGISSAQHLIKLERSGKRKRIGVYASLFGVGAPEVLVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFKSTLEREIGLDDIQTSTKSTLSSNPTKTTSSPSSDPSSEVSPTEIDPNGSASPGKPFSSEAFLKITEQQLRAAAAKEEGESKSPGENQPEIQNLAAEQQIETASSGESQSKIETQNQGSPQDAASAMPSPGKPERDT >CDP08612 pep chromosome:AUK_PRJEB4211_v1:2:39230066:39233832:-1 gene:GSCOC_T00027622001 transcript:CDP08612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MAPLGSLEFCWRQMGSNLCFVTPILEPVSSSRLNFRKVISWPKGKSRGPVFFRRRNDGGRIFCCFANSEGVYSVASRRGRWEDPDDGSGSEYDEESEEEEEEENDLDFESDWEPENGAARLVEVADHLSESKEEEDFVKEVEQLLSPEERAILQTNETPYLEKISTVKWKPFHTFALAGQIKFMDRLLENGLDVDQVDKDGLTALHHAIIGKKEAVISHLLRRGANPHLRDLDGVTPLHYAVQVGAMQTVKLLIKQNVDVNAADNEGWTALHIAMQTRNRDIAKILLVNGADKTRRNMDGNTPLDLSLCYGKDFKSYELARLLKIVPAYREL >CDP09358 pep chromosome:AUK_PRJEB4211_v1:2:23274181:23277585:-1 gene:GSCOC_T00028690001 transcript:CDP09358 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQNLVAAVRLEAINSAGGATPPPNHNPSPISTARPLLSVPKPSWIVRTESNVRREQRKKPDPPCVVCRGSGRVSCHNCHGIGRTNFTHLTILPKGAWPKWCRDCGGSGLSHCSRCLGTGEYRYIMGFHFMKMKSDESEDITRHQGQRKPRRRSPEDLLQRDELDST >CDP08884 pep chromosome:AUK_PRJEB4211_v1:2:52054104:52057203:-1 gene:GSCOC_T00028010001 transcript:CDP08884 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAD1 [Source:Projected from Arabidopsis thaliana (AT1G72680) UniProtKB/TrEMBL;Acc:A0A178WLT3] MSSANDNEDCLGWAARDPSGYLSPYKFSRRAIGSEDVSLDITHCGICYADVAWTRNKGGHSKYPLVPGHEITGVVRQVGFRVKHFKVGDHVGVGTYVNSCRECEYCNDGLEVHCSKGPVLTFDGIDVDGTVTKGGYSSYIVVHERYCFRVPDNYPLELAAPLLCAGITVYTPMIRHNMNQPGKTLGVIGLGGLGHLAVKFGKAFGLKVTVFSTSLSKKEEAVSRLGADNFVVSSDEQQMSALANSLDFIIDTAAGDIPFDPYLSLLKTAGVLVLVGFPSEVKFSPVTLILGMKTVSGSVTGGTKQTQEMLNFCALHKIYPEIEVVPIQYSNEALERMIKKDVKYRFVIDIANSLK >CDP05039 pep chromosome:AUK_PRJEB4211_v1:2:1064751:1069626:1 gene:GSCOC_T00019953001 transcript:CDP05039 gene_biotype:protein_coding transcript_biotype:protein_coding MICKFTVMCVLLPLFFAFSFLCDASAMFSYNLFLIFPFPAQISVQYHVCPSICMVFFCAVSVVFNLFALGGNMAMEVTQVLLNAQSVDSTVRKHAEESLRQFQEQNLSVFLLSLSGELAGEDKPVDSRKLAGLILKNALDAKEQHRKYELVQRWLTLDMGVKTQIKACLLQTLSSPVHDARSTASQVIAKVAAIELPQKQWPELIGSLLSNIHQVPAHVKQATLETLGYLCEEVSPDVVDQDQVNKILTAVVQGMNANEVNNDVRLAATRALYNALGFSHANFSNDMERDYIMRVVCEATLCPDVKIRQAAFECLVSIASTYYEKLAPYIQDIFNITAKAVREDEEPVALQAIELWSSICDEEIDILEEYGGDFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLTSIVNVALNFMLTALTNDPNSHVKDTTAWTLGRIFEFLHGSTVETPIITPANCQQIVTVLLQSMNDAPNVAEKACGALYFLAQGYEDIGSTSPITPYFQEIVKSLLHVTDREDAGESRLRTAAYETLNEVVRCSTDETASMVLQLVPIIMTKLHQTLEAEKLSSDGREKQNELQGLLCGCLQVITQKLGASEPAKYAFMQFADQIMNLFLRVFACRSATVHEEAMLAIGAVAHATGPDFAKYMPELYKYLEMGLQNFEEYQVCAVTVGVVGDICRALDDKVLPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLMYAMPMLQSAAELSAHTSGADDEMIEYTNLLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDSIYMEKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSLSCKDFLNECLSSDDHLIKESAEWAKMAITRAISV >CDP05190 pep chromosome:AUK_PRJEB4211_v1:2:2296490:2302338:-1 gene:GSCOC_T00020153001 transcript:CDP05190 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGIKLAVYLVSSFYGDHNSKVCECLLRRGTLTLAQIIRFTELSREIVRHCLLALIHQNCIQAFSIQQEGAFGEAPKVVTHYMALFDNIIHHMRFPKFIAIVKDELGGESEKVFEALLQHGRLSFNQIVDREKQTVSKEENFDVDHLKESFNKLVNGRFVERCPAPEPFLAPPSDEETPAKKRGAKSTKVGDVSESIEQRALAAAAPMESLRFLAETHNWSDFSDKSSEEKFTSVKTGEKRKQDFLETDGGLLDQDEKKEVLWRVNFEELIRRLRHQACIANAKSRLNDECGIILGAILELTRSSESKVNIGSTASVSINDIYDEVIKKKGGLGMNLERIRASLVELGCEMPMLVEEYSIDLKSIIDMAQNEEVESIVLKRYGREAYRMFRFLSKSGCLHETDKIADTTFVEKKDAIKILYKLWKDDYLQMEKVTVNGARQTHFLLWKVNRQSLCQHVLDEMYHAALNLRLRIAHELDQEKEILQMPKDKVGEVEELRKRYMRLKKVSIIYESSLMNLDDALMLFHDFLYIYIYIYIYIYIYFLLTILFVFFVKFTVYEKFTPPPSEIHSFDTLVTGSIDTDALVGSKTNTFEFHISFGQTGDWYIKRRWQQKRT >CDP15219 pep chromosome:AUK_PRJEB4211_v1:2:49134586:49138210:-1 gene:GSCOC_T00042850001 transcript:CDP15219 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLSGRKEIGILMVGLDMAGRTTILYKLKRGEVVATGATIGYNLETLEYKNMSFTFWDIGGQNNRLPLPRYYFQNIEAVILVVDSNDQDRVVDAREYLQKLLSQDELKHALLLVFANKQDLPNALTAAEIADKLGLHSLLHRRNCFIQSTCAISGEGLYEGLDWICNNIVNKSKPSSTQKLICLGNLPSASYFDTLNLFHAKDLILIFFSKFSPSTFCLCLYFQRCLHVNCLKT >CDP17232 pep chromosome:AUK_PRJEB4211_v1:2:48136569:48137080:-1 gene:GSCOC_T00000720001 transcript:CDP17232 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPFLLRCAYMVLGSMALEPCLHFFWYLLETFPQSTADGMRLALVVTTDNLNGNCMQVSPSPFSLLHCHGKEKAWLSLDSGSPSLLH >CDP05139 pep chromosome:AUK_PRJEB4211_v1:2:1914954:1919120:1 gene:GSCOC_T00020086001 transcript:CDP05139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein REVEILLE 6 [Source:Projected from Arabidopsis thaliana (AT5G52660) UniProtKB/Swiss-Prot;Acc:Q8H0W3] MVSLNPNPPEDFYLDPMGMALPNLGSFTNTPASVAVATASTASTTSLSSEDPSKKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGANEHLPPPRPKRKAAHPYPQKASKSAPALPQVSASLQDSPSSHEHGILPRADYSNFHGNTVTGAALPCWTENAIGAGNLSPGEKGDLRWGHQLMANNYCYSSDESTPHSKTQPTGEKINQGNHGPPRVLPDFTEVYSFIGSIFDPSVTGHVQKLKRMDPIDVETVLLLMRNLSINLTSPDFEDHRRLISSCELGSGNEDENDKNDTVQDKCEDTCQYV >CDP18230 pep chromosome:AUK_PRJEB4211_v1:2:48376596:48382085:-1 gene:GSCOC_T00011789001 transcript:CDP18230 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRISIALVTLALLFFFASALADDVVVLTEENFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKAVLIGKVDCDEHKSLCSKYGVSGYPTIQWFPKGSLEPKKYEGARSAEALAEFVNSEGGTNVKIAAVPSNVVVLTPENFDEIVLDEKKDVLVEFYAPWCGHCKSLAPTYEKVATAFKLEDHVVIANVDADKYKDLAEKYGVSGYPTLKFFPKGNKAGEDYDGGRDLDDFVTFINERTGTSRDAKGQLTSQAGIVESLDILVKQFVSASDEEKKSIYTQLEDEAQKLTGPSARYGKIYLKAAKSCLEKGADYAKNEIERLERMLAKAISAAKADEFILKKNILSTYA >CDP08552 pep chromosome:AUK_PRJEB4211_v1:2:40999063:41001856:1 gene:GSCOC_T00027511001 transcript:CDP08552 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIALEVTNSNQYLLYATLFMMPILLHAFSRCKANNSLHLPPGPRAWPIIGNILDLDGEKTHTCLANLAEIYGPLISLRLGSKLIVVASSPATAREILKTHDQALSGRLTLRISNVIPGVKSSMIVLSAECNQRWRFLRSTARTELFSCRALESHSKLRAEKVQNMLDFLGLKGGVVVAIVDVLYATIVNILTNTMMSKDIINLKDNVGELRKFSRSLVELAVPSMADIFPLVGALDFQAKRKARDYKERNEALWADTVSERRGKQDCGTSGADFLDVLIQQSFDDFRSHGIKSQYITCKNAHSLGIIHVQELFVTSDSVSTTLGWAMSELTKNQNAMLKLRDEITNAIGGTGLLSESQLAKLPYLQACIKETLRLHPPSPFLVPHCAVQSCKVMDYDIPKNSLVVVNAYAIGRDHKTWEDPLSFKPERFLGKNLDLKGTHYELLPFGAGRRNCIGYPLALKQIQLVLASLVHAFDWFLPSGMDPETLDMSDEFRVPMRRASPLLLILEKRNDLRIV >CDP08539 pep chromosome:AUK_PRJEB4211_v1:2:41430137:41437473:-1 gene:GSCOC_T00027485001 transcript:CDP08539 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTEEEQVNRLESQVENGGGGAWEYLCLVRKLKLRRSDKVLKFGLSILNDPKKRSALGPEEWTLYEEVAVAAMDCQCPDVAKEFTKVLQKKFPGSKRVGRLEAMLLEAKGSWAEAEKAYMSLLEDNPLDQIVHKRRAAMAKAQGNIPLAIESLNKYLEIFMADQDAWRELAEIYVSLQMYKQAAFCYEELILSQPTIPLFHLSYADVLYTLGGLENLQTAKKYYASTIDLTGGKNTRALFGICLCTAAIGQLTKGRNKEDKENSQLQSLSAVALEKDYKQRNQSKHSLLTATLKSLKLS >CDP04982 pep chromosome:AUK_PRJEB4211_v1:2:569234:572303:1 gene:GSCOC_T00019870001 transcript:CDP04982 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEACLFAMSLASASVLPMVLKSAIELDLLELIAKAGPGAYVSPSELAAQLPTHNPEAPIMLDRILRLLATYSVLDCKLNNLADGGVERLYGLAPVCKFLTKNADGVSMAPLLLMNQDKVLMESWYHLKDAVLDGGIPFNKAYGMTAFEYHGTDPRFNKVFNQGMSNHSTITMKKILEVYRGFEGLKTVVDVGGGTGATLNMIISKYPTIKGINFELPHVVEDAPSHSGVEHVGGDMFVSVPKGDAIFMKWICHDWSDDHCRKLLKNCYQALPDNGKVILAECVLPEAPDTSLATQNVVHVDVVMLAHNPGGKERTEKEFEALAKGAGFKEFRKVCSAVNTWIMELCK >CDP13860 pep chromosome:AUK_PRJEB4211_v1:2:3899268:3900774:-1 gene:GSCOC_T00038982001 transcript:CDP13860 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMALNKAILFSTLLFIPLVLSDNTVPAPADKAQLNSWFEQNVQPLASRKDTLDPALVAAEANPRIIKLKSDGSGEFKTIADAINSIPNDNTNRVIISLGPGNYTEKIKIERNKPFITIIGDPNHMPTLVFGGTAAKYGTVESATLIVESDYFNAANLILANSAPRPNGDVKGAQALAVRIGGDKASFYNCKFLGFQDTLCDDKGKHLFKDCYIEGTVDFIFGNGKSIYLNVELHVIPGDRQAWITAQARHTDAEDTGYSFVHCKVTGTGRTAYLGRTWMPYGKVVFAYTDMSDAVIPAGWSNNFHPETEKTVLFGEFSSTGPGSDTSSSRAAFTKKLTEAEVKPFLTLGFIEASKWLLPPTQVPA >CDO97656 pep chromosome:AUK_PRJEB4211_v1:2:20745303:20749444:1 gene:GSCOC_T00015060001 transcript:CDO97656 gene_biotype:protein_coding transcript_biotype:protein_coding METRRQQLEKGDNVASEEITTVDWRGRPSNPSKHGGMGAAAFVLGLQAFEIMAIAAVGNNLITYVINEMHFSLSKSANIVTNFIGTVFILALLGGYLSDSYLGCFWTMLIFGFVELSGFILLSVQAHIPQLKPPHCNMLTDGDNCVEAEGFKSIIFFMALYLVALGSGCVKPNMIAHGADQFNKEVPKESKKLSRYFNAAYFAFSVGELVALTILVWVQTHSGMDIGFGVSAAAMAMGLISLVGGTLLYRNKPPQRSILTPIAQVLVAATSKRKQVCPSDPRLLHGSHYPKLPSSDNITISENTGDLHHTERFRFLDKACIRIQDGNNTKESAWRLCTVNQVEQVKILISVIPIFACTIVFNTILAQLQTFSVQQGSAMNTRITKSFHIPPASLQAIPYIMLIFIVPLYDSLFVPFSRRITGHDSGISPLQRIGFGLFLSTFSMVAAALMENKRRDAAVNSNKIISIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSLKGMQSFLTAITYCSYSFGFYLSSVLVSVVNKITSHSSGGGWLSDNNLNKDRLDLFYWMLAALSFINFLNYLFWARWNSKDSASSLQYDSNNQDLRHHPIFSSSKIAGDDSIP >CDO97325 pep chromosome:AUK_PRJEB4211_v1:2:18139893:18140381:-1 gene:GSCOC_T00014638001 transcript:CDO97325 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKISLALVSFILLAIFWPSRAQNSQQDYVDAHNAARAQVGVGPIAWDDTVAAYAQNYANQRINDCNLVHSGGRYGENLAEGSGDLTGRDAVNLWVSEKQYYDYNSNTCAQGQQCGHYTQVVWRDSVRLGCARVQCTNNGWWFVICSYDPPGNYVGQRPY >CDO97631 pep chromosome:AUK_PRJEB4211_v1:2:20521182:20523324:1 gene:GSCOC_T00015027001 transcript:CDO97631 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPDEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTIKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFDNVSRWLKELRDHADSNIVIMLIGNKTDLKHLRAVATEDAQSFAEKEGLAFIETSALEATNVEKAFQTILGDIYRIISKKSLASDESAPASIKEGQTLVVGADETNAKKACCSS >CDO97174 pep chromosome:AUK_PRJEB4211_v1:2:17026107:17029796:-1 gene:GSCOC_T00014431001 transcript:CDO97174 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQSREGLELSDSESEYDDDDKGEEESEDQYEDAAAADRTPSKTSSSRSGSITLDEVDSRLKSLKLKYPASAPSPVSENAVKLYLHIGGNTPKAKWVVSDKLTFYKFIKTSKIDKSGGDHEEDWEEESEGEGFWVLKVGKKVRARVSTDMQLKFFGEQRRVDFVDHGVWALKFLADEEYRAFVTKFQDCLFENVYGLRATEDNKLKVYGKEFIGWVKPEESDDAMWEDADEGVWKSPKDSPAVVRESQDLLEEFEEAASDGGIQSLSLGALDNSFLVGDSGVQVVKNFSHGIHGKGVYVKFDNGGKRGSLSMGHSTPKKSLLMRGETNMLLMSPLKDGKPRSTGLHQLDIETGKIVTEWKFAKDGADITMRDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRDRRGMVQNIASADSPVLNWSQGHQFSRGTNFQCFATAGDGSIVVGSLDGKIRLYSRTSMRQAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTLFTDKDGKTKTAFSGRAGNKIPAPRLLKLTPVDSHMAGADNKFHGGHFSWVTESGKQERHLVATVGKFSVIWNFQQVKNSAHHCYQNQQGLKSCYCYKIVLKDESIVESRFMHDKFAVSNSPEAPLVVATPMKVTSFSMSGKR >CDP13962 pep chromosome:AUK_PRJEB4211_v1:2:4630077:4630333:-1 gene:GSCOC_T00039114001 transcript:CDP13962 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPLPSLDPATIKETISEVDTNNDGRINYEDFCTMMRSGTKRPNKLF >CDO96981 pep chromosome:AUK_PRJEB4211_v1:2:15493318:15498437:1 gene:GSCOC_T00014185001 transcript:CDO96981 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGLVTLLRVAWIAGTLPILVASVPCSKLNWFHDFLLGFARRGKTMQQSSSRKFTVPQKFFCHFYALAVIWTTFLLLATWLYAYNMALLVSQPLHFSSITSYLTGGSHSFWLHGSPLMKIEQRYRCWVSIFLLLLMEAQVLRRLYETIYVFKYSPSARMHIFGYFTGLFFYTAAPLSLCCNYVSEVFLFASNLIQELIVKGKDRMQFGEYSWWGFVSPLLNLKWHAWLGAAIFLWGSVHQHRCHAILGLVRDNSEQIEDYIIPYGDWFKYVSSPHYLAEIVIYGGLLVAGGCSDITLWLLFGFVVANLVFAAAETHRWYLRKFDNYPRERFAIIPSFY >CDO97509 pep chromosome:AUK_PRJEB4211_v1:2:19599080:19601762:1 gene:GSCOC_T00014874001 transcript:CDO97509 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNGISPIYSYSDSLVDLPVKFNSRRLFPEGQHPISLFFSLVFWVRTGFSASFCGSQGSLVG >CDP15156 pep chromosome:AUK_PRJEB4211_v1:2:50116667:50119061:1 gene:GSCOC_T00042755001 transcript:CDP15156 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSSPTTYSFGFGQSASAASPFGCQSGFGANTDLSNSNPFAPSGATPFAASTGATMFAGNSTTGAGAFSQPAISSTPFGSASVFGQTTGSYATATACVSASTGLATPSANSAGFGQWINSSPFGSQSVFRQGSNSSNNNFFGTAATPFATSTGGSMFSGNSTGAFGASSFAFGNSASSTTAPFSTTFETSALPSTVFSQAPSSAPVGSQSAFGEVNKFDVNNSFSSKPVTATPLGISGGAIFGGNSTSKSPFGEPLPFSFGISSTTAGAVPSEALEYLPSI >CDO99672 pep chromosome:AUK_PRJEB4211_v1:2:9996762:10000485:-1 gene:GSCOC_T00029337001 transcript:CDO99672 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEIGKRLHSAVWNVILDIDIRNALIFCRGCRILVFEKNLSKIDLMNEYQPKHVRLVIAITMLSAFALLLLAILAWYKLRPKGRREEDTISMPIAHESKSFPHYEEESQEEDLELPTFDLATISAATDQFALRNKIGQGGFGPVYKGELPNRLEVAVKRLSQNSGQGLREFKNEVILIAKLQHRNLVRLLGCCIQGDEKMLVYEYLANKSLDNFIFDRIKRKLLPWSIRFNIVLGIARGLLYLHQDSRLRIIHRDLKTSNILLDREMNPKISDFGIARIFGGEITEEKTRRIIGTYGYMSPEYAMSGHFSVKSDVFSFGVIVLEIISGRKNWGFYDPDHDHNLIGHTWKLWNEKRSIELVDDILDGSFSKDEVLRCIQVALLSVQQRTEERPTMSTVVFMLSNENVELPQPKEPGFVSERSAMKIDSSSSGQDFHTGNHITFTTAEGR >CDP07763 pep chromosome:AUK_PRJEB4211_v1:2:25938783:25942752:1 gene:GSCOC_T00025133001 transcript:CDP07763 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFTRTVRDEGVVSLWRGNTANVIRYFPTQALNFAFKDYFKSLFNYKKDRDGYWKWFAGNLASGGAAGASSLVFVFSLDYARTRLANDAKAAKKGGERQFNGLIDVYRKTFASDGIAGLYRGFNLSCVGIIVYRGLYFGLYDSVKPVVLTGKLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFTQIVKNEGVKSLFKGGAANILRAVAGAGVLAGYDKLQVLVLGKKYGSGGGA >CDP15833 pep chromosome:AUK_PRJEB4211_v1:2:44323386:44335759:-1 gene:GSCOC_T00016704001 transcript:CDP15833 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSDVKGGQQAVGGGGIVGHPGAQGIRGAGSAAGGAASGLNDAVEFFFRTKGDQALSTQLELSLSASKLRDRDIFSKSDPMAVVYAKKRNGTLEELGRTEVIMNNLEPAWIQKISVNYQFEIAQPLIFHVYDIDSQYHNLPVKNLNLKDQDFLGEASCVLSEIVTKRNRTLTLNLHNQDGHGLKNLGTLTVQAEETVASRNAVEMTFRCYHLDNKDLFSKSDPFLRISRIAESGVSIPICKTEVINNNLNPVWKPLCLTMQQYMSKDNPVIIECFDFNSSGNHVLIGKLQKTVAELEHLHKTRSGVNLVLPPSHLRRVEKITEGQLFVDGYIEKQLYSFLDYISSGFELNFMVAVDFTASNGIPHNSDSLHYIDPSGHLNAYQQAIMEVGEVIQFYDSDRRFPAWGFGARTFDGSVSHCFNLNGIPTDSVVTGIEGIMAAYSSTLYNVTLSGPTLFGPVINKAAEIAGGSLSVNQNKYFILLIITDGVVSDIQETKNALVRASDLPLSILIVGVGGADFTQMEVLDADDGHRLESSTGRVATRDIVQFVPMREVHRGEISVVQALLEELPGQFLSYMRSRDIKPHFPDIVHASGQGTLYGV >CDO97467 pep chromosome:AUK_PRJEB4211_v1:2:19260475:19264618:1 gene:GSCOC_T00014816001 transcript:CDO97467 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALLQSFFSTLNSPSHKGLESASLPCLPKSISLNQQSIGFARSQLQFKKNQKTHITNCAPNESHDAKTETPIELRFPAFPTVMDINQIREILPHRFPFLLVDRVIEYNPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGCDLVCEGEFLMATGSE >CDO96914 pep chromosome:AUK_PRJEB4211_v1:2:14955162:14959114:-1 gene:GSCOC_T00014095001 transcript:CDO96914 gene_biotype:protein_coding transcript_biotype:protein_coding MRGECKKLSLVFVVVLVLLLNVENGVLAQPQVPCYFIFGDSLVDNGNNNNLNSLAKANYLPYGIDFPRGPTGRFSNGKTTVDVITEELGFDRYIPPYSRVRGQDILRGVNYASAAAGIREETGRQLGQRISFSGQVRNYINTVRGIVNILGDENAAADYLSQCIFSVGLGSNDYLNNYFMPLIYPTSRQYTPEQYAQVLIQQYAEQIRIMYNYGARKLVLLGVGQIGCSPNALAQNSPDGRTCVARINNANQLFNNQLKALVDTLNNQFTDARFIYIDSYGIFQDILNSPSSYGFTVTNRGCCGVGRNNGQITCLPLQTPCRNRNQYLFWDAFHPTEAANTIVGRRAYRAQKSSDAYPIDISRLAQL >CDP19513 pep chromosome:AUK_PRJEB4211_v1:2:21340367:21343190:-1 gene:GSCOC_T00002341001 transcript:CDP19513 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSNIICDNKHMIVGLSDGSLYNISWKKDFCGVVDLDISLSDGSGADKLSHSLDNGLPSNGAQGVSLPMNYMRKKSAIVHMGFSFSLRLLLLLFCDRQLVSCSASKKGLKQADLIKVEKKLASGYAVCASVASEQQILAVGTKRGDVELYDLTDSASLVHAVSLYGWGKVVCFKLHGRTLICCIQPSPSTIRLSRATALNIIASYFLDDLLGVHQLNFHNLNIQDEN >CDP08651 pep chromosome:AUK_PRJEB4211_v1:2:54118233:54119805:1 gene:GSCOC_T00027690001 transcript:CDP08651 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTNQWTQEIGGLKSMGEIASSPCSRPVVQERKVRPQKDQALNCPRCHSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGTLRNVPVGGGSRKNKRSTTTNTSSHKHPDLNPPTITHFASQNPKIHPQGQDLNLGFPASQDYHGHASKIFELPKVDVSGCNQLKSSSSATSAPFAALEFLRTGIVSRGMNSFITSTIPAADLNALYNAQGFQFQDLKPAAGVSFSVDHHGLGNRFVNAPSGIQENGARLMFPFGAVKQGTSTSAVDQNKGQSDSSGYWNGMLGGGSWM >CDP05234 pep chromosome:AUK_PRJEB4211_v1:2:2620764:2622592:1 gene:GSCOC_T00020205001 transcript:CDP05234 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDMAQEAVKKRRRTTKKPYSRSIVGATLEVIQKKRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVMAKTQKSQGRGNVPKSGGAKGPKLGGGGGKR >CDO99554 pep chromosome:AUK_PRJEB4211_v1:2:11383899:11387619:1 gene:GSCOC_T00029184001 transcript:CDO99554 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLESMLKQTATQMFQAGGIDKPLVTKRRSRMCVAVRASHRSLLPDGVVLNVSSSGATYFMEPKEAINLNNEEVRLLDEEKLEERVILSLLTSEIVQSNSEIKYLLDRILDLDLAFARAAHAKWINGVCPVLSSESFGKSGLSSLLVDIQGIQHPLLLAPFLTKLSDLVPSESRNSAKLYQRNDFFNSKTVSPVPVDIKIAYNIKVVVISGPNTGGKTASMKTLGLASVMSKAGMYLPAQDNPQLPWFDLILADIGDHQSLEQSLSTFSGHISRLCKILETASRKSLVLIDEIGSGTDPSEGVALSASILKYIVDRVKLAVVTTHYADLTQLKGKDSRFENAAMEFCLETLQPTYRMLWGSAGESNAISVAERIGFDEKIINRAQAWINKLTPEKVQMQKGLLYQSLMEERNRLEIQANKAASLHLDVMSIYYEIRNAADDLEGRKATLKTKETQQIQQELKAVKKEIEDIVHEFENQLKNADADKYNLLLKKAESAIASIVETHQPTDGLSIGNSDGRLYVPQPGEQVYVKGLGKKLATVIEAPGDDDMVLVQHGKVRVRVNKNSVGALANADISGGTASNKGVLRNLKSLRSFSKMSNSEEVSYGPVFQTSKNTVDLRGMRSEEAAHYLEMALNSRGPGSVLFVIHGMGTGVVKERALQILKNHPRVAKFEQESPMNYGCTVAYIK >CDO99864 pep chromosome:AUK_PRJEB4211_v1:2:8219834:8223147:-1 gene:GSCOC_T00029562001 transcript:CDO99864 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNSGFVVGILQKNLPTTTGTGSNKSTAAGWVVFFIMLAFDCWWFGSLSPVIFNKMRKIEKKIHN >CDO96850 pep chromosome:AUK_PRJEB4211_v1:2:13928328:13929167:1 gene:GSCOC_T00014008001 transcript:CDO96850 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRVSREQRRIALRRKLHILRKLTNSKSVKKSSIIMDAFLYIYKLKLQLEAIRREYQNLINHIQEVKVEKFGTKFLVRVTCKKGKDLLVSIIEAFENLNLNIFQAKAACKHFFFMEAVVEDEDQVLDVKDVTEAVMTAIQK >CDP15836 pep chromosome:AUK_PRJEB4211_v1:2:44455000:44463941:-1 gene:GSCOC_T00016712001 transcript:CDP15836 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSRTILHQDSITAIIPSVYSLNPFTSHQFKVQPLIYLASAAKPISRISLYNNGFRSISQRKTGAEFKDKNHEQEESSDYSELKQKLDEVGLNYYNSCSPGQYHLLFCPKCKGGRSSVRSLSFHITLDRTSAIWRCFTAECGWAGQVIPGSWTNISGDNQFGKRQKLQPLGDELIAYFAGRMISKQTLEKNCVMQVAGQKDIIAFTYRRNGIIVGCKYRTMDKSFWQEKGTEKTLYGLDDIKEADEVIIVEGEIDKLSLEEAGISNCVSVPAGAPQTVSIKELPTLEKDTGFQYIWNCKKYLNKASRIIIATDADVSGDALAEELARRLGRERCWRVHWPKKDEINCFKDANEVLMNLGPNALRETIYSMQLHHMYLFNETIQGV >CDP18031 pep chromosome:AUK_PRJEB4211_v1:2:46833147:46835306:1 gene:GSCOC_T00008636001 transcript:CDP18031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase-like PAD4 [Source:Projected from Arabidopsis thaliana (AT3G52430) UniProtKB/Swiss-Prot;Acc:Q9S745] MQMREITSNSKSVVFTGHSVGGTVASLSALWFLSCLQSLPSSFSVICVTFGSPMLGNESLSKAILQERWGGNFLHVVAQHDIVPRLLFAPSDSLFPYLCSFFPFWHSSMSNPSFKQLLAQCPDEMQAQFLHIVLNSLEALSAGGLNSGQGNLFWPFGSYIFCTSKGSICLDNAVSVIKMLHLMLAKSSPSSSIEDHLNYENYVGQVYWQILSSKNFQEDDLPDSSYEVGIALALQSSDITPCEAVSGPAKDCLKLAKQMGRTPSLNSANLAIALSKITPLRAQIEWYKVTCDDSDDQMGYYDSFKRRGASKKNSKINMNRHKLARFWDDVISMLEHNQLPHDFHKRSKWVNASQFYKLLVEPLDIAEYYRSGEHLKKGHYMEHGRERRYKIFDKWWRDRKVEGNPGNSRSKFASLTQDSCFWARVEEARDWLNRVRSEGDTRTQSLLWENIEKFDQYARGMVDRKEVSIDVLAKNSSYNLFVEEWKDLKSQLQLFPPHFPSLLDGGGSSSLTIL >CDP16458 pep chromosome:AUK_PRJEB4211_v1:2:30868884:30872694:1 gene:GSCOC_T00018382001 transcript:CDP16458 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFIGQKKIPKPSPQRDNLQEAIWLHNGYVPKVEEHMKVALVTGAYMMLATTSMVGMGDSLTTQTFDWVTNEPLIVRATSVICRLMDDMAGHEFEQERGHLATAVECYVNEYGVTKQEAFDEFNKQVANAWKDINGECLNSNAVPMAVLERVVDLAKVVNLLYKDKDWYTHSATKLKDFIATVPIDPIPI >CDP07635 pep chromosome:AUK_PRJEB4211_v1:2:24130118:24131180:1 gene:GSCOC_T00024952001 transcript:CDP07635 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFGHSSDVILDPRRKKKWFDKKKRACYMIYPRSMVIFWGENEAYWSWEYFQGTSGDYFEIAKLKQVCWFEIEGRLNTSELSPKVDYEAVFVIKLSHWAHGWDTPLRLKLTLPGGKVQERRVPLLEEPRGEWIEIRIGNFQIEKNESSGDIVGNLQNTSGYWKQGLALKGFIIRPVT >CDP15224 pep chromosome:AUK_PRJEB4211_v1:2:49096512:49096760:1 gene:GSCOC_T00042857001 transcript:CDP15224 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVEYRKMVILGWRYPIQVFENIDHLFDFPDGYFYADCFCEKAWSHTTQYHTRLSSALIRFSGRKN >CDP00047 pep chromosome:AUK_PRJEB4211_v1:2:6617450:6620971:-1 gene:GSCOC_T00029819001 transcript:CDP00047 gene_biotype:protein_coding transcript_biotype:protein_coding MFWGPSYCRVDPCRRKPPVPKFTLHGLWPDNYTRNLYNCPGTRYRPLRDQRSIDARDDYWYDYFLPNPPSATGNWRIEQRFWAQEWSRHGTCSQNVFNQQSYFNQAKTLMFTYDLRSILFNPKKGIPLPWPRVSEVMSAISSEIGVTPELRCRYYKNNNMLVEVALCYDVPGRKVMNCPGRGIVFCGRRSSRIYVPMNFKM >CDO99970 pep chromosome:AUK_PRJEB4211_v1:2:7351638:7357226:-1 gene:GSCOC_T00029706001 transcript:CDO99970 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLRDFVLGLLVAVMIFSVSATDGEFSHCHCDDVGGWSIASILECQRVSDFLIAVAYFSIPIELLYFISCSNIPFKWVLLQFIAFIVLCGLTHLLNAWTYYGRHSFQLMMALTVAKILTALVSCATAITLITLIPIILKFKVRELFLTQNVMELGQEVGMMKKQKEASWHVRMLTQEIRKSLDKHTILYTTLVELSKSLDLQNCAVWMPNGNRTEMNLTHQLSPGPSEEYSRTLAINEPDVLEITKNEGVMFLRQDSVLGAASCGGCQPGAVAAIRMPVLLCSNFKGGTPEVVDTGYAILVLVLQSANDRVRLYNEMEIVEVVADQVAVALSHASVLEESQSMREKLEEQNRVLQKAKENAMMASQARNSFQKVMSNGMRRPMHSILGLLSLFQDANLSPDQRIVVDTIIKSGSVLSTLINDAMEISDKDEGRFPLEIMPFKLHAMVREASCLVKCLCLYKHFGFSTEIPNVLPNQVMGDQKRAFQVLLHMIGHLLNVNEGRDSVTFRVDTESGSQERTDRYWDTRRPSTTDEYVNVKFEIEVNVEGSLSDSSIATTHFGGTRHNSKEVKEGLSFSMCKKLVQMMQGNIWMSSDSRGQARSMTLILRFQKQSSFRRHVFELGNPLEQPISSLMFRGLQVILADDDDINRMVTKKLLEKLGCQVTAVSSGFQCLSALGPSAATFQVVVLDLHMPEIDGFEVARRIRKFRSRNWPLIIALSASAEDHLLERCLQAGMNGLVRKPVLLQVMADELRRVLQRAGDGF >CDO97451 pep chromosome:AUK_PRJEB4211_v1:2:19140179:19152019:1 gene:GSCOC_T00014798001 transcript:CDO97451 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRNQLKESGRGLVVSWALCAVCLIGHLSHFIGAKVSWIHAFHSTGFHMSLSLFTLLGPGRKLITDGLKSLIKGAPNMNTLVGLGAISSFAVSSLAALIPKLGWKTFFEEPVMLIAFVLLGRNLEQRAKIKATSDMTGLLSLLPSKARLIVNGDLSVEVPCNNLSVGDQIIVLPGDRVPADGIVRAGRSTVDESSFTGEPLPVTKLPGAEVAAGSVNLNGTLTIEVRRPGGETSMGDIVRLVEEAQTREAPVQQLADKIAGHFTYGVMALSAATFVFWNLFGARVLPAALHQGSPVSLALQLSCSVLVVACPCALGLATPTAVLVGTSLGAKKGLLFRGGSVLERFSAVNTIVFDKTGTLTLGRPVVTKIMTQGHDKDTNTKQDSSLKWSEVDILRLAAGVETNTNHPVGKAIVHAAQAANCPVMKAGEGTYIEEPGSGAVAIVENRKVLVGALDWLKRHGVDESPLQELEETKNQSAVYVGIDDDLAGIIYVEDEIREDASHVVESLSKQGISTYLLSGDKKNAAEYVASVVGIPKDKVLYGVKPEQKKKFISGLQKEHIVAMVGDGINDAAALASAHVGVAIGGGVGAASEVSSVVLMHNRLSQLLDALELSRLTMKTVKQNLWWAFAYNIFGIPIAAGALLPVTGTMLTPSIAGALMGLSSIGVMTNSLLLRFKYSSREKEIGGPSVRIDIPLDADRVVDQDKKLKDPFVSTR >CDO97630 pep chromosome:AUK_PRJEB4211_v1:2:20513430:20517073:-1 gene:GSCOC_T00015026001 transcript:CDO97630 gene_biotype:protein_coding transcript_biotype:protein_coding MREISARRLLRFLVLFTSISLQFISGTSNDSSSSKNGTKTDGQSIKHGSMGSSVAIICLVIVAVVLLSFFLFKFWQKKKREEQYARLLKLFEEDDELEVELGLRD >CDP05081 pep chromosome:AUK_PRJEB4211_v1:2:1398451:1401293:1 gene:GSCOC_T00020005001 transcript:CDP05081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49170, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49170) UniProtKB/Swiss-Prot;Acc:Q5G1T1] MTSLSSPSPAKLFPLQSPIRRPRTPPSHQSLSPTHHRKTKPKFEALKDNLIRHADAGDIKRAISTLDFMARNGLTPDLTSYSVLLKFCIRTRNFHLGKLIHSKILGSKLQLDSIVLNSLITLYSKNGDWLTARNIFETMGEKRDLVSWSAIISCFAHSNMELEAIFTFFDMVEHGEHPNQFCFSAAIQACSNVKYASIGLVIFGIVIKSGYFGSDVCVGCALIDLFSKGFHDLNLAKKVFDQMPLKNSVSWTLMITRFSQISDPASAVQLFLEMVLTGFVPDKFTFSSVLSACAELEWLSFGQQLHSSALKSGLSSDVCVGCSLVDMYAKSTADGSMSDSRKVFDRMPVHNVMSWTAIITGYVQGGGDDWEAINLYRMMMEEGSVKPNHFTFSALLKACGNLTSLGMGKIVYGHAVKLGLAYFDCVGNALISLYARCDKLEDARRAFEVLFQKNLVSFNTIVDAYAKNFKSDEAFELFSGIEDSGVVVDAFTYASLLSGAAIVGAVGKGEQIHARLLKAGFESNEYICNSLISMYSRCGNIEAASQVFRDMSDQSIISWTAMITGFAKHGFAERALELFTAMLSAGIKPNEVTYVAVLSACSHAGMTDEGWKYFHSMSEEHGISPRMEHYACMVDLLGRSGFLDRAVELIKSMPFVADALVWRTLLGACQVHGNVELGKHAAEIIHEQDPDDPAARVLLSNLYASSGQWEKVANIRKGMRARNLVKEAGCSWIEAENKVHKFYVGDTEHPQAKEIYKELDRLAVKIKEMGYVPNTNFVLHEAEEEQKEQYLFQHSEKLAVAFGLISTFHPKPIRIFKNLRVCGDCHSALKYISLATGREILVRDSNRFHHINNGICSCNDYW >CDP06806 pep chromosome:AUK_PRJEB4211_v1:2:36884516:36888965:-1 gene:GSCOC_T00023793001 transcript:CDP06806 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFFTFFLPNSLPPNAIANELYSHSTLSLPYLSLVYSAPSSCGNSSPLRNLRMGSAGETNYGAYTYERLEREPYWPSGKLRICITGAGGFIASHIARRLKTEGHYIVASDWKKNEHMTEDMFCHEFHLVDLRVMENCLIVTKAVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDKKLPIHHIPGPEGVRGRNSNNTLIKEKLGWAPSMRLKDGLRITYFWIKEQIEKEKGHGVDLSIYGTSKVVGTQAPVQLGSLRAADGKE >CDP05026 pep chromosome:AUK_PRJEB4211_v1:2:972124:972565:1 gene:GSCOC_T00019933001 transcript:CDP05026 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKEKPQKKDKMMTKRTPPPSPIQKKKRKERKKMPLSVSSFDITLRTAE >CDO99989 pep chromosome:AUK_PRJEB4211_v1:2:7193298:7193906:1 gene:GSCOC_T00029736001 transcript:CDO99989 gene_biotype:protein_coding transcript_biotype:protein_coding MDFITTPVPGIPISGNIKCGSTNSQLISNCLTMSPGSAPAPAPLPPLSRYENQKRRDWNTFCQYLRNHRPPLAVPLCSSSHVLEFLRYLDQFGKTKVHNQACPFFGIPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGLPEANPFGARAVRLFLRDVRDFQSKSRGISYEKKRKRAKQQVAIKSPAGVTLPCGETNAMI >CDP07803 pep chromosome:AUK_PRJEB4211_v1:2:26707761:26713307:1 gene:GSCOC_T00025193001 transcript:CDP07803 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDHNQPLLSTFYDAHNPNAIDQNNSIIEKKPCSVTADHTFYNTSNIGFSREFYIELKKLWSLAGPFIFASVCLYGLGCFTQIFAGHLGTIQLAAISVENSVIADFGYSILAGTAGALDTLCGQAYGAKQYDMLGIYMQKSWFILTSATLGNLSLFIFATPVLKFLGQTATISTEAGRFALWMIPQQFAYALMIPTTKFLQAQSKVKEMALIAAVALSLHAFLGWFLILKIGWGLPGAALMLNSSWWFVAVAQFLYIISGSCGQTWPGFSWKALTNLSGFLKLSVLSALMQCLSTWNGMAVTLLAGYLKNAEVSVAALSICFNILGIATTVGTGFSAAVSVRVSNELGSGRSRAAKFAVMVVGIFSTLFGLLFALVLFLQRKQYPAIFSDDEQVKQLVEELTPLLGTHITFSCIPANENFNINRLISKKFGKSLIQLDFLSKLFFFFFSYMNIGCYFLIGAPLGIVLCFKFDLGLKGLWYGLLLGSCLQILGILLVVCLANWKKEAYAARKRLEQMGGKMLPQDDEET >CDP05223 pep chromosome:AUK_PRJEB4211_v1:2:2527874:2532017:1 gene:GSCOC_T00020189001 transcript:CDP05223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase inhibitor 2 [Source:Projected from Arabidopsis thaliana (AT5G52200) UniProtKB/Swiss-Prot;Acc:Q9LTK0] MTVQEKVCIIWTGRVRWDEANLGEIEANKPVRQKITEPKTPYHPMIDDDGSLSPIRGGSFDDGLAADPVNAEAICFALNDMASSSKTTSRRSGWTSSEDEADAMDQDEDSDSERSRTFREQRRAHYDEFRKVKELRRKGSLLEDASEDEDENGERKDKNDPSALADGVKDIEIEGDNDATGSSKPATGSSKPANGT >CDP18025 pep chromosome:AUK_PRJEB4211_v1:2:46773939:46777293:-1 gene:GSCOC_T00008628001 transcript:CDP18025 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEQKENSNKYSIIIPTYNERLNIALLVYLIFKHLPNVNFEIIVVDDGSPDGTQDIVKQLQSVYGEDRILLRARPKKLGLGNFVVIMDADLSHHPKYLPNFIKKQMETGADIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYRKSVLEDVIGSCVSKGYVFQMEMIVRATRKGYNIAEVPITFVDRVYGSSKLGGSEIVEYLKGLIYLLLTT >CDP18220 pep chromosome:AUK_PRJEB4211_v1:2:48242403:48242555:1 gene:GSCOC_T00011776001 transcript:CDP18220 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKMEKGRVCVTGGTGFLASWLIKRLLEDSYSVNATIRSSLGSCLLIY >CDP04964 pep chromosome:AUK_PRJEB4211_v1:2:452409:453861:1 gene:GSCOC_T00019842001 transcript:CDP04964 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLVVPAFARTKQPEHVVEREDGEILKKRNEELEKELQKAMEREKRLREELQRTWQRLRVAEEAEERLCSQLGELEAEAVGQARSYRASMMALMDQLSAAQKLLQGASIAVPVAPTPQVL >CDP05040 pep chromosome:AUK_PRJEB4211_v1:2:1076593:1081001:-1 gene:GSCOC_T00019954001 transcript:CDP05040 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMAGAGDSHFLSLENLKSKPLKLVRGEASFPESYPSRCSGRNPSEALLFVKTSTSLMALSSPQLRRFLLNHRGYCNYELNNKTTKNTVAVKGGGGRCCSAIAIDAPSSLTGVSSIRWGSSLVQGVREEMEDDVIIVRSEDLAGFSYAAVFDGHAGFSSVKFLRNELYKECVATLQGGLLLREKGFDTVKKALEEAFESADMKLLNWLETSAEEMDSGSTATVILIGDDTLFISHVGDSCVVLSRSGKAEVLTSSHRPYGSNKASLQEIRRIREAGGWISNGRICGDIAVSRAFGDMRFKTRKNEMLEKGVEEGRWSQKFISRIQFTGDLVTACPDVSQVALESDAECVILASDGLWDYVNSSDAVDFVRNQLREHGDVQRACEALAQTALERGSQDNISIVVADLGRTDWASVPLRQQNLVYELAQALATIGAVSIGIWMTSQLNL >CDO97539 pep chromosome:AUK_PRJEB4211_v1:2:19799062:19801132:1 gene:GSCOC_T00014914001 transcript:CDO97539 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPRSRVVVNGVQRMRTYHFYWCRHCQRSIRTTTTNPSEIICPRCFGEIRHELDVSRPRLLSEDLARPETTSASQLLDALAFMLDPSIRRQNLDETHQRHRARVIIQFIGPDQPPRHVPQLGVGHAVSSQTNSREEGLEALIQELTQNDRPGLPPTPASAIDALPTIVLTPTHLAIDSHCPVCKDEFEAGEEVRELPCKHFYHSDCIIPWLHIRNSCPVCRYQIRGLSNSDFREEQADNFQEEEEVSSSPHRNWLLRVFSLWPFSLFGDWAYRHFNYLDNWPTTDHQDLYRWFSWHMP >CDP16357 pep chromosome:AUK_PRJEB4211_v1:2:28461688:28462015:-1 gene:GSCOC_T00018188001 transcript:CDP16357 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDPCSEYYVYSCLNLPKIQEAIHASVTKLHYDWEPCSDVIGHWEDRASTVLPFIKELMESGICVWIYR >CDP04915 pep chromosome:AUK_PRJEB4211_v1:2:84618:109259:1 gene:GSCOC_T00019784001 transcript:CDP04915 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQILMVYGLPADRIYATYFGGDDKSGLPADFEARDIWLKFLPNEQVLPFGSKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNHDDPTVIEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFLPIFDAIQQATCARAYSGKIGADDADNMDMAYRVVADHIRTLSFAIADGACPGNEGREYVLRRILRRAVRYGTEVLKAKQGFFSCLVKVVVEVMGDVFPELRQHEAHIINTIAVEEASFGRTLLHGIEKFKKAAQDVQGNTLSGQDAFVLWDTYGFPLDLTQLMAEERGLVVDVNGFNIAMNEARERSRNAQNKQAGIGIVMDADATTSLRKKGVDATNDTFKYAWFQDHESEIKAIYGGNEFFQSASGDFKVGIILESTSFYAEQGGQIYDTGFLEGPSGLFQVSDVQIFGGFVVHIGSFCGKTDKFSIGDRVICKVDYDRRANIAPNHTCTHILNFALREVLGNHVDQKGSIVLPEKLRFDFSHGKPVKPEELRKIETIVNDQVKAEMDVFSKEANLADAKRVNGLRAVFGEVYPDPVRIVAIGRSVEDLLADPESENWLSISAELCGGTHISNTRDAKAFALLSEEGIAKGIRRITAVTTVNALNAIELASLIEQEVNETFKMEGSLLEQELELSLQISSFSENGKLDESRVSFKKFFLCIDPRNCKLINGRVEEKVGNFGLVIYDTGFLEGPSGLFQVSDVQIFGGFVVHIGSFCGKTDKFSIGDRVICKVDYDRRANIAPNHTCTHILNFALREVLGNHVDQKGSIVLPEKLRFDFSHGKPVKPEELRKIETIVNDQVKAEMDVFSKEANLADAKRVNGLRAVFGEVYPDPVRIVAIGRSVEDLLADPESENWLSISAELCGGTHISNTRDAKAFALLSEEGIAKGIRRITAVTTVNALNAIELASLIEQEVNETFKMEGSLLEQRVTKLNGRVEGAAIPTVKKTDLKAKISVLQNQIIKAKKKIAEENIQNAVKVALEEAEVSSSHGKTYCITHVNVGADTAAIREAVLKVTEQKGMAIMVISKDGTANKVVACAGVPEKIDQFKQLDAKEWLTAALAPLNGKGGGKGRLAQGQGSELSHVTEAMDIAASFAALKLK >CDP13919 pep chromosome:AUK_PRJEB4211_v1:2:4356746:4363321:1 gene:GSCOC_T00039061001 transcript:CDP13919 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDCGRCWSTSKKTSWKNTLLLAYQSLGVVYGDLSISPLYVYKSSFAEDIQHSETNEEILGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTIALYSLICRHAKVSLLPNRQVADEALSTYKLEHSPQAKNSSRVKLLLEKHKSLHTALLILVLLGTCMVIGDGLLTPAISVFSAVSGLELSMSKDRHQYAVIPITCFILVCLFALQHYGTHRIGFCFAPVVLTWLLCISALGLYNIFRWNPHVYKALSPYYMFKFLKKTRKRGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTFMVYPALILAYMGQAAFLSKHHDTNYQIGFYVSVPEHVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWTLMVFCIAVAIGFRDVKHMGNASGLAVMAVMLVTTCLTSLVIILCWHKPPIVALCFLLFFGSIELLYFSASLIKFLEGAWLPILLALFLVSVMFVWHYATVKKYEYDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPPAHRSYRCIVRYGYRDVHQDVDSFESELVSKLADFIRFDWFKARGIIDTCNEEDGSRSGASSGDYKLAVIGTVGFAGTPAFEIEEDLQPASVSVGFPTIDSVTDAIEMEPVGVVERRVRFAVGYESEADSRPEMDIQLREELEDLCGAQQAGTAFILGHSHVRAKQGSSLLKRLAINIGYNFLRRNCRGPDVALKVPPASLLEVGMVYVV >CDO96888 pep chromosome:AUK_PRJEB4211_v1:2:14425608:14438188:1 gene:GSCOC_T00014059001 transcript:CDO96888 gene_biotype:protein_coding transcript_biotype:protein_coding MAERTISHSSSQIILVFLLIFSIVLLFQASCSEAQSGFLPEQEVDALKEIASQLGKKDWNFSLNPCDGNSNWNTSRRIDMPLYNNSVICNCSFPGGVCHVTKIFRFQSLSCYLILCHRFLKGQNLQGVLPPSLAKLPYLNTIDLTRNYLSGTIPLEWASTQLEYLSVIVNRLSGPIPGYLGNLKTLVYMSLENNMFNGTVPAELGKLVNLENLILNANNLTGQLPKELNNLTKLTELRLSSNNFTGNLPDFSSWKNLTKLELQASGFQGPIPPSISVLKNLTELRISDLGGGASDFPLLRDMTSMQKLMLRSCNLVGKIPYYIINMMTLQTLDLSFNKLEGEVPNLEGLEGLQFMYLTRNSLSGTIPDWITGRDTQHQIDLSYNNFSESSEPSTCRETLNLFKSFTGGKTSELGKCLLQSFPCSKDYYSLHVNCGGKSTVIGNKVFEADEDSAGAAKFVPSKENWGTSSTGDFWDVTTSIANYTANNVSVLRVNDSDLYTTARLSPLSLTYYGRCLANGNYTVTLYFAEIILRDDQSFQSLGRRMFDVYVQDERKLKDFNIEKEAQGVDRVAKEEYTGVVVKDGILEIRFHYSGKGSTAVPTRGTYGPLISAISVVSEFNPPSKGKKKIFIAVGTVASTLLIVLSILGFAWRRWHSRQKISREEELRGLDLRTGLFTYRQIKAATDNFSATNKIGEGGFGAVYKGMLLDGTVIAVKQLSPKSKQGNREFVNEIGMISGLLHPNVVRLYGCCIEGDQLLLVYEYLENNNLARALFGPQDLQLELDWSTRQKICIGIAKGLSFLHDESALKIVHRDIKTNNILLDKELNPKISDFGLAKLVEEENTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGIVALEIVAGKSNMSYRPSEDYVCLLDWALVLQQRGSLVELVDPRLGSNINEGEAIRMIKVALLCTNPSPALRPTMSAALGMLEGRISTQEFSSDPSVYNDGLNLQGLRDKYDELQFSSIEPQSFSTSSDTKESSALTSSTDR >CDO99816 pep chromosome:AUK_PRJEB4211_v1:2:8655372:8658672:-1 gene:GSCOC_T00029510001 transcript:CDO99816 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEGWIAPFEEELAASHPSSTLQRGGFDPQAGIYHSLHKLKGTNQKIPIRPNLDTAAFVLSQFPPAGQAEKLVALIDSATNQRVTYAQLKLSIYKLATGLYHGLGVKKGDVIFVLSPNSLLYPTICLAVLSIGAVLTTANPLNTASEIAKQVRDSGTNLAISTPEEADKLLPTGVPTLLTSRSKDARPALSDTAVILYSSGTTGASKGVEITHSNLMYIVILLRWSAEVSSSLDDVFLCFLPMFHVYGLAFFALGLLAFPPVILALVVDVETGVALPPFRKGELWFKSPAVMKGYLGNQEATAATINADGWLQTGDLGYFDEDGCLYIVDRVKELIKHNGYQVAPAELEATLLSHTDILDAAVVPLDDEEAGQIPIAYVVKAANSELTEDQVIQFVASQVAPYKKVRKVSFIDAIPKSAAGKILRKDLVSQGKQKFVSRL >CDP00156 pep chromosome:AUK_PRJEB4211_v1:2:5700073:5709541:1 gene:GSCOC_T00029974001 transcript:CDP00156 gene_biotype:protein_coding transcript_biotype:protein_coding MENLAEKSKQRDGSSSDLHIDSTSESKNSSTYSSKSSSDASSFEAKARDCASSPVPKGWPLPKPPPTKSFKIDEDKLQTKDDEKIKLRKFGSRLSDLEMMKEKFAKLLLGEDMSGSGRGVCPALAISNALTNLCATVFGQLWRLEPLPPERKLMWQKEMECLLAVSDHIVELIPSVQTLPDGTKLEVMTSRPRTDILINLPALRKLDYLLLEILDSFTTSTEFWYVDQDVETPEADGSASFRQVIQRQEDKWWLPVPRVHPSGLLDDTRKKLIHKRECAAQVLKAAMAINSTALAEMEVPESYMANLPKNGRSCLGDVIYRYITSEQFSSECLLDCLDLSSEHVALDIANRVEASVYVWRRRLRPRNQIYHSRSTSKSSWDMVKELMIDGDKRELLAERAENLLLGLKQRFPGLSQTALDVSKIQYNKDVGMSILESYSRVLESLAFNIVARIDDLLYVDDLTRQSDNLSSTPRVSRIAQRKAGNPYAVPVSGTPCRTAFTTPNFSPAPRASSPRGERTPLISSTKLPRRGLGVKRVLTNYLGGELKVKNCSLLLEGLICPGNVKIPITSNRKTLHNKLESAKATFFGKAQYSYQRIECNFNNSSNYPPPSQQLIRSSTARPIRKKGKKMSDAGVPKLATTIHVTALDGIVNVNSLFTLAVFIGLSWNPKDPDNRLNSDPNCQAGPKVAENLVAFHVYSFSSFLFSSLVALGLKQAIRLSRSAHYRTIFTLDLAHVNKSALRVGYLVSAAGSASGCLFLMLALINVVQIKLGTLSCGSPHSLGAVVPLLIFVPVALLIYVSIVIYAFTQ >CDP05286 pep chromosome:AUK_PRJEB4211_v1:2:3013727:3015355:-1 gene:GSCOC_T00020277001 transcript:CDP05286 gene_biotype:protein_coding transcript_biotype:protein_coding MPVILTLWGDHETDEGQAIANMIQSMPLIVGLRLRVSSYHTLNLSTKFGSSVLVNPPIQQGSMLRQWAMENKTQINDLITQRAYLNRTSLLPQPTEDELCTIKEFLNLPMKKAYWIQGSPRFLDKSQRLWYNACSNCHKSFRAKPQWKIICTSCNKNVNILPRSRLTIELADYSGNLTLDLYDNDAQQLLPFTLPQIQELEGKHQLDYTAIEQAIESSILTCFVKKMTGNRGPADTEKYAAIIVHKANAAELISSTSRNSASSSTPSTNPLTAPESSNLTTSSTILNATA >CDP18044 pep chromosome:AUK_PRJEB4211_v1:2:47305267:47307541:-1 gene:GSCOC_T00008659001 transcript:CDP18044 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDPKPQNQMEGSEEQRLKYLEFVQAAVLHLVLCAASVYAYAKDNSGPLKPGVQRVEGTVRTVVGPVYNKFHDVPFALLKLVDRKVDESVSKMENYVPPLLKKAPAAARTVASEVKSAGVMETASGLAKNAYVKYEPAARELYTKYEPVAEHYAVSAWRSLNKLPLFPQVAQAVAPAAGYCSEKYNQTVQQTAEKGYRAASYLPLVPTEKIAKIFTTEEAEPRVGGGDSAVEAH >CDO96764 pep chromosome:AUK_PRJEB4211_v1:2:12876933:12883872:-1 gene:GSCOC_T00013886001 transcript:CDO96764 gene_biotype:protein_coding transcript_biotype:protein_coding MANNYQGRGTLVRKLSCGITKLRISPIWAPHFQRREQSSQAYLGIFLWETSFSALVLIPRSFCGVMGVIELLGNTTLSSLCIDRPKPIWPFEGKQQSICSRGNRGLQTSISIMASSTVPAAAVNEKDDGEQLECLSKVSGDSFIRSHLRKLKPYQPILPFEVLAAQLGRKPEDIVKLDANENPYGPPPEVFEALGAMKFPYIYPDPESRRLRAALAEDSGLGSEYILAGCGADELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAAVNGAFVVKVPRNSDFSLDVERIVQVVEQEKPKCIFLTSPNNPDGSIIGDDTLLKILDLPILVVLDEAYIEFSGIESKMQWVKKHENLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALRNSAYLEKVKVALVQERERLFKLLKEVPFLNPFPSYSNFILCEVASGMDAKKLKEDLAKMGVMIRHYNNKELSGYVRVSVGKPEQTNALMKCLKCLS >CDP00103 pep chromosome:AUK_PRJEB4211_v1:2:6213168:6216286:-1 gene:GSCOC_T00029898001 transcript:CDP00103 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEDLLMQPSEDKQKIQDLEDEVEKLQQELDGELQLQRVLQCALQQPIHSCQCLSSLLPPKVHVLLKELAMIEEEIIWLERKVDELKLNLYQEKKQTQEWEMLRFKELPPRCAPSSNKELISKQKIRTDYPQNRKPRILQERRTSFGSSIDFRSSSFFGSSEILDQKSRCSSSRSWESHIPLDIEIEIDFEKPNKLSEELIKCMIGIYLEMKQASFEMKGSAIVPKCSLSSMKPRGLMSKTSFSCTVSPFSWDSDKSNIDPYRILQDLDGSIRDIGPYKDFVQITRNSVDKSYLSECHPAMRKLRVLMHKLSNVDLSYLTYKQKLAFWINVYNACIMHAFLQLGFPSSQDKLLTAMNEAVIIVGGIVLNALAIEHFILRHPTEQKQGLAREREMLLRHAYGLGYPEPNVTFALCRGCWSSPALRVYTPEEVVNELERAKVEYLEAAVGVTGKKRIIVPKLMQWHMRDFADNMESLLEWIYSQLPQSGSLKRLIMECLNSDKKSPIAKIMDSQPYNFEFRYILSPYE >CDP13915 pep chromosome:AUK_PRJEB4211_v1:2:4317543:4320903:1 gene:GSCOC_T00039055001 transcript:CDP13915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Mn] 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10920) UniProtKB/Swiss-Prot;Acc:O81235] MALRTLVTRKALGNSVAFRQQLRGLQTHTLPDLPYDYGALEPAISGEIMQLHHQKHHQTYVTNFNKALEQLDDAINKGDSPTVVKLQSAIKFNGGGHINHSIFWKNLAPVREGGGEPPKGSLGWAIDNHFGSLEALVQKMNADGAGLQGSGWVWLGLDKEMKRLVVETTANQDPLVTKGSSLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASDIYEKECP >CDO97625 pep chromosome:AUK_PRJEB4211_v1:2:20464516:20468610:-1 gene:GSCOC_T00015017001 transcript:CDO97625 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLVASLLQAKWLRVRYLYMQDYDICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGKPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKCLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDELRDPNSRLPNGRPLPPLFNFKPQELEGIPAETVRRLIPEHARRQNLFMALHS >CDP06840 pep chromosome:AUK_PRJEB4211_v1:2:38369064:38370770:1 gene:GSCOC_T00023854001 transcript:CDP06840 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKCTLELPLSPASASSESTDFHSQSEACSSRRDQITVLHNMRSVCICDATELQAIIILWLARREVDDKLSSFTGAVPSIMKVQLHSSSGLSLRKSLQRFLQKRKDRIQSATPYKHKFL >CDO99855 pep chromosome:AUK_PRJEB4211_v1:2:8283344:8285504:-1 gene:GSCOC_T00029552001 transcript:CDO99855 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLDPTTFQTVVPSRYITFTFPNPLFLPALPHCSHLLHTSLLRIAVLDSPSPISTTPRVAAMLVPPRREQDWTFSTETGHLQLLLSFPYLSRLILISNNDINNPSHPTSYKAPPLSTTTENPSTTTTIEENLLPLLLALTPKSAFDRSNGFPEIPFLSYEDEVISSLVLEICVGPCVGEMLIENVLLESESNKNNKGREFRRRLRFKRMPNLIQSQVRIHPISELGVVGDELEGVEFTVDNGVLVQPYLNPMVAGLVVISSYLDEQIRCGIRPRVLCLGVGGGALLRFLSDQLGFDVVGVEEDEVVLSVAKKYFGLRHSESVHLCVGDGMELMQRLALKGQVDVDSKFDVVMVDLDSSDARMGTSAPPLDFVRKSVLLVAKEIICQRGVLIINVIPASKSFYERVVTKFHEVFEELHEIDVGNGENMVLAASRLSKMRTAPIDFENSFHQKLEASIQGSYMNSVRKI >CDO97617 pep chromosome:AUK_PRJEB4211_v1:2:20412622:20416471:1 gene:GSCOC_T00015006001 transcript:CDO97617 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDTTKPCRLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTVIETPPMIVVGVVGYVKTPRGLRCLDTVWAQHLSEEVKRRFYKNWCKSKKKAFTKYAKKYETEEGKKDIHAQLEKMKKYCCVIRVLAHTQIRKMKGLKQKKAHVMEIQVNGGDVAKKVDYAYSFFEKQIPVDAVFRKDEMIDVIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYRLGKGGQESHTAITEFDRTEKDITPMGGFPQYGVVKDDYLLLKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFVDTSSKFGHGRFQTTEEKAKFYGRLKA >CDP17963 pep chromosome:AUK_PRJEB4211_v1:2:43963926:43967475:-1 gene:GSCOC_T00001208001 transcript:CDP17963 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASTVHIFTLFLICIPFSNSIDFNYPAVFNFGDSNSDTGGLVAGVGESLLPPNGQTYFKKPSGRFCDGRLIIDFLMDALELPYLNPYLDSIGAPSFKRGCDFAVAASTILPATANAISPFSFGVQVDQFIRFKSRVLDLQPKGRKSDKYIPAADVFQKGLYTFDIGQNDLAGAFYSKTYDQIIASIPTILAEFEAGIKKLYDEGARNFWIHNTGPLGCLAQNIAKFGTDPSKLDELGCVITHNQASKLLNLQLYALCKKLQGQYSDANVTHVDIFSIKSNLIANYSKYGFEQPIMVCCGTGGPPLNYDSRITCGQTKVLNGTSVTVKACNDSTEYVNWDGIHYTEAANHFVASQILTGKYSDPPFADQMPFLLKLKF >CDP15824 pep chromosome:AUK_PRJEB4211_v1:2:44225509:44227493:-1 gene:GSCOC_T00016691001 transcript:CDP15824 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTDSESIRVNSINMHIAELVAGPLVLFLHGFPGLWYSWRHQILFMATRGYRAVAPDLRGYGDTTGAPVNDSSKFSTIHVVGDLIAVLQAIGPDKEQVFVVGHDWGALLLGIYACLGLIRSMTCLVESLSRAVVLCSFYDVVTELSQATYAQTKQEKYNIFVLKRIEDCSLTIPLCGKSGTEEWIKAFGGSPDTPVVLPSWLTDDDVDYYVSKFDKTGFMGAVNYYRALNHPLLLNVLFEANASVKFGDHTLILSSYATMQTNQITDSILYHGGFKKDVPFLEEVVAIRDVARFINQEKPDEISKHI >CDP05000 pep chromosome:AUK_PRJEB4211_v1:2:775415:776752:-1 gene:GSCOC_T00019898001 transcript:CDP05000 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSRSSLFFLVGAVIFFFLLNTSLAEIRSTEIRSDSRSTIPFDEFGFTHSGRLNLTVTRISFSKPQLHLSQLGFYLCTRDSWAQVVQQLQDGDIQCPLQSQHIKRVFNFNHLKPDHNPTPYSFEASVKVSDANLFTLLFSNCLPDLEVSMDVHSVMYNFNPKTGQLDFLSAGKTCLPLVYFLFFLAYLAMAAVWVYTLYNNRLTAYKIHFFMLSVVILKALNLLCETEDKSYIKRTGAAHGWDVLFYLFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLIIVIPLQVVANVAQVAIDETGPFGHNSGTWKKVFLLVDILCCCAVLFPIVWSIKNLREAAKVDGKAAVNLMKLTLFRQYYIIVISYIYFTRVVVYALETITSYNFQWTGPVAAELATLAFYLFTGYNFRPKVQNPYFAIDDEEEEAAAEQLKLEDEFEL >CDO99663 pep chromosome:AUK_PRJEB4211_v1:2:10108702:10109046:1 gene:GSCOC_T00029328001 transcript:CDO99663 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGNLAEFEDFFPAMAEKLGAEGFLDELCNGFRLLMDEKKGLITLESLKKNSALLGLQDMKDDELLSMIEEGDSDGDGNLNEMEFCVLMFRLSPELMKTSRMFVEEAILNEL >CDP13932 pep chromosome:AUK_PRJEB4211_v1:2:4453141:4454193:1 gene:GSCOC_T00039076001 transcript:CDP13932 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERERLEEIGKKIKRESEVFSSQLGRRHMLGGDGGGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHRVFGASNVSKMLMEVPESQRADAANSLVYEANVRLRDPVYGCMGAISTLQQQVQSLQAELNAVRAEILQYRFRETSNINIHVPSNPNLALLSSGAVSIAALPPTPPHPPPPPPPHLPPPPPSLPHTSPSSSMYSPPSSTAADFSTISNENISFFG >CDP08770 pep chromosome:AUK_PRJEB4211_v1:2:53177773:53180396:-1 gene:GSCOC_T00027862001 transcript:CDP08770 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01310) UniProtKB/Swiss-Prot;Acc:O04603] MASSPTLLHSTASSFCGQFPPQSVRLPCGDLRTTRPGGRLSVKATAATVLVEKTEAKQVNRLKGRYLQKIVPLLKEEFNYTNIHQVPKIEKIVVNCGIGDAAQNAKGLDAAMNDLALITGQRPVKTRAKNAIATFKIREGQPLGIAVTLRGNVMYAFLDRLINLGLPRTRDFQGASPNSFDGHGNYSIGFRDQSVFPELSYDALGKPRGMDVCIATTANTDKEAQRLLALMGMPFREGSTGPATVVRKKKLKAHHFDSKAKQRARR >CDP15214 pep chromosome:AUK_PRJEB4211_v1:2:49176302:49179975:1 gene:GSCOC_T00042842001 transcript:CDP15214 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPPAVTIHVTGFKKFHGVAENPTETIVSNLKEYVKKRGLPKGVILGSCSILETAGQGAVVPLYQTLQSAISTAENESSTPGRVIWVHFGVNSGATKFAIENQAVNEATFRCPDEMGWKPQKVPIIPADGGISRARETSLPVEEITKALAKMGYEVMTSDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHVPLFFTIDEETQMQFAASLLEVLASLS >CDO99514 pep chromosome:AUK_PRJEB4211_v1:2:11766965:11775804:-1 gene:GSCOC_T00029123001 transcript:CDO99514 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPEIVDFARNFAVMVRIQGPDPKGLKMRKHAFHHYNSGNTTLSASGMLLPGFSYYPSRTKEIGNENWDAESVRNSVLVLTVASVIEPFVSKQHKTDIYKDKPQLLFGVQIEILVEGKREIDVEITKGKTTQWIPAELLTMVDIPVSSSAVQSLIETASGSLEHGWEIGWSLASYASVPQSVMGDAQSEVEQSPFQMQGQMRGSESSNPSILAAMTTRMALLHVSKKFCKVLPELNTSPRSQKGDILLAMGSPFGILSPVHFFNSISVGSIANSHPPSSSDRSLLMADIRCLPGMEGSAVFGEHGQVIGILTRPLRQRTSGAEIQLVIPWEAIASACSDLLQEEPQLTWKEISYNHGNLNDVGKMSTEGFAIDRSLKHSHESLLSGSLSPSPVERAISSVCLITIDDGAWASGVLLNKQGLVLTNAHLLEPWRFGKAASSREIDTEKSKLVSFPCEESLFGDVKFNNHWRNKDFLSGGMKNEDSSRGDEQGRSIFKVTKSISRKSIRIRLDHRDPWLWVDARVVYVSKGPLDVALLQLEFVPNQLHPITVEFKCPLPGSKAYVIGHGLFGPRCEFLPSACLGVISKVVEAKNELHHQFSLDTNMDGQFPAMLETTAAVHPGGSGGAIVDSDGHMIGLVTSNARHGGGAVIPHLNFSIPCAPLEPVFKFSQDMQDLSLLDDLDRPNEHLSAIWALMPPLSPKPDPSLPSLPKLQIGDNEKDMKGSRFAKFIADRHNLLKDQSGKVEHLSNKFIPSKL >CDP14068 pep chromosome:AUK_PRJEB4211_v1:2:5532421:5536469:-1 gene:GSCOC_T00039255001 transcript:CDP14068 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSALLQNLALLSESIGNELSEAHYTPPPQASNVSIKSLLFSLLTSNAEFSSETDVIKAKTRDFILCCGALASALDSAYDQLSWIPSSLSSAATSALKDLAVAYYDSFHGGDETVKIGGELELDLKLVPKEKRLVVEFMPQVLPLLKDKIKESSIGTADDISAASAGVPVAYAMVAAYQLRWLVTQVDYPYLGRLCALVIPSALTALDHWSPQVKGQGMLSFIHLAKNVNAAEIGWYEDVILDACCQNIASSEEIWQDVVEMSVLLVTFTQRSNPRSPWYEKLLNEMLNHLERQPRNVERRVVWLKHIEPLFNSVGLILLAHFRRFFPLFFRWMHADDDDTVLLVLKRIKTILRLTWVRSSPYTERLVDELVTLHKEAALRVAREDIRTLILDILFLIQQSRGSQFEALWNKHKEDPDLTAFHELFTRKDVALVQFSSSAA >CDO96826 pep chromosome:AUK_PRJEB4211_v1:2:13554622:13558469:-1 gene:GSCOC_T00013973001 transcript:CDO96826 gene_biotype:protein_coding transcript_biotype:protein_coding MIPASLSKCSKLRVLGLSHNNFSGVMPEEVGNLTALQELYLNGNNLIGVIPEGFGNLTALKQLYLDENNLIDFIMFVIFKHINLQKYMHLSERKNNSMLIIYANLTFYSCTNICKRGNPLGSIPQELGRLKHLEVLALNYNSLTGSIPAQIFNISTLRELDLSNNTLSGRLPSSTGYGLINLEGLYLFSNEFDGVIPASISNASKLTLLGLARNRFSGPVLNSLGNLRLLRKLYLDNNHLTTEPSSRELSFISYLTNCKYLKILDFYENPLHGFLPMSVGNLSTSMEGFYAYGCGIKGSIPDGIGNLSSLIVLNLGGNHLSGPVPSTMEYLQNLQALFLSDNQLSGSIPDRICKLKRLYQIYLGQNQFRGSMPSCLNNISSLREIDFAGNLLDSSIPASLWKLTDLLRLNLSYNSLSGSLPYETGNLKVVTLLDLSGNQLNGNIPSSFGGLQSLATLSLAQNKLQGPIPDSLNEMFSLEFLDLSNNNLSGTIPKSLETLLYLKHINLSFNRLRGEIPSSGPFEKFTYESFMSNDDLCGAQRFHVPPCPSPGIHKSSQKKVFHMLGILSGIGATIIALTTAAILLLRCRRKDGISRNTDLLPMGLPKMISYYELAQATNGYDESNLLGKGSFGSVYKGILTDGTVVAVKVFTLLAEVTFGSFDTECEVLRNLRHRNLTKVIGSCSNLDFKALVLDYKSNGSLEKWLYSHNHRLDLLQRISIMMDVASALEYLHFSYTTPVVHCDLKPSNILLDESMVAHVSDFGMAKFLDEENSVLHTKTLATLGYLAPEYGLEGQVSTRVDVYSFGIVLMETFSRMKPSDEMFKDDLSLKSWIEESLPNGTAQVVDANLLGQQDEHFNEKLECISMIFKLALSCCAECPRDRTNMKDVVAALQKIKRQLESFPNISA >CDP18035 pep chromosome:AUK_PRJEB4211_v1:2:46988485:46990443:-1 gene:GSCOC_T00008642001 transcript:CDP18035 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFIWTTFILVAAVLLLDSLWRKKKNKKLPPSPRGLPILGHLHLLGKNPHHDFHKLSKQHGPIMHLRFGFVSNIIVSSPHAAEQFLKTYDLVFASRPPHEAAKYISFGQRNLSFGQYGPYWRNMRKLCTLNLLSNLKINSFQSMRMQELELLLESLKQAARNCDVVDISAEVAALNANMSCLMVLGKKYADKEFDERGFKAVIKEGMQLSATPNLGDYYPYLGVLDIQGLTRRMKAIGKVFDEFFEKIIDEHEQYANQTRHVDDFVYTMLALMKSGETEFQFDRRHIKAVLLDMLAGSMDTSATVVEWIMAELLKNSRVMKKVQQELDEKVGLDRMVEESDLDNLRYLDMVVKEALRLHPVAPLLIPHAAIEDCTVDGFHIPKDSRVIINVWAIGRDPNAWSDPDMFIPERFSGNSIDIRGHDFQLLPFGSGRRGCPGIQLGLTVVRLLVAQLVHCFTWELPNGMLPSELDMTEEFGLVVTRAKHLMAVPTYRLSK >CDO99869 pep chromosome:AUK_PRJEB4211_v1:2:8181713:8183794:-1 gene:GSCOC_T00029568001 transcript:CDO99869 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLSSSSLSPYYNIIFAALAFFLSGLVFLVSSKSKSKRLNLPPGPPGWPVVGNLFQVARSGKPFFQYVRDLLPIYGPIFTLKMGTRTMIIITSADLVYEALIEKGQIFSSRPRENPTRTIFSCNKFTVNAALYGPVWRSLRRNMVQNMLSATRLKGFKDARDSAMDKLIERIKSEAEATDGAVWVLKNARFAVFCILLSMCFGIEMDEKMIETIDQMMKTVLLVLDPRLDDYLPLLSPFFSKQRKRVQEVRREQIELLVPFIEKRRNAIKNPGSDKTAASFSYLDTLFDLKIEGRKSAPSNPELVTLCSEFLNGGTDTTGTAIEWAIARMIENPDIQTKLYKEIKGVVGDRKVEEKDMERMPYLNAVGKELLRKHPPTYFSLTHAVIEPAKLGGYDIPTDTNVEIFLPTIAEDPKLWSDPAKFDPDRFLSGRDDADITGVTGVKMVPFGVGRRICPGLAMATVHVNLMLARMVQEFEWTAYPKDSKVDFSEKLEFTVVMKNTLRAHVKPRI >CDO96901 pep chromosome:AUK_PRJEB4211_v1:2:14813930:14815565:1 gene:GSCOC_T00014080001 transcript:CDO96901 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDEASSHEEIPPGRPMSMEQHMVDKVAQMLQSLKPIKQMSQHACTFALYSHDMNRQIETHHYVTRINQDFLQCAVYDSDDSRGCLIGVEYIISDRLFENLDPEEQKLWHSHAYEIKSGLWVSPRVPELVVRPELENLAKAYGKFWCTWQTDRGDKLPLGPPALMMSPQPVNLGMVKPALVQKRDGKYNISTDEAIKTTRLEINEPEWINPQADYWKQHGKCFAIDVEPVEMKRMAPFP >CDP08788 pep chromosome:AUK_PRJEB4211_v1:2:53001559:53004425:-1 gene:GSCOC_T00027886001 transcript:CDP08788 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELTRNHTKIRKKMPTLSLILPLLLISTAFTLNTTAELLKSRKQNVKPSHLSKTSQEFRGRLKDSTKLESLQRSRLVLAGNTTIFSENRTFELGFFGTDDESKWYLGIWYASIATPTYVWVANRENPIKNLTSASVEITQDGKLALKEEDSRTIIWESDNLEKAIKARLLEQGNLVLYSSNGDKVWQSFDYPTDTWLPGMNLTADQWLTCWKSSNDPSPGKYSLRLMPLDYGEIALVYTSNDNNSTNIYWSTGNWTGNAFSGVPEMTVPYIYRFHFGNPFTPMASFGYTEVSLDNGLSPPLTRFQVDHTGQLKQFTWSPQSEYWNMFWSQPENLCRVYALCGNFGFCNAKLLSPCSCLMGFKPVDDVSWDAGDFSNGCRRQSGDVCGKNDGFEDAGVVSYEGAVVLSFTGTRSECEKTCIRNCSCIGLHYNERANLCKNLYGSLLNLRNLTSDSTLEEKLYLRVPGNNAEVKNKMKLVLLEAICGFLVILVIGVIVLLFLRRRRRIKKRRQEEEGVFPVTNLKVFSYKELHAATRGFSDKLGHGGFGAVFQGELSDSTLVAVKRLEKPGGGEKEFRAEVCTIGNIQHVNLVRLRGFCSENSHRLLVYDFMPNGPLSVYLKRDGKNLSWDARFRIAIGTARGIAYLHEECRNCIIHCDIKPENILLDEDFSAKVSDFGLAKLIGRDFSRVLATMRGTWGYVAPEWISGVAITTKADVYSYGMTLLELLGGRRNVEGPPSAGGGEGVGTEKWFFPPWAAREIIEGNVAAVVDVRLGNIYNTAEAQRAALVAVWCIQDDESIRPTMGMVVKMLEGVVEVTVPPPPKLLQALVSGESFHGVGVDSSSKVYNDDGGISDDNGQLSMNSKDSRSSV >CDP19998 pep chromosome:AUK_PRJEB4211_v1:2:39102220:39115985:-1 gene:GSCOC_T00011096001 transcript:CDP19998 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSSLAYPERFYAAAAYAGFDGSPASSVSSKFSNDVALLLYALYQQATVGPCNIPKPKAWSAVELSKWRSWNELGNMVSTEAMRLFVKILEEEHPGWYSRASNFIMEPAVDVEMNQNAKVDLVTENGNNIPEVKTIPAENGNLSETQDLEVVSEGFGAVGVYDQWVAPPISGTRPRARYEHGAAVIDDKIYIFGGNHNGRYLSDLQVLDLKSWTWTKVEVKAGIEASPATATPCAGHSLIAWEGNKLLSVGGHTKDPLETMQVKVFDLQNGSWSTLKTYGKPPVSRGGQSVTLVGTSLVIFGGQDAKRSLLNDLHILDLETMTWDEVDTLGVPPSPRSDHTAAVHAERYLLIFGGGSHATCFNDLHVLDLQTMEWSRPTQQGEIPSARAGHAGVTVGENWFIVGGGDNKSGVSQTVVLNMSTLVWSEVTSVQGRVSIASEGLSLVLSSYNGEDILVSFGGYNGRYSNEVNVLKPSHKSTLQSKMMETPVPDSVSAVHNATNPTRDMESEFETGQDGKIREIIMDSEPTIKKVEETSERLISALKAEKDELESSLSKEKLLTLQLKQELTDAETRNTDLYKELQSVRGQLAAEQSRCFKLEVDVAELRQKLQNLELLQKELEILQRQKAASEQAALSAKQKQSSGGVWGWLAGAPSNQSADNA >CDP08633 pep chromosome:AUK_PRJEB4211_v1:2:54272467:54283727:-1 gene:GSCOC_T00027663001 transcript:CDP08633 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase 3 [Source:Projected from Arabidopsis thaliana (AT2G46700) UniProtKB/Swiss-Prot;Acc:Q9ZUZ2] MGQCYGKTIPTGDSGRPTTSLPDDRPSSSPTNNGGVNISSVKNTPGRSSALPSPNPWPSPYPHGGGPGGTSPLPAGVSPSPARSTPGRRFFKRPFPPPSPAKHIKASLARRFGYPKTPREGPIPEDSTTTAVATESDHHQHSLDKNFGYNKNFGAKYELGKEVGRGHFGHTCFAKGKKSELKDVPVAVKIISKAKMTTAISIEDVRREVKILKALSGHRHLVKFYDACEDANNVYIVMELCEGGELLDRILSRGGRYTEEDAKLIVAQILSVVAFCHLQGVVHRDLKPENFLFTSRSEEADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGVITYILLCGSRPFWARTESGIFRAVLRADPNFEDIPWPSVSKEAKDFVKRLLNKDYRKRMTAAQALTHPWLRSENHPIPLDILIYKLVKSYLHATPFKHAALRALSKALTEDELVYLRAQFILLEPNKDGRVSFENFKMALLRNATDALKESRVPDILNAMAPLSYRKMEFEEFCAAAISTYQLEALDGWEQIASTAFEHFELEGNRVISVEELARELNLGPTAYSILKDWIRNDGKLSLLGYTKFLHGVTLRSSNTRHH >CDO99975 pep chromosome:AUK_PRJEB4211_v1:2:7326517:7327388:-1 gene:GSCOC_T00029712001 transcript:CDO99975 gene_biotype:protein_coding transcript_biotype:protein_coding METSNLTIFLTTVSPVSYSQSSSLSWSSYSSLGGLTTKTTVPKLSLTPISFNSNPLSTFNPSSTLPFPPPTTK >CDO97635 pep chromosome:AUK_PRJEB4211_v1:2:20547438:20550225:1 gene:GSCOC_T00015033001 transcript:CDO97635 gene_biotype:protein_coding transcript_biotype:protein_coding MHVNRVVADALGVVTICLVSVLVLLGLFCVLYSFYFRNRIKGFTQLGYFSGPWIIRILFIFLATWWGLGEIFRLNLLRRDGRLLNALSATWQESLCKIYIVSNLGFAEPCVFLTLVFLLRASLQKSGTLSPKWNARTAGYVLLYCVPVFVLQLVVILIGPKFNKESNMHRLPPYFTKAAATSKTRRNDDVALCTYPLLSTIILGLFNTILSAYLSLLGRRILHLVINNGLQKRVYALTCSVVCLVPLRVLFLGLSVRFRPELFLFETLAFLAFLSLWCCVGVGICLLVYFPVADSLALRNLQKDIEARRRVSDEHNDTMSLIANQSPVDESLVSSPGRNSVASTKRGSISFRTMEKDETSGAFVELSLFSPSRHSTPPGSPRLLGWPMLPPAQALGGP >CDO99644 pep chromosome:AUK_PRJEB4211_v1:2:10377090:10378773:-1 gene:GSCOC_T00029298001 transcript:CDO99644 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKNGLKKGPWTPEEDQKLIDYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDLSSILNSSIYYSSSQQINLSRLLGVQPMVNPELLRLATSLFSSQKKNHQDIPTQNDVQENQVVYTAPQVQNQLPPLIQPSEFQTSVQDIPTSDNLGTSLRTSTSCVPFSCDQAQLMQPNAEQFSSANLSDFINSQQSCELNDFSFQSVLSTLSTPSSSPTPLQSNSTYINSCSTTTTEDERESYCSNILKYEIPELLDVDQFM >CDP13864 pep chromosome:AUK_PRJEB4211_v1:2:3932160:3935728:1 gene:GSCOC_T00038987001 transcript:CDP13864 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLSPRAQTFCNCKAPRPPPSPFIKHHSSQPETAAKNSNHKATVGRSNPNNGHAQISKSKSSSTKTKRARTMARLINARPWSTDLQASLAQSSLSQTTVLQTLRLITTPAKALHFFHWAHKSGFTHTPQSYFLMLELLCQARNFNSARNFLLSIPRKSNGAVQLEDKHFNCLIRAFGEAGLFKESLKIFKTMKSIGVSPSVITFNHLFSILLKRGRTGMVFELFDEMLETYGVEPDLYSFNILIRGFCKNSMVDEGFRFFKKMEKFNCQPDVITYNTIIDGLCKDGRVKIAHNVMKGMLNKGPNLSPNIVTYTTLIRGYCEKLEIDEALDVFEEIACRGLKPNEITCNTLVQGLCEARMLNKVKEILDGCGGENGGFVPDTCTFNTLMNAHCSDGNLDGVFKIFQKMSELKVHPDSATYSMLIRSFCEKGNFEKAEGLFRELYEKEILLHDAGCTPLVASYNPMFRYLTQSGKTEMAEKVFKQLLKRGRQDASAFKTIMMGHCREGTVKAAFEILVLMLRRDFVPDFETYESLIEGLLEKDEPILAHETLEKMLKSSHLPRTSIFHRTLARLVNKGCAHESFSMLMLMLEKKIRHNLNIATDTVNVLFKAGMRDKAFEVVRCLYEHGYIINMEELIIFLCKQRKLLEAREMLLFGTKKGQRVDLGVCNTVLNGLCKIKRVNEAFELYYELLEQGIQQPLSCLQELRVALEAEGRSKEAEFVSKRMLNRHPLNGPMSKSKASGKIPHTSR >CDO96857 pep chromosome:AUK_PRJEB4211_v1:2:14018221:14018774:-1 gene:GSCOC_T00014015001 transcript:CDO96857 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSIASVARMQDYKIISFRPLSAIDFSSSLSDGSKVQVAYQEVPDAYSKAAALKAYPNVRLSFKAVKLWLMDKAILPIENSVGGSIHRNYDLILFHKLHIVGEV >CDO97163 pep chromosome:AUK_PRJEB4211_v1:2:16942754:16949495:1 gene:GSCOC_T00014418001 transcript:CDO97163 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMHDLCGQIKVTSSVMQRAEAVQETLDAKFPSFVKPMIRSNVKKGFWLSLPRLFCKLHLPDHDTTIVLEDENRKEYITKYLAQRRGLSAGWMGFSIAHKLVEGDVLVFHMVIANKLKVYIIRSSRLAEVDAALCLLQLEGPATGNESGHRGDDCRDPQPTGEKSKSSSQPESENISDKDMLDNNLGLVMGRPESIDEHLQLALEGRRGSFSVLSNRTSCWQPTQTKKEKSCISRHLFEPTVKISPHPKILPLEVLPHQTVDSSLSFCKRKSLIKGNTVEVRKMAISQFSPSKRSQYDPSTKLKQRKASEFKKKPVKKNPCGTQHDKINKGETHNIEKSLISYEKIGDKTGDHSAYGKPKSSVLHRAHEVQAKLPSNFPCCVKHMLRSHVTGGFWLSLPKQFCNIHLPKKDGIVVLVDENGEEYKTKYLVEKNGLSGGWRGFSIAHNLLEGDVLVFQLIKPCVLKVYIVRANGLTELDGAICLLNLDFQDKSIDSANLKEENEDVKNCKTAEPDYSGPLANVLERGIVAVSSGHMPESARRSASDNNELSLEVLDGVRFSESDVQFKDVKGFNGFSILVDGLVIDSEIPLHLRAKYYQLCCSQNSFLHDHLIKGLNCKLAAGIISETVNIADAIRAAKLTTSCEYLQTWDKTLKAFEDMGMAVEFLRARIKALISLPTEQEESMKSRTAERAQLQEEMRNLETKLLNVKEVIGTVDADIKALEVQEENLEEIFKEKATAPW >CDP15227 pep chromosome:AUK_PRJEB4211_v1:2:49083071:49084754:1 gene:GSCOC_T00042862001 transcript:CDP15227 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDTVSSAPVPGSLVKAASISSRAYVTFLAGNGDYVKGVVGLAKGLRKVKTAYPLVVAVLPDVPEEHRRILVNQGCIVREIEPVHPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFENIDHLFELPGGYFYAVKDCFCEKTWSHTPQYQIGYCQQCPDKVQWPQELGPKPPLYFNAGMFVYEPSLPTYDDLLSTLKITPPTPFAEQDFLNMFFRDVYRPIPPTYNLVLAMLWRHRENVELEKVKVVHYCAAGSKPWRYTGKEANMDREDIKVLVKNWWDIYNDEALDYKRSSANVAVTTRGEANAKRSLKTRALRSIPAPSAA >CDP19517 pep chromosome:AUK_PRJEB4211_v1:2:21318305:21318562:1 gene:GSCOC_T00002345001 transcript:CDP19517 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDMGEVLLCAGAIGSPQLLLLSGIGPRPYLSSWGIPVVHHQPYVGQFLYDNPRNGISIVPSMPLEHSLIQVVGITNSGAQQLD >CDP13840 pep chromosome:AUK_PRJEB4211_v1:2:33694380:33698165:1 gene:GSCOC_T00038939001 transcript:CDP13840 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYLDSWRTWLVDLGTYRCQQLFHIQSWLAFFELKLRGYLQVRNHLQLPFLLFLFPLSP >CDP05216 pep chromosome:AUK_PRJEB4211_v1:2:2484033:2490827:-1 gene:GSCOC_T00020182001 transcript:CDP05216 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDILESCKKILPLHHLQNKRVCIDLSCWMVQLQNVNRTHCAMTSKLYLQCLFHRLRALIALNCSLIFVTDGSIPSIKLSTYRRRLNVGSEVNVEETNPKIVSSLRRNMGSEFSCMIKEAKSLGKALGIPCLDGVEEAEAQCALLNSESLCDACFTSDSDAFLFGARTVYRDICLGEGGYVVCYEMADIERNLGFGRNSLITLAVLLGSDYSQGVYGLGQESACQIVKSVGDSAVLHRIALEGISFAQKPKVSKKKARSLSANQERDANGADSNFQKNTEFMEVINAYLKPKCHPPDSDAVYRVLAVYPFNRHQLQQICAQFFDWPPEKTDEYILPKIAERDLRRYASLRSTSSNLGVKVSMDEMPVKCPISSIIKQRKLQGRDCFEVSWEEMHGLNASVVPADLVERACPEKIVEFQERKAQGKKRNHCVSRPKKLGNMPSAEEIDLKLQKLLLDIENESKTTRGASLSGRSKLEKTSALIQADLAIEELHLEDKLEKEPDSVTTTGCKSVAPSPRGTEVINLMSPLPVSAPSSTRQGANVECIDLIELSESETEISPDHARKARDLRLFIASIKDD >CDP17535 pep chromosome:AUK_PRJEB4211_v1:2:29477209:29479241:1 gene:GSCOC_T00004494001 transcript:CDP17535 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDGSRFAARTRLADENASKKRTKCSDGVVNLVDRISSLPDSVLCWILSFLPTTEAVATSILSARWKILWTGVTSFHFEDSERLFNVPYKESKKNERFVKFVNKVLLLNNVQSLDKFYLSCCRDYDAFYINTWVATAIARNVRILDIIADYDTVELPNSIFTCKTLEFLQLSGNLLIKSPRFVCLPRLVTFNLIRVDERSHESIGQILSGCAVLEFMYIEQNVNLAAEVIKISSPTLKTLRLDFYPDCCVEDDWFRKLEINAPALEYLYLKDRTTESFSIEGLKALCEAEIDVYLYDPPESDYCNSVVKLFLALQHVKLLTLSSYTMQVLGRATAHFSTKFDRLTTLVVKDVDRFTSLVVEPDWCERSCLPALLESSVNLEVLEVSTAGWMNPDGVPRCLLTSLKEIVVQELEGRKGELAMISYFLKHGRVLEVVDLYSEVRLDIEKKFQLLQKISVFPRKSDTCEVEFH >CDP00120 pep chromosome:AUK_PRJEB4211_v1:2:6045568:6046875:1 gene:GSCOC_T00029920001 transcript:CDP00120 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKFVMQVLFFCFCMLVQLNSCYCSKKESSILLTLKAQHISSMSIPKAPNRVAFHHNVSLTVSLTVGSPPQQVTMVLDTGSELSWLHCNRTPNTLSLFNPQLSTSYSPVPCSSPTCRTRTRDFTVPVSCDPKNLCHAILSYADASSVEGNLATDTFAVENSSMPGLVFGSMDSGSSSTPEEDAKTTGLIGMNRGSLSFVSQMGFRQFSYCISGRDSSGVLLFGAANFPWLGPLKYTPLIQMSTPLPYFDRVAYTVQLEGIKVSDTVLPLPKSVFVPDHTGAGQTMVDSGTQFTFLLGPVYTALKNEFVKQTRGVLRLLNEPNFVFQGAMDLCYLVELSRATLPPLPAVALMFQGAEMSVAGEKLLYKVPGATRGTDGIYCFTFGNSDLLGIEAYVIGHHHQQNIWVEFDLAQSRLGWAEVRCDLASQRLGLGH >CDP08692 pep chromosome:AUK_PRJEB4211_v1:2:53779063:53789266:1 gene:GSCOC_T00027747001 transcript:CDP08692 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEEWPEPSGLLPNGLLPNAEPVVRLLDSERWLRAEERTAELIACIQPNQPSEERRNAVADYVQRLIVKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSNNQNLKDSWANQVRDMLENEEKNEQAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDHLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFTGPLEVLYRFLEFFSNFDWDNFCVSLWGPVPINSLPDVTAEPPRKDSGELLLSKLFLDACSSVYAVFPGGQENHGQPFVSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKRLARLLECPKENLIFEVNQFFMNTWDRHGSGHRPDAPVNDLLRPKLEINLSEPEKTMSNTRVKKMNDKVSADKVDIEETQTHFNAPQHGEYSSGSISRMNNSSASYSQRQKNHGNLNSSRVADHARESTSNQVEHSEKGQRNIKSDQLVNDIPGRVMLARTSSSPELTETYGDVSSQLRRNRAPESAKVHVTSTRLDGSSRRKNPGSESLASHSGRSSDESSSVRHVPSYHSLDATADSVSGSNSYVHDSGFDTSNEELSSNIGTQGMHQEEQDLVNMMASTSLHGFSGQVPLPFNLSSAQLPFPISPSFLASMGYTQRNMSGLVPANIPLIDPSFSSMQFPHGLVSPPLTHYFPGMGLSANSEEAIDRSSENFGSIEMNSGEAENDFWQDQEIGSSGGFEPDNVNLELLQSDDKQHSTLSGFNFVSSPWVSGAGGSMMAQQKHNKEKRGPLLEDHLDNSQFQENRGSEIYSEERSASSRFSSAAHSNSVRSRTSSESSWDGSASKVSKSTRERRGKKVVAGDSTAGYGKGKIISEHVHNHAEDEDQDWNPQSIVGAELTERSLGAQSVASMHGPRHHMPGYEVSQASGSDSVAPIAPMLLGPGSRQRMTDNSGVIAFYPTGPPVPFLTMLPVYNIPPETGASDTSTSHFGGEGVLENNDSVQHFDTIEGLDQSEDVNSSTSFRVPTAIETSDEHKPDILNSDFASHWQNLQYGRFCQNPRYPGPLIYPSPVMVPPMYLQGRFPWDGPGRPLSANLFTQLMGYGPRLVPVSPLQSVSNRPPNVYQHYVEDMPRYRSGTGTYLPNPKVSMRDRHSSGGRRGNYNYERSDSYGDREGNWNGNSKSRAAGRSHNRNQTEKSNSRLDRFSSSDNRADRSWPAYRHESLSSYQNGPLRSNSSQNGPANVTYSMYQLPQMDPSGVSSNGPAVPPVVMLYPFDHNASFGSRGEQLEFGSLGPIGFSGMNEQLHLSEGTRARGAYDDHRFRGGSGQRSSPDHPSSPHHHRRT >CDO97027 pep chromosome:AUK_PRJEB4211_v1:2:15981889:15984207:-1 gene:GSCOC_T00014242001 transcript:CDO97027 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIFSDANNMPSAGTIVSAAASVAASAMVIRSIARDLIPYELQPYVYSSIHRFFRSFSPEIVLIIEEYAGLGVNQIYKAADIYIGDKISPSTARFMVSMAEKESKITTSMARNQEIIDKFKGVQFKWRQVTRQLESKSSVRYSYSGQGSSQQAEIRYLELCFHKKHKKLVFESYFPFILKESKNVKEEQKTIKIHTLSSDHMRRYSGDYSWHSIKLDHPATFETLAMDTELKKMIMDDLDRFVRRRQFYRDVGKAWKRGYLLYGPPGTGKSSLIAAMANHLNFDIYDLELTDIHANSDLRRLLINTANRSILVVEDIDSTLELEDRKAEEQALKILRSNQASQAQTVNIVRKNKPNQVTLSGLLNFIDGLWSSCGDERIIVVTTNHKEHLDPALLRPGRMDMHIHMSYCTPCAFKTLALNYLKLRTHPRFNEINNLLEEVEVSPAEVGEQLLRNEDADGALADLIHFLLEKKREDDEIKAKRLQEEQGEQEIDGPNV >CDO99930 pep chromosome:AUK_PRJEB4211_v1:2:7665427:7669505:1 gene:GSCOC_T00029652001 transcript:CDO99930 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSYISRTHNFLYSRKYNFRFSYPNYCTTTTTSERATMEKYSKDAVGEEVIKDQLREGFAKSACDYDPSNREFDEILPHLLNIYASHATPQDFDIYAPDATFEDPLMRAQGIKQIKSAFYSIPKVFSESRITEYSIKENVISPRKKEILMDNKQYYKFLGKDIHMISLIKLQIENGKIVRHEDWWDQKPLWNSETVRLPLVGRLAEMTRRASMLVTHCLMRFGKDPSN >CDO99579 pep chromosome:AUK_PRJEB4211_v1:2:11217564:11220758:1 gene:GSCOC_T00029214001 transcript:CDO99579 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIQHKFIEVNGLKLHVAEIGENESKAVLFCHGFPEIWYSWRHQMVAVAKAGYRAIAPDYRGYGLSDPPSVPQEATYSDFISDLYSLLDVLGISKVFLIAKDFGARVAYLFVLLHPERVAGVVTLGIPFLPPVLPPLKEGLPEGFYMARWEEPGRAEADFGRLDPKTVVRNIYILFSRPDVPVAEKNQEIMDLVDSSTPLPSWFTEEDLANYGALYEKSGFQTALKVPYRSLQEVFNVPEVKVDAPALLIMGEKDYCIKFPSMGGYIRSDQAKMFVPRLETVFIPEGSHFVQEQFPGQVNELILNFLKTHS >CDP19305 pep chromosome:AUK_PRJEB4211_v1:2:28906158:28906286:-1 gene:GSCOC_T00011536001 transcript:CDP19305 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGEGKMVCVTGASSYTASGLVKLLLERDYTVKGSVRDAS >CDP09494 pep chromosome:AUK_PRJEB4211_v1:2:21534098:21536768:1 gene:GSCOC_T00028879001 transcript:CDP09494 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARDELSQFDISNEEKDKLVGEVIRCILFKTTQNNGCPIKREELTQIVTKKSYRQKNLPAFVINEAKSKLSSIFGYELRELQRSRPSSATQKTRAGSSQQSGADAKSYVIISQLPADVYKKYVENDETSHVTGFTFVVLGIIHLAGGKITEDNLWHHLRRLGLGENEDKLALEALVQQRYLQKDKVSGPEGYTLFYEFAERALDEAVNRRIKEYVMEVI >CDP07816 pep chromosome:AUK_PRJEB4211_v1:2:26900200:26904411:1 gene:GSCOC_T00025213001 transcript:CDP07816 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLVDPATLRLFSSIHHPRTPKFSTIKSSKKDSITTSKGLQKSSQKELSRILRSEAATKNIVKKANSNKYNNLNPKAVLDALDDAIKGNDWESALKIFSLLRKQRWYIPKGQTYTKLLVMLGKCKQPNHAGLLFEIMESDGLQPTIDVYTALVGVYGFSGLLDKAFHTVDEMKSVSDCKPDVYTYSILIKCCAKLRRFDMIGKILAEMSYLGIECNATMYNTVIDGYGKAGLFDQMEHALSDMIESGTCLPDIYTFNSVIGAFGNFGQLDKVESWFDQFQLMGVKPDIMTFNILVRSYGKASMYEKMGSVLDFMKKRFYSPTIVTFNIVIETYGKAGNVEKMEEYFLKMKHQGMKPNSITYCSLVSAYSKSGLLDKVDSIIRQVDNTDVILDTPFFNCVISAYGRAGNIEKMVELFSAMEDQQCKPDSITFASMIQAYQEQGMIEAAQELEHKMLMCSGSSEVKLIGSWRH >CDO97089 pep chromosome:AUK_PRJEB4211_v1:2:16445094:16447881:1 gene:GSCOC_T00014324001 transcript:CDO97089 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFDERAEEETDSSAAMEPIMSLEIEEKEDKQRKRVGGKKICGRSWNESKKMWEIAAPAILTAVAQFSFEFVTAAFIGHLGEVELAAVSVVQNVIEGFVYGIMLGMGSALETLCGQAVGAGQFEMLGAYMQRSCIITLVTALLLSPFYIFTAPLLKLLHQDPRISHLAGKYALWVIPQLFAYALNFPIQKFLQAQSKIWVMTIISLVMLGIHVLLNWIFVTMLGHGLLGAAVAGNISWWLMVLAQIIYVVGGFFPEAWTGFSSLAFKQLASFVKFSLASAIMLCLELWYYTVVILMVGWLKNPEIAVDAVSICMNLQLWTLMIALGFNAAVSVRVSNELGAGRPKAAKFSTVVAVTTSTIFGIIFTVIILATKGQFPRIFSDKPEVIRETSKLGYFLAATVFLNSIQPVLHGMAVGAGWQFSVALVNAGCYYVFGLPFGALLGYKFKLGVKGIWAGMLAGCLLQTVILVVNVFRANWSNEALQAEERVRSYAVTPLPHDEIDAIEMLDAPTSC >CDO99748 pep chromosome:AUK_PRJEB4211_v1:2:9252833:9256422:1 gene:GSCOC_T00029429001 transcript:CDO99748 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNFVDEIDCGSFFDHIDDLIEFPPENECGNGLVGSGDCKNFPSLWDEALPDTDTLFTGNTSNSASDLSAELSVPYEDIVQLEWLSTFVEDSFSGGGMTLSKDNSSVNKDNPCNQFQTSSPVSVLESSSSSSSSSCSGGKTIPLSPNHRGPQRARSKRPRPATFNPRPAIQLISPPASFSEAPHLFLAPGVSSESENFAESEFVRKFPKPAGGEHKKKKKIKLTVPLGPMEINQNSAPQAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSLHSNSHKKVLEMRGKVVEENTTAGTAAKASAAGRELVPESKPSLEYI >CDO99626 pep chromosome:AUK_PRJEB4211_v1:2:10607010:10610570:-1 gene:GSCOC_T00029273001 transcript:CDO99626 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEAVPSQADARRGARHIKKRALKNKALSVSFNEKDLKDFVTGFHKRKKKRRREAQQKLQEAERRKRIEARKKRKLEREFVIYGGAQPDSSSEPKELDDDGEDNGEEEPTTSVSGTMMYDNGDMKVTVTTREISREDEYPSQRPLPEAPQLDEGPEKKKKHVVSVSKKKQFKKAARMRSHPKPQSKRDKRNGKRINKRH >CDP08688 pep chromosome:AUK_PRJEB4211_v1:2:53819216:53825990:-1 gene:GSCOC_T00027743001 transcript:CDP08688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MKNNSSSGGNSNMGDKGRTLPVDPNLPRYLCQNCHNYLCFVGVDSYADKYFPSSDASRSGIQGSSVHGAGSVLASTRMDHSYVVLPKQKSQTPGVPPRPRAGAVQPEASQSVRAMEESFVVLPPPAASVYKSESASDGVGTHLPSPEGGQTNIPPQPNNSGFHTTITVLKRAFDIATTQTQVEQPLCLECMRVLSDKLDKEVEDVNRDINAYEACLKQLEGEERKVLGEADFLKEKLKIVEEEQKLEAAIDETEKQCAEVTAQLKELELKSSRFKELEERYWQEFNNFQFKLISHQEERDAILAKIEVSQAHLDLLKRTNVLTDAFPIGYDEDFGTINNFRLGRLPKCPVEWDEINAAWGQACLLLHTMAQYYRPKFQYRIKILPMGSYPRIMDTGNNTYELFGPVNLFWSTRYDKAMTLFLTCLKDFAEFANAKDKENDIPPEKRFKLPYKIENDKVESYSITQSFNKQENWTKALKYTLCNLKWALYWFIGNTNFQPLSLPVSHHTEVTAGSSLYSKRTGDAKF >CDO96823 pep chromosome:AUK_PRJEB4211_v1:2:13504749:13509553:-1 gene:GSCOC_T00013968001 transcript:CDO96823 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSHLYFALGLFLLGSFLSCLAMTTPNITTDQSALLSLKAKITGDPHEILASNWSATSSVCDWRGVTCGSRHRRVTALNISNLGLTGTIPPQLGNLSFLMSLDMSRNNFYGELPHELICLRRLRVLGLGINMLSGSIPSWVGSFQQLRHFSLKNNSFTGFIPPSISNMSKLETFNLQFNSLQGAIPMEIGKLNKLKQIVLDYNQLSGFLPLGMFNISSLEVIALQNNSLSGSLPSSICPRLQGLTWLDLSLNKLSGAIPPSLSECSKLQVLRLGGNNFSGVMPEEVGNLTALQELYLGENNLIGVIPEGFGNLTAFKRLYLNENNLIGSIPQELGRLKHLEQLDLGSNSLTGSIPAQIFNISTLRQLGLENNRLSGRLPSSTGCVGNLSTSMERFYAYGCGIKGSIPDGIGNLSSLMILVLGGNHLSGPVPSTMKYLQNLQGLSLSDNQLSGSIPDCICKFKRLYQIYLGQNQFRGSMPSCLNNISSLRGIDFAGNLLDSSIPGSLWNLTDLLTLNLSYNSLSGSLPYETGNLKVVTLLDLSGNQLNGNIPSSLGGLQSLATLSLAQNKLQGPIPDSLSHMLSLQFLDLSNNNLSGPIPKSLETLLYLKHINLSFNRLRGEIPSSGPFENFTYESFMSNDDLCGAQRFHVPPCPSPRIHKSSQKKVFHMLGILSGIAATTIALTTAAILLLRCRRKDGISRNTDLLPMGLPKMISYYELVQATNGYDESNLLGKGSFGSVYKGILMDGTVVAVKVFTLLAEVTSGSFDTECEVLRNLRHRNLTKVIGSCSNLDFKALVLDYKSNGSLEKWLYSHNHCLDLLQRISIMMDVASALEYLHFSYTTPVVHCDLKPSNILLDESMVAHVSDFGMAKFLDEENSVLHTKSLATLGYLAPECGLEGQVSTRVDVYSFGIVLMETFSRMKPSDEMFKDDLSLKSWIEESLPDATTQVIDANLLGRQDEHFNEKLECISVIFKLALSCCADCPRDRTNMKDVVAALQKIKRQLESFPNISA >CDP17785 pep chromosome:AUK_PRJEB4211_v1:2:51389974:51391713:-1 gene:GSCOC_T00003906001 transcript:CDP17785 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNQLKQVFKIIDKNGDGKISPLELSDVLLSLGHEKSLATTEAEGMVREMDCDGDGFVDLDEFMNVMGAHESERVASFLDGEDDLAEAFQIFDADKNGFISAKELQRVLISLGCQNCSLRECRRMIKGVDRDGDGSVNFQEFKSMMSAGCSRN >CDP16539 pep chromosome:AUK_PRJEB4211_v1:2:42207690:42218503:1 gene:GSCOC_T00018913001 transcript:CDP16539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 36 [Source:Projected from Arabidopsis thaliana (AT5G04920) UniProtKB/Swiss-Prot;Acc:Q9FF81] MWESTDKSLQDAFQDLNALMSKAKEMVMLAEKMRLKLLTGSNNQATGAAEDELGTKEEMQDWLLSVGIASPVTKESAGALYHQQLSRQLADFVRIPLERAGGTINLIDVYCLFNRARGTELISPDDLLQACSLWEKFDVPVMLRKFDSGVMVIQNKAHSDDEVFARIRSLVTKPDALQSGVSASDAAMTLGVAPAMAKEYLLTAEGKGLLCRDISPDGFRFYINLFQDINSDDVYLVRDYSIYAAWISTNSISR >CDO97290 pep chromosome:AUK_PRJEB4211_v1:2:17888265:17888633:1 gene:GSCOC_T00014574001 transcript:CDO97290 gene_biotype:protein_coding transcript_biotype:protein_coding MFHEEKNLKAKENTRFFFILSKLELVLFIPSLADVIPNFDLREDKEYLSDRPGATPISTTQGEELKKLIGAVAYVECSSKTQQVTNLT >CDO97313 pep chromosome:AUK_PRJEB4211_v1:2:18069988:18077051:-1 gene:GSCOC_T00014622001 transcript:CDO97313 gene_biotype:protein_coding transcript_biotype:protein_coding MATATQSIRFTGPASSTGASNLDTLNRVLADLCTRGHPKDGAAVALRKVVEEEARDLSGEAFSRFMDHLYDRITSLLESNEVAENLGALRAIDELIDVSIGENASKVSKFSNYMRIVFESKRERDILVLASVVLGHLARAGGAMTADEVERQIKSALEWLRGDRIDYRRFAAVLILKEMAENASTVFNVHVPDFVDAIWVALRDPSVDVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQEGLGKNAPIHSIHGSLLAVGELLRLVEFLNL >CDP09314 pep chromosome:AUK_PRJEB4211_v1:2:23853123:23866195:-1 gene:GSCOC_T00028628001 transcript:CDP09314 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLDLEAQDAVRMPWNVLPGTKSESAQCVIPISAIYTPLKPLPPTTPVLPYSPLRCRNCRSVLNPFAIVDYSNPNNKIWICCFCLQRNHFPPHYQGISESNLPGELFPHLTTIEYEDQNLAASAAASSPIFLFVVDTCVIEEEIGFLKSSLLQAWTYVLGTMPENCLIGLITFGTYVHVHELGYGQIPKVYVFKGSKELTKEQVLEHMGFLANKPKPTIGVIAGARDGLSQESIARFLLPASECEFTLSSIFEELQKDPWPVPADQRAARCSGTALSVAAHLLGICVPGSGARIMAFLGGPSTEGPGSIVSKTLSEPIRSHKDLDKDSAPLYHKAVRFYEGISKQLVHQGHVLDVFACALDQVGVAELKAVVEKTGGFVVLAESFGHSVFRDSLKRVFQSGDYDLGLSSNGIFEVNCSKDIKIQGIIGPCASLDKKGPLASENVIGQGNTTAWKMCGLDKTTSLCLFFDIVKKESPDAIAQSANNLFYFQFLTYYQHSSGQMRLRATTISRRWVAGPGSVQDLVAGFDQEAAAVVMARQVSFKMETEAEFDPIRWLDKSLIHICSRFGDYQKDSPSSFSLSPRFSIFPQFVFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLISYSFHSAPEPVLLDVAAIAADRILLLDSYFTIVIFHGSTIAQWRKAGYHQQPEHQAFAQLLQSPRDDANSIIRERFPVPRLVICDQHGSQARFLLVKLNPSATYNSDAPPAPGGDIIFTDDVSFEVFLEHLQRLAVQ >CDP05270 pep chromosome:AUK_PRJEB4211_v1:2:2895614:2897524:-1 gene:GSCOC_T00020254001 transcript:CDP05270 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFEDGPLKGFSVDRFLPGQHVKVFGRRKSFFVPVPIPSGPSERVPVHSAEPSNIIRPIPAWEFQDPSDYYLGCLRVPPPTLPSLFELSWHLQEPPPEEFRFPLRKDVYKDLPQGKDLFFSISSELLDCRAIAYDILSPIMRQNPSLSGASASARDSFIGLWDDCVNRIVSKFCAVEMVFVRKSSSPLAETMQDEWPNVTAFVRNFCLWRGEETDQLREGQIDPSSSIVEKLLWTYADLPYVLGYYAVGYIVTFCAMSRSQDRIVRTDLYTVDLSTPMERLKALVPCWRIAGLLPLLADRCSQSSMSINGGDVSYKLLPYSDFERIDLGSGSILEMTPNSVTRLFSSKRRWAAVKEIYDFLDHRIPHVEFIIRSSEKDLGIVFKPRGCKLNPTSCDQLIEALKQVTKALVALHDLSFMHRDLGWEKVMKRSDRENEWFITGFDEAVSAPQIYPHGGAASGRHAPEMGRGLHGVKVDVWGVGQLVKTCGLVAVPKLLRELQNRCLDHNPEQRPTAADCYHHLLQLQSSMSAAAGGY >CDO97197 pep chromosome:AUK_PRJEB4211_v1:2:17225055:17231469:1 gene:GSCOC_T00014464001 transcript:CDO97197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin ligase SUD1 [Source:Projected from Arabidopsis thaliana (AT4G34100) UniProtKB/Swiss-Prot;Acc:F4JKK0] MEIAPMVPASSDGRDRGSLSVSSPKAEPTSSASASSSSVVKEVTSNSAAVSRFDDDDEEEDVCRICRNPGDTDNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHSFSFSPVYAENAPTRLPFREFLVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVVLTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDADRDDEGERNGARAARRPPGQANRNLAGEGNGEEAVGQQGAGGAGQIIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRVILYYLSWLLSSATSPVLSTVMPLTESALSLANITLKNALTAVANLTSDNQDSNLLGQVAGMLKVNGTGLNEGSSNLTTSFSSELLKGQAVGPSRLSDVTTLAVGYMFIFSLIFFYLGVVALIRYTRGEPLTMGRFYGIASIAETIPSLLRQFVAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSIAQRVEFFSVSPLASSLVHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDYLLPKPEDNGAHDNGNGDLGRQDRANGHLGGQDRALVGVVPDDVNRARNAVGNASMSEELDNDEHSDTDRCSFVLRIVLLLVVAWMTLLIFNSSLIVVPVSLGRTLFNALPLLPITHGIKCNDLYAFVIGSYVIWTAVAGARYSIEQIRTNRATILFKQIWKWCGIVIKSTALLSIWIFVIPVLIGLLFELLVIVPMRVPVNESPVFLLYQDWALGLIFLKIWTRLVMLDQVLPLVDESWRIKFERVREDGFSRLQGFWVLREIVFPIIMKLLTALCVPYVLARGVFPIFGYPLVVNSAVYRFAWLGCLGLGLLWYCAKRFHVWFTNLHNSIRDDRYLIGRRLHNYGEGVERQNGSVSQEGQNSNEHGTSLLQSEPDAADVGIRQRHVRQDA >CDO99824 pep chromosome:AUK_PRJEB4211_v1:2:8585617:8588835:1 gene:GSCOC_T00029520001 transcript:CDO99824 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCPVRTILEKAPEAKNLFSYLRDTDDPQNNPKIWAHAAKVFKMTCESVVQLREKRKVVFADTTVKWLGSVHLQKGVLKFHFEVVKEAFLETIQEGVGENWSEELKNAWGEAYDHLAAAIQGEMEAEVR >CDO97178 pep chromosome:AUK_PRJEB4211_v1:2:17073523:17078379:-1 gene:GSCOC_T00014438001 transcript:CDO97178 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA modification 11 protein [Source:Projected from Arabidopsis thaliana (AT3G26410) UniProtKB/TrEMBL;Acc:Q9LIN4] MWYLCVFYHRLLDYRRPEVESLADLFGAFDKETDPQSKSLEWRLPEDHHPDSPFHFVNLPSEQIACNIANRSILVKGIYELWGEGSSFEELEEAIKSCPDEQKLPYLTSESTFKITVDSFGKVVSFQEQNDRIQQLSYIPFKGQVNLSHPDHKFWLMETDNYGSNNGLPPIVRRRIFFGREIGAADRKLLPTYQLKSRTYLGPTAMDAEMAFLMANQAQAKTGKLVYDPFVGTGSILIAAAHFGAMTMGADIDIRVVRDGRGPDCNVWTNFKQQYGLPMPISLLRADNNLPPWRPGLKEVFDCIICDPPYGVRAGGRKSGGRKLLKGVVGPYTVPDDKRNDHIPSTAPYSLVECVHDLLDLAARMLVMGGRLVYFYPVLREEDTIDTSFPEHPCLKMVASCEQILSFRYSRVLLTMVKIAPYTDDIAEAARIKHLEFRENHLKWLEDGNLHSAVFSPLDMQLNAAGGTKLSKESKPKYRGKYV >CDP08574 pep chromosome:AUK_PRJEB4211_v1:2:40535551:40536864:1 gene:GSCOC_T00027552001 transcript:CDP08574 gene_biotype:protein_coding transcript_biotype:protein_coding MVANLEILSKEMIKPSSPTPYHLRNFTLSFLDQLAPPIYIPLIFFYQPSQLQTYKDHAQISQLLKQSLSNALTKFYPLGGRICSDDFAIDANDAGALFVEAQVHSNLLQVIEKPSMDEMKQYLPLQPNGSGHGITEANTILLAVQINIFDCGGLAIGVQMSHKIADGTSLVAFMNAWAANCRGDAEIHQSSLDLASLFPPKDVLNSGFKPTVGITKEKITTKRFVFDREKLAKLKQALASSPGSQVKDPTRVEAVSAFFWRHFIEATKAKMDSKSKKMVAAVHAVNLRPRMNPALPDHAFGNLWRHALAIPVAEGEKGYQNLAGDLRNAIRNINSNYVKTLQIGDEYLHALKKTMELVSKGDIEFCNFSSWCRFPVYEVDYGWGKPTWVCTTTLPFKNVVILMSTSCGEGIEAWVNMLEEDMASFESDHIMQLEVPA >CDP05149 pep chromosome:AUK_PRJEB4211_v1:2:1986841:1990969:-1 gene:GSCOC_T00020098001 transcript:CDP05149 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVILHIYDVTNSGSDKTNNTIVQINKIFKDGIGLGGIFHSAVQIYGDEEWSFGFCEQGTGVFSCPAAKNPMYTYREPIILGTTNSSIFKVNQILRELSREWPGHSYDLLSKNCNHFCDELCERLGVPKLPGWVNRFAHAGDAAVEIAGTTALKFRQAKTEIVTASKVAYRFLLGVASNSPDSAANSARGTPSRFQGTWFKNLVSPGAKPSSSSDIENLDEDTTPLQRQQDAEAPLRLNSRHDL >CDP08671 pep chromosome:AUK_PRJEB4211_v1:2:53940867:53946568:-1 gene:GSCOC_T00027717001 transcript:CDP08671 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGVSLRPVLQTKLPTVGITVACCVFVILAFKRLFTIKEGKPEYTRSEKEMMRRKLKARLEKEKTRKGMLEVIQDSTEPVTASIERPQLDKQELMNSILKAGESNDTPISPNYVVVSEDNLSVDVDHKVPETRALARQVRESEKGDSLPDNSDAKDHQTFNVLSNGEEINQKHEFANRSQVEHPDGYEGKARDGSGTIEHTSLSEDLEDESGPNTDDASTENAWTQSNLHSFDAVQDSEQSKTSNDHLNKASHSSVARKFRVIKSVKEAREYLAKKHDDIGSTFEHEARTEERVHPFLTELDQKERDVDTSQRSDGSERPPHTSTLTKIHDSICTPEISSSRRTEYLPTISRHPKIVEGGQQLKDDLGTMRLSETESSAAKLPKETETDIVMSQEEQDDTKPFLLSDSIESLDFSVTCVDSIFGGNQKTPAKEHSSKDVKEPKGEVNLQLPGNPSGEESKGRNWKLASSVNKENWLEENFHEIEPIVKKIGVGFRDNYMMAKARTNDDVNLKADMSQLMPGEDGNELEWMKNERLREIVFKVRENEMAGRDPFHLMDDEDKIAFYSGLEKKVEQENAKLANLHEWVHSNIENLDYGADGISLYDPPEKIIPRWRGHPVEKIPEFLKNSSAQPKELVPDNVRKSNITKQNEEDSLQLSKESSSGEASALCNEYTKPQKKTPKTLRTVVEGSDGSVRAGKKSGKEYWQHTRKWSHGFLESYNAETDPEVKAIMRDMGKDLDRWITEKEIKEAADLMDKLPERGQELIKEKLNKVKREMEVFGPQAVVSKYREYADEKEEDYLWWLDLPYVLCIELYTEQEGEQKIGLYSLEMAADLELDPKQYHVIAFEDAGDCKNMCYIIQAHMEMLGNGNAFVVARPPKDAFREAKSNGFGVSVIRKGQVQLNVDQSLEEVEELIAEIGSKIYHDKIMRERSVDINGLMKGVFGVRKRVRRKRSRRKLKKPTSSL >CDP06797 pep chromosome:AUK_PRJEB4211_v1:2:36295307:36295842:-1 gene:GSCOC_T00023774001 transcript:CDP06797 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDEMPRVKLGSQGLEVSRIGFGCMGLTGIYNSPVP >CDO97316 pep chromosome:AUK_PRJEB4211_v1:2:18097974:18098826:1 gene:GSCOC_T00014626001 transcript:CDO97316 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFAQLKMKTSTPKPTVKRTREIDSSGNDLKQCYQIKGARSPANSSGNKLKQRVNRDCPAADLN >CDP13827 pep chromosome:AUK_PRJEB4211_v1:2:32915785:32917891:1 gene:GSCOC_T00038900001 transcript:CDP13827 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRFLEDTLPQFMSLRVLSLSYYHNIVKLPNSCSGFKQLRFLNLSSTGIKELSESICSFYNLQTLLLSNCRELEELPANLGKLINLCCLDISGTPLKKMPPQMGRLINLQVLTAFVIGKGSGSTIKELGKLSMLILSGLENVSSGRDASMANMKGKEHLDELTLEWNGSINDSQAVRDVLGNLQPHSSIKHLKIIGYGGTTFPDWLGNSLLSHLESLSLSNCENCFSLPALGQLQSFQSLEIELRVHDCGSLSPSHVSRLPASLKSLEYKGCCNLELESSSGEGGGALERLRLENCDSAIVKVEWLASFPMLKYVEIYKCKSVEMISVPAAPAPGIGNQKLSIYDYPRLQSLPEEGLPTFLTALVLTNCPLLEPRLEWDKGQDWPKVAHIPCIVVDWQPIP >CDO97691 pep chromosome:AUK_PRJEB4211_v1:2:21073077:21075986:1 gene:GSCOC_T00015100001 transcript:CDO97691 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGNRKLLITGLKNLLDKCEGHENLIKQIHAHWTTLGFFAQTPKHQHFACKLLNVYAKLNKPLEAHRVFALIPDPDIVSWTSLFNLYLKTQQPTEALSLFSHLLVSTSLRPDAHSVLAALSACARTQNLDAGKAVHAMVYRQLSEPETIVSNALIDMYSRGGRTHLARRVFESVQCRDVATWTSLLNGFIFCGDIEAGRQVFDDMPQRNVVSWTAMIVGYVRVKNSIEALQLFRRMRDGGRENATTITIVAVLSGCADVGALDFGRSIHGYVNKIAGFSMDVAVNNGLIDMYAKNGNLDSAENIFIRMVDRDLFSWTSIISGLAIHGRGKDALDFFDEMVASGMHPNEITFLSVLSACNHAGLVGEGLNFFERLKNSPRFEPVMEHYGCMVDLLGRAGLLEEAVGLIQDMPFKPDAIMWRSFLSSCLGHNNLALAEMAAKGVLELEPDDDGVCVLLWNLYRSKKMWQAASRMERMMKDQKIKKKPGCSWVEVNGVVHEFLAETSLASVAGDVYIALQGIARQSKMNSDIDSCEWRNFMHKKDCTVTECI >CDP19302 pep chromosome:AUK_PRJEB4211_v1:2:28843106:28847536:-1 gene:GSCOC_T00011531001 transcript:CDP19302 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGKVVCVTGASGYVASWLVKMLLECGYTVKASVRDLNDPDKMEHLISLDGAKERLHLFAADLMKDGSFDEMVDGCEGVFLTAYPLKPVVSDPEGVVFLLFLLHVEAELLDPAVKGTLNVLQSCARVSSVKRVVVTSSMASIAYNRELKNGVVVDESWFSEASYSVERKLWYILSRTLAETAAWNFSKEHGIEMITIHPSWIVGPHLQPSINISVQLILNLLNGDESFPYATFNWVDVRDVAYAHVLAFENPSASGRYCLVERAAHTSQVIKILQELYPTHQFPDKFSHDSILINPDYSVSNEKAKALGVEFIPLEESLKDTIEGFKKKNLVSL >CDO99784 pep chromosome:AUK_PRJEB4211_v1:2:8898767:8913274:-1 gene:GSCOC_T00029474001 transcript:CDO99784 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRH2 [Source:Projected from Arabidopsis thaliana (AT3G54870) UniProtKB/TrEMBL;Acc:A0A178V659] MASSSSTTASVGRPSSSHRSERPPLSTSASASAASNVNSSRSSRSSIPTSLSSSTNGIPTSRRSRSLTPSSRTPSPSPSSSSCLSNDPEPGRVRVAVRIRPRIAADLSDADYPDCVEVQPELKKLKLRKNNWSAEYFRFDEVFATSASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGRTGKDDDSKRGIMVRALEDITTNTSPTSDCVEISYLQLYMESIQDLLAPEKTNIPIVEDGRTGEVSVPGATVVKINSMDQFVQLLHMGEANRHAANTKLNTESSRSHAILMVFIRRSVPEQEGLDISLRETEVDKKTGRPGYPVPTIRKSKLLIVDLAGSERLDKSGSEGHLREEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGSARTSLIVTIGPSSRHHAETSSTIMFGQRAMKIVNAVKLKEEFDYESLCRKLEIQVDHLTEEVDKQQKLREKYRSEMEIKLREYQYSLAEAEKSLASRSEFLEQENASLEFRMKDFVKELKSLKDHNDLMHDEIARLEKSMKNNKLLEKENSQLAQKLKDVLDDLSQQKNYNDVMRDEVASLEMSLKLSKQQQPENSTYQKVLVDTTQMYEKELADLMKQSENSHALAESAEGHLEELKKKLTDNQILMQHVQMENSRYQKTLAETTQMYEGKIASLTREINEEHARYIDAQEQLNILRKLSSGQQNSVQIEEQKEIRELKSRLQEMHQVHEATGNELQSLKKEHNDLEAEKGRLNDEIHTLRQALKVEEMRRKAAENDLVNIKKVVPESEDGFEEKRSYTKEKLNRGPSSFGQRSSIAKICEEVGLQKILSLLTCEELDVQIHAVKVVANLAAEESNQERIVHEGGLDALLMLLQSSENTTILRVASGAIANLAMNELNQGLIASKAGVRLLANTATKSDDPQTLRMVAGAIANLCGNESLHVILREDAAIKALVKMARYGNIDVIAQVARGMANFAKCESRGILQGNRRGRSPLVEDNALAWLIANSNTTSSSARRHIELALCHLAQNEYNAKDFVSSGGLKELSRISVESSREDIRNLANRTLKLSSAFQAAVDAL >CDP18254 pep chromosome:AUK_PRJEB4211_v1:2:48618959:48631035:-1 gene:GSCOC_T00011820001 transcript:CDP18254 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCIHYCSLPCLLLTVLVQSSKLSANYSSFCGSFYSPYLVSQARKMGFISRKVFPACGNMCVCCPALRSRSRQPVKRYKKLLADIFPKSPDSPSNERKVVKLCEYAAKNPFRIPKITKYLEDRCYKELRSQNIKFVEIVVEAYNKLLSICKDQMAYFAINLLSLVVELLDESKQDAVRIIGCQMLTQFIYSQVDGTYSYNLESLVHKVSIIAHETGEEPQKHRLRASSLQSLSAMVWFMGEFSHIFAAFDKIVHSTLVNYDPDRHNENDEDGGEAHHNWVDEVIRCEGRGVGEFSPSSINIRPRPDWKDPSQLVREEVEKPNIWAQICVQRMMELAKESTTIRRVLDPMFVYFDSGRHWVPPHGLALVVLSDMCYFMESSGNQVVILASVVRHLDHKNIVHDPQIKSFVVQTATALAQQIRSGTVLLDVGFVSDICRHLRKCLQATFESDGEKEVDMNLTLQTSIEDLLLETAKGISDGRPLYDIMAMSMEKLSTVKVIARATIGSLVILAHMISLAAVSSHVQQGFPDMLLVQLLKVMLHPDVKVRVGGHHVLSILLIPSSNLTRKDVSVYTKRWHSNSSSTFDSVAALLEKLRRGKDGTKLKNGYSIQDDSKERDVEEELHQGWARRNSPNFNKISYIIDKTPGSASLIEAEPSVMKFNKDQITQVLTALWIQANLSDNLPANIEAIAHSFFLTLITSRLKSPRGNLIIRFFHFPLSLLKMSLDSNNGTFSPAYRRSLIVLSTAMLMFTAKIYHIADLINLIKTSVDFDVDPYVGINDDIQVYVRPQADVREYGSPGDNQEAAALLSQLHGKIKQSEKAILDLLVASLSTITGLEEEDLINQLSEAFTPDDVLMFGPLDFDHVHGVPFSKESPSFDGEFPANVLSEDDIISESSVVDISRFIKTPMSPCPSMSHVVSIGQLLESALEVAGQVAGTSVSTSPLPYHTMASQCEALGSDARKKLSNWLTNDGHFVKTDTTFPPNPGYYGLSAIRKASSEDGPVSGLEMPKESWLALRLPPASPFDNFLRAARG >CDO97340 pep chromosome:AUK_PRJEB4211_v1:2:18272603:18279464:-1 gene:GSCOC_T00014657001 transcript:CDO97340 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIEMVSDSNDQKNACQNPTQVAIVDIFSASAYGDFDKLRNFVENDGVSVSQPDGNGYYALQWAALNNFADVAQYIIQRGGDVNASDNSGQTALHWAAVRGSIAAADVLLQNGARVEAVDVNGYRAVHVAAQYGQTAFLNHIVAKYYAEFDVPDNDGRSPLHWAAYKGYSDTIRLLLFRDAYQGRQDKEGCTPLHWAALRGYAEACTILLHTGTKEELLVKDKAGFTPVQLAFDKGHRHIGLSLSDALRAHSKGWQNKIFPWKNGNIGYAPILFSLVVFNIILFMNSVIFASNMTKVTAVVGLWGWTGVSLAVAALVMFVRCSSKDPGYIKTGMDSHFDSEDPLLNIDLNSSSVWTGNWSQLCPTCKIIRPVRSKHCPICKHCVEQFDHHCPWISNCVGKRNKRDFFIFLCLGMMTSLIGAAVALQRIWTSVFVLQGETWIHHVIFEHPGVVAFLIMDGSILLAAATLLIVQVSQISRNITTNEMANAMRYGYLRGPDGRFRNPYNHGCRKNCSDFVIRGYTDDNEIAWPPLQQVAR >CDP00025 pep chromosome:AUK_PRJEB4211_v1:2:6839392:6843474:1 gene:GSCOC_T00029782001 transcript:CDP00025 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNQNTPSVIARLMGIDELPPQQPSNRQLKVLSEDYLRKSASIGMLGESSLLSSRLTRKTAEKQYAVKHVFSSEIPENGKRSSQSIPGLKPNQATIPGLHLQRSDIFHQKGDMESGEYVELLQYKSRVLNAKVTETEKMTTEPPTYCFMDPWQVENRSAKEKWKYMSGRQRYASRSRITTEDSSVHAPKALVTSSQGSFGWKHGEQVSCPFHSGSFFASEAKKQIFERWKTTRSFPDIEVSGRRCSLGQMFAIQDKEARPTSLYSKLEENRFSNPSCLEKESSEFGISLVSTKVGSTNKYIRNLRRPKLLKYSPFASESTSFKTRVEASKNSCCSGKKETVTDKHQKLNSEKFYGKDSLESGDSTVIREKQHQYLSADLECSHNPDYELSNSKILSSDSDDNVSLEVNQGVQDDLEKGMHKEAEGYSCSDVSDNLARQKSSCDSPGEEFILRCTAKDSEFAINLREINQPSPDSVLEPLFKEENPPDSEIFKSSISDLSGLALKLHLLNPKSEETNSEGSGMAVSSDEDTEKESVDLSRDNGKLWGMSRPEESRDFSYLVDVLDEDNLFGMDLAIWHGRESPVSPSVFEALEKKYGKQTSWAKSERRLLFDLINSRLRDIIDSCMDFCMSRKPLRRRLSSNLSRDDIEEELWMLLSQDKEVRKDLGDKVLGGEMKWMELEGDISIICREIVEYLIDELAAEFCSSESP >CDP14059 pep chromosome:AUK_PRJEB4211_v1:2:5463378:5464285:1 gene:GSCOC_T00039244001 transcript:CDP14059 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKKGDTTASSTTSNSKNTPKKANLLDHHSIKHLLDESVTEIVTGKGFIEDVRMSNIRLLMGSVIIIIALFAQFYNKKFPENRNFLIGCIVLYPFRVFNVVVIDRNFILVIVLHFLCHLNLSFYDRDRKLVEKK >CDP18257 pep chromosome:AUK_PRJEB4211_v1:2:48707726:48709253:1 gene:GSCOC_T00011828001 transcript:CDP18257 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCYSNWGELEQHHDLEMTLQFAQPPAAELSPELLGFNHMNFAFSDPYLDPILESQDLVYSDNYTSLLPHFSSPSDENPNSLYSLVPEVFPELEYQPCHSAKRQKIFEGCYNNSEVLPSSFGSRFIPNPPLIQELSPPEILSPFSGSLASEPPVFCSGGTGEMTVKKASNGGTLSSQSIAARQRRRKITEKTQELGKLIPGGQKMNTAEMFQAASKYIKFLQAQVGILETLASVQENGKSLQNEELNPLLGSSLIQEKLYSMEMCLAPQKFVQSLAEDNGIELNTRALNDCKELIGIGC >CDO99535 pep chromosome:AUK_PRJEB4211_v1:2:11543572:11545620:1 gene:GSCOC_T00029160001 transcript:CDO99535 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase VII.1 [Source:Projected from Arabidopsis thaliana (AT4G04960) UniProtKB/Swiss-Prot;Acc:Q9S9U1] MKNRPQILLPYLLFAILSIQSVLAIDFVYNSFNSSSISLYGNATIESHILTLTNETVFSIGRALYPAKIVTKQATSSYVLPFSTSFIFAMAPNKKYQPGHGIVFLFVPETGIEGTDAAQNLGLLNLTNNGLPGNHLFGVEFDVFKNEEFKDISDNHVGLDVNSLVSEVANDAGYWPDDGQPFKPLKMNDGKTYQVWIDYADSRVKVTMAPTGIKRPKQPLLNATLNLSQVFQDEMYVGFTSATGQLVESHKILAWSFSNTNFSLSDALISSGLPSFELPKDPIYKEKVFIAGMTVGLFILLLVGSLISVFLIKNSQRRKREREEMEDWELEYWPHRITYQEIEAATKNFSDENVIGVGGNGKVYRGIMPGGAAVAVKRISHQNSEGMREFISEISSLGRLKHRNLVGLRGWCKKEKGSFILVYDYMENGSLDKRIFGCDESKMLNCEDRLRIVKDVASALSYLHEGWEAKVLHRDIKASNVLLDKDMNARLGDFGLARLHDHGQVAATTMVVGTVGYMAPELVKNGRASTQTDVFGFGVLILEVICGRQPIEEGKPPLVDWAWELMRRGELLNATDERLRLEGKCDEEEVEKMMHLGLLCAHPDPSVRPTMRQVVKFFEGKTDADESDGEDMDVHLLQRMKSRDIWPNCSISIGSHPTFDEIRVDLSSSMSLSWSKSMVEGR >CDO97376 pep chromosome:AUK_PRJEB4211_v1:2:18563931:18569352:-1 gene:GSCOC_T00014699001 transcript:CDO97376 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLNGRRRHKRPYKDRYVNVPFQVDEDGSAGGFDADDIGAVDERINSGAREMRAVNSMPIATILTSPGGAPMASRTSELTISFEGEVYVFPAVPPEKVQAVLLLLGGRDVPRSIRSSEFLLQQNCKTTDDGSSQPNVSRRIASLVRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASLKDAGKAAENWESGEVTPHQEHVSRRCQHCGVSEKSTPAMRRGPGGPRTLCNACGLMWANKGTLRDLTKGGRSACFDQSDPETPEMKASSMEPENSYHNQDEEGSSEDAKPMFVESGCPSLGPNAQDLLETAQGLSGDSPVGLGNSSINLDEQETLDELANASGTEFEIPSNFDEQVWLSSNADLE >CDP07744 pep chromosome:AUK_PRJEB4211_v1:2:25648743:25651180:-1 gene:GSCOC_T00025098001 transcript:CDP07744 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYKEKYKKELQEKERSKQRSKVSLRNGGCKEVRFAAKITPNDLQIKADMAKRLMESGYRVKCVAIGNVDKGEDSATLFSRFSALIEDIAVVETDTRVEEKDQAHVIMRHVKYGPLKKGSLKRASMDKKAASASVQEVGGDDDVLSEERDDVEQKEISGWTTANADDDFDELFDLNDNADGVSKSSRSEKFSIAREPSSSSEGGSSHYPRPGGRDTLQSGSQFPDRVRQPPLNMNASPQRRETEGVNRAYPASTNSRNHGQNFAPDNPDPQGPSYGVFRARQGNDAHGKQNAPAEVNRYKQRNAPDSRRNSSPPRAAGHQNGPMSDFKFGREQAVNRDEQGRWGVFSGESTNVIPNRTFDGQAKVQR >CDP18255 pep chromosome:AUK_PRJEB4211_v1:2:48637954:48643137:-1 gene:GSCOC_T00011821001 transcript:CDP18255 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPSKHSRDQAQDFEGLLNNLQDWELSFKDKDKKLKSQSRGKEKADLLAQRHNVANANQLSSSSRVGERHAVEKNANEDIGDAAKKYDYLRNYDAMRQLSSSFMTEESSVDANSEKELGNEYFKHKKFNEAIDCYSRSIALFEEAESDCTEALNLDDRYIKAYSRRSTARKELGKLKEAYDDAEFALRLEPQNQEIKKQYAEAKSLLDKEILKKVSRASTSSVQGLQKAGKSKVETNKAVNGVKPVSSDFNSGMVAEVQDDHTKGNNGEIPLKTAMRLDGTSMKSKDQNGNASHESALQNTALETTKRSSTNGKHELKTSVQELAARAATLAKAEAAKIIAPPNSAYQFEVSWRGLSGDRSLQAQLLKATPPTSLPQIFKNALSASLLMDILGCIATFFIEDVDLAVKFLENLTKIPRFDMIVMCLSSADKADLFRMWNEVFSQTTPEYAEILSRLRSRYRLQN >CDP08674 pep chromosome:AUK_PRJEB4211_v1:2:53926624:53930150:1 gene:GSCOC_T00027722001 transcript:CDP08674 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLINSRFDAFAFHLSKSNLKSLESLHSLSGFNFQNSKKLKSRSVLTDTNNPRNPNSSWGIYRSPLRKRPFSAFLPIIRKIKDIALKASKIKWASSFQGCSSLEALPCEFEGKFSQNGAIGIALLSVTSTAKVKISPFVATLAANPTFVSGLFAWAIAQSIKVFLNFWVERKWDLRIMFASGGMPSSHSALCTALTTSVAICHGVADSLFPVCLWFSLIVMYDAIGVRRHAGMQAEVLNVIIQDLFQGHPISQRKLKELLGHTPSQVVAGALLGSIVAWICCQGCAIAM >CDP13965 pep chromosome:AUK_PRJEB4211_v1:2:4650727:4653562:1 gene:GSCOC_T00039117001 transcript:CDP13965 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSSIFLLFGLLLLFANAVSLGNAVVHSHQFVIQATPVKRLCNTHSTITVNGQYPGPTLEVNNGDSLEIEVINKAQYNLTIHWHGVRQMRTAWSDGPEFVTQCPIRPGGSYTYRFTIQGQEGTLWWHAHSSWLRATVYGGLIIRPKEGDSYPFPKPKRESLLLLGEWWDANPMDVVREATRTGATPNVSDAFTINGQPGDLLKCSSNGTTIVPVDSGETNLIRVVNSGLNQQLFLTIANHKLTVVGADASYVKPFTTSVLMLGPGQTTDVLITTDQPPARYYIAARAYASAQGAPFDNTTTTAILEYKTAPCPAKGVSIKPILPSLPAFNDTATATAFSKSFRSPRKVPVPTDIDESLFFTVGLGLQNCPPGASSQNCQGPNGTRFTASMNNVSFVLPSSFSLLQSHQQGIPGVFTTDFPAAPPVQFDYTGNVSRSLWQPVRATKVYKLKYGARVQLVLQGTSIFTAENHPIHLHGYDFYLIAEGFGNFNPKTDTAKFNLVDPPLRNTASVPVQGWSVIRFVADNPGVWLLHCHLDVHITWGLAMAFIVEDGAGLLEKLEEAPADLPVC >CDP09488 pep chromosome:AUK_PRJEB4211_v1:2:21586089:21592052:-1 gene:GSCOC_T00028870001 transcript:CDP09488 gene_biotype:protein_coding transcript_biotype:protein_coding MENGWDLFNITTNNNLIGKTHHHNNSSNSSNNNNNTNCTSDSNNARAMWDSGTIATPKIDWNTNNLDKSSSFFNLDNTPAPTAAAVIASQQEEKGAVHALMFDNVSSSPFPSCLYGRGGGGGGSHPQCCYPDPHLMCLKLGKRHYFGDAAAPLGDRQGAAAGGFSMTTKRGKPYYDAAAGLLVGPSTMTAAAAAAAAAAGATVAVTPAAVPRCQVDGCHVALLNAKDYHRRHKVCEQHSKAPKVVVLGLEQRFCQQCSRFHAVEEFDESKRSCRRRLAGHNERRRKSSQDQSAPRNQTQVNGKLMTCSGRHPYYPLSSGCALSLLSSKNDSWISSADLPARCSAALRELIAENRAAILARQLILDKEWHWHNHPTEDLNSARQNGSNAFANYQQLMATGSHSWDRINEAGEHVTLDLMQAPNSAFSFLSMRGKSKEDEECPGLWGSFGGAHVV >CDP07785 pep chromosome:AUK_PRJEB4211_v1:2:26417210:26421318:1 gene:GSCOC_T00025166001 transcript:CDP07785 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNFSYFEAGENKQALVKLVTCEKCAEKLLYKNQKEKKQSVQREYVEHSRKRERSESDDDSDSHYDRRKDKRKGRKASTSIRDDNDNDDDNIDEYLEGMFP >CDO96865 pep chromosome:AUK_PRJEB4211_v1:2:14097394:14107515:1 gene:GSCOC_T00014026001 transcript:CDO96865 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVVRDRVLKDANGNISDHLRNHIHLTNCIHLKNMHKHSPMLADKSLMRDLVILQKSRSLRDPSTSPPSWHSPVDLLLKRGEKESTIGNGRRSVGIERPRAVGGMSGSSPSVADLPTAKVTAGEVHRHMDGVAAVSEHSSKNGARERRRVKREESSGRNFGTDLMVEKDEHDLSRDGSTLRHDNASGSSGFRDEGVKHKGRHSQVDHIKTLSEQLNELPGDSDDAALSRVHVHARHSLTDQIAEDAEATIRGYSSGLNRGKRRKFRGARKMRASVAPRQFGTQSEMSVASNSFASGAAHQKYQLGEADEGYGHQHVTRAPRNGCGIPWNWSRIHHRGKSFLDMAGRSLSCGLSDSRLKKGGPLPQGSEVPDIPMMSDHSCSSTRSDAEALPLLLDASGSQGSTENAAWFHDYSGELGIFADNLLRRELDSDLASEARYGEQYKHRGPRNGRHQNLTQKYMPKSFRDLVGQNLVAQALSNAVTKRKVGLLYVFYGPHGTGKTSTARIFARALNCQALEHPKPCGFCNPCVAHDIGKSRNIREIGPISNFDFESIIDLLDNMIVSQMPSQYRVFIFDDCDSLSPDCWSAIVKVIDRAPRRVVFVLVCSSLDVLPHIIISRCQKFFFPKLKDADVIYTLQWIASKEGLEIDKDALKLIASKSDGSLRDAEMTLEQLSLLGERISVPLVQEMVGLISDEKLVDLLDLALSADTVNTVKNLREIMESGVEPLALMSQLATVITDILAGGYNFTKDGPRRKFFQTQALSKEDMEKLRQALKTLSEAEKQLRMSNDRLTWLTAALLQLAPDPQYILPSSSGDTSFNHSPLDLNNVAVRDRPRKSSGERAEVPKERGLSTRVRKGNVQTGNAGGFNYSGRLKGTSLDTGTSNTTVPQQAYSFSSKRDKVSSRQLQGKCRSEIEEIWLDVLRKIQGDSLREFLFQEGKLISVSFGAAPTVQLIFSSHLMKSKAEKFGAHILQAFECVLGAPVTIEIRCDSSTDVKAGPIVLPASQDGLSHAEKSRISLSSNKVPGISRSNHRDRDSSTQVQFSSAGLRRSEIVELDTSPREAKGNEHLKNDAQGDRENVASASVGGGTVPEGRKLGDRNQSLSLVRGKVSLAHVIQEGCSQHSGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKASRVTRRKLSRLKVRGRKPQTLLKFVSCGRCLSGRSPR >CDP05274 pep chromosome:AUK_PRJEB4211_v1:2:2933318:2935366:-1 gene:GSCOC_T00020260001 transcript:CDP05274 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRELPPTPQPPPLHHLEPPLSGSVAAAFSLLILFTFCFRKVSRKRTVPSSDTDSKPPHRFSYSSLRRATSAFCPSLRLGQGGFGSVYRGTLKPGIQVAVKLMDSGSLQGEREFQNELFLAGRIDSKYVVSVLGFSSDPRRRRMLLVYELMASGSLQDCLLHRKCAELKDWNKRFSIALDIAKGLEYLHHFCDPPIVHGDIKPSNVLLDANFDAKIGDFGLARLKSDEGQVEIEVKQEGNSVNGAVEDNGSVAEETESVITASVCDGCDGGVEQSPESCFVAVEASPETVLTAELSPETTMVVSPRTAAAMATPLEGLDENSVLAANFDRNGVQSGGELGSGGGKIAKKKKQSFSGKDWWWKQDNGDVESGVVKDYVMEWIGNEIKKERPKSEWIGTSSTSAAVGKSEKQKKKKYRRRLDWWVSLDDDKNVKKDKRRPAREWWREEYCEELERKKKKKKKLEQGSVSDDCYSENWWPRDDDMYSDKKKKRSRSRRTSKSSMDWWLDGLSGDLWRARRNSYDSASGEIPKSGGISSTPSMRGTVCYIAPEYGVGGDLSEKCDVYSFGVLLLVLIAGRRPLQVSGSPMSEFQRANLLSWARHLARAGKLIDLVDQSVHSLDKEQALLCITVALLCLQKSPARRPSMKEVVGVLSGDLESPKLPIEFSPSPPSRFPYKSRKRVQ >CDP17234 pep chromosome:AUK_PRJEB4211_v1:2:48148182:48149315:-1 gene:GSCOC_T00000723001 transcript:CDP17234 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNKKVEEEILPPQEMITRTADEKCCVDCKTTKTPLWRSGPAGPKSLCNACGIRHRKKRAATGTSPATSTATVGLDKEEEKIKKEKHEETIVKKKRRANIRDGKCGKLSVGWRQRFRAFGQGVVLYQRQRSPVLRKRQSIHHRKLGEVEQAAVLLMALSCGSVFA >CDO96873 pep chromosome:AUK_PRJEB4211_v1:2:14200934:14201699:-1 gene:GSCOC_T00014037001 transcript:CDO96873 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQDGENSIFHRVDWYRVVLDEAHTIKSSKTLGAQAAFKLSSYCMWCLTGTPLQNKLEDLYNLLCFLHVEPWSVTIQWQKLIQKPYESGDYRGIKLIKAIWRPLKLYYLQTEVIIISFYVITVSFYFFNSL >CDP17817 pep chromosome:AUK_PRJEB4211_v1:2:27128964:27135933:-1 gene:GSCOC_T00009434001 transcript:CDP17817 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGASGYIASWLVKLLLERGYTVKASIRDLNDPRKTEFLEALDGAKERLHLFQANLLEEGSFDAVVDGCEGVFHAASPVQLSVSNPQAQLLDPAVKGTLNVLQSCAKVSSIKRVILTSSLAAVATNDELKDGVIVDESWFSDPLYCEKHKLWYQLSKILAENAAWNFSKEHGIDMIAINPGMVIGPFLQPSTTFSAEVILSLVNGIDPFPTVVIPWVDVRDVVYSHIVAFEIASASGRYCVAERTAGCCELIKILTELFPTLQLPDKCSNGSPLIQQKYDVSNGKVKGLGIEFMPLEVSLKDTIESFKEMKLVSL >CDO97152 pep chromosome:AUK_PRJEB4211_v1:2:16848367:16851789:1 gene:GSCOC_T00014403001 transcript:CDO97152 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFWVSQGNKWCDFCKIFISNNPSSIRNHELGQRHKDNVAQRLNSMREQKLAKEKEQKEAARALEQIEAKAKRSYQKDMASFQEARDSNLQALVTQGDGNGTANGFSGEWEMDGSSGYYYNQTSGFYYDPNSGFYYTEALGKWVPQEEALASIRVTSGSIQKKLALKKPSSSEARPSTLHNKGSVTSQSAPPPGPVVSNALNPMRTAKGAPSKLTLNKRKRQDEKPKVVSAEEVAALKAREAAKKRVEEREKSLLGLYKH >CDP08810 pep chromosome:AUK_PRJEB4211_v1:2:52787657:52792031:-1 gene:GSCOC_T00027914001 transcript:CDP08810 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSQRRRLRYEKDQTGCISGLISIFDFRHGRSTKKLLPDRRKGSRKADGAGSSQTGLMSPDSDGNCQDSEDGRESGIASVDTVKTSVKKLMEEEMVNEQDPNKQSSDSEMGHPEHGCHTRKDRQHRKKASKGSSDINICDLDAMKDLGTDKSGDQVDSQKTSDKIDFEIIMHLLHDHFDVPADQASAVDEGKLSAAIKIFIDQNSSNIKHSRDNGQVQQSTESMDALNRLSLEKDLLLKLLQDPNSLLVKQIEGLESAHLEKGLLHSNSLPRSGFVEDKLSHSKTDDLINHKQHRNFFRRRSKSQESFPSMGSDKCQSSSKIVILKPGPATLQQQNTEIHISTSMQSHNPEGVKIQGERSQFSFTEIKRKLKHAIRKERQGTSPDGITHRTLSEHQKRHDFEKGIGGENLGWRSPNRNHFYTERFAKPSINLNWDDKIGKPNDADPYTVKETSVHTKSGVANIYLEAKKHLLEMLSSGHNDTELISQQLPKSLGRILSFSEYNSSANSSPRKGTEDSSVTTESTLFSHGGIEIANETTDQVDEENLRKPSSSLKYCSEIEPSTTNASLDEKVETPEASRSLSCVHHHTDLDGEALSSGGDVMVAEGATGFEETTKGDHECYENSNAAHESSSSYSTEDDRNCDIAAQYHQEGLTQSMEMESFEQCQMLPSPSASPSHSSVTIKVEDFDGAIDRTDRPSPVSVLEPLFIEDDISPARTIRRPVEQEIQPRQIHFEEWRSSSDQGMCMQTSLNDEESAFEYVEAVLLGSGLIWDEYLLKWLSSSPILDSTLYDEVELFSSRSHHEQKLLFDCINEVLEEVCDRYFGCFLSMSSNKQSIRPVPTRMALIQEIWEGVEWHLQQDPSPQSLDQLLNKDMAKSRKWIDVRLDIQHIGTEMEEAILDKLVEDTVSSFIYDDLKNGSLSSSADLIEVRKVDL >CDP05199 pep chromosome:AUK_PRJEB4211_v1:2:2368120:2373401:-1 gene:GSCOC_T00020163001 transcript:CDP05199 gene_biotype:protein_coding transcript_biotype:protein_coding MREQVQSMLNGSGQYRHSAEKIGLPRLLAKNTVARSSLAIFFLILLCIGAFFSTRFLDSSATSLSVNSPKKSSFATIPVNPKNHRHKLEIPLNCSLGDATRTCPPNYYPSKFSKPNPHPSSTTTQLTCPDYFRWIHEDLWPWRETGITRAMVKTASKTANFRLVILNGTAYVETYQKAFQSRDTFTLWGILQLLRRYPGQVPDLDLMFDCVDWPVIKKDTYHGPNATAPPPLFRYCGDDTTLDIVFPDWSFWGWPEINIKPWEALSEDLKRGNERSRWVDREPYAYWKGNPHVAETRMDLLKCNASDKQDWGARVYAQDWIQEQQQGYKQSDLASQCIHKYKIYIEGSAWSVSEKYILACDSVTLVVKPRYYDFFTRGLMPLQHYWPIRDDGKCRSIKYAVDWGSSNEEKAQTIGKAASKFVQDELKMDFVYDYMFHLLNGYAKLLKYKPSVPPKAIELCSELMACPAKGFEKKFMVDSTVRGPSSETPCVMPPPYDPATFHSIIDRKQRSIQQVETWEQQYWDHRSKRV >CDO96735 pep chromosome:AUK_PRJEB4211_v1:2:12486631:12488256:1 gene:GSCOC_T00013847001 transcript:CDO96735 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFNNDTKSLFSYSFHILLVLRLDYKFDGKHIEWQYLEVVRKAIAAGFFANACRLEPYSYNGMYKTVRTSQEVYVHPSSVLFRVNPKWVIYNSLVSTDRQYMRNVISIDPSWLREAAPHFYQHQQPNPIAH >CDO96770 pep chromosome:AUK_PRJEB4211_v1:2:12918105:12921185:1 gene:GSCOC_T00013894001 transcript:CDO96770 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSNSFTIEEATIQEIHQAYAENKLTTRQLVDFYLQKIDTLNPILRGVIEDVFGTNDKMNTTAGSYALMGSKVPRDAGVVEKLRKAGAIILGKASMSEWYKFRSLSGVPNGWCARAGQGVNPYVHSETPCGSSSGSAISVAANMVAVSLGTETHSSIICPADHNSVVGFKPTVGLTSRAGVIPMVPRWDTVGPLCRTVSDAVYLLDVIAGYDPRDAATIEASKFFPNGGYKQFLRRDGLRGKRLGVVRHPFLEKIHDSAESASFKHHVDKIRQEGAVVVDNLKIADVETILEPNHSGEILVMMAEFKTSINAYLKELIDSPVSSLADIIAFNENNPELEKLNDHDQHTFISAEGTEGFGDQEKAAAEMLDNLSKNGFEKMMQEYQLDAMVTPGSRGCAVLAIGGFPGITVPAGYGKDGMPFGICFGGLKGSEPKLIEIAYAFEQATRVRRPPPSFV >CDO96922 pep chromosome:AUK_PRJEB4211_v1:2:15050056:15056231:1 gene:GSCOC_T00014108001 transcript:CDO96922 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFVVDAEYLKEVDKARRDLRALISSKNCAPIMLRLAWHDAGTYDVNTKTGGPNGSIRNEEEYSHSANSGLRIALNFCEEVKSRHPKITYADLYQLAGVVAVEVTGGPTIDFVAGRKDSMISPKEGRLPDANKGVPHLRDVFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTKEPLKFDNSYFVELLKGESDGLLKLPTDIALLEDPEFRRLVELYAKDEDAFFRDYAVSHKKLSELGFTPHSSGSKATVKDSTILVQSAVGVAVAAAVVVLSYLYEVRKKIK >CDP13938 pep chromosome:AUK_PRJEB4211_v1:2:4498504:4499531:1 gene:GSCOC_T00039083001 transcript:CDP13938 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPVCNFMSSPTLVRPLKYTICGSCFEGARSVMALMNKLDHNAGANKSAANKGFANALRWVKEMKETEDELRDRLSYLAGFVSAFKDQIHTDIQVKPGGGGPCIPAHRALLAARSTIFRNMLDFDGCMAPSQDIVKLPELNYEELEAFLEFLYSGNLPREKIEQHVYSLSVAADKYEVPFLQKFCEQHMLRTLNSSNALDVLEISDTCSSQSLKEATLRFIVQSMEDIVFSSKFDAFALKNPHLSVQITRTSCIDSKTRRNGI >CDP05258 pep chromosome:AUK_PRJEB4211_v1:2:2789118:2790065:1 gene:GSCOC_T00020237001 transcript:CDP05258 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPTSSSALMIADGASWFCAAVIVALVLVSAVKEDSVPYEPVRGNLQLLNRACDEIYVVGEGETLHTISDKCGDPFIVEQNPHIHDPDDVFPGLVIKITPRPNQP >CDP00141 pep chromosome:AUK_PRJEB4211_v1:2:5840145:5844128:1 gene:GSCOC_T00029950001 transcript:CDP00141 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLFGSPGKVSGLLLRMGQCFFAAASLGVMASASGFSTATAFCYLIASMGLQLLWSFGLACFDVHALRLKRDLHNHIFVSLFVVGDWVTATLSLAASCSSAGVIVLLIKDTTICRTETKLSCDMFQISVGLAFVSWFLLAISSYVMFLLAASA >CDO99538 pep chromosome:AUK_PRJEB4211_v1:2:11490975:11497193:-1 gene:GSCOC_T00029165001 transcript:CDO99538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDLRLTDFDSFSESSSSEDQDDVEFLYGGHASSILSSLEESIGKIDDFLSFERGFMHGDIVCSAKDPSGQMGKVVDVDLTVDLENVHGSKIRDVNCKILKKIRSVSVGDYVVYGAWLGKVQNIVDCITVLFDDGTKSEFSTMGPEKLVPISPDLLEDSLYPFYPGQRVQVESLPVSKPTRWLCGMKKDNRNQGTVCSVDAGLVYVNWLGCEVVGQKISTPSSLQDLKNLTLLPCFTQANWQLGDWCIPVIDCKALNENSFLSPPAKGLIDGKEKSEGISQRGNLVHHFQGIAVITKTKTKVNVLWQDGGFSVGLDSNSLLPVSVVDAHDFWPEQFVLEKGICDDLPVPSVQRWGIVKCVDAKERTVKVEWRTVSIDQATSVKLEQMEEIVSAYELVEHPDYSYAVGAVVIRLQKYHFVYQSSGKVSGNHLISKWGAVTGTETYPTYTDTCECLNGNSCHDFSCCFGIVVGFKGGDVQVKWANGATTMVAPYEIYQVDKFEGLSATVAPYNGHTEPSNEEMIVLGDQSLEYMEKDQSGFKADREEIENKLISSSSSSFPQAAIGLFTTITSTLFGSLGSSLFGAYRWLSKDEEKAVIFSEEELELCDIHPVSTPVDIGEIETTKETNSVQHTKEVEEEKDCVLPCNNKHPEFFRRFDMVNDCSDHHFVDGAGKGRQSSQMNRAWLKRVQKEWSILEKNLPETVFVRTYEERMDLLRAVLVGAPGTPYHDGLFFFDIFLPLEYPYQPPMVYYNSGGLRVNPNLYESGKICLSLLNTWTGSGSEVWNPENSTILQVLLSLQALVLNEKPYFNEAGYDSQIGKSEGEKNSVSYNENAFLVNCKSMLYLLRKPPKHFEALVEEHFSQHCKHILLACKAYMNGAPVGSAYGNEKIAQGIRIESSMGFKIMLTKLFPRLVEAFSSKGMDCSDVLKQDSNH >CDP07735 pep chromosome:AUK_PRJEB4211_v1:2:25557739:25559436:1 gene:GSCOC_T00025086001 transcript:CDP07735 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMKVSLAALVTLAFCFSANACPPGDRAALLAFKAALNEPYLGIFNSWTGTDCCTNWYGVSCDPDRRVADIVLRGESEDPIFEKAGRSGYMSGEIAASVCQLDRLTTLVVADWKAIAGEIPACIPSSLPILRIFDIVGNQISGKIPADIGSLGRLTVLNLADNKLTGAIPPSIVNLGSLMHLDLSNNKLTGEIPSDVGKLTMMSRALLSRNQLTGSIPSSFANIYRLADIDLSMNRISGSIPAQLGRMPVLSTLNLDSNRLSGSIPTSLLSSAGLNVLNISRNSLEGNLPDVFGPKTYFTVLDLSYNQLRGSIPKSLSSAKYIGHLDLSYNHLCGPIPVGSPFDHLEASSFANNDCLCGSPLRTC >CDO99668 pep chromosome:AUK_PRJEB4211_v1:2:10043032:10057595:-1 gene:GSCOC_T00029333001 transcript:CDO99668 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASLLLLVFYKILFILPVYCAPNDSITPSQPLKVGQTLISTGQIFELGFFSPGKASELYIGIWYKIDPDRRIVWVANRGNALLADDLASRLIISSDGNLKLMDGKQDIVWSTNASVQSNTTIAVLTDDGDFILKDKISGATLWESFYYPSDTILATMQLGFNGRSGQKSFLTSWENDKDPAPGKFVVGLSDEKPPQAFTWNGTKPYWRGGPWDGWKFIGIEDEDKGYANGISLTTNNQIGASCMTFNNFNKSYISIMVILPTGMLEILHWEGQQNQWNVSWAAPQHPCDVYGTCGPFSSCSKSRSPPCECLKGFFPLSNEEWSKGNWTSGCVRGTQLMCDGNSSNLISKASKPDGFWKLSHMKLPDHYLYLYDETDQGGCSQWCLSNCSCLAYACPDGIGCMVWVTDLVDIQQFSNDGEDLYLRLANTELGVKKRYTATIISVLSISVGSLLGLLICCVKRWRANRRDISQEDVQEGPASAKRSSELPIIDFKRVKRATNNFSEANKLGEGGFGAVYKGKLEDGQLIAVKRLSTFKSLRTRHGGIVILEYMKNKSLDKFLFVLSNFLCIHRTKRLELDWAKRFNIIQGIARGLLYLHRDSCLRIIHRDLKASNILLDDDMNPKISDFGLARTFRVTQELANTLRVVGTFGYMSPEYAMGGLFSEKSDVYSFGVLLLEIVSSKKNTGFGYHEKYLNLLGYAWQLWNECKAPELLDPSLADSCTPAEVMRCIQIGLLCVQDHAADRPTMSNVVLMLSSSESEMELPQPRQPTFTFQSLLESEHFQSGVCTFNVSTNEVSISLVEAGASQVVTRTRARPSRYSHPADGRSSGANVKSTGPGEHLLLLVFYNILFILPVCCASNDTITPSQPLKVGQTLISARQIFELGFFSPGKASELYVGIWYKFDPGRRIVWVANRENALSARDLASRLIISSDGNLKLLDGKQNTIWSTNASAHSNSTIAVLRDDGDFILEDNVSGATLWESFYYPSDTVLPNMTGMKNVSMSWENENDPAPGNFFNTLSDEKPPQAFTCNGTKPYWRGGPWNGWQFIGIPDATKGYANGMSLIPNNQTGAAYMTFSTFNESYIYIMVILPTGMLELLQWEGQQNQWNRLWAAPQHPCDVYGTCGPFTACSMSGSPICECLKGFYPQSNEEWSKGNWTSGCLRRTELMCTTNSSNLTYKASKPDGFWKLSQMKLPDHYLYLYDKTDQGGCSQWCLSNCSCLAYAYPDGIGCMVWVTHLVDIQQFSDGGEDLYLRLANSELGVKKRYTAIIISVLSISVGLLVGLLICCIKRWKANRRDISQEDVQEGPASAKGSSELPIINFNRVKRATNNFSEADKLGEGGFGAVYKGKLEDGQLIAVKRLSSHSGQGMEEFKNEVMLISKLQHRNLVRLLGYCIQGEEKIVILEYMKNKSLDKFLFDRTKRLKLDWAKRFNILQGIARGLLYLHRDSCLRIIHRDLKASNILLDDDMIPKISDFGLARTFRVTQELANTLRVVGTFGYMSPEYAMGGLFSEKSDVYSFGVLLLEIISSKKNTGFGYHEKYLNLLGYAWQLWNDCKAPELLDPSLADSCTPAEVIRCIQIGLLCVQDHAADRPTMSNVVLMLSSSESEMELPQPRQPTFTFQSLLESEHFQSGVCTFNVSTNEVSISLVEGR >CDP07656 pep chromosome:AUK_PRJEB4211_v1:2:24408811:24412150:1 gene:GSCOC_T00024979001 transcript:CDP07656 gene_biotype:protein_coding transcript_biotype:protein_coding MESNQVGAEVRRIHVIYFLSRKGRIEQPHLIRVHHLSRNGVHLRDVKRWLGELRGKDMPESFSWSYKRRYKSGYVWQDLLDEDLITPISDNEYVLKGSEISSTATIEEEDSDRIPSSTEENPDDDHHHHQETKMDQPTKISSPEIEEESPTFGSEQSSTLTDDSMKTKDRDQDQEKNSKKISAAPSSSASTSSTAQPSFSKSRSYSNASHLFRNLITCGAVETNDSVMLTISRRNKPPANANLSSLDKQNHLGGSQRIFGTNWNQQRQSSGRKSFDGVRASQKNNSEFNNQKTNAAHKPIYGPPCSQCGKPFKPEKLHAHMKSCKGMKAWAKYSGPAISTAAADKPAESPQENLVSGYYLTH >CDP15829 pep chromosome:AUK_PRJEB4211_v1:2:44267308:44271518:1 gene:GSCOC_T00016699001 transcript:CDP15829 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVYGNGGHRGMLSFHYSCSYSRFGLQNSRTVNCLSEDTGGAVRLRWQVLEQVDKELSKGDERAALSLVKNLQGKPGGLRCFDAARQVPQRLYTLDELKLNGIETASLLSPVDATLGSIERNLQVAALLGGVAAWNVFDLSPQQLLFFSLGLLFFWTLDGVSFNGGVSALLLDTIGHTFSQKYRNRVIQHEAGHFLTAYLLGILPRGYTLTSLDALNKEGSLNVQAGTAFVDFEFNEEVNSGKLSATMLNRFSCIALAGVASEYLLFGYAEGGLADIDKLDLLLKSLGFTQKKADSQVRWAVLNTVLILRRHEDARSKLAQAMSEGKSVGGCINIIEETIDDDDI >CDP14069 pep chromosome:AUK_PRJEB4211_v1:2:5537641:5541178:1 gene:GSCOC_T00039256001 transcript:CDP14069 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGTQQKCKACVKTVYPVELLSADGVSYHKSCFKCSHCKGTLKLSNYSSMEGVLYCKPHYEQLFKETGSFNKSFQSPAKSAEKLTPELASFPPYLSPSKAAGMFSGTQDKCATCGKTAYPLEKTINILVFNFLSRQPPLALHCTTIYFKKNDIGYNDLPVTVENQSYHKSCFKCSHGGCSLSPSNYAALDGILYCKPHFSQLFKEKGSYNHLIKSASIKRPATAVPDA >CDP05284 pep chromosome:AUK_PRJEB4211_v1:2:2992522:2996474:1 gene:GSCOC_T00020271001 transcript:CDP05284 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRCQRIGCDAVFTEDDNPDDSCTYHDAPIFHDGIKEWSCCKKRSYDFTLFLEIPGCKTGKHTTERPVLRRPAPQNRAISALPQENSVLTKETCPRCRQGFFCSDHGSQARDVNAKASKTVGVASSGSDSHLQEVCAPPVKKVIDINQPQTCKNKGCGKTFKEKDNHDTACSYHPGPAVFHDRMRGWKCCDVHVKEFDEFMTIPPCTQGWHNADAM >CDP07733 pep chromosome:AUK_PRJEB4211_v1:2:25514454:25521076:-1 gene:GSCOC_T00025084001 transcript:CDP07733 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKESSLFVNDGSFMERFKQLQQEKEQKEKEKLVPVEQLKSGPNLSGTSTPKLVIGKPMMSIKANDSRKTSQAASSGKLAFSLKQKPKLVAPPVKLGEDEDEEKTEAGNPSDDGPVKRQKLGEPDAFQQSSRQVDVAPPSPSDPTVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDQSCSDYKYYEYRLSEEEKALSESMDNQTPQSAGNMASANSTATSGSQRSYQQQSNYQIPASALYETAENMTASSASVPESSTGRSGESSALTAADPIAMMEYYMKKAAHEEKFRPPKASKDEMPPPASLQASGKKGHHMGDYIPPEELEKFLASCNDVAARNAAKEAAEKAKIQADNIGHKLLSKMGWKEGEGLGSSRSGIADPIMAGGVKKDNLGVGAQNPGEVTAEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >CDO99713 pep chromosome:AUK_PRJEB4211_v1:2:9556347:9558467:-1 gene:GSCOC_T00029386001 transcript:CDO99713 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPKEYKILIRNLSSSASESSNWRTRVQESQLTTQVSSILLQRQTKYWAPLLKSTLKLTKLHPSLFLRVLENTKSSPQMSLTFFNWAKKNLDFQPDLKSQCKLTHLLVGYGQAELAKPILDSIILDYPLGRIVSCFHKVANFETCSPVLCSVLEGYCRRGLFLEALEVYWKAKKLGTGTVSVYSCNALLSLLQDKNEVRLAWCFYGSMIRNGVSENQFTWSVVARILCKDGKFERICRILDMGICNPSVYSLIIQNYSERGKFDATFDYVAQMHDKKLDPSFSIYSSILDAACKYQDEKVINVVMAVMKDKGYLPKGLILEYDSIIQKVADLGKTYAAGLLFDRACAEKVELLDATYGCMLRALSNDGRMKDASRMYGIVRERKMVVKDSCYYAFVNGLCTQTPSKEIHDLLKDVIGKGFDPCVAQLSEHIKAQCEHCRWKEAEELLILILDKGLLPDPDCCCSLVKYYCSRRQIDSAIMLHNKMKMLGGNFDIPSYNTLLNKLFKESRVEEALEVFDYMTIHKMFSTESFAIMIRELCYLKEFRKAMKLHDEMLRLGLKPNGRTYKRLISGFG >CDP17165 pep chromosome:AUK_PRJEB4211_v1:2:50457900:50459088:1 gene:GSCOC_T00006333001 transcript:CDP17165 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIFFNFLVGLFFLISFGLEFFFWACVWALGLFFTWACFCFKENSPHFSSGPETPIPSTNK >CDP13920 pep chromosome:AUK_PRJEB4211_v1:2:4364121:4365491:1 gene:GSCOC_T00039062001 transcript:CDP13920 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKALPLSPSQNGFLGNAAVAPKVFRYHSSRSCNFIAVSAKNESASTEEEAGNTEKKQSLFSSVTEALDFSQVRSAKDAELLEEARESTRSGGRMSKEQYGALRRKIGGTYKDFFKSYIDVDGQYVEEGWVDKTCKVCKKDTRGEPRQVDKFGRYVHVECLEKSKSGNFFTRLFSS >CDP18041 pep chromosome:AUK_PRJEB4211_v1:2:47246397:47249485:-1 gene:GSCOC_T00008655001 transcript:CDP18041 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSASSWFSAQEMEDHFLGDQCDIMNPFEEDLFGAFGDDLHNCDLPTKGNSSIFPIIETNNSATRLCVSAAIEAPPIVTERPTQLQNPNGCSWSTVYNFSSFDQPSSSPMLLTFGTLNPGENKVCDVLSSNGSFVNFDHRTTRIQKRGKRTGGRTRSPSQTYDHIMAERKRRKHLGLLFSSLSSILPGLKKMDKTSVLGDAINQLKHLQEKVKTLEEQVTKQTVESVVLVKKSQRLVADDVSSDERGCNNNNQLLFPQIEAKVCDKEVLPKVHCENHQPGVLIKLLSKVENLNLAVTNTSVTPFGNSALEVTIIAEMEKEFNMTTKELAKNLGSALHLVAQES >CDP06788 pep chromosome:AUK_PRJEB4211_v1:2:35897987:35901099:1 gene:GSCOC_T00023758001 transcript:CDP06788 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQIQIVLDLYLCGLTEFVTSKLICCLLIFQIRILGGRLNAGLNKEGIQYYCNLIDEILANGIIPFATLLHFDIPRALEDEYRRFLDEKIVQDFGEYAKVCFWHFGDRVKHWITINEPWTIANFGYVIGTFPPNRGSSSTKHANLNIPYTVSRNMLLVHAEANRIYKEYFKDAQGGQIGITLNSHSYEPYNPNSDADKKGCLPSS >CDP00035 pep chromosome:AUK_PRJEB4211_v1:2:6750326:6751906:1 gene:GSCOC_T00029795001 transcript:CDP00035 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSVSLKLLIDTKSCKVLFAEANKNTVDFLFHVLSLPVGTVIRLLGKQGMVGCLANLYESIESLNETYIQPNQSKDTLLKPKAAASIPLLSLNDGQTEAVFYRCGRGYNCNFASDDPKAICPQCKNAMTTSMTYVAPSAAQEAAAGDEGGFVKGVVTYMVMDDLVVKPMSTISSITLLNRLNVKEVGALEEKEVNLGMNEALMLLKASFESKTVLTNVFLKNTGK >CDP15838 pep chromosome:AUK_PRJEB4211_v1:2:44481337:44483091:1 gene:GSCOC_T00016714001 transcript:CDP15838 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSATLFLILSVFLNSIVPIICPSVIEDLNNLKPPPDFNATITNNCINNPSLRYCNVTPFDLEEIFKSTIVASHLCNISHNPNCVESFPKINLHKKPHLAPLYLSFTFFWKYCPLTITYIDISNNSLKGNFPTDIFYCSQIRDLDLSHNNFVGDVPVKNLSSLPNLTSLNLSYNHFSESGNLDAELFKRFNSTSFIQSGIFPDHSKFSFKVFLILVVLPIFVLVMVFCLCVLCSSRLDILPGCFRRRYEFTPSMLKAATKGFSKKKLVEKTSSVDVYYGILRDGTEVRIEVYNESLSKEDARRIFAEGCKILSQLRHKNLVRVLGWCDTRRLRATVSEWKDGENVETWLRNANPSWKRRMKVMVGILQGVRYLNEEWPQVECDLKTKSILLNDDGEPLISRFKVDDGNNNTKRIFKFGLFILEMVSNMRPMEEVESSEAGFVQWFRLHYPQNFEKLIDERMKMKETVVDQAKEAIELGLLCTDLSGVREQPSWDQISYVLSKSSSMALAGSDHRRYYQVDGRRKAKFLQTDESDDEIQMDPHSSVDHRRFLVV >CDP18237 pep chromosome:AUK_PRJEB4211_v1:2:48422383:48430507:-1 gene:GSCOC_T00011798001 transcript:CDP18237 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAMTMSLASPSLTIAPRSDCSSSTFLTSRSLRFCGLKREAIFGLNSSHSAAARLVSSSSAAVFSSSSSKQRLNLKVSASVSNDNGTPSKEGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEHHMKAFGLQVAAAGYDRQGVADHANNLASKIRNNLTNSMKALGVDILMGAGTVLGPQKVKYGKAGAGETVITAKYIIIATGSIPLVPKGIEVDGKTVITSDHALKLEFVPEWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDSHTGVFASKITPAKDGKPVIIELIDAKTREPKGTLEVDAALIATGRAPFTEGLGLENINVQTQRGFIPVDERMQVIDADGKLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGKDHILNHLSVPAACFTHPEISMVGLTEPQAREKGEKEGFEVSVAKTSFKANTKALAENEAEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIAMGTHIQDIKFAVHAHPTLSEVLDELFKAARVEVNGSIRVGMQFAGHT >CDP15843 pep chromosome:AUK_PRJEB4211_v1:2:44589899:44591965:1 gene:GSCOC_T00016725001 transcript:CDP15843 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFCNSKTFSLASSSLSLASSIPCFEPKTFSLNSISFSKLSNFSFFLSSSFSLLPICFLTNSSSLQRSATCLSFKHISLKPSSTEHLRSPICFPSNSILSKSPPINFSCSLNFSSLTLISSLIKLNSFFFTSNSFHPCSKIKRNSLSFSSNSFARASMASSIRASSLELSERDPCTPFR >CDO99582 pep chromosome:AUK_PRJEB4211_v1:2:11204260:11210460:-1 gene:GSCOC_T00029217001 transcript:CDO99582 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRNASKDFSLVDEWFDECYNAEASLKIWMTYIPLKRYYGSFYIFFLILDISLFCYFIKWQSPPPKAISPKRPSSAVENKQVNKDSSKKDTFDEESEDGEPQKKKSKVVPVQPKISSSDDSSSEDEEETFEDEEEPTKTPQKKLHGFVKKEQLDNTLTRMHLVIIPFGVPRKPAMTKDDLFNINADMLGRSKGISKCYMKAIVHMAPFGTGINVTCWHQIFGAVRNFKVLVKL >CDP00101 pep chromosome:AUK_PRJEB4211_v1:2:6221143:6223985:-1 gene:GSCOC_T00029896001 transcript:CDP00101 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRLLSLLKTRTPSTSSSSQASATALVEEESGRSSGKRWGRRAVSGALICLTGGVALSALDDLAIYHGCSSKAMEKASKSQAIIDAIGEPIVRGPWYNASLAVAHKRHSVSCTFPVSGPQGTGILQLKAVRNGDDSWFSFLRPRDWEILLMEALLHVPGNDEKQQTFRISVSDFLPPPPSPVPACKACTISSQQPESLDKS >CDP15847 pep chromosome:AUK_PRJEB4211_v1:2:44786291:44793705:1 gene:GSCOC_T00016733001 transcript:CDP15847 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDMNFHLMWGSSIVKRIADILKLRIYQYPPIYKADAGPMAHPLRPHFYIKVLILLFLSDIVIFLVFFVCKELNSVFAHLSLKEGLTVFRGPEFSSDVGSNTVKRIADVLKFRIYQYPQDAGPMAHPVQPHSYIKMDNFYTGKLLFHCISE >CDP05276 pep chromosome:AUK_PRJEB4211_v1:2:2948379:2950715:-1 gene:GSCOC_T00020263001 transcript:CDP05276 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLGKRLLLFVSSYLAFGFLTCTSNKTSSTTTYILQIDKWAKPALFVDHVQWYSSIVKSVTSKPSKVDDSGDEDRIIYTYHTAFHGIAARLNQEEVERLREKHGVMAVFPETVYQLHTTRSPLFLGLENRYGTSVWSDRLSQSDVIVGVLDTGIWPESPSFNDTEMGPVPGHWKGNCEIGRAFGRHHCNRKIIGARVFYRGYEAASGKINERDEYKSPRDQDGHGTHTAATVAGSAVHGANLFGYAYGTAQGMAPGARIVPYKVCWTGGCFSSDILSAVDQAVADGVNVLSISLGGGVASYYRDSLAVAAFGAMEKGVFISCSAGNGGPDPVSLTNVSPWITTVGASTMDRDFPAIVKLGTGEILTGTSLYRGRRTLSTQKQYPIIYPGSNSSSPTPSSLCLEGTLDSHAVAGKIVICDRGISPRVQKGQVVKDAGGVGMILSNTAVNGEELVADSHLLPAVAVGETTGKLIKHYVSRDRKASATLLFLGTKVGIKPSPVVAAFSSRGPNFLSLEILKPDVVAPGVNILAAWTGVTGPSSLPTDPRRTWFNILSGTSMSCPHVSGIAALLKARHPDWSPAAIKSALMTTAYVHDNTFHPLKDASTGVPSTPYDHGAGHINPSKALDPGLIYDIGAQDYFEFLCAQGLTPSQLTAFAKFSNRKCLQHFANPGDLNYPAISPVFPENTKVSVLTLRRTVTNVGPPNSNYHVAVSPFRGALVEVDPRTLNFTRLHQKLSYKVTFKTKSRQTAPEFGHLTWKNTEHKVRSPIVITWLPPL >CDP08866 pep chromosome:AUK_PRJEB4211_v1:2:52349883:52353968:-1 gene:GSCOC_T00027984001 transcript:CDP08866 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYARSWKNFSRDFAARRMMSKVVLFLAFVVAGIVWASMIRIALYSDTWACQSPLSCFSVIWSSRCSKTSNFPDMMRGYAPKPRKRCPIPFAKDPEGLDHSKVRRTPDQLVKGLSYIMQDEPDVNNGWQSLPPFGGGRQKRWFERGESFKLNTTMKVHCGFTRSSGAGMTLADMRYVKGCKYVVASGIFNPYSIIHQPTNVSVHSRKLFCFVMLVDEKILSLMKYRETVEEDIHGGLWAGIWRLIPLKHLPYNETRRNEEISKLLIHRLIPQAQYSIWVSSKMVLVADPLLILERYLWREGHSFAISQHGYHHSIYEEAYASKRRKKYSRPRIDTQMRVYQSEGLEPWSTKKRVISDVPEASIIIREHTMMNNLFSCLWFNEVHLFTPLDQLSFGYVAHRLGGESFKYFMFPFCEFNSLFELHSHSWDRFEMRFGGEINVA >CDP16351 pep chromosome:AUK_PRJEB4211_v1:2:28351219:28356265:-1 gene:GSCOC_T00018180001 transcript:CDP16351 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKTTRFILLPALLFILFRVQTFGKTHREADYHHLKARLNPQSNVERSSYFPAFDAPAKPSNQKGLKEKDRIDRLPGQPHVGFKQYGGYITINETAGRAFFYYFVEAQGRKKPEDVPLLLWLNGGPGCSSLAYGAFQELGPFRVGSNGKTLFKNRFAWNYVANVLFLESPAGVGFSYSNTTSDFVSGGDRKTAADNYIFLLNWLERFPEYKNRDFYISGESYAGHYVPQLAHNIVYHNKKANRTIINLKGILIGNAVINDATDDPGMYDYFASHALISPETVKKIHKFCNFSEAELSANCQNILSVADGVFDEVDIYNIYYPRCFDDSLTSVPKQFSILEFDECSEYYGHSYFNHPNVQEALHANVTKIPYDWQPCSDVLQNWTDWQSTVLPFLKEFLANGLKVWIFSGDVDGRVPVTGSQYAIEALNVSIVTPWQPWYRDLEVGGYVQIYQDNLTFVTVRGAGHQVPSYKPDRALSLISHFISGIPFPNH >CDP17207 pep chromosome:AUK_PRJEB4211_v1:2:47761212:47765011:-1 gene:GSCOC_T00000677001 transcript:CDP17207 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSTSSSSASSSSDSSSDSSPRRHRRRRHQRRDKDKDRDELKVSKKSHHRSSQSKRRRHKRHSSSSDRYSSYSSSASSDDDYSSSDSEHDASNRSKRHKSSDRPKKSKDKEKRKSHQHKRHKHKVKEKQQEESSSPVQLSKFLGRDKDDSVRRSAVSGKKILLKLEKSKEDKMAENNRNKLLNFLNASYD >CDP05113 pep chromosome:AUK_PRJEB4211_v1:2:1647724:1649909:1 gene:GSCOC_T00020051001 transcript:CDP05113 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTWLLLPVLSSSLLMVSLFQSAQAAGIAVYWGQHGDEGSLADTCASGNYQFVNLAFLTTFGGGKTPVLNLAGHCDPPSGTCASLSAEIKACQNRNIKVLLSLGGQIGNYGLTSADDAGQVANYLWNTFLGGQSGSRPLGDAVLDGIDFDIETGTGLYWDDLARALSRFNSQKKVYLSAAPQCPFPDGHLSTAIGTGLFDYVWIQFYNNGQCQYGANADNLIARWNQWTSVQSNQIFLGVPASADAAGGGYIPPNVLTSQVLPSIKSSSPKYAGVMIWNKYFDRGYSSAIKGSV >CDO99551 pep chromosome:AUK_PRJEB4211_v1:2:11395339:11398779:-1 gene:GSCOC_T00029180001 transcript:CDO99551 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMQSLSRRLSLFQTLISVNSRVKNTQKFYCTNQIVINSGRGGNQSNREGEEIRKKEKKETLYSRISPLGNPKIKIGPVLDQWVESGKKVRFAELLRIIHDLRKRSRFSHALQVCEWMKKSGMFTYSPTEHAVQLDLIGRVHGYLSAENYFTDLSEEDKTEKTYGALLHSYARQGQSDKSLSLLQMMKEKGLSLSSVAYNDIMVLYTKVGQHEKVPDVLIRMQDNGVSPDNLSYRLCINSYGVRSDMDGIKNVLREMEYQPNISMDWNTYAILDNNDNEGYNHLISLHANLGNKAQVFRLWALEKNTFKKCINKDYLNMLKSLVRIDEFESALECLKEWESSGNCYDFRVPNTIILGYIEKGLCEKAEAMLKGLMGEGKAHSPESWGRLSAGYLHKDEMEKAVECMKVALSFCGQREGWKPDPSVLTKLNNFLGDKGSMEDTEALIRA >CDP13851 pep chromosome:AUK_PRJEB4211_v1:2:34076337:34079400:1 gene:GSCOC_T00038966001 transcript:CDP13851 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKSWFNLLRRIFIADTKSRKKDERKGWAFGRFKSKRLASLSAPPPPKKSILGALEEDKQNYAENGTINTTAGEISRTNAQDFRPGVSPRLSIQDKKEPQEVSATNVFSPAQFHQCQLRIQHLAAIKIQSAFRGYLARKALRALKGLVRLQAIIRGWAVRRQAINTLKCLQSIVNIQSEFRAKRCDMVKTTQHNQENQVQDMTEKDIRIDTNSQRRWDDSTLSRDEANASSMNKRVASIRRERIKEYWLNHRRSTESEQSKQNVKQRYWLEQWMDSQLAKREDLRNIGTAFPVNAKAKEELAGRRLRLRIQKQYQIEGLDSPLNVPRRSFHRRQRSNGDEGSFVGSPSVPTYMAATESAKAKVRSMSSPRLRPICFDAYSEINSPYKHKLSPISSINSEATSCSQISKHYGFSQRSPCLKGMPGPVKSHRSLKEFNLIVESNKDQLNAYG >CDP15509 pep chromosome:AUK_PRJEB4211_v1:2:45783105:45797063:1 gene:GSCOC_T00015366001 transcript:CDP15509 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLTPHLRNSHYCFLPKIRNFSFSFPISHKFNFINPNFPQNSKTYPTFIVRNSSGSITAKPSSELRKKRGNDSDSCSDSDQKLGDLRELFSKPNINIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVTKDKAALWTDGRYFLQAEQQLSSSWILMRAGNMGVPTTNEWLNSVLAPGCRIGIDPFLFSSDAAEELKEAISKRKHELVYLYDCNLVDEVWNASRPKAPNKPIRLHDLKYAGLDVSSKLSSLRSELAGAGASAIVISMLDEVAWLLNLRGKDIPHSPVMYAYLIVEIDGAKLFTDNSKVTSEVMEYLKNAGIDLCPYESILSEIESLAERGAGLWLDTSSINAAIVNTYRSACDKYFSGRGYQNEIERSNGESSGRYAVYRSSPISLAKAVKNDAELEGMRNCHLRDGAALAQFWSWLEEEILKDVVLTEVQVADKLLEFRSMQDGFLDTSFDTISGSGANGAIIHYKPEQGTCSIVHADKLFLLDSGAQYHDGTTDVTRTVHFGEPSSRQRECFTRVLQGHIALDQAVFPENTPGFVLDAFARSALWKIGLDYRHGTGHGVGAALNVHEGPQSISFRFGNMTPLLKGMVVSNEPGYYEDHSFGIRIENLLFVKELDTPNNYGGHAYLGFEKLTFVPIQTKLIEVSLLSDAEINWVNDYHKQVWEKVSTLLDGSARQWLWNNTRPLVKP >CDP09423 pep chromosome:AUK_PRJEB4211_v1:2:22645527:22650913:1 gene:GSCOC_T00028781001 transcript:CDP09423 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERSASGLHLNPNYELPPSFSAPHPIPEMGFVQFEDHHQVLSFLAPSSQSSHISSQTLHGGGENNHTNSSTTSNHNGSFGFRHNELVATSTRPSWNNDQVGSLNPKAVGDQNCGTNNANEGTNSWWRSSASEKGKVKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHSPCDDSNSSEHECFTSF >CDO97622 pep chromosome:AUK_PRJEB4211_v1:2:20451946:20456423:-1 gene:GSCOC_T00015013001 transcript:CDO97622 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDEESKQVSYVVVRDENGNVKLDCPAIGKMFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLADNFKKDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATADGPKHIETTLARAKFEELCSDLLDRLKRPVQNSLSDAKLSFSDIDEVILVGGSTRIPAVQEVVKKLTGKDPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAVDKGSGKKQDITITGASTLPSDEVERMVKEAEKFSREDKEKRDAIDTKNQADSIVYQTEKQLKEFGDKVPAAVKEQVEAKVGELKDAISGDSTQAIKDAMAALNQEVMKLGSSVYGKPGESPDAGAAPGTEPGPSGKGNDGDVIDADFTDSK >CDP05327 pep chromosome:AUK_PRJEB4211_v1:2:3350190:3350837:-1 gene:GSCOC_T00020328001 transcript:CDP05327 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLYKILRKKLKELKAELIYVFSFPEEKNPYHQLLSEEIEQRFLFLKKLLSAEIASNPSKPHHLQHIAQRLDELETAFREWGDDHRRTSADVNNFDDSASICSCDESCRNDDGEAYDNNINDPVTVPDLDSRGEGLSFSEGLVDVNVEEQDSEKKIEVDDDEGGKTTKPDKGSSYGGGILGGMIFGGVLTALIMAGFCSGCFYFAAHESFLIPT >CDO97620 pep chromosome:AUK_PRJEB4211_v1:2:20435708:20442588:1 gene:GSCOC_T00015010001 transcript:CDO97620 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVLAASIISKSGKPLVSRQFVDMSRIRIEGYLAAFPKLVGTGKQHTYVETENVRYVYQPIESLYLLLVTNKQSNILEDLDTLRLLSKLVPEYSYSLDEEGICKTAFEIIFAFDEAISLGHKENVTVAQVKQYCEMESHEERLHKLVLQSKINDTKDVMKRKASEIDKSKIEKNRGERGGFMPLQSMSSGRIDSGFGSDTSLPSTGGGYGSSSGFGLTTDIDSFSTKSKGRPAASATAPPKGLGMQLGKTQRTNQFLESLKAEGEVIVEDVRPTVGQSKPVAPPPTDPVTLNAEEKLNVTLKRDGGISNFDVQGTLSLQILNQDDGHIQVQVETGGNPAILFKTHPNINKELFNNENILGLKDPSRPFPTGQSGDGVSLLKWRMQSGDESLVPLSINCWPSVSGNETYVNIEYEAFSLFDLQNVVISVPLPALREAPSVRQVDGDWRFDSRNSVLEWSIVLIDNSNRSGSMEFVVPPADPASFFPISVRFTAASTFSDLKVANILPLGGGPVPKFSQRTLLSTETYQVV >CDP08680 pep chromosome:AUK_PRJEB4211_v1:2:53892463:53898613:1 gene:GSCOC_T00027729001 transcript:CDP08680 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPSHDTSGRDNLGAKKKNYVTKTKGKSYQSSIASPTSEVSPKDPLKGASDEEEKQIPEPESSPKINSVSTFQAVESKQNDEDESTEGAQPEPRQIQLCKNAAEKPVPADVTSPEAHAEGDEGWQPVQRPRSAGLYGRRLRQRRPTIGKVFSYQKKDDVSNVDHARVKHNCQSSRYYLLKRRATSPGSYADYYVAKSPPVTKFGRRMVRSVAYRIKSLSSKEAATDSSRKGGEFSNSPQETGPVSASAEVGSISRKSSIVSLGRSPSYKEVAVAPPGSIPLLQVRVPQSETLKSDEAQGNGEEYIEPQKDSESMKVDGEKMKVENIQDAMVNSAYNLEDEQETTDRKETLSNDPINNKDSEAVSASVTRDQSSCLNIDQMEQECAKTGNKLNSVDSPRAIQFEKDSPVACDSGNNLLSTSQGADDQRGVKTAVSFSSDTRELSNKKLSASAAPFNPSPAVARIAPLPMNIALPSGPGTVPAIGPWPINMPLHPGPATVLPGPICSSPHHPYPSPPPTPNMIHPLPFMYPPYTQPQTLPPSTFPLTSGPFHANQFAWQHNVNPNASEYVHGTVWPSCHPVEFPTPQPVVEPITDPTLEPKKECDNSEGLNLEPSFTLDLHSGDEAKKDTRLPASEAVETFNDISGVHLENERVTSASNSPSIPFLGNQSNNPNDHYEDTGKCGKHVPRRHQQKDDNEKTFNILIRGRRNRKQTLRMPISLLKRPYSSQSFKVMYSRVIRDTEAPKSATFASDETTTPNAT >CDP15549 pep chromosome:AUK_PRJEB4211_v1:2:46591203:46598366:-1 gene:GSCOC_T00015428001 transcript:CDP15549 gene_biotype:protein_coding transcript_biotype:protein_coding MARARFTPAVKTIILILFYVVVLNFGDAVFSLQISQLDFNKTFIFPNSGGEGGTADNTHHTTMFLPLFLSPPRINHYSSSYDVSVQQSRRHLQSRRPNARMSLHDDLLLNGYYTTRLWIGTPPQEFALIVDTGSTVTYVPCSTCEQCGKHQDPRFQPELSTSYQSLKCNIECKCDSERENCIYDRQYAEMSSSSGILGEDIVSFGNLSELSPQRAVFGCENMETGDLYSQHADGIMGLGRGDLSIVDQLVGKGVISDSFSLCYGGMDVGGGAMVLGGISPPADMVYTRSDPERSPYYNIELKEIHVAGKALRLERQVFDRKHGTVLDSGTTYAYLPEEAFKAFKAAIMKEVPSLKQIQGPDPNYKDTCFSGAGSDASQLSKTFPAVEMVFGNGQKLSLTPENYLFRHSKVRGAYCLGIFQNGKDPTTLLGGIIVRNTLVTYDREHEKIGFWKTNCSHLWERLHISNAPPPLPSELNNTNFTSNVSPATAPADQPLYNGEFRAGHITFYMSLSVNISDLKPHIPELAQNMAKELDVNASQVHLLNFTSKGNDSLIRWAIYPAGSADFMSNATAMDIISRLAENRVHLPDTYGNYKFFEWNIEPPQRRTWWLQNYFIIFVAFLVIIVLGLAASGAWIMWRRQQSLVAYKPVDAAVPEQELQPL >CDP17526 pep chromosome:AUK_PRJEB4211_v1:2:29008710:29009109:1 gene:GSCOC_T00004476001 transcript:CDP17526 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTKQTARKSTGGKAPRKQLATKTARKSAPATGGVKKPDRFRPGTRLVRKIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDNNLCGIHAKRVTIMAKDIQLARGERA >CDO97288 pep chromosome:AUK_PRJEB4211_v1:2:17872758:17877750:1 gene:GSCOC_T00014571001 transcript:CDO97288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere repeat-binding factor 1 [Source:Projected from Arabidopsis thaliana (AT1G49950) UniProtKB/Swiss-Prot;Acc:Q8VWK4] MGAPKQKWTPEEEAALKAGVLKHGPGKWRTILKDPEFSGVLCLRSNVDLKDKWRNMSVMANGWGSRERARLALKRMNQAAKQDDSPMAISNLAQSDDDNVDPRPHATSSGSPQIGSSKRSIIRLDNLIMEAINNLREPGGSNKTTIAAYIEDEYWAPPNFKRLLSSKLKYLSATGKLIKMKRKYRIAPTSALSDKRRKPSTPLMEGQLEGRQRVSPIIDQDGMGALTKSQIDLELAKMRSMTPEEAAAAAAQAVAEAEAAIAEAEEAAREAEAAEADAEAAQAFAEAAMKTLKGRSTPRMMVRA >CDP08834 pep chromosome:AUK_PRJEB4211_v1:2:52615516:52621018:1 gene:GSCOC_T00027943001 transcript:CDP08834 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEVVSAHNLLAKDGQGSSSAFVELKFDGQKFRTTVKENDLNPYWNETFCFTISNPDELLNHTLVVHVYNNNKNGQPKSCLGKVQISGTSFVPYSDAVVFHYPLEKVSIFSRSRGELGLKVFITDDPYIRSSNPLPAMDSSSYTKSRSTQAQAPETQAEGLIPETKSNGKKGSRRTFHHLPNANYQQQLDSSIAASQQAINYGVEQLRPELNAARMVRTFSNLFSQPVEYALKETSPVLGGGQVVQGRVIRADKPASTYDLVEPMQFLFVRVVKARDLPSKDVTGSLDPYVEVRVGNYRGVTSHFEKRQNPEWNAVFAFAKDRIQSSFVEVVVKDKDMLKDDFVGMIRFDLQEVPMRVPPDSPLAPEWYHLESKNGKKKKGELMLAVWMGTQADEAYPDAWHSDAAGPVDSSVFSSLIRSKVYHSPRLWYVRVNVIEAQDLIISEETRFPDVYVKVQVGNQVLRTKAVQTRTMNVLWNEDLMFVAAEPLEDYLILSVEDRVGPNKEDVFGRVIIPLKTVERRADDRIVHSKWFNLQKPGATDVHETKKDKFASRLHLRVCLDGGYHVLDESTHCSSDLRPTAKQLWKPPIGILELGVLSANGLHPMKTRDGRGTSDTYCVAKYGHKWVRTRTIIDSMNPKYNEQYTWEVFDPSTVLTVGVFDSSDVGSNGNKDVRIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSCTSMANMMFLYSRPPLPKMHYVRPLNIMQQEMLRHQAVNIVAARLSRAEPPLRKEVVEYMTDADSHLWSMRRSKANFFRLMSVCNGLFAVGKWFGEVCMWKNPVTTSLVHVLFAMLICFPELILPTVFLYMFVIGIWNYRYRPKYPPHMNTRISYADAVHPDELDEEFDTFPTTKSSDLVRMRYDRLRSVAGRIQTVVGDLATQGERIQALLSWRDPRATAIFVTFCLVAAIVLYVTPFQALALMAGFYVMRHPRFRHKLPPVPLNFFRRLPARTDSML >CDO97520 pep chromosome:AUK_PRJEB4211_v1:2:19669886:19674632:1 gene:GSCOC_T00014891001 transcript:CDO97520 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQVQLPLEDAENDARHYDDDRSDFGGFGCANGKVQIIQQINHDGEVNRARYLPQNPFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLRGHSTEGYGLSWSQFKQGHLLSGSDDAQICLWDINATPKNKALDAMQIFKIHEGVVEDVAWHLRHEYLFGSVGDDQYLHIWDLRSTASTKPIQSVVAHQSEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKITTALHTFDCHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMAENIYHDEDDLPGDDPTKAP >CDP00089 pep chromosome:AUK_PRJEB4211_v1:2:6295678:6296797:1 gene:GSCOC_T00029879001 transcript:CDP00089 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHFLHEFKRQASFFFKDKIKVARLALLDVTPAQLSTEEATNGDSSAPDARTMRLISRAAFEVDDYWRIVDVLHRRFDWGLSVQKKAERVLKLIKDGSFLKEERARGQKLTVGIKGFGSFCERSILTDESSKCAYSDKYLRCHSHFCERQSTEDALLAADDERIPKAQEIRNRHRNLTTENPVPNSDANRTFEENDHPFYGKEDQALVSLLSSG >CDO96997 pep chromosome:AUK_PRJEB4211_v1:2:15625790:15627581:-1 gene:GSCOC_T00014204001 transcript:CDO96997 gene_biotype:protein_coding transcript_biotype:protein_coding MDCMEAKALKSSLLSDIGGMKSSQQQGFVDDIWCVTGLNNVSCDDFSVDDLLDFSDKDFKDGPLKEDEDFKDTLSLSSSQHHHHHRNSNFSSFSETDDFGSLLAAELAVPAEEMENLEWLSQFVDDSRSEVSLLCPAGSFKDNKGRLTEKWSEPAVHMIRVPCFPLHVPVKPRSKRSRPNGRVWSGSPSLTTTESSSTSSSSYGSSALSPFILSNPVQDSEMLSSVEKPPAKKHKKKPATDTGSGSIGSQTSRRCSHCQVNKTPQWRTGPLGPKTLCNACGVRYKSGRLFPEYRPACSPTFSQEVHSNSHRKVLEMRRKKEATGHVEAGLTPMVSSF >CDP18132 pep chromosome:AUK_PRJEB4211_v1:2:32214058:32228169:-1 gene:GSCOC_T00010175001 transcript:CDP18132 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVHALVNDFLIKLKKRKIEGSKATAKVTAEVLRSFISQQRLPNTNQAGALIDAVKAVGEQLIAANPVELAVGNVVRRVLHIIREEDLSLTAAAIGGLSLSAGSDDEDDVGHDEHPALSAAAVAAAARSTLRPPSLQTLLEDVPHTAAVPHTSSSGGDSEGKSKSADKNSSTKRLKHNVIEAVNELIQDIVTCHEQIAEQAVEHIHQNEVILTLGSSRTVVEFLCAAKEKKRSFRVFVAEGAPRYQGHTLAKELVTRGLQTTVITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQRHAVPFVVLAGIHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCLDFGSGSGSPILHVVNPAFDYVPPNLVSLFITDTGGHNPSYMYRLIADYYSANDLVLQRRSAS >CDO99799 pep chromosome:AUK_PRJEB4211_v1:2:8798030:8799743:-1 gene:GSCOC_T00029491001 transcript:CDO99799 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEHEFRIFVGGLSWDVTERQLEDAFGRFGKVLDCQCLRWDVVVEVWNLWVVEAGEPLCI >CDO96728 pep chromosome:AUK_PRJEB4211_v1:2:12426174:12428672:-1 gene:GSCOC_T00013839001 transcript:CDO96728 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSCYPLFSAALFFEFFLLSFLALLRSSDCLVNVTRGRIPRQLSVNFYAKSCPQVDQIVASVTSEQFREAPVSAPATIRLFFHDCFVEGCDASILTSTRPGSKDLAERDALDNKELAVEAFESINKAKALVESKCPGVVSCADILAIAARDFVHLTGGPYYQVKKGRWDGKISMASRVPSNIPHSNSTVNELLRLFSSKGLTLEDLVVLSGAHTIGFSHCKHFVDRLYNYKGTKQPDPAIDPRLLKALKMSCPQFGGNTDIVAPFDVTTPFSFDNAYYGNIEAKLGLLASDQALALDPRTKPLVQALAKDKQKFFLAFAAAMDKMGSIGVKKGPRQGEKRRDCSMHM >CDP17767 pep chromosome:AUK_PRJEB4211_v1:2:51550454:51556112:-1 gene:GSCOC_T00003882001 transcript:CDP17767 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRSCNKTITPIHFNFFFIVIIAAFMAGEESKKLKLYSYWRSSCSCRVRIGLNLKGLEYEYVPVNLLKGEQRTPEFLKLNPVGLVPVLVDGDVVLADSFAILMYLEEKFPQHPLLPKDLHRRGINYQAVNIVCSSIQPYQNIPILKFMKEKLGPDADVAWARDHIQRGFAALEKLLKDYSGKYATGDEVFLADLFLAPQIDGAIRRFKVDMDEFPLLARIFKAYLELPAFRDAMPERQPDAPAEHRD >CDO99494 pep chromosome:AUK_PRJEB4211_v1:2:11972509:11985366:-1 gene:GSCOC_T00029091001 transcript:CDO99494 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLKNDSFSLQKDILDHVEYTVARSRFIFDDFEAYQGLSYSVRDRLIERWHDTHRYFKKKDPKRLYFLSLEFLMGRSLSNSVINLGIRDQYADALNQLGFEFEVVAEQEGDAALGNGGLARLCACQMDSLATLDYPAWGYGLRYQYGLFRQIILDGFQLEEPDYWLNFGNPWEIERVHVSYPVKFYGTVEEEGIQGQTIKVWIPGETVEAVAYDNPIPGYGTRNAINLRLWAAKPSDQYDMESYNTGDYINAIMDRQKAETISNVLYPDDRSYQGKELRLKQHYFFVSASLQDIIRRFRDDHNNFDEFPEKVALQINDTHPSLAIAEVMRVLVDEEHLDWSRAWDITSRVFSFTTHTVHVEALEKIPVDLLGNLLPRHLQIIYEINYKFMEELKSMIGQDYDRLSRMSIVEEGAVKSIRMANLSIVCCQIVNGVSKVHLDLLKERVFKDFYELWPQKFQYKTNGVTQRRWVVVSNPNLSSLISKWLGTESWIRNVDLLAGLRQHVSDPDLQREWKMVKKVNKARLAEYIETLSGIKVSLDAMFDVQIKRIHEYKRQLLNILGIIHRYDCIKNMETSDRRKVVPRVCIIGGKAAPGYDIAKKIIKLCHVVAEKINNDADIGDLLKLVFIPDYNVSVAELVIPGSDLSQHLSTAGHEASGTGSMKFLMNGCLLLATADGAIVEIMEEVGADNMFLFGAKVNEVPALREKGASLKAPLQFLRVVRMVRDGYFGFKDYFKSLCDTLEDGKDFYLLGYDFASYLEAQAAADRTFVDPEKWIHMSILSTAGSGKFSSDKTIEEYAKHTWGIEPCKCPF >CDP04947 pep chromosome:AUK_PRJEB4211_v1:2:334396:340037:1 gene:GSCOC_T00019818001 transcript:CDP04947 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSESGAGADCSIGSIVWVRRRNGSWWPGKILGPDDLSASHVMSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIERAEASQGMPPKKREKYARREDAILHALELERQMLEKKCGKVGSSTNDEAPYCLRKDLETTSEKSETGKQKLLQPETHQVCQSHCLSAKDKAVSQTICLERVKEENQITVDDDNSAVPPRMRGLQDLGLRAVPSNLKASPSLFPNGSHKPLCDSSAVAHCNDDGLGTEDMANADFENSSDKRKRLYDGLDEDSLAKRRERRRPLLQVLEDSTEVLVPHSKADGSSNITSMSEYEKPGGTIGVTRNRYTCLQPTDLCKEDNMRSAEDTETDSSETDSMDSDTDELASLSEGAASIELQPKYPRRSEVLAESRSVSSEELDDLALGDDLTHPCSVDPALYSMGMSRWQLKGKRSLLKRPVDGADRNLSWGSIEETTPFELETNGRAENYLVEKNSTHKMAGYGSRGPDGISRRTMQWQHCDWNDQQYWEDSGKYFAPVFLGHHHDGSRVMLIDVDLNVQSSYQRQHPVPMISLMSKINGQAIVGYPVQVEALENGSSESVLAETDDWGSETPGNETALPPTWRTARRTANFRVPRPDLSSTCDESAKHLQFVDGRRGCSGKSDAGTFGHKGGIMEKHTSSILVDRKSSRKPLKKISLSSNQKIRTLSSIASQQKQSIDRRQSSNNFQVDGLKPELVSTAVACIPVKLVFSRLQEELVGRHP >CDP15857 pep chromosome:AUK_PRJEB4211_v1:2:45295414:45298237:1 gene:GSCOC_T00016750001 transcript:CDP15857 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVAYVLKELSSFLLHQGTNLGGELQREVEFVRDELGSLRAFLRDAEAREYDPIYGNQETCSSSAAGSSSTPAANLDNDIREISILLEEDKLVGIKTPKEELISRVLNNDSHLKVIAVVGMGGLGKTTLVRKVFEDTAVKTQFKIRVWLTISRTFDIMVILKTMIHKFFYEINEPVPHQLMNSTDIIRLSAFVKDFLQDRSYILVLDDVWSERLWDALKNVLPDGNFHGQVILTTRIIHVAKAARFGSHIYSHWMKPLSVKDSWTLFCHTTFQSDHCPPHLQQVSARILRKCEGLPLAIATIGGVLALKDKDRINDWETILRNLGDELDASGKLDPIKRILLLSYNDLPQNLKNCFLYLSIYPEDALIGVDDMLDKWITQGFVEEKVGMSTFDIANRGFHELVNRSLVPVLTANCDGIKCFRVHDFLRDMIVSKSREQNFITIAVGNYSGQSSNKVRRLALYDFDNPEPQELTHCFKSLRSLEFLRYNGRISGPVVSKFLCGGSKLIKVLDLTKVRIVPKSIGKLQNLEILDLFYTNVTKIPVEILKLRKHHVLHVGRIEISAEYHGLRGFKSPDKIGKLLSLENLQAIEADNEKILREIGKLTKLRSLSITKLRREDGQEFLCTLEKLTNLKTLVVQSIEDDMTLDLRHPISPTPRLLEILSLQGHKGNILQWVLSLQSLTNLVLDNSGLREDDGTKGIGSLQDLPNLIELTLQHAFEGEMLPFRAGGFRKLEKLSITSLERLKWVKVENDSLPSLQRLSMTDCKLLEELPLSAENLRKLESLFLVDMSDGLVEKVMNLAEQSELYQALKNIPIVRISRLKCMENSRVRTFVP >CDP08621 pep chromosome:AUK_PRJEB4211_v1:2:54425999:54426722:-1 gene:GSCOC_T00027640001 transcript:CDP08621 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVFKGFGEVEDMVKVVTSNGGVMELYAPITAECITNEFPGHGIFRSRDDQDPLLLSPPLHHKEELRAGKLYYLLPLNNNSSTSNITTNNHVRQQQEEYLLEDSAARSCSSKLSSTSQQSTGAAAATTYRMSLDNHGKVSKRSSEGAEVFPRYNSSGVWKVRLVINPEQLSEILSQEARTEALIESVRTVAKNGTTTTCTTSGVSSIDRSQFMR >CDO99717 pep chromosome:AUK_PRJEB4211_v1:2:9542111:9543889:-1 gene:GSCOC_T00029390001 transcript:CDO99717 gene_biotype:protein_coding transcript_biotype:protein_coding MANTYQSLLDTPKKNTGFKVLFLVLFLAAILGAIAIVSITILKSTQTVISSSPRSHLCSKAHDQPSCMALVSELTSAGLLQSSDVELLQMLLAKSSSRIQETIELTNNINRRINDQKGQAALADCLELMDISMDRVMDSMVSLGSQTANSHSDVHSWLSSVLTNHVTCLDGLNGLARPAMEPLMKELISRARTSLAMLVAIAPSEEEMIQEPLNGDFPSWVTHKDRRLLQSSAKNINANVVVAQDGSGNYKTVQEAVTAAPNNPQTRYVIYVKKGTYKEKVDIGKSKKNLMLVGDGMDLTIITGSLNVVDGTTTFQSATVAAVADGFIGQDIWFQNTAGPQKQQAVALRVGADQSVINRCKIDAFQDTLYAHSLRQFYRDCYITGTVDYIFGDAAVVFQNSQLVARKPLSNQQNMVTAQGRVDPNSNTGTSIQNCDIIASSDLAPVKATIKTFLGRPWKEYSRTVVMQSNIGDHIDPTGWAPWSPGAGTSKRVNWPGYRVITTAAEASKFTVAQLIQGGQWLKNTGVAYTEGL >CDP09392 pep chromosome:AUK_PRJEB4211_v1:2:22894353:22898844:1 gene:GSCOC_T00028739001 transcript:CDP09392 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFHYFKDRTKSKEQRSAPILKCESKSDISAADRVSKSSCSATSERVARSSGSTTSLRSVTELYEERAQNLRVFSFSELARATNNFNRLLKIGEGGFGSVYKGTIKPVGGKGDPIVVAIKKLNRDGFQGHKQWVAEVQFLGVVENPNLVKLIGYCAVDGERGIQRLLVYEFMQNKSLEDHLFNRAYPALSWERRLQIVLGAAHGLAYLHEELEVQVIFRDFKASNVLLDEDFKPKLSDFGLAREGPTGEQTHVSTAVVGTYGYAAPDYIETGHLTAKSDVWSFGVVLYEILTGRRSLERDRPRPEQKLLDWVKQYPANSRKFGMIMDPRLGNQYSHSAAVAIARLADSCLVKSARDRPKMSEVVEKLKQIIHVSGELTSPDRSFEFVEDDPVEEKPPKKTGASESAKRRMAHLAKLGERVGGVSRRGFMIMQRQM >CDP14055 pep chromosome:AUK_PRJEB4211_v1:2:5423370:5425050:-1 gene:GSCOC_T00039238001 transcript:CDP14055 gene_biotype:protein_coding transcript_biotype:protein_coding MKARRGQFPKNQVAFVCAILCVSCALVLFVSVFRLPDVTIASFRPENRRAVGRYEKIGKFGEMVIEMLPEDLPFTVFLPSEKAFERDLRLHLNDSLVGDKANDTYAILTRVLGFSAVPRMINSVNVPYGQEVDFDSLAGFTLYISRGADGVLVVNRIRSNNNVGLQQRSKILVHIMDGVIMDAEFEQSVLPDYNEDGGGG >CDP09313 pep chromosome:AUK_PRJEB4211_v1:2:23935180:23936895:-1 gene:GSCOC_T00028625001 transcript:CDP09313 gene_biotype:protein_coding transcript_biotype:protein_coding MLVESACFDPHPIQGGGLVGDGFSQTHVPNCSPSFSMEDHPYHQRNASQPQQQVVSAAASAAGMEMELQQQLNIEMEHTNLQDMVHESSRNQILSSCDPSNWEDVNFIYHHQQQLQDHHQQQHQHMQQVDMQNIQHHNFSMPLPDTPYPPTPDLLNMLPLPRCSPSALLVPNTSISFANSSAQKPSNFLSSLGLLGDLSGTDGVTTPSPVVYDPLLPVNLPPQPPFGCLFQDGDGRSYENGVFEFTADVKCLGKGRDGKGRKHFTTERQRRVQINDKYQALRSLVPNPSKNDRASVVGDAIGYINELKRTVAELKILVEKKRCSRDRIKRHKVEDGAEGAAVKTEDDLDQPYDGSSLRSSWLHRKSKSTEVDVRIIDDEVTVKLVQQKRINCLLFVSKVLDELQLDLHHVAGGLIGDYYSFLFNSKISEGSTVYAHAIANKLIEVVDKQYAAVQPTSSY >CDO97466 pep chromosome:AUK_PRJEB4211_v1:2:19255093:19256415:-1 gene:GSCOC_T00014815001 transcript:CDO97466 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHHGPVGSPSSSTSSTEINPSNSTSFNHQNGGPAPHCNAAGANNSDPMHSWWESISKARTRIHLLSTLLPETSSVLSSLADSDRPARSLLLSSAAYSSISSSLSSPSSGSGDDSLCQWLYDTFLSADPELRLVVLCYIPLLSSLYLSRIHSSSTTSAISPTPNLAGFEAVLLVLYSSEVKARSGKPVLISIPDLSQPSLYHSPRNPPSNKSNPINNNPSSRPLVGVLSPPLEPQMAVKSTKRASIVGIALDCYYKQISQMPNWSKLDFCKFSADWAGQDCPCKSDFDDFTAQKPDNFTESSNGLSGDSRGHNEIEDVVEEIRHLRIEGRDKELRPQGVRIPLPWELLQPALRILGHCLLGPLITEDVKDAASVAIRSLYARASHDLNPQAILATRSLIQLDKRAREAAAAAAAAAASATSNANTPSKAKKPEILLVSK >CDO99629 pep chromosome:AUK_PRJEB4211_v1:2:10566120:10571480:1 gene:GSCOC_T00029276001 transcript:CDO99629 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEDEPIPDILKKEQPKTKSLWDDEDVDDNDVKESWEDEDEPAAVPIVEPPAAKVPKKPTAKSGEQKEKKGKAAEVAKEEPLDPVEEKLRQQRLVEEADYKSTTELFGKRGDEKTLDNVIPKSEGDFAEYAELISHKLRLYEKSYHYIGLLKAVMRLSMTSLKGVDAKDVASSVTAIANEKIKAEKEANAGKKKTGGAKKKQLHVDKDEDVAVVDAYDGYDDYDFM >CDO97031 pep chromosome:AUK_PRJEB4211_v1:2:16004751:16010462:-1 gene:GSCOC_T00014247001 transcript:CDO97031 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLVHVCNGCDGKSGEALHTYHSVWMSHWTRRSCDSVTPNHNISSPSFGNKQNCYAANDYLLSREKAIESNSYSPAKETRGIETGNFNFINENFRTTSTTLGTKKLAFQSFPTDGPGTVAENVSAINKEPGETSRVFRDSSFVISRPFLEELPRSSNHMVQCGIENGDDRIPVLPLARGKPLPTSEERLSPTNVSVFERENFDYQRQSTFLVCEEKVERHSKSARSLTSFMRQNKASLFQMDPRASNNHLPIFGGEQFRRMQNLSGISLLQNRSNLQEPTSPQRLYHGSNSLRKFSQSLQDVETMRICTTVDSVVPLHGDHPRFSQTTQSWLITKKTDLNSFKEKQTFTSSRECTGLNGNTFFNFQSLSPFSSQQGVKIQSLGESTDTEGKENVGDVNTSDGSNLKNESSAETDTMDLDELKEKNQLFSANSSPPNKDFRMGLITPQVIIGSTGEAGHRRHSIKLPDINLELPALPSGDNVDPSSSRTQSLDMETLLAHAEQPSQSESDCYAGGSSKPEPSNRWIKRLRLSASESFSLGTRSSNMGKESRHEKSNLSSGKILKGSVVNSELTVSRLPGKELMVLDDATALRRYGESSSTDAAKKGKDTLTSLSWIRRWYHNQSGMPQKKTDAMVVFEPQSSKLASEDRQDKQCPSIAAMALMGKAMNGFQTCEFRRRGSFIVWNTRGL >CDO97636 pep chromosome:AUK_PRJEB4211_v1:2:20551592:20555083:1 gene:GSCOC_T00015034001 transcript:CDO97636 gene_biotype:protein_coding transcript_biotype:protein_coding MATFEQAPPGDPKGGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTAGYSYSTANKNMAVIWGENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKHATSA >CDP17771 pep chromosome:AUK_PRJEB4211_v1:2:51511930:51516130:1 gene:GSCOC_T00003887001 transcript:CDP17771 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKSVSPVDNSTSTAPPKLILYSFWQSSCSWRVRFALNLKGLPYEYRAVNLSNGEQFSPEFEKINPLHYVPALADADLVVSDSLAILLYLEEKYPHKALMPVDRRLRVLNLQAANIVSSSIQPLHMVSVLRNIEEKFGSEERSSWAQSKIETGFFALEKLLNSLAGKYATGDEIYLADVFLAPQIAVAIKRFNIDMSKFPTLSRIFNSYKALPEFLDSLPERQPDAVN >CDP13874 pep chromosome:AUK_PRJEB4211_v1:2:4013227:4016939:1 gene:GSCOC_T00039003001 transcript:CDP13874 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLCKKIGDPTLPLDDSPSSPFTLTTTHPIPELNSPTSVRVRVRATSLNYANYLQILGKYQEKPPLPFIPGSDYSGVVDSVGSGVTKFKAGDAVCSLASLGSFAQFIVADEAQLFRVPEGCDLVAAGALPVAYGTSHLALLHRAQLQPNQVLLVLGAAGGVGLAAVQIGKACGAIVIAVARGDDKVQLLKTLGVDHVVDLSKGNVTESVKGFLKARNLKGADVLYDPVGGKVTKEAMKLLNWGAHILVIGFASGDVPVIPANIALVKNWTVHGLYWGSYMIHRPVVLQNSLKELLSWLASGLITINISHAFSLSEANLAFSALKDRKAIGKVMIVFDDQKTFRSKL >CDP19640 pep chromosome:AUK_PRJEB4211_v1:2:5624037:5624519:-1 gene:GSCOC_T00009475001 transcript:CDP19640 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIRSLFGGRRGNVFDPYSLDVWDPFVGLAFPDASLANVPNTASETYAFARPRIDWKETPEAHVYKADLSGLRKEEVKVEVEECRVLQISGERRREQEEKNDKWQMVERRSGRFGRRFRLPENAKADQVRASMENGVLTVTVPKEEAKKPEVKAIEISG >CDO99849 pep chromosome:AUK_PRJEB4211_v1:2:8330238:8332364:-1 gene:GSCOC_T00029545001 transcript:CDO99849 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMCTGPGWGRYFVGPLQLGICYGAVIACTLLGGQSLKYIYLVARPNGTMELYHFVVIFGGLTLVMAQMPSFHSLRHINLISLLLCLAYCACTTAGAIYVGYSKNAPSRDYSITGAGINTVFGAFNAFSIIATTYGNGIIPEIQATPPVTGKMFKGLLVCYAVVISTFFSVAISGYWAFGNQSRQSVLSNFMVNNHPLLPKGFLLMTNVFTLVQVSAVVLVYMQPTNVVIERAFADPKKDQFSVRNVVPRLIFRSLSIVLATTLAAMLPFFGDIMALFGAFGCIPLDFILPMVFYNLTFKPSRKGLVYWGNTIIAVLSTVLALVGAVASVRQIILDAKTYRLFANM >CDO96941 pep chromosome:AUK_PRJEB4211_v1:2:15220437:15222238:-1 gene:GSCOC_T00014135001 transcript:CDO96941 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSDKLCFAALLIAAAVIHGTSGDTTVTGTVFCDQCKDGQISLFDYPLYGIKVTMACPGSNGQLAAWREETTNWVGGYAMRFDGTPDLRGCYAQVSGGQGSSGCGAAAGPPKSLRLMFNMFDMEMYVVDPLISQPAQPMSFCPRSTSPVPAPVNPALPPPATSFPQPPPVARSTPLPPIPFVEASACPYQKWIMAEYRCHWKVVTPDTKVAVAFGLIAAQRYGTDLTLWRGLQGKGEAYRTLLREGTAALLNSYNSIQFAYHPLAVIEHMNWALTGSSEQVLGTALKFMRANSGNAHLSCTLSPCKS >CDO97352 pep chromosome:AUK_PRJEB4211_v1:2:18363073:18365766:1 gene:GSCOC_T00014670001 transcript:CDO97352 gene_biotype:protein_coding transcript_biotype:protein_coding METYPYQPSYPDSGDSSPRSREIDFENPAPWEDSQNPQQSLASKVKFMCSYGGKIHPRPHDNLLSYVGGETKILAVDRNITFASLIAKLSSLCDCASVSFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLYRASPKPARLRLFLFPASPAPPSQSPTVQQHQHQHQHLQSPPLPAGVKLRDPVAEQALHEHEIPGPALDDRVIGSDSIQKHIQDLQRLRIEEQQGLYRRQSDDNLAGGYPVGGGGEYYVQKVPEKVSPVSVPGTMAIPTAGYWPPEKQVAGGVFPASTFGTDQQVYMIPAPAGAYHPQMVRPVTGPTGQGYYTVQRVPEMYREQQQQPYNVAQQMGAPVQSVAAPPPSHSPQGPPTKVTAGGGGGAYTDGYGMVRPATSGGVGVTETGYGQVAYDSGLGRQVYYPAQGGVNVMGGPSPQAPPPQAQQYHHAMAASTAAATMGDVRALNQEAGKVVPKATQASV >CDP08781 pep chromosome:AUK_PRJEB4211_v1:2:53047837:53053234:1 gene:GSCOC_T00027876001 transcript:CDP08781 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQAKMEKMEMRQQYRNHWHTDLLNATAADPVFCCFAFFCGPCASYMLRKRALYNDMSRYKCCGGYMPCSGHCGESTCPEFCLCTEVSLCFANSVASTRFMLQDEFDIQTTKCDNCIIGFMFCLQQLACICSLIACIVGSEEIEDAAQMLNCLADMVYCSVCPCMQTQHKTEMDKRDGKFGSAAAATMAVPPPVQQMSRIDHSLPPSVGYLPPPAYSYPPHQQPQGAYPPPHYNYYPPPPHFHGYPPPTQGYYPPPQPYGYFPPQPYGYSPPPQQAPGSPSDLQSHVYPPPPPQNQGSSPVSHTEGYTQPPQQAQATTRSSEISPSQAQGSSPPVQSHEHPQAPPGQSHSPAGAAHPPPSHRV >CDP17178 pep chromosome:AUK_PRJEB4211_v1:2:47421501:47421644:-1 gene:GSCOC_T00000643001 transcript:CDP17178 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEADQKELKEAGAELLPDGQVGLRIHGWEIESSKPSILKFLQRQE >CDO99878 pep chromosome:AUK_PRJEB4211_v1:2:8119694:8121422:-1 gene:GSCOC_T00029581001 transcript:CDO99878 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIASIGSSGRSSMDDHDDEEMAKMAIASFQAREEEIERKKMEMKERVESQLNRAEEETRRLAQIWEELEVLTDPMKKEVAAARKRIDLVNRELKSLGQACQKKEKEHKEVLDIFQEKSNEKAQLTTTLMELVNESEKLRMRKLEELSKFVSTAR >CDP00087 pep chromosome:AUK_PRJEB4211_v1:2:6302497:6306014:-1 gene:GSCOC_T00029877001 transcript:CDP00087 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVVTRSGRELVKGGLDLDDSATVADLQEAIHKRTKRYYPSRQRLTLPLPPGSKEKPTILHYKKSLKEYIGESTNELTVAFKDLGPQVAYSTLFFWEYLGPLILYPLFYFFNVYQFFGYKGKRVIHPVQTYALYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWSFGSYIAYYVNHPLYTPVNDLQVKIGFGIGLACQLANFYCHILLRKLRPADGSGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFMVVAAAIMTNWALAKHRRLRKLFDGKDGRPKYPRRWVILPPFL >CDP08646 pep chromosome:AUK_PRJEB4211_v1:2:54151375:54151749:1 gene:GSCOC_T00027682001 transcript:CDP08646 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTNSGITESTEYEDLLPVMAEKLDVDTFVGELCGGFRLLADPTSGLITSASLRNNCALLGMEGLSRKDAEAMVKEGDLDGDGALNQTEFCILMVRLSPGMMQDAEAWLDKAVAQELGHASA >CDO97133 pep chromosome:AUK_PRJEB4211_v1:2:16708844:16710686:1 gene:GSCOC_T00014378001 transcript:CDO97133 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCG-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G15890) UniProtKB/Swiss-Prot;Acc:Q9XIM0] MPLQSLPLTSSLSSSSLLLESNHSSRSRSGLNSTVCCSSSSRNNSYIPKLEPFSRTKFERGLKDPPLIEKSENQLAEYCSTLEGDASYSCWKAYFELKDLEKEASKEEIERLIIEAGGAKSLIGCLHGIAEIHKAKKGLHKSEKNSNSEQTGTWARPVPDGLPKSREELEEEERARMPDSPFTRLLRTKGTCPAWYTPAPDY >CDP04996 pep chromosome:AUK_PRJEB4211_v1:2:743873:747383:1 gene:GSCOC_T00019891001 transcript:CDP04996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14605) UniProtKB/Swiss-Prot;Acc:F4JVI3] MLSSLSTSSCVVVCRQPLKKISISVASTSWRPFYIRTHSRSPLRVLVCWAKYAAESGVDGSFSLRMVPPSLFAAEKEEAKAVLTLFLKKQGLSSALAARVINKSDAFVDHLVSQLHSVHKSRYLVGRELTTLEIRDALIPYLETLLEEYGGILVDVVENFPNPPVKESIEANYQNPITEENLDTLVSPSNAILDSKKLKALARVSEISPAGKFPAHVCYLVELGMDLKAIREVIRKFPAFAYYSLDRKIKPLVEFLLDLGILKSDIPTIISKRPQLCGISLSENLIPTMAFLENLGVDKRQWPKVIYRFPALLTYSRSKLKGTVDFLYEVGLSAENVGKVLTRCPNIISYSVEDKLRPTVEYFSSLGIDVAHLLQRSPQTFGLSIEANLKPLTEFFLERGYSIEDVRTMISRYGALYTFSVAENLVPKWEFFLTMDYPKSELVKFPQYFGYSLEVRIKPRYAIVKEHGVRLLLNQVLSLSGPEFDKALRRKVKKKHNN >CDO96917 pep chromosome:AUK_PRJEB4211_v1:2:14986889:14992067:-1 gene:GSCOC_T00014100001 transcript:CDO96917 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLVSKASYENVSKKWIPELRHYAPGVPVILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKLIGAASYIECSAKTQQNVKGVFDAAIKVVLQPPKHKKKKKRKGQKGCSIL >CDP14021 pep chromosome:AUK_PRJEB4211_v1:2:5163415:5164670:1 gene:GSCOC_T00039190001 transcript:CDP14021 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEDSFACEDGKVDWTGQSSLSELGTKSNQPNFFPQGKKGKEKKKITILAWETFQSLDFVCG >CDO97572 pep chromosome:AUK_PRJEB4211_v1:2:20055192:20058910:-1 gene:GSCOC_T00014954001 transcript:CDO97572 gene_biotype:protein_coding transcript_biotype:protein_coding MERQPGQEDVEMKDVDGVRDLTGIYPRVHDGVQKLVEITEMRTAVVEAREGEEVKKHDRSENDHDNSRESPGAAIVLSSSQVATAKKNEQPPVDDCCAICFGDFVLPCKTNCGHWFCARCILQLWHYKPALQLCNCPICCCVITKLSPEASSLASRDGDVAQVLKDIQRYNHLSVHGWEGVFLKIRALPLLIQRMFRDLIQPGMLGLIIDILRGVGSLLGLLCWICNLGPDPIDWLIYFPRMAGRWATAIVIIFFLVYICQILMRRIQARRLVGVQLEQM >CDP07637 pep chromosome:AUK_PRJEB4211_v1:2:24133893:24139504:-1 gene:GSCOC_T00024955001 transcript:CDP07637 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHAAVIQKLLSTNAHLGRRVAAHHFKIYSCGSRNAMTIIDSDKTLICLRNACNFIGNLVRLKGRFLFVNTNTLFDEIIEEMTKAIGIKNDKSWRLGGFLTNSSSPKKFRGRNKKLNLGAIHAPDCVVIFDTERKSSVILEAERLQVPIVGLVDSSMPWETYKKITYPVPANDSVQFVYLFCNLITKTFLYEQRKMKAAQGADDSTAGTREDDLQIETASKRGKIFVLPYESLQPAPEDVSDLKQLLDKVVVLKFNGRLGTNMGLNGPKSTIEVQNGMTCLDLVINQIESLNAKYGCNIPLLLANTVNTHDSTLKVLQQHTNKNIHAFLQSEEYEDDSDEAPHQDRMYASSHSEVYRSLKNSGKLDVLLSQGKEYILVLNSDNLAQVIEPKIISHLVQNNIETCMEVMPASSDLEVKEVPSHEGKYEVC >CDO97548 pep chromosome:AUK_PRJEB4211_v1:2:19858974:19868731:-1 gene:GSCOC_T00014925001 transcript:CDO97548 gene_biotype:protein_coding transcript_biotype:protein_coding MEASERTLEKVFLCGGVYAGNFKGLLPHGKGKYTWSDGTTYEGDWEEGKMTGKGRISWASGATYEGDFSGNYLHGFGTFTGSDGSLYTGGWRMNSQHGIGRKQYQNSDVYDGCWKEGKREGSGRYAWSNSNMYIGSWKNGKMSGRGVMKWLNGDLFDGFWSNGLRHGTGCYRFADGGYYFGTWTKGFKDGQGTFYPAGNKHSARRKSSADKNGEKKKRLLVHSSSVNSENSVRPHVRRSHSEKISNTLLRCSSQTSHRTSSLREDSALDDISVDMSFDASSRYSDDDQSGLQGDSSVAFEREYMQGVLIKERVRSISGLSLKSKKRYKFHAKEVKSKSCVDIFEGHRRYYLMLNLQLGIRYTVGKITPVPAREVRSSDFGERARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLNAAEYMMSICGDDGLRELSSPGKSGSIFFLSGDDRFVIKTLKRSELKVFLRMLPRYYRHVSQHENTLITKFFGLHRIKLKHGKKVRFVVMGNMFCTELRIHRRYDLKGSSHGRFTKKDKIDEGTTLKDLDLTYEFHMDKLLRESLFKQLSLDCEFLESQQIIDYSLLLGLHFRAPEHLKALLEPPDSLHKPASAQATLGGMTSQGELSIPPRGLLLVTHEPSSVSTEPGPHIRGSTLRAMSVGDKEVDLLLPGTARLRVQLGVNMPAQANLKVLQDKTDSAEVELFEVYDVVLYLGIIDILQEYNVRKKLEHTCKSLQFDPMSISVVEPKLYSRRFINFLERVFPVAP >CDP17537 pep chromosome:AUK_PRJEB4211_v1:2:29520291:29524315:1 gene:GSCOC_T00004499001 transcript:CDP17537 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSGEEDMPRDAKIVKSLLKSMGVEDYEPRVIHQFLELWYRYVVDVLTDAQIYSDHAGKSVIDSDDVKLAIQSKVNFSFSQPPPREVLLELARNRNKTPLPKSIAGPGIPLPPEQHTLISPNYQFAITKKQSKEAVEETEDDEEAADPNLNPSQEQRIDASQGAAQRVSFPIGPKRSK >CDP15172 pep chromosome:AUK_PRJEB4211_v1:2:49906675:49908879:1 gene:GSCOC_T00042775001 transcript:CDP15172 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLFPVISAKANTIPPFYLLKFLHSLSKRSCSVTLPASEPQTPPTAHLSPDDLNNLLNKATQEKNIRHATQIHTQLIIQDYIFLPFLFNNLLNLYAKCGHLSQALTFFNAPMVQARRTLNLEHKNVVTWTSVITQLSHYHKPFKALTFFNDMRRTGVYPNHFTFSAVLPACADSLIIFHGHQIHCLIHKHGFESDLFVGSALLNMYAKSGDMMLAKKVFDEMPERNIVSWNSMIVGCLQNSLHNEAFGVFVEVLREGSLVPDQVSISSVLSACANMGAGLNGGRQVHGVVVKHRLVSLAYVRNSLMDMYFKCGCFDDGFKLCGALEDRDVVTWNIMIMGCVENDNFEEACNHFWVMRREGVSPDEASFSSVLHAAACIAALDQGTLIHSQMVKAGFDRNTCVASSLITLYAKCGSLADAQRVFNECEENNVVSWTAIISAYQQHGCASQVITLFEDMLRKGIKPDYITFVCLLSACSHSGRIDEGFSYFHSMTQRHDMKPGHEHYACMVDMLSRGGRLQEAKKFVETMPIQADASIWGALLGACRNYGNLEMGRVVAEKLFKIEPDNPGNYVLLSDMYSRRGKLEEADEIRRLMGVNRVKKEPGCSWLDIKNTTYVFKVHDRSHSRTHEIYKMLEKMEEMVKQKGYDGETGRKDGVDGHKSSWYHSERLALAFGLLTVPLGAPIRIKKNLRTCNDCHTVMKFASEIFNREIIVRDINRFHRFTNGLCSCGDYW >CDP13910 pep chromosome:AUK_PRJEB4211_v1:2:4280530:4285279:1 gene:GSCOC_T00039049001 transcript:CDP13910 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP DNA-binding protein, Disease resistance, Vascular developmen [Source: Projected from Oryza sativa (Os03g0336200)] MQDPNVGNAKQQQGNQAAPFAFRPTHHRRAHSEVNFRLPEDLDLVPDPFDVPAGTSFDEMGSEDDLFSTYMDIEKLGGSNNSNSNNNNNNVNDGDNAGGACLPHSGADGEKSLPPGTMRPRHRYSNSVDSSSLLLNESSIEAKKAMAPDKLAELWTIDPKRAKRILANRQSAARSKERKARYINELERKVQTLQTEATTLSAQLTLFQRDTTGLTTENTELKLRLQAMEQQAQLRDALNEALKQEVERLRIATGEISGPSDAYHLGMQHVPYSQSFFSHQAQPGPGDSQSPQMAPFNPLQANMSNRHPSLLAPAQMHSFSDALQQDPLGRFQGLDIGSRTSHTVKSEGPSLSASESSSTF >CDP15153 pep chromosome:AUK_PRJEB4211_v1:2:50163873:50167153:1 gene:GSCOC_T00042750001 transcript:CDP15153 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTANSFIFLPLFLLPPLFWLILNYIKSRNQSLPPGPKPWPIVGNLPQIGSKPHVALAQLAQDYGPLISLRLGSQLVVVGSTPAAATEILKTHDRILSGRHVPHVSYAKSPLMNYVSVGWTYECTDQWKFLRTLCKSEILGAKVIENQSHLREQKANELVQFLVSKEGQRIKIAEVVFVSVFNFLGQIFFSKDFLSYDEVENGGGMSELIREVMELWTAPNISDLYPVLGGLDLQRLSKKASVCHNKICSAWQEIIRERRGKKYQDSTRQKDFLDVLLQNDFSDDQINYLHLELFAAGSDTSTSTVEWAMAESLRKPKFLDMIRQELDQIEFSGENVIKESDLTRLPYLQACVKEILRLHPPAPLLLPRRATETCQVMNYTIPMGTEVLVNVWAIGRDPNIWEDPSSFNPERFLSCDLDFKGNDFEFLPFGAGRRMCPGLPMAARQVCLTLASLIYHFEWSLPDNMLPQHMDMSEKFGITLQKEQPLVIMLSRRK >CDP17539 pep chromosome:AUK_PRJEB4211_v1:2:29562192:29564722:-1 gene:GSCOC_T00004503001 transcript:CDP17539 gene_biotype:protein_coding transcript_biotype:protein_coding MKISKPPPTIERKVPSYHFICQFISNYWCYSLVCIISNMKKLNLPPGPKPWPIVGNLNLIGSLSHRSLHQLSLTYGPLMHLRFGSFPVVVGSSMMMAKVFLKTMDVTFADRPKTAAGKYTSYNCSDIMWSPYGAYWRQARKMCLMELFSAKRLESYEHIRVEEMNSLLLGLFQSLGKPVLLKDYLTTMSLNVISRMVLGKRYLDESDQNSIITPEEFVKMMDELLLLNGVFNIGDSIPWLNFLDLQGYIKRMKILSKKFDWFLEHVLDEHNVARMRNDEQNQVSKDMVDVLLDLAEHQTSEVKLERHGVKAFTQDLLVGGTESSALTVEWAISELLKKPELFKKATQQLDRVIGQNRWVTEKDIPNLPYVEAIVKETMRMHPVVPMLVPRCAREDCNVAGYDIQKGTRVLINVWSIGRDPELWKDPQEFYPERFIGKEIDVKGQDYELLPFGSGRRMCPGYSLGLKVVQSTLANLLHGYNWKLPSDMNPEELDMEEIFGLSTPRKFPLVTIVEPRLPHHLYSQRFDIYA >CDP09406 pep chromosome:AUK_PRJEB4211_v1:2:22774264:22775887:-1 gene:GSCOC_T00028755001 transcript:CDP09406 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPSAQDRSTPPLLEGPPAVTIATAAASRRLPPPCWSHDETVALIDAYRDKWYSLRRGNLRANHWQEVADDVASRCPIGNPKTAVQCRHKMEKLRKRYRAEIQRAAPYGGSKSHRYCSAWVHFKRMDAMEKGPNSSAAAEADEDEEDQEDSHVKPIGDIYNTNSLNHTGSYINNNSSNRSSFHGGMVNGGTGFRIRIPGRGNVGPAAAAKVYSRFDEMGGQNPNPNAGVNYGSAKALRDGFGGRGDIGKRVVGGDVKTGDPLAEMVSAIRVLGDGFVRMERMKMDMTREVEEMRMEMEMKRTEMILESQQRIVEAFAKAISEKKLKKVKRMQNQEG >CDP18250 pep chromosome:AUK_PRJEB4211_v1:2:48543385:48544647:1 gene:GSCOC_T00011812001 transcript:CDP18250 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESDQRYAMMDEKKRKRMISNRESARRSRMRKQKQLQDLTDEMGRLEVANNGIEGKIDGITEKYMICAAENNVLRARLTELTERLRSLNDVIKNLEMVGDATQLPDPLLKPWQVSCSMQPIPASSGIFQL >CDP08806 pep chromosome:AUK_PRJEB4211_v1:2:52824922:52827296:1 gene:GSCOC_T00027909001 transcript:CDP08806 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIDSCWRSDPNWDANRQALADCARGFGADATGGKNGRVYTVTDSSDNPSNPKPGTLRYGAIQREHLWIIFAEDMIISLKTVLSVSSYKTIDGRGVKVEIANGPCMEVRSASHVITHGISIHDCEAQRPGLGDAITITGSSDVWIDHCFFSSCVDGLVDIILLAKSYMHVMLLGHDDDHDEDKSMRITVAFNYFGPGLVQRMPRVRHGYAHVANNRYERWTIYAIGGSANPTILSEGNQYTASDNPRAKEVTMRIQDEDWKTWTRKSSRDVFNSGAFFVQSGSGSYLPNYSPSQSFTVAHGAAVLTLTSDAGPLECNTNKTC >CDO97183 pep chromosome:AUK_PRJEB4211_v1:2:17110202:17113930:-1 gene:GSCOC_T00014444001 transcript:CDO97183 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSITPLYFIPTTLPKSDHHCLASLSTRSFRALGFRVFAAAVSEQRKTPSISKPPKTPFPSPTASIPTPSPAANENPPKTKKVLVPIGFGSEEMEAVILVDVLRRAGAEVTLASVEQRLEVEFSGGTRLVAAAFVSACSDQIFDLIALPGGMPGSARLRDCEILQKMTSKQAEEKRLYGAICAAPAVTLLPWGLLRKKKTTCHPAFIDKLPTFWAVKSNNQVSGELTTSRGPGTSFEFAISLVSQLYGETAAKEIKDSLLVNDSGSHKKEEFNEVHWSLDHTPQVLLPVANGCEGIDIVTTIDILRRAKASVVVASVEKSTQILASQGIILVADKLINAAAEITYDLIILPGGVGGAERLHKSRVLRKLLKEQQIGGRIFGAMCSSSAILQRQGLLKDKKATAPESVLSKESNVVDGAQVVIDGKVIANKGLASATDFGLAIVGKLFGHSRARSVAEGLVFEYPRA >CDO97508 pep chromosome:AUK_PRJEB4211_v1:2:19597467:19598987:1 gene:GSCOC_T00014873001 transcript:CDO97508 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWIQRASKKIALKSITRCFHGTPAQFESHLPKAETIWFIKVHLNPSIAFFVIQHINNHFSNPRLAFEFFQFTRLNLSLVHSVSTFNLLLMSLCQIGFFDLAQLMLDYTITDGLLLDGSVVEFLVSCFANAGKFRICKEVLISQANLSSEKEEVVSSFAHNILLSMLVKRNRVDEAVQFFQDHILILRGFCPDTCSFNIVIRGLCKGGQVDKAFQLFYDMGSFGCSPDMITYNSLINGLCTVGNLDRALCLLRDIQSQVGVAPDVVTYTSIISGLCKSGKMEEAASLLDEMVQCGIRPNLITFNVLIDGFGKSVVIVRLES >CDO96841 pep chromosome:AUK_PRJEB4211_v1:2:13792449:13798815:1 gene:GSCOC_T00013992001 transcript:CDO96841 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVVLELELSIVMKKIYYFFTLGLFLLSYLIDSLATTATNITTDQLALLSLRAKITSDPQQMLANNWSVASSVCEWRGVTCGSRHRRVTALNISNLGFTGTIPPQLGNLSFLKLRVLDFAINKLSGDIPSWVGSLQELQHFSIENNSFTGFVPASLSNMSKLDTLILSFNPLQGTIPMEIGYLGNLKEIVMYHNRLSGSLPLGMFNISSLEYIGLADNSLSGSLPVGICPRLQGLKWLDLSHNKFSGMIPSSLSECSKLQVLGMSYNNFTGVIPEEFGNLTVLEELYLGNNSLKGTLPNFCIFGLENLALSFNASMMSTRSMILVLDVSSHVTKAKICFIFVLVTKIVVRHTAQIALRSQYSLNAGSMSQFSSFNELLMPKISSISLSASLFFVISEAILPKLMEKKIKIILTIPLSNDFEKLVRCCSFEDVEDNFAGVIPQVVGNLTLLTVLDLTQNKLTGTIPKEFGHLKNLNLLNLGSNSLTGSIPDQIFNISMLQELTLTQNNLSGGLPPSMGFGLINLEELYLDLNEFDGAIPASISNASKLTTLDLDTNKFSGLIPSSLGDLRLLEILDLSKNHLTTEPCIPDAIGNLSNLFVLSLFANHLSRSGPVTVKHLQKLQVLNFHGNQLSGSIPDSLCELKSLFVLSLEQNQFRGSIPSCLSNVSSLRVIIFAGNLLNSSIPASLWNLTDLFSLNLSNNSLSGSLPQEIENLKVLTLLDLSGNYLNGNIPSSIGSLQTLAKLSLAQNKLEGPIPDSVGHMLSLEVLDLSNNSLSGPIPKSLETLSYLNYINLSFNRLTGEIPSGGPFESFTYESFIFNDDLCGAQRFHVPPCSSHQIHQSSRKKVFQILGIVLGIAAAIIAPTAAILLLRWRRKDEVSRNTGLLPTVVPRRISYYELMQATNGYDESNLLGKGSFGSVYRGILTDGTIVAVKVFTLLDEVTSKSFDAESLVLDYIPNGSLEKWLYSHNHCLDLPQRLSIMIDVASALEYLHYGCTTPVIHSDLKPSNILLDRDMVAYVCDFGIAKFLDEGNSVLLTKTLATLGYMAPEYGVEGLVSTRVDVYSFGMIMMETFSRMKPSDEMFKDDLSLKSWIEESLPDAIFQVADRNLLGEQDKHFNEKLECISMIFNLALSCCTEYPQDRINMKDVVTTLKKIKRKLDA >CDP08580 pep chromosome:AUK_PRJEB4211_v1:2:40158947:40174686:1 gene:GSCOC_T00027569001 transcript:CDP08580 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLSIVDVVEEEPREDANGDVVPVDVSKPNPNGMEFDNLYLDMNGIIHPCFHPEGKPAPTTYDDVFRSIFDYVDHLFSLVRPRRLLYMAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLKKEFEVEGANLLPKEKPETSDSNVITPGTPFMAVLSVALQYYVQSRLNHNPGWRFTKVILSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTHHCLYGLDADLIMLSLATHEVHFSILREVITPPGQQEKCFLCGQVGHLAAECNGAGSGSKDANGNLVNDVPIHKKKYQFLNIWVLREYLQYDLEIVSPPFALDFERLVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMVVYRREFTAMGGYLTEEGEVLLDRVEHFIQAVAVHEDQIFQKRARIQQAIENNEEMKLKARGETNEEPQAQVVDKVKLGEPGYKERYYCEKFGVSKPVEIDEVRNDVVQKYVEGLCWVCRYYYQGVCSWQWFYPYHYAPFASDLKDLADLEITFFLGVPFKPFDQLMGTLPASSSSALPEKYRSLMTDPSSPIIHFYPSDFEVDMHGKRFAWQGVVKLPFIDENKLLTATKKLEDSLTEEERHRNSVMCDLLYVHPLHPLGPHVLSYYHLSGLVPLKERHPCAIDTNASVGMNGFMWLTERNHKTSVVPSSVNGFPDIINNETLNITYLNPLPHKHIPRPPDGTVIPEKVLRAIDIKPFPVLWHEDVGSKRQLGRNRPQVPGAIAGPSLGEAAHRLLNNTLNINSNYNYSGVRAQKANQNFPRNHLTDKPRPAGPSGYERGFSEQMTYRSALGNDAGNKPRPPGQSGYGGFVGEPNYVNGYHNSRGMLGGQGYASSPFELQSHRQNFRAQCRYPSQDQYYNLRNGMTTLTIETGGRTRPNAAFSPRMPNSVQSSNTGHIHVQNVSPLPSPPSKWIGKPAAGTASGYEKQVKKVYQVKSRPSQNSSDIGSSNAVA >CDP07820 pep chromosome:AUK_PRJEB4211_v1:2:26937664:26940835:-1 gene:GSCOC_T00025218001 transcript:CDP07820 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVVNHRISVTHYPYAGISDGRIIKWLPDEGRWTNFAITTPHSGSRSGCEGPQDNVLTESKCGRPLGLCFNQKYGNLYIADAYMGLLVVGPSGRLAASLAKKAEGVPFKFTNDVVVDQNSGIVYFTGSSAIFPRSSLSFLLLACWTPSGRLLKFDPKTNQVTVLLKNLMFPNGVVLSKNNDFLLVAETTNCRVLKYWLEPSKAGTVEIFAKLLGRPDNIKRHQMENFGWQLFHWRTCLIHSDR >CDP17808 pep chromosome:AUK_PRJEB4211_v1:2:51097084:51097853:1 gene:GSCOC_T00003936001 transcript:CDP17808 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLVQMYADCNNIEIARRLFDKLSEPNVFGWTAIILFYSRNGTFNECIFTYKEIKFKDVSGVSPNSLTFSSVLSACSHSGLVNEGLKIFDRMSTDFGFKPQTGHFTCLVDLLARAGQLDDAVDFIRKMHHGPDKHSWGSILAASLEQQSIRIGVQASEHLVNLELENAGHYVTLSNLYAKAGRPDDAVRVRRLMESRGLVKQFGYSSVSSGS >CDP17834 pep chromosome:AUK_PRJEB4211_v1:2:27536434:27537111:-1 gene:GSCOC_T00009460001 transcript:CDP17834 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHRKASELPTYKTERTSLSCEKLFDIALGIAEGIDYLHSSCEMQILHFDINPHNILLDDHFAPKLSDFGLAKLYPTENRGPEEPDILPFMELQMKPTHRPSMNTLIEMLEGDGELLEMPPKPFQNPDETPALRYFSSPRGKTALRVLARSYSSSISAHL >CDO99742 pep chromosome:AUK_PRJEB4211_v1:2:9325109:9329777:-1 gene:GSCOC_T00029421001 transcript:CDO99742 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNRLHVLAQKSCFNFPSYSFVREGPDHAPRFKAIVNFNGENFESPNFCSTLRQAEHSAAEVALKAFASSEPFSSLAARILDETGVYKNLLQEVSQRVGASLPVYETFRSGLGHLPVFTCTVELAGIIFTGEPAKNKKQAEKNAAMAAWTSLKLMVQQSEISTSEKVKNDEQEHVTVARALQKYLFKARLAKETFPIRFPTQNTKPPTSMQSPAASSKILPFICPRPARNRAVMAAANSSSISSKISPQSRSPMGIVNEMVICPKTTQGQPSSPAVNNNLSLPTESHWYRCQKFPAAGAAPYIPIRHFSPHQRIAPPVTIRNAIPVYSSPPIPPPSQSPRLMRPPTSGMAPPVCLRQAIPSYSAPPVRLEQLSLLDAAIEEQPPFPKPPVIKFEEPLGSKVPQTQIENPISAKAISGVALASNQVLKFCQFELSYQF >CDP04936 pep chromosome:AUK_PRJEB4211_v1:2:241557:242792:-1 gene:GSCOC_T00019806001 transcript:CDP04936 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVVQKQRRAAVAERKRAVQGDPLTKRLKHKHPPLSISGKRKRKLFKKWRRDQKAAAAALTTAMQDVEMLAVAHNDDNGSGGTSQDDANKQAAPKKFHFHMKKSPKHKAGRFKKRDKNRKSDKRAAQASKDAMEE >CDP14029 pep chromosome:AUK_PRJEB4211_v1:2:5216748:5219047:-1 gene:GSCOC_T00039202001 transcript:CDP14029 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSVWRARAKLLLAATAAGGGAGAALILSSDDPASAFKLSTAVPIRLLRDSVSAATTAFDYKYSLWGLPEGSPEWTRVKHEVHIRGARRLQELCFRNGGVYIKLGQHISQLEYLVPEEYVHIMRESMLNKCPVSTYDQVSEVVKKELGGTPEEIFEEFDPVPIASASLAQVHIARTRDGQKVAVKVQHTHMTDTAAADTATVDLIVNTLHQLFPSFDYRWLVDEIRESLPKASC >CDO97238 pep chromosome:AUK_PRJEB4211_v1:2:17515858:17516833:1 gene:GSCOC_T00014508001 transcript:CDO97238 gene_biotype:protein_coding transcript_biotype:protein_coding MKTREGNDGRAADLNPGERLNLYLATNQPSAFGDPDPQSGRIPNKVFSCNYCRRKFYSSQALGGHQNAHKRERGAMRSYQSQFMVAFPVNTSMIRSLGVQAHSLVHKTDGDRGTMVAKLTEANMGPQMLQCREETMELMWPGSFRLDQAPPQPKTSNQDELDLNLKL >CDP15486 pep chromosome:AUK_PRJEB4211_v1:2:45373146:45376462:-1 gene:GSCOC_T00015328001 transcript:CDP15486 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKLYLIGTILLYLSILAIGAQPRKPVDVPFQRNYVPSWSADHIKYIKGGSSVDLVLDKISGTGFQSKNSYLFGHFSMRMKLVGGDSAGVVTAFYLSSQTNEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWYDPTKGFHDYGIIWNMDMLAFFVDNTPIRVFKNSKDLGVKYPFNQPMKLYSSLWEADDWATRGGLEKTNWANAPFIASYTSFHIDGCVASTPQEVQVCSTRGLKWWDQPAFKDLDGEQYRRLRWVRRKFTIYNYCSDKARYPTPPIECSRDRDV >CDP20621 pep chromosome:AUK_PRJEB4211_v1:2:46708977:46709222:-1 gene:GSCOC_T00013095001 transcript:CDP20621 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKFVAAITGKHDHETKKKIKGTVVLMKKNVLDFNDFTASVVDRLDEFLGKKVYLQLISSVKADHSGIHLSSLSFCFLHI >CDP00030 pep chromosome:AUK_PRJEB4211_v1:2:6781168:6790575:-1 gene:GSCOC_T00029790001 transcript:CDP00030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:Projected from Arabidopsis thaliana (AT3G55260) UniProtKB/TrEMBL;Acc:A0A178VFV1] MPSKRPTIFFLLFPFLLSISLSFPTPVNSSRTLSLHKSKLHFDDQSLTLLWPLPAQYTFGNHTLTVDPNLSLVLSGNGGASGIVKEAFQRYKDLIFKHSSKVPSTSRVVYDIQKLSIIVQSDNEELQLGVDESYSLLVGKNDEHSIIGEATIEANSVYGALRGLETLSQLCVFDYGAKTVLVSKAPWFIQDKPRFEYRGLSLDTSRHYYPIDVIKQVIESMSYAKLNVLHWHIIDEESFPLEVPRYPKLWKGAYSKWERYTVEDAQEIVSYAKMRGINVMAEVDVPGHAESWGKGYPDLWPSPSCTEPLDISKNFTFDVISGILAGMREIFPFKFFHLGGDEVNTDCWNSTPHVKQWLQDHNMTVKDGYQYFVLKAQEIALSLNWTPVNWEETFNTFPSKLNPQTVVHNWLGPGVCPKAVAKGFRCIFSNQGVWYLDHLDVPWNETYYAEPLEGIKDASEQRLVLGGEVCMWSETADTSDVQQTIWPRAAAAAERLWSDREATSAPDTKLSALNRLEYFRCLLNRRGVAAAPVRNQYARRPPIGPGSCYDQ >CDP08639 pep chromosome:AUK_PRJEB4211_v1:2:54193787:54195622:-1 gene:GSCOC_T00027673001 transcript:CDP08639 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTPWTLLFTKASTGGGGAATNLLLPISPLPFFIPPSSECLPSSSSFSWLPNFWPFLPQKCCPESYFLREAPGLNSITAPLLSPASVTTLMPSSGEETADLLL >CDO97158 pep chromosome:AUK_PRJEB4211_v1:2:16901151:16902291:1 gene:GSCOC_T00014412001 transcript:CDO97158 gene_biotype:protein_coding transcript_biotype:protein_coding MNESMNYEISRAIISFFPLLPHSALCSWFTMATNSNEILHDFSPLIRIYKDGRVERLEGEDTVAASIDPETRVESKDIAMAPEFNNVSARLYLPQNAKPGHRIPLLVYFHGGGFVVGSAFSPVYQEHLNSLVAEANVIVVSVNYRLAPEHPLPIAYEDSWLALKWIASHSKSGDGPEEWLKNYADFDRVYFGGDSAGGNIAHNMAFRFGIEELHGVNLNGIFLNCPYFWGNDPIANEAGRKGEKNFLDNLWFCICPSTTGLDDPRLNPAANPMISRLGCKRVLVYVGGKDVLKDRGWYYKEALEKSGWAGEVTVVEVEGEEHVFNLSSPRGANSLSMIKDLARFLNRN >CDP07724 pep chromosome:AUK_PRJEB4211_v1:2:25395999:25407064:-1 gene:GSCOC_T00025074001 transcript:CDP07724 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSNGLRLVRRFALRSLASHLLHGSSSSLNEALLRGGYRSFSTAFCNQNRVFRFSNSRNVNDGRERLRLGSLVANLGAARSIHATATTSGDYYDVLGVSRNATPSEIKKAYYGLAKNLHPDTNKSDPGAAAKFQEVQEAYEVLKDEKKRAEYDEVGHDAFKINRQNGGAGYDPFQEGGFNPFQEFFHGFDFMRKNMGGEDVKVSIELSFMEAVQGCSKTISFQTEIPCNTCGGAGVPPGTRPETCPLCRGSGTAKFHGGNVFFQMNCRKCGGSGKIVSDVCKSCKGERVVKGTKTVKLDIKPGVDNDQTMKVYGSGGADPEGNQSGDLYVTIKVREDPVFRREGADIHVDAVLSITQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKRKGIKTSNSFSFGDQFVHFNVSIPANLTQRQRRLIEEFAKEEQGEYDKGAAAGASG >CDP17988 pep chromosome:AUK_PRJEB4211_v1:2:43564749:43573613:-1 gene:GSCOC_T00001248001 transcript:CDP17988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18h [Source:Projected from Arabidopsis thaliana (AT1G54710) UniProtKB/Swiss-Prot;Acc:Q8H1Q5] MLFNNLIVINSRQRTAAESTAEAESDGGGGGLKGLKKEVGGGEVSLLVFLVLFFSSGENNIKAINHHHGKNGTSPRTNGFVPNSLKFISSCIKTVSSNVKSAGVSVAGSIAGDSSDDLHKDQVLWASFDGIELGSSLRRVLLIGYSNGFQVLDVNDASRVTELVSRRDDPVTFLQIQPMPAKSVEREGYRASHPMLLVVASDEASYTGPVQNGRDGFVDHQSANILASPTTVRFYSLRSHNYVQVLRFRSTVYMVRCSPQIVAVGLAAQIYCFDALTLENKFSVLTYPVPQLGGQGTNGVNIGYGPMAVGPRWLAYASNNPLLSNTGRLSPQSLSPSPGVSPSTSPGSGNLVARYAMESSKQLAVGLINLGDMGYKTLSKYCHELLPDGSSSPVSSNSNWKGGRATAHSSETDAAGMVVIKDFASKTVISQFRAHTSPLSALCFDPSGTLLVTASIHGNNINIFRILPSKTQNGLGADNYDWSSSHVHLYKLHRGMTSAVIQDICFSHYSQWVAVVSSRGTCHIFVLSPFGGETGLRLQSSHIDGPTLSPVLSMPWWSTSSFLINQQASSPPPVPLTLSVVCRIKSGNWLNTVSNAASSAAGKVSPTSGVIAAVFHSLLHQNSQSVSPNGKALEHLLVYSPSGHLVQYELMPSLGGEQSESTLKNGTSSMVQMQEDDLGVKVEPVQRWDVCRRANWPEKEEYIQGITLGGREAPEAFMDSAQPEDNEIGEKDAVKPHDRSHWYLSNAEVQLRSGRMPIWQKSKIYFYTMSHGGYEEQNLVDVNTGGEIEIEKIPATEVEIRRRELLPVFDHFRRIQSDWSDDRFRSSSFGSHTGKDKLEDSVVSNSKQISPASTRKIPAGFAKASAFLHGLDHMGASDSGSDGSTVDENDGVRRSGSVSLNRRSSSSPESSAKTMNSLEESYIVNRPSSPKNGPHSTEGSTIRGFVQSPNSTITGELSNTSSNRSDASMKIIDEGPVHEDMHDPVDFGQYFQEGYCKASATNVSHELSEGVTDIDSSNSPCDREKTEEDVESDGVLGGVFAFSEEVIGPATAGNLKTFWCFTDEASLHAVSTLIMFLMKPTCSPIFS >CDO96793 pep chromosome:AUK_PRJEB4211_v1:2:13116802:13125487:-1 gene:GSCOC_T00013923001 transcript:CDO96793 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRVEVRSEYGLGAPELYAETNKEDPKAVLEGVAVAGLVGILRQLGDLAEFAGEVFHGLQEQVMITSSRSHKLVVRVQRIEAALPRLEKLVLAQRSHVHFAYTSGANWHSRLRCEQSHFVCSDLPRFIMDSYEHCRGPPHLDLLDKFDPGGPGSCLKRYSDPSFFKRASSGSDGAYIDKVLKEKKGRKIKKKRSVLKTGELSRGASFSNTSSRTQFASQNTDGQTSPFQTVSNYGAAKSDQSSMSLGSRYWSGDLEGLSRSSYSMHDEEQAYNESSSFPTGMPHSDSNNFVLVDGKAAVVDDSRSSLSGEQTGPSSFSVTWDGKTEILEPAGTEYYHDETTWSMHSDVETQDRESSHLRAAKEYDHDANLEAHSMDVGIEERRAIQFKAAKEYDFADTSETHLGEFDPKTVDREISDLRGAKGYDHDETLETHSVAFDLEGEDRDDIHLISAGQIDNKEFSIPVSGNIQLDGSKIEAVGQMNAKLGNEDVPIQTFSDIQRDDIDSETDNYVDALNTIESEYETDADGPNKQEVEQYSDSDNQAVDHGLDMFTTGHSVSQSSNAESVGTTSCLPTTFWTNGGLLGLQPSKPPDFSMPTPSSEVEKSKDDRIGASILNDPCNQSYIDTSQHNGNSETYGTVVPVSPHTASTRGSLEKSSNSSRMFELGNKLLTNGFHRKPSFEKVDNSTSSPSAVVSELKNGSQNVNVRLISGRSKDMLSSISPLVSPPSSPPLGHMKISFQPINGLEDHKLQLKFPDGNVSNGSGRDMFPSFQLVPEPSIPLHDAGSDSEDDTFCRSSPYGSDCPSRRSDSNSEQWESSESPSSKEPELYDALCRISFTDSVPISGNTGSAVQGEVNNNFRPQIPLARSSGEHSQSGHLFDLPILDTLHPSFMEGNDCDVNSLTELQCYKEPNPSPPPLPPPQWRAMKPQAEIADNRQVVLSENLGFAFDHKFGSTISQHPKPAPLKQEQIIEAAHTMKSKQPDLQKTTRQKAVNQAVLRKEIEENDFLHQIRTKSFNLRRTVTAKPTGTAVPPTGIQVTALLRKANEIRQAVGSDGEDDNWSDT >CDO97067 pep chromosome:AUK_PRJEB4211_v1:2:16258490:16261863:-1 gene:GSCOC_T00014293001 transcript:CDO97067 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYDNWERLVRATLRREELKLSGQRTPSELSLASLSASSSFSFTASSARISSFNFSSFLAGASFTYHQILLATDYFNESSNLIKHGHSGDLFYGTLQGGTPVVVKKIDLSLLKNESYFVEQLEIFGKLSHSRLVPLLGHCLDQGDDKFLVYKYMSNRDLSTSLILELDSEDDDLLLPTLDWKTRLKIATGVAEALFYLHHQCIPPLVHRDIQASSILLDDNFEICLGSLTEVCTAEVKDGNQNRIARFLRLPKGAAQGTSGTSKATIAYDIYCFGKVLLELITGKPSLRKSDPPDEEDWMADVLPYLSMYDDRKFVLKIVDNSLNIDHEDLLMEVWAVAMVAKACLNPKPSRRPRMTQVLEALRNPLKVATEEGRLWGWLSTSNGTSPSSSVLPPPVKKTEPPAALRSAELELEFY >CDP07688 pep chromosome:AUK_PRJEB4211_v1:2:24756559:24764714:1 gene:GSCOC_T00025026001 transcript:CDP07688 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVGGVPFQSDGPSSSEGSKILVALMEHPTLVSASCSFKSTPERKFSASEDSGLERGTGCKWVYVFQREYATVDPALVDLVGTDEATTCVGLAIRNHKSGMTSVGHLDSPDVVETGLTQMLSLVVDQNSDEMLEVHLVGGYNDSSPQGMDSVISNHTDHSGFSFPLCAKIVETLEKSDMVFHLQTLHVLENNTRQDSEGNAYPIFNGFMVEPSTGSIFPANFDRTSRCPDEVVRRIRLSSSYEDPSWNGRLLETYDIPTDRFVIAPCAWTIHQLQIAMMLQNLSDAEILHICSTSPSVEAPDFVENERRQWDYLKKHPDWKETFPMKQPRIFERTPSGRWKRSPVARADAESKLCTTE >CDP06830 pep chromosome:AUK_PRJEB4211_v1:2:38104434:38105558:-1 gene:GSCOC_T00023838001 transcript:CDP06830 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSNDKAICSKLSLSSSSSSGDSEPEELQLIERAPPFWRNPRGKKLSKQLSMCETPRDIAWDRRRRQILQQERKKNGINNENDYLTDEDLNELKGSIELGFGFNEEQGQGLCNTLPALDLYFAVNRQFSTSPVLSPATPNSNRSSGSTTGSSSLSSLGDSSKSLGERSSSFGSPRSGDSDSWKILAPGDDPQQVKTKLRHWAQAVACSVMQSY >CDO97053 pep chromosome:AUK_PRJEB4211_v1:2:16169103:16171426:1 gene:GSCOC_T00014276001 transcript:CDO97053 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYRLAAMASARSVASRPNSVLRRTMAAPKPIPTFPFSSSTSPLPRAASRVVAAMGSVESMMPLHSAIASARLRSSIAFDSSCWSFLSQGLYSFPNL >CDP00024 pep chromosome:AUK_PRJEB4211_v1:2:6844069:6845238:-1 gene:GSCOC_T00029781001 transcript:CDP00024 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFHSSSKRLAVTICLSLLAISLGHANSARILDEVSPQTPFPATVPDASDPTETDVPDVAPVPVVAPDTTLPSGQTPATPNTNPVTNAAPIAVTNAAPIAGPAVPLPTGPASVTAPGGTVSPVANAPNTVAAPGANVAPVATPAITTQAGASNPDHPTLSFFMHDILGGSHPSGRVVTGVAANTAANGLPFSKPNNQVFPINGGVPLNTINGVINNNNYPFLVGLNGSPTNTIISNNNGGNNVNGGNNQPFVTAGQLPAGLTIQQLMFGSVTVVDNQITEGHELGTAVLGSAQGFYLASSRDGTSHTLALTALFHDHNGDHEIVDSVSFFGVHRTATPISHLAIIGGTGKYENAKGYATIETDLHHEDQHTTDGVETITQFTVYLTP >CDP08913 pep chromosome:AUK_PRJEB4211_v1:2:51825200:51835073:-1 gene:GSCOC_T00028047001 transcript:CDP08913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophospholipid acyltransferase LPEAT2 [Source:Projected from Arabidopsis thaliana (AT2G45670) UniProtKB/Swiss-Prot;Acc:Q8S8S2] MWVTRLCSRCILFSFGYHWIRRKGRPAPREIAPIIVSNHVSYIEPIFFFYELFPTIVASEAHDSMPFVGTIIRAMQVIYVNRFSHSSRKHAVNEIKRKASCDQFPRLLLFPEGTTTNGRAIISFQLGAFIPGYPIQPVIVRYPHVHFDQSWGNISLAKLMFRMFTQFHNFMEVEYLPVVSPLENVKENAVQLAQRTSHAIATALNVVQTSHSYGDLMLLTKASEFKQDKPSLYMVEMAWIESSFRLSTLAAVDLLDKFLSMNPDSSGHVTFHDFLRILRLKPCALSEKIFQFIDVQKSGKITFKQFLLGSTHILRQPLFRHFCELAFVRCNVEGREYILEQELGDALALVMPNLDVDGIHGLFTLFDTDGDGRISKDDFVTCLRQNPLLIALFLPQLLRRELAAAEAQEGMHDG >CDP00093 pep chromosome:AUK_PRJEB4211_v1:2:6270968:6274235:1 gene:GSCOC_T00029886001 transcript:CDP00093 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRTQSSAMAIFLVFALFIQHFSAVQSIGVNYGTVADNLPPPAQVVQFIKDKTFIDRVKIFDVNPDIIRAFANTGILLTVTVPNGEIPNLTNIRYARRWVNEHIKPFYPQTKINYIAVGNEVLHWGPQNLIDNLVAAMRTLHQALVKNGITDVKVTTPHSLGILESAKPPSLAKFRPGWDVGVLAPMLQFLRETKSPFMVNPYPYFGFDPKEIDFDLFRPNRGYYDRFSKRSYTNQFDLLLDAVFMSMKRLGYADVDIVAAETGWPSQGETFEPQCTPANAAAYNGGLVKKYNSGSGTPLMPHRKIETYIFALFNENQKPGSLAERSWGLFRPDFTPVYDVGIMRGGQSSQPVPVPAAPALQGKKWCVPKAGATDAALQSNIDYVCSQGLDCSPIQAEGACFNPNNVRSHAAFVMNSWYQSKGKADYNCDFSQTGFLTSTNPSYGTCQYTS >CDP05198 pep chromosome:AUK_PRJEB4211_v1:2:2362242:2365449:-1 gene:GSCOC_T00020161001 transcript:CDP05198 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIKDRAHIKEHRLRNQHYWRSSVLPLLFLIILSIAAIVYTRLLDSSSIAQNYSEHSIFTGITFHNYHSREYTKKTTQSDEFPVKKVEIPLNCTAGISKRTCPANYYPSQLLVQDQNIATSPPQPTCPDYFRWIHEDLWPWRETGITLEMLSMARKTAHFRLVIVNGRAYMEKFRRSHHSRDTFTLWGILQLLRKYPGQLPDLELMFDCNDRPAIMKEVQSGPNSPAPPPLFAYCRDDATLDIVFPDWSFWGWPELNIKPWESLSKDLKEGNERSRWVEREPHAYWKGNPAVARNRLDMAKCNVSDKQDWNARIYSQDWIREQQQGYKQSDLASQCTHRYKIYIEGVGWSVSEKYILACDSLTLLVKPRYYDFFARSLMPLEHYWPIRNDDKCRSIKHAVDWGNTHPQEAQAIGKAASSFIQEELKMDYVYDYMFHLLSGYAKLLKFKPGVPREAVEVCSEFMACPAQGLVKEMMMETFARGPSDAPPCTMPPPYDPQTLHSILERKENSMKQAETLEKQYWDARNKHN >CDP05343 pep chromosome:AUK_PRJEB4211_v1:2:3445559:3452847:-1 gene:GSCOC_T00020348001 transcript:CDP05343 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKSVGVVGGPSDGYERDDYPDYDDDDDDELSVMRPRRSSTDARPIVRTPSRGGSSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMKRRGYGAEYINRYKMMTRFHHQRVPLVILVCGTASVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLASSHVWARDFSSSEELITEFCRECRVVQKGLAGDLRKAMKDGKPIIIEGMHLDPSIYLMDDDNKLLNQMPTKDEESKPSKGDCKDGIKTVSNSTVSQDSQEENKYSCPGNVTLGEETSADQLIKVSGLIKALDILGINPEKKVETIKDPADDIKEKSGPRPIIIPIVLKMADFDHKALLEEWICTRTSNGKCQIQDKDKLSSNLKTIQDYLCSFKSLGLTVVNISATTFPQTLDWLHNYLLQCIEQGISTSSSGEASGN >CDO97125 pep chromosome:AUK_PRJEB4211_v1:2:16656082:16665197:-1 gene:GSCOC_T00014368001 transcript:CDO97125 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMQTLQDLIEEAKLRTVWWALCIFAFSYFLTHTSKSMWMNLPIAVLLVSGLRILFNEVDFHWKVRKVSQVTYLSHLEKKQLSVNDSRLSTVPLPPKWKRKIDSPVVEAAVEDFVNKLLQDFVVDLWYSAITSDLEAPKLIHDMFMDALGEVSGRVKEINLVDLLTRDVVDLVGDHIDLFRRVQATIGVDVMGTLSSEERDERLKHHLLASKELHPALISPECEYKFLQRLMGGVIAAVLRPRESQCPLVRCMARELLTCLVMQPVMNLASPAYINQLIESILIAIKEGLTEGGFDELSTMEDHDNDHSVAADSVTGEPSLRKNAALNNHGTDMVTSHFDKQRRLSSDVQGISLYDTTIDEPHPQPADWARKLEAATQRRTEVLMPENLENMWAIGRNYKKKLQKSTTTGLQTPGNNGSVNSSIPGKHMGKEVLQDFRPLEFPPPSSAVDEKVVMQLHLRPHLDTGYGNRVNHLSRDLNKGISSGEGFLDKEHRGSTAVVVNQTAGGLRRSNSASDLRVPSKMDVQFTSKGRGIISEFYSANSSRRNEVHSLIAASDMILHGEALHAPKLKCRVVGAYFEKLGSKSFAVYSIAVTDAVKRTWFVKRRYRNFERLHRHLKDIPNYTLHLPPKRIFSSSTEDTFVHQRCIQLDKYLQDLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAVDDIVRQFRGVSDGLMKKVVGSPSSSFDLSSSVPSRHVSWNADDINKMSLMQSASESVNSFSDNEEVDKDGQVRSEVESSTQANGWHSDNELNSKGFPPRVVKRDGDFGNLDSVVKHDTELSNSLSLGKAPDLSLALTSNQSGDPAEVPPEWTPPNLTVPILNLVDKIFQLKKRGWIRRQVFWMSKQILQLMMEDAIDDWLLREIHWLRREDVVAYGIRWIQDVLWPDGKFFLKWNIESQADDSEQSQVSVQTPEQSARSKAYRPGSFEQQLEAARRASDVKKLLFDGAPSALVSLIGHKQYRRCARDIYYFLQSTICLKQLAYGILELVLVSIFPELRDVVMDIHEKMRAQHV >CDP17161 pep chromosome:AUK_PRJEB4211_v1:2:50403361:50406004:1 gene:GSCOC_T00006327001 transcript:CDP17161 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALRSGFSSAGFQVLFEKLAKLVAKEIQLILGIDDGLRKLQRTVLRIQAALDYVEDGRVRFPNNKCKEAWKMWLEDLNRICCDTEDLVDELSFQLADFNGIDQISPEQDMCQKLENMEVEMNTLFMNEMVSKFGALNVLQRTNHTGHTSIIHSSSLVDEHLIVGRKDEKRDILHMILYDQTNKHAVIPIIGMAGIGKTTLAQVVYNSEEVEAAQFSFKIWASLPVEFDAIAITKKILESATNKECKLSTLDSIQGKLQNVLRRKKFLLVLDNFWSEKHEDWEILSLPFRYGEPGSRQRALSELNIQAHEKLEQIGKEIAKKCKGLPLAAKTLGSVLHSKCDEKDWNSILESAFWDLEQDKNDIIPSLALSYYHLPAHLKKCFAYCSIFPQNHEFEVDDLILLWIAEGFVEPNGERRLEDIGKDYFKDLLRRSLFQCLSGNHNSPEICKMHDLIHSMAQSVSSNLCYRMETNSMHWYPSYRSTRHLSLSHDKLQFFEHPEFLPYRSLRTFIFFCKSGVGFQKLENGLLLKFWSLRVLDLRKAGLEKIPGSVDHLKHLRYMNLSENKIQRLPDGICKLLALQTLKLINCYELRELPSGLKNLINLRHLDLNAWGKLDGIPSNLGRLTNLQTLHAFKVGKDEGCSIQELGNMRFLRGSLCIMNLNFVANATQAKEANLKEKPFLNKLELQWRDDITNSSDQEEAVLAGLGPDMNLKELVITNYTGNMFPGWLSLPQLKLTIIQLQGCSSCSILPALGQLPLLKTLYIEGMSSLNFIICLHGKNGLVWKTMTCLASVCSLLKSALN >CDP07784 pep chromosome:AUK_PRJEB4211_v1:2:26411184:26412716:-1 gene:GSCOC_T00025164001 transcript:CDP07784 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTQELKRFVGVLRMEENFLKIQCHSTHRRLGESCGILKVSTDGKLEIDCRCFPECPKVNLSPIQFGRHIGKNTAMDNWKNHVRVMDTKGKRVPLKKTCLLRYYTEKFHRPRRSNMHRDEFIRCSKCNKQRRFSLRDQKQCRIYHDASLKQDWQCSDMLTTIITCDDAEERNSRKSSRGCPRNPSCKGCVRCVCLGCSTCRFEDCECRSCREFYSN >CDP08772 pep chromosome:AUK_PRJEB4211_v1:2:53114587:53118077:1 gene:GSCOC_T00027865001 transcript:CDP08772 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYDAAFVNSELSKPTSIFGLRLWVVIGIFVGAVIVLILFLLSLCITAYRRRTSSTAKLHHPGKFSGAAELTPVVSKEIQEIVHDSHPDHRPIALPEIQIDMGKVEHRVVFSDRGASSGESKATSGAETGSFGGGGALPEVSHLGWGRWYTLRELEAATDRLSDANVIGEGGYGIVYQGILADNTRVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLEQWLHGEVGEVSPLTWDIRMNIILGTAKGLAYLHDGLEPKVVHRDIKSSNILLDRQWNAKVSDFGLAKLLNSERTYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGILIMEIITGRSPVDYSRPQGQVNLVDWLKSMVGNRKSEEVVDPKLPEMPSSKALKRALLVALKCVDPDAHKRPKMVHVIHMLEADDLLFRDERRIGRESAGSNREYKENNLAGSNREYKENNLAGAKLVNQPYGNGASNNSEGDNGTSHDLPSRWR >CDP09506 pep chromosome:AUK_PRJEB4211_v1:2:21396165:21399780:1 gene:GSCOC_T00028894001 transcript:CDP09506 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTIDGRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDAKQHANPNMTIMLIGNKSDLAHRRAVSKEEGEQFAKENGLLFLEASARTAQNVEEAFIKTAAKILQKIQEGVFDVSNESSGIKVGYGRPQGAADGSVVQRGGCCN >CDP04950 pep chromosome:AUK_PRJEB4211_v1:2:356029:357844:-1 gene:GSCOC_T00019824001 transcript:CDP04950 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVTGASASCSAAYARNLSFKSNSSIPSANAKLLTPHSQKSSIQGLSLQEAKWGVSTSFMAEISTASAGRKERRGLEITARTAGASRTIEVEVDKPLGLTLGQKSGGGVVIAGVEGGGNAARAGLKVGDQVLYTSSFFGDELWPADKLGFTKTALQAKQDSVYFIVDAAGADVDVKRLQKRPAPPRFGRKLTDAQKARLNPDDYACPQCRAPKKRFARYDVTTGKAVGGGLPIGVILGLIAGIGGVGALLAYGLQ >CDP17528 pep chromosome:AUK_PRJEB4211_v1:2:29052446:29057030:1 gene:GSCOC_T00004482001 transcript:CDP17528 gene_biotype:protein_coding transcript_biotype:protein_coding MERSIADELYCDLLNVSNIHLDPRSNVDGAVHNSHDSDGDELWYDDGALPYETDKVEKAFDMEREWQRRREQFHTIGYRDGLVAGKEASAQGGFNIGFKESVFVGQAWGLVRGVTSAWVCLPDGVKERLVETEEKRNKLRQLHESVRGISATDALRFFHDHLNNNLMEHGENAETSSNAGDLHAQCSGANVIEHYVREFNLLLVQCSAMDVHLE >CDP16432 pep chromosome:AUK_PRJEB4211_v1:2:35400743:35400995:1 gene:GSCOC_T00018327001 transcript:CDP16432 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVYQFTLLVDGFGLDPWQEITLVKKVEYKNSLVEAAWPLGSAIEVVSSLT >CDP13866 pep chromosome:AUK_PRJEB4211_v1:2:3940454:3944955:-1 gene:GSCOC_T00038990001 transcript:CDP13866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 34, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05000) UniProtKB/Swiss-Prot;Acc:Q38906] MAASLGREWAGIQQFPAATQTKLHELLGKLKQEKVTTLTILVMGKGGVGKSSIVNSIIGERVVPVSAFQSETPRPILVSRTRLGFTLNIIDTPGLVEGGFVNDQALRIIKEFLLNKTIDILLYVDRLDAYRVDNLDRQVVKAITDGFGKEIWRRGLVVLTHAQLSPPDGLSYEEFFSRRSEALLKVVRLGARIKKQDIQNSPIPVALVENSGRCNKNENDEKILPNGTAWIPNLIKTITDVVLRGGRSIVVDRKLIEGPNPNDKGKLVIPLIAAFQYFFVIKRIQKWIREDIAQEGRPSWA >CDO99495 pep chromosome:AUK_PRJEB4211_v1:2:11968798:11972126:-1 gene:GSCOC_T00029092001 transcript:CDO99495 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLALFVATFTPLVSFLESTTALTSQVSTRTALSGDSPTTCDSLFCEVKEAQFKLAQLESALVKSSQDLNAKGLHARECEKKIEDLNLEIDLLKTALKSFEDVSSHAKEKLSALEGEAWNFKSTYLKLLWSVSRRNNFEIHTLEHRVHDAEKRLNAVTSKAEKMADIVSEQWIQIQQLEQAVQMTQVRALKVRRQLRTARCPFVKFVRSLFAERLEMLKGILDPYIATGRSVLERAPHHLRRTFSTVEYYHHWI >CDP08730 pep chromosome:AUK_PRJEB4211_v1:2:53488501:53490207:1 gene:GSCOC_T00027802001 transcript:CDP08730 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKDDKADVILKYMPDEARLLKAYGELPESTRLNEGIAGGLDEEDDGAGDDYIEFEDEDIDKI >CDP00027 pep chromosome:AUK_PRJEB4211_v1:2:6822015:6823527:1 gene:GSCOC_T00029785001 transcript:CDP00027 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSASYIHMVQHLIEECLIFNMSKEECMEALSKHANITPVITATVWNELEKENKEFFEAYSRSRKKEDRMSETETNAMIQKIVSDHDHNDDDDDDDDEENPKDPED >CDP07732 pep chromosome:AUK_PRJEB4211_v1:2:25499266:25501647:-1 gene:GSCOC_T00025082001 transcript:CDP07732 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEMNEVESNPSGMQQNRNGNGFCSSNSSSSTMVSVGYQAAVCAGGGTVVGSYTVPLGVENQGQVRMNSNANGSNLIRQSSSPAGFFSGFDAVGEVGNFRSGNGTNGEASSSTGGLNNRVNFNSGPSSSSRFMPSIAENGNQNGGTGSSEHRQLGNGNETNQGYVSSFLNDTWSDSPFNSLKRNRDGDMKVYSSFNGSENQDGGPRNQTPGLIHHLSLPKTAGEMAAIEKYMQFQQDSVPCKIRAKRGCATHPRSIAERMRRTRISERMKKLQELFPNMDKQTNTADMLDLAVEYIKDLQNQVQTLTETRAKCICSSKQPQCSHPAV >CDO99707 pep chromosome:AUK_PRJEB4211_v1:2:9586251:9591170:-1 gene:GSCOC_T00029380001 transcript:CDO99707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MLLRTVKVSKSIIFGFISSNQACPLLKKVPNVLVIHGESDGILEYMKRKKPASWILHKPPLPISFGTHHSKAMLLVYPQGVRVVVHTANLIHVDWNNKSQGLWMQDFPWKDNNGASKGCGFESDLIDYLTMLKWPEFNVDLPALGSYSIKPSFFKKFDYTSAVVRLIASVPGYHSGSNLKKWGHMKLRTVLQECTFSEEFQKSPLVYQFSSLGSLDEKWMLEFASSMSAGVTRDKKPLGVGEPLIIWPTVEDVRNSLEGYAAGNAIPSPLKNVEKAFLKKYWAKWKANHSGRCRAMPHIKTFTRYNGQNLAWFLLTSANLSKAAWGALQKGNSQLMIRSYELGVLFLPSSIKPGCGFSCTDIAKSSDDNGGLQKSSETKRMKLVTLNWQGDQTEVIQLPVPYELPPKPYSREDVPWSWDRHYAEKDVYGQVWPRQVKLYACQDS >CDO97019 pep chromosome:AUK_PRJEB4211_v1:2:15875496:15879439:1 gene:GSCOC_T00014231001 transcript:CDO97019 gene_biotype:protein_coding transcript_biotype:protein_coding MSGETEDAVRRKTASAEYRKKLLQHKELESRVRTVRENLRTTKKEYAKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRNKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNICTEAGMSAIRSERDYVIHEDFMKAVRKLNEAKKLESSAHYSADFGKD >CDP07741 pep chromosome:AUK_PRJEB4211_v1:2:25618933:25626296:1 gene:GSCOC_T00025094001 transcript:CDP07741 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTSSFWVEESSDPSFLPMKKRRKVRKLEFVGWGSKPLMEFLESIGKDTSKKLNQYEVTAIMNEYINANNLADPAKKKKIMCDQWLYALFGKRSIPRIKVYDLLEAHFAENHDSSEDDSQYSSEEEGGNITYMNKKASTLEHKLSYQQKKVPESPKSCFAAVIPENIKLVYLKRSLVQDLVTAPESFEDKLMGSFVKIKSDPDDYLQKNSHQLQQIIGIQMIEAGDGSKEVYLRLSNYMKEVRIQMLSDDNFSEAECQDLRERVKAGLLKRPTFVELEMKAKMLHKDITKHWIPRQIALLQKFIDRANEKGWRREYPCHIP >CDO96768 pep chromosome:AUK_PRJEB4211_v1:2:12908273:12912384:-1 gene:GSCOC_T00013892001 transcript:CDO96768 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRVKSLSWIGNIREKFETFYSEIDDIVKENLEYGENQLLTAGANVKQFCSEFMEEVLPESIINSLQEKTTTSENAKRVVHGGGLPDKEANAGHKDGPSDCNSSNQPILMETVEEADITLSFTLKANDGREMSFEENRVKETEFSSEILKFEGHGGGGFRVQKEAGETNDFDPQDGIAKLSTAQPFPNAIVSPGSNACQLLENELSSSGRTLSKELINEGLNGHTAEETEGKHVSDLSIMQLPNKVKIEDSSTVLDSNEYHLTSDCPEQPQAHHRTACKSCLAMEPEKHCECEKAAGSKDLNAESDQPEHKLPSQALLAGESELLDEELCESEWVIV >CDO99831 pep chromosome:AUK_PRJEB4211_v1:2:8528208:8530833:-1 gene:GSCOC_T00029527001 transcript:CDO99831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 hydroxylase, Anther cuticle and pollen exine developmen [Source: Projected from Oryza sativa (Os08g0131100)] MREVEKRVDDFHSKIIQEHRKKLQTGGVKDEAEIDFVDVLLSLAGEDGEAHLDDKDIKALIQDMIAAATDTSAVTNEWAMAEVIKHPTVLEKIQEELDTVVGRDRMVTESDLAHLNYLRCVVRETFRMHPAGPFLIPHESVRATTINGYHIPAKTRVFINTHGLGRNTKIWDDVEEFRPERHLTGDGSRVEISHGSDFKILPFSAGKRKCPGAPLGVTLVLMALARLFHCFDWRPADGLRPQDIDTSEVYGMTMPKANPLVAIARPRLPSHFYQSS >CDP08653 pep chromosome:AUK_PRJEB4211_v1:2:54105621:54108629:1 gene:GSCOC_T00027692001 transcript:CDP08653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-6 [Source:Projected from Arabidopsis thaliana (AT2G46570) UniProtKB/Swiss-Prot;Acc:Q9ZPY2] MANPLATSGILYLWLNLLIMNAHRMSVMGFIARWPGGRSTRSYDFKVQTTKIEKLCNTWDIVTINGMFPGPVIYAQEDDRVIVRVTNETPYNATIHWHGVRQRLSCWSDGPSYITQCPIQSGQTFTYEFTLVQQKGTLLWHAHFSWLRATVYGAIVVYPKTGVPYPFIYPYEEHIILLGEFWLRDLVQLEQSVIASGGGPPPADAYTINGHPGPNYNCSTNDVYKIDVVPGKTYLLRLISASLNTEHFFAIANHKLTIVEADAEYTKPFTTDHVMLGPGQTLNVLVTANQPIAKYSMTMGPYMSARNVPFQTITSTAYFQYLGALPNSLSSPAPVPTFNDNLAVKTVMDGLRSLNGTPSVPKEIDQNLFITIGLNVQKCTSRNPQQNCQGLNGGVMAASMNNISFIKPNISLLEAYYRKINGYFTEDFPGAPFKFYDFVNGAPNNAPVDTNSLNGSRTYVLEYGTRVQLILQDTGTVTTENHPIHLHGFSFHVIGYGTGNYNPDTANFNLVDPPYLNTIGVPVGGWAAVRFLADNPGVWFMHCHLEIHLSWGLSVVFIVKNGQGPLQTLPHPPADLPRC >CDP09476 pep chromosome:AUK_PRJEB4211_v1:2:21832478:21833975:-1 gene:GSCOC_T00028855001 transcript:CDP09476 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSSFCSCGSVGSLNVTLAAYSSAIATFYEGRDSFIFILIHTGGGCGFGNQNDIAQPPFSSKIAAGNRALFKQGKGCGNCYAVLCSANVYRACSGSPVKITITDECPNACNNDPVHFDFSSTAFGYLAKPGQADVLRKLGRINVHYQRVPCNYGNQKIAFKVDPGSNRYYLSAAIEYVNGDGDVASAEIQPAGSRQWFPMQQVFGATYKYNIPTGTNGPFSIRLTQVESRRSLVAPNAIPANWKPGSRYISSVNF >CDO99570 pep chromosome:AUK_PRJEB4211_v1:2:11289673:11301700:1 gene:GSCOC_T00029204001 transcript:CDO99570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 16 [Source:Projected from Arabidopsis thaliana (AT4G04920) UniProtKB/Swiss-Prot;Acc:F4JGZ1] MNDSNPSPHPSKDSAAAAPAPEEEEEEEEESSSSAITIISASVSVEASKGPAEKPEITAGEEEPQPMAVSEKEAAAVAGPPDDHMDVDNVSPATVFCIKLKQPRSNLLHKMSVPELCRYFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHIVIPERPTECAVFNVVADSPRDLVQFMEWSPTSCPRALLIANFHGRITIWTQPSQGPACIGRDASSWHLEYEWRQDIAVATKWLSGVSPYRWLSSRSANSGKSTFEEKFLSQQPEAPAGWPNFLCVCSVFSSGSVQLHWSQWPPTQSGTPSKWFCTSKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTAGPWNGFQASPKASVGNGVPPSVNPPSWDGFAPLAAYLFSWQEYLLLEAKQGRKYTEQDYNEMVTLHCSPVSNFSAYVSPEAAGQSASATTTWGSGVTAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPSVTGWRVQRWEATVENVVLHPIFGNPSSTFGGEAPKQTVWVNKGMKCTPATNDFRSPQSAAAVPSPDGRNSSDPGVEVTKTVGFDHFDLPSDVRTLARIVYSSHGGEIAVAFLRGGVNVFSGASFTHVDKYQIDVGAAIAAPAFSSTSCCSASVWHDTSKDCTMLKIIRVLPPATSSSQLKANSVTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTHHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALLSEPWHASGEALSVLDPEAMAVEPALVPIIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGTGGSRNMVTSPTQSSASPTVTQGAQGGTASSTGSTQMQAWVQGAIAKISSTADTVPNSAPNPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFLFWRTQVPRLYGSSQRNADAAAQKPQPNNSGRVEESNSVPAKPGSAMIRGEEAQGPRAGQLAAAAKGPEEVPSSRSRLGSGNAGQGYTFEEVRVLFRILMDLCRRTAGLAHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQFMPRPRGADAAGLLLRELELHPPAEEWHRKNMFGGPWSDPEDIGPVNDSVRLSPSADLHDGTSSENCDVYYGAHGLWPRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCIRCLRQTSAFDLPRATSTGNQNERAVWWISRWAHGCPMCGGTWVRVV >CDO96744 pep chromosome:AUK_PRJEB4211_v1:2:12613002:12614153:1 gene:GSCOC_T00013859001 transcript:CDO96744 gene_biotype:protein_coding transcript_biotype:protein_coding MCDCCGEGCECHPLGFLLGLPFALISCLLSIVGVVIWIVGICISCICPCCICVTVVIELALGLIKAPFSIMKWFTKQIPC >CDO97373 pep chromosome:AUK_PRJEB4211_v1:2:18529942:18532967:1 gene:GSCOC_T00014696001 transcript:CDO97373 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSGGRPAPRPAARPAPARNPPQPVNHAPPPAPVQASGGGSLLGNIGSTIAQGMAFGTGSAMAHRAADAVMGPRTIQHETVGSEAAAAPAPTTNSMGGSDACSVHAKAFQDCLNGYGNDISKCQFYMDMLSECRRTSGSMMSS >CDP06800 pep chromosome:AUK_PRJEB4211_v1:2:36576574:36583980:-1 gene:GSCOC_T00023783001 transcript:CDP06800 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVEMPRVKLGSQGLELKHILGFGCMGLTGIYNAAIPEDDGIAILKEAFSKGVTFWDTADIYGEEHANEYLVGKALKQLPREKVQLATKFGVFKFEPSKVTVKGTPEYVRSCCEGSLKRLQMDYIDLYYIHCIDTTVPIEETMGELKKLVEEGKIKYIGLSEASPDTIRRAHAVHPITAIQQEYSLWTRDIEPELLPLCSYKFLFLLFFFCIFHVLHSFISFSFPCNRISIHGELGIGIVPYSPVGRGLFAGKAVVESLPQNSYLKFSPRFMGDNFEKNKTIYFRLDALAKKHGCTPAQLAIAWVLHRGDDIAPIPGTTKIKNLHDNIGSVKVELTEEDLKEISDAVPVDGLAGGSFAIHS >CDP09332 pep chromosome:AUK_PRJEB4211_v1:2:23585824:23592714:-1 gene:GSCOC_T00028654001 transcript:CDP09332 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTPTSSETDLILVEEKSSARVWVLNRPKQLNALNFPMVSRLLDLFLETEKDLSVKLIVLKGIGRAFCAGGDVANVVRDISQGNWRLGAHFFRTEFTLNYLIATYSKPQVSILNGIVMGGGAGVSIHGRFRVATENSVFAMPETALGLFPDIGASYFLSKLPGFLGEYVGLTGARLDGAEMLATGLATHFVPAQARLSANIYCNEKLVF >CDO99687 pep chromosome:AUK_PRJEB4211_v1:2:9838232:9839845:-1 gene:GSCOC_T00029358001 transcript:CDO99687 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFCLLRRVYSTAVANPSSASAKAISEDLFKERDLKRLVEKFKQHSNSDRFRTKIGVYENTVRRLASAKHYKWIEEILEYQKQFKNDLSKEGFSVRLICLYGKSGMFEHAQKVFDAMPEWNCERTVRSVNALLGACVNSGKFDEIDGLFKELPEKLKVKPDTVSYNTVIKGLSEMGALDKSISMVDEMEKNGLKPDLITFNTILDALYSNSKFDDGEKMWSRMVSNNVVPDIRTYNARLTGLVSQGRIVEAVDLFGQLGIKEIKVDVFSYCALLNGYCKEGNLEEVKRWYRELVANECVPNKVVYWTVVSFACEKGDYDWAFQLCQDIFKRKCIVDIILLQRVVDGLVKESKIAKAKQVVQMGKSNGFKQYMLKLPSEE >CDO99512 pep chromosome:AUK_PRJEB4211_v1:2:11785461:11788503:-1 gene:GSCOC_T00029121001 transcript:CDO99512 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIDKLWDDVIAGPQPERGLGKLRKLPTQPLNVKTDGGAGEASSSKYQRSMSMPPSPATPATPGTPTTPSPTAARKENVWRSVFHPGSNIATKGLGSDLFDKPQPNSPTVYDWLYSGETRSKHR >CDP09374 pep chromosome:AUK_PRJEB4211_v1:2:23064930:23066409:-1 gene:GSCOC_T00028712001 transcript:CDP09374 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKKHVTDLSSAIGVCASCLRERLFVLIAAQERAQQAAAAAEEDRRKSEANPPPLIFPRSVSPYISRRKSDTSAETWIDPCGQNHHRHGLSDHRFYSTPQVGPNGTLVAAGYCSKKKSATRFSLFANLFRSKSEKVDTDHASSGLGQDACTGPAASSSTSWFSAILPRRLKKQNRTFSLDESTIGGGQRRVCRHRDRGMSPARYSDEEDEHCNNGSSGYSSESSQAWKQTPRRAPASVAPGKRCGGGGRPGQHNRNLSGMTFCLSPLVRASPSRHWNQKGGLPPEVVVPGDIRVVPVKPPLTNAASFCKNRSRKLADFGRFHHNR >CDP07673 pep chromosome:AUK_PRJEB4211_v1:2:24548555:24552506:-1 gene:GSCOC_T00025004001 transcript:CDP07673 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCAWRSFDSYLGLPKYSLASGKTRSLFQSANVVERQNLEGGMDGSLAEKYASSHERLSDDIRKENCVSDMLIDSFGRLHTYLRISLTERCNLRCQYCMPAEGVELTPNSHLLSQNEILRLANLFVSSGVDKIRLTGGEPTVRKDIEDICSQLSNLKGLKTLAMTTNGITLARKLPKLKECGLNLVNISLDTLVPAKFEFMTRRKGHERVMESINAAVDLGYNPVKVNCVVMRGFNDDEICDFVELTREKPINVRFIEFMPFDGNVWNVKKLVPYSEMLDRVVKQFTGVQRIQDHPTETAKNFRVEGHQGSVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRLGVEDNELKEIIGAAVKRKKASHAGMFDIAKTSNRPMIRIGG >CDO97715 pep chromosome:AUK_PRJEB4211_v1:2:21240937:21242973:-1 gene:GSCOC_T00015133001 transcript:CDO97715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:Projected from Arabidopsis thaliana (AT3G60730) UniProtKB/TrEMBL;Acc:A0A178V7L0] MLRHRLCLRILQSALYGGKPTAPNFTAVCLFKMTSGFAIFLFIVFAVPSYISCEQELEAVRAARFGILQAVNWAQSLRRYHDHLDHGSRFGDIAIADCLKLYEESEPLLAWLELSSKNYSQADAVTWLSAALASHRSCLDGLEEKGLSFDTQAAQNLTLLLHAALASVKLQGNFGRPLTHGKLASQSTDQYWGLLASWSPATSKADIVVAQDGSGNFETIKEAVAALGRMGHNRPGRAVVYVKSGLYHEKVEIPRNLENVMFVGDGIDKTIVTGNQNVVDGASTLSSATFGISGNGFWARDITFENTAGPQKMQAVALRVGSDLSVFYRCSFKGYQDTLLVHSLRQFYRDCQIHGTIDFIFGDASAVFQNCDIFVRRPMDDQSNMITAQGRDHPDQYTGISIINCRVAPSSDFSPFTGRFNSYLGRPWKQYSRTVFLKTDLGGLVHPRGWSEWAGNFALSTLYYGEYMNTGRGASTANRVKWPGFHPMYDPREASWFSVRNFIQGDLWLSATGVPSSLDV >CDO99592 pep chromosome:AUK_PRJEB4211_v1:2:11118727:11121064:-1 gene:GSCOC_T00029229001 transcript:CDO99592 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSTSTACTSATTLSSLHYSPFLAKTFHISTTKKRNHHFKVSCQATNGERNASRRNVLIGLGGLYGAASLAADPFALAAPIQAPDVSKCGPADLPSGATPTNCCPPPATTIVDFKLPAPSNTLRVRPAAHLVDEAYIAKFQRAVQLMKALPDDDPRSFKQQANVHCAYCDGAYDQVGFPNLELQVHNSWLFLPFHRYYLYFYERILGKLINDPTFAMPFWNWDAQGGMRLPDIYTDPTSPLYDTLRDGSHQPPTMIDLDFNGTDSNISDSQQLSQNYTIMYRQMVSNSRTPRLFFGSPYRAGDDPDPGAGQLENIPHGPVHVWTGDRTQPNLEDMGNFYSAGRDPIFYAHHSNIDRMWTLWKTLGGRRQDITDTDWLNASFVFYDENAQMVRVRVRDCLDTTKLGYSYQTVDTPWLNSRPTPRLTKVARKIKKLVVANAADHSHSPTDIFPAKLDKIIKVMVKRPKKKRSKKEKDEKEEILVIEKIEVERDAFAKFDIYINDEDDPKSTPENTEFAGSFVNVPHKHKHGKKIKTHLNLSLTEILEDLDAEDDEHVMVTLVPRVGCDAVTIGGIKIILD >CDO97223 pep chromosome:AUK_PRJEB4211_v1:2:17406111:17408969:-1 gene:GSCOC_T00014491001 transcript:CDO97223 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEVEKETTGWAARDPSGILSPYTYTLRNTGPEDVYVRVICCGICHTDIHQIKNDLGMSNYPMVPGHEVVGEVVEVGSEVTKFRVGDCVGVGVLVGCCKTCRPCKADIEQYCNKKIWSYNDVYTDGRPTQGGFAGAMVVHQKFVVKIPDGMSAEQAAPLLCAGVTVYSPLNHFGLKESGLRGAILGLGGVGHMGVKIAKAMGHHVTVISSSNKKREEAIEHLGADEYLVSSDTARMQGFADSLDYIIDTVPVCHPLEPYLSLLKVDGKLILMGVINTPLQFVSPMVMLGRKTITGSFVGSMKETEEMLEFCKERNLTSTIEVVKMDYVNTALERLEKNDVRYRFVVDVAGSKLEQ >CDP14027 pep chromosome:AUK_PRJEB4211_v1:2:5190736:5194078:-1 gene:GSCOC_T00039200001 transcript:CDP14027 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase CPRD49 [Source:Projected from Arabidopsis thaliana (AT3G11210) UniProtKB/Swiss-Prot;Acc:Q9SRM5] MVGPLRPQFVLFGSSIVQLSFSNEGWGATLTDIYPRKADIILRGYYGWNSRRALQVLDQVFPKDAAIQPALVIVYFGGNDSIGPHPSGLGPHVPLAEYVENMRKIAIHLKSLSDSTRLIFLSCPPVNEAVLQASHNPMLSEIVRTNELCQMYSEALIELCKEMGLKVIDLFNAIQKRDDWLTACFTDGVHFSAEGSNIVVEEVLKVLREADWVPSLHWKSMPAEFSEGSPYDLVAADGKSTINASEWNHWEGQWE >CDO96856 pep chromosome:AUK_PRJEB4211_v1:2:14005425:14006236:1 gene:GSCOC_T00014014001 transcript:CDO96856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Precursor of CEP14 [Source:Projected from Arabidopsis thaliana (AT1G29290) UniProtKB/Swiss-Prot;Acc:Q52K95] MASSRIIMFVLLVALLNFVSCSCGRKMLEVQELDKNMAPPQHPLDARLYLTSLPKGKVPASTPSKKGHSVTVDEKLVARHLAAIDRILRSVPSPGVGH >CDO99913 pep chromosome:AUK_PRJEB4211_v1:2:7828241:7830423:1 gene:GSCOC_T00029627001 transcript:CDO99913 gene_biotype:protein_coding transcript_biotype:protein_coding MGPASALRKQVLTLTETAASRIRQLLEQRQRSFLKLGVKARGCNGLSYTLNYADEKGKFDELVEDKGIKILVDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGQCGCGESFMTMSKEEATKRGVSSGT >CDP18135 pep chromosome:AUK_PRJEB4211_v1:2:32639883:32647138:1 gene:GSCOC_T00010187001 transcript:CDP18135 gene_biotype:protein_coding transcript_biotype:protein_coding MELFADIDPKTAENFRQFCTGEYRKAGLPVGYKGSQFHRVIKDFMIQGGDFVKGDGSGCVSIYGSKFEDENFIAKHTGPGLLSMANSGQNTNGCQFFITCAKCDWLDNKHVVFGRVLGEGLLVVRKIENVATGPNNRPKLACVVAECGEM >CDP13902 pep chromosome:AUK_PRJEB4211_v1:2:4212104:4218572:-1 gene:GSCOC_T00039039001 transcript:CDP13902 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNLKRHIVTALCRYYSIDSKVYLSDVDSNLKSLCSKITKSSSEGDALVDDKELTKWVAFVESLPIESSPSVKVLSELNEDLTKKSVLLANGLKPTAADIIVFAAVHPFVIGLPNSDRAKLPHFMRWVDYIQSKVDFGDCFERILIEKVQFEPPVVKNVKKVEPESTTKKVQETKEATSTRAETKVVKGVETKKDAAENQAAADNKKVTCKETVDKHTKDTEVSVSLLKIQIGLVRKVSKHPSADSLLVEDIDIGESKPRQVVSGLAKFISPEQLTNRHVVLITNVKPSKLRDILSEGLVLCASNQDHSVVEPLIAPEGAKIGESVTFAGFEGKPEDVLNPKKKQLEKITPHLLTDSSGIATFKGVPFMTSAGPCKSSIPNASIK >CDP19516 pep chromosome:AUK_PRJEB4211_v1:2:21327088:21328326:1 gene:GSCOC_T00002344001 transcript:CDP19516 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSSSLLLVLQLCLAVYACIARPIGNNENEPGKSFQSSSVKNKEKSSLAGVYIVSNPIPKWAPDKIGSSPLKYDKNEERSNPAGVYIVSNPIPKWAPAKIGTSLFKNEKNEEKSSTAGVYIGSNPKPRWAPGKSSSSLLKDDKVKDDIQSPSANDHLDDEKHEEKVDQTSEVDEANDDDTTEIPASRSSEDEHLGQERPWYHVDYAPVGTHPPHHN >CDP07710 pep chromosome:AUK_PRJEB4211_v1:2:25273974:25277856:1 gene:GSCOC_T00025057001 transcript:CDP07710 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKSNKKKLSYISVPSQIISSMSSTSLESLLLSPKKKALSSPFSVLRIRLLWRNPRFWLFLILVFGVFGMLKVYFNVDPLIPFGRNPCAISQDKLMVLNGGNDDNGDEKSEFWKQPDGLGYRPCLQFSNDYKRASVDIVKDRTKYLMVVVAGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFADIFDLEHFKKVLENDVRIVSSLPSTHVMTRPLEDKMTHLHATPEFIRSRYSRRIRREGVLLLRSLDSRLSKDLPSDLQKLRCKVAFHALRFAPPILELGNKLTERMRSKGPYVALHLRMEKDVWVRTGCLPGLSHEYDEMINNERKRRPELLTSRSNMTYHERKLAGLCPLNALEVARLLKALGAPKTARIFWAGGNPLGGKEALDPLIREFPHFYNKEDLALPGELEPFQKKASLMAAIDYIVSENSDVFMPSHGGNMGHAIQGHRAYAGHKKTITPNKRQMFSHFMNSSLPGAEFKKIIVGLHRDSLGQPELRSSKTRRDVTKYPVPECMCNKMQPHSSV >CDP05189 pep chromosome:AUK_PRJEB4211_v1:2:2282746:2286904:-1 gene:GSCOC_T00020152001 transcript:CDP05189 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLEFFQPFFMAVAALLFVILFRAAYIFWILPNLAYRKLRANGFPGPKPSFPLGNIREMKKKKTTSSSSTSSSSSSHALSITNDIHSMAFPYFAQWKELHGNVFIYWLGTEPFLYIADPEFLRKMSAGVMGKSWGKPTVFKNDREPMFGNGLVMVEGEEWVRHRHIITPAFSPANLKTMSGLMMESANNMLDRWTSLVKSGNPEIDVEEEIVSTAGEIIAKTSFGMNYETGRQVFQRLRAMQVALFQSNRYVGVPFSKLICPKKTLMAKRLGKEIDSLLMEIISARSKSSRDGHREQDLLGLLLAGNHVNGRQGKKTLTTRELVDECKTFFFGGHETTALALTWTLLLLAMHPDWQDQLRQEVAEVIGNGDVDATKLAGLKKMGWVMNEVLRLYSPAPNVQRQVREAIQVDDLVIPRGTNIWIDVVSMHHDKEFWGEDVNEFKPERFKDDVHGGCKHKMGFLPFGFGGRMCIGRNLSIMEYKTVLTLLLTRFSVSVSPNYRHLPSILLSLRPSQGLPLILTPID >CDP07670 pep chromosome:AUK_PRJEB4211_v1:2:24524309:24524584:1 gene:GSCOC_T00024999001 transcript:CDP07670 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVENLGDVLFKVGLFVLVQALVYLILSQSSNVFSNTQRSFSFKPARSISIRRMAAALADIPAGGESSPAANYGGSLTRQKSTLQEYYSD >CDP09461 pep chromosome:AUK_PRJEB4211_v1:2:22092726:22100259:-1 gene:GSCOC_T00028836001 transcript:CDP09461 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPRRSNNSKYYEVLGVSKSASQDELKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKRELYDQYGEDALKEGMGGGGVHDPFDIFESFFSPLHRRDGFRGRKKQGEDVVHTLKVSLDDLYKGTSKKLSLSRNKLCPKCKGKGSKSGASGRCYGCQGSGMRVTTRQIAPGMIQQMQHMCPECKGSGEVISERDRCTQCKGNKVVQEKKVLEVHVEKGMKHGQKIVYPGEADEAPDTVTGDIVFVLQQKEHPKFKRKFDDLYVEQSLSLTEALCGFQFVLTHLDGRQLLVKSNPGEVVKPDQYKAINDEGMPHYQRPFMKGRLFIHFNVEFPESGALPSEKCQVLKAMLPSGSLKQSSDMDLDECEETTLHDVNIEEEMRQKQHQRHQEAYDEDDDDEPTMHRMPCNQQ >CDO97405 pep chromosome:AUK_PRJEB4211_v1:2:18810585:18811315:-1 gene:GSCOC_T00014745001 transcript:CDO97405 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQLVISFFATAFCTVGMLINHDFAAIAREARELKIPCNAGIKGIFCGNSSSWGPLESLFLSQHCSLVS >CDP07678 pep chromosome:AUK_PRJEB4211_v1:2:24624289:24627739:1 gene:GSCOC_T00025009001 transcript:CDP07678 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDVYRLGHRFDFFRMLSCYATTVGFYISTLITVIIVYVFLYGRLYLVLSGLEEQLSNHPAIRDNKPLQVALASQSFVQIGLLMALPMMMEIGLESGFRTALTDFVLMQLQLAPVFFTFSLGTRTHYYGRTLLHGGAQYRATGRGFVVFHARFADNYRFYSRTHFVKGIELMILLLVYHIFGKAYRGVVAYILITVSIWFLVGTWLFAPFLFNPSGFEWQKIVDDWNDWNKWINNRGGIGVPPEKSWESWWEKEQEHLHHSGKRGIIVEILLSLRFFIYQYGLIYHISITKDHRSFLVYGISWLVIFFILLLLKAISFGRKRLSADFQLVFRLIKGLIFLSLVAILITLIALPHLTFRDIIVCILAFMPTGWGLLLIAQALRPWVQRAGIWGSIRTLSRGYEMLMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPKKDRSSSNKE >CDO96881 pep chromosome:AUK_PRJEB4211_v1:2:14282096:14294274:-1 gene:GSCOC_T00014049001 transcript:CDO96881 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFAAKVEEGREGRDGQPSVGPVYRNLIARHDFPPTDPNLSTAWEIFRASVEKYPRNRMLGWREFLNHQWGPYVWKTYEEVYQETLCAGSALRACGIQPGARVGIYGSNCPQWIVAMEACGSQSLICVPLYDTLGPGAVDFIIDHAEIDFVFIQDKKVKESYFNPCCRTTFIFASFLVAVIICFTSLTEEEKNRTASIGVKSYSWNDFLHMGLNHTFDLPSYFPFNICTIMYTSGTSGSPKGVILTHENISTCIRGVDIFMEQFEDKMTVNDVYISFLPLAHILDRMIEEYFFHKGAAVGYFHGKRYTKVLKRKLQDDLMELKPTFLAGVPRVFERVREGVLKALEELNPVRRKIFGMLYNYKLKWMKLGYKQKDASPIADLLAFRKVKARLGGRVRLIISGGAPLSSEVEEFLRVTSCAFVLQGYGLTETSGLAAVGFPDEMCLIGTVGSAFIYNEVRLEEVPEMGYYPLGDRPRGEICVRGKMVFAGYYKNPELTTETIKDGWFYTGDIGEMSENGVIRIIDRKKNLIKLSQGEYVAVEYLEKVYCVSPTIEDIWVYGDSFKSMLIAVVVPNEENTVKWAREIGYRDSFSGLCSLNQLQDYILQELKSTAQRNKLRGFEHIKGIILEPQPFELQKDLVTPTLKKKRDQLLKHYKGGIEDLYKKLAAVKR >CDO97171 pep chromosome:AUK_PRJEB4211_v1:2:17018828:17021476:-1 gene:GSCOC_T00014428001 transcript:CDO97171 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVFLLWLVSVPLLVQGNAIIRSVAGRHAQPKPQVKDYFVNCGSNEDVDSWELKYLADDAFISPQGTKTATINQTDVLPILSTLRYIPDKTTKKKCYKFQALKGGKYLVKSIFYYGNFDGGTNPPVFDLIIEGTKWSTVNTTQDYLNGLASFYEIIVMARDEKLSVCLAWNDHTVSSPFISALQVHSLNDLMYDGTNFDKYALMTVARHSFAAHGETIGFPDDKFGRYWYPFIDNNPVVSSHSNVTPSVFFNNPPAKIFERAITTDRGKSLQVMWPPYSVPASRYYIALYLQDSRAPSPYSWGVFDIQINGETFYRQLNVTTSGAMVFSTFWPLNGTTTITLTPRSDIPVGPLINAAEAFQLLALEERTAARDVIVMDDIVRSIQHLPLDWEGDPCVPRGNAWTGVTCRHRLTTHIVSLNLTGLGVLTGTLPKSLSNLSALHHLWLGGNSLTGSIPDLSPLMALETLHLENNQFEGPIPESLGHLPKLREVFLQNNKFTGDIPASLKNRRDILLK >CDO96712 pep chromosome:AUK_PRJEB4211_v1:2:12283422:12285222:-1 gene:GSCOC_T00013817001 transcript:CDO96712 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYYPSFKESREIGQGVYKGKSSIDRYIGEHAVLLTGFDKEEDGTEVFEFKNTSGLAFGAQGFGKLKRDLIISISYPKGVLKVDKIDKDGIGHKYIMVRGLQIHVAEIGAGSSVVLFYYGFPEMWYSWRYQMLAVANKGFRAIVPDYQGYGVSEMPQEPEKTTFLRLVNDLFAVLRNMGISKVFLVAKGFGVQIASLFAIRHQEMVNGIITIGPPSIPGTQFSPFQNLSPKCYLSRWKDPQKAKDEFNCLDPKAIVRKIYILFSQDEIPETCDGENNLDLIDDSLCPSWITEDDLTFYGTWYERTGFSTALKVPLSLDENVKLVNQQIRVPALMIIAGKDHLLKFPGIHDYIQCERVNKFVSDLEMKYLPEGTHFVQEQLPDDVNEAILTVMSKHSLL >CDO99854 pep chromosome:AUK_PRJEB4211_v1:2:8286264:8286344:1 gene:GSCOC_T00029550001 transcript:CDO99854 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRHWNFNLDEMMEAEVHFGHGTKK >CDP07730 pep chromosome:AUK_PRJEB4211_v1:2:25488208:25489442:1 gene:GSCOC_T00025080001 transcript:CDP07730 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRLCFVLLFSYLGADAKLFTFRNDCEVTLWPGILANAGKPQLANGGFRLPPHSAATIFAPTGWAGRFWARSQCTFDETGNGKCATGDCGGALRCNGAGGVPPATLAEFSLDNPKDFYDISLVDGFNIPISIVPSLTNCSGAECTADLNRQCRPELQVTSDGKVVACKSACLAFGKPEFCCTGSYSNPNTCKPSVYSKEFKAACPTAYSYAFDDPTSTFTCQGADYSIIFC >CDO97375 pep chromosome:AUK_PRJEB4211_v1:2:18552404:18562962:1 gene:GSCOC_T00014698001 transcript:CDO97375 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPVNDAVASPSPASQSNSSVVLLGSSVIPIVNKLQDIFAQLSSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVQTRRKPDGTDEEWGEFLHLPGKRFYNFNEIRKEIEAETVREAGLNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKRPTCLILAVTPANADLANSDALQIAGAADPDGLRTIGVITKLDIMDRGTDARNFLLGKIIPLKLGYLGVVNRSQEDIMMNRSIKDALIAEEKFFRSRPVYSDLADRCGVPQLAKKLNQLLIQHIKAVLPGLKARINSSLVSVAKEHASYGEVTESKAGQGALLLNILSKYCEAYSSMIEGKNEEMSTSELSGGARIHYIFQNIYVKSLEEVDPCEDLTDDDIRTAIQNATGPKSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKMSHRCIIHELQRFPVLRKRMDEVTGNFLREGLEPSETMIGHIIEMEMDYINTSHPNFIGGSKAVETALQQIKSSRISAPNPRQKDVVDSEKAPTSERSLKSRAILARPVNGFVPEQSVRSAADVEKTGNSGPTTTGSSWGISSIFGGSDNRSSAKENANKHFNEPVQSMDHAFDHAFSMIHLREPPTVLRPSETHSDQEAIEITVTKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRDNLFEEMLQEPDEIALKRKRARETLRVLQQAFRVLDELPLEADTVERGYSLSTDPTGLPKIHGLPSSSFYTTSSGSTDSYTAPKNLKSRKSSHSGELHSPFYGNADSNGGGRNYLYPAVDV >CDO99627 pep chromosome:AUK_PRJEB4211_v1:2:10590233:10596559:-1 gene:GSCOC_T00029274001 transcript:CDO99627 gene_biotype:protein_coding transcript_biotype:protein_coding MQARIFQKSNSMAREKRSLDQSTSSDDGQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAKINGRTSPKRIEGPDGRNLQLQFRTKLSLPLFTGGKVEGEQGAAIHIVLVDAVSGHVVTSGPESSAKLDIVVLEGDFNNEDDDGWTQEEFDSHVVKEREGKRPLLTGDLQVTLKDGVGTLGDLTFTDNSSWIRSRKFRLGLKVALGCCEAIRIREAKTDAFTVKDHRGELYKKHYPPALHDEVWRLEKIGKDGSFHKRLNKAGLYTVEDFLRLVVRDSQKLRNILGSGMSNKMWDVLVEHAKTCVLSGNHYIYYPDDVRHVGVVFNNIYELTGLIAGDQYHPADTLSDSQKLYVDTLVKKAYENWMHVIEYDGKALLSFAENKSSSAPQSDIATGSQNDSNSFDYQVNLPSLPPLIPSEQPSISPVQTIGYDENGGSRFQMQSQSMNLNPTIHIHGSSLPLHNQMITTSSQVHSPTNTNLLALGPLQSSMSSFHAPGPSNLSNYRGFDDVFSEEDIRLRSHEMLENEDMQNLLRIFNMGGYGHTPVNGTENNYQYPLGYMAGTSSGYAFDEDRTRPSGKAVVGWLKLKAALRWGIFIRKKAAERRAQIVELEES >CDP04968 pep chromosome:AUK_PRJEB4211_v1:2:476865:477668:-1 gene:GSCOC_T00019849001 transcript:CDP04968 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSMLAVPTNVIYLSSILGQDGPDCVHKCDWKCENEHICGNIVSRKIFPLTAAEEQAVKGVRRKLDAESSAPDSCAFKRRRDAQFQPSPFERSFSAVGPICSQVGDGMDMS >CDO96847 pep chromosome:AUK_PRJEB4211_v1:2:13875301:13876521:-1 gene:GSCOC_T00014001001 transcript:CDO96847 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPNKFNALDSRLYKNFRELDDFFDKLIQEHHDPQRIKPEHDDPVDVLLRIQGDAAQAITSIRFYYNHLKWSIFCLIFNLAKIHPREETIRNPVVKRKAQQQVKEAIKAKRKVEETDLSKLTYLKLVINVSLRLHPQPPPPPFTCS >CDO96932 pep chromosome:AUK_PRJEB4211_v1:2:15141067:15145309:1 gene:GSCOC_T00014120001 transcript:CDO96932 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKLDRTATFHNIPLGLAYREGRKCPNMRFSICVHELEPTIFNNGACYGPVYDYSAYTACKSAPEDPLYNGGIIKDRVHGRRHHKLSLSGSRDNSPAAFVFYNLPGKTFYSFSSWLKVEDADSSSIQASVTTDKTTYQCAGTVIAKQDCWSFLKGGFVLDSTSNSTFLFLQDADGGDVNISIASASLQPFTQEQWRINQEYSINTKRKRAAMIHVADVHGRRLEGALVEVEQISREFPFGSAIASTILGNLPYQNWFVERFNAAVFENELKWYATEPQQGIVNYTVPDQMLEFARANQIMVRGHNIFWENPKYSPPWVLNLTGPELKVAVNSRIQSLMSQYKSEFIHWDVSNEMLHFDFYEQKLGPDASLQFFETSHQADPLATLFMNEYNVVETCFDMSSTVDAYISRLRELERAGVSMDGIGLESHFTIPNPPLMRAILDKLATLNLPIWLTEVDIGNTLDQQTQARYLEAVLREGFSHPSVNGIMLWTALHPNGCYQMCLTDNNFRNLPAGDVVDNLLKEWQTGAVEGQTDDHGSFSFYGFFGEYKVTVCYGNRTVTSTFSLCQGDETRHLNIQL >CDO99850 pep chromosome:AUK_PRJEB4211_v1:2:8321785:8325995:-1 gene:GSCOC_T00029546001 transcript:CDO99850 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDLEMGNLGYPMVLVQIPMCNEKEVYQLSIGAACGLSWPTDRIIIQVLDDSTDPAIKALKEQECKRWASKGINIKYEIRENRNGYKAGALREGMKHSYVKLCDYVAIFDADFQPEHNFLRRTIPFLVHNPELALVQTRWKFVNSDECLMTRMQEMSLNYHFIVEQEVGSRTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAIRASLKGWKFVYVGEVQVKNELPSTFKAYRHQQHRWSCGPANLFRKMAMEIVRCKKVSPWKKFYLIYSFFLVRKIVAHMVTFIFYCVVLPATIVIPEVQVPVWGAVYIPSVITLLNAVGTPRSFHLVIFWILFENVMSLHRTKATFIGLLEAGRVNEWIVTEKLGHVLQTKLGSKVPKKPRFRMGDRLHLLELFVGFYLFFCGCYDVAFGKSHFFIYLFLQSTAFFTAGFGYVGTFVPSSY >CDO97260 pep chromosome:AUK_PRJEB4211_v1:2:17677096:17679224:-1 gene:GSCOC_T00014536001 transcript:CDO97260 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLWMLKEVLLIIVLNIVQAFKKFKLLLLNEANTALAQFPIHAYIGQKNRTCVLTITPRQLSSCLVTPASTLDSKEEEEHRQHIDPLGLLGILGIFSQRVVRTKMVANQHFTRMDTLELKDLIYRKIGHQRAEKYFNQLRRLFSLQLSKIEFDNVCIRTIGRENISLHNRLIRSIIMNASIGKVPPPKAKKINGSLGIKVANGYQRSCLQSLYGEAFAQSPRKSRSPVHRDRKFRDHPSPLGPLGKSPNCEEGALRVQEQQSATELISLGSRPPVEVASVEEGEEVEQIAGSPSIQSRSPVTAPFGIFMNLGGARKGPYVGGASADYICARSFQSTGGLPDTESLRTILKKRLEVEGVGISLDCANLLNNGLDVFLKRLIEPCMLIARTHCPKEHVRHSSNHLLPGSNGILPAVILDFRVAMESDPRMLGEDWPLQLEKIASCAFES >CDO97077 pep chromosome:AUK_PRJEB4211_v1:2:16349005:16351053:-1 gene:GSCOC_T00014309001 transcript:CDO97077 gene_biotype:protein_coding transcript_biotype:protein_coding MSLARSLKDGLQFHAQVIKSGFTPTVFTSNQLIHLYSKNGLIREAYRLFDEMPERNVYSWNTVINAYIKAQNLSNAQNLFDAVPEKDSVTYNSLISGYANRDGFEDRAVRLFLQMQNDCDGVRRDEFTLTTMLNLTAKLSALSWGQQLHSFMLKTANDLSGFAVSSLIDMYSKSGSFPDAWTVFERGSSSAVDLVSKNAMVAACCREGKLDLAQNLFWSKHQMNDSVSWNTMIAGFAQNGQEEKAIEMFKCMAVEGFSWNEHSFASVLSAFSSLKALKMGKEIHSWVVKKGIDSNPFISSGIVDLYSKCGNMNYAEFVYLKMKVENSFAVTSMIVGYAAEGNMAEARRLFDSLADKNSVVWTAMISGYVKTQQSDDAFELFRQFMERDARDYDALLFINLLGACTTQAIVDPGKQIHAYIMKVGIEIDEKVVTAVVDMYCKCGSIGYAERIFQNLASRDLILHNVMIAGYAHHGYEYEALQLFEEMVKRGLQPDAVTFVAILSACRHSGLVEVGENYFSSMTEDYSILPERDHYACMIDLYGRANQLEKATVFMDKIPMELDAIILGTFINACKMNRNVKLANDAEEKLLKIEGDNGARYVQLAGAYASEGRWDEMGRIMKKMRGKELRKHTGCSWLQVGNRRHTFISNDRSHSETEAVHAMLDCLIQELVASIHDEEELSQ >CDO97385 pep chromosome:AUK_PRJEB4211_v1:2:18608221:18615979:-1 gene:GSCOC_T00014711001 transcript:CDO97385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXECUTER 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33630) UniProtKB/Swiss-Prot;Acc:Q93YW0] MASIAPPTFPSPSTRTDPNPHRLNFSNSVFSSSSRLKRASSNFNSHHHSLSRVSRSDSVLCRCNKSGIPDNPSGGDDSLVRGWDSAIQDVFRKTMKRFDDYVNSFWNQDERVGSEVKGGIDYGGVELEDWDWERWRKHFSDVDEQERIVSVLKSQLASAINREDYEDAARLKVAIAAAATSDTIAKAMSHLNRAVQEERYGDAALLRDYAGAGLVGWWAGISEDTHDPYGCIIHISAEHGRYVARSYSPRQLATAKDGAPLFEIFFTMNKKGEYKQQAVYLKRRKAPLDFPIPPFKTSTGTSNFDSLGSTEDRSGLLEENAEDSTDSDERDDDSSLENTLRDLIPGVKVKVLKVTAPGKVDRDLISKVVEQIIEEEDEEQDIELESTDADDEVKDENDDEEDNIVLNADNGIPYGEEQSQIAVKVVVGSLVSKFSPGSQPKDLLRVPARLEKRGRLSFTFTVEEEDDNKRIAGGDGNTSPNKKAKLQGLRSVDHVMLDLAKFIGKGRIPMKVLKDVGELINLTLNQARNHQPLSGSTTFSRIDIPGNQDPLNGLYIGAHGLYTSEVIHLRRKFGQWKEDGRKEEPPNVGFYEYVEAVKLTGDPYVPAGQIAFRAKVGNRYQLPHKGIIPEEFGVVARYRGQGRLAEPGFRNPRWVDGELVILDGKYIKGGPVVGFVYWAPEFHFLVFFNRLRLHR >CDP13994 pep chromosome:AUK_PRJEB4211_v1:2:4917303:4930030:-1 gene:GSCOC_T00039151001 transcript:CDP13994 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPITMKESLTLTSIGINPQFITFTNVTMESDKYICIRETSPQNSVVIIDMNMPMQPLRRPITADSALMNPNTRILALKAQLPGTTQDHLQIFNIEAKAKMKSYQMPEQVVFWKWITQKMLGLVTQTSVYHWSIDGDTEPIKMFDRTANLSNNQIINYKCDPSEKWLVLIGIAPGSPERPQLVKGSMQLFSVDQQRSQALEAHAASFASFRVPGNDKDSILISFATKTSNAGQISSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEATSVGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPRGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQGLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVEPCIKIFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEGQLYEEAFAIFKKFNLNVQAVNVLLDNIRDINRAVEFAFRVEEDTVWSQVAKAQLREGLVSDAIESFIRADDATEFLEVIRAAEDADVYHDLVKYLLMVRQKAKEPKVDSELIYAYAKIDRLGDIEEFILMPNVANLPNVGDRLYDEALYEAAKIIFAFISNWAKLASTLVKLKQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPDAWDHMQFKDIVVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLIRVDVALELAWMNNMIDFAFPYLLQFIREYTGKVDELVKDRIEAMNEARAKENEEKDVIKQQNMYAQLLPLALPAPPMPGMGGAGMGGGFSAPPPPMSGMGMPPMPPFGMPPMGSY >CDO97333 pep chromosome:AUK_PRJEB4211_v1:2:18229394:18232973:1 gene:GSCOC_T00014650001 transcript:CDO97333 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRDEGENEKFYEDIEAPKFVDFTVPDPYRPDDRYWFCLRVGCDQKHEEEMDSEAIYKNFVLRVTAARSPNVRLHKALNRNCSSRNMKCPLSAPPKSSKSRMSRLAIISSMSKKIGADKEKAKVNCTPKTKGKQVAAKYLTTPRNKNCMQNQNAFRSVQNPKPPSVAVPKCRVVAKALIFHSPKTAISMKKSVELRTPLTKLCEGMKKLEVSSQRKPVLGCSSKQPKQLGHNSQKSLPQHSSTRQKGSNKEKCRAKISHKLNTTQINHDTKSLRSLNSKSKDKLSKEFNTKISSARVTKAKSRVDNRKRPVKEAACPISHEPLSTELESCAALSHELNHSPNLALANEGGKYLPKLQASCKENDAIQENVRENDSSTEVSSRNGENLNNVLSQQDNSLCSRRQIEISLGDDKENASALDDKRNYDKKDQSGRKILGTQNSDGKKVIEAIDKDVKGPIVGAPGMKLKLKPTHPKPFRLRTDERGILREANLERKNQAITPQNEAAVVSRFQGGDLQGQHVDIQNEIASLKRTHRQVRTKTPTGPSRVKRSEQQELKPESSTSQASDYDLKKTKSSSRRVLQPQRHFSVTTEARTPDKLLGVIMETSSGKSKPEEVEKPCKKGTTIPTVVRPRAPACPRSLSRGRRPVTIPREPNFHRSHMPTSHAEKVS >CDP05240 pep chromosome:AUK_PRJEB4211_v1:2:2663439:2668324:1 gene:GSCOC_T00020215001 transcript:CDP05240 gene_biotype:protein_coding transcript_biotype:protein_coding MSETFVIDNNEVSVHLNDRIPAEIAENFKEFFPEDGDLSLEEVLLQQESAFQYIQANGKNKDKTSNNGQTSNRSQPIVQEGECSSGATDQLQSATDEALARALQEYFYIYERSGTVAESREPTPSETPVRVTNHSLSHDDIDPDNMTYEELQSLGEAVGHESKGLSEVLIARLPTFKYKTGLFSKKRKKECVICCSEYKSGARLTTLPCAHQYHSECITRWLKLNKNCPVCQEEVRED >CDP07699 pep chromosome:AUK_PRJEB4211_v1:2:24932570:24936132:1 gene:GSCOC_T00025041001 transcript:CDP07699 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGIGLHVLLYLALFFSLSGLSFGVAPKNISTFNRKSFPQDFIFGAASAALEQLQLPIRGPSIWDTFSHQYPNKIADHSTGDIAVDFYHRYKEDIKLMKFLGLDSFRMSISWSRVIPHGKLSKGVNKAGIAFYNNLIDELLANGITPFVTIFHWDVPQPLEDEYGGFLSPRIVDDFLDFAELCFKEFGDRVKHWTTVNKPLTFCVAGYDSGILAPGRCSAWRNNDCPAGNSAAEPYLVAHNILLSHAAISNLYREKYKASQNGEVGIVLNPTWYVPYSNSKADTEAAQRAIDFVYGWFLDPLVFGDYPQSMRRLVGKRLPRFTREQSQLVKGSLDFLGVNYYTSNFAANAHFHNGPNNSYTTDNQVNLTTERNGLAIGEAEGVPLYVYPQGLRDVLVYTKNKYGNPTIYITENGFGETNITKVEGGVKDLQRARFYQAHLRAVKEAIGNGVTVKGFFPWTFMDDWEWNSGFTERFGLVFVDFKNGLKRYPKSSALWFKKFLQS >CDP05290 pep chromosome:AUK_PRJEB4211_v1:2:3039658:3040864:-1 gene:GSCOC_T00020283001 transcript:CDP05290 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEDRRETAMEKNEASDATTRKRIKQAISVPFVWEEIPGTPKRDWKPTAPVKKPVAPPVKFIASVPFQWEEKPGKPLPCFSQQASGSPLALPLPNISSFPLSPRHFLGSENCWTGMNDQDGDQIEMLESYPASCESEADDSFCSAPSLLANRLVPTVAISNAVPVQQTSFAGLSSGQLQRPASPASETGSSTSSYETGNTNLVGASFLEWLFPLLTPQSNILEKVGSSEKEISPTQTTRQKEDIDCERNYSAALRKPHTLGELIMMSRRRSYQRKAFQMQTQNLSKDFMKKNAVGRWILGSSNFVGRFHRRWTRQLQLKLL >CDP00042 pep chromosome:AUK_PRJEB4211_v1:2:6684611:6687284:-1 gene:GSCOC_T00029804001 transcript:CDP00042 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNKKLKQTLRAAKAELLAASEAQNQSINKNFQNPEYPDLGTQKSLNSLLESASQKPRLSKREKRRQKAPSLQENEEKLNKDEDAGSGGMAEYEKRKNKRKRDENQELENGEVKKVKKLSKKKKKKKKKKKKERKGKEVEENGEVQESLGTEAGVIDDQDLTQRLDYDNSEGSLDAGTKVYVGGIPYYSSEDDIRSYFEGCGTITEIDCMKFPESGKFRGIAIISFKTEAAARRALALDGSDMGGLFLKIQPYKSARVTKTSNFAPKIVEGYNRIYVGNLSWDITEDDLRKFFSVCNISSIRFGEDKETGDFRGYAHVDFSDSLSLNTALKLDQKIVCGRPIRISCAVPKKGSEDKTKPLPENNQAVGDGATAVSGKIRRRTCYECGERGHLSSSCPKKQTADQTSLQTS >CDP15482 pep chromosome:AUK_PRJEB4211_v1:2:45337105:45337950:-1 gene:GSCOC_T00015323001 transcript:CDP15482 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDLKLLGTRSSSFVWRTVWALKIKGLEYTYIEENLYNKSSLLLELNPVYKKVPVLVHRGKPICESLVIIEYIDETWKENPILPEDPLERAYARFWAKFSDEKLAESAGQVLRTTGEEQAKALERMTEAMEILEREISGKKFFGGDKIGYLDIVIGWSAYWLQFLEEVGCFKAMDSTKYPCLHLWMKNFIEFPLIKKNLPTPDELLSTFRPYRNMILARTKG >CDP17960 pep chromosome:AUK_PRJEB4211_v1:2:43989021:44004329:1 gene:GSCOC_T00001204001 transcript:CDP17960 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNGGIEVIHSWSAPRSLSTSLMYSFAQRDDTEVLDEPLYANFLRVTGLERPYQQELLSKLESDGNKVVREIIFGPGGKKYRFCKHLAKQLLPGLSEDLMKKGKHFILIRNPLEILPSFDKVVPPSFSELGLAGLVSIYSQLCELGKPPAIIDAAELQADPEFALRGLCEDLGIPFQDSMLKWEAGPKSFDGLWAPWWYKSVRESTCFTPNTKYPSPLPQPLYDLLEQSLPFYNMLRHRVKRRSSLPPPSLPVPANEKLLAWVGDEIVPRESARVSVFDSVVQGGDAVWEGLRVYKGKIFKLEEHLDRLFDSAKALAFSNVPTRDEVKEAIFKTLIYNGMFDNAHIRLTMTRGKKVTSGMSPAFNLYGCTLIVLAEWKLPVYDNTRGITLVTAATRRNSPNNLDSKIHHNNLLNNVLAKIEGNNAKADDAIMLDKDGYVSETNATNIFLVKKGRVLTPHADYCLPGVTRATVIDLVVKEQLEIQERRLSLSEFHTADEVWTTGTVGELSPVIRIDGRIVGDGRVGPVTQRLQNAYKELTEESGVSIPTYQKT >CDP09415 pep chromosome:AUK_PRJEB4211_v1:2:22698623:22701382:1 gene:GSCOC_T00028769001 transcript:CDP09415 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQLLGRNIGRIFTFGHLSSDLGVSSVRGRTALAPATARNFCTQLPPPPPPPLAKWRIRSLVKSIDETRLSCIIAFFGSAFLFYIFMEDEIMDRRQMREGVRQKDGT >CDP08827 pep chromosome:AUK_PRJEB4211_v1:2:52676229:52679828:1 gene:GSCOC_T00027935001 transcript:CDP08827 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPTSFVHPPVHVSSSSVFGLRILLKVHQQSIHFKHSRLTLRVICAGQPSQSPQPSSNETLNIISILRAIPDWADGIKERGMRRKRALYNHEKWVEHRSSLRHVRHLMSSLNSRVILSLVPPVLAFTTAAVIIASYNSAVSLHWLPEIFPLLHASSLPYQLTAPALALLLVFRTEASYARFEEGRKAWTKVIAGTSDFARQVIANVGCTTDASLKNALLQYIMAFPVVLKCHLIHGSNVAQDLQDVLDDGDLAVILRSKDRPRCIIEFISQSIQLLSMEEAKRNILESKISCFHEGIGVCEELMGIPIPLSYTRLTSRFLFLWHLTLPIILWDDCNWIVVPATFISAASLFCIEEVGVLIEEPFSMLALDELCKLVHSSIQEAMDNEKIIQAQVNAKRQSHYNKNAPNGWPSLRNTEDTN >CDP15496 pep chromosome:AUK_PRJEB4211_v1:2:45573410:45573892:1 gene:GSCOC_T00015347001 transcript:CDP15496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-4 [Source:Projected from Arabidopsis thaliana (AT1G09030) UniProtKB/Swiss-Prot;Acc:O04027] MVDEQDRLLPIANVGRVMRQILPPSAKISKEAKETIQECATEFISFVTAEASDKCYKENRKTVNGDDICWALSTLGFDTYAEAMLRYLHKYREFERQRVNQSKASNLENEAHTPIRASTDSTDSDGSNLQPEKQSESPIAFEFRVLEKGQSSKIKPAATG >CDO97420 pep chromosome:AUK_PRJEB4211_v1:2:18895310:18898431:-1 gene:GSCOC_T00014761001 transcript:CDO97420 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTSIRKSLGFITKRESFSKILLGTGCLSNVPENTVYGGPKPQDPNQRVTLTNLRQKHKKGEPITMVTAYDYPSALHLDTAGIDICLVGDSASMVVHGHDTTLPITLEEMLVHCRAVARGAKNPLLVGDLPFGTYESSTHQAVDTAVRILKEGGMDAIKLEAGAPSRITAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGRNVAGAVKVVETAIALQEAGCFAVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQVLVYHDLLGMLQHPHHAKVTPRFCKQYAHVGDVINKALLGYKEEVTSGSFPSSAHSPYKISAADVDSFSKELEKLGLSDAASAASAAAEKLKPLNNQV >CDP08672 pep chromosome:AUK_PRJEB4211_v1:2:53939816:53940445:1 gene:GSCOC_T00027718001 transcript:CDP08672 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPYAPRSKSSSKGRTNLASCIVATVFLLILAAGAVVAYFLLLKPKAPKIAVDAVQFPTFSASNGTVNFTFFQYVTVTNPNRDEFTHYDSSLQLVYFGQPVGLVFIPAGKIQGGRAQHMSAKFNVQSYPLPPAVAARVGDNQAAAAAGGGGGGSVGPMVAGPTMEIETRMKLEGRVRVLKVFTHRVDTGVRCGVVIEVTRGSVLGFHC >CDP09303 pep chromosome:AUK_PRJEB4211_v1:2:23996902:23998038:1 gene:GSCOC_T00028612001 transcript:CDP09303 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPTGVCKRQDGSKTDDNHQTHDEDQMVLIPGLPNHLAHLCLSALCPSLLNSVCQSWRRFIYSPSFPPFFSLYALLSSTSSTSNSVEFFCFDPISLAWESLPSPPSNPPLKLLHRHSSFISRILPIQSLTVSGRLVLIAATNDKFLPAFHRPLGFDPLSNKWFFGPPLLTPRRWCITGSADGAIYVVSGVGSNYRGDVARSVEKWDMKKKETEWNWQQMAALKDGRYSREAVEAVGYNGKLCIVNVKGNAIKEAAAYNIMTNQWEVMPEGMRGGWNGPTATDDGGLMYVVDETTGVLSRYTSESDHWEELIESEFLKGADQIAAGRGRVCAVCADGARIAVVDVVDKPARIWIVNPPPKMEAVAVHILPRMIRPDYD >CDO99653 pep chromosome:AUK_PRJEB4211_v1:2:10291688:10295063:1 gene:GSCOC_T00029309001 transcript:CDO99653 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVGSSGSPTPGRLEGKRAAMVVCWFLGLGSLVSWNSMLTIGDYYYALFPHYHPSRVLTLVYQPFAVGTVATLAYNEARIDTRMRNLAGYTLFFLSTLALLLLDLGTSGKGGLGNYIGICILVAAFGVADAHVQGGMVGDLSLMCPEFIQSYLAGHAASGALTSTLRLMTKAAFENSNNGLRKGVILFLAISTFFEFLCILLYAFFFPKLPIVKHYRAKAASEGSKTVLADLAAAGIQTEANETVDDDKYPERLSTKQLLFQNFDYALDLYLIYVLTLSIFPGFLYENTGHHKLGSWYAVVLIAMYNAWDLVSRYIPLMECLKLKSRKGLMLATLSRFFLIPCFYFTAKYGDQGWMIFLTSFLGLTNGYLTVCVLTAAPKGHKGPEQNALGNLLVVFLLGGVCSGVALNWLWLIGNGKF >CDP04965 pep chromosome:AUK_PRJEB4211_v1:2:454194:458752:-1 gene:GSCOC_T00019843001 transcript:CDP04965 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKQAQALSGGPLIQARNFAVMTGVNAGISCVMKRLRGKEDVESSMVAAFGSGAMFSLVSGMGGTNQAANAVTSGLFFALIQGGLFKLGQKFSAPPAEDVCYVKTRSMLSNLGLQNYEKNFKKGFALRDVRIPPGPRLLILDHIHRDPEIREKRASWK >CDP17196 pep chromosome:AUK_PRJEB4211_v1:2:47640566:47642944:1 gene:GSCOC_T00000663001 transcript:CDP17196 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRVWTPSDGNGQNVSSPISNYKQIRSNRSLLVFFFFLFFGMFSINRARLHFGAIVSFVLLFHPRTRNSRKLGNYSFKIAADNIGTIRPLEKACHFNKIYQLGDSIADTGNLVRESPFGSGYPFAHLPYGESTFGFPTGRCSDGLLMIDYIALAAGLPYLEPSMKEDGNFTTGVNFAVGGSTALPKEVLEAKDITNPISNSSLSVQLDWMSSHFASICHTKEVCRKMLEKSLFFVGEIGGNDYNVPFLEGRSMNELTALVPEVVQSITNAIKRVIDFGATRIIVPGNFPIGCIPVYVACFQTNDTAAYDEHHCLKDLNNFAEIHNQLLKASIKKLKKDYPKVVIVYGDYYNAYLSLLSNARSLGFDENALQKACCGTEPYDCSGGKLCGTPGVKACPRPDKYISWDGVHSTQQAYKYMTAHLLDSIMPQLKCHSSAQDA >CDP14077 pep chromosome:AUK_PRJEB4211_v1:2:5585961:5588012:-1 gene:GSCOC_T00039267001 transcript:CDP14077 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFWIPPLVAKLHPKNGDPSIPLGPSGACTCCHLSELQIRIRIQGFEAVSPCGTNKMTVCVIRDVQHLQLYDIKVQS >CDP15544 pep chromosome:AUK_PRJEB4211_v1:2:46536267:46539898:1 gene:GSCOC_T00015419001 transcript:CDP15544 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTISAPAIPEFAGQNHSQTHQNYHHLEPDHHHHHAALPSTTILLIVIPIFIVILLLAIFLLVIMLRKLQFHKSHGSTKSGSIICNKDCMFVAHSSINIGSSPDVKGGCLYGSSATKMAASKLRGVQVFTYKELEIATNKFSAANVIGNGGYGVVYRGILSDGTVAAIKMLHREGKQGERAFRLEVDLLSHLHSPYLVELLGYCADQHHRLLIFEYMPTGTLQQLLHSSNPQFGRTLNWGIRLRIALDCARALEYLHEYTTPSVIHRDFKCSNILLNQNFRAKVSDFGLAKIGSDKMYGLISTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRIPIDTKRPPGEHVLVSWALPRLTNREKVVEMVDPALQGQYSKKDLIQIAAIAAMCVQTEADYRPLMTDVVQSLIPLVKNLSSKCPSGSFRSVQSVSPRK >CDP17160 pep chromosome:AUK_PRJEB4211_v1:2:50295625:50299412:-1 gene:GSCOC_T00006324001 transcript:CDP17160 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFQAGIAQASLQVLLERITDFAVKETSLILGVDDEIRRLQRTLQRIRAILDIVGNNQQSLLKHSSTEAWKMWAADLEKHSYSAEDLLDEISLDLLQVGADHLNEANESYQVRNMLLSSFKLSMPHEIGKIRKELEDIATEMDSLVLTKITSSLVDEGFVVGREKDKDEIVKMLLTANANRSNVSVIPLVGMGGIGKTTLAQIVYNDNRVVKNFDLRVWISVSVNFDVIGITKSIIESLTGKKCKLSDLDPIQCKLQSLLSGRKFLLVLDDYWTEKYGDWDSLTCPFRVGLRGSKVVVTTRSSVVASILGTFPAYHLKVLNDKDCWELMKQRAFSNKDPEENMNMEEMGRKIAKKCRGLPLAAQSLGGMLHFQFDEEEWECILNSELWDLPQEKNDIFSSLLISYHFLPSHLKKCFAYCSIFPRNHEFERDKLVLLWMAEGFIQPRGGKRLEDVGTNLKKLTNLRHLDLDIKHQLNYMPSDLGNLVNLQTMNAFIVGKGKGCGIGQLGNMRFLRGSICITNLENVLSVMEANEANLCMKPFLESLHWLGDPLLKLRTIHIRSCQYCSVLPSLGQLPLLKHLCIENLSSLASIDDHFCGFGPTKGFPSLELLIFQNMPNLMEWKGLDGQDMPLLRELTFINCPRLTSLPSLHNLSFLHNLNISHCPKLQALPEQGLPVSLQILIILESAIIKERCRVEEGEDWSVGTELHKGKHDSSLTIMTENRETSGTLAFRGSRSDIMKCYLQALKPLSTLKLCVKSSSCFTVNSSIQLC >CDO96733 pep chromosome:AUK_PRJEB4211_v1:2:12463480:12469087:-1 gene:GSCOC_T00013845001 transcript:CDO96733 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRARKPQRDAPILKAKKPKKPKRQREVLKTNNKPASSLLRSSADHEQNLESHQKPCLDITLPSQILSDFDPKSFSKTQPIQSMPSSPKTLSHLAIVPFNNPSLKAGTVSSRKKGKSRMTCHWNKKKMETLTKHFNPIPFSPKRVPSLDIASHQSLLSTLGLWDFFHLDFDQELRTDLIKELVVFYNSKRHPYCKSYVNGLSVTLSRGALAKALHLPLKSRSRRSLVAKGGGEDGLLMLSEEEGLFLEEIVWGWLVLQDDGSMVIEEVLKFTRCVRERMLEEVDWAGLIWFMVERELSKGQDLVNCYYASHMQILLKRQLPKLFESEDVKNVIGEEAHLLDVPVENVLGEESNLLDLQMLDVEDSRNVDKQVIFFDEEFSKESVASVVKDAWITEIGQEDENILVKCYKRRTQYLEKCRKERKLCMVDDVGNTIAARDCEGEEIENQQNDVYLLDGEFGNEQQIKGVNHDQHEYELQSMNEEDEKVGENADELKGDEMDHQQGNLSCMPANERKDLESSSYVGMLKNLDKVKVSTEIVSSGMDHLNWRERDNQQFNVAAKQENGERKSSLEVSEEGVKDAVNMLMNEETHEEGLKTEQLTDLTVSGVDVTDIQGMENQQTCLVSNQENGEKRFGIEGSLEEEQHVRAESILDEQHAAQTEPGIELTVVQGEKEVEEMEGLAEEACKVETDELLDNGEKVMQKVDLCAAKHNTSHENALEGVNQEGHATQTEPGNEWMVVQREKEAEGMEGLAKEVCEAEIGELLDKTENAMQNVDLSAKEHNIFHENALEEGVNQEDTHLRDSLMRSEEENIEPESMDVNEFLRADVVSKQITLNAKTCKGGSDHHIETTEEDIICLEHEENDEREQQLCKSNASEDSVLQQCAPTNVEVDLKADKHIILHENVLGEEENQEGMNSSKSCGENVGVDATVWNEILGADNDNMQVTSNAEPSRGGSEYVTETKGEDVMYLEHEENDKRKFQLSESNISERLVLQNCTPGDVAGTEVHKEKIQVVETNTFHDPPKECTSIEDLYSAHGVRSTCENEMPLSAPNNNFNHSIIELPIPNLDVHIGELIPSVHTEAQQRKDVHPSATSLENFESQPDIGMNMVGSSFDNSLRSEICSLNNSQYEHVTESQKRTRSDDAENNESLDLESCTKQMEVWMSRLKNAAKKRARADGEISAMLLRKIEEQNKIIEEQNKIIQQYEKLKNKEQQKKQKDIRRYERELRLMQGVLFDYRRALKEIDKSYSKYRERCQLPEEPLYMDDPCGGGKVILAAEFNRLSLESQNKATQVSIEGMMKNFTHEWVDKFEEYFIELDHLCHRLEDVEISVSSLKGKVLNPQDPGT >CDP16469 pep chromosome:AUK_PRJEB4211_v1:2:31131721:31137677:-1 gene:GSCOC_T00018400001 transcript:CDP16469 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIRGILFQTCKKKFHCLSRDAGPSEVLKKRIAEMERKRKRRDPRKNQLFIEVPESKSFLDTATMPMILTVAGTALFAKLLMMLDESKSQEMIERKIKNAPPGQGSVRMLTREEWEEVREVRPRMPFESKLARPNARIRTGEPLHWEDVKDWTIDVLTDGFTRAEECVRRRSN >CDP17218 pep chromosome:AUK_PRJEB4211_v1:2:47896185:47899762:-1 gene:GSCOC_T00000697001 transcript:CDP17218 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVLRLGGAPTPIFSALPPSTPALCTTLTPVLCPTSLERIRLPPTPAVCRSKNVEEQDLYGLPKEFYDDEWQARQRQKTKEFHQKRREEEEEEERKVEEYREIGLRMKDYPQEELLKAKKLVASFIKSAEEVEEKIEEAAEKGELNEIVLMVIWNRLDLARHDDEKDAVRSLDLLYRRVETEILKREATPAMRLLNDLLNMHDGFDDQDWLKACKKRMFDTFPREDPFTILAPAGFDIDKHEGPLAPAMPVEADNVLLRVDFVREVAALLQEVRSQQTEAQHEEGLDPESVASMLKQHEKQRAIRQVESLLDLAINLNW >CDP07644 pep chromosome:AUK_PRJEB4211_v1:2:24289582:24291215:-1 gene:GSCOC_T00024964001 transcript:CDP07644 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFALAYSRTANNKAIRQLLHFAASELSDNVRHTAVLALGFTSPNNFSYDTPQIVSLLSESYNPHVHQSPVLMFGISCAGTGLSEAISLLEPLTSDVPVIPLLMHSDSWCCRKEIRTGAL >CDO99795 pep chromosome:AUK_PRJEB4211_v1:2:8840922:8844039:1 gene:GSCOC_T00029487001 transcript:CDO99795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD19D [Source:Projected from Arabidopsis thaliana (AT3G54940) UniProtKB/Swiss-Prot;Acc:Q8VYS0] MMMTSGGLMLTCTLAITLLSCALISSTTFQHEIQYRVQDPLMIRQVTDNHHHRHHPGRSSANHRLLGTTTEVHFKSFVEEYEKTYSTHEEYVHRLGIFAKNLIKAAEHQAMDPSAIHGVTQFSDLTEEEFEATYMGLKGGAGVGGTTQLGKDDGDESAAEVMMDVSDLPESFDWREKGAVTEVKTQGRCGSCWAFSTTGAIEGANFIATGKLLSLSEQQLVDCDHMCDLKEKDDCDDGCSGGLMTTAFNYLIEAGGIEEEVTYPYTGKRGECKFNPEKVAVKVRNFTKIPEDESQIAANVVHNGPLAIGLNAVFMQTYIGGVSCPLICDKKRINHGVLLVGYGSRGFSILRLGYNPYWIIKNSWGKRWGEHGYYRLCRGHNMCGMSTMVSAVVTQTS >CDO97652 pep chromosome:AUK_PRJEB4211_v1:2:20705472:20705984:-1 gene:GSCOC_T00015056001 transcript:CDO97652 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMKAVKKLKFWSRKKKKKKKALFIDNPPPPPCHCQYQYYCPPYEPSAPPLPTSSSSSSWVEYDHEVRDTVYANSEFTSSNPAQAQDPTFGPRDFSSVPQPRPQDPTMPAAASITSYQQYMVPHPAYGVPLVPQVRRERRGGAFGCMFAFGAHLFRCFFPCFHIREANR >CDP16446 pep chromosome:AUK_PRJEB4211_v1:2:30413399:30419473:-1 gene:GSCOC_T00018357001 transcript:CDP16446 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLVNDLARTVSRRYCSRLEDNDLEHGKIGSISYFSYHPSFYDTFNKFELLRETKNLRTFLPLSKLRGGKELSRKFLHEMLPKFRSLRFLSLLLYEIHKLPDSISDLKHLRFLNLSSTLLETLPECICTLYNLQTLLLSDCKKLEELPVSLAKLTNLSYLDISGTPLKKMPLYMGRLRNLRVLTNFIVGKDSGSMIEELGKFPKLRGRLFISKLENVCSGRDASMANLKGKEHLDELTLEWKGAINDSQAVRDVLDNLQPHSRIKHLKIIGYGGTTFPDWLGNSWLSHLESLSLSNCENCFSLPALGQLESLQSLEIVGMSYIFDLVENFYGDVSATKPFPSLKKLRIEKLPEWERWHIPEGEVFNRLEELSIIDCPKLIGELPQQLSLLQSLEISGCDNLVCPNGRLSVFNGEIRQKFSSLWQLKISELKNLKELPLQLNQLSRLTVDDCGSLLPSHVSRLPASLTSLEYKGCCNLELESSSGEGGGALEYLTLEKCDSMLSVPAAPAPGIGNQSGMTTTTTSSSTSSVMTSLQYLYISGCDDLMSFRAPSLMRLDIRDCEKLTSLPQWMESLFPSLRVLYLSNCPEIECFPEGGLPSTLQALKIFGCKKLVSRRREWGLEKLPSLTESVISGPYDEVESFPEEDWLLPCTLQSLHLNYLQNLKVLNYSALRHLTSLQNLGFNDCPRLQSLPEEGLPASLTELRFSKCPLLKPRLEWEKGQDWPKVAYIPCWGLEKFPLTHLSIGGSFLTIMTCTPLQSLLEKGLPTSLEIFDCPLLKPKLEWEKRQDWPEITDIPAQPPSSLWIKDCTLPSREYSSLLLSASAIARRGSTYLLFMAEIKNCPY >CDP08689 pep chromosome:AUK_PRJEB4211_v1:2:53808104:53816113:-1 gene:GSCOC_T00027744001 transcript:CDP08689 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKFIVKIEEAKEGENGRASRGPVYRNVLAKDGFKPLPPGLHSCWDIFFESVKEYPNNRMLGEREMVKGKAGEYSWLTYKQVYDLVLEVGNSIRTCGVVQGDKCGIYGANCSKWVVSMQACNAHGLYCVPLYDTLGAGAVEYIICHAEISIAFVEETKISEVLKTFPTTGKYLKTVVSFGKVSNEQKEVSGTFGLKIFSWDEFLLLGWGQQYDLPIKKRKDTCTIMYTSGTTGDPKGVMISNEAVLSIISGVNHHLESINEEFSERDVYLSYLPLAHIFDRVIEELLIFKGAAIGFWHKDVKQLVDDIRKLTPTVFCSVPRVLDRIYSGLVEKLSSAGLLKYTLFNIAYSYKLRNMSKGYKHVDAAPRFDKIIFNKVKEGLGGNLRLILSGAAPLSSSVETFLRVVTCAHVLQGYGLTETCAGSFVAQPDVLAMIGTVGPPLPIVDVCLESVPEMGYDALASTPPRGEICIRGKCLFSGYYKREDLTEEVMIDGWFHTGDIGEWQLDGSMKIIDRKKNIFKLSQGEYVAVENLENNYSLASCIDAIWIYGNSYESFLVAVVNPNIESVERWAEENGQTGHFSALCESPSAKAYILEELSKIGKEKKLKGFEFVKAVHLDPTPFDMERDLLTPTYKKKRSQFLRYYQDVIDGLYKSAR >CDP09357 pep chromosome:AUK_PRJEB4211_v1:2:23278016:23290075:-1 gene:GSCOC_T00028689001 transcript:CDP09357 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSTIGSPEGLMLLQFRIPWRQLLSSSCLLEDASIVLQLGFLGVLLLQFVIYVVESKCRGRKKSMVGEKCSVGAKVGLSYKLTLVCSILLLGAHFLELLMLQSNNSAHCALEVPNYASETMQLISWSISLILQYKILRDKQVRLPWIIRIWWISSFLISLASAAIDGNYIIINHEGLKVQSYADALNLLASAFLLVISIRGRTGIVLDIPNGITAPLLNGKSEKHLEGKQDCPYGRATLLQLVTFSWLNPLFEVGIKKPLDQDEVPEVDFRDSAHYLSRSFDDCLEHVRKKDGTANPSIYKAIYIFAWKKAAINALFAVISASSSYVGPYLIDDFVNFLTEKKFRSLGSGYLLALGFLSAKMVETIAQRQWIFGARQLGLRLRAALISHIYQKGIVLSSKSRQSHSSGEIINYMSVDVQRITDFVWYLNTIWMLPIQISLAIYVLHTNLGLGSLVALVVTLIIMCGNIPLTRILKRFQTKIMESKDDRMKATSEVLRNMKTIKLQAWDSYFLDKLEILRQTEYNWLWKSLRLLALTAFIFWGSPAFISVMTFGGCVLMGIPLTAGRVLSALATFRMLQDPIFNLPDLLSVIAQGKVSADRIASFLQQDEVQSDAVLYHSCSDTEFSVEIDGGKFCWNTESGSATLDGINLRVKRGMKVAICGTVGSGKSSLLSCVLGEMSKQSGTVKISGTKAYVPQSPWILTGDIRENILFGNPYDSDKYNRTVEACALTKDLELFSAGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGTQLFQDCLMGILKDKTILYVTHQVEFLPAADLILVMQNGRIAQAGSFEELLKHNVGFEVIVGAHNEALESILTVESSSRTFNHETDDGESNSEPNPNAEFPHTKQDSEHNLCVEIAEKEGRLVQDEEREKGSIGKEVYWSYLTIVKRGAFVPIILLAQSSFQALQIASNYWMAWACPTGNHEPVVGMHFILFVYVLLAIGSSLCVLIRATLLAITGLLTSEKLFSNMLHSIIRAPMAFFDSTPTGRILNRASTDQSVLDLELANKIGWCAFSIIQLLGTIAVMSQVAWEVFVLFIPVTAICIWYQRYYIPTARELARLAGIQRAPILHHFAESLAGAATIRAFDQKCRFIDSNLCLIDNHSRPWFHNVSAMEWLSFRLNQLSNFVFAFSLVLLVTLPDGIIDPSIAGLAVTYGINLNVQQASVIWNICNAENKMISVERILQYSNIASEAPLVIEDHRPPGNWPDIGTIQFTNLKIRYAEHLPSVLKSITCTFPGKKKVGVVGRTGSGKSTLIQAIFRIVEPSEGSIIIDDVDITKIGLHDLRSRLSIIPQDPTMFEGTVRGNLDPLDQYSDYEIWEALDKCQLGDLMRGKPEKLETTVVENGENWSVGQRQLFCLGRALLKKSTVLVLDEATASVDSATDGTIQKIISQEFKDRTVVTIAHRIHTVIDSDLVLVLSDGRIAEYDTPAKLLEREDSFFSRLIREYSKRSQSFSSFSKIQS >CDP08774 pep chromosome:AUK_PRJEB4211_v1:2:53093968:53096587:-1 gene:GSCOC_T00027868001 transcript:CDP08774 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFTAPAIALSSAFFLLFFTTLVSSSSSFHPIDHYLISCGSHEPSIIDLDHRRFTGDSSTSASRFFTTPSTRTIPLTNSDPASSNSSPLYRTARAFSSPCKYSFPIQDHRGGTHHLVRLHFSPFFNSTSFDFSAVQFHVLANGFLLLRDFSIQNSPKDGIVIKEYIIRVDSDKLDITFVPKKRSNFAFVNAIEVISAPHDLVADVAQFVNSEKNERINGLLNKGFETVYRVNVGGPKVTPFNDSLWRTWVPDGEFLEKSGHEGSLEIHFSGRIQYQMGGATREVGPDNVYNSARVIKSSNNVIPKSNIAWVFPGIEGYKYLVRMHFCDIASIGPYMLYFNVYVNGNLAYEDLDLSAMTNMLLASPFYADFVVEGLNSESLNVSVGPSNMSLTNAVDAILNGVEVFKISNSLGSFDGELCVESVMKSWRRGNAVVIVPLLAAVFLLLTASVVVQRRRSGGRDTVGWLRLPVDVSEINLKYGSQQSSGKL >CDP15484 pep chromosome:AUK_PRJEB4211_v1:2:45355540:45357682:-1 gene:GSCOC_T00015326001 transcript:CDP15484 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEKLQDPQEPTKYNKECILKVSIHCEGCKKKVKKILNRVQGVDGIDIDIKQHKVTVTGDVDANTLLRKLIKSGKHAELWPEKAEPKEKKTSSKSKEKEKLTEQETSNQEPSQGSVNNIKTASVKEVKPPPVKAEAVAQEPTKNSKGGGAKDANDGGEAKSGEENGGGGAAKVTASSEGGAKKTESGGDQAVVELKTEEKKPESGGTGGAHPPPEEKKGGESVKNSPVVENVSGGGGNDGNGKKKKKKGQNGNLTGGEQSSASQEAMRSGNRDDGPPAVSASDHHSHPHHRSFDQYAPQFCGPPGPPPIYAVSYNTANPASSYTASFYAPPQPNSYVYSYSGGPELQAPPSDFDANPRQPLDSFEIFSDENPNGCSIM >CDP17977 pep chromosome:AUK_PRJEB4211_v1:2:43811719:43815981:1 gene:GSCOC_T00001232001 transcript:CDP17977 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQSIVDFDEEASNPLLRRASPDTESREDAEAGKISSPASSGATALVIFSTLISVLGSYVFGTAVGFSSPAQSGIVNDLGLSIAEYSVFGSIWTIGAMLGAIISGKIADFFGRRVAMGFAELFCLVGWTAISFSQNAWLLDIGRFLAGYGVGILSYVVPVYIAEITPKNLRGAFTAVNQLMICCGGSVMYVIGNFTSWRTLALIGTIPCILQLLGLFLIPESPRWLAKMDKWKECETSLQRLRGANANISQEAAEIIEYTEMLKQLSDTRMIDLFQRKYAHGLIVGVGLMVLQQFGGVNAIAYYASAIFESAGVSSRTGTLAMVVIQVPMTLLGTLLTDKSGRVPLLMISATGTLLGCFLTGLSFLLQDLQLWKDSPLLALIGVLIFTGSFSLGMGGIPWVIMSEIFPINVKGLAGSLVTVVNWLGSWIISYSFNFLTKWISSAGTFFLFSVASGLTIIFVAKLVPETKGRALEEIQASMVLFTRKS >CDP09421 pep chromosome:AUK_PRJEB4211_v1:2:22664805:22665541:1 gene:GSCOC_T00028778001 transcript:CDP09421 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPFNDGLFRYNNRKKGLWRYLNIAMLGSILISLGCTTMIFMASYDNYPSGYALKVLHRTDSSLLLPT >CDP13941 pep chromosome:AUK_PRJEB4211_v1:2:4518078:4521684:1 gene:GSCOC_T00039088001 transcript:CDP13941 gene_biotype:protein_coding transcript_biotype:protein_coding MRILAARLSTYLCRRNPLTAQSRNLSSFHGRDERSIEEEAERKIGWFLKLIFAGTATVVAYQFLPYMGDNLMLQSVSLLQVKDPLFKRMGASRLAHFAIDDERRMKIVEIGGAQELLNMLGTAKDDRTRKEALRALDAISKSDEARGSLQKAGAISVIRSIPDSAEDAEVKKFKLSLLDRFKDLSYED >CDO97085 pep chromosome:AUK_PRJEB4211_v1:2:16407048:16411619:-1 gene:GSCOC_T00014319001 transcript:CDO97085 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPAT5 [Source:Projected from Arabidopsis thaliana (AT3G18850) UniProtKB/TrEMBL;Acc:A0A178VAY6] MEVCTSVSSRNGPRHRPLTPWKAFRGVLCLVVLVLTAFMLLVFCGFWTAVVLRFFSLHYSRTATSFFLGSWIALWPFLFEKINKTKVVFSGDCVAARERVLLIANHRTEVDWMYLWDLALRKGCHGYIKYVLKSSLMKLPVFGWIFHVVEFIPVERRWEADEAVMYKMLSTFKDPQDPLWLAVFPEGTDFTEEKCQRSQKYAAEKGFPILKNVLLPKSKGFFASLESLRGSMDAVYDITIGYKQRCPTFLDNAFGVDPAEVHIHVRRVDVNDIPIPEEQVTSWLMETFSLKDQLLSDFHSKGHFPREGIEGDLSMVKCLVNCTFVIALTGVCTFLTFFSSIWFKMYVSLACAYLASATYFNIRPRPIVAL >CDO97230 pep chromosome:AUK_PRJEB4211_v1:2:17464987:17481485:-1 gene:GSCOC_T00014499001 transcript:CDO97230 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MSLNSSSRSATSNSNSKRSVTSNSNSNPSTSGNNSCRNNDKRNRKRKTDQNQKTLGMAWGANSRSSSRSAFRNSPFPDFGRQFPTYMVMKNQKLHQQFDAEASSSSVSGACSGKPIFDGVSIFVDGYTVPSSQELKGYMLKYGGRFENYFSRHHVTHIICSNLPDSKIKNLRSFSGGLPVIKPTWVLESVAANKLLSWIPYQLDQLASETKKQPKLSAFGFKSGPVLDDLEESISGQAVPQSGIATLRTSCSLEANIFGKAECTEEVEECHSVSDDPFHPNAAESTDQAPTYCMENHCEVELDAAVVGQSDAGYHSHISPCQDPKSDHNDCLEDHIIEESSSSKTIRPSTTGHSTLSDANFVENYFKFSRLHFIGTWRNRYRKRFLSSANGFKDMNPSRNAFATSQKTTIIHVDMDCFFVSVVIRKHPDLKDKPVAVCHSDNSRGTAEISSANYPARNHGVKAGMFIRDAKALCPQLVILPYNFDAYEEVADQFYDILHKHCNKVQAVSCDEAFIDVTDLRVEDPELFARAIRTEIHETTGCTASAGISGSMLMARVATRIAKPDGFCNYEADKLGSVDDYLHELPVKALPGIGHVLEEKLKKKQINTCGQLRLISKESLQKDFGVKTGDMLWNFSRGIDNRLVGVFQESKSIGADVNWGVRFNDLNDMKDFLLKLCKEVSLRLQGCGLKGRTITLKIKKRRSDAGEPVKYMGCGDCENLSRSVTLPMATDDVDVFQRITAQLFGYFHIDARDIRGAGLQASKLESADRNIRGHEKYSIRSWLVSSPARKSSMGKDVSEHMNGAGKGDQLYSDIGPPVQAKGSFSGGEAHVVHRATLPALHELDVGVIESLPPEVLSEINDLYAGELISFISKKKEKNAGNSLWLFIFDSLSLSLCVSRFLHNVLVINICICLAKQYINKMIQGLPETSGSVTALLPETDSLMPSSLSQVDSSVLQQLPEELLADVLVSLPTHRRPDFMSNDVYLDHIRDQTGAIDFLPSNNLWVGNPPRWVDNFRLSSCQLLNRIAVLYNKSSPRGQFSSVLQLIMSEYPLDDGGDDAVNCLCELMKQYIKLKIETDVEEIYTCSCLLRRLTSRSELFLQVYNCIIPHLQAAVGETYGGDLNICFIE >CDO97175 pep chromosome:AUK_PRJEB4211_v1:2:17032931:17035054:1 gene:GSCOC_T00014434001 transcript:CDO97175 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRIIREWEIQLCSGTPELNGRAVISPGSILRSLKSSKPNPSCLARIPYLCSPFPPRPLSHSSVVLLLSLDPIFLVIILDWGG >CDO99937 pep chromosome:AUK_PRJEB4211_v1:2:7637042:7638556:1 gene:GSCOC_T00029659001 transcript:CDO99937 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGANQESHQSRTQAPQAHPFIFKQKLFYLLSVLVLFVAISLSIPKTNHYKSHQLKSTLTSHPNFLNKLLRSLHPIANQNAPNPSSISPTSPYCLLWMAPFLSGGGYSSEAWSYILSLNNYMKKNEPPRFKFRLSIEQHGDLENLEFWEGLPFGMRNLAIELYQSKCRLNETIVICHSEPGAWYPPLFQTLPCPPTGFGDVKVVIGRTMFETDRVNAEHVKRCNQMDYVWVPTEFHVRSFVQSGVDPSKVVKIVQPVDLEFFDPVKHEPLELASIRSLVLGSETKNLSMGRNFVFLSVFKWEYRKGWDVLLRSYLKEFSNADDVALYLLTNPYHSDRDFGNKIVEYVEDSDLEKPVNGWAPVYVIDAHIAQVDLPRLYKAADAFVLPSRGEGWGRPVVEAMAMSLPVIATNWSGPTEYLTEDNSYPLPVERMSEVKEGPFKGHLWSEPSVQLLQVLMRHVITNPEKAKAKGMQAREDMISRFSPDIVAQIVTESIQQIVDNMF >CDO97215 pep chromosome:AUK_PRJEB4211_v1:2:17355982:17362754:1 gene:GSCOC_T00014483001 transcript:CDO97215 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLLSIRSSHFSPSHNFNKNHDHHSHHHPLGFSTPLSLKSGQSYTIRPRDYGPKILHRSGVLVRAEDEARDLSSSSSTQQRQPQTHFEKQQLQELNSSSRECDPLCSVDETSSQDHPKTDFLKALAILAAAGTGTLAINHSWVAANQDLAMALLFGIGYVGIIFEESLAFNKSGLGLLLAVSLWVIRSIGAPSTDIAVSELSHASAEVSEIVFFLLGAMTIVEIVDAHRGFKLVTDNITTRKPKVLLWMIGLATFCLSSVLDNLTSTIVMVSLLRKLVPSSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLPTMKDLIVPSAVSLAVPLALMSLTSEVNGKGQNLPNVLSSEQTAPRGKLVFSVGIGALVSVPVFKAVTGLPPYMGMLLGLGVLWILTDAIHYGESERLKVPQALSRIDTQGVLFFLGILLSVSSLEAAGILRELANYLDANIPNVELIASAIGVVSAVIDNVPLVAATMGMYDLSSFPQDSKFWQLVAYCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYLRKVSGFAFAGYAAGIAAYLAVHNLNFSLPTLAQFPLLSHS >CDP08690 pep chromosome:AUK_PRJEB4211_v1:2:53801608:53807869:1 gene:GSCOC_T00027745001 transcript:CDP08690 gene_biotype:protein_coding transcript_biotype:protein_coding MELKQDRYVRFEDWSSERTSFDSKQQFSSTRGLLSIRKPSLGSMISSIRRGFERGSERMKSLKRSPNASRTSDQPAEDPGSREKVFDPQGAFLQQWNKIFVVASIIAVSLDPLFFYIPVIDNNRKCLDMDNNLKIIACILRSITDLFYTFHIILQFRTGFISPTSRVFGRGEMIQDLSAIVKRYLYSYFFVDILAVLPLPQVIILIISPKVNAPASLVTKDLLKIAIFAQYVPRLIRIYPLYKEVTRNSGIFTETPWAGAAFNLFLYMLASNVLGAFWYLFTIERKDKCWRIACHKQNSCDLDALYCGEKHKGNYSFLNTSCPLLEPEQIKSPNDFNFGIFLEALQSKVVEKRNFWEKLFYCFWWGLRNLSSVGQNLKTSNFTWENLFAIFISVIGLILFSLLIGNMQKYLQSITVRVEEMRVKRRDVDQWMSHRMLPENLRQRIRRYEQYKWQETRGVEEDSLIQNLPKDLRRDINRHHCWALLTRVPMFEKMDDRLLDALCDRLKPVLYTENSCIYREGDPVDEMLFLMRGSLLTTTTNGGRTGFFNSVYLKAGDFCGEELLTWALDPNSNSSLPTSTRTVLAVKDVEAFALKADDLRFVASQFRRLHSKQLQHTFRQVYS >CDP13900 pep chromosome:AUK_PRJEB4211_v1:2:4201776:4204343:-1 gene:GSCOC_T00039036001 transcript:CDP13900 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNPIKLRPLSTLFGTHVPSLLNSSIRDLVQQGRYFEALQLYAKQSYFPLCTSKFTFPALLKACASLSNLGHGIAIHATIITMGFQFDPYTAASLINMYVKCGSLCNAVQVFENGTHSTAVAQDVTFWNSMIDGFFKNGLIKEGLFQFHRMQSSGVSPDGYSLCILLRALDSNFGVRSGKEIHGYVVRKSFLYDTFVITALIDMYSNFGWPMDAWNVFERLQDKNSSIVVWNAMINGFYENGWWNDSLELYTLVKNEGYKLVASTLSTALAACSHVRYLDFGGQVHADVIKVGCEDEQYVCTSLLSMYAKCGLVEDAAKTFNSVANKGVEIWNSMISAYVGNNTAYDALVMYHQMRSGAIPSDSFTISDILVACSVMGLYDFGRAIHAEIVKRPIQNNLAVQSSLLTMYSKSGSLVNALDVFGSMERKDVVAWGSIISGHSQNRRFKEALDLFKAMESDGMKADPDIMASVINSCVGLENIDLGCSIHGFVIKRRFELDAFVGGALMEFYSKWGQPRLVKTVFSDILNKNLVVWNSLISCYCQNGLLDLSISLLPEMMQHGLYPDPVSITTVLLAISSAVVLLKGKAIHTYKMRLQILHDIQMENALIDMYMKCGSFVYAEHVFHNTSTRNLVTWNTMISGYGSHGEFPKAINFFNEMRTSGISPDGVTFLSLISSCNHSGLVNEGLKLYELMREYRVEPGMEHYINMVDLLGRAGFLDDAYGFINNMHIEADESVWLCLLSACQVHRKIELGELAAQSLFKMDPTNGSYYIPLLNLYVDAGLQDKAANLRSSMRQRGLKKTPGCSWIEVKNQVDVFFSGDSSSRKTIQIYEALQSLRSNMKRTEDSLEVEDAV >CDP13948 pep chromosome:AUK_PRJEB4211_v1:2:4557788:4559253:1 gene:GSCOC_T00039098001 transcript:CDP13948 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGWGRVLGAFNKVGLTTAPNPTTWPRPDNEKRAPQSLPNQKQPRHTRNRILVFKTITLRLYTEQRKRPSLSLYIVPQSPPFCNPHRRLSSASYISKTKKPLLLRSELANTQTLEETQNKGLVLALYEALSARDVDKVQRLVAPDLEWWFHGPPSHQFLMRLLTGNTDKDSFNFVPQSVAAFGSTVLVEGCDQARNITWVHAWTVTDGIITQVREYFNTSLTVTRFGAADNPSSSNFAQITSLHCPSVWESSLASQVGISVPGLVLAI >CDP13819 pep chromosome:AUK_PRJEB4211_v1:2:32724687:32728500:1 gene:GSCOC_T00038884001 transcript:CDP13819 gene_biotype:protein_coding transcript_biotype:protein_coding MMESRLISAFRKTKSIVNQISSNSRLSRSGVCISNEPSSLIHSKTYSSFSFDLIPASQTSIIRSLSNLGFKAFRRHYPNHKGFCRPSFRNLSTAATASTEKKDGLRLLVTAGPRAQKLVGIWLFGSAAWVFSMVVLGGMTRLTRSGLSMTDWKFTGRLPPLTDEDWLVEFEKYKQSPEYKRVNKGMSIDDFKFIYWMEYAHRMWGRALGIMFALPFSYFLRKGYITLQLGLRLSGLFALGAGQGLIGWWMVKSGLEDPASEYAEPRVSPYRLAAHLTSAFVIYSGLFWTALSVVMHEPPAESVAWVKGAAKVKRLALPVSILVGITAVSGAFVAGNDAGRAFNTFPKMGDTWVPEDIFSMKPLLRNFFENTSTVQLDHRILATTTLAAIGGLWFSTRKLDLHPAIRSLIGSIVGMAALQVTLGVSTLLSYVPVSLGTAHQAGALMLLSLMLLLNHTVRRPSMSLLKTLPPVVKTVT >CDO99845 pep chromosome:AUK_PRJEB4211_v1:2:8360288:8362580:-1 gene:GSCOC_T00029541001 transcript:CDO99845 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAARASDDQPNDNPILSFFSNFVKLLNLPPLPFLPPAPASKTKQPEEPANGAGPVAVADSAAETKPSVVKFGRPSEATLPSVKLEADEVEERNTNPVVLWQVYAIGGLLVLRWAWTRWNERKERKKPSDEDRPPAVE >CDP17285 pep chromosome:AUK_PRJEB4211_v1:2:31774444:31792475:-1 gene:GSCOC_T00009347001 transcript:CDP17285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain base (LCB) kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G23450) UniProtKB/TrEMBL;Acc:B3H501] MQKTANLSKNSSLRLTPQQSLRRMGLCSQIVTGQQTSPIVFPERRSRGKASSRADINVSDHDPAKAKGKEHRIDIGDEQSDLLGYEVFAGKLVLDKRKPSKSTDVQTSTDTSTQDAIEAKLTSKALVWGTQILSLEDVISVSYYSGLRHFTVHSYPCKKASGLSCFVKSGRSRKDFRFLASTSEEALQWVGSFADQQCYVNCLPHPLKQASDFVVNEFPPESYIKCKSPPRMLVILNPRSGRGRSSKVFHGMVEPIFKLAGFQLEVVKTNSAGHARKLAATVDFSTCPDGIICVGGDGIVNEVLNGLLSRDNQKEAISIPIGIIPAGSDNSLVWTVLGVRDPVSAAIAIVKGGLTATDVFAVEWIQGGGIHFGTTVTYFGFVSDVLELSEKYQKRFGPLRYFVAGFLKFFCLPKYNFEVEYLPASLEGTGDGKGLVDQEVIDMADLYTDIMRRSSKEGLPRASSLSSIDSIMTPSRMSGVDLDATSGSAEPSEYVRAIDPKSKRLSAGRNNTTSEPEVIHPQLPLSSTPNWPRTRSKSRTDKGWTGVTAANDTTRSSWANNAPNDKEDISSTMSDPGPIWDAEPRWDTEPNWDMENSIEFPGPKEDADVAAKREIALKSDDKWVVKKGHFLGVLVCNHSCKTVQSLSSQVVAPRAEHDDKNLDLLLVHGNGRLRLIRFFLRLQLGKHLSLPYVEYVKVKSVKVKPGKHTHKGCGIDGELFPVNGQVICSLLPDQCRLVGRTPCNT >CDP09435 pep chromosome:AUK_PRJEB4211_v1:2:22394799:22402391:-1 gene:GSCOC_T00028797001 transcript:CDP09435 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQKQAEHIDYFVKQASNQKGPALASVITEATSHPSLFAFSEILSVPTVLELQGTEHSVYLELLRLFAHGTWSEYKSVADRLPHLLPDQVLKLKQLTVLTLAETNKVLPYEGLMQELDVSNVRELEDFLINECMYVGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMIQTLSNWLVTSDDLLVSIQEKIKWADTMSELDKKHGKEVEEKVEEVKKSLKADIDFRGHEEIYSEGGMMDYEEDRGRPKRRRHPMG >CDP05300 pep chromosome:AUK_PRJEB4211_v1:2:3136320:3139920:-1 gene:GSCOC_T00020296001 transcript:CDP05300 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAYCIFCGCVGQANVGVVEKWGRFLKLAQPGLHFFNPFAGECLAGIISTRISSLDVKIETKTKDNVFVQMHCSILYRVIGSNADDAFYELQNPREQIQAYVFDVVRAHVPKMNLDELFEQKGDVAQAVLEELEKVMGAYGYNIEQILMVDIIPDPSVRKAMNEINAAQRQQLASVYKGEAEKILQIKKAEADAEAKYLGGVGVAKQRQAITDGLRENILNFSHKIEGTSAKEVMDLIMVTQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGEQIRNGLMEASSAQICAE >CDO97173 pep chromosome:AUK_PRJEB4211_v1:2:17024321:17026036:1 gene:GSCOC_T00014430001 transcript:CDO97173 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNWNPRLAAILPCASVCLCVRGTPGFNARWNFGTRSSIAECITYPRCFPCSGCCTQDACSKHISESLKRCGISESSTYILVARFSASADEMTAIEKLIEGREIDLDDLEGNANQSQIQKHYKISSLELEISSLAEAITCRIAARDAL >CDO99706 pep chromosome:AUK_PRJEB4211_v1:2:9591791:9594215:-1 gene:GSCOC_T00029379001 transcript:CDO99706 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNSPRVGFLQPLNNNLEEEVGQEESSIPKILLSKGLNVVGRNCIPVTDKRLSRKHLSINVASDGSSEVSVEGTNPVVLRTKGERKKLLSGEKWKIEDGDIIELIPGHYFFKYVASGGEQNEKLMSNKQKRCWDEESSYSKDRVRNKKRINGESELEPLAEQSKKKRFENDGKETDKSSEGVRQFNVPNSKLPSTFQLLRVQGLPAWANTNTVSINDVIQGNVILAILSNYMVDIDWLLSGKFYLH >CDO96870 pep chromosome:AUK_PRJEB4211_v1:2:14177688:14179490:1 gene:GSCOC_T00014033001 transcript:CDO96870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18840 [Source:Projected from Arabidopsis thaliana (AT4G18840) UniProtKB/Swiss-Prot;Acc:O49399] MATSISELHQAHAYMLKTGLFQQPFAASRLMTAAASSSIDSLSYAHTIFTQTPQPNTYMYNTLIRGYATSPTPNVALFLFLKLLCDDQDLLPDKYTYTFVLKACASLCRVKHGKQIHGCVIKNGLSWDVYICNTLLHMYAKCGCFEAARHMLDRMPNRDVVSWNAVLSVYVEMGLVDLAFDFFSEMPVKNLESWNFMLSGYANSGLLDEARRVFDEMSVKDVVSWNALITGYANSGRYNEVLELFDDMQRARVKPDNHTLVTLLSACAGIGALEQGKWVHAYMDRNGIEANGFLATALVDMYSKCGCIEKAVEVFDSASRKDVSTWNAMITGFSVHGFGEQALKVFSEMVENGFKPNDVTFVSLLSACSRAGLLFESHEIFDNMFSIYGIKPKIEHYGCLVDLLGRFGLLKEAEELVEKMPQKDVLIIWESLLSACRNHGNVELAEHIAGKLLELNPQDNAGYVQLSNIHASKGRWSDVVDIRRKMREKLVSKKPGGSVIEVNGVVHEFLAGEGMI >CDO96983 pep chromosome:AUK_PRJEB4211_v1:2:15528221:15533588:1 gene:GSCOC_T00014188001 transcript:CDO96983 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGQWYIIKNFFDGYGYHGTSFEQTYRCYPASFIDKPQIENGDKIIMPPSALDRLASLHIDYPMLFELRNAATERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLEISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPEKPTPSPASSNALGGPEAPEAQPKFNPFSGVGRRLDGKPMKYQPSPVSSSGSNDKRPEVSSGAQPSAGSSSQGASRQSQGKLVFGSNQNRTRETRKEPSKETKPEEPEKKEEPKFQAFTGKKYSLKG >CDP13912 pep chromosome:AUK_PRJEB4211_v1:2:4293608:4297333:1 gene:GSCOC_T00039051001 transcript:CDP13912 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSVVANGGGLSSARVSTLSNGGDNGSKLVSFQLSPACSLEIQKGDITQWSIDGASDAIVNPANELMLGGGGADGAIHRAAGPELRDACYQVPEVRPGVRCPTGEARITPGFRLPASHVIHTVGPIYDVDGNPEASLANAYRNSLRVAKENNIQYIAFPAISCGVFRYPSDEAAFVAISTVRECADGLKEVHFVLFSDEIYNAWSKAARELLSNS >CDP04929 pep chromosome:AUK_PRJEB4211_v1:2:211157:213766:1 gene:GSCOC_T00019799001 transcript:CDP04929 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLKARHTLSSGTNSHNERLKQDRPSIVFPALTSTKPKRRLHSTRNSSSSLHLLQEAVLTKCSSGSQEEDELAGSFNPGWMDKIHHCPVYHPSMDEFDDPFVYLQKIAPEASKYGICKVVSPLISSIPAGVVLMKEKKGFKFTTQVQPLRLAKWDNDDKISFHFRGRNYTLRNFESMANQEAARKYCVSGCLPSAYLEREFWNQMEKGKRGTVEYAINVDGSAFSRSSGDPLSGSKWNLKELPRLHWCTLRLLENAIPGVTDPMLYIGMLFSMFAWHHPNFIFSCSINYHHCGAPKTWYGVPSNAALQFENVVQHCVYDRLLSVDGEDGAFNVLAEKTTMFPPKILLQHGVPVYKAVQMPGEFVITFPRAYHAGFSHGFNCGEAVNFAAADWYPFGAEASHRYARLRKMPVIPYEELLCKEAMLVSEYEIKENADLVPLRCLKISFACLLRLHQYARWRIKKSRPSVNIHPKSQGTIFCILCRRECYLGHLILICKCPCGSSRNLFIRDDMREMEDVAKMFEREKGIHREVERQMRRWLLSVEDGR >CDO99660 pep chromosome:AUK_PRJEB4211_v1:2:10143899:10144772:1 gene:GSCOC_T00029320001 transcript:CDO99660 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCELCNSTAKMYCDSDQASLCWDCDARVHTANFLVAKHLRTLLCHACQSPTPWTASGPKLGPTVSVCQACANRTSSSIDGDHDPENNRDDSDEDDDADSEDEDDQDDNDDGDSTDDSGDEDDGDNQVVPLSPTPLPPPLISSSSSSEDSSRRSSHRRDGGVSSSRIGYFSNHSNENGRFSCDSIERSRQKGNYRISSPTSWV >CDO99562 pep chromosome:AUK_PRJEB4211_v1:2:11349311:11349688:-1 gene:GSCOC_T00029193001 transcript:CDO99562 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARDIRDQLKRLLERVEIALTSNLNDLEAIKKAITSGFFPNSAVMQKNGLYRTVKLPQTVYIHPSSGLLQAPPSWVIYHELVLTSKEYMRQVTELKPEWLVEIAPHYFQLEDVAYPDSKKAAV >CDP05134 pep chromosome:AUK_PRJEB4211_v1:2:1865424:1866461:-1 gene:GSCOC_T00020078001 transcript:CDP05134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAR DEFICIENT 4 [Source:Projected from Arabidopsis thaliana (AT5G52810) UniProtKB/Swiss-Prot;Acc:Q9FLY0] MAAKQYQVDLTSTITPPIYISTQTLHSLLTHKSLITHLQSALPTVSSTIQSPVRQAHQTSPSSSLLLMPSWSLSPALPYIGVKLVTFHPNNCTLNLRGVHASYVLFHSLTGQPLASIDATELTVYRTSCVSALASQYLSRKDSETFVMIGAGSLAPHLIKAHLTVRPSLKRVIIWNRSFEKAKTLVEKLNGEGRLEGVCLEASNASLEEVVRLGDIVSCATNSETPLVKGVELKVGAHLDLVGSFQHSMRECDDEAIRRGRVFIDNEAALVEAGELVGAFQRGVITRDDIVGDLVELINGEKEIGRRDSEEITVFKSVGSAVVDLLSAQLVYETRINNQNSSLHV >CDO97161 pep chromosome:AUK_PRJEB4211_v1:2:16923171:16925164:1 gene:GSCOC_T00014416001 transcript:CDO97161 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTDLKVKLNFKFKLVKHLLILLLLYHQDSETEISTLIIRQFRETSPSSEICGGDSGIELMESISSTTTKKEESSRRNRQELKTKTCMPSAAEIEEFFAVAEKRQQKQFAEKYNYDIVKDVPLEGRYEWVRLKP >CDP16545 pep chromosome:AUK_PRJEB4211_v1:2:42619299:42626792:1 gene:GSCOC_T00018928001 transcript:CDP16545 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQQRPLLIPSPRTPGAPELPYTPAYADQLKSISENPKPSSGTGMDINSQVDNLSLPDNITLNSSSQRSNSSYQSRASGRNSMREVSFAGNSVRELNSGELGKKPMRYGSRAESEGFSMSQKEINDEDARFVYINDPVKTNERFEFARNSIRTAKYSIITFLPRNVFEQFHRVAYIYFLVIAILNQLPQLAVFGRGVSVLPLAFVLSVTAVKDAYEDFRRHRSDKIENNRLAWVLVNDNFQQKKWKDIQVGEIIKISANDSLPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETQMKNPEKEKISGLIKCEKPNRNIYGFQANMEIDGKRVSLGPSNIVLRGCELKNTTWAIGVAVYAGRETKAMLNSSGAPSKRSRLETQMNREIIILSFFLVALCTIVSVCAGVWLRRHKDELDNMPFYRKKDYSEVEADGNYDDYNYYGYGLEIFFTFLMSVIVFQVMIPISLYISMELVRVGQAYFMIRDTNMYDASSNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFHCASISGVDYNGGTAIDEDEQVGYSTQVDGQVLRPKMKVKVDPQLLSIAKSGKQADQESGVRDFFLALAACNTIVPLTTETADPAVRLVDYQGESPDEQALVYAAAAYGFMLIERTSGHIVIDVQGETHRFNVLGLHEFDSDRKRMSVILGCPDNSVKVFVKGADTSMFSVIDKSLNLDILGATEAHLHSYSSVGLRTLVIGMRELSASEFEQWQSSYETASTALIGRAALLRKVASNVESNLRILGASGIEDKLQQGVPEAIESLRMAGIKVWVLTGDKQETAISIGYSSKLLTTQMTQIVINCKSKESCRKSLDDALIVSQKLVPDSVAAHATGGSSEASPLALIIDGTSLVHILDSELEEQLFQLASRCNVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRISYMILYNFYRNAVLVFVLFWYALFTSYTLTTAMTDWSSMLYSIIYTAVPTIVVGILDKDLSRRTLLKYPQLYGAGQREEGYNTTLFWVTMMDTVWQSAAIFFLPVLAYWRSTVDISGLGDLWTLAVVIVVNLHLAMDVLRWYWITHAAIWGSIVATFICVLIIDCLPSLFGYWAFFKIAGSALFWLCLLGITVAALLPRFIVKVFSQYYRPDDILIAREADKFGNLTALRNGEIELNPIFDPPRR >CDO97696 pep chromosome:AUK_PRJEB4211_v1:2:21107467:21111729:1 gene:GSCOC_T00015107001 transcript:CDO97696 gene_biotype:protein_coding transcript_biotype:protein_coding MWATLTCNSIRFLRYRLTSLPPVSTSASYSRLLTRFNFVVFMEPHHNSFSQSSSSSTTFSHSSCSGGRGRGLDSMKDNATRERHRGRGGGSGNGGGKDKIDALGRLLTRVLRHMASELNLNMRNDGFVKVDDLLKLSLKTFTNVPLRSHTVDEVREAVRRDNKQRFSLVEENGELWIRANQGHTITTVETESLLKPILSADEVPVCVHGTYKRNLESILEQGLKRMKRVHVHFSCGLPTDGEVISGMRRGVNILIFLDVRKALEEGMKLYISDNKVILTEGFEGVVPAKYFQKIESWPDRKPISF >CDO96748 pep chromosome:AUK_PRJEB4211_v1:2:12628894:12630734:1 gene:GSCOC_T00013863001 transcript:CDO96748 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVFKWKYTSYNDFIIWLDKLILTRPLKMVKSRKNAVNIFLYDSASPSSFLRTFCSFVLLALLSSPQHAIGCYTSIFAFGDSLTDAGNYLELYPHLVPHHKPPHFFFPPNGETYFHYPTGRCCDGRLMIDFFAEYFGLPLLPTYFGGKNNESNRNFDSGVNFAVVGPPVLNISFYEQKGLVYSWPYSMKDQLNWFKDLLPILCRSASKFFPDY >CDP14038 pep chromosome:AUK_PRJEB4211_v1:2:5301614:5307741:-1 gene:GSCOC_T00039212001 transcript:CDP14038 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHLQQLDPSCNELIGRMPSQLGSGQNLVPADSSRNNLTGSIPPFLANLSSLDNFVLRYDNLEGSIPQDLGRLVQLKNYCFKQSSLFVNQLEGGLPPDLGITLQRLQALGVAANSFIGPLPSTLLNESLLFLLECTNNYFTGHLPTNLGSLTRLRGFADGDNNLESTLAILTSLTNCTNLQSLVLGYNPLRGHLAEPISNFSTKLITSIPGFNEIRGFIPSGISKLTNILVVNLSSNHFKGSIPDFLSNYVLTYKSFVAEYEAPRVIRRRNIVKILIAQKFNLKGNYYLALFVEFMSNGSLEENDCQINRPCPCPTELKHFPEANIAIDVASAVDYLHNQCQNPIVHFDPKPSDILLDDNMCAYISDFGLARMLAAPTFETSLVSLEGTLGYTPPEYDLGETPFGLAFFGIILLELFTERRPADGYFAGDCDLHDFVKIALPELIVEILDPSLLSEQWKFEQWKFWTNHYCHQLKVLKQGMMHECLASILRICINCSSNDSNECLKRE >CDP17791 pep chromosome:AUK_PRJEB4211_v1:2:51311977:51317309:1 gene:GSCOC_T00003914001 transcript:CDP17791 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAPSSTSSTGKANHPYQQKNQHRQDEKSHRSIFELPADFFDSCRFLQSPSSSIIVPADDDAAHDGFSRNQEDERLESNISENTNAIGGRGSMERWSCNTCKAEFESLQDQRSHFKSDIHRLNIKLSIAGKGTVKEEDFNETTSDSLCKDYDVSSISGSSEEDEQETGRNMGLHGRLVGVLKHKLFLQLQNGERVSFWKCLLLNESENIVFEIDKSIAADFGECFLTEKDVTEKLKYVIHEPRDATRLRVVLLARGGHFAGCVFDGNSIVAHKTFHRYVVRAKSGKRQSSKDASGKTVHSAGASLRRYNELALKKEIQELLAAWKPYFSVSSCVFLYAPSSNYQLFFDGERPNFNCQHHVIRNIPLTVRRPTLKEARRIYNLLTQVSSENDEQIKSSTPTNTRKEAYLPDSLASDGQFESMKMDTGENLDNQAITDICGVINKLENVHVSSSPESESEIVCASTPLHEAAKTGNSQEVLELLEQGLDPCIKDELGRTPYMLAIEKEVRNTFRRFMALNLDKWDWHAAKVPSALTKEMEESQAIKQVEKDAKRKARAKELKKLRKAREKKAQAEAAQSQNASPMLQNHGSVPISSLKGQFESSSLSKEERLKRAQDAEREKRAAAAERRIAAAAALRPQGTGSLASSSQMKVTETSDTVCSCCHVSLAGIVPFHRYNYKYCSASCMHVHKEILDDG >CDP04997 pep chromosome:AUK_PRJEB4211_v1:2:747770:749155:-1 gene:GSCOC_T00019892001 transcript:CDP04997 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP21 [Source:Projected from Arabidopsis thaliana (AT4G27670) UniProtKB/TrEMBL;Acc:A0A178UVU5] MATKILACSSSPLARHNNPAPAAPAARSSRLATAPSGSVFFPSPAPFRVKSPSRLSLVRVQANGDHKDAALDVQHVSSQPKNQDTAVQRRPRRLAPVDISPFGFLDPLSPKRTMRQLLDTMDRLFDDAVTFPGMGINEGAAGGQVRSPWDIHEDEREIKMRFDMPGLSKEDVKVSVEDDDILVIRGERSKEDRKDDDAWTRRSYSSYDTRLRLPQESEPDKVKAELKDGVLYISIPKRQVERKVVDVEIK >CDP17805 pep chromosome:AUK_PRJEB4211_v1:2:51125320:51128363:-1 gene:GSCOC_T00003932001 transcript:CDP17805 gene_biotype:protein_coding transcript_biotype:protein_coding MINVASTAAADTAAAGYINHTVGGAAGWSFDTTTSKSSADYSAWAAKQTFNLGDYLMFNTNSNQTVIQTYNETTYRNCSMDDALDTDTFQYEGGSNSFGKPLTIAVPLTIEGPQFYFSDADDGFPCLHGMAFEIKVGHGLGLPPNLNQPPPPPYVEPPSTVEEGQSPPVTIISSPPSDGTRNGVHFPLMVLMVSLVLYLYEEPSS >CDO96977 pep chromosome:AUK_PRJEB4211_v1:2:15463564:15465783:1 gene:GSCOC_T00014179001 transcript:CDO96977 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVATAAAAAAAASQKLSPLTTPPHDKPFCSSFSKTLLKPCHPVTHTRKVHWTGVSAASKNPISDVISDVSDDYDDKPREECGVVGIYGDPEASRMCYLALHALQHRGQEGAGIVSVHDNVLKSITGVGLVSDVFNESKLSQLPGDMAIGHVRYSTAGASMLKNVQPFVAGYRFGSVGVAHNGNLVNYQSLRARLEENGSIFNTSSDTEVVLHLIAISKERPFFMRIVEACRELEGAYSMVFLTEDKLVAVRDPYGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVMPGEVLVVDKDGVGPLCLMSHPEPKSCIFEHIYFALPNSVVFGKSVYESRRAFGEILATVFPVDCDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQRIRDFGVKLKLSPVKAVLEGKRVVVVDDSIVRGTTSSKIVRLIKEAGAKEVHMRIASPPIIASCYYGVDTPSAEELISNRMSVEEIREFIGSDSLAFLPIDSLKEHLREDAPNFCYACFSGKYPVLPRGKVKRVGDFLDDGLSGSLESIDGGWLSGTRN >CDP08634 pep chromosome:AUK_PRJEB4211_v1:2:54251148:54255772:-1 gene:GSCOC_T00027665001 transcript:CDP08634 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLPFKNAGSRVVGEEQQGEGEEQGCFGSCNPIIPTPAIYLLLLLFTLGLGFSIFILVVVHNALFFLVLLCFSALLAAFLLWNSLNNSGSSCTSRRNGALLSYLHFFPDSDLSLAAPGQLVKLTGVASCGSVSLESSYEKVNQCIYTSTLLYEFEEPGLKPIDDMKSCFQWRLAYSERYSTDFYITDRRSGIRALVKAGTGCKVIPLIIESRLVKTTRKCKVLSSTLGKWLIERNLSAEARLLRLEEGYIKEGSSVSVIGMCQRQNDTVMIVQPQETLSTGCLWRKLLLPVDVDGLLFGAPNMADPASH >CDP16429 pep chromosome:AUK_PRJEB4211_v1:2:34975020:34977815:-1 gene:GSCOC_T00018315001 transcript:CDP16429 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEVSNSGGNKEKKKIDQPLPLKRSSQIQNQVKEQRRKGGGASVWSCVLRKCKIKRDPKG >CDP08652 pep chromosome:AUK_PRJEB4211_v1:2:54112301:54115412:-1 gene:GSCOC_T00027691001 transcript:CDP08652 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSATAPWKQLLLNALQSNSHLKHSSYFQLATVGNNGRPSNRTVVFRGFQDDSDKIQMNTDSRTRKIEDLKHCPFAEICWYFTDTWEQFRINGKVDIIDGYSADPLKLQHREKAWFASSLRSRLQYLGPTPGLPSLDEELSEKTSLDPSTGPVGAFCLLLLDPDQVDYLNLKSNERQSFTSTRTGSGEKFWTSDKVNP >CDP00036 pep chromosome:AUK_PRJEB4211_v1:2:6723174:6726103:-1 gene:GSCOC_T00029796001 transcript:CDP00036 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFKQIPLFTVLPLSLLSLFLLFRLLANHQPHRFSQLPKPFPPPPKLAYFISGTNNDGPRIFRLLQATYHPRNYYLLHLDRRASDKQRDQLARIVGSVEIFVAAGNVNVMKKSNPVNEEGSSPLALILHGAAILSRWKKDWDWFVNLAASDYPLIPQDDFLHILSYLPRELNFIEHETNITMQEYQRITDVIVDPRLYLQSAGRMFMGSEKRTIPNAFRFLTGSPHVILNHKLVQFALLGWENFPRILLLYFSNTRLSHKAYFQTLACNSEFSRTVINSNLRYTDCNFPCSESRQSGRPMDFGRMLASGAAFAGNFSVNDPIMDVIDSVVLNRGKGMPAPGAWCLGRSWSGRVSCSEWGDVDILRPGPAARRFKLLLLKLMKSKSFRSDFT >CDO97641 pep chromosome:AUK_PRJEB4211_v1:2:20620308:20627583:1 gene:GSCOC_T00015041001 transcript:CDO97641 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFNFVSLMPDPKARLYLCSLFVTASLICCVYVIGTAFLAKDDIIFSGFGMNDTQHDAQVDKCKEKCRPLGSEALPDGIVSRTSNLEMRPLWGKINEKTKVKHSVNLLAIPVGIKQKELVNQMVEKFLGNNFVVMLFHYDGIVDEWNDLDWSGRVIHVAATNQTKWWFAKRFLHPDIVSEYDYIFLWDEDLGVENFHPGRYLSIVKEEDLEISQPALDAAKSKVHHQITARRRKSRVHRRFFKFKGGGRCFSNSTAPPCVGWVEMMAPVFSRAAWRCAWYMIQNDLIHGWGLDMQLGYCAQASCCN >CDP04934 pep chromosome:AUK_PRJEB4211_v1:2:233713:236363:-1 gene:GSCOC_T00019804001 transcript:CDP04934 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLVRSVLTRPSSSSSNLVGSGRRSLTGGGAVTSEIITTHTEKWMQDTTQKSPMQLINEVPPIKVEGRIVACEGDSNPALGHPIEFICLDKEEPAVCKYCGLRYVQDHGSHHH >CDP07808 pep chromosome:AUK_PRJEB4211_v1:2:26767159:26774101:1 gene:GSCOC_T00025198001 transcript:CDP07808 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAFHQAKLALDSLEVPVGCVIVDEGNNIIACGRNRTTESRNVMRHAKMEAIDVLLDYTRRERFVKLIKLILSFVLEITRTDRSTNLTVKSNRMISRLNFIHFMADRIIWSHPLCHMRAVHYVCCSLIIAWYKTSGNPNAPRPHRPPSQLT >CDP05016 pep chromosome:AUK_PRJEB4211_v1:2:886383:890930:1 gene:GSCOC_T00019918001 transcript:CDP05016 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSTEMKFVQDLILYAASAALSCLVLFTGLRLLDPNREASKKALEHKKEISKRLGRPLIQTNPYEDVIACDVINPNHIDVEFDSIGGLEAIKEALFELVILPLRRPELFARGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRKTTDHEALTNMKTEFMALWDGFTTDHNARVMVLAATNRPSELDEAILRRLPQAFEIGMPDRRERAEILKVILKGEKVEDNIDFDRIAGLCDGYTGSDLLELCKKAAYFPIRDLLDAEKGGSSSLEARPLSQSDLERVISTTTKTKVAATEYTRFNSQSSGWSRHADPDYVQVAISELSKLVTSQILNIQPDDAEDP >CDP09404 pep chromosome:AUK_PRJEB4211_v1:2:22795143:22798574:-1 gene:GSCOC_T00028752001 transcript:CDP09404 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDELEAALSNAAMATDKTVIITIVNKAYVEPHNDQYPTMLDLFLEGFWVGEETRSLLDHLLVVAMDQTAYDRCSFQRLNCYRLLTDGVDFTEEKLYMSQDFIKMMWTRTRFLLDVLKRGYNFIFTDTDVIWLRNPFPRLRFNGTDDLQISTDNFNGNPRSQKNPINTGFYHIRANNKTITLFQIWYATRLNSTGLKEQDVLGNLIYKRVATELGLNLRFLDTLYFSGFCRDSKDVRSVVTVHANCCRSISAKVADLTAVLKDWKRFKQSKLGASTAKRMAAQNTTNAVFKFKWSNHIACFNSWRKPSAN >CDO97571 pep chromosome:AUK_PRJEB4211_v1:2:20049803:20053262:-1 gene:GSCOC_T00014953001 transcript:CDO97571 gene_biotype:protein_coding transcript_biotype:protein_coding MNATASTTSSVAPTSLAVSEGKRNTDSPPTDDCCPICFGPFVVPCRASCGHWYCGGCILQYWDYGAALQPCNCPMCSRKITNLTPEASLVLQQQVEVAEVLKNVQKYNRLFVGGIYGFILKLLELPLYVRRMFQDMMNPDRPGAHLNKLRILAMLMGLLYTVSPFDFLRIGRQNVIDVFDYSAMALSFILYLVGLYLRRRRFQRVREMAAIQL >CDO97234 pep chromosome:AUK_PRJEB4211_v1:2:17499769:17499960:-1 gene:GSCOC_T00014504001 transcript:CDO97234 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEACLFAMHLASASVLPMVLKLAIELDLLELIATAWIAVLAFAGTAKNLTLGNLLVALEE >CDP05028 pep chromosome:AUK_PRJEB4211_v1:2:980372:984183:-1 gene:GSCOC_T00019936001 transcript:CDP05028 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGEKPKLTSSSIYWVSCTDSPEFAHLSWCLTHSTVVGIDAEWKPHRSRQSTFPTVSLLQIACRLFPDSNESPVFLLDLSGCDPGFDRVEPFLDITSVYSHLQHKQSSGRKVPKQSKSLATICQEVLGVSLSKELQCSDWSHRPLSEEQKAYAAADAQCLLDIFNVFQAKVEKEVAGNSFHRSSNFNLGLKQILEISNCCQTVLRKNVSEASNVIRATMPEFPGRIPAIEAKASEKSSGFTKEMDVILLRIARKYGDKILLKDSDRKPKMSKKGKRSSAGMTYKERLVQSVDDWQGAPPWDLSVGGDGCPKFLCDVMVEGLAKHLRCVGIDAAIPHSKNPGTRDLIDQANKEKRVLLTRDAKLLTHNYLIKHQIYMVKSLLKNQQLLEVIETFQLKICEDQLMSRCTKCNGKFIQKPLTTEEAVEAAKGFQVIPNCLFNKNLEFWQCMDCNQLYWEGTQYHNAVQKFINVCNLNE >CDP16354 pep chromosome:AUK_PRJEB4211_v1:2:28390881:28395161:1 gene:GSCOC_T00018184001 transcript:CDP16354 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTLIFISSLLAFLLYSIHKKAGNPSKSLPPGPKPWPIVGNLPHLGTKPHHSLAAMAKTYGPLMHLRLGFVHVVVAASASVAAQFLKTHDANFSSRPPNSGAKHIAYNYQDLVFAPYGPRWRLLRKICSIHLFSAKALDDFHLVRQEEVGTLARALLASAGKTPVNLGQLLNVCTTNALGRVMLGRRVFGDGSGGGDPKADEFKSMVVELMVLAGVFNLGDFIPILDRLDLQGVAGKMKKLHARFDAFLNTILEEHKINGSSGMEKHVDLLSTLISLKDNVDGEGGTLTDTEIKALLLDLFTAGTDTSSSTVEWAIAELIRNPKLLAQAQQELDAVIGSNRLVTDADLPKLTFIQAIVKEAFRLHPSTPLSLPRMATENCEINGYFIPKGSTLLVNVWAIARDPDIWADPLEFRPERFLPGGEKPNVDVRGNDFEVIPFGAGRRICAGMSLGVRMVQLLTATLIHAFDWDLPNGQGAEKLNMEEAYGLTLQRASPLMVHPKPRLAPYIY >CDP07737 pep chromosome:AUK_PRJEB4211_v1:2:25568430:25569450:-1 gene:GSCOC_T00025089001 transcript:CDP07737 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSDFHNAEYLSDGKIVFYTGHADSFDAFIDAVIATSLSHEVGHGLAHHKAELFMRGLWLHVLLVSPFLRHVGMLPIGLLLALIYSFLSRRDELEADHIGMMLMAAAGYNPRYAPMVRWWHSLYGSDENAFSTHPSCERRAKILAQDEMVKQAMDVYKKVKAGQRFSFFN >CDP04976 pep chromosome:AUK_PRJEB4211_v1:2:529881:534234:1 gene:GSCOC_T00019860001 transcript:CDP04976 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVLPVKQLPVGYRFRPTDEELIDHYLRLKINGLDKDVSIIREVDICKKEPWDLPDLSPIKSYDNEWFFFCPKDRKYQNGQRLNRATMKGYWKATGKDRNIRARKGTKIGMKKTLVFYIGRAPDGKRTNWVIHEYRATNKELDGTHPGQGSFVLCRLFKKHELKQDETADGSNLVEVETIVPSPTTEKSPLEDGNSEALTPVAGSQAEVHPSSAESCPAKGSDGAIVVNPLPTSWQNDCSLSAEEKGQVIDPMCIQSDPDLIESLRDIFDDPIPEALDWKIFSPLHSQVQLELGSGYMCNPVSNDINCDAKSMASQYGTNTYDVNKFLNSILVNSDEYSYEESCINAISTVECGTPGDTKPMNGAFVRDGGSCSDSEAEVTQGQFEAGFFESELFMGNIEGYGTLQMANMEQDAHRADVSIDNQEGKLNLAGNNHLGHNAYAAVFNGSVVPDLLSTEVPGVHNNDTGTDSTSGTGIKLRSRQFQSFSSNPTFAAHGIAPRRLRLQNKLQIGPVQCSLLRESVCTEEIDDGQSAVTEDEKATKRNTDAAYTEEKGDVLLKKCNKNVGGSTVGVRESRGEFLSTCIKNCVRAPSKEDWLHVVPSPMFMRKIFVIITLLVVFIGIWQFFGVQK >CDO97271 pep chromosome:AUK_PRJEB4211_v1:2:17755493:17760814:1 gene:GSCOC_T00014550001 transcript:CDO97271 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSAILSRLSTATVLDKREYLGSSIGLRKSSFFPDPKLVFASPRKPKTNSVRRCCYRAPVAKSLDHIPKRFREENLKDGLMDNYKNTPQYLYGLTPSQMDMFMTEDNPVRRQAERVTEESISSACNYLNNGGMWSMSGMDERGPSKYSMSVSMYRGGARGYGRPRTAPPDLPSLLLDARIVYLGMPIVPAVTELLVAQFMWLDYDNPSKPIYLYINSSGTQNEKMETVGSETEAYAIADTMAYCKSDVYTVNCGMAYGQAAMLLALGTKGYRAVQPNCSTKLYLPKVSRSSGAVIDMWIKAKELDANTEYYIELLAKGIGKPKEEIEKDVQRPKYFQAQEAIDYGIADKIIDSRDVAFDKRNYEEMLAQSKAMRRTAGAGPQAAPSGLR >CDP00084 pep chromosome:AUK_PRJEB4211_v1:2:6310961:6312744:-1 gene:GSCOC_T00029874001 transcript:CDP00084 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSTAVSPIVSLLVRILTFVCLLISVIIILITNTYTQSSDFGDVKTKFTDFRAYRYLLGAIVIGLAYTLFQTALTIFLVSTGNRIGGDGMLQLDFYGDKVISYVLATGAAASFGMTQDLKTLVDRSDSGVDKFLSKADAATSLCLLGFLFAAISSIFSAFSLPKRA >CDP08583 pep chromosome:AUK_PRJEB4211_v1:2:39968300:39994067:-1 gene:GSCOC_T00027576001 transcript:CDP08583 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFMAVLEADLRALSAESRRRYPAVKDAAEHAILKLRSLSSPSEIAHNEDILRIFLMACEVRNVKLSVIGLSCLQKLISHDAVAPSALKEILATLKDHGEMADDSIQLKTLQTILIIFQSRLQPDIEVIVDNSTLFMSYLKLCLCLAAVYVCQSPESHASIDFPTIIFIYFNSLLLYCENMAQSLGICLRLLDSSRSSDSVRNTAAATFRQAVALIFDHVVCAESLPTGKGGSGGYISRASSVTSDVNRNLNRLEALELELVSGGPSLMRDTLTNSGKLGLRLLEDLTALAAGGSGIWLRVGSIQRTFALDILENFVTSINECFNILNMNSNSLSSNCQCTLIFNFLMPWFFRFILSNYVVLFRVLIPYDQVLRHQICSLLMTSLRTNSELDGETGEPYFRRLVLRSVAHIIRLYSSSLITESEVFLSMLIRVISLDLPLWHRILVLEILRGFCVEVHTLRILFQNFDMHPKNTNIVEDMVKALARVVSNVQFQDTSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHSITLAIEGLLGVVFTVATLTDEAVDVGEIESPRYDSDSPEKCTGKTATLCISMVDSMWLTILDALSLILTKSQGEAIVLEILKGYQAFTQACGVLRAVEPLNSFLASLCKFTINIPNEVEKKSLIQSPGSKRSEVVVDLRENIVLTPKNVQALRTLFNIAHRLHNFLGPSWVLVLETLAALDRAIHSPHASTQEVSTAVPRLTRDSSGSGQYSDFHILSSLNSQLFESSALMHVSAVKSLLSALRQLSHQYMFATSGSLAQASNQKFGTISFAVERMLTILVNNLHRVEPLWDEVVGHFLELSNSSSQHLRNMALDAMDKSICAVLGSELFQGKKHGGFDNTQTKFRDLRTLECAVISPLDTLYSSSKSFDIRAGSLRILLHVLERHGEKLCYSWQNILETLRSVALASEKDLIALGFQSLRVIMNDGLSTIPADCLHVCIDVAGAYSAQKNELNISLTAIGLLWTSTDFIVKGASYGPQREKETDQINSEKNEQILNSSYPFDDQLLMSIVVRDKLLFSVFSLLQKLGDDERPEVRNSAIRTLFQILGSHGQKLSKSMWEDCLWKYVFPTLDRVSHMAATSSRDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVLGGIARILRSFFPFLRDLKNFQSGWETLLIFVKNSILNGSKEVALAAINCLQSTVISHSPKGNLPMPYLRSVLDVYELVIQKSPNCSDSASSKVKQEILHGLGEVYVQAQAMFDTDMYKQLLSVIDTAIKEAKFASDNFEAEYGNVPPVQRTALEILPLLRPARHLSAMWLLLIGKLLEYLPKPSLGIENKDDDLESTESKDQNHAHKSIKEVPNGIASTPQKKGQASPNGLESVTSSSYLFAEKVVPVLVDLFLQAPAAEKYNIYVETIQGLGRCMATRRDNPDGSLWRLAVDGFNNILVDDVIKVTVDSSDLTFPRTARIRVWKEVADVYEIFLVSHCGRALPSSALSVAVLKADESLEMNVLDILGDKILKSQIDAPIDILQRLITTLDRCASRTCSLPVETVELMPSYCSHFSLTCLQKIFSLCSYHHETVDWNLSRSEVSKVSVVILMARCEFILNKFLTDENDIGERPLPHARVEEVIFVLQELARLVIHSDTSSVLPLNHSLTDGLSGGSGGRKHLLVLFPSFCELVVSRESRVRELVQVLLRLIAAELGLQKLSLAS >CDP08555 pep chromosome:AUK_PRJEB4211_v1:2:40878581:40885600:-1 gene:GSCOC_T00027515001 transcript:CDP08555 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHFTSAIYADECTFEDPTIKFQGRDLYSRNLKLLVPFFDNPSIFLKEIKKILHSTLLEILFYFELPLKLKWKGINPKAKYIIASWKLRTHLILPWRPLILIDGTTTYDIDDQLRVIRHVESWKISALEAIGQIFTPGFLRSDK >CDP17983 pep chromosome:AUK_PRJEB4211_v1:2:43729311:43735256:1 gene:GSCOC_T00001240001 transcript:CDP17983 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPDIEKGGRDGKEGSEMPLLEKKDDSNGLYMVFLSSAIAVCGSFAYGSTVGYSSPAQSPIMEELGLSYSQYSIFGSILTIGAMIGALTCGTIADAIGRKGAMRLSSMICTAGLMAIYVAKGPNMLYLGRFLSGYSVGILSYVIPVFVGEITPNKLRGAIGSSNQLFIVAGLAASYVVGAFAGWRTLALFGLAPCLLLFFGLFLIPESPRWLAMSGQEEEFEAALRILRGPQANISEEADLIKENVTSLKLLPKVTVMHLFDKANIRAVIISVGLMAFQQFVGINGIVYYSNYIFQSAGYNPTVGSILFAVIQVIVSAGTAILIDRTGRRPLLLMSASGLLLGSLLIAMSFFLKAHELATHLVPHLAIIGVLVYIAFFSIGMGAGPWLIMSEVFTLHIKGLGGGLVTFMNWFGSWLVSYTFNFLMLWSSSGTFFLYASMCLLAIIFIFKMIPETKGRTLEEIHASLRSN >CDO99631 pep chromosome:AUK_PRJEB4211_v1:2:10542249:10549285:-1 gene:GSCOC_T00029279001 transcript:CDO99631 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN/FHY [Source:Projected from Arabidopsis thaliana (AT4G21470) UniProtKB/TrEMBL;Acc:A0A178V341] MSMARTLRKLVLGVILDLDGTLLNTDGIVNDILKVHLVKYGKQWDAGNAHRIVGKTPLEAAAAVVEDYELPMSPDVFLAEINPIFSEQWCNIKAQPGANRLINHLRGHGVPMALASNSPLENVETKIFYHQGWKESFSAIIGGDEVTNGKPSPDIFLEAARRLNIDPSSCLVIEDSLPGITAGKAAGMNVVAVPSIPKQSHLYTSADEVINSLLDLQLEKWSLPAFQDWVEGTLPINPWHIGGPVIKGFGRGSKVLGIPTANISTERYLDLLSEHPSGVYLGWAGLPTRGVFKMVMSIGWNPYFNNAEKTIEPWLLHDFTEDFYGEELHLVIVGYIRPEANFPSLESLVAKIYEDREIAERALDLPQYSKYKDDPYLTSLSPSNN >CDO97222 pep chromosome:AUK_PRJEB4211_v1:2:17396952:17404046:1 gene:GSCOC_T00014490001 transcript:CDO97222 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTSKGRTSSNARPNSPFPHYLRRIVKWQQMDIEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLVVSTSAYCAAYDHSVGHAVYVVMSVLLFHLLMTGAILATCCWFLTNNYLREEVPNSHVVEQRVEWQVLTFPLSLLAVLYAFDVHCNSFFPMFVLLYVIHYFLSPLLIAHGFIPVLLSNLLFMVAASYYHYLNFLGYDVLPFLERTTFFLYPIGVVIVLSPILILIGFNPSRYVMNMYFSQLE >CDP09420 pep chromosome:AUK_PRJEB4211_v1:2:22665657:22669574:1 gene:GSCOC_T00028777001 transcript:CDP09420 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVLLTFMQFHVFQLSNQDSCSLPPSHSLALPASRNPFSLLSIGYSFSGQLTNNSTEVWVHIDTLSAMNGISRFCENDYPWRYSKEEGILLDQLQKRNFTYLVNEHSNITGFRCLSSIHGFSTAKLRIGFPPISLAKEPKVYIHGNVENSEMLLRQWPGC >CDO97259 pep chromosome:AUK_PRJEB4211_v1:2:17671626:17675567:1 gene:GSCOC_T00014534001 transcript:CDO97259 gene_biotype:protein_coding transcript_biotype:protein_coding MRADFIRHGFKSHTAKSVCNIWRTKYCSVSHSATKPSEHYHQIQHSKPYSRFSHKAKELGLPAPAIVSSALSTSTTSSKFGLVSWYLGMVKSRPIITKSITCAFIYTAADLSSQTIVRQSSEPYDLVRTLRMAGYGMIILGPSLHFWFNFVSRVLPKRDLITTLQKIILGQAVFGPTMTVIFFSVNAALQGESGPEIVARLKRDLVPTMISGVMYWPVCDFVTFKFIPVHLQPLVSNGFSFLWNIYLTYMASQEKVGTA >CDO97065 pep chromosome:AUK_PRJEB4211_v1:2:16248401:16249483:-1 gene:GSCOC_T00014291001 transcript:CDO97065 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVALIALISLVLFAGITEARKDTGEYWQGVAARNDQALLEHLVRVDSTLSDTKKITTASDCHTSNKKDSVAEATNNKKSVFATDFEPRPNLSAYGDDAKLKKEGKTFTKDFEPRPGATFYAN >CDP05395 pep chromosome:AUK_PRJEB4211_v1:2:3858554:3859045:-1 gene:GSCOC_T00020419001 transcript:CDP05395 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIVFQFALVLLFSISCHSWAFSTGGKAGDEKPDPAEAVASSPSSSGGPSSTESPSGATGSGHGSNWDYNWGWGSSPGAGWGYGSGSGRSPNGFGRGSGFGWGSGSGSGSGYGYGFGGGGAAGGGGGYGSGSGAGGHATGSDSSGASEVRSPSTSRQKNTHA >CDP13825 pep chromosome:AUK_PRJEB4211_v1:2:32862036:32863255:1 gene:GSCOC_T00038897001 transcript:CDP13825 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGFSSSTRSVLTSHCLLGWTPTIGPKKTSKVLTIGLPIILIISLPIAISGIIYQVRIKKKFAEVLEDWEHDYGYHRFKYKDLYITTKMFRDRELLGRGDFGKVYIGVLPSSKLEVAVKRVSHDSMHGIKEFVVEIVSIGGLRHRNLVPLLGELLLVYECMPNGSLDRFRVIRGVASGLFYLHEGWEQIVIHRDVKASNVLLDSELNGRLGDFRLARLYDHGIDPQTTHVVGTLGYLAPEPTRTGKATTRTDVYTFGAFLLEIVCSRRPIEPHSPIEDDILVDRPTTRPSMRQVVLYLDRALALLDLHSLGISATRLSFASQEGFNDFNLS >CDO99780 pep chromosome:AUK_PRJEB4211_v1:2:8933840:8938052:1 gene:GSCOC_T00029470001 transcript:CDO99780 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNNLEKAGLFRKQETKGNWITIKRALQLVVEDTDTANPNDISYVFSGYAPLSIRLVQHAIRSGWRPLEDILKLLPGPHTETKRSGYVGGSYDNISSSVLNLAKVGDGRRSLVLVVFVGGVTFAEIAALRFLSSQEGMAYDLIIGTTKIINGQTLIEPFVEKLG >CDP17828 pep chromosome:AUK_PRJEB4211_v1:2:27365112:27371873:-1 gene:GSCOC_T00009449001 transcript:CDP17828 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLLMMQCYAMNENCLILPLYSGHLLHQEKPKVIHALELIAIFYLSVVNLALFCMELGMIWDICMELVKMLGFSAAVASSFPVSTDAAYVGTILTTPCAPRAVAALSLFVRITNTSSPFNLEYMYSLTTSLRSNCCSSVKAMAETGALSALTTPARGRKHALISLSDKMDMAVLGSGLQELGYTIVSTGGTASALESDGLSVTKVEDLTGFPEMLDGRVKTLHPSIHGGILARRDLEHHMEALDKHKIGTIDVVVVNLYPFYEKVSSSSGISFENAIENIDIGGPAMIRAAAKNHKDVLVVVDPEDYPALLEFLHGKQDNQQFRRKLAQKAFQHVASYDTAVSEWLWKQTSEDKFPPNMTVSLSLKSPLRYGENPHQKAAFYVDKSLAEVNCGGIATATQHHGKEMSYNNYLDADAAWNCVCEFNRPTCVIVKHTNPCGVASRDDIIEAYRLAVKADPVSAFGGIVAFNVEVDEVLAKDIREFRSPTNNETRMFYEIVVAPKYTKKGLEVLRGKSKTLRILEANKNSKGKLSLRQVVGGWLAQDSDDLVPEDIQFKSVSEKAPQESELSDAQFAWLCVKHIKSNAIVIAKDNCMLGMGSGQPNRLESFRIALRKAGDEVKGAALASDAFFPFAWNDAVEEACQSGISVIAEPGGSIRDVDAIDCCKKYGVSLLFTNVRHFRH >CDP00129 pep chromosome:AUK_PRJEB4211_v1:2:5970837:5973857:1 gene:GSCOC_T00029935001 transcript:CDP00129 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWAGNVAMNPLVSPSTPSPSLHLRNSATAEDENAAGLNRLGQQRRDQDFLDNTTTTTTTTTTNTTATNSSGSNNNPNPNPTSQNPDEEDSRENEPEAEENPGALEISEPGSGGGSSGRRPRGRPPGSKNKPKPPIVITKESPNALRSHVLEISSGNDVAECIAAFAQRRHRGVSVLSGSGIVTNVTLRQPAAPGGVITLHGRFEILSLSGAFLPAPSPPGATGLTVYLAGGQGQVVGGTVVGPLMASGPVMVIAATFTNATYERLPLEEEPAGEGIQLQAASGVNTGTITGASSASQSHVNGLFRG >CDP08879 pep chromosome:AUK_PRJEB4211_v1:2:52108725:52110540:1 gene:GSCOC_T00028003001 transcript:CDP08879 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPELDGKVKELKEFDDTKVGVRGLLDSGVEKIPRIFIRPPESHDPEANKTTGADGSNSPLPPVPTIDLQGFDIPSRRAEIVDEISQALGAWGFFQMVNHGIPTGVLDNLLRSTREFHEQPEETRMEFYSRDPARKVKYYSTGDLYLTKVAQWKDTLSCDFDDTSKIDLESFPEICRNEIGDYLNRLNGLKNLLAELLSEALGLSRGHLASLECFDIQRLLCHYYPPCPEPDLTIGIMKHSDPYILTILLQDDIGGLQILHKNRWLDVTPVEGSLLVNAGDLLQLITNDKFTSVEHRVIAKRVGPRRSVACFIYPRNKNQSKPYGPVKELLSDRNPQIYRETSFNEYIRYYASKGLDGVKALPHFHLTSADS >CDO97235 pep chromosome:AUK_PRJEB4211_v1:2:17501575:17503533:1 gene:GSCOC_T00014505001 transcript:CDO97235 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEVSDLKCSKGFELKCKCGLTAGRRVSRTRANLFRLFYNCPKSDFADQCEFFEWADDLSPTGDKHLDEINEISSECRRLQERIDLIREEHDTERATWIREREQLMSQLLSIKMELDQMKTRIKIVHESDLMPPYDEKLSNPKDEEDDPVVIQTV >CDO96746 pep chromosome:AUK_PRJEB4211_v1:2:12622208:12624410:-1 gene:GSCOC_T00013861001 transcript:CDO96746 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLAITSDGGNYNGRMTPFVVLSCMMAAMGGVIFGYDIGISGGVTSMEPFLKKFFPDVYAKMKEDTKTSNYCKFDSQLLTLFTSSLYLAGLVASFCASSLTRAFGRKASILTGGAAFLSGAALGGAAYNVYMIIFGRILLGIGVGFANQAVPLYLSEMAPAKFRGAINNSFQLSIGIGILIANLINYGTEKIKDGRGWRISLALAAVPAFILTLGALFLPETPNSILQHSNNHEKAKRILQRVRGTEDVQAEFDDLIKAGEISKTIKHPFKNIIQRRYRPQLVMSVAIPFFQQVTGINVIAFYAPILFRTIGLKESASLMSAAVTGSVGICTTFISMLVVDKVGRRFLLINGGICMFVMQILVGGVMAAKLGDHGGLSKGHAFLVLVLICLYVAGFGLSWGPLGWLIPSEIFPLEIRSAGQSINVAVNFLFTFIVGQTFLSMLCHFKYGLFFFFGGWVALMTAFVYLLLPETKNVPIEQMERVWRKHWFWNKIVEVDNEVINKTEA >CDO99636 pep chromosome:AUK_PRJEB4211_v1:2:10472009:10473327:-1 gene:GSCOC_T00029287001 transcript:CDO99636 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGKTISSLVNDPLFSTIITLCILIFLYFPTLFLSIIFSPVLISTSILLLSLLRLGAIQRTMTSQEETLKENDPVSAEFSVTHDDDHHVDRENGLGSDFCNMPTSFLTDSFVEWDVGAPLEVIYEEYEGQEDDEDDVLEGKRDAQMAVIERYASLSKFYPETDDSDSSSDEDFPAIRDWDPQENMCFRWEGEDRDGLIEIKLEGKGYSDGEEENLIEIDLSPAM >CDO97602 pep chromosome:AUK_PRJEB4211_v1:2:20291847:20294141:1 gene:GSCOC_T00014985001 transcript:CDO97602 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLLSRSSSSSSIHSFPSSSSYSASSSSSSSAAAAEGASQAAQQLPLLSLNHVSFVCKSVSRSVRFYEEVLGFVLIKRPSSFDFEGAWLFNHGIGIHLLEVTDVPSKKGKINPKDNHISFQCTNVEVIMQKLEDMNIEYVTAVVKEGGITVDQLFFHDPDGYMIEICNCQNLPVLPLSSCPLKKLPRPTSNNQLKSSFYGKPTPTVQYCCGEVEAIMMENLAVDMMNISF >CDO97221 pep chromosome:AUK_PRJEB4211_v1:2:17387529:17392867:1 gene:GSCOC_T00014489001 transcript:CDO97221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor B [Source:Projected from Arabidopsis thaliana (AT3G10220) UniProtKB/Swiss-Prot;Acc:Q67Z52] MASSLQNIQGDDSVLLRVTHSNIKTFSADVRFSLEMSVEAVKDKLWKKCGTSVDSMCLQLYDDTGAKVADIHDNTRPFGFYSPLAGYRLHVIDLDPSSVTSGGWLEDTSLVEKYTISDEAYGKRDGTFRKFKEKLAQQNPSLLEPKIADNYMEDLCTNIKVGDRCEVEPGEKRGVVKFVGRAESLAPGFWVGVQFDEPLGKHDGKQKGKRYFDCPPLHGVMVRPDKVKVGDYPERDPFEEDEI >CDO96767 pep chromosome:AUK_PRJEB4211_v1:2:12896881:12907391:-1 gene:GSCOC_T00013891001 transcript:CDO96767 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MADSCLGPSSSKPTTLFSQLSIHTSWTCPSFSLSLPSPCNFSFTAKKPLFFSSSSSSSLMSSIPNGPSNSTRFIAQNSHCSSGSSSPRNNQPSLLVFSGGTAFNGVVEELKKLTTCVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTSEALAVRTLLGYRLPLEAQVAKSEWYDIVEGQHPLWKGVSKPYRETIRAFLVYFQDQILRHADELFSFSNGSIGNFFFAGARIFFRSLDAAIFLFSRVSDIPTDSLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSKGSVQTIDKGSSSASALPARIKRMFYMSSEGCNLLHEVFPSVNPTVFEKLQSVDCIVFAMGSLFTSICPSLVLRGIGEIISSRWCPKVLLLNGTPDRETSGFTASCFVTAITDALNRTYGDPENCLKNTPNQYINTILVPKDGQIPVDVEVLTAQRIFHVVTVDSIPDPKVGVIFDPECLIEALSNLLHAPIHVK >CDO96929 pep chromosome:AUK_PRJEB4211_v1:2:15111858:15116607:-1 gene:GSCOC_T00014115001 transcript:CDO96929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-1 [Source:Projected from Arabidopsis thaliana (AT4G34960) UniProtKB/Swiss-Prot;Acc:O49605] MGREISFLLHPRSLLILVVALVLIFFAFSFPQQEEEKVEEVHEITHRVFLDVDIDKQHVGRIVIGLYGQVVPKTAKNFRALCTGKIGKAAKGKALRYKGTPFHRIIPGFMIQGGDIIYGNGRGNASIYGRTFPDENFKIKHSHAGIVSMANSGPDSNGSQFFITTVKTYWLDGEHVAFGKVIEGMDTVFAIEGGAGTYSGNPRKKVIIVDSGEIPKSRWDEDNSNAATSMSG >CDP20926 pep chromosome:AUK_PRJEB4211_v1:2:48745407:48749739:1 gene:GSCOC_T00012341001 transcript:CDP20926 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPPAGENYAHPRICFFHVLFKAAALAFYILSALFVNSFVIIFVITVLLGALDFWVVKNVSGRILVGLRWWNEINDEGESVWRFECLDQESMARINQKDSWLFWWTLYLTAVAWIFLGIFSLIRFQADYLLVVGVCLTLSIANIVGFTRCRKDARKQLQAFATQTLASRVTSTIQSAFSVV >CDP18381 pep chromosome:AUK_PRJEB4211_v1:2:43229518:43243507:1 gene:GSCOC_T00012961001 transcript:CDP18381 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMRPVHVRQASGSTTPTSNTPSSPMNSALNRHMRSGSTGNFKKPQHTKAAAQRLAQVMAHQMADDEDDEDDLLYEYNPSSLSAGIGLASSRPTRARSPMSIRNPMEQSSSLRSTSGLRASPAVNSVEKQPSSVRSTGNIRSSHSNSLEQIPSSHSLVAGRSTQSNSLEQIPSNYSVVSGRASQSASSVDEAQPPSASNNSAVSRTSLSNGVEQPLSARSHSRPNLGVKTVPMVPPAVPLSLRSNVSAVPAEVQPESHKDKRLSMDFGTFKYKEAGQQLSSSSDLQDQIDMLQEENESLSEKVRLAEERCDEAEARVKQLEKQIANLGEGTSLEAHLLSNLEALACQVNAALKVAAQYAGQGEIEALRTEAELLRTMTHRMILTQEEMEEVVLKRCWLARYWSLCVQYGIHAELAPARHKYWSSFAPLPNEVVLATGERAKNENASVNNDVEERDKVIKDNHELSSEGSVESMLFVEKGLRELTSLKVEEAIAVAMAKKRRPSLIKGINLDFLRLPIEGQHFAEAFELSPEESEDVRFKEAWLAYFWRRAKNHGVEPDIAEERLQFWISQGNGVPNSNTAVDAERGLIELKKLGIEIQLWEESRKMIDPYSNHKTQMDYELQH >CDP05145 pep chromosome:AUK_PRJEB4211_v1:2:1969027:1973570:1 gene:GSCOC_T00020093001 transcript:CDP05145 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTRVGFTSWVLEVVGCLVLHCLPSSKVMRSVVRILYGLLNSYMGALQEAGAHLFVGHSELNFGNSGSLSDTLPDAVVVLSAIPPDNVEIVHAKSAGIPVLKQGDWLGKLTEAYNLIAVSGSHVYSMFAPSTTTSMIAYILKAMGDDLTAVIGARVPRFAGENVIHGSGLNFILEADEYNGCFLGLAPHITVVTNVDWEHVDIFPDEKLVRTIFRRFLRFAMNSNNNGSGAYSLLSDRQGARILNASDEVKLSHNLELFSESYSTTTYGISKLNEWHASSVCSNAHGGSDYKLVEGVLLQTSAYKVPGVHNVLNSLAVSQNI >CDP05253 pep chromosome:AUK_PRJEB4211_v1:2:2761058:2764608:-1 gene:GSCOC_T00020232001 transcript:CDP05253 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKCNGITENSPFLFKCYRVGSLTETILDTQQTSNLKDRYVLGGQLGWGQFGIIRECSDKLTGELLACKSIAKDRLVTHEDVRSIKLEIEIMTRLSGHPNVVDLKAAYEDENYVHLVMELCAGGELFNQLEKHGKFSEAEAKVIFRHLMEVVMYCHDKGVVHRDLKPENILLATKALSSPIKLADFGLATYIKQGQSLHGTVGSPFYIAPEVLIGGYDQAADVWSAGVILYILLSGIPPFWGKTKSRIFDAVRMADLQFPSNPWDYISYTAQELVMGMLCKDPSQRLSAQQVLDHTWLMDSSPLSEQPYECLKQSYGDGDLQRDPFSSSLMARNQDISFRTGLSISSDVQSPTFTCRSSLSSFLVTPSTPYYKAIGFSFQSSGGSNSVDFPIAVVSMPSFAFNSPDRVAGQGNPELDLSVDLARVDSLHQDASLRALLKLPDPSLCYGHADCKVADPTRGGSIGSKMSGIHNRRNNTISLGELEQLDLIVTESVIRWASCAHLPTAMSLRSSLVC >CDP05313 pep chromosome:AUK_PRJEB4211_v1:2:3234848:3246056:1 gene:GSCOC_T00020310001 transcript:CDP05313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine protein kinase IRE [Source:Projected from Arabidopsis thaliana (AT5G62310) UniProtKB/Swiss-Prot;Acc:Q9LE81] MSTADNPDPRKPSNSKTTANCSTSDRNDSPLSPSISKLRKIPPIPLRHRVETADDDDGDDDHHEIDESTSEDDDDSPVIEASILGLNHIRTRSAPLPLKSLNSIETPSSSGRHPQNDKSNNEGSHNEVDPRPKISPVPQQSTSTSTEPEHEKRVHWSQSKSLRAPSPCRSGVESHHAAFAKEMQSPRFQAILRLTSGRRKRVPDIKSFSHELNSKGVRALPFWKSRAFGRMEEIMVLIRAKFDKLKEEVNSDLGIFAGDLVGILENASDSQPEWKEGLEDLLVVARQCAKMSPNEFWLKCEGIVQKLDDRRQELLMGKLKQFHTRLLFILTRCTRLVQFQKESGYEEDHILAAHQLSDLGVYPERLFGGVNQEISSINRQSDKAIVHDKEELSTEHDQVDEFCGSKIENLEVSTAKSVASSTGSYRMSSWKKLPSAAEKNRKVQGSVDSTPKEKSDHLQHREETDSLENPDIRVIHPEQSDESLKGQKVTCVDWDQQNLTYEDSFICRICEVEIPTVHVEQHSRICTIADRCDLKGLTANERLVRVAETLERILESWTPKSTEAGVGSPHVLEVSTSSMPEELDPLSPKQNHLTCRCSVDMPDCASESDIGDSYNNFPDISCEMNSITPDFGKKASSIGSLTPRSPLVTPRRSQIELLLSGHKTISEHESYKQINKLLEIARCVANVNNTDYSTLEYMLDRLEDLKYVIQDRKVDALIVETFGRRIEKLLQEKYVSLCGQIEDGKAELSNMIADDDSSIDDDTIRSLRTSPINQCSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLFSLLKSLGCLDEDMARIYIAELVLALEYMHSLNVIHRDLKPDNLLIGPDGHIKLTDFGLSKVGLIDSTDDLSGPLASNTAFIAEDKPKATAISSAKREERQKHSVVGTPDYLAPEILLGMGHGATADWWSVGVILYELLVGIPPFNAEHPQQIFNNIMNRDIPWPKVPEEMSYEAHDLIDKLLIESPVQRLGATGSKEVKAHPFFKDINWDTLARQKAAFVPSAEPHDTSYFMSRYIWNPADESVHGGSDFDDMSDTGSASCSSSSNSNLQDEDGDECGNLADFSAPSADVKYSFSNFSFKNLSQLASINYDLLIKSAKESIEADKT >CDP09412 pep chromosome:AUK_PRJEB4211_v1:2:22723865:22727743:-1 gene:GSCOC_T00028764001 transcript:CDP09412 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSMKKIHKDKDVEPTEFEESVAQALFDLENTNQELKSDLKDLYINSAVQIDVSGNRKAVVIHVPYRLRKAFRKIHIRLVRELEKKFSGKDVVLIATRRILRPPKKGSAVQRPRSRTLTTVHDAMLEDVVVPAEIVAKRVRYRIDGSKIMKVFLDPKERNNTEYKLETFAAVYRKLSGKDVVFEYPLTEP >CDP06816 pep chromosome:AUK_PRJEB4211_v1:2:37311357:37315328:-1 gene:GSCOC_T00023811001 transcript:CDP06816 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSVSISSTLVPISSTKPPPSLPSSFSSSNSLFFSTNRSGFSLGSSKIKNNSASSRARKRGLSCTCLFGLGVPELVVIAGVAALVFGPKKLPEVGRSIGKTVKSFQQAAKEFESELKKEPISAAEPSAEKVKAVSDEGGQDAEVKSIKES >CDP08807 pep chromosome:AUK_PRJEB4211_v1:2:52817479:52821525:1 gene:GSCOC_T00027910001 transcript:CDP08807 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGNELDAPLHSDEDYCSLSPSPLVTEGNMHDELYNRLQQSVEDAEISRREAYEESMKHRKAVKEAIEAARWARASEARYSEEFRLRKEVEEALVKHKEEIENMQYQLDEIKNKLQVAMEQKSSLESQIATSDKTVEDLKQKMFAAIDLLQKFKIEKDNLQVERDNAVREAEELKIKQAEEASSASMSCFFSEYSICEINEATHNFDQELKIGEGGYGSVYKGHLHHTQVAIKVLHQHSSQGPLEFQQEVGILSTLRHPNIVTLIGACRETCSLIYEYLPNGSLEDRLSCKDNTPPLSWQTRIRIASELCSALIFLHSCSPRGIIHGDLKPANILLDNNFVSKLCDFGMCRVLGEDKFSDNNTSLCCRTDHPKGTLAYIDPEYLATGELTTKSDVYSFGIILLRLLTGKPALGIYKEVENALNKGNLKDILDSTAGDWPFVQAQQLVHLAMRSCEMSRKTRPELASEIWRVLEPMRASCGACCSRLIFEEESQMPSYFICPIFQEVMQDPVVAADGYTYEAEALKGWLDSDHDTSPMTNLKLAHRNLVQNHALRSAIQEWLQKR >CDO97418 pep chromosome:AUK_PRJEB4211_v1:2:18886645:18889147:-1 gene:GSCOC_T00014759001 transcript:CDO97418 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDYHRYLAEFKTGSERKEAAENTLNAYKAAQDIAIAELAPTHPIRLGLALNFSVFYYEILSSPDRACNLAKQAFDEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDGAEEIKETSKREDEQQ >CDO97378 pep chromosome:AUK_PRJEB4211_v1:2:18575644:18576078:-1 gene:GSCOC_T00014702001 transcript:CDO97378 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHVDSLNNAYEEFVAAAANVLEAKESSEGQKTAATDAALENFKQRWELFRVACDQAEEFVESVKQRIGSECLVDEATGSVARKPGQAATSGLPPISAVRLEQMSKAVRWLVIELQHGGTAGGSSHSHSSAPFDARFSEDAAQ >CDO96798 pep chromosome:AUK_PRJEB4211_v1:2:13193994:13195219:-1 gene:GSCOC_T00013932001 transcript:CDO96798 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIYIFFFFFFLRKYFSKKKEKRKRGSAGDVTRGCMYFPIIYSSKKKGKRRKGKSTKILAAHHFTSCSYQYIYVQLFWLDFDNPIAYWWDV >CDP17230 pep chromosome:AUK_PRJEB4211_v1:2:48120945:48127523:1 gene:GSCOC_T00000718001 transcript:CDP17230 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTILFRKYRDALKSVRIPAGGGSLPSPSSRSSGGGPVIELSTTSLLHPNRAYTPLSTEDPGTSSRGAVTVGLPPAWVDVSEEIAANVQRVRAKMAELAKAHAKALMPSFGDGKEDQRRIESLTQEITDLLRKSEKKLQRLSQGGPSEDSNVRKNVQRSLATDLQSLSVELRKKQSTYLKRLQQQKEGPDGVDLEMNLNGSHSRQFEDDFDDLGFNEHQMARLKKSEAFTAEREREIQQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVAASVEEGLKQLQKAERTQKQGGMVMCATVLVIMCFIMLVLLILKTILHI >CDO99537 pep chromosome:AUK_PRJEB4211_v1:2:11512899:11519353:1 gene:GSCOC_T00029162001 transcript:CDO99537 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNKLLASSTRFLLNVKPFQRRKKLSTFNSILPNYTRKPLEYSSKAILGHAETCSAEENLAKAEKDEPSRTISLSAIVTLKYANPTDLKDVIFQLMNASSTTNGRGVVLQLVSSELDPHTREPKLSREAVLNMSNSNGPSSGSSDHQTFRIQFTVDHNFGVPGAIMVYNKYEKEFFLISISVEGFVNFDCKSWIQPEKVNSRPRVFFSNKAYLPFQTPAGLKKLRERELQELRGDGTGTRLASDRIYDYDVYNDLGDPDKGIERVRPTLGGQQNPHPRRCRTGRPPTIVATNEYPTVNYANRTQLDENQLGSPHRRAMINFLYANAEIPASCSKPVYVPRDEEFEESKNRYVSTGKLKAVFGYLMFSLVPNLYSDVTNLKIKLPHYQNNSPPEISFSYVFNHTTQVVQNFTFPFEMNNHVYMCNIPEGAKGFSDLGDDEFALRVLAGINPLNIERIVFLVQNFPPRSKLDPSIYGPLESALKEEHIISHLDGMSVQQAMDKKKLFLLDYHDLYLPFLNQINALDDRKSYATRTLFFLTSAGTLKPVAIELSLPKGELTVSSKQVLTPPIDDTSNWLWQLAKAHVCTNDSGVHQLVNHWLRVHACMEPVIIAAHRQLSIMHPIFKLLKPHLRYTLRVNTTARETLLNANGTIESFYAPGQYCMQLACSAYRDFWRFDQEGLPADLIRRGIAVPDPKHEHGLRLLIEDYPYANDGLLYWSAIDKLVKTYVDHYYPNAVLIQDDKELQAWYREVINVGHADHRFATWWPNLETPDDLIKILTTLIWVASGEHAALNFGQYGRFDPSHPNLMRKLLPQENDPEYASFLENPKEYFLSSLPSLDNSAKFASVLSVISAHSSDEEYIGQRDDLLSWSGEPEILEAFYRFFVDIKMLEREIEERNSDSNLRNRCAVGIPPYELLIPSSGNGATSRGVPNSITV >CDO99565 pep chromosome:AUK_PRJEB4211_v1:2:11326061:11328649:1 gene:GSCOC_T00029198001 transcript:CDO99565 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVICFLCKEENLADPFKALEASISQILLEAPPLSVRHLEPNSSLLQALDYILDGTQNLVIPIESYATRNSRKKFLGKPAPFSSTLHNGREYCWITQEDVVRFILNSIGVFSPIPTFTIESLNMIDHDIMTVHYDEPASSALALISRSLVEQTSVAVIDENNRLIGEISPSTLAFCDETVAAAITTLSAGDLLAYIDCCGPSEELVQLVRTRLEGKNLEAMLDLLEEYSLSSSSSSSASSSCSSDDESAPIKYGGSGRYSPARRSEAIVCYPWSSLVAVMIQALAHRVSCVWVAEADHTLVGIVTFEGMLKVFRSIAGGIK >CDP09443 pep chromosome:AUK_PRJEB4211_v1:2:22316201:22321985:1 gene:GSCOC_T00028813001 transcript:CDP09443 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDSFCSDCIPCCQYPCQDKLILEFWAGDLSELFSDNLEGTLIVLYNAMGPELELKARSYGVTSSWGKENRVLSIDSKDEYVKSESNCDDCALEMSNCNKDVEVNITGLATSDGNGLVEAEGQDATDSFSSSSFDDTDSDSEAAVDTSDAEVGSGLHGYCSSSLAVDRLNDIFRMRKKRLTSHWRTFIQPLMWRCKWVELQVKKLRSQALKYDREVVNHDQRKHYLLENLPLEGCGVKSPPCSTDGPTDKVMRRKKRRRLEDTTDVTSYMSHHNLFSYFENRIHTADGARVDEDWASRVNSADKISGNDESKVSDERLWLELGFGDNSSEELLRKIWLLESQLSKLKFRLDKVQSQSHLGCAFIKNEDEILIYDERLKQDRNNFDEVHMQPMEKPQVAKDEPHSTVTTVIAGPDQQTDNQPPPKVRSIAKLTATKSRRKKGRRKASSGRWSRRYSS >CDP05305 pep chromosome:AUK_PRJEB4211_v1:2:3168914:3172207:1 gene:GSCOC_T00020301001 transcript:CDP05305 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEDNICKFAKRGMTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPNWKYESTTASTLVA >CDO97471 pep chromosome:AUK_PRJEB4211_v1:2:19276632:19279439:1 gene:GSCOC_T00014820001 transcript:CDO97471 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIAGLFLVGLVLVSNAVAVSAGSGHTAPAPAVDCSSLVLNMADCLSFVTSGSTVKKPEGTCCSGLKTVLKTDSECLCEAFKNSAQLGVTLNVTKALTLPSACHVSAPSVSNCGLSIGSGAAPALPPTAMAPSSEGPGAPTAIGGSNEVAPAPAPGSSGSSKLAVSSLLLALAAASLSLF >CDP04979 pep chromosome:AUK_PRJEB4211_v1:2:548148:549544:1 gene:GSCOC_T00019863001 transcript:CDP04979 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQIVPAAGITNAVEAQYVEMKVPLYSYGCQKKVKKALAHLKGIYSIIVDCEEQKVTVWGICNKYDVLASIRNKRKGACFWKPEDNTQLLVPEKLQTPPSSPQSDSCPNPKPTSAPSLALVTKGLGRSLSWKTWKKVFIRSYSF >CDO97399 pep chromosome:AUK_PRJEB4211_v1:2:18778300:18781876:-1 gene:GSCOC_T00014735001 transcript:CDO97399 gene_biotype:protein_coding transcript_biotype:protein_coding MWDAESETGGGRDYSNGVLSISKHGLKTDGFEQRGQSWYVATDIPSDFLVQIGDVNFHLHKYPLLSRSGKLNRIIYESREDLNKIVLDDLPGGPEAFELAAKFCYGIAVDLTANNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKNSEKLSPWAENLQIVRRCSESIAWKACANPKGIRWQYTGRPPKVSSPSWNEMKDSSPSRNQQVPPDWWFEDVSILRIDHFVRVITAIKVKGMRHELIGAAIMHYAAKWLPGLITEGSAAADEGSNYSHANDINRSWKGGLHMIVAGTKEDIPTVQAKDQRMIIESLISLIPPQKDSVSCSFLLRLLRMANLLKVAAALVTELEKRVGMQFEQATLADLLIPSYNKSETLYDVDLVQRLLEHFLVQEQTESSSPSRQSFAEAPQRGTNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPDSARTCDDGLYRAVDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIASSSLKEAGESHYHPMVSTRKTLLEGTPQSFQEGWATAKKDINTLKFELETVKAKYLELQNDFETLQRQFDKMAKPKQTFAWTSGWKKLGKLTKMSNLENHDDGPQISNPEQPKKAPRRWRNSVS >CDP08576 pep chromosome:AUK_PRJEB4211_v1:2:40438923:40439066:1 gene:GSCOC_T00027559001 transcript:CDP08576 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLKAGGKVKKTKRTAPKSDDIYLKLLVKLYLKKTSFSVVTLLLN >CDP05266 pep chromosome:AUK_PRJEB4211_v1:2:2841672:2845529:-1 gene:GSCOC_T00020248001 transcript:CDP05266 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPISDYLQRLSLNSKGDSVVKSPFVLCFGELLIDFVPTINGVSLAEAPAFKKAPGGAPANVAVCVARLGGSSAFIGKVGEDEFGHMLADVLKENKVDISGMRFDSSARTALAFVTLRADGEREFMFFRNPSADMLLRESELDIDLIKKAAIFHYGSISLIEEPCKSSHLAAMAIAKKSGSLLSYDPNLRLALWPSANAAREGIMSIWDQADIIKISEDEITFLTGGDDPYNDDVVLNKLFHPNLRLLLVSEGSQGCRYYTKQFKGRVPGLKVKPIDTTGAGDAFVGGLLGRLASDLNLYQDENRLREALYFANACGALTVTKNGAIPALPTIDEVERLVSEVAA >CDP09477 pep chromosome:AUK_PRJEB4211_v1:2:21825901:21829530:1 gene:GSCOC_T00028856001 transcript:CDP09477 gene_biotype:protein_coding transcript_biotype:protein_coding MATNYLPYLSSYLTLFFLVTNFCSCFNPKLLNVSKIQYQSQSDWSPAGATWYGSPTGAGSDGGACGYTSAVDQPPFSSLISAGGPSLYNSGKGCGACYQVKCTEDVNGACSGSPVTVVITDQCPGGPCVSDSVHFDLSGTAFGAMATSGEADELRNAGVLKIQYKRVPCNYPGVSVAFHVDAGSNPDYFAALVEFEDGDGELSGVQLKQALDSESWLPMQQSWGAVWKLDTGSTLQAPFSIKLTAESGATLVANNVIPAGWQPGSTYRSLHNASQSLASFSPAVATFYGDPHGAGSTVGGACEYEDAVEKPPFLSRVSAGNEASFKQGKGCGSCYLVLINYPSINCLYYIIESCTPLPHRKF >CDO99595 pep chromosome:AUK_PRJEB4211_v1:2:11051580:11056078:-1 gene:GSCOC_T00029232001 transcript:CDO99595 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNALHYSCLHTANSIEDLEIVPCAHSLQNAICSRTNPYICTFSSLISINDVEVLFELFQKISRSIIDDGLIHKEEFSLEKNLFADRLFDLFDIKYNGVIEFGEFVRTLGIFHPNAPTEDKILFGFRLYDLRQNGYIEKQELREMVLAVLSHESELLLWDHFVEIIVDKTFKDVDTRGDGRIDLDEWKGFVLKNPSLLKNMTLPYLKDLNFSISQLCGEL >CDP09376 pep chromosome:AUK_PRJEB4211_v1:2:23043031:23048036:1 gene:GSCOC_T00028717001 transcript:CDP09376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABH1b [Source:Projected from Arabidopsis thaliana (AT2G44610) UniProtKB/Swiss-Prot;Acc:O80501] MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEAKARDLNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSSNTNASQAQQQSGGCAC >CDO96745 pep chromosome:AUK_PRJEB4211_v1:2:12617383:12622037:1 gene:GSCOC_T00013860001 transcript:CDO96745 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQAIHGSGITACSAQAPAGGSLGSHLAKRLVQIGVKDVFSVPGDFNLALLDHLIAEPELNLIGCCNELNAGYAADGYARAKGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCIQAVVNNLDDAHELIDTAISTALKESKPAYISISCNLPGLSHPTFAREPVPFFLAPKVSNQLGLEAAVDATVEFLNKAVKPVIVGGPKLRVAKAQQAFLEFANASGYPVAVMPSAKGLVPEHHPHFIGTYWGAVSSSFCGEIVESADAYVFVGPIFNDYSSVGYSLLIKKEKLISVQPNRVTICNGPSFGWVFMTEFLSALAKKLKKNSTAMENFRRIYVPPGVALTRGKDEPLRVNILFKHIQEMLCQETAVIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQAARNKRVIACIGDGSFQVTAQDVSTMLRCGQNSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVNAIHNGEGNCWTAKVRTEDELTEAIATATGTHKDCLCFIEVLVHKDDTSKELLEWGSRVSSANSRPPNPQ >CDO97324 pep chromosome:AUK_PRJEB4211_v1:2:18134738:18135238:-1 gene:GSCOC_T00014636001 transcript:CDO97324 gene_biotype:protein_coding transcript_biotype:protein_coding MTANMLSISLSLLCFLSSAFLVILPSHGQNSPQDYLDVHNAARSKVGVGPMLWDSTVAAYALNYANQRKGDCNLIHSNTDYGENLAKGCGNFSGAEAASLWVAEKPYSDYHQDACVGGRECLHYTQVVWRDSVRLGCARVQCLNKLWWFVICNYDPTGNYVGERPY >CDP15842 pep chromosome:AUK_PRJEB4211_v1:2:44586959:44587304:1 gene:GSCOC_T00016723001 transcript:CDP15842 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYPSTRIICIILLGTSKFVHALDLDDSRCLNIEKFLTD >CDP05179 pep chromosome:AUK_PRJEB4211_v1:2:2189967:2195558:-1 gene:GSCOC_T00020136001 transcript:CDP05179 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTPGPDQNLKVKMGVNPSRRRVRVIGRIRGFTSQESESFSHDSPKPWITVSKPEHHGASSETTLTLSFLDQFNNSRKEASYKLDHCYEQDEDTGTLFAREIEPLTSDVLSGGHASIIAYGARGSGKTYTIQGAENKPGIAVMTMTELLSKAEETGKSLSISIFEVQQEHAYDLLDPKHSEVQVLEDCRGKINLKGLSKVPVKSILEFQNIYFSMSNISKSAHKIPHEHTRRSHKCLIIHVLAMNDNSTTKLAGKMNFVDLAGYEDSRRISRDGSALLDRTNKSLHTLLNVVYALNANEMHVPYRESKLTHIFKESLRGTSHVVLLTCLNPHVCQDTLRTLSLVSRSCQSTNHVLTESVNNAKSSARLKMLSSQNSMKPFCTPSARKPAVSSLHLSAKGTSCVSKGRKLFEEGKNITSNQVLIFETRLPQDDCSDKKLEFVPETAAAVSPSMLKKASQDESTLDNPLALVPEGVVSQEKSIPESVAVSPSKLKKALQVESILDNPSAFVPDGLVSQEKSNPEFSLDPELKENDISAHKRSNNLELIPAVVCEKSPPQDGSVIRKETLNEHGSPPLSERLRQLSNSLKSFCSSTPLHVKMLDNGDAQHNVIEPKTPIFEYGARVTERSEITKYSSPWEMLSKRSSGMKDSLVQEYLRFLNSASKEELKGLRGIGEKRATYILQLREESPEPFKSLDDLQDIGLSAKQVKGMVKKMAGDLFS >CDO97351 pep chromosome:AUK_PRJEB4211_v1:2:18350422:18360026:-1 gene:GSCOC_T00014669001 transcript:CDO97351 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRVMLPAAEVDLTAVKYEFEQVQAPHLTGFSLKLLVGLLEAPFIGPMLLSHLKKQNRVVEMLRNTVIPEPPMFRPEFPPQEPESGVAHLEEDGKPEDRVELALKCLPHYDPDETWIADSIASFCYWKIRDYAYAYRSKLTTPSIVAERFIAAIGEFDNKNPSTPLLISYDPEDIRKQAAASTQRFEEGKPLSVLDGTFMAIKDDIDCHPYPSNGGTTWLHEVRKVKKDAVSVSRLRSCGVILVGKANMHEFGLGTTGNNPNHGTARNPHSPDRYTGGSSSGPAAIVASGLCSAALGTDGGGSVRIPSSLCGVVGLKTTYGRTDMRGALCDSGTVEIIGPITSSVEDALLVYAAILGSSAADRIALRPSLPCLPYLSSHEGLNAVGSLRLGKYTEWFNDVFSTDISIKCEEILNLLSEKHGCNMVEIAIPELHEMRTAHILSIGSESLSSLTPDFEDGKGKYFSLDTRTNLALFRTFTASDYVAAQCLRRRIMHYHMEIFKKVDVIVTPTTGMTAPIIPPAALKSGETDLQVSGNLMRFVVPANLLGLPAISVPVGYDKHGLPIGLQLLGRPWGEASILRLAAAIEEICPEPKKKPVQFFDLLKGN >CDO96858 pep chromosome:AUK_PRJEB4211_v1:2:14020488:14024306:-1 gene:GSCOC_T00014016001 transcript:CDO96858 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNRKMVTVDVAAGVVGNWKETYQWILIFGGIAAISLAFSAGSGSLTFLKAAILAFMVYIPGTALASSGSISTLFSDFLRENQPIQGFLMWSMVVVLITATLWLAIATYFELPVSSQQSTQGALLGTMLVSEGFGFIPFWNKNGYHKFNGGGLLWIFLEWTVAPIVACAAAFLFFKILKVALLSHENSEKRILIFLPIYYGMAAGLLCFFIMYQILPNLMMVNMWTIVIAVTLSTLIGAVSSSVSINSFVVVPFARTRMASVPRFKTMKRTKSMEQEGLENQDEASDAKVEEMLRDFMQMRVLDTVYEEEEKSWASPENAAGSEHAQSNSQLGSTPLRQLLELTPNQLVKPRNFDSIERETVFDAIRHSVKSILFPLSILTSDVIQYDRPTLIRHAIVENFDDMEDFFGFHCFYHHAYLRGLIQSANEIAPLMSPFSAILDVFKHRTKYLGNGEDVGSLGVQWWIRAIGGLGASTGFLLCGWRLTQCLSSRLTYVSNSRGLASQLSTVATIIIVSRVKLPVSSLHAFVGALVGVGLADEPRNVNWKLLLKFLGGWIATIIFCSTVAYGIYSASIHSPAYVVP >CDP08725 pep chromosome:AUK_PRJEB4211_v1:2:53521155:53524076:-1 gene:GSCOC_T00027796001 transcript:CDP08725 gene_biotype:protein_coding transcript_biotype:protein_coding MALALALLIPKSDGKCFFEAIFNFGDSNSDTGGFYAAFPSQPSPNGMTYFKRPVGRPPDGRLYIDFLAQALGLPFFSPFLQSIGSDYKHGVNFATPASTVLHPTTSLFVSGTSPFSLNVQLNQMKQFKSKVDELHSSGSTDLPQPDIFGKSLYTFYIGQDDFTSNLPSGGIAGVMQYMPQVVSQISSTIKCIGITNRKLISHYRIYNQHC >CDO97671 pep chromosome:AUK_PRJEB4211_v1:2:20896024:20897989:-1 gene:GSCOC_T00015078001 transcript:CDO97671 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLASASLSWPSGFTSSAKLLTTRSTPLLILTLTPHPLLPVLILTENSQCERLFSFESCACR >CDP13975 pep chromosome:AUK_PRJEB4211_v1:2:4766002:4771985:1 gene:GSCOC_T00039130001 transcript:CDP13975 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFMLILVYICLAAAGTTTISAADENFDVRHHLSTVSRISGEQHRHWSVFLLCTCLTNLSLLNKLKKYGVVKDITSNSFVPSKIPEHCTPIHLNLVARHGTRAPTKKKMREFDALASRLEVLLHEAKELKQSSNKIPSWFWGWKSPWKGKLTGGELICEGEDDLYHLGIRIRDMFPELFDEDYRPDIYPIKATQVPRASASAVAFGMGLFSGKGNLGPGRHRAFAVISESRASDRVLRFHDCCQNYKSFRRSQEPAVDKLKEPVLDEISTALVQRYGLNFTRKDTSSLWLLCKQEASLLEIYDQACGLFSPSEVALLEWTDDLEIFILKGYGNSLNYRMGVPLLQDVIESMEQAIKAKEEGYVPGSYEKARLRFAHAETLLPFSCLLGLFLEGSEFDRIQREEPLQLPPKPPQKRNWKGSIVAPFAGNNVLVLYSCPDNNSSKYFVHVQHNEHPVPMPGCKNSDLCPFDVFKQQIAAPHLKHDYNALCNVNVEQSENRQASSRISKLLSWLFNCKNVDAQAHHFEL >CDP08563 pep chromosome:AUK_PRJEB4211_v1:2:40708618:40709079:1 gene:GSCOC_T00027533001 transcript:CDP08563 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTIAVEVESFDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTFADYNIQKESTLHLVLRLRGGMQIFVKTLTGMTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHFVLRLRGGL >CDO97662 pep chromosome:AUK_PRJEB4211_v1:2:20799104:20809767:1 gene:GSCOC_T00015068001 transcript:CDO97662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine S-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G49810) UniProtKB/Swiss-Prot;Acc:Q9LTB2] MASKKSMDEFLNQCVQSGDAAYRAFRSLLERLEDPQTRKHARIFLSDLHKRFDSKEAAEKCLQSYHFRIEDIYLEQSEGFQVRKKLTMMVIPSIFIPEDWSFTFYEGLNRHPDSIFKDRTVAELGCGNGWISIAIAEKWSPLKVYGLDINPRAVKVAWINLYLNALDDNGEPIYDEENKTLLDRVEFYESDLLSYCKDHKIELERIVGCIPQILNPNPDAMSKMITEYASEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIDVIKPMGIMIFNMGGRPGHAVCKRLFERRGLCVTKLWQTKILQAADTDISALVEIEKNSPHRFEFFMGLGGDQPICARTAWAYGKAGGRISHALSVYSCQLRQPSQVKKIFEFLKNGFHDISNSLDLSFEDDSVADEKIPFLAYLASVLKENSFLPYEPPAGSKQFRSLIAGFMKTYHHIPLSADNVVVFPSRTVAIENVLRLFSPRLAIVDEHLTRNLPRQWLTSLKVETAETCKNSEEVITVIEAPRQSDLMVELIKKLKPQVVVTGIAEFESVTSSAFEHLLDATREIGSRLFIDISDHFELSSLPSSNGVIKYLAGSPLPSHAAIVCGLLKNRVYSDLEVAFVISEEETVLKALSKTLELLQGSTALISQYYYGCLFHELLAFQLADRHPAVERGAQKGKASEMIGFSSAAISVLDHAELSITEADNSSLIHMDVDQSFLPIPTAVKAAIFGSFSRQNIVESETEVTRGVTQFVGSSYGFPTDGSTEFLYADRPLALFNKLVLCCLQEGGTLCFPVGSNGNYVAAAKFLRANILNIPTSSEVGYKLTENTLARVFETVNKPWIYISGPTINPTGLLYSNGEMKDMLSVCAKFGARVIIDTSFSGVEYNDGWGGWELKSTLATLTSSAKPSFCVSLLGGLFLKMLTGGLNFGFLLLNQPSLIDAFNSFPGLSKPHSTIKYAVKKLLDLREQTGGSLLNCVGGQEKVMETRYKRFKETLQNCGWEVLEAHAGLSMVAKPSAYLGKSIKVSENSAAWEAKLDDSNIREAMLRSTGLCINSASWTGIPGYCRFTIALEDGEFERALNCIIKFQKAFGN >CDP05212 pep chromosome:AUK_PRJEB4211_v1:2:2455294:2457198:1 gene:GSCOC_T00020176001 transcript:CDP05212 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPLQQKVANQLQVCTFRQLKQIHAIIVTSSLHQNPQIRLKFLRRSTEFGDMDYPSLIFSQMGGFLIKDITLWNAMIRGYAYNGPQRDSISMFDEMPHRGLKPNKFTYPYVLNSCTRLGLFRLGQKVHCQIIKTGFQLVLSAAHALFSFYADTCDSSDMGFPKKEMLNNARRTLYGICGVPVELCNRFISGCVKFGDVKCAREFFDQMSERDVVSWNSMISGYAKAGDVANARGLFMQMPEKNVVSWTTMIKAYAAAGDLQTARKIFEMMPEKNLISWNCMISSYAQNKQFQDALRLFEHMRNQGVAADGFTFVSALSACSHLNALDSGRMVHSCITDWGNAAVIVGTALVEMYANCGDIDKAFTIFFKTGNKDVFCFNVMIKSLAVHGRVEDAVKIFYLMQERGLKPNDFTFTSVLFACSHGGFVEEGQNIFHSMDRQFRVSPKLEHYGCLVDLLCRRGQLEEALVLLKEMPFKPDVAIWGALLGGCKLRGDLKLAESITSRNADKLEPNESGVYVTVSNIHASAGQWLKAFNAREKMEEQNMWKTTGISNLVINGDTDL >CDP09355 pep chromosome:AUK_PRJEB4211_v1:2:23303954:23307344:-1 gene:GSCOC_T00028687001 transcript:CDP09355 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRQRVVATLPTLMRALRKEETAAQQHQRLPSLRRAFSLYDQINLIDNVPEDQLRFQQFTDTGFIVNGVNYEGSLLCIGNLLMSWSPKKFSEVNAESLSVFKTMRPIPEILILGCGRHIQPASPDLRQFIRSTGMKLEAIDSRNAASTYNILNEEGRIVAAALLPYGVED >CDO97459 pep chromosome:AUK_PRJEB4211_v1:2:19210648:19213614:-1 gene:GSCOC_T00014807001 transcript:CDO97459 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLQGYSSEEEEEEEKAEEYDYYDSDDGGKRRNDQVSDNRYKPLFDPNPSSSSFLPSAFDAFSEVSGPPEFLNNSVEEAAKDDDGQKRRHCRRRNRRDKSDLPVGAVVEAKAQLVGIHERVRSDFEGNIPRTSPSHSAEDAKRTTSASNLNENAAELMRMCLTCGMVKTYSGAKGMVCPVCGDESMKKKGSTIKDKEKLKRMKGQSSHASWKSETEMQLRQQFD >CDO97142 pep chromosome:AUK_PRJEB4211_v1:2:16784310:16788352:1 gene:GSCOC_T00014390001 transcript:CDO97142 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPPELSLDFRPPSTRTSCCYVPKTIDELVGQVSMIGNVAEKLLKLDDYVTKLEDEMKKIDAFKRELPLCMLLLNDAIRTLKEESTKYTTMDAGPVLEEFIPLKKNCDEDEKSEMVVETSKKDKDSREKMSWMSSVQLWNSDNPASKSDFDINEAHSKPETKKRVVEAEIQEDLFESCKNGSVARAFVPFQGCSSLPVMITRKENEYELPGVVPGLSLQTPGTKKLKEDIGSGGLNSRVGGSRAVSCSVSSVQSNVRAAVSQQQQQQTSRKQRRCWSPELHRRFVDALQKLGGCQAATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRLPTTTGTSTNQPVVVLGALWLPQDQQHGESSKQSNSHSGSPQGPLQLGGASRGTSMTGGDSMEDEEDDKSESHSCWKSHIRTSQRTNV >CDO97358 pep chromosome:AUK_PRJEB4211_v1:2:18425708:18429413:1 gene:GSCOC_T00014678001 transcript:CDO97358 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLEVESLNTTTTATNDHHQKYEDEDDSPIEEVRLTVSNYDDPTLPVWTFRMWLLGLLSCALLSFLNQFFSYRTEPLIISQITVQVASLPIGHFMAAVLPEKKFRLPGFGQRLFSFNPGPFNMKEHVLITIFANAGAAFGNGSAYAVGIINIIIAFYKRKISFLAGWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPNTLVQVSLFRALHEKDEQRVTRAKFFLVALICSFSWYLLPGYLFTTLSSISWVCWVFSKSVTAQQIGSGMRGLGIGALTLDWSVVASFLFSPLISPFFSIVNVLVGQRSKV >CDP15167 pep chromosome:AUK_PRJEB4211_v1:2:49986035:49986379:1 gene:GSCOC_T00042769001 transcript:CDP15167 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSICYFSLLLLFFFFCLQVLIVAETSTTLRALSWVISLLLNHRHVLQKAQEEIDSSVGKERWVEESDIKNLVYLQAIVKETMRLHPPAPVPMPRQADEDCNVALSWRSSSSQ >CDP04907 pep chromosome:AUK_PRJEB4211_v1:2:10741:20469:1 gene:GSCOC_T00019775001 transcript:CDP04907 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLEAICLKVCTHYPTLFDHFQRELRDVLQDLQRKSVLQDWRQTESWKLLKELANSAQHRAIARKNPQPKSVPGVLGLDLEKVKAIQNRIDDFTKHMSDLLLIERDAELEFTQEELNAVPMPDENSDAAKPIEFLVSHAQAEQELCDTICNLNAVSTSTGLGGMHLVLFRAEGNHRLPPTTLSPGDMVCVRTCDSRGAGATSCMQGFVNNLGEDGCSITVALESRYGDPTFSKLFGKSIRIDRIQGLADAVTYERNCEALMMLQKKGLQKRNPSIAVVTTLFGDSEDVRLLEENHLVDWTEVELNGLLDSKSYDVSQRRAIALGLNRKRPALIIQGPPGTGKTGLLKELIPLAVKQGERVLVTAPTNSAVDNMVEKLCDSGIKIVRVGNPVRISQAVASRSLVQIVNAKLADFQAEIERKKSNLRKDLKHCLEDDSLAAGIRQLLKQLGKTMKKKEKETVREILSSAQVVLATNTGAADPLIRRLDTFDLVVIDEAGQAIEPSCWIPILLGKRCILAGDQCQLAPVILSRKAAEGGLGISLLERAASLHKGIMVTRLTVQYRMNDAMASWASKEMYGGLLKSSSSVSSHLLVDSPFVKPTWITQCPLLLLDTRMPHGSLSIGCEEHLDPAGTGSFFNEGEADIVVQHVYSLINAGVSPTAIAVQSPYVAQVQLLRDRLDEVPEAAGVDVATIDSFQGRESDAVIISMVRSNNLGAVGFLGDSRRMNVAITRARKHVAVICDSSTICHNPFLARLLRHIRYFGRVKHTEPGSFGGSGIAMNPILPSFG >CDP13893 pep chromosome:AUK_PRJEB4211_v1:2:4149406:4153285:-1 gene:GSCOC_T00039026001 transcript:CDP13893 gene_biotype:protein_coding transcript_biotype:protein_coding MEKELVELFEKAKKAADAASADGGADSSPEESRCLDALKRLKKLPINYDILVSTQVGKRLRQLTKHPRKSIQSMASDVVEMWKGIIVKETAKNRKNGNVEHEGSVKAEPGGADSGERTKVGRVNSIKLEGSVKAEPTGADSVSDKRFQRVSSVKVEKASTASSVKVERVDRSFSSTSEKAMKLETSSTEVKVEEDGKVSSGERMNVERITIEEKPNSDMRNTAPKLTSLLYTKDQVRDKMREIVAEALCKVTTEVDEDLREEVDACDPYRVATMVESAMFEKWGRSTGAHKAKYRSICFNIKDPNNPDFRRKVLLGQFPPNSIVYLSAEDMASDARQKQNEKIKEKALFECGRENSAKASTNAFKCGRCGKRETTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >CDP08658 pep chromosome:AUK_PRJEB4211_v1:2:54059111:54061732:-1 gene:GSCOC_T00027698001 transcript:CDP08658 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMEKLKMFVVQEPVVAASCLIGGIGLFLPAVVRPILDSFESSKQVPQPVLSDVVAGMTGKK >CDP00002 pep chromosome:AUK_PRJEB4211_v1:2:7088423:7088854:1 gene:GSCOC_T00029754001 transcript:CDP00002 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGTCSHCFLDRLIAAYDYFKALHLFPGFHVTKLVFFGYEYLGEGYAEVLGATMKAIVNVVGVTRMTPPIKDLLPRLTPILKNHHEKVQESCIDLLGRIAYLTYFPGAKYKLYLERYQPDFNHIITKEICANSLLTSWRSRV >CDP00032 pep chromosome:AUK_PRJEB4211_v1:2:6767854:6771134:-1 gene:GSCOC_T00029792001 transcript:CDP00032 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFANKDALLTTISSRKATFYSRSRSTLWTKGETSLNFINVSEIFLDCDRDSIIYLGKADGPTCHTGSETCYFTSIDDMISSQAEENKLALTTLYALESTINQRKEDSGSANGKPSWTKRLLLDDNLLCSKIREEADELCCTLEENEEKSRTASEMADVLYHAMVLLAKRGVKIEDVLQILRVRFSQSGIEEKKNRKA >CDP08527 pep chromosome:AUK_PRJEB4211_v1:2:41747213:41749256:-1 gene:GSCOC_T00027469001 transcript:CDP08527 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGIQWIDMIFFGIFNGSPDGGLNWHILDEKTSQTFKERFQRKVLEVKSPGILANAFRLRFAAVRDPTATSRVPDR >CDP08684 pep chromosome:AUK_PRJEB4211_v1:2:53845757:53847604:-1 gene:GSCOC_T00027738001 transcript:CDP08684 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMHWSLPFSLYELHYNVFSYGSLSLCFLMGSLSYSYILLQRTENSRVCFGKSGIHGWGLFARQNIQEGDMVIEYRGEQVRRSVADLREARYRREGKDCYLFKISEEIVIDATVMGNIARLINHSCMPNCYARIMSVGEEESRIVLIARTNVSAGKELTYDYLFDPDDHDELKVPCLCRAPNCRRYIN >CDO97596 pep chromosome:AUK_PRJEB4211_v1:2:20230816:20234828:-1 gene:GSCOC_T00014979001 transcript:CDO97596 gene_biotype:protein_coding transcript_biotype:protein_coding MQASCAVLNSPTYSCYTCQQQHQQRTLEPSSCSSSYPPPPHIKVSSFLNGGLWSSRRQNQLGGSTWRSVQINTPHDSRKPVVKAVATPDSAIELPLTAENVESVLDEIRPYLIADGGNVALHEIDGNVVKLKLQGACGSCPSSVMTMKMGIERRLMEKIPEVVAVESIPDEETGLELNEENVEKVLEEIRPYLVGAAGGSLELVRIEEPIVKVRITGPAAGVMTVRVAVTQKLREKIPAIAAVQLLQ >CDP04938 pep chromosome:AUK_PRJEB4211_v1:2:248974:254654:-1 gene:GSCOC_T00019808001 transcript:CDP04938 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDRPHQPPTTASLLTKGGGGEIDDGAPEEEHLLLHENGEQQQQRLQSDNYSVLAAILPFLFPALGGLLYGYDIGATSSATISIQSPSFSGISWYDLSSVEVGLITSGSLYGALAGSVLAFNVADFLGRRRELIFSSLLYLLGALVTALAPNFVVLVIGRLVYGIGIGLAMHAAPMYIAETAPSQIRGRLISLKEFFIVMGMLFGYVVGSLLVETISGWRYMYGVSTPLALIMAIGMWWLPASPRWILLRAIQGKGKLHDLREIAISSLCRLRGRAIGDSASQQVDEILSELSQLSEEKEATIAEMFQGKCLKALSIGAGLVLFQQITGQPSVLYYAATIFETAGFSAASDATTASIFLALLKLIMTAVAVLVIDRVGRRPLLLGGVSGMVISLFLLGSYYTFLDDVPAVAVVGLLLYVGCYQLSFGPIGWLMISEIFPLRSRGRGLSIAVLVNFGANALVTFAFSPLEDLVGAGVVFFIFGGIAVLSVIFIFFVVPETKGLTLEEIEAKLL >CDP05269 pep chromosome:AUK_PRJEB4211_v1:2:2879924:2880907:-1 gene:GSCOC_T00020253001 transcript:CDP05269 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKIPRPVFNNPFLNIIVNPDDGTVKRDPEIRNPPNSDPNGTSAVISKDVTLHPDNNTWMRLYLPRQAKAAASPANRRKLPLVVYYHGGGFVFCNADTNLYDVFCQGLVENVGVMVISLDYRLAPEHRLPAAYQDAMDGLHWIKSARDEWVRDYADLDNCYLAGTSAGGNLAYNAGLLAVSAAKDLEPLKIKGMILHHPYFSGTKRTGSEQRLAGDSLLPLYAIDQMFDLSLPEGADHDHEYCNPLINGGPKLVDLMKSSGWRVLVTGCLDDPLVDAGMEFAKMLDAKGVRTVTFLSDGYHAMEVFDPSTSAPFYAAAKDFLSTN >CDO99724 pep chromosome:AUK_PRJEB4211_v1:2:9467919:9471117:1 gene:GSCOC_T00029400001 transcript:CDO99724 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLWNDKREREMYDNFAELFAIIKATEKLEKAYVRDIISPIEYETECQKLIAHFKTLSSTLKDTVPNIERFHDTYKMDCPAALNRLVTSGVPATIEHRAAAAMSATTSAAIVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLSILPHDFEGKTKMREWIARLSKMGAADELTEQQARQLHFDLESSYNSFMAALPAAGT >CDP13859 pep chromosome:AUK_PRJEB4211_v1:2:34339831:34340172:-1 gene:GSCOC_T00038981001 transcript:CDP13859 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVTAYLLALLGGNTCPSTKDIKAILASVGADVDDEKIDLLLSQVDGKDIIELIAAGRQKLASVPAGGGAGVAVAAVAAGGAAAAPAAEDKKEEKVEEKEESDDDMGFSLFD >CDP13869 pep chromosome:AUK_PRJEB4211_v1:2:3981566:3985634:-1 gene:GSCOC_T00038998001 transcript:CDP13869 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRITASPRPCSGRRVVAKKRPRGGMDGFVNSVKKLQRREISSRRDRAFSMSDAQERFRNIRLQEEYDTHDPKGQCSVVLPFLRKRSKIIEIVAARDIVFALAQSGVCAAFSRETNERICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRTTRIEYIRRGKPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFTKACGHVPLQILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNAGSINISNILTGKCLAKIKVSNSSPLDDCCCSSSCGGRSCNSQKRVQAAKVRSTVAEALEDITALFYDEERNEIYTGNRLGLVHVWSN >CDP08883 pep chromosome:AUK_PRJEB4211_v1:2:52057975:52062949:-1 gene:GSCOC_T00028009001 transcript:CDP08883 gene_biotype:protein_coding transcript_biotype:protein_coding MDACVDFVQSLVTDMVLNIFERLDDPADLVRASVVSRHWQDFVIATGLSKQLCVEIYPQLANVEHVTESNSRTITLNHAGASNSLEWDILERDHKVYASLSQVLTKLISSPVECIAEAFSASSTDNYPDESIVNTLDPRDRFALRASYWSSKGHKDPSVPETLIYKLKADFCVITEIDIQPFEAFFQPGDPIYSAKSVRFRMGHPKSPTDIEDDLSYLPLQQPADDKFIWTYTSEEFAMTQENRLQHFKFSQPALCIGGFLQIELLGRVQQQEMDGLLYICVSHVKVLGRPLSPAFHVEILEPSGKFLLKYCPHALQHTLQSKEPELAPMPIIATEDVFWGRVGLLQYLVGGNQEADGPFDSDDEENEMDQFVL >CDP13862 pep chromosome:AUK_PRJEB4211_v1:2:3921279:3925165:1 gene:GSCOC_T00038984001 transcript:CDP13862 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAYATPPISAFLTSQEGFRGSTRGFQWKKIERKCLRKAGPPKITAKFDLKPPPYPLDALEPDMSRNTMEYHWGKHHRAYVENLNKQIVGTELDGMTLEDIIRVTYNNGDLLPPFNNAAQAWNHDFFWESIKPGGGGEPSGELLELIIRDFGSFEQFAKEFKAAAATQFGSGWAWLVYKVNRLNVGNAVNPRPSEEDKKLVVVKSPNAVNPLVWDYYPLLTLDVWEHAYYLDFQNRRPDFISTFMEKLVSWESVSSRLEAAKIQAAERE >CDO99794 pep chromosome:AUK_PRJEB4211_v1:2:8845424:8847209:1 gene:GSCOC_T00029486001 transcript:CDO99794 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMCYSLQPSINTPSPAASFSFVSPLSRFGQLFKTARIRVRTTFRNKLQFCSPPL >CDP05321 pep chromosome:AUK_PRJEB4211_v1:2:3281901:3284216:1 gene:GSCOC_T00020318001 transcript:CDP05321 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRVALEQVHSARKHAKNLRRECRSKRKKAVWRDCNKLLSNTVLQLNRTIEGFLANQTSDVDAQTWLSTALTNLEICRSGSLDLNVTELISPILTSNMSHLISNCLAVNGVLMEHQDYKDGFPGWVTAGERKLLQSSVTLSRTANAVVAMDGSGHFRSIQAAINYAASRRVGNARVVIYVKRGVYRENIAISSTMNKVTLIGDGLRYTIITGSRSVAGGYTTYSSATLGVDGIGFMARGITFRNTAGPQRGQAVALRSASDLSVFYACGFEGYQDTLFVHAQRQFYKNCYIYGTIDFIFGNAAVVFQNCIIYVRKPLWGQVNVITAQGRADPFQNTGISIHNSRIVAARDLRPAVGSFNTYLGRPWQLYSRTVFLKTYMDGLINPAGWLPWVNTNFGQNTVYYGEYNNFGPGASTRSRVRWRGYHVITSANVASRFTVANLIAGRTWLPSTGVPFIAGL >CDP13867 pep chromosome:AUK_PRJEB4211_v1:2:3957856:3966012:1 gene:GSCOC_T00038995001 transcript:CDP13867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MEKLKALVPETLKRRILASTADDLLSTSSSLLDFFDPLPLFHRIVGELTDSESGLCSKDKKVALESKLKGNECFSRGDFPDAVQFYSKALRFAPAGVDEMGKDLVSVLYVNRAFAFYKMGLLVECLRDSSRALSNSPGYIKGWFRRGKANASLGNHEDALRDLTISMKLEFSLSGKRQIENEMKMILDRSKEKTSSLQKSGSLQTSDECRLDIPDEPCQIKLQCVSTTTKGRGLTTLADIPEASLVHEEDPYAAIILKHCRESHCHFCFNELPMDSLPCPSCLIPLYCSQLCQVQASGDKMHDTAIDGSFIYKFSDDLQKYMSDVVSVKFSSSCSKNFTEHGHECGGLHWPLVLPSEVVLAGRVLAKYIEQQRPSSLNLSLRGLWDLCHNYAQLPPESKLEFHVYSIVLMHCLQHSYGSEFAISGETIAQLVILLSQIRANSMAIVRMTSFDAIGSLRQHPEFSPAADASTISMKQMKVGQAVYLAGSMFNHSCQPNIHAYFVSRTLYVRATEYVARGSELELSYGPQVGQLDCKDRQQFLEDHYSFSCKCSGCSQLNLSDLVLNSYRCVKMNCYGVVLDSHVVEYENQKLHSFLGPPGMINSNLKVDKCRIDSISKIARYVLENNHLVKPGCCLNCGTERDLESLHSAINEADICFRGLQDAFASSEVPVNALQDALRSLDLLRRTIHPLNKRLAEMEDSLAQAFCLVGKLQTALDHCQKSIKILEKLYDPNHIAIGNELLKLASIQLSFEDSTASDTLNRVAAIFLRYYGSHANKIFPCLQLLKEEALRNQ >CDP00006 pep chromosome:AUK_PRJEB4211_v1:2:7051522:7057733:1 gene:GSCOC_T00029760001 transcript:CDP00006 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSSSNGGTNQVSPKSAHKQVYWDKQADGNDKSRNKKQTTENCAASRTPFLRRSRSSSSAAIFDGGAIRSTGIDQIVSPCSTSNGSVEQFGRHSSRRTLTPERQHRTKFFESGTVQNGHRVEKRGCVPSSGLQYDSSECSSYSSSNVSNGVLDRYIDGEEQLEQSSLQGKFSMRNHIENVNDLRKQATVVQHHASVSPTNDRTRKPKSQSFREIDVAQLHLSSRDWVENGFGNESPRKLAKHVVERLSQAKFFPKKSSKELDSDVPITVEDIYSGSLTRSPSGDSDGVPPKTCTLNDVNGASDGYACEETSGFTGRKCFFADSCEFSNNIVSGEDADFKLVSKFKEAEDQAMVLSEELEQENFLQHTELSLPSLVQTIRGLSVERVNMAYNVSSILKDWIANRASLKEELKEVRSELDSKTRRLEKEKNELQSALEKELDRRSGEWSLKLEKYQAEEHRLRERVRELAEQNVSLQREVSSFCEKEACIKSKMTHSEQQVEDLTTKVKELREEKQNLQKILSELQEKYSASEEGRDCMQRNYEEKVKECKDLHRSITRLQRTCSEQEKTIEGLRGLGEEIQKKNFVENIDKQLGKLQMEQIRLTGLEHALRKEVESCRLEIDSLRHENINLLHRLKDAGKEGGFSTFKLDQELWNRICCLQNQGLSFLADSTQLCNKLLEYMKSNANQFTKAGLGVEDTGLSSQFIIECEVKLQGFNRGIENLTKSLSVVSTVLHEKSQPVSLESQCPVLGVDTCHSNQKSEDIIQSELKAETLLTTLLREKLYSKELDIEQLQAELAAAVRGNDILKAEVQNALDTLSCLSHKTKDLELQMIKKDENIYQLQNELQEYTKELTIVKGILPKVSEERDLMWGEVKQYSEKNMLLNREINILKKKIEGLDEDILLKEGQISILKDALGKPFDLLASPDSEHKYLVN >CDP13950 pep chromosome:AUK_PRJEB4211_v1:2:4569407:4571623:-1 gene:GSCOC_T00039100001 transcript:CDP13950 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRLFCGYCCKPTSESDSLGGLSALAHDLYNFEITSQVPEGLREHVASSKKAQANWYKKLSAAWRESKPPPKTPEEASRLIIRTLKRHQKADVEGLLAFYRLPLPHTLVELTDGTPPPHPQGLQFELQTLPVDAKAVADGDTITVYVRTTDPRESSSVPRDVQVAAVQRSKARAVKNYAKADALHKQIVDAGYRVLMIQNEEILARKYRIRLRGIDAPESAMPYGKEAKEELTRIVQGKCLRVLVFDEDRYGRSVGDIYCNGIFVQESMLKKGLAWHYTAYDRRPELDKWEKDARAKRLGLWASSNPEKPWEWRKDRREER >CDO99734 pep chromosome:AUK_PRJEB4211_v1:2:9388612:9393161:1 gene:GSCOC_T00029412001 transcript:CDO99734 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAAIAPNSTTNSYTCIENSNNNGIGRNGQLETSKAERHVWLMKCPPLVSRSLNQHHPHDYSSSSSSSTTSSSLSFSSSSDGPIAKVVVAVDPLLPNDDFSSTQFTMELAGVESGNIPKCYSMDMSTDFIPMSVFSESAQGKVSVEGKIYHKFDMKPHGKTIEDYGKLCRERTTKYMTKTRQIQVIDDDSGQHLRPMPGLFGLKASGPQEKRKMPVKSSELKRTRRDRNEMEEIMFKLFERQPNWTLKQLIQETDQPEQFLKDMLKLLCVYNNKGSNQGTYELKPEYRKSEDEPNCK >CDP17768 pep chromosome:AUK_PRJEB4211_v1:2:51533228:51540292:-1 gene:GSCOC_T00003883001 transcript:CDP17768 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMRDPKGPLIFKIGQRDHISPNLLGPYFTLTNSHSRQALVSSPLHTFNFFFIVIVIFMAGEESKKLKLYSDWLSSCAGRVRIGLNLKGLEYEYVPVNLLKGEQQTPEFLKLNPVGFVPVLVDGDVVLADSFAILMYLEEKFPQHSLLPKDLHGRGINYQAANIVCSSIQPYQNIAILKFMKEKLGPDADVAWARDQIRRGFAALEKLLKDYSGKYATGDEVFLADLFLAPQIDGAIRIFKVDMDEFPLLARIFKAYLELPAFRDAMPGRQPDTPAEHRD >CDP05248 pep chromosome:AUK_PRJEB4211_v1:2:2734658:2736177:1 gene:GSCOC_T00020226001 transcript:CDP05248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF025 [Source:Projected from Arabidopsis thaliana (AT5G52020) UniProtKB/Swiss-Prot;Acc:Q9FJ90] MLESDQPNNSLRPDDPDPDDPPQPKEQPKPTGIIATASGSNTCECPPPPSQPSPSATIPSPTGSGGPSRRHPHFRGVRSRSGKWVSEIREPRKTTRIWLGTYPTPEMAAAAYDAATLALKGPDAILNFPELAPTYPIPASLSAADVRAAAASAAAAREPPQEPAVLDQAPATSPRDPVEINEDVNASTSGDNQMGQDQFVDEEELFDMPNLLVDMAEGMLVSPPRMKPAVSGESPENSDADNLWSYP >CDO99949 pep chromosome:AUK_PRJEB4211_v1:2:7544975:7549654:1 gene:GSCOC_T00029677001 transcript:CDO99949 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMKWRPWPPLSSKKFEAKIMINQLKGFKFSPEMSMVVQDFSRLAVEIKWKGSKGNALSSLRRIVKRNITKEESLRADGVVEWNEEFHSLCNFAVYKDGVFYPWEVVFTVFNGSNGGELDKVLLGATASLNLADFTSTAEQKDIEVSIPLQVSNSNNESNASLHLSLRLQEMRTSQEPSEIIQRPFACLPLSPYREVLSTEKDEVSTLKASLRKVNLFKGLSTKKPKKESREDEGSDGKSSVRSEDAPCIYPLDTDSLDVSDEEEDAKEGKDTHIRKSLSYETLKSANHAGRLFYSSTSSSEDEDWIFYSCDKVNAGNLSHDDPIASVSITDQSLNSSMRRILPWRKRKMSFKSSKAKGEPLLKKHYGDEGGDDIDYDRRQLSSSDESSFALLKTEEDAITTRSSVSEFGDDNFAVGSWEQKEIFSRDGQMKLQTKVFFASIDQRSERAAGEGACTALVAVIADWFQANPDEMPIKSQLDSLIREGSLEWRNLCENETYRERFPDKHFDLETILQAKVRSLSVVPEKSFIGFFHPEGIEDEGFDFLQGAMSFDNIWDEISQSGQDCTADADPVVYIVSWNDHFFILKVEQDAYYIIDTLGERLFEGCNQAFILKFDRDTTIYQVPNDTQKSDDKAACNKVEQNDLKEAVVAEEQIAVENEEESDPVCQGKCSCKEYIKSFLAAIPIRELQVDIKKGLMASTPLHHRLQIEFHYTKCSRPVLVSDCMQAIGHTEEVLPLAA >CDP15542 pep chromosome:AUK_PRJEB4211_v1:2:46508772:46509161:-1 gene:GSCOC_T00015416001 transcript:CDP15542 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFRGVFGLMVFSVLMIEVANAACSNGTCKILDECSSDADCGAGLYCFSCLAKSRCVRSTALRLVLTYVLLSLSLSLSLSLSLSLSIVFL >CDP15180 pep chromosome:AUK_PRJEB4211_v1:2:49831694:49836334:1 gene:GSCOC_T00042785001 transcript:CDP15180 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MATAVGWTWSWRPPTTTVTCPRRSSPLSLPLPCFRVVHESKTRPFKVCCDYSCFELKDVSYQPPGTKVNLLDGVSFSLREKSFGLIFGRSGSGKTTLLQLIAGFSKPTSGSIHVQRYDNDGCPNRSPELLDANKVGIVFQFPERYFVAEKVFDEVIFGWARQKGGSQLKELLALRLQKAITSVGLTGIALDQDPQSLSGGYKRRLALAIQLVQAPDLLLLDEPLAGLDWRARADVVKLLKNLKKELTILVVSHDLKELSCLVDQSWRMEMGGMLKGEPLPV >CDO99708 pep chromosome:AUK_PRJEB4211_v1:2:9581711:9585002:-1 gene:GSCOC_T00029381001 transcript:CDO99708 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDIQIPTTFDPFADANADNSSAGSKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKDFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLIQAGIVKKENIKLHGF >CDO97558 pep chromosome:AUK_PRJEB4211_v1:2:19938794:19945866:1 gene:GSCOC_T00014939001 transcript:CDO97558 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITGHWAKLSPQLRQLVKQSLIESITVEHSPLVRKASANVVSIVAKYAVPAGEWPDLLPFLFQCSQSAQEDHREVALILFSSLTETIGNSFRPYFTDLQSLLLKCLQDETSNRVRVAALKAVGSFLEFTHDQAEVVSDVKFRDFIPSILNVSRQCLAAGEEDIAVIAFEIFDELIESPAPLLGESVKSIVQFSLEVCSSLNLESNTRHQAIQIISWLAKYKSNSLKKYKLVTPILQVMCPLLAESTNREEDDDLAPDRAAAEVIDTMAMSLSKYVFPTVFEFASLSSQSVNPKFREASVTALGVISEGCLDWMKQKLEPVLHIVLGALRDSEQMVRGAASFALGQFAEHLQPEIVSHYEIVLPCILNALEDVSDEVKEKSYYALAAFCEDMGEEILPFLDPLMGKLLGALQNSPRNLQETCMSAIGSVASAAEQAFIPYAERVLELMKLFMVLTNDEDLRSRARATELVGMIAMSVGRTRMEPILPPFVEAAISGFGLEFSELREYTHGFFSNIAEILDEGFSQYLPHVVPLAFASCNLDDGSAVDIADSEEDENINSFGGVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLYALHTKNSYAPYLEESLKILVKHSSYFHEDVRLQAIIGLKYILTAAQAVFQAHNEGMLKIKEVLDTVMNIYMKTMVEDDDKEVVAQACMSVADIIKDFGYLAMEPYTPLLVEATLTLLQEQSACQQMESDSDDDDDPEHDEVLMDAVSDLLPAFAKAMGSNFAPIFSKLFEPLMKFARASRPPPDRTMVVACLAEVAQDMGTPIAGYIDNVMPLILKELVSSEATNRRNAAFCVGELCKNGGEYALKYYGDALRSLYRLFGDLEPDNAVRDNAAGAVARMIMVHPEAIPLNQVLPVFLKVLPLKEDHEESLAVYSCICNLVLSSNSQILSLVPELVNLFAQIAVSPVETPEVKAHIGRAFSHLISLYGHQMQPLLANLSPAHANALAAIAPNC >CDP08683 pep chromosome:AUK_PRJEB4211_v1:2:53847688:53854418:-1 gene:GSCOC_T00027737001 transcript:CDP08683 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSCFICIYIFYYQVAFPGLDTIGKRKETQACDSCGLTFLSRAMKKMKDATTFKSQFWCEHCVKLRKSKQYCGICKLIWHHSDGGNWVCCDGCDVWVHAECARISAELFKDLENIDYFCPECKAKSNNKLLVSKLEPEFRCRENNGQILLPDTIAVVCAGIEGLYYPSLHLVQCKCGSCGTKKLALAEWERHTGCRAKKWKSSVKLKATLLPLEKWISEYNAHSLDPVRLDKQQLLRFLQENYIPVNAKWTSERCAICRWVEDWEYNKMIICNRCQIAVHQECYGARNLQDFASWVCRACETPEIERDCCLCPVKGGALKPTDIETLWVHVTCAWFRPEVAFLNVEKMEPAIGLLRIPSISFLKACVICKQIHGSCVQCCKCSTYFHAMCALRAGYLMELHCSEKNGAQITRWVSYCAFHSAPSADNVLVMRTPNGVFSTRSVVYNQNQEQWLRGSRLVSSKNAKHLDTSVIVDNEIEPLSAARCRVFTRSSNKRTLPEPVFHRLMGPRHHSLDVIDSLSCRRELQDVKAFSTFKERLEHLQAKIPS >CDP15192 pep chromosome:AUK_PRJEB4211_v1:2:49675573:49687688:-1 gene:GSCOC_T00042807001 transcript:CDP15192 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIGRDDIESLRIELSELGRSLRASFQCQNSSFSSNSALSNGRDDNLDEEIALQWAAIERLPTFERIRSALVDENGENMADDKRKRVIDVTKLGVLERQMFIEKLIRHVEHDNLRLLQKMRKRIDNTGIELPTVEVRYKDLSIEAKCQVVYGKPLPTLWNSFKTMLLVTLCKPQESKICIVSDVSGVIKPGRLTLLLGPPGCGKTSLLKALSGNLDKSLKVSGEITYNGYKLTELVPQKTSAYISQYDMHIPEMTVRETLDFSSRCQGVGSRAAIMTELSKREKEAGILPDPDIDTYMKAISVEGQITTLQTDYILKILGLDICADTLVGDAMRRGISGGQKKRLTTGEMVVGPTRALFMDEISNGLDSSTTYQIVAYFQQLAHITDATILVSLLQPAPETFDLFDDIILMSEGKIVYHGPRTSVLEFFECCGFKCPERKGVADFLQEVISRKDQARYWCRDSAMYIYNSVDMLSRKFKESVYGKKLSEEVSGVFSKSKSQNDAISFTKFSIPKWTLFRACMSREYLLMKRNSFIYIFKSVQLVIIASVAMTVFLRTRMGVDVLHANYYLGALFYALVILLVDGFPELSLTVARLSIFYKQRELYFYPAWAYAIPSAILKVPFSLLEAVVWTSLTYYVIGYTPEVGRFFRQLILLFSMHLSSISMFRFIASVCRNVITSTAVGSLSMLFSLAFGGFIIARTSMPAWLRWGFWVCPLTYGEIGLALNEFLAPRWQKVLPTSNMTIGQKTLESRGLSFDGKLFWISVGALLGFALLFNIGFILALSFLNSPVTRAIISSEKLSQMKESSEPIRIATMKNSESNPNTTTESCKGKMVLPFEPLTVVFQDLQYYIDTPGGMKEHGYTKEKLQLLCDITGAFRPGILTALMGVSGAGKTTLLDVLAGRKTSGYVEGEIRIGGFPKVQRTFARISGYCEQTDIHSPQITVEESVIFSAWLRLHSQIDSKTKSDFVKEVLETIELDDIKDSLVGIPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAIKNVADTGRTIVCTIHQPSIDIFESFDELLLLKSGGSIIYAGPLGPQSSKVIDYFEGISGVPKIKDNYNPATWMLEVTSTSSEAELDIDFAQIYRNSTLYQDNRQLVNRLSIPPSDSKVLHFPTRFPLNGWGQFKACLWKQHWSYWRSPAYNLNRFLHMVFSSLVFGALFWRQGKKLDNQQSLFNILGAIFSAVLFCGINNSSSVLPYVSTERSVLYRERFAGMYASWAYALAQVTVEIPYILAQSLAYTIITYPMIGYYWSAYKVFWYFYTMFCTLLYFNYLGMLLIAITPSFPVAAILQSTFYTMFNLFAGFLVPRPQIPKWWIWFYYIIPTSWTLNGVLTSQYGDIEKEIEVFEETKTVAKFLTDYFGFHHNRLPIVAVVLALYPIVFATLFAYCIGKLNFQKR >CDP16437 pep chromosome:AUK_PRJEB4211_v1:2:35542592:35543342:-1 gene:GSCOC_T00018336001 transcript:CDP16437 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYISRSKKDIKACNMVFYFLVGNAFFLSLLSGSLLDQIGESFTHPKDFPGHLAGAVSAQADFFMTYILTNGLFGFSLEILQPGLFMWNYIKSHTWHRGKRKTAYLNSIPYYRIIPFVALSILIGMVYAIISPLLLPFLVGYLLLGYVVFINQVISLSFKKSLRISYF >CDP05172 pep chromosome:AUK_PRJEB4211_v1:2:2146237:2150635:-1 gene:GSCOC_T00020127001 transcript:CDP05172 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFKRKGPSGFSSSSTAEEVTQGIDGSGLTAIVTGASSGIGAETARVLALRGVSVIMGVRNMAAGQEVKDAIVKETPEAKVDVLELDLSSLASVRNFAKEFNSSGRPLNILINNAGVMGTTFELSKDDIELQFATNHLGHFLLTNLLLDTMKKTCHQTKREGRIVIVASEFHRYTYREGVRFDKINDQEGYNRYLAYGQSKLSNVLHANELARRLKEDDADITANSLHPGGITTNLYRHSGIFRGLGSIIGKFVYKNIPQGASTTCYVALHPQVKRIRGEYFDNCNIAKASSMAMDADLARRLWDFSVSLTKPNDS >CDP05371 pep chromosome:AUK_PRJEB4211_v1:2:3669009:3670217:-1 gene:GSCOC_T00020383001 transcript:CDP05371 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSVKISRAAEQVTSAQIVASIDGLLEDILLRLPIRSLIRFKFVSKRWLSVISNPQFAVLHQDRSPTLKPAIGLFLPCTSFRSNPTKLEYINFDVHDLTSPPFSVLKFAKDPSAGSIKILQSCNGLLLCSSYRAQRPRQNYHVFNPTTKQLTTIPKPAYGRTICGVSLAFDPTKSSAYKVVCVRASELVSGSYQIQIYSSDTAGPWRVSGDPFAAKHDFKNGIYWNGSVHWLGHWGRDNSLYFNVDQERLGEVGPTPNNAEQEAEMTYFGESCDHLNLIQMYGRPDVEFYVYEMRRDCSGWDIKYMVDLSAVTSAFPRMIRTELDSYDGGYYALSVLSIIRGEKEEEAFLVLQIPGKAIRYNLVTKTLAKLCDFEGAEDEGYLRFEGVNGYQYIESLCCV >CDO99817 pep chromosome:AUK_PRJEB4211_v1:2:8647997:8655268:1 gene:GSCOC_T00029511001 transcript:CDO99817 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDNQNWHLHVSFDQWVAVPVPGPRPAARYKHAATVVDEKLYISGGSRNGRYLSDVQAFDLKSLTWSTIKLKLESNAVKMNDGIKFEGLPAIAGHCMIKWENKLLLLAGHSKHVSDGVTVHFIDLETHNCGVIETYGKVPVARGGQSVTLVGSKLVMFGGEDRNRRLLNDVQILDLETMTWSSVETTQTPPAPRFDHAAALHTERYLLISGGCSHSVFFSDLHVLDLETMQWSQPQIHGDLMRPRAGHAGVTLDENWYIVGGGDNRSGAPDTLLLDMSKLAVSVLTSVKGREPLASEGLSVSSAVLDTKKFLVAFGGYNGKYNNEVFVMRPKLKDNLLPKIFQSPAAAAAAASVTAAYAWTKSEKLDLTEREDSNFKVIQVDHSQQDLSVEISSIREEKRLLELSLKEVRSENSSLKAKIEEINGTHAELSKELQSVQGQLVDERSRCAKLEALIAELQKNLGSLQPVEEEVQILRKQKDEFEREMELAAASAQRQNSGVWKWIAG >CDP00095 pep chromosome:AUK_PRJEB4211_v1:2:6256596:6259736:1 gene:GSCOC_T00029889001 transcript:CDP00095 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] MASQADAHAATFSAAELEFLAEDELIEIVPNMRMDPLNLICGDFGPFRPQIATQVPVWLAVALKRRGKCTIRPPDWMSVEKLTQVLEAERDAEKFQPLPFHYVEISRLLFDHARDDIPDIYMVRSLIEDIKDVRFHKIGTGLEIISRERTYALRLKNLSAMEANIVRPFVTRALQTFYKLNSPDMIQESDSVSNRQPQTANRGPRRQLKDR >CDP08832 pep chromosome:AUK_PRJEB4211_v1:2:52646453:52652090:-1 gene:GSCOC_T00027940001 transcript:CDP08832 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDAMTFSGSIPYYMQRGVTASGSGLQGSPTIYPLTGASASFQPNTGGSSIGSTLPVDPSSTMSGRGVSVGAPSAVPQGEPVRRKRGRPRKYGPDGKVSLALNPTSSTPPPTTTPTQKRGRGRPPGSGRKQQLASIGGWLSSSAGFGFTPHVITISVGEDITTKIMSFSQQGPRAICILSANGAISTVTLRQPSSSGGTVTYEGRFEILCLSGSYLLSANSGSHSRTGGLSVSLASPDGRVIGGGVGGVLIAASPVQVIVGSFVCGSSKTKEKDGQSLGSAGDLDQQTVDNSVTITSFPPSQNLTSTSSMGTWPGSVQMAHGDIDLMHG >CDP08524 pep chromosome:AUK_PRJEB4211_v1:2:41882240:41882401:1 gene:GSCOC_T00027463001 transcript:CDP08524 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLHPHVLAIPYPAQGHVLPLMELALCLVRQGIRVTLVNTEFNHKRVTKSLS >CDO97392 pep chromosome:AUK_PRJEB4211_v1:2:18676580:18684790:-1 gene:GSCOC_T00014721001 transcript:CDO97392 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQRIQIKRIDNLTARQVTFSKRRRGLFKKAQELSTLCDAEIALIVFSATGKLFEYCSSSMMQVIERHRLCSEDIGRQDKHPPHHTQRENHTHAMLAEEIKEKTAELRHLKGEELVGLSMEDLVKLEKLVEAGLSRIAKTKGDKFMKEIGILKKKAALLKEENAKLRQKVAGTSEDETPLLEQGISSESVTRLSDQAGSCPRDLNNSDTFLQLGEDEIAVAEDRIYNLSIAGSMMPRNCSLCCIIFFKKNNMHVCQACGSLST >CDP14000 pep chromosome:AUK_PRJEB4211_v1:2:4978827:4980436:1 gene:GSCOC_T00039158001 transcript:CDP14000 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKILSEEIIKPSSPTPHHHPNTYKVSLLDQFSPSSYMPFILFYKNKNNSLDHQDSAQTLSHLKESFSKTLAIYYPLAGRFRDAATIECNDEGGLYVEAQVNGTLPEFLKQPDVQFLNNFLPCKANGLEKDRIPPVAVKITQFQCGGLVVGACLFHKVVDAAASAAFLNSWARVARGEKVVEPDFSSASALFPPKDPLSDDFVRYFDNFFFQGKKSYMRRYVFDATALTTLRANAASEKVPNPSRVEALSAFVAERLTWASTAAKSEIFSTLMITHPVNLRQRIEPPLPDNTFGNIIWLAFAFYEIDPSNTEKKIDHADLVKILREAFAGLNKDSIAELDADEAFNALNEVLESVYTNENIKIFRFTSANNMGLYDVDFGWGTPSWVAHMGDMVGYRSKQQFVFLEATTGKGLELWLASDEAELSILEKDPEFLEYATPNPSICIE >CDO97354 pep chromosome:AUK_PRJEB4211_v1:2:18384501:18387576:1 gene:GSCOC_T00014674001 transcript:CDO97354 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLASGNESSWAQSGGGFMGKLPYLNPNPNPNPKKKQKQFHPQAVNGGGRQNHDNSPAVTQTASDDAYSFNQIPTTEINRNGFNHGRYMTYNVTSYTKSELSELRKRLLSELEQIRNLRDRIESGHFSGCNSAHNPKSHGKSKKLPGNKRPIPVGSNKDPKRFPNGIDRAPGGNAGNSVDNEGLMKECRQILTKLMKHKNGWIFNAPVDAVNLGLHDYHQIVKRPMDLGTVKSNMAKYPSPAEFAADVRLTFNNSLLYNPKTDQVHGWAEFLLARFEELFRPIEEKIGNRRGFSGGGGSGFGGLVEELQGSSWNHIPTPERAKKPKSSPVVKKQERMQAHSSASTPSNPPPPLNPPKAQSPVPTPSPVRAPPAMPSQTAVRAAMTKQPKPRAKDPNKREMSMEEKHKLGIGLQSLPQEKMPQLVQIIRKRNEHLAQEGDEIELDIEALDTETLWELDRFVTNWKKMVSKTKRQALMMNNNNAAAVPSTSNAEVDGGAVSERNDSTKKPRKGEAGDEDVDIDDDMPATSFPPVEIEKDDGVGQDHGHASSSSSSSSSSSSDSSSSSDSDSGSSSGSDSDADDAQS >CDO96749 pep chromosome:AUK_PRJEB4211_v1:2:12633304:12637293:-1 gene:GSCOC_T00013864001 transcript:CDO96749 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKTVSFCFAILVVLLLTPLQIASACYTSIYAFGDSLSDAGNYIHIYQQMFPGTHKLPRYASPPYGETYFHHPTGRTSDGRTIIDFMAEYYGLPLIPPYIRGVNQSSSNFGAGINFAVVGAPALDVGFYEERRIHFTTSNISMRTQLSWFKGVLPSLCRSSSCRELFNSSLIVMGPFGGNDYGHSFLQGRSLEETKTLVPLVINAISISIQELINLGVKNIMVPGMLPDGCLPISLTMFKGYKKEDYDRITGCLIWLNDFSKYHNELLQAELTRIRQRHRNAVVMYANYYDALMQLYLSPEQYGFGGEPLTACCGAGGIPYNYDSDAVCGDPPSRACAQPSLYISWDGAHCTEAAYRFITKSLLEGPYTAPHINSSCSLITEESGYSSAATATTR >CDO97555 pep chromosome:AUK_PRJEB4211_v1:2:19925384:19927230:1 gene:GSCOC_T00014934001 transcript:CDO97555 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGLYPNAFLAAKMVAMYASCGDINSAVQLFSAVEYPSTLLFNAIIRAFTLYGESHTTILIYSQMHSLGLRGDYFTFPFVLKSCADSLCFELGKCVHGLSLRSGLNFDIYVGTSLIDMYVKCGALDVARTMFDEMPVRDTSSWNALIAGYMKDGFVNSAEGLFRSMPNRSIVSWTAMISGYTQNGFADKALGLFDEMISRDTDVVKPNWVTIISVLPACAHSAALERGRQIHQYARERGFDSNTSVMTALMAMYSKCGSLADARVCFGRLRPNERNLVAWNTMITAYASHGCGKQAVSTFEQMIGAGVQPDAITFTGLLSGCSHSGLVDAGLKYFNCMRSMFLVDPTLEHYACVVDLLGRAGRLVEAYNLISQMPMQAGPSVWGSLLAASHKHRNLEIAELAAKKLFVLEPANAGNYVMLSNMYAKADMWEEVNSLRTLFKSKGVKKTPGCSWTEVNGKAHLFRCGDTSHLQTKEIYSLLEELPEKIKAAGYMPDTSFALHDVSEEEKEHYLATHSEKLAVAFGLLSTSPDTVIRVTKNLRICGDCHIVIKFISKIYRREVIVRDVNRFHHFKDGSCSCGDYW >CDP05119 pep chromosome:AUK_PRJEB4211_v1:2:1685837:1692628:-1 gene:GSCOC_T00020059001 transcript:CDP05119 gene_biotype:protein_coding transcript_biotype:protein_coding MENMLYAEELVREFLVFRGFTTTLQSFEKELATDVGKGFQVEKILDLVFSIYIPKFQAESLVDLLSFFKQCFSSSETAHIEALLKLHVSILRYYIVYAIQSRRKDKVVEFFDAHGTNLLQNNQEWASWFAIPYLKNPHLEPQFRMYFTKEWYNALHLSVRNFLSKMFNALLKISSERITVNRLKKDIKQLNLKLSELQALLEEKEAQLSQSRSNALVQAEVGILATNSSSKFAAANAEENSISRRVKSTSDLGKINSIQDQSGDMPAEDFHSTSRVDSHLSGSERSHDLIAAQETDGEMHRDEDFPEVKVEFQERFLGHTSPISRCRFSASGDNIASASVDGTVRIWTYDSSAPASRNATIYCGAEVLSLEWDCKSDRLLLIGTADGCIKAWNVDAKRVVCDLNSNREFPSVLDIKCSPVEPIFVSASASRGHGTNYIDKLGFASLTVWNMRSWKAMTVLPLGKDPPAITSVCFNHNGKLLAAAATDGMIHMFDMSAGLQITGWPAHDSAISSVHFGPDETSIFSLGADGKIFEWSLHNQGKILWSRNCSRFCNFENSLHCRHEMALDANGKRLLLTSNSVRAPIYQVRDCTTGMRTLPHSACVTTVDWHPTLPIFLTGSADNSVRVTSIS >CDO99621 pep chromosome:AUK_PRJEB4211_v1:2:10677108:10683773:-1 gene:GSCOC_T00029268001 transcript:CDO99621 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKASVSKELNAKHIKILEGLLKLPENQYCADCKSKGPRWASVNLGIFICMQCSGIHRGLGVHISKVRSATLDTWLPDQVALIQSMGNEKSNSYWEAELPPKFDRVGIENFIRAKYVEKRWIPRNGNFKASPAVREDRILDKKMGSGSRRTGYLKCTDNLSEERKNSKLLNNVTSSPSIQNGCNPAPPKVSEQIASAVKLQEVHQKLEPSDTQARKGQPGGRATPMESEAKVEYATDFLNKLSMEEAKENDPKLSAANNLSLGRSQTAEATQCLEQKVPSKVAENKSKLDSGIEELLRDFQWNTPTVVGKPLDDMKNDTMSLIDKSSMVSPLPVQQQHTMLAEQQSSYMAAAPRPWGQSHSIPNNIHQATSNAQNWGRISYQAPGRMMGSVQPLNYYAAASASPNLSMYNATPMAPVGGISAAGMGRPYSTLSAPSNYDFSSLVQGMFTKR >CDO97160 pep chromosome:AUK_PRJEB4211_v1:2:16906417:16916684:1 gene:GSCOC_T00014414001 transcript:CDO97160 gene_biotype:protein_coding transcript_biotype:protein_coding MERAALLRSLSSTSQLAGSRIFCRSLHRLPRFSPKRHRPLAKTQQRSILPCRHHLPLLTALLPHQHHKMLGADDDVADKLGFDKVSEQFIEECKSRAILYKHRKTGAEIMSISNDDENKCFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPQCADNLQIFQQEGWHYELNDPSDDITFKGVVFNEMKGVYSQPDNILGRTSQQALFPDNTYGVDSGGDPQVIPKLTFEEFKEFHRKYYHPSNAKIWFYGDDDPNERLRILSEYLDMFDASSAPNESIIQPQKLFSEPVRIVEKYPVAEGDDLKKKHMVCLNWLLSEKPLDLETELAMAFLDHLLIGTPASPLRKILLESGLGEALVGGGIEDELLQPQFSIGLKGVQEDNIQKVEELIMKCLKQLEEDGFDSDAVEASLNTIEFSLRENNTGSFPRGLALMLRAIGKWIYDMDPFEPLQYQKPLMNLKARLAEEGSKAVFSPLIERFMLKNPHRVTVEMQPDPEKAFHDEEAEKQILNKVKASMTQEDLAELARATEELRLKQETPDPPEALKSVPSLSLEDIPKKPTYVPTEVGDINGVKVLQHDLFTNDVLYAEVVFNMSSLKQELLPLVPLFCQALKEMGTKDMDFVQLNQLIGRKTGGISIYPFTSSVQGKEHPCTHMVVRGKAMSSRTEDLFNLMNRLLQDVQLTDQKRFKQFVTQSKARMENRLRGSGHGIAASRMDAKLNAAGWIAEQMGGVSYLEFLRGLEEKVDNEWPEIASSLEEIRNSLFSKDRCLINLTADGKNLASAEKYISNFLDLLPRSSSVESFAWSARLPSTNEAIVIPTQVNYVGKAANLYDAGYQLKGSSYVISRYISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSFLSYRDPNLLKTLEVYDGTSDFLRQLEMDDDSLTKAIIGTIGDVDSYQLPDAKGYSSLLRHLLGITEEERQRRREEILSTRLSDFREFAAVIEAVKDKGVVVAVASPDDVGAAHKERPAFFEVKKAL >CDP09414 pep chromosome:AUK_PRJEB4211_v1:2:22703450:22706859:-1 gene:GSCOC_T00028767001 transcript:CDP09414 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHLRRNLGKYFTPVGPFSAGFAGSSTARSGASARATALLPARRQFSSWSATHLKREFHELMAEFKELSFSDCLQLTIGTCVFACAVQRTLCDIKEFTKSACSRTGIANLKFSDKRDGGGTDCGGGRDGV >CDP17826 pep chromosome:AUK_PRJEB4211_v1:2:27267261:27270541:1 gene:GSCOC_T00009446001 transcript:CDP17826 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGVNKGVFRKKVVENEDYQRQKMVVDLVKKSEAWLRSGGRDHVFVLTDPVAMWHVREEIAPAVLLVVDFGGWYRVDSKGSNDNSSDMIHHTQVSLLKDVIIPYTHLLPRLQISENKKRHTLLYFKGAKRRHRGGLVREKLWDLLVNEPGVVMEEGFPNATGKEQSVRGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDDIELPFEGIVDYAKFSVFVAVSDALRPNWLVSHLRSYSDKQKNRLRRNMAQVQPVFDYDNGHPGGIGPLPTNGAVNHIWKKVLQKLPLIKEAIVRERRKPSGVSVPLRCHCT >CDO97277 pep chromosome:AUK_PRJEB4211_v1:2:17798673:17802247:1 gene:GSCOC_T00014556001 transcript:CDO97277 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLREAMKKRILFALFFLLIFVTKRCVTQPHACDASDPNAVNYAFCNTSLSYEERARDLVSRLTLEEKVQQLGDEAKGIPRLGVPFYYWWNEALHGVSNTGKGVRFNETVPGATSFPAVILSAASFNTDLWYKMGQVVSTEARAMYNVGLSGLTFWSPTINVLRDPRWGRAQETPGEDPLVVSKYAVSYVRGLQEVGNQEVNKSGPNRPLKVSSCCKHYTAYDIDNWKGITRFTFDAKVTAQDLEDTYQPPFKSCVQEGHVSSVMCSYNKVNGIPTCADPNLLKGIIRDQWGLDGYIVSDCDSISTYYKQMNYTDTAEDAVALALKAGLNLNCGTSLPDYTQKAIDLKKVEEATVDESLIYNYIVLMRLGFFDGDPKQHPFGKLGPSDVCTDDHQNLGREVARQGIVLLENDGVLPLSQNITRKLALIGPNANATTTMISIYAGIPCRYTTLLQALEQKYGESLTYEPGCSDVECRNASQIEAAAKAAASADTAVIVVGLSQSIEREGLDREDLKLPGFQEKLVMDVSEAAPGPVVLVIMAAGPVDVSFAKNNSKFGAILWAGYPGQDGGDAIAQVLYGDYNPGGRSPFTWYPQEYAEKVPMDDMHMRANACASFPGRTYRFYTDKPVYDFGHGLSYSNYSKVIIVAPGNIFIQPKTSHRPFNIRHLDLASESNQVIDVSEVNCKNLQFELIVGVKNVGKMDGSHVVLVFWKPAVAQGVTGTPNIQLVGFEKVEVKQGEAESVPVKLDVCKHLSIVDAEGKRKLVAGQHTLVIGSSSEQQLEHRFDIQLGNAGWGEGVMSF >CDP15507 pep chromosome:AUK_PRJEB4211_v1:2:45772226:45774346:-1 gene:GSCOC_T00015363001 transcript:CDP15507 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCNQEEEEQLLQTLINPPQESPLSTTTTSSSAAGARGGDGEFESTHMTISPMNSNYSALLCQDTLRAIFEKLPLSDLARAGCVCRLWSFVASDREMQTRAFKARWKIKDVIGDPSSGSFWRDNSISKFAISHHLLRGDTVAGLAVKYSVQVMDIKRLNNMMSDHGIYSRQRLLIPVSKPELLVNGTCYIELDTYAKREVAVLYLEGGPDGKLGNLLNRLTTEEGRRRVIDSLRRSMQADGETAQYYLSISDGDLRAAMTQFSEDLRWERHMGLA >CDP00080 pep chromosome:AUK_PRJEB4211_v1:2:6341064:6344039:1 gene:GSCOC_T00029869001 transcript:CDP00080 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRKREAPAPAVGIDLGTTYSCAGVWQHGRVEIIANDQGNRTTPSFVAFTNTGRFIGDAAKNQVDMNPTNTVFGAKRLIGRKYIDPSVQSDIKHWPFKVIPGLGNKPMIVVTYKGEEKLFATEEISAMVLAKMKETAEAYLGSTVKDAVVTVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAASIAYGLDTKSESTGEKNVFIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNTMVNHFVQEFRRKNNLDISGNPRALWRLKTACERAKRILSFSTKTEIEIDSLFQGIDFYSTISRAKFEELNKDFFTKCMELVQKYLCKSINPDEAVAYGAAVQAAVLSGGGLEKFQDFVLVDITPLSLSIELVGEVASVVVPKNTSIPTKLGKVFVTSKDNQTVVRFTVFEGESASTKDNNLLGSFNLSGIPPAPKGVEMFDVYFDIDANGILNVSAVHKTTGQKNHIIITNDRGRLSKEEIEKMVLAAEKYKFEEEMYKKKVEAKNALEIFAYRMKITIMNEKITAKLPPADKKKIENAIELAIQWLDDKKMIKKCVDDASKSVYVNKVKILMYNPNR >CDO99483 pep chromosome:AUK_PRJEB4211_v1:2:12098967:12099517:-1 gene:GSCOC_T00029077001 transcript:CDO99483 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAVFPITVKAGCLERREKVQRSPRSLVGSSIASIQKSTAPEMLLLLMDEAYTGSTIGDAEVDSESEDSSTLAGRRGL >CDO97304 pep chromosome:AUK_PRJEB4211_v1:2:18008000:18012037:1 gene:GSCOC_T00014611001 transcript:CDO97304 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVVCSPATLSAFPRPLPLNNDTGGHLRLWLCPTGPPPLHNHFSRRPSDFSKECERLDTEPRHRSRSRSGVVNAGYAVDDDDEDEDDDEDEDRSLDLLIRFIQNIFKKVSRRARKAVRSVLPVPISSQLVGFSVNGVIILTFMWVLKAFLEVVCTLGSVVFISILLIRGTWTGIAYLQESRNYRPDELDDEHRTWTGSQPAT >CDO97411 pep chromosome:AUK_PRJEB4211_v1:2:18847858:18849186:1 gene:GSCOC_T00014751001 transcript:CDO97411 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLKVQIFSRKLIKPSNPTPSHLRSFKLSLFDQLAPPLYVHILFYYLLDEDHNRAENDERFAQMQKSLSRVLSKYYPLAGRSLKDELLIDCADQGVEYFEAHVNGELVDPLVAVQINKFECGGVVVGIQISHFIVDASSMMTFLKEWANSCTSPTEMYPVLSPNYNDHFVSIFPARTLSGPKPRPPADYPAKIVTQRFLLDETIIEKIMEGAALSCSKCNFHPSRVVVALALIWRALLGVCLAKHGHFRDSIVAIAMNLRGKTALTISEPNYGNFWTSVIVPLEAKKAKIQLQELMILLDNRIKSTSEKLATASPEDISSMLIDSRREIIEKRYLSVGIDVYVCTSWCRFPIHEVDFGWGKPHWVSHASKAIEAIGLMDAKKGEGLEAWVSLKEEDMIEFKRALEVLVPVPK >CDP17534 pep chromosome:AUK_PRJEB4211_v1:2:29392907:29398122:1 gene:GSCOC_T00004493001 transcript:CDP17534 gene_biotype:protein_coding transcript_biotype:protein_coding MSGICLMSEGKKEWRKEEKDLVKKRSAEEEKQLEIEEKALTDVLKGPNRAFGDLIAASGITEAMLDSLIALKDLEGIQGLPPLREIEDMRYAKSTRKSARAEIERQKQEEAAKARVRQVDDKGRAYGTGRRKCSIARVWVQPGDGKFVVNDKEFDVYFPMLDHRAALLRPFSETKTLGMWDVSCTVKGGGVSGQVGAIQLGISRALQNWAPDLRPPLREGGFLTRDSRVVERKKPGKAKARKSFQWVKR >CDP08609 pep chromosome:AUK_PRJEB4211_v1:2:39252204:39260999:-1 gene:GSCOC_T00027619001 transcript:CDP08609 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTINSFAVSQLGSLISKFRWDASVRHRSVSSRKLIKFRADSSRVYGISAARQKENNGDLSSSGKTLAADYFEGNEGANDAAEILQPLWDDGFGIQTFKDYLEIAKDIVRPDYGPPRWFCPIECGCPLKDSPVLLYLPGLDGLGLGLILQHKALGKVFEVRCMHIPVFDRTPFQGLVNFVENAVKLESASSPKKPIYLVAESIGACIALSVAARNPVIDLVVILINPATSYSRSQLRLLLPLLEALPDQLCTMMPYLFSMTSGDSVKRAMLNISGFSLAEGAKEVFRNLAALSQPLFGLIDIMPVEFYTWKLKMLKTAAAYANSRLHAVKAEVLVLASGKDNMFPSEDEGRRLSDTVGNCNFRCFKDNGHTLLLEGTVNLLTVIKGTGHYRRKTKRDEVSDYVPPSLSEYKLAFDKILGYYRLATSPVMYSTLEDSNIVRGLRGVPDKGPVLLVGYHMLLGAEIYSLVEGFLREKNMVVRGLSHPEMFTKNFGSKYAESSNFDLFKVFGALPVSERNLFRLFSAKSHVLLYPGGVREALHRKGESYKLFWPEQPEFVRMAVKFGVTIVPFGVVGVDDIVELFLDYNEQMRIPFLNQQIRQQNHNAIKLRNRIERTGEEVSHQDLFVPGFYPKIPGRFYFLFGKPIETEGMKECLKDEKLTKILYKKIKSEVEKNIAYLLEKREQDPYRNILKRMAYCDLSMHVDHVPSFDT >CDP04930 pep chromosome:AUK_PRJEB4211_v1:2:213830:217228:-1 gene:GSCOC_T00019800001 transcript:CDP04930 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLSAFLLGAGCLAFGYLIGIRHSARLFRSTAALTDTGTPITYRKNNNQLKPAIEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKILHRAPKALNRWEMCGQVKVVVKIESEDEMLVLQERAKALSLPTHVTVDAGRTQIAPNSRTVMSILGPADMVDDVTGGLKLL >CDP15226 pep chromosome:AUK_PRJEB4211_v1:2:49088583:49089978:1 gene:GSCOC_T00042860001 transcript:CDP15226 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNTNSPVTSGLVKAASLSSRAYVTFLAGNGDYVKGVVGLAKGLRKVKTVYPLVVAVLPDVPEEHRRILLNQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMVYLDGDIQVFENIDHLFDLPDGYFYAVKDCFCEKTWSHTPQYQIGYCQQCPDKVQWQEELGQRPPLYFNAGMFVYEPSLPTYDELLSTLKITPPTPFAEQDFLNMFFRDVYRPIPPIYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRYTGKEDNMDREDIKVLVKNWWDIYNDETLDYKRSAANISATIGGEAEAKNLKARALRCIPAPSAA >CDP08622 pep chromosome:AUK_PRJEB4211_v1:2:54400208:54402930:-1 gene:GSCOC_T00027642001 transcript:CDP08622 gene_biotype:protein_coding transcript_biotype:protein_coding MRISVNGQSQVPPGFRFHPTEEELLQYYLRKKVAYEKIDLDVIRDVDLNKLEPWDIQGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNSRRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNSTSNNAAEVENVCNAAGEAAAQEEGWVVCRIFKKKNHHIIKSLDHATPFVTTCSIVTTAECTRGPRQRLIGSSDDDDDERSMEKILEYMGRTWAEERGRDQANQSATPMFPMMPVDIVTKSTGQLCDRFMKLPGLESPKSSPRTTTACTHACYQPITSLHVLPGRVNTTSTNYRPSETAAGLSDWAALDRLVATHLNGQNETAKHFCNNNNNNRRDYHHDECELWSFVRSSLAPADPPFSHMPNVRV >CDP05246 pep chromosome:AUK_PRJEB4211_v1:2:2716404:2721124:-1 gene:GSCOC_T00020224001 transcript:CDP05246 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIFCGNFEYDARQSDLERLFQRYGRVDRVDMKSGFAFVYMVDERDAEDAIRGLDRIEFGRKGRRLRVEWTKQERSRKPESSKASSGLRPSKTLFVINFDPYHTRTRDLERHFDPYGKILNVRIRRNFAFVQYESQEDATKALDATNLSKLLDRVITVEYAVKDDDDRRSGYSPDRSHGRSPKRSYDGGRSPSPYGRERASPDYGRGHGRSPYRRERVSPNYGQGPCPVRSGRERNSEHGSGRQPSPRKERNSDHSHGHSPSPRRERLSSENGHNNSPRERRRPKKEHDISPNPQREKRERMSPDDYRNGRSPSSNPELTDSPGNGGAESPLPQRQRSRTPPARERSQS >CDP19307 pep chromosome:AUK_PRJEB4211_v1:2:28939954:28945876:-1 gene:GSCOC_T00011538001 transcript:CDP19307 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEGKMVCVTGASGYIASWLVKLLLERGYTVKGSVRDAYDPERTQHLTSLDGAKERLQLYSANLLEEGSFDAIVEGCEGVFHTASPIKLSFSNPEAELLEPAVSGTLNVLRSCANSSSVKRVVITSSMVAVSKNRELKEDVVVDESWFSDPSYCEEQKSWYELSKTMAENAAWKFAKERGIDVITIHPGLVIGPLLQPSVNSSAVLFLNLLKGVEPFPKATCSWVDVRDVAYAHVLALETPSASGRYCLVERCANASQIIKILHEHYPTHQFPDKMSNNTNLISPNYRASNEKAKRLGVQFTPLEVSLKDAVEFFREKNLVSI >CDO96966 pep chromosome:AUK_PRJEB4211_v1:2:15396094:15396390:-1 gene:GSCOC_T00014164001 transcript:CDO96966 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLPSMIANARQFYKLQSLLQRNRQSDVPKGHFAVYVGEMEKKRFVLPISYLNHPTFQDLLRKAEEEFGYDHPMGGLTIPCNEDAFVDLTSRLNSL >CDP08697 pep chromosome:AUK_PRJEB4211_v1:2:53742885:53745805:1 gene:GSCOC_T00027754001 transcript:CDP08697 gene_biotype:protein_coding transcript_biotype:protein_coding MERNFLFSPHKISPPVAAFPFCISYESYLRQKPQCSLRTPFSVCRRNLEKTLFLGESLVFQEKNDFWGDSRRTHVPLLPTRSGAVKRRKELPLDNVIQRDKKLKLVLKIRKLLMSQPDRIMALRDLGRFRRALGLDKKRRFIALLRKFPAVFEIVEEGAYSLRFKLTPEAERLYLEEMKIKNEMEDLLVVKLRKLLMMSADKRILLEKIAHLKNDLGLPLEFCDTICQRYPQYFKVVSTGRGQALELTHWDPELAVSAAELAEEENRERELEERNLIIDRPPKFNRVKLPRGLNLSKGEMRRISQFRDMPYISPYSDFSNLRSGTIEKEKHACGVVHEILSLTVEKRTLVDHLTHFREEFRFSQQLRGMLVRHPDMFYVSLKGDRDSVFLREAYSDSQLIEKDRLLLIKEKLRSLVAVPRFPRTPIPKTGTGGEEGSEAEEEDECLDIDSFAGDKLDDDEDFDEDDLDFEDNWSSDEDDLSPDLNGDDGIMNLEAHKQSRKIDDSEKEDKPLVPVFPDGRPRERW >CDP16547 pep chromosome:AUK_PRJEB4211_v1:2:42706929:42713077:-1 gene:GSCOC_T00018930001 transcript:CDP16547 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAHIRSLLVFMVFYLVHYSDQSQPSQYQALLRIKHQLNFPAEISSWTENTDFCNGEPNSFLTLVCYEDSITQLHVEGNSFFPQLSQDFSTVDLFFNLLSLPNLKVLSLVSLGLGGELPSTIGNLSSLEIVNISSNYFEGSIPTQIFKLKNLQSLVMDHNKFTGQVPDCLGRLPLLAVLSLKNNSLSGSVPTSVSSLETLRTLVLSTNMLSGEVPSLQNLANLQVLDLEGNKLGPHFPRLPTKLVSLVLRKNNFIYAKLNELSSFFQLQKLDISLNQFVGPFAPSLLSLPSLTYLDIAGNKFTGRLLEGTSCNAELHFVNISSNRLTGVLPTCLESNAKSRTVLYAGNCLSESYQNQHPYSFCHNEALAVSVLPHKQENETHSKAVLASSMVGGIIGAILLLGLALLIVRREYGKQKINKVPHARLIVEKVSPAITLKVLKDASYISETRKLGPLGLPPYRTFVLDELKEATNNFSALNLIGDGSHGQVYKGLLTDGTLVAIRCLKMRKRHSIQTYTHHLELISKVRHSHLVSALGHCFDCHPDDSSVNKILVVFEHVPHGTLRGFVSDGHLQQKLTWTQRMVAATGVAKGIQFLHTGMVPGIFSNQLKITDALMDHDFHVKISKYNLPLLAENGRPECVTTSSIGSKEKVGHRLRYEEKDDVFDFGVILLEIIVGRAIVSQNDINVSNDILLVSLTADDIARRSIVDPIISKECSDASLKILIQLCLKCLSNVPSDRPSVEDVLWNLQFAAQVQDSWHRDSSSNHGSPIHFC >CDO99649 pep chromosome:AUK_PRJEB4211_v1:2:10331368:10332162:-1 gene:GSCOC_T00029304001 transcript:CDO99649 gene_biotype:protein_coding transcript_biotype:protein_coding MCILYGLSCFGARQQFGLILGKRNLWKKRVHLCSSLCEICPAYLFFTWLKRESWRLYCGIKSSLIHMAGLEPVKLILVVIEVPFSSAPRYLHHSPLYLFPG >CDO99571 pep chromosome:AUK_PRJEB4211_v1:2:11277782:11285175:-1 gene:GSCOC_T00029205001 transcript:CDO99571 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCFGASSTKQNRANYYSRPDTGDAEGILLGKTKNFSINELNAATDNFHQSNKIGRGGFGTVYKGKLKSGTPVAVKKLSAFSKQGVREFLTEIETISNVQHPNLVRMIGCCVHGPDRILVYEYLENKSLDNALLGRSRVVNLEWNKRTAICMGTARGLAYLHEELVPHIVHRDIKASNILLDKDFAPKIGDFGLAKLFPDDITHISTKIAGTTGYLAPEYALGGQLTMKADIYSFGVVVLEVVSGKSSSSSFWGGARKLLLEEAWQFYEEGKLLELVDPELKEFPEQEVLRYMKVALFCTQAKAHRRPLMSQVIEMLSRDIRLNEKELTPPGFFQESGGLSSLQSKEKSSEGSTSYQMSSVPVSITQVKAR >CDO97343 pep chromosome:AUK_PRJEB4211_v1:2:18295615:18303231:-1 gene:GSCOC_T00014660001 transcript:CDO97343 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKKEEEPKPLQISDPTLNPNPSTVIEVSTTPATAVAPMALSSPPKSSWFTPRRVLVIFSVINMLNYLDRGAIASNGVNGSRRTCTKSGTCSAGSGIQGDFNLNNFQDGVLSSAFMVGLLVASPIFASLAKSVNPFRLIGVGLSVWTIAVAGCGFSIDFWSISICRMFVGVGEASFVSLAAPFIDDNAPAAQKNAWLGIFYMCIPVGTAVGYVFGGVVGNQLSWRYAFWIEAILMLPFAVLGFLTKPLQLKGFAPAGSRKALTTVETAASDNQGGELSLTGEFVKQNSQLSRSKASTNLNQVTRFLRDMRALLGEKVYVVNVLGYIAYNFVIGSYSYWGPKAGYGLYHMSNADLMFGGVTVVSGVLGTVAGGFLLDRMTSTIPNAFKLLSAVTFTGGIFCFAAFCFKSLYAFMAFLAIGEVLIFATQGPVNFVCLHCVHPSLRPLSMAISTVSIHIFGDVPSAPLVGLVQDHVQNWRISALVLTSIFFIASAIWFIGIFLRSVDRFNEDTQHPVTAADRANTAPLLEDKAAETSAASHEP >CDO96788 pep chromosome:AUK_PRJEB4211_v1:2:13058997:13066303:1 gene:GSCOC_T00013918001 transcript:CDO96788 gene_biotype:protein_coding transcript_biotype:protein_coding MQYVPSELVGCPGNESETLYHCYQIALQPDFSCNTMPHDILLATRARLEFDDETLNFELDVPRGSLKIRMKYVRRIKLASEEVVLCQKFQVTLLRLLLDHNQNKLKEALSGLNRNSQRDVFDYLLIPSTSPHENPSIDWKCVSSVLFPQGNMSDKHMHGCSNRVQVHTIDGLICRCTLQNSLVVTPHDGFMYCTTGILDDPNSRKRRGSITYKPNFAKRHGITLRFEGEPFLCGQRLFKVQNCLLGCRNQETKGSRKASVELPPELCKVIMSPISIGSVSSFAYAPSIIQRIESFLTAGNLKRMLMDDCKLNDMIPTIKVLEAITTKNCRENFHLTSLATIGDSFLKYATSQQLFKTHQNHNNGLLTEERTKIVSNLALCKLGCDKKISGFIRNECFDPKTWIIPGDNSQTYTLRKEVLSTRIIVYAREKRTIKNKTVADVIEALIGVFICTTSERAALAFMKWMGFEVDFVYVQYKRPVAANPEKLVDLRFFKSLLNQYSFRDASLLVEALTHGSYVRPESPTSYEHLEFLGDAVLDYLITKHFHNEHPNLSSGLLTDLRSASVNNDCCARTAIRAGLHKHILHDSQDLQRRILAIAENFEQSSRDSTFGWESEPVIKILADIIKSLAGAIYVDSGYDKGVVFQSIKPLLEPLPTPETLKLQPVRELEEFCAKQHFDMNKSVTYENGEVSANANGVPCARRLTAANKKTARKTASMDILSKLKKN >CDP04942 pep chromosome:AUK_PRJEB4211_v1:2:292439:297186:1 gene:GSCOC_T00019813001 transcript:CDP04942 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVIFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIIRDPFMLNAVILVFANKQDMKGAMSPMEVCEGLGLYDLENRKWHIQGTCALRGDGLYEGLDWLASTLKEHKAAGFSSVGTSSF >CDO99893 pep chromosome:AUK_PRJEB4211_v1:2:7967264:7969571:1 gene:GSCOC_T00029602001 transcript:CDO99893 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSVLVDVTTNVLCPGQSTCPEAIDLNGLQQTTVGIFKMVVLPVLGQLSDDYGRKPLLLATFSADILPFAVLAINKSKGFVYAFYVLRFVSLIFSQGSIYCIAAAYLADVVDDNKKATGFSWMMGLISASRVLGNVLARFLPGTCFFEACYCNYLRAMRQLMLA >CDO97516 pep chromosome:AUK_PRJEB4211_v1:2:19647890:19652243:-1 gene:GSCOC_T00014887001 transcript:CDO97516 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEPSLVPEWLKSSGSATGSGTTSHPLSPSDDHAVSKLARNKSSVNHNDHEIGRSSVSDRTSASYFRRSSSSNGSGQMQSYSSFGRNHRGRDWDKDLYEPRDRDNLVVGGHKHRDYLDPPVNNFPGNFEKDGLRRSQSMVSRKRNEIWPKRSIADSNSASRNKSTDGNSLLDKGDSVGTVHKVVFERDFPSLGSEERQATSEVGRVPSPGLNTAIHGLPISASAIIAGDKWTSALAEVPAIVGGGGTGLSPGRQASLPSSPASLPSSTSAGLNMAETVAQGPRVQAAPKITSGTQRLEELAIRQSRQLIPMTPSMPKPSILNSSDKGKAKAGQPQHPVSSPLLSPSLRGGPVKTDASKTSNAGKLLVLKPPRERNGVSTASKDTLSPTSSTRAATSGIAVATSVTGLATSRGPAINPVSPGAERKHALPMLEKKPSSQAQSRNDFFNLMRKKSMPSSSSVADAGSAVSASTLDEPGELEVIPAPVIHEDEDVPSLDRLNGCQHTENDLFGIQSRSLPLFSEEEEAAFLHQLGWQENADEDGLTEEEINAFFRDLSKYMNSKPSSKSLQGVQPKFPLLLSSHGAIGAISSGSDSKLES >CDP08765 pep chromosome:AUK_PRJEB4211_v1:2:53214313:53217995:-1 gene:GSCOC_T00027856001 transcript:CDP08765 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMEEVKNQAVGDTEKSLPSSQQEEEVIKKKYGGIVPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSPCAPSEGEDGANASTEDATTNE >CDO97416 pep chromosome:AUK_PRJEB4211_v1:2:18876770:18879906:-1 gene:GSCOC_T00014757001 transcript:CDO97416 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVTEALELEDTIKNYEHHTATGWRYISSFRAERSGKLGSVLDVIELVWRCILRKQLEKFLAVILGSISAAILLAEATILPSGVDLSLFSILINAVEKHEVLVQVAAFVPLMYMCVCTYYSLFKIGMLTFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIHLNNGAKTIFEKVKLFLALILMINVVYCIFHAG >CDP06835 pep chromosome:AUK_PRJEB4211_v1:2:38278384:38281140:-1 gene:GSCOC_T00023846001 transcript:CDP06835 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKCANRLLASSGLPLIARQMKRLNLSSIWALLAAFKDPLPLPASATAFPFEGAFVKGVDSISWMADNTKKFLGSHSHGPHCWTFLSTATFGKQNKVPQESIPVATAQRVKETMLADVEYALGLPKSSIQTPIFSRVQLWGAALPLNTPNVPCIFDPHGRAGICGDWLQGSSLEAAALSGMALANHASSFSFSCSSFIADYLQSGGQCPDEFAVGLGNEFQPLRGHDIGQFPGLQSEEDINKPQAVQLSA >CDO97689 pep chromosome:AUK_PRJEB4211_v1:2:21061999:21064852:1 gene:GSCOC_T00015098001 transcript:CDO97689 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAAPSGDPVHSNRLKAPIIEELDIEDDNISGGEEDPGTASANQNPFVEHPEDQIDDHHKSKTNSKNKELVYRSDRNKVGGERPQKGSVSFQKVTYGGINGAYYTASTTRRTGNDGVVLEDRKEADSTTGQATHRISKGIHDKGHSVTRQLNADGEVDTMQTLHNLDEDELAGFDQSWRGSADMHLPGWDMPLDFHSKPGIGGSRLTSWSGWGQPIWQQLGRDASLRPGAQADSARGRPKKTVSIPIE >CDP09382 pep chromosome:AUK_PRJEB4211_v1:2:22996887:22999390:1 gene:GSCOC_T00028726001 transcript:CDP09382 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLVTLAAKPFTSQNTNLQFLPTQRPRVLPRNNSLRVSAIAKKFEPTKVVPQADRVLIRLEELPQKSAGGVLLPKSAVKFERYLMGEVISVGTEAGEVNSGKKVLFSDINAYEVDLGTEARHCFCKAGDLLAVVE >CDO99619 pep chromosome:AUK_PRJEB4211_v1:2:10694075:10698270:-1 gene:GSCOC_T00029265001 transcript:CDO99619 gene_biotype:protein_coding transcript_biotype:protein_coding MDITKMDRGQITTVGSGFCVLLTVHYTVQLLSQHLFYWKNPKEQKAIIIIILMAPVYAVDSFVGLLDFQGSKAFFMFLDSIKECYEAFVIAKFLALMYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTTRLNHQTLKLLKYWTWQFVIIRPVCSLLMITLQLLGIYPRWVSWTFSIILNMSFFLAMYSLLAFYHVFAKELKPHKPLAKFICIKGIVFFSFWQGMVLDILAAAGIIRSQHFWLDVEHVEEAIQNLLICVEMVAFSVIQQYAYHVAPYTGDVEALLKSRKKYE >CDP13834 pep chromosome:AUK_PRJEB4211_v1:2:33529134:33531167:1 gene:GSCOC_T00038927001 transcript:CDP13834 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALALGSSALGAFLQVVFDRMATKEFGNLFRGRKKDEELVLKLKTNLRAVGAVLDDAENKQTSNRYVKGWLEDLHDTIYEADDLLDEINTEALRLKVEAKCNNSTTQVSALNYSSSFSNDFLGKMMPQIEKMVARLDWFIQQINPMGLQVVAPKMQSCRTPSTSLVDEATVYGRDADKEKIIKMLLSESANGVNFTVISVVGLGGIGKTTLAQLIYKDKRVQNHFPTKAWVCISEDYDAARITKELLEGLDIPLPDTSENLNSLQVKLQLELTGKKFLLVLDDYWNRAFNDWDKLRVLFKGGLQGSKIIVTTRDEKIALMICKKESIYSLDLIAEEDCWSLFEKHAFENKDGDQRLELEDIGKKIVKKCARLPLAVKTVAGLLRSKTTAEEWEDILVSEVWTQTDNEDDILPALRLSYSHLPSRLKRCFACCAVFHKDFEFRKEEIIHLWQANDLLEPPGENRGIEQIGEEYLRELRLRSLLEQSTDGLFLMHDLVNDLARAVSRRYCYRLEDTDLEHGKIGSISYFSYHPCPRDTFNKFEFLRETKNLRTFLPLSKGDDGNRLSQKFLHEMLPKFRSLRFLSLLSYAIFKLPDSISDLKHLRFLNLSSTLLETLPECICTLYNLQTLLLSDCKKLEELPVSSAKLINLSYLDISGSPLKKMPLYMGRLRNLSFD >CDP18668 pep chromosome:AUK_PRJEB4211_v1:2:34746688:34747604:1 gene:GSCOC_T00006408001 transcript:CDP18668 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLFGAIRDGGSASFGVVFAYRIRLVRVPEIHTVFNVQKTEAENATDVLYKWQNVADKIDNDLFIRVLVQPNTGKRKSDYVQNPLLKMISITIQENGST >CDP15548 pep chromosome:AUK_PRJEB4211_v1:2:46576607:46578239:-1 gene:GSCOC_T00015426001 transcript:CDP15548 gene_biotype:protein_coding transcript_biotype:protein_coding MALETVVYQQDMLGYNIKDLCNYFGGGNIWGCDFDFAETFESSSFDFRQENTDEKSPLSLTVPPSENWAPNAASELESCVHKIPDDQNLQLDSSSTMSSSRPRRRRSRPKKNQEEIENQRMAHIAVERNRRKQMNEYLSLLRGLMPEGYVQKGDQASIVGGAINYVKELEQQLQFLDGQSHTNRSYDSGPSSLISSSSSPFSEFFTYPQYSATMTSSENAFTITNLMSDPSSTRGNRLAAADIEVTMVENHANLKVRSRKRPKLLMKMVSGLQSLRLTILHLNVTTADQIVLYSISLKVEDDCRLTTVDEIAAAVNKMLRRIQEEAAVFTG >CDP07671 pep chromosome:AUK_PRJEB4211_v1:2:24526948:24529705:1 gene:GSCOC_T00025000001 transcript:CDP07671 gene_biotype:protein_coding transcript_biotype:protein_coding MPKISIVLLRLLSGTCAATLVRFLILGSIFFVGPTSARKPHIINFQSHNLFPESFKWDPRAQHFIVGSLRHPTLLSVSDAGVAETIISDSFLPPNSSFVGLSLDRQRYRILVCVHRPSSPAYNALAAYDLSSGRRLFLTPLLSDPDVKSGSDESSDVANDVAVDFSGNAYVTNSGADIIWKVNVDGEASVFSQSNVFKSYPVDLTTSYHKCGLNGVVFNSKGYLLVVQSNSGKLYKVDIDDGSAWRVILNKDLMGGDGLAVRRDGVLLVVSQEKLYFVKSEDSWGEGVVFDETGLEVEKQASAVTVGEEDRVYVLYGHVNDGLMGSMERDEFSIVEVESEKESKEEKIWLYVLIGLGLAYFMFWRFQMKQLIQNMNKKTN >CDP00128 pep chromosome:AUK_PRJEB4211_v1:2:5977724:5979775:-1 gene:GSCOC_T00029932001 transcript:CDP00128 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMGSSCGACKFLRRRCTIECVFAPYFGYEQAASHFEAVHRVFGASNVSKLLLNLPVQNRAEAAITISYEALARMQDPIYGCVAHIFALEQQVACLKEEIEMIETQMASLAVDVTSSCESYNVADYSNPEIAFGCDQYMNLANFINQQQMELDPITYTEVKACDDSQMMVQFPPGNGWEDAISQLSSSNSNLSEPLFQEFTQSIYEQCLWPEYCSTLN >CDP18376 pep chromosome:AUK_PRJEB4211_v1:2:43166080:43166625:-1 gene:GSCOC_T00012956001 transcript:CDP18376 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRPISLIRLRTTTFLTVPLSSALFAVDRSHCYFSIFAAATLHHSQNLSQTMSRKPNQPSKNLLKARETIKQLSSLAPALTQDNKPRLSKSQAVGLVAASQANFMRVIVQQLHEEENENELEDFGGGGGKGAAGEGAIGMELLCVVKVVLKKIKRRVLGRGGKGDRGEEKKSKKKKKTLL >CDO99832 pep chromosome:AUK_PRJEB4211_v1:2:8524920:8528134:1 gene:GSCOC_T00029528001 transcript:CDO99832 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTEVSVGVIVAGSSLANCRTTLSLVQFHLPLLRPRLPSAGPLHVYLPLAHVSNLIKSGYCIQTSQGKNNYTRSCKQRAFFGDGGLELHDAGATALVVAGAYTLVSTFDKLTSANLIQQNLSRKLVHILSGLLFMASWPMFSTSTGARYFASLVPLINCLRLVVYGLSLAKDEGLVNSVTREGKPEELLRGPLYYVMILILSAIFFWRDSPVGVVSVSMMCGGDGIADIMGRRFGSLKLPYNQQKSWAGSLSMFVLGFLISIGMLYYFSALGCFQLDWSCTIQRVALVSLVATLVESLPTTGIVDDNISVPIASMVTAFLSFGY >CDP18223 pep chromosome:AUK_PRJEB4211_v1:2:48309889:48314508:1 gene:GSCOC_T00011781001 transcript:CDP18223 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTTLMVIRKKKKRISRRPPEQMSTGLTLSESSSSAAAYAFTNKDNADDLYAAVDMGTNSFKLLIVRADPSTGRFLPIDRHKEPVLLGLDATTTAATVISPSSVDRAIAALSKFQQIMHCLHIPSSHSRFVATSAVREASNQSEFLFKLHQNLNLHVDVLSGQEEAGLIYFGVLQFIPVVNDTVLTIDIGGGSTEIIIGKNGKTLHAISLKLGHVTLTQQFIEITKMREHIGSVIDHSGLVDKVKEYKIDKVLGSSGTIKAIEEAVYKGYAKKNAGEIKEIDKRDWRFNKEELIELVEGLGVKGKKRREGFFNKRAEFILAGAVLLEEIFEGLGIEEMEVSEFALGEGVVAEMLRKVCKRSSDWEVDVRWGSVVRLVTRLNTKKRMKASVMCAAITKDIFEGIKKWNEVDNQLVVCFEDKDLEYLEAACLLHNIGLYAGKKGYHKQSYQVIINGNHLQGYSDKEVKLIGLLVKHHRKKIPKSDVDLLEGFEEEVKLKFRMLCAILRLSAIVLQFQSVGIQTFKFSCSDEGFRLVLGEARNQPQSSCTLHPLAGDLKEEIDKEVENFRMVFAKQLSVMIPCST >CDO97417 pep chromosome:AUK_PRJEB4211_v1:2:18880500:18884641:-1 gene:GSCOC_T00014758001 transcript:CDO97417 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTMGMVAFTLHYFAGPDVPRYVRFTVGYAWFCSLSIIILVPADIWAGYEDAGDFTVAERLKTSAHVNLVYYLCVGSIALCGVILLIVLHKNWGGSIRGFAMACSNTFGLVTGAFLLGFGLIEIPRGIWKNADWTTRQKFLSHIVAKMAVKLDDAHQDFSNAIVVAQATSRQMSKRDPLRPYMSVIDKMLAQMLTEDSSFKPQGGRLGENDMDYDTDEKTMAALRRQLKKAREQYYRYKRYILSNRNNYHDCLWNFVQFQMLLCCSMDGHLHVTLLFSQNVVFV >CDP07819 pep chromosome:AUK_PRJEB4211_v1:2:26926884:26929369:-1 gene:GSCOC_T00025217001 transcript:CDP07819 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKHWFASFSTLLLIAILIYNAGIVSCQIKDSQNFLIYDATGPESLAFNRYGGGPYTGISDGRIIKWDTILNRWVDLLLYQFDLYRKKKFCIKLIHTLNTSRCGRPLGLSFNQKTGDLYIADAYMGIPFMFTNNVVADQYSGIVYFTDTSTRFPRSAFAYVISSGDNTGRLLKYDPSTHQVTILLDHLMFPNGVALSQNGDFLLVTKTTNNRVLRYWLESSKAGKVDVFAKLPGRPENIKRNQQGEFWVATNSRDGIFNPLGMIIKLSPECDILKIIGAGNGVTWGFSSDVNEHNGNL >CDO99739 pep chromosome:AUK_PRJEB4211_v1:2:9349370:9350539:-1 gene:GSCOC_T00029418001 transcript:CDO99739 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVKKYCPTEPQVEKVEPNMHDIDEEDEEETLSFCEFSISGDADTWEDSSRESQNTSFKSSEGDDYFEFFSGELSSGKASFSYTPPENIIFCGKLISFKQPISENAERIEKVKHKKHKRRGFFRWKWNLASSLKRRISRRKNASNSTGAKKGSSGGYKSLPAPEASDQGHKNIRRKRNKEQDLSAHKMSFLTSSGKSKWYMFFFGVTKFRTKMELRDMKNRQARRPSSSFSKTRSENDDSSNNHSPCMARSNGLWAVLRAMSCSGDPRATAVVKASIGGIADV >CDP05060 pep chromosome:AUK_PRJEB4211_v1:2:1224449:1226779:1 gene:GSCOC_T00019979001 transcript:CDP05060 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRSKSSREAASLAGSQFRYFILSYMHEGRVSSYQWNSRSKWDNNFFRNPRCNFNFVLAPFKPFSLRGDFVDKVNSNEKNHLNSSKVINNENKVEISSSYGDPPEVWQPPGGIVVRPGAKFVQAGEGEGPGTVSGGGSGSGSKDGCWGGSNLGPNFPTPKEICKGLDKFVIGQDRAKKVLSVAVYNHYKRIYNDSSEKWPAGDSGSNKVDTADIESVELEKSNILLMGPTGSGKTLLAKTLARLVNVPFVIADATTLTQASLIIRCRCEAVKFLVLFSHIRTLHTRVTCCAFGFSSFCH >CDO97554 pep chromosome:AUK_PRJEB4211_v1:2:19917797:19923883:1 gene:GSCOC_T00014933001 transcript:CDO97554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein NUF2 homolog [Source:Projected from Arabidopsis thaliana (AT1G61000) UniProtKB/Swiss-Prot;Acc:Q8RXJ0] MSKFQYPTLSRSDVVAVLSEYEIAKISDADLRRPNFNFLTSLYSAVLLYIDILPEEPDQFDFETLERIDNPDHHRDSFRVLNLLDKIRELLASIDCPLNFTLCDLLKPDPGRTDFFLGAMLNYIVHRNAKLDLLRPIVDELTLLEERKQELESRMSQLNEEIFLFNQLRESEMPLVQEIDAKVKELQQTIQSLNNHQVSLKANRIKTKEKVKEMEAKVENADFALEQCKLENKSLLAEIVQSPDKLQRGLEEKKSLKVEAKNAERAAMHSFHAKEAVSEIYAKAGMKLSKQLSRMKVLQDQVNSAKSIEKDVKLLKVKLSDQGVLDKSLDAKLVDLQAKVDQLDKVKWQLEQERGQTFEQATKELKNVKMEVEANRSALQTRQKQVEAVVMEADSIGVSINSVKEAGAAKMHEMGLVSEAIMKQFYEYSDSIRDSLPGIEIEVDMVNIQAGN >CDP06826 pep chromosome:AUK_PRJEB4211_v1:2:37947389:37962526:-1 gene:GSCOC_T00023831001 transcript:CDP06826 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPDASDALAVRDTVQQFLNAARTGNLELFKKLAKQLDDGKGIAQTVADVKDANKRGALHFAAREGKTDMCKYLVEELKLDVNAKDDDGETPLLHAARQGHTATAEYLTECGADPSIPSHLGAMALHHSAGIGDTELLKFLISRGVDVDLQSDAGTPLIWAAGHGQQDAVRILLEHRANPNIGTEDDVTPLLSAVAADSLQCLELLIQAGAHVNVTAGGATPLHIAADHGSPEMLECLLKAGAIPDSLDEEGVKPIEVAAARGHRRAVEILFPVTSQIPTVSEWSVDGILEHVESETDKVKEVKQVNKQKAVSAPEVTPETRKKASEAKARGDEAFKRKDFSLAVDAYSQAIDFDPTDATLHSNRSLCWLRLGQADHALSDARACRALRPDWPKACYREGAALRLLQACSTLKFEEAASAFYEGVTLDPENKELVAAFREAVDAGRKFHEKEKQKS >CDP09456 pep chromosome:AUK_PRJEB4211_v1:2:22214247:22220475:1 gene:GSCOC_T00028831001 transcript:CDP09456 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVIEDPPRTNTLKRPREDDSEAEAAGTSVDAPALKRRVKVTQDVLYRIVVPSRQIGKVIGRVGHRIQKIREDTKANIKIADAIARHEERVIIISSKDSDNIFCDAEMALHHIVNLILKDDDVNVEPQKVAAGHVAANTIRLLIAGTQAGGLIGLNGQNIEKLRNSSGASITVLAQSQLPLCASAHESDRVVQVSGDIDTVLKAVVEIGCQLRDNPPKQVISINPSYTPGFNRQPHQYVDPASAEYVNLEIMVPESMVGGLIGRCGSNISRIRTESGATIKVYGAKGEQTQRQIHLGGSAQQVSLAKQRIDEYVYSQLMLQSGVQPSIPAVSNTLFQANSYLQANTLLQGYGHNSGLYISSNPGTAMMPSHAPVYPSTTADQAAAYYGQSFQAPPI >CDP05310 pep chromosome:AUK_PRJEB4211_v1:2:3214477:3216268:-1 gene:GSCOC_T00020307001 transcript:CDP05310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51545) UniProtKB/Swiss-Prot;Acc:F4KDA6] MALLLQSSASSFPSSGKSHLPLHISPHRRLYSNPKSKRRIIIKLQNSSSGSNPSEPQKPSDSSTNTTISSSSTVAPPPSPKKQPNSAASGLGFSPSTPVGTKSASSSKKKQKGKKERASIIRRAPVEKPSFATQTNDIQSKEQSQNESAFLLAWLGLGAIILVEGIILAASGFLPEEWDNFFVKYLYPSFTPTVFLFVAGTVAYGVLKYLQNEQFNREK >CDO96951 pep chromosome:AUK_PRJEB4211_v1:2:15288256:15289639:1 gene:GSCOC_T00014148001 transcript:CDO96951 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFYSRRGPEWKQGWRGQTMASLSLPPLPLLAIFAIVICLLSLPQSTSAATIDLKLLLFSVPILLILLIRPSFSGGWFNFGTPKPGPGSSAHQSGGFPWGVALLVVILVVLVSYQSSFQSMWFTPLRSSD >CDP09307 pep chromosome:AUK_PRJEB4211_v1:2:23965681:23969209:1 gene:GSCOC_T00028617001 transcript:CDP09307 gene_biotype:protein_coding transcript_biotype:protein_coding MGQILDKVQGKEWRQRQIRKITDKVFDHFKNEQGRANLTFEDLYIAVLLVFNDINKRLPGPHFDPPSKEQVRALMQECDINLDGELNREEFVKFIQQLTKDTFITVSQGLIITLAVAPTVALVTKRTTEGVPGIGKVVQKLPNSVYASLVTLAIVLFQKAAALKD >CDP16346 pep chromosome:AUK_PRJEB4211_v1:2:27760944:27764225:1 gene:GSCOC_T00018168001 transcript:CDP16346 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSNLFKGQQKKKSIPPNRHGKATQTRKGKRVVKPSKVTKDMDTDRELTKFINYCNEAKAATVANKEGGQLSIVKPQPESSSGAK >CDP15183 pep chromosome:AUK_PRJEB4211_v1:2:49800942:49804241:1 gene:GSCOC_T00042794001 transcript:CDP15183 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGGNVGAEQPGVAEPQYAAAKISVWWDIENCHVPKGCEPHMIAQNISSALVKMNYCGPVSISAYGDTTRIGSSIQQALNSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQKASVPLLAAAKSVWLWTSLVAGGPPLTSSEASQFVNNSFGFSSNGDKLHVPVSDSMQINQPPDSFYDSPHLGNQRFPNMGRGTDIKNKGRQIRRNLTQPIMPRTSSTQDDLDNGNPQRSGYGLPKHFNDLHEFSATQNPKVPFSGPSPSLINPDPFPNNISIPHSSQQIHYPIPVRPNNLPSQLALPPGNFLPPNSHIQYPSHTMPPGPPRPDALSFTSGPFTSVPDIGKINISEYPNNHKPSTWNGGELRQTSMTEPTNYANSYRPQKGQNLQKKPPAPHELVGNRYPSPSQQIPPPPAPEVGNTSVSISESGVWGTPGCPKPSEYIQGLMGVILLALNTLKNEKLLPTEEHISNCIRYGDPKHRNTDVKKALECAVEQQLVVKQTLGAVQLYVGKNERLWNCINLGDTNIKQYPKTTWDEIQKFLSSSSGRTAILATQCRYEAATVIKRTCLKDLTLGEILQILNMVIYMKRWIMHHQSGWQPIKIVLAETYPETGVAAAS >CDO97104 pep chromosome:AUK_PRJEB4211_v1:2:16518851:16520076:-1 gene:GSCOC_T00014341001 transcript:CDO97104 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQDVPGSMGTSASFILRLGQAIFSSASLLSMSLGVDFYSYTAFCFLVTVMGLVIPWSFTLALLDGYFILVQCPVRQLGILLVIVIGDWVLSILTLAAASSTAGIVDLLLQADESFCPPQYCSRYLISALLAFLSWIFSLASSLFNLWLLPSL >CDO99834 pep chromosome:AUK_PRJEB4211_v1:2:8509602:8513795:-1 gene:GSCOC_T00029530001 transcript:CDO99834 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC12-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G52190) UniProtKB/Swiss-Prot;Acc:Q8GYE0] MEGGVDGVAGEGKVTCAAWIRRPENAHLVVIGKSRTPPSSSSLEIFSFDPMAASLSSSPKVIYEFEEGVEPVSIAVHPSGDDIVCSTSTAGCKLLELHARGDNVRLLAKELLPLQNVSPQRCLAFSGDGSRFATGGVDGHLRIFEWPNLRIILDEPRAHKSFQDMDFSLDSEFLASTSTDGSARIWKTDGVPVTTLTRNSDEKIELCRFSRDGTKPFLFCTVQRGNKPLTVVWDISTWEKIGHKRLLPKPASILSISLDGKYLALGSKDGDVCVVEVKKMEIRHWSKRLHLGSNIISLEFCPSARIVLTASSEWGAMVTKLTVPVDWKDWQIYLLLLGLFLASMLLFYVFFQNSDSFWNFPESARKINTVFGGSQPDDKTAFEQFEQLDLLS >CDP09362 pep chromosome:AUK_PRJEB4211_v1:2:23244891:23248034:-1 gene:GSCOC_T00028694001 transcript:CDP09362 gene_biotype:protein_coding transcript_biotype:protein_coding MFHYSRIPVASYYRITYCMLVRRQVQMIFLQMGFEEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLKEPSTTKILPEDYVDRVKEVHENGGYGSRGYGYEWKREEANKNLLRTHTTAVSSRMLYLLAQKPFAPKKYYSIDRVFRNEAVDRTHLAEFHQIEGVMCDRGLALSDLIGVLHEFFSRLGMSKLRFKPAYNPYTEPSMEIFR >CDP13838 pep chromosome:AUK_PRJEB4211_v1:2:33647381:33651130:1 gene:GSCOC_T00038933001 transcript:CDP13838 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALAVGSSVLSAFLQVVFDRMATKEFVSLFQKRKNEEELLQKLKLNLLALGAVLDDAENKQTRNQSVKGWLDELHDTIYQADELLDEINTEALRLEVETEHQSSASQVSVSTYSKSSSNDFLKKMMPEIEKMVVKLDWFVQQINPLGLQVVEQKIQSCRRLPSTSLVDETTVYGREVDKEKIIEVLLSESVNRVNVTVIPLVGLGGIGKTTLAQLVYNDKWVQDHFSIKAWVCLQLGLTQKKFLLVLDDFWNRDYNDWDKLKVQFKGGLQGSKIIVTTRDEKIALMMCKKESIYHLDLMKEGDSWSLFKKHAFENIDGNQSSELEQIGKKIVKKCGGLPLAVKTVAGLLRSETTAEEWKDILVSEVWSQTDNQDGILPALRLSYNHLPSHLKRCFAFCAIFHKDYQFEKEEIIQLWQAHDLLEHPRGNRGIEEIGEEYLREMRLRSLFEQSTANFFIMHDLVNDLARFVSGKYCLRLEDHHLGHGTTGRISNFSYHPSSYDTYKKFELLRETKNLRTFLSLSISKNSNQKYEVSPKFLHGMLPKFKSLRVLSLLGYHIIKLPDSISHLKHLRFLNLSSTDVNTLPEWICTFYNLQTLLLPNCKKLQELQVNLAKLINLSYLDISGTPLKTMPLHMGRLRNLQVLTNFIVGKSCGSMIEELGKFRKLRGGLFISNLENVSCGRDASMVNLKGKKHLDKLALKWNGDTNDSQVAKDVLDNLEPHSSIKLLKIEGYCGTTFPNWIGSPSLTNLKSLSLSSCEYCLFLPALGQLRSLQSLEIVGMSCISALTEDFYGDTRATMPFTSLEKLRIEKMPELEKWHVPKHEIFSNLEELYIIDCPKLIGELPQQCSSLRILEISRCDSLVLPNGQLKGLPASLTTLEIEKCPLLKPRLRWKKGQDWPKVAHIPCIIVDLELVP >CDO97130 pep chromosome:AUK_PRJEB4211_v1:2:16687904:16689827:1 gene:GSCOC_T00014374001 transcript:CDO97130 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKSEFSMIMSVLLPVFLLQLPKSTTSATPCLQPSKHFILVHGACHGAWSWYKLVALLRSAGHNVTALDLAASGINPKQVYDVKYISDYFQPLRDFMASLSSNERVILVGHSFGGLAISQAMEIFPKKITVAVFITALMPGPELKISILNQESFRRQNSLLDSHFLYDNGPNNPPTAFVFGPKHLSAKVYQRSPIEDLALATMLLRPLFLYSEEDMAKELMLTTRNYGSVSRVFIIADQDKLQEKGFQEWMIQKNPPDEVVEITGSDHMVMISKPIELLVRLLGIAGKYS >CDO99517 pep chromosome:AUK_PRJEB4211_v1:2:11751912:11754358:1 gene:GSCOC_T00029126001 transcript:CDO99517 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKAEGKRSMKQVAAEEDGDDDEDVGEESKKRRALTLSGEKATGISGPIQPSCQVDDCSADMKDSKPYHRRHKVCEHHSKAPIVLVAGVRQRFCQQCSRFHDLSEFDEAKRSCRRRLAGHNERRRKSSYDSRGEG >CDO96957 pep chromosome:AUK_PRJEB4211_v1:2:15321893:15323175:-1 gene:GSCOC_T00014154001 transcript:CDO96957 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSKB [Source:Projected from Arabidopsis thaliana (AT4G34850) UniProtKB/TrEMBL;Acc:A0A178UTW2] MGIEDAVHGSTTVTPGKATILALGKAFPPQLVMQEFLVDGYFRNTNCDDPDLKQKLTRLCKTTTVKTRYVVMSEEILNQYPELVVEGLPTVKQRLDICNPAVTEMAIEAAQSCIKNWGRPISDITHLVYVSSSEVRLPGGDLYLAKGLGLRPETQRVMLNFSGCSGGVAGIRVAKDIAENNPGSRVLLATSETTIIGFRPPSAERPYDLVGVALFGDGAGAMIVGSSPEPSIERPLFELHTSMQHFLPDTEKIIDGRLTEEGISFKLGRELPQIIEDNIEGFCARLMDVAGYSEKDYNKMFWAVHPGGPAILNRMEKKLDLLPEKLNASRRALADFGNASSNTIVYVLEYMLEENKIARKEDQKGNEEWGLILAFGPGITFEGILTRTLAV >CDP09428 pep chromosome:AUK_PRJEB4211_v1:2:22524478:22548927:-1 gene:GSCOC_T00028786001 transcript:CDP09428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MAVLALPLVTCILKAHRKPHLSFLLTRASPSRFFTSIRQQQQQQFHFFKRTIASSSAALSSTNSSSVSQDSPSTEPPNDSQNHPKKSSSVPNFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYMEPSIRPDDSRYGENPNRLQRHTQFQASCIYLTRLILDMSWLLIQIACCGFLSVDSVDLFIRSLSALGCIDVNQHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLQLMPTSVEITYGLERILMLLQGVDHFKKIQYADGITYGELFSENEKEMSAYYLEHASVDHIQKHFDFFEAEAHSLLDLGLAIPAYDQLLKTSHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWLKTRESLGHPLGVISQSGNSTILREVMEEAITKVPAKPRTFVLEIGTEELPPHDVVDVCKQLQDLVVQLLEKQRLRHGEVKMYGTPRRLVVHVENLWAKQVENEVEVRGPPVSKAFDQQGNPTKAAEGFCRRNSVPLDSMYRRVEGKTEYVFVRVIETAKPALEVLSEELPGMIAKISFSKSMRWNSEIMFSRPIRWILALHGDSIVPFSYAGVLSGNFSHGLRNTASATVEVENAENYAKLMQHSGITVDIEQRKKIIIEKSNALAASVNGCVVMQNDLLDEVVNLVEAPVPVLGEFKDSFLKLPKELLIMVMQKHQKYFAITDENGEMLPYFINVANGAIDDVVVRKGNEAVLRARYEDAKFFYEMDTSKRFSEFRSQLKGILFHEKLGTMLDKVNRIQHTAAKVGLFLGVSKEVLQVIQEAASLAMSDLATAVVMEFTGLSGTMAHHYALRDGYSKEIAEAVFEITLPRFSGDKLPKTDAGTVLAIADRLDSLVGLFAAGCQPSSSNDPFGLRRISYGLVQLLVENNKNLDLSHSLELAAAVQPIKVDSSTIDEVHHFVNRRLEQFLVDHGVSPEVVRSILAEQGNLPCLAAKSSHKMETLSRGESLSKVVGAYSRPTRIVRGKDINAYLEVDETAFETNEERALWSTYLSLRNNIHPGMEVDDFVETSHKLLQPLEDFFNNVFVMVEDERIRKNRLALLQKIAELPKGIADLSVLPGF >CDO99530 pep chromosome:AUK_PRJEB4211_v1:2:11626796:11630298:1 gene:GSCOC_T00029148001 transcript:CDO99530 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFEVGAVPFNPDGWGPPDSSTANSISVPNHPSNVPFAPFSRSDKLGRIADWTRAISNPNRPGSNPSNKNVSDSAFDFTGDDSFATLAADEDSSFRLVDNAASKSHHGHHQNRPKFNPRWRFNPHHHRSQLPQRRDEEVEARKREAEKERARRDRLYNLNRSSTNAGPRRESAVFKSSVDIQPEWNMLDQIPFSTFSKLSFSVPEPEDLLVCGGLEFYDRSYDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILSSLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPDVKDDINSAYSLSVEAAYINQNFSQQVLIRDGNKRRSRFSLLQRMLKVGRRMLRLMMWRTRMSVLNRLQLECFFSYKTMHQVLFQWLIYYYLVRS >CDO99939 pep chromosome:AUK_PRJEB4211_v1:2:7620008:7624506:-1 gene:GSCOC_T00029661001 transcript:CDO99939 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDDLAVEKKRVVVIGGGAAGSLAAKTLQNYADVYLIDTKEYFEITWASLRSMVEPSFARRSLINHVEYLPKAHVVATTAVDLTDSEVLTTEGRMVAYDYLVIATGHMGDGPSTKAEKLNYYQAEHEKISSANSILIIGGGPTGVELAGEIAVDFPDKKVTLVHRGSRLLEFIGERAGKKALDWLISKNVEVILGQSVNLNESSNGVYQTSAGETIEADCHFICIGKPVASSWLKKTTFGDSVDVHGKLMVDANLRVKGHKNVFGIGDVTDIPELKQGYLAEQHALVAAKNVKLLIKGENEKRLATYKPASSALAFVSLGRREAVAQIFCITIVGRLPGLIKSGDLFVGKTRKQLGLSSNVN >CDP09447 pep chromosome:AUK_PRJEB4211_v1:2:22274123:22279935:-1 gene:GSCOC_T00028818001 transcript:CDP09447 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNDLSSSSSSPMSCLGHFCSSALRAKPLAPPSSETSVRTNSGQGLIRRLSLFDMILLGIGASIGAGIFVITGTVARDAGPGVTISFIIAGASCVLNALCYAELASRFPAVVGGAYLYTYTAFNELTAFLVFGQLMLDYHIGAASIARSLAGYVVSLLELIPSLRDNIPAWVGHGQDISGVLSINVLAPILLVLLTIVLCWGVGESSIVNSVMTVTKVAIVFVVIIVGAFKVDVSNWTPFAPNGFGAILTGATVVFFAYVGFDAVANSAEESRRPQRDLPLGIMGSLLVCVVLYVGVCLVITGMVPYQFLGEDAPLAEAFKSKGLNFVAVLISFGAVAGLTTTLLVGLYVQSRLYLGIGRDGLLPSIFSKVHPTRHTPIYSQIWAGIIAMVLAGLLNIHVLSHILSVGSLTGYSVVSACVITLRWKDKNTSRFSTRWISKRGEGIICLVTIACCGFASGCIFRFGASFIFVIVAAVIAILAALALHFWQIYTDPPGFSCPGVPIMPAICIFLNIFLFAQLHYEAWVRFVVLSIIMVGIYAFYGQYHANPVSSDTSIVYHRAPAEETK >CDP16463 pep chromosome:AUK_PRJEB4211_v1:2:30992861:30995009:1 gene:GSCOC_T00018391001 transcript:CDP16463 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRVLELVELRAEVSKLLWVLVLKKKLSLRHLSQLKRRSPRFLLLMVMLQPLLLSNGKI >CDP17804 pep chromosome:AUK_PRJEB4211_v1:2:51143796:51144623:-1 gene:GSCOC_T00003931001 transcript:CDP17804 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAPNVVDFFPVLKVIDVSQPNEADWHSKDMKYLFLGLFVVGTDTIAELLRNLEMMRKATSDIREVIGLGKFVQESDISRVPYFSLHSVPREEFAWNCHWLIEWCISCWLLCFITLIGSLKTGINPENMDMCERVELIVQKALPLKAIPVGTRV >CDO99613 pep chromosome:AUK_PRJEB4211_v1:2:10741576:10742742:-1 gene:GSCOC_T00029258001 transcript:CDO99613 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVRREMINGRSISRGHGVYGRQIPKRGQVKVAIVLGLAHSLSSIFSFGARSQSCRRTPL >CDP06845 pep chromosome:AUK_PRJEB4211_v1:2:38541912:38561029:-1 gene:GSCOC_T00023862001 transcript:CDP06845 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRKRHHNSNNSFVPPYAQPPNSKVPKSTAAAAASNVRRQTVNDSVSSSSSVVVKFVSVLADAGCTLINPTGPPCLPSDLLKLRLHLQRRFSDDSALRADFLSGLSSYINVSSDNLRRVLLPSNCESNGSLKSESLMRVLLLVEVIQLHVQNILLEKLPEYFDLGFHDRTSGSSSLRLDEDIARLILNQFRWLDFLVDSQAFTEKLLQVLSICPLHLKKEIIGSLPEVIGVQHNKVVVDSLQQMLHEDSSIIVPVLDTFSNINLDDLLQDQVTAVALSCIRTVEVEDMPYLLRFLLLSATPKNARRIISKIREQLKFLGLSTVMATQHSKLKGKVVGNNADALVLDALKSSIRFKNMLCEEILKVLQSVEKVQDHKMIDMWFFVLVYMNCEALQKSALKLLKKKIIEGCIEEAMFYHCIHGNKDLVQDYLPIFLSISEYLTSCKEKTLREFSNHMYTCLFEELHDTYSRQEILGALASHVGSGISLEVNTALEAMLKLTSKHPEELVQLASHLTGILDYLESFNTESLHKVYEVFSLLALSAQSCSDSCGSSIKNELLMIIRKQVNHVDLSYKRMGLIGTLKVVSLLGDGTSPSPFQVKSNYEEALELLETSFESCKQLPLPLILFYEELAATMQNRMLHPAIIEWIGKHVGEFESKFLSDLDGGELPSKGSYCGLEGELWMNLDGDISPVCLNILPLISSSLRSPSPLQILPAYFVLLSAIESLVNQGSLGGIDALLGCPLHLPSSKYLSDSMWQSLSGKQKQTIILCLYYAANWIRELLNAFCSQVTENFDSISQATKEEITLKLLKRLRNLIFLESLINESLKQYPLCLPELYPRMETLSSSQYQMGNLEKKSELIQENRSVSLKSKRNSGKTSKVSKSSTVDDKLKQPTIIEMLKNAGSITSQEILNEDSSGKMPKGSMCEDGKKDAPDSVIIRTVEIFEAVKKVEAQKHKFRPIQVHCYSILAFKKNQELCCSDPSAELPLHLYLLRDLNQKLDYFSPLSKQISLQWMSLHPGFSEMKGAEFLHQLHPLFPFFKGNLDCAISGLKEGRDEVCQYHWKDYSTLAGNPSIVKMVVSKSLVYSFVFVETLCCFGKILNLTNILNDKTALSDLLEAFQSINIPDSFFQGMQLIPATGSIDYLYCGTYYFLEGVFDAARTISYKLASEVVVALESVTISIQKFLDKSVSGSAKGIDPGSTKELLIFLRNRLGASAQKLLFQKRDDIIDKSSQIKGQIVQKVLHIYLENCQSTSDSLNELACSILPQVTSQRTAVEDDQELPTLSPSTFVSWYRVMHEENLAVINKLIKEVAWLEKPRTAAKVENVEKLLDRLQQSVNVVVSLVNMCRTHDKVSMHGMAVKYGGKFVDSFLKVFGFLQAQFQMHNEQIISMVKELQKATRTIQTLCSEAKVSKQTAITSKIPATKRSMERFLFHVKALLHATSECTFWMGNLKHKNLMGHVVSSQAYVDDQNENIQTKASEVNVADEPMNVACE >CDO99655 pep chromosome:AUK_PRJEB4211_v1:2:10176400:10178449:1 gene:GSCOC_T00029311001 transcript:CDO99655 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDRLRTIVLIGKPGVGKTWMARKLSDRAIREGLFDLNLWLSLNRHYDSITLCKSIAHQLSLLPVSDEWKFEESSENFNENDINHEQKKEYLRAKTLEALEQKRFLIILDAEGSQMTSHDILRELKNLQLLDGKESYKFLITSTQHRDDRGPEGETKTINVECLSENESLNLLQKLVDTKVYKLSRIKDLAKYFLGKRQRFPFEVTIMAKVLNHFGQNESGICHLESIKENNVEGCNVLQLLTYGYELFPRNILIDFCWIGNHFLRKRGSFYFGELISYWILEA >CDP09503 pep chromosome:AUK_PRJEB4211_v1:2:21425313:21432099:-1 gene:GSCOC_T00028890001 transcript:CDP09503 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSASGSASISCSTSSSYPSCVDVFDRCSLIPLFLTSVRGSHSVHHHRSAINSSFFYSASSSSSSVRSSLRLTRPTTRLSDLRISLVFDGQPARHTIARPKSRIPGGISGKCFRRIITAVARAEPDRIDDSAQIKDEANKGNDLPVNEDSVTESERKASQLKKRIFFGLGIGLSAGGIVLAGGWIFTGALAAAVFVGSREFFELVRSLGIAAGMTPPPRYVSRACSIIYALMPLFTLYFGHIDVSVASAAFVVAIALLLQRGSPRFAQLSSAMFGLFYCGYLPCFWVKLRCGLAVPALNTKVAAAWPALLGGQTQWTVGLVATLISISSIIAADTYAFVGGKAFGRTPLTNISPKKTWEGALTGLGGCIVTAVLLSKILSWPGSVLSAVAFGFLNFIGSLLGDLVESVIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALAYTFVKTFLPLYGV >CDP17752 pep chromosome:AUK_PRJEB4211_v1:2:51698732:51699025:1 gene:GSCOC_T00003860001 transcript:CDP17752 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDNMGYTDMEKRQLFLRSYQFSRKKSMAERIKKSFFRVKRVIWVRLRSAKKIRKMVWSRLKYGFFFTSRRRRFFLRLHGNNHYATSHGLSSSCFW >CDO99529 pep chromosome:AUK_PRJEB4211_v1:2:11642396:11644151:1 gene:GSCOC_T00029145001 transcript:CDO99529 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLISSSVFADQHEHSKWPAHPPAEAPEHHKGHHHHHHHHHPPTYPPVKPPVHPPVKPPVHPPVKPPVHPPVYPPVKPPVHPPVKPPVHPPVKPPVHPPVKPPVHPPVKPPVKPPVYPPVKPPVHPPVRKLVAVQGVVYCKTCSYAGFNPKAAVPLQGAVVRVRCHNTRFKPVVAEGRTDKNGYFLIVPEMVTSVAASTCKAYLVKSPSMKCSAPTNLNYGSTGAGLMPNPAHKPNPLAPKYALYNVGPFAFGPAKLTPCHR >CDO96795 pep chromosome:AUK_PRJEB4211_v1:2:13144397:13146898:-1 gene:GSCOC_T00013928001 transcript:CDO96795 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGGLNSKVVGAVIMLTVVAGELAPRAEAVIACGQVISFLTPCTRYLKNGGSVPGNCCAGVRHLVAAARTPADRKSACTCLKGAYRGYPGIKLPNAQSLPKKCGANVRYRIIPTIDCSRVT >CDP13909 pep chromosome:AUK_PRJEB4211_v1:2:4276193:4277682:-1 gene:GSCOC_T00039048001 transcript:CDP13909 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYHRPHRLLLGTQPDSPPRNESRTHNGYTSETKFDTNMVIILAALLCALICALGLNSIVRCALRCSRRFALESPDETAARLAATGLKKSMLRRIPVAEYGTGVVLPATDCPICLGEFEDGEKVRVLPRCHHGFHVRCIDIWLASHSSCPTCRQSLLEQQPSCPDDATDTEALRLPANGSGGHADVSVSAEEVG >CDO99509 pep chromosome:AUK_PRJEB4211_v1:2:11834154:11834636:1 gene:GSCOC_T00029115001 transcript:CDO99509 gene_biotype:protein_coding transcript_biotype:protein_coding MASPREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGAKAKTNFPAPPSAATGLSLDLNLPSDNRWVSPSGRLMIGEFLQTGVANEQHVAASGELPAREIEGPGNFFGIVRRGLPIDLNEPPPLWM >CDP05097 pep chromosome:AUK_PRJEB4211_v1:2:1531879:1533120:1 gene:GSCOC_T00020028001 transcript:CDP05097 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSRASIALVAVICLVLATAFPTAAAMANAPAPAPTSSDGTAIDQGIAYVLMLLALALTYIIH >CDO97018 pep chromosome:AUK_PRJEB4211_v1:2:15870982:15873570:-1 gene:GSCOC_T00014230001 transcript:CDO97018 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLVLFYLFLANPVDVSAQATPGAAAKPGSFAPQDNYLIDCGASSRSTLPDRRIFLPDQETGNLLSSKGRDIQVSVPSADNVSLPLYLSARIFVDEATYTFQIARAGWHWIRLHFFPLANNQFNLQQAKFKVVTDQYVLVYDYHVNDTTSPVAREYLVNVTTERFEIKFIPEKGSAAFINAIELVSAPDMLIGDVGSNLFPVQQFSGLSQMAYQIIYRLNVGGPLITSQNDTLGRTWLNDEPYLKPPAAGKSVSVAPSVITYPDGESPLIAPPSVYASAMEMSSDAGVAAPNFNVSWSLEIDTSYSYLVRLHFCDIVSKSPNDLYFNVYINGKMGISGLDLSTVANGLASAYYKDFVVNASILSNPLTVQIGPMNQDTGSKNAILNGLEVLRMNNSVGSLDGEYGVDGQKDSGPNRGTVAAVGFAMMFGAFVGLGAMAVKWQKRPQDWQKRNSFSSWLLPLHAGDASFMSSKTSLGSRKSQFYSSTMGLGRYFSFAELQDATNNWDPSAIIGVGGFGNVYLAEIDDGTKVAVKRGNPHSEQGIHEFQTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMLNGPFRDHLYGKNLPSLSWKQRLEICIGAARGLHYLHTGAATGIIHRDVKTTNILLDDAFVAKMADFGLSKDAPTTEQTHVSTAVKGSFGYLDPEYFRKQQLTDKSDVYSFGVVLLEALCARPAINPALPREQVNLAEWAMQWKRKGLLDKIIDPTLANHINPESMKKFGEAAEKCLAEYGVDRPTMGDVLWNLEYALQLQEASSQGKAEEENRAVADSPAVVAPAPAPAPAPAPAPAPASDSRPIASPEQSQNPAQVQAIDEHSGTAMFAQFAALNGR >CDO97201 pep chromosome:AUK_PRJEB4211_v1:2:17246972:17247530:1 gene:GSCOC_T00014468001 transcript:CDO97201 gene_biotype:protein_coding transcript_biotype:protein_coding MREGCKEQVRSAQRGKREGDGSGGSFIPGGLDSGVRAVRPAEVGNLVPKICAVGSAEGTPLDVVRSPDSCFRPLAVCT >CDP05350 pep chromosome:AUK_PRJEB4211_v1:2:3524023:3526717:1 gene:GSCOC_T00020357001 transcript:CDP05350 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVQRAVRARTVGGGGGAAHEPFSHTHPSTTNTATTTNLGRTRQTTCNNNKNQPITLSSSSSSSSSTASSAIFSPCNNLLPTTISTAAPPAWPSSCSSPTFTDDSDWECIDDCYEDFVFGTVPSKDEVHHAVFALQEALDPAAAKYLIDDIPAYNLDADLGGQVSGLTGSLQRSSPFGSQLDWMEPSLQLCSQSTLQAHERVHDALHLLRNEPSVQRMVVSISSDKAVWDAVLNNEVVQELRGSLSQDKNPAEKSDDGSDPARGFLSWVIVNTKATVMELVDKITKLVNELFRTEGGGGDRTADRKADPFDEKLRASFLLSVVVMLIVVAARSSKA >CDP16363 pep chromosome:AUK_PRJEB4211_v1:2:28667619:28669025:1 gene:GSCOC_T00018197001 transcript:CDP16363 gene_biotype:protein_coding transcript_biotype:protein_coding MVILVEKLGRSLKFHHRLDHNNHPNHDAEEALLASFQAFRSEVSKLLSQIMVEAKPGSEFLSLAWVHRCLEALPMIDKAFAKLVVDVDYPIGKWEAAASEAYLKHSLNVLELLNKISSSLSHLSQARLALAHGLSLIESSPSLALKHLQKIQPNDLGNDFKVEGTKRNEEQFSSRKESIIHEAMMIMISSGLWLFGIMISGLCSDVKPYFLMRKSAHNLHDPSLESLDSLLSEEIRQKSMLKEIKEVNEAAAELATKIEHRKGDAAAEILGRRLEVLEKMLQKIGKQTDCLFSEVLVARNKLLDTIRLKK >CDP09309 pep chromosome:AUK_PRJEB4211_v1:2:23956554:23957447:-1 gene:GSCOC_T00028619001 transcript:CDP09309 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAATSATFSFFFLLALAVGSNAGEIAIYWGQNGNEGTLADTCASGNYDFVNLAFLCTFGNGQTPQLNLAGHCDPSVNGCTGLSSDIKSCQAKGVKVILSIGGGAGSYYLASAEDARQVATYLWNNYLGGQSSSRPLGDAVLDGVDFDIEGGTNQYWDVLAKYLSAYSNRGKKVYLTAAPQCPYPDAWVGGALQTGLFDYVWVQFYNNPPCQYSAGSGFIPATDLTSQVLPAIKDSDKYGGVMLWSKYYDDETGYSKSIKSDV >CDO97365 pep chromosome:AUK_PRJEB4211_v1:2:18457065:18459497:-1 gene:GSCOC_T00014687001 transcript:CDO97365 gene_biotype:protein_coding transcript_biotype:protein_coding MMITYFCFFTICRNGLFWQREVASLRQQLHYLQDTHSRKLLGEELFGLSVNDLVQLENQLEVSLKGVRVKKEQVLTDEIKQLHRKGNHLHEENIELYNKVNLIHQENIQLQKKVQEQENINKSHGSICTTNVTSNMNDLHVPMNLQLSQPHAQRNEAPKNPMKLG >CDO97711 pep chromosome:AUK_PRJEB4211_v1:2:21203952:21205337:1 gene:GSCOC_T00015129001 transcript:CDO97711 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAINQNPEALEALISRIFTNISSLKSAYIQLQTAHTPYDPDKIQAADKLVISELKNLSELKHFYREHNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEINQLQQQIQEANQKRVKLEKNLKLRGLSAKESEGSGDENGVFSMDLTPDLIKSAVEAAYKAIHDFSKPLINMMKAAGWDLDAAANSIEPDVVYAKRAHKKYAFEAHICQRMFSGFQEESFSLKLDNVTMDKGSFFNQYLALRDMDPLDAVGQSPDSPFGKFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLANSFDPMVKVFQVKKGSEFSEVYMDSVVKNFVIEDADQKPRVGLMVMPGFWIGGSVIQSQVYLTGVMVAE >CDO96833 pep chromosome:AUK_PRJEB4211_v1:2:13664239:13665712:-1 gene:GSCOC_T00013982001 transcript:CDO96833 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFCHSQGLRLNRPIADSLGHIISLEVLDLSNNNLSGPIPKSLEVLSYLTYLNLSFNHLRLERRNSLCTDFGLEGLVSTRIDVYSFGIILMETFSRMKPSDEIFKEDLTLKNWIEESLPNATVQVIDGNLLRQQDEHFSEKLVCVSMIFKLALSCCTECPQDRINIKEVVAALKKIKRQLDTLSDT >CDP16352 pep chromosome:AUK_PRJEB4211_v1:2:28363143:28383566:-1 gene:GSCOC_T00018182001 transcript:CDP16352 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSGKAKPHKAKGEKKKKEEKVLPTVIEITVDTPEDSQVTLKGISTDKILDVRKLLAVHVETCHLTNYSLSHEVRGSRLKDSVEISSLKPCHLTIVEEDYTESQAAAHIRRLLDIVSCTTSFGVTSSSPKPDGKPGSKDTGHKESGSAESEPGPENVVSESTSKPKLSGKKSGTVSPHERLKPAKPESISNDGDLAEKNGDASAAIMCPPPRLGQFYDFFSFSHLTPPIQYIRRSNRPFLDDKSEDDFFQIDVRVCSGKPTTIVVCEKGFYPAGRRILLRHSLVGLLQQLSRVFDAAYKSLMKAFSEHNKFGNLPYGFRANTWVVPPFVAEQPSTFPPLPVEDENWGGHGGGQGRDGKHDNRQWAKEFAILAAMSCKTAEERQIRDRKAFLLHSLFVDISVFKAVAAIKNLVENNQHSPTGSSSIENEQKLGDLLITVTKDMADASMKLDCKNDGIRVLGMSQEELTKRNLLKGITADESATVHDTSTLGVVVVKHCGYTAIVKVVAETNQNDSLVSQDIDIEDQPEGGANALNVNSLRMLLHKTPTSQASRLVQRSNTTDLEDLRTSRSLVRKVLGEGLLRLQAEDTKQAKPIRWELGACWVQHLQNQSAGKTDSKKGEDKVEQAVKGLGKSGGLLKDIKKKLDDRNSNSEQRKEAANDGFDVGKKELEELDEAKETMWKQLLSEASYLRLKDSETGLHLKSPSELIEMAHKYYADSALPKLVADFGSLELSPVDGRTLTDFMHTRGLQICSLGRVVELADKLPHVQSLCIHEMVVRAYKHVLDAVVAAVDNIGDLALSIASCLNVLLGTASEGNADSEITNDDNLKWKWVETFLFKRFGWKWKDENSHDLRKFAILRGLCHKVGLELVPRDYDMDTSCPFKKTDIISMIPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSRFVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGPDDLRTQMFLQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDEVRDAQKKQARAKVKGKIGQYGEAVEDKYNKDELLSPAHSVVENSSDKENKSELLFMETIDKNHDLFPAEQTILNEPDDLVQDNRSEEGWQEALPKGRSSMGRKPPGLRRPNLAKLHTNLTNTSQVSKFHGKSTTFSSPRTSSNESAAASTLTPAPKKFGKSSSFSPKSINPAISIGGVEKSANPKSAPVSPASTDLVSKADETISSVSIQTAGKHFSYKEVALAPPGTIVKAVTEKSPNESSVEASLPIRKETGVASKSEVIERNNGEEIQVNSPIEEKSTVDLDGQMDEDSNRKEQIEEIATTLVKSLEGDETEDSKGKGSEVTEGKQERSIVESKEGEAGQGSVLEGENSSSSEKSELGTSKVEVSERPGGKCKEASSENESISTSAENTTPLSQKHEFNPKDEVEAIQEKLSAENEANEDKEIASSLSNGGVKQGDSETGKGTSKKLSAAASPFNPSSVPVFGSVPAQSFKELGGLLPPPVNILPLLPVSPAHRSPHQSATARVPYGPRLSGGYTGSRNRVPRNKTVYHSDEHNGDASHFSLPRVMNPHASEFVPGQPWVPNGYPIAPNGYIVYSNCTAVSPNAYPIPQNGVLVSPNGSSAPSHVFPVTQNGLPVPVESVETRSVAEHVEGQNEVGSDGCNEESSMNLTGESSIVEKVEENQSDDGEKPCDDESGVAEKSCTDPEKKTTDTATQIPEIVAAKESCGSILVEKTKTKCWGDYSDGETEIVEIKS >CDP17764 pep chromosome:AUK_PRJEB4211_v1:2:51613282:51618567:1 gene:GSCOC_T00003877001 transcript:CDP17764 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPKYLGNAFLFLGKYFSSWKGGFLVLNDYKHLQFNRFSGVSIKMPDSAEKFGKTHTNTAGVRGESEYVRLVISDEPRTAGDVNLQPQASSRWSLIWWIKAFIWCSSTVIILLVLLKWGLPFLFEKVLMPILQWEATSFGRPTLALVLVASLALFPVFLIPSGPSMWLAGMIFGYGLGFVIIMVGTTVGMVLPYWIGLLFRDKIHQWLKRWPDKAAMIRLAAEGSWFHQFQVVALFRVSPFPYTIFNYAVVVTSMRFWPYLGGSIAGMIPEAFLYIYSGRLIRTFADVKYGNYHLTPLEIIYNVISFVVAIITVVLFTVYTKRKMDELKRAETNDSESAHGNENREMEKLPLEKPKQMSFQS >CDP08793 pep chromosome:AUK_PRJEB4211_v1:2:52956848:52970737:1 gene:GSCOC_T00027892001 transcript:CDP08793 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGGGAQQGSGHGRSAAASPASSSSGVSTSQLGLDQQQQQQQQRQSLQQQFLRRPEGNDPILAYQAGSIHGVMGGGNFAVPSGSMQLPQQPRKFMDLGQQQIPSSGREEGQGRSQGFEQHLLNPVHHAYYAFQAAQQKSPLGMQPQQQMKMGMFSPPSKDQEMRMVNMKMQELISAQAANQPSASSSKKSVEHVTRGGETQGDHAKQHLPDQRADSESPNQPKLLGQAVPAKPVPAPHPQQNFQNVANNPNAMAAQMQALALERNIDLSNPANANLIAQFLMQSRMISQQKANESNAVIQASSLHVQKQLVNSPTVANESSPRGNTSSDASAQSGSVKARYPSSSASPSSAPSAAVVGNSSNVPLQQFSLHGRDSQLPPRQPNTIANGMPPMPPSNSPLNLKQGLDNALLAKGAQIGPETLQMQYGRQPNRSSSQSMASSNDGILGNTSTSQDGTGAKMQQQNLGFTKQQLHVLKAQILAFRRLKKGDGSLPRELLQAIAPPPLEMQMQQMLLPAGTLNPERSAVKNVEEHERQFQLGDKATQQATNGDGRHRLKDEAAGDESATAPAVNVQSLAAPVKEPTPMVSVRKEEQQTAGSSGKSEPEVERANQKFPVRNEFAAERGKAVTSQAAIPDTAPAKKPVQGNVTQPKDVASTRKYHGPLFDFPVFTRKHDSFGSSLMMNNNNNLTLAYDIKDLLAEEGMEIFRKRREENIRKIGDILAVNLERKRIRPDLVLRLQIEEKKLQLADVQARLRDEIEQQQQDIMAMPERPYRKFVRLCERQRQELARQVQASQKALREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLLEQQTSIPGDAAERYAVLSSFLSQTEEYLHRLGGKITAAKNQQEVEEAANAAAVAARAQGLSEEEVRSAAACAREEVMIRNRFSEMNAPRDSSSVNKYYNLAHAVNERVIKQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPNVSCIYYVGGKDQRSKLFSQEVSAMKFNVLVTTYEFIMYDRSKLSKVDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSQPFQKEGPAHNADDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIILRCRMSAIQSAIYDWIKSTGTLRVDPEDEKRRAQKNPIYQPKTYKTLNNRCMELRKACNHPLLNYPYFNDFSRDFLVRSCGKLWILDRILIKLQRSGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPNTDCFIFLLSIRAAGRGLNLQSADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSHQKEDELRSGGTVDSDDDLVGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQEVNRMIARSEEEVELFDQMDEDLEWTEEMTRYDQVPKWLRANTKEVNATIANLSKKPSKSTLFGGSIGGEASDMASEGEKKRGRPKAKKLPIYTELDDDNGDFSEASSEERNEDSVREEEGEIGEFEDDEFSGAVGAPPSNKDQSEEDIIPSTGGYAYPRASNSNKDMQMLEEAGSSGSSMDGQRLTQLVSPSVSSQKFGSLSALDARPGSHSKKLPDDLEEGEIAVSGDSHMDVQQSGSWNQDRDEGEEEQVLQPKIKRKRSIRLRPRLVADRVDEKPSLRRGDSIQIQYQVDQKLESQFKNDRGRKLLGDSAMLKQEQTDSSMKNRRNMNPRKLPNTPKMPGLLKSGRFAHSDDTVHHLRENLDGKGLNASGTSTGGSKMTEIIQKKCKNVISRLRKRIDREGAQIIPLLTDLWKRIESSGCTSGAEDNLFDLPEIDMRLDNQEYRGVMEFVSDVQLMLRSAVQYYGYSYEVRSEARKVHDLFFDILKIVFPENDFREAKNSLSFTSAASGSTHGSSSKQVLTGQNRRQKATSSAEPEPSRPQKPQPRGPIHEDTKTRGHVSQKEARLGSSSSRDLGQQDDSRPFAHPGELVICKKKRKDREKLGFKAGNGSAGPVSPTGISRGIRSPARASIAKDVKQVTQQQGWNSQSPQQVHSSGGNVGWANPVKRMRTDAGKRRPSLN >CDO97227 pep chromosome:AUK_PRJEB4211_v1:2:17435354:17439432:-1 gene:GSCOC_T00014496001 transcript:CDO97227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 1 [Source:Projected from Arabidopsis thaliana (AT2G15230) UniProtKB/Swiss-Prot;Acc:Q71DJ5] MERLLTVLMLSVLLLSSAGESARVSNIRLPNSPADGLCAHLIQPYGYPCSEHTAQTKDGYLLGLQRVASNSRYLRRQYGPPVLLLHGLMMAGDAWFLNSPNQSLGFILADHGFDVWAGNVRGTRWSHGHVSLTEKDKDFWDWSWQELALYDLEGMARYIYNITNSKIFIVGHSQGTIMSLAAFTQPHIVSMVEAAALLSPISYLDHISSSFVLRLVKMHIDEVLLVMGIHELNFKSDWGTHMMDMVCDEYANCNDLLTTITGKNCCFNISRIDFYLEYEPEPTSSKNLNHLFQMIREGTFRMYDYGILKNLIRYGRLQPPAFDVSSIPNSLPLWMAYGGNDSLADVTDVQHTLKELKSQPEVLFLEEYGHIDFLLSVRSKEDLYDDMIRFLKSFRKFGSY >CDP09383 pep chromosome:AUK_PRJEB4211_v1:2:22991950:22994455:-1 gene:GSCOC_T00028727001 transcript:CDP09383 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTFVNLIVLCSLFLAAVVSSKHHGNPADDLVELINMNRTAHKLPELSNSPGLGCVALQCAEECQANCTSDNAINCHPSADDFTEIFAPNCGVELPTFGTISGHVVGCHHKDLTPSEAFSNVLFRDKKSFSLLGNRSHNEVGIGIFRAHKHKGPYLWCVLFSSSQTNTTFVLDDLGRGIKQIKGCYSGLSDACSRQNRNTDQASYVNICVMLFIIFFLSTSLR >CDO97713 pep chromosome:AUK_PRJEB4211_v1:2:21218167:21233193:1 gene:GSCOC_T00015131001 transcript:CDO97713 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MAVATKVAIEDVVKQVVNEDDDERDTCHIVMQRYFLQEWKIVKSLLDDIVAAGRVSDFSSVRKIRSILDKYQEQSQLVEPYLEHIVPPIMFIIRSQTAELGVASEGILDVIKPLSIIIYSVVTVCGYKAVIKFFPHQVSDLELAVSLLEKCHNTDSGTSLREESTGEMEAKCVILLWLSILVLIPFDLSSVDTSMADGNNVDRGELPPLVQRIIGFAKDYLSSSGPMRTIAGLLLSRLLTRPDMSKAFSSFTNWTHEVLSSQTNDVTDHFRLLGAGEALAAIFKTGSPKLLLEVVPTVWSDTSALMKSNTAVRSPLLRKFLVKLSQRIALACLPNRPPSWHYVGRTSTLEEHIPSGVPKSDQGNDSSKIFSNSCDQDECCPQEEDMDVPEIVEEIIELLLSGLRDTDTVVRWSAAKGIGRITSHLTCTLADEVLASILELFSPGEGDGSWHGGCLAMAELARRGLLLPINFCKVVPVVVKALHYDIRRGPHSVGSHVRDAAAYVCWAFGRSYYHTDMRSVLEQLASHLLTIACYDREVNCRRAAAAAFQENVGRQGNFPHGIDIVNTADYFALSSRVNSYLHVAVCIAEYDDYLHPFVDELRHSKICHWDKGLRELATNALSALVRYKPDYFAGVILEKLIPCTLSSDLCMRHGATLAVGEVILALHKCGYLLSTDKQKQIAGIVPAIEKARLYRGKGGEIMRSAVSRFIECISLAHIQLTEKIKRSFLDTLNENLRHPNSQIQHAAVEALKRFVCAYLVGLGNKSIYDILPKYLEQLNDANVAARRGSALALGVLPSDILASQWKVVLLKLCSCCEIENNPEDRDAESRVNAVKALVSVCETLTQEIEHSSFFSSEDTVSFLLFVKNEVMQSLFKALDDYSVDNRGDVGSWVREAAIFGIEKCTYILCRRSSLVSISQLQESKSIPEWQNEDNIPDDEVQSYFDASLSTTLVGGLVKQAVEKMDKIRELAAKVLQRILYSNTVPVPFIACQEKLKDIIPEKADSEWGEPAFLYPRFIQLLQLSCYSKYVISGLVISIGGLQDSLRKVSLSALLDYLQGKEAKDGELSLASDILWVLQNYKRCDRVTVPTLKTIEILFSKKVFLNMEAYTPIFCAGILDSITVELKGSKDFSKLYSGIAILGYIASLSDLINKRAFTHLLTFLSHRYPKIRKATAEQVYLVLLQNGSLVADINLEKALDIISETCWEGDFDEVKRKKLELCDMADVEAGQLPKATSEQNNTVQRRETLDENASYSSLVESVGF >CDP15852 pep chromosome:AUK_PRJEB4211_v1:2:45075098:45082316:-1 gene:GSCOC_T00016743001 transcript:CDP15852 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGYNSPYLYSTNNFIGRQIWEFNPNGGSPEEREEVENARTQFWNNRCQVKPCSDLLWRMQFLREKNFKQSIPQVTVEDGKEITHAMATTAVRRAVLFFAALQASDGHWPAENAGPLFFVPPLVMCLYITGHLNSVFPAEHQKEILRYIYYHQNEDGGWGLHIEGHSTMFCTALSYICMRILGEGPDGGGLNNACSRARKWILDHGSVTAIPSWGKTWLSILGLFDWYGTNPMPPEFWILPSFLPVHPAKVWCYCRLIYMPMSYLYGKRFVGPITPLILQLREELYAEPYDQINWRKVRHICATEDLYYPHPLIQDLIWDSLHIFAEPLLTRWPFNKLRERALQTTMKHIHYEDENSRYITIGCVLCMLACWVEDPNGDYFKKHLARIPDYMWVAEDGMKMQSFGSQVWDTSFALQALLAGGLTEEIGETLRKGHDFLKKSQVTDNPSGDFRSMYRHISKGAWTFSDQDHGWQVSDCTAEALKCCLLFSTMPPEIVGEKLEPERLYDAVNILLSLQSKNGGLPAWEPVRADNWLELLNPTEILANCAVEHEYAVCTSSAINALVMFQQLFPGHREKEIENFIANASKYLENVQMPDGSWYGDWGVCFTYGTWFALGGLVAAGKTYDNCQAISKAVDFLLKSQRNDGGWGESYRSCSEMKYIPLKGERSNLVHTAWAMMGLIYAGQADRDPRPLHHAAKLLMNSQMENGDFPQQEITGAFKNNCMLHYATYRNIFPLWALAEYRKRVPLPTN >CDO97249 pep chromosome:AUK_PRJEB4211_v1:2:17591673:17595121:1 gene:GSCOC_T00014521001 transcript:CDO97249 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSAIKEEVVRGLSPSRSRSKSPARSASPISALLRRKKTSGSTSSSGNSYVANPEALIARSGSMRPLGETLTPLMEGPDPDGGEIGESKRVGLGQWMKGQLSRAPSVTSSGYGSSNNNTSGGSCNRRSDLRLLLGVMGAPLAPVHVSSMDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIRNAYAMGKLKMVASEFETATKVVKNRNAARAAESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTAGMFADARCIGEKKINGEDCFILKLCADPQTLKARSEGPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQSNGGDAVYWETTINSFLEDYRPVEGIMIAHSGRSVVTLFRFGEMAMSHTKTRMEEAWTIEEVAFNVPGLSLDCFIPPADLRSGCMSEACELPQDERAKSALALAAHRAKVAALEKTHGSNMDNVFWKVEV >CDP17157 pep chromosome:AUK_PRJEB4211_v1:2:50254770:50258791:1 gene:GSCOC_T00006320001 transcript:CDP17157 gene_biotype:protein_coding transcript_biotype:protein_coding METKEDSETLALLSGPIKEASNILQELINIHIDGKVILAIQGQVKDDKPTFIERTAVKSICGVFENLLTTQVGIPNEQLLQVIYVLFLKLCKEVPHVYLKSIVLKLAQTMTNDSGVSDTTYALFRV >CDO96879 pep chromosome:AUK_PRJEB4211_v1:2:14275976:14276378:1 gene:GSCOC_T00014047001 transcript:CDO96879 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYGCSDERNEEGFFAKILIKLSQGEYVAVEHLEKIYCVSPTIEDASLKFLNWVYGDSFKSMLIVVVVPNEESTVKWAQKIDY >CDP08771 pep chromosome:AUK_PRJEB4211_v1:2:53171814:53175937:-1 gene:GSCOC_T00027863001 transcript:CDP08771 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPACLIRAEQPLATDLTAADRSTISLAGSASSRLRDVVFVVNPRGSNGRTGEQWKKLLPYITSRLGSDCNICESLTSGPCHAIDITREAIREGAQAVVAVGGDGTLHEVVNGFFWGGEPVASHDPKSSHKTALGLIPLGTGSDFARTYGWKNDPHDAIERIAKGLRSRIDVGVISGESGDPHYFINEADIHLSAKAGYYASRYKKFGNLCYVIGALQAFFRHQNRDLRIKVDGGEWEVCSQVTALCVGNAKYFGGGMKIAPNADPSSGNFEVVILQDFRWHDFILNLHKLYNGTHLSVKNVFSRSVQSIEVEEIIPSDSIYVQSDGEYLGFLPRKFCILPGAIEMIC >CDP17195 pep chromosome:AUK_PRJEB4211_v1:2:47637380:47640118:1 gene:GSCOC_T00000662001 transcript:CDP17195 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSSGAALKGGKKKGSTFVIDCSKPVEDKIMDISSLEKYLQERIKVGGKAGALGDSVSVARDKTKITVTTESSFSKRYLKYLTKKYLKKNNVRDWLRVIASNKDRSVYELRYFNIAENEAEEED >CDO97123 pep chromosome:AUK_PRJEB4211_v1:2:16642028:16643606:-1 gene:GSCOC_T00014366001 transcript:CDO97123 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKSLGKDKDEIILDQRPAFFKIFNQLVENRLKIPPQFVRDVLIGIPKRIILETSYGSCWSVKVVKTDDGDVFLGDDWQKFVEDNLLQEHDFLLFHYDHGDRCFTVQIFDKSGVERTNQEVANSGTKNLDQHTTHVQPREKSQSREEGATLQEFCSQHPFFETNIKRYNVNPPFLMIIPKSFAEKHFQKAKTKIRLKNIEGKEWEVNYTINGGNFVFVGGWTRFVRDNKLKIGNVCIFELIGEKVLQVHISDD >CDO97134 pep chromosome:AUK_PRJEB4211_v1:2:16711597:16712099:1 gene:GSCOC_T00014379001 transcript:CDO97134 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNFPTARASSSKDIDGKFWNHIFPNSSSDKGKENEQHSF >CDP05067 pep chromosome:AUK_PRJEB4211_v1:2:1267593:1270910:1 gene:GSCOC_T00019988001 transcript:CDP05067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-kinase gamma 3 [Source:Projected from Arabidopsis thaliana (AT5G24240) UniProtKB/Swiss-Prot;Acc:Q9FNF8] MSIASVALTPVYEDYWNFPGRVLGSVIPMQVMGSDSIASVKLRIQNRKGFFVKNQKLVFDGKELSRNNSRVQDYGVTDGKVLHLVLRLSDLQAITVRTVCGKEFEFHVGRKRNVGYVKQQIARSGNEFLDLKDRELVCDGEELEDQRLIDDICKSNEAVIHLLVRKSAKVRAKPVEKDFEVSIVASEVDKSRRVDSVDERSSEEESLVEKLPVAAIKPLEKEFFLEPLVVNPNITLSSVIKQLVDATYHGLEKGNPPIMSSEGSGGVYFMQDSSGQQYISVFKPVDEEPMAVNNPRGVPLSVNGEGLKKGTRVGEGAVREVAAYILDHPKGGQCSYLKNEKGFAGVPPTVIVKCQHEAFNYSEGFHPSYKNTKVGSLQLFMENCGSCEDMGPRNFPVDEVHKICVLDIRLANADRHAGNILVCKDSEEGRINLVPIDHGYCLPENFKDCTFDWLNWPQARQPFSPEIIGYINSLDAEKDIELLRLHGWELPFDCARILRISTMLLKKGAERGLNAFLIGSIMCRETLKKKSALEQIVREAQGAVLPGMSEAAFLESVSLIMDRYLDGMSASKYVGR >CDO96794 pep chromosome:AUK_PRJEB4211_v1:2:13138215:13139615:1 gene:GSCOC_T00013925001 transcript:CDO96794 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAVYLFYLLAFGSSSSNAAAQINQVGVCYGQLGNNLPAPSQSVQLLQKLNATQVKLYDANPKILNALIGSKLYITVMVPNELIINISSNQTLANQWVQNNVIPYYPRAMIRYILVGNEVLSYYFKPLWFYLVPAVKRIHQSVKTFGLSNVKVGTPLAIDMLESSVLLPSNGTFRSDIAGKVVKPLLQFLNQTNSFFFVDLYPYFEWVSKPNTISLGYALLAAQNKTFKDPVSGLVYNNLLDQMLDSVVFAMKKLGYPSIPLFIAETGWPNGGDIDQIGANIYNAATYNRNVVKKFTAMPSAGTPARPGVNISVNIFALYNENQKPGAGTERHFGLLYPNGTSVYDIDLSGHTPDSTYSPLPQPTNNKPYKGKLWCVDNRTCEAIRPGGKCYKPNWLVLHASYAFSAYWAQFRSSGATCFFNGLAVQTTKDPSYLSCQFPSVNL >CDO97184 pep chromosome:AUK_PRJEB4211_v1:2:17117709:17122861:1 gene:GSCOC_T00014446001 transcript:CDO97184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MEGIISQLHSNIQRVMEGGGAEAVKRNQSRNKLLPRDRIDRLIDPGSSFLEFSQLAGHELYDEPLPSGGIITGIGAVHGKLCLFVANDPTVKGGTYYPITVKKHLRAQEIASQCKLPCIYLVDSGGAFLLKQAEVFPDKENFGRIFYNQALMSAEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGATVHCKTSGVSDYFAQDELHALAIGRNIVRNLHMAGNHNVSQHRTFDYKEPLYDIKELRSVAPADFKQSLDIRSIIARICDGSEFDEFKKLYGPTLVTGFARIYGQPVGIIGNNGILFNESALKGAHFIELCTQRNIPLVFLQNITGFMVGSKSEANGIAKSGAKMVMAVSCAKVPKITVVVGGSFGAGNYAMCGRAYNPNFMFFWPNARISVMGGAQAAGVLTQIEKANKKKAGVQWTKEEEEKFKADVVEAYEREGSAYYSTARLWDDGIIDPADTRKVVGLCLSASMNRGRENTKYGVFRM >CDO99498 pep chromosome:AUK_PRJEB4211_v1:2:11947791:11949298:-1 gene:GSCOC_T00029096001 transcript:CDO99498 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLFILFLLTLLSSLQIHARDPQLFNKIPSNNGAKETQVAVPRSEETLNNKQEQEPNFIPENENGGYGLYGHESGQLPPSTTTTINPSGEPYKATTNLPYNNEPRDSYPKYLPKNYNTESYVTEPEGYRPQNSNYNSNNFYNGENTYYNNEKQGLGESTYYNNEQQGLGETKLLGNSYTNPSNNRNNYYNNQNNKNNYGASNSYYNGEQQGLSDTRSNSNNYYSYNGGNANGGAQKQGMSDTRFLENGKYFYDISMEQLLNRGLYGANNRGYYWNDNQNSYNNYQDQEQYQNFQDEDDMP >CDO97275 pep chromosome:AUK_PRJEB4211_v1:2:17782671:17788535:-1 gene:GSCOC_T00014554001 transcript:CDO97275 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYERSKSAILLGFLVLNLTQLVMGRFVVEKNSLRVTSPDSIKGTHDSAIGNFGIPQYGGSMSGTVVFPKENQKGCKTFSDFGSSFKPKSGAMPNFLLVDRGDCLFALKVWNAQNAGASAVLVADDVDEALITMDSPEEERSSAKYIENITIPSALIEKSFGEKLKKAINAGEMVSVNLDWREAVPHPDDRVEYELWTNSNDECGFKCDMLMNFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTVSKQCKSQCINHGRYCAPDPEQDFSTGYDGKDVVLENLRQLCVFRVANETKKPWIWWDYVTDFQIRCPMKEKKYNKECADMVIKSLGLDLKKIEKCMGDPDADSDNSVLKEEQEAQVGKGSRGDVTILPTLVVNSRQYRGKLAKGAVLKALCAGFEETTEPAVCLSNDVETNECLDNNGGCWQDKAANLTACKDTFRGRVCECPVVNGVQFRGDGYSSCAATGPGRCKINNGGCWQDTRDGRSFSACMDHEGGKCACPPGFKGDGVKSCEDVDECKEKKACQCAECSCKNTWGSYDCSCSGDLLYIRDHDTCISKRATEVKSGWAAVWVILIGLGMAGGGAYLVYKYRWRSYMDSEIRAIMAQYMPLDSQNEVPNHVSDDRA >CDP15529 pep chromosome:AUK_PRJEB4211_v1:2:46117225:46118736:1 gene:GSCOC_T00015393001 transcript:CDP15529 gene_biotype:protein_coding transcript_biotype:protein_coding MDERDPDEPRRCRNCHQTGHNRRNCPNYRYLLGIMADTPIPAGLHSGPNVHDIISAGTAHRHTSIPDRVCRYIALTGFEGVLESGYQMVDHSLITSLVERWRPETHTFHLPVGEATVTLQDVEMLWGLHIDGPPVIGIDTYRSIQEWGAICEEFIGFSPAVGYFDGQRLKLGCLARGLDTKLSPDASDVECRQRARIYLLLILGGYLLSDKSSNKVSLLYPPLLRDLKTVGQHSWGSACLATLYRSLCDATNLAKSAIADPLVLLQVHCTQ >CDP04961 pep chromosome:AUK_PRJEB4211_v1:2:427505:433605:1 gene:GSCOC_T00019839001 transcript:CDP04961 gene_biotype:protein_coding transcript_biotype:protein_coding MQFQQADFIASIAVINIIKEYIYICMYTLPAETTSTKCNTVEKTPLKIKAPHSGGRAGGMQEVVDDQQIQKKREHSHDARPDPTTAATDENIISGLAFRQLLSYADAWDWVMMVTGTLGSIIHGLAQPIGYFLLGRALDAYGNNISDTDAMVKALKQVVPYVWYMAIATFPAGVVETGCWMHASQRQVSRLRLAFLRAVLRQEMGAFDTDLSSAKVITGITDHMCIIQDAIGEKLGHFLSCLATFVSGVLVAFISCWEVSLLTLFVVPLILFIGANYTKKMNSISATKLTYLSEATSLVEQTISQIKTVYAFVGENRATKLLSKCLEKQLILSKQEALIKGAGTGMFQTVTFTSWALIVWVGAVVVVNKRSTGGDVIAAVMSILFGAISLTYAAPDMQIFNQAKAAGKEVFQVIQRKSAINSDSKGKILEAIDGNIDLRNIYFAYPSRQEKVILQGFSFSIPAGKVVALVGSSGCGKSTIISLLARFYDPEKGDIFIDNHNIKELDLKFLRRNIGLVSQEPSLFAGTIKDNIKIGKMDADDEEIQHAALLANADSFICQLPDKYLTEVGQRGLQLSGGQKQRIAIARAILKNPPILLLDEATSALDSESEKLVQEALDTAMKGRTVILIAHRLSTIINADMIVVVENGKVSESGTHDYLLDTSKSYNNLFRMQNIYQENSNEETASDKVQVSSQYLNHHEKPTSPLNRNLRDPPKEQEHKEITTTTIFFRMWFGLNRKEISNICIASFAAAFAGISKPVFGYCIITIGVTYYQTNAKRTVGWYSIFFSSIGILSLFAHTVQHYLFGVVGEKAMTNLRQALFTATLRNELAWYEKPENSVGSLTSRIINETSTVKSIIADRMSVIVQCISSILIATIVSMKVNWRMGLVAWAVMPCHFIGGLVQAKSARGFSSDTNAAHSELVALASESATNIKTVASFCHEDQILKKAKLSLKTPLRKSRRESAKYGIIQGVSICLWNIAHAVALWYTTYLVERGQASFENGIRSYQIFSLTVPSITELWTLVPTVFSAISILTPVFETFDRHTEIEPDKPAETQFERIKGDIEFKNISFKYPSRQEMTVLDNFSLKIEAGTKVALVGPSGAGKSSVLALLLRFYDAKEGSVLVDGKSIKHYNLRLLRLQIGLVQQEPLLFSFSIRDNICYGNQEASEAEIIEVSRQANIHEFISTLPDGYHTVVGEKGCLLSGGQKQRIAIARALLKRPAVMLLDEATIAHRLSTVMRSDIIVVMDRGQVVEIGPHSSLITAPEGVYSKLYRLQGMK >CDP14060 pep chromosome:AUK_PRJEB4211_v1:2:5464407:5467892:1 gene:GSCOC_T00039245001 transcript:CDP14060 gene_biotype:protein_coding transcript_biotype:protein_coding MFVALLYLTYILFNGILQLIIHTKEKNAILFTHPPAESFSSTGLVVSSKLPRFSDMYTLTIASADPDSISAKPQVEFTKSVTQWFTKDGVLVEGLFWKDVEGLVNEYTRESKKSK >CDP00058 pep chromosome:AUK_PRJEB4211_v1:2:6531622:6537492:1 gene:GSCOC_T00029834001 transcript:CDP00058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 1 [Source:Projected from Arabidopsis thaliana (AT5G13680) UniProtKB/Swiss-Prot;Acc:Q9FNA4] MNNLKLSWELPSKLQLHSEDEVVQFAAFDIERNRIFFASSANFIYTTHIPSPQDEGTWGSASLSAAADSIDMEPGDYITSMDYLMEKEALIIGTSYGLLLLYIVDDGTTEVVGRVEGGIKCISPSPDGDLLGVITGFGQILVMTHDWDVLYEMALDDHPGDVDVHEPAVSSNYSCESPISWRGDGKFFATLSKVHDALPLRKKLKVWERDSGALHSVSEPMGFMGAVLDWMPSGAKIASVYDRREEKNSPSIVFFEKNGLQRSSFGVNDNTDVKVDSLKWNCNSELLAFVVRGEDHESIRIWFFNNNHWYLKQEIRYLKQDGVKFIWDPTKPLQLISWTVDGQIMIYNFMWITAVMDNSTAFVIDDSKILVTPLSVSLIPPPMYLFSLKFPSAVRSMAFFSHGSKHNLATFLSDGRLCIVELPEIDMWEELEGTEVSVEAASCDIGFGSFTHLAWLDSHVLLSVSHFTFNQINCSLGNFSSKDGLPAYYLQEIQVMCSEYHKPGPVTSTGWQAKISNQISVEERVIGIIPGPLNRCSAYIQFDGGKIVQYLSKLGGNRVVPLQKCDDMCFSSSCPWMTLALAEGFVSQKALLFGLDDNGRLQVGRRILCDNCSSFSFYSNATDQSITHLILSTKQDLLFIVDIADIQNEQLAVKYGNFLPAFKTRTGDDGRNYINIWERGARVIGVLHGDESAVIIQTIRGNLECVYPRKLVLASIINALVQGRFRDALYMIRRHRIDFNVIVDHCGLKAFILSAPEFVKQVSNLSYITEFVCAITNGNVMETLYKDYILLPCQKELNTVKSGYADNSDSNSKISAVLLAIRKALEEQIVESPSRELCILTTLAQSQPPALEEALTRIKFVRQMELSGSDGPGRNNYPSAEESLKHLLWLSDPEAVFEAALGIYDLKLAAMVALNSQKDPKEFLPFLQELERMPAALMQYNIDLRLQRYENALRHLVSAGDGYYEDCMRLMRSYPQLFPLGLKLISDPVKKAQILDAWGDHLSSMKSFEDAAVTYLCCSSLEKALKAYRSSGNWRGVLTVAGLIKSGKEEVIQLAYELCEELQALGKPGDAATIALEYCGDVKAGIDLLVSARDWEEALRIAFLHLRDDLVSEVKIACLECANLLIGEYEEGLEKVGKYVARYLAVRQRRLFLAAKLRSDEQSVAELDDETASEVSSSFSGMSAYTTGTRRGSAASISSTSTKGRGRQRNKGKIRAGSPGEEMALVEHLNGMALATGAKHEIKSLLMSLLMLGEEDLARKLQRACENFQLSQMAAVKLAEDAMLTDSMDDHVYSLEHYIQKVRKEVHNLEAFSWQSSVLV >CDO97191 pep chromosome:AUK_PRJEB4211_v1:2:17177190:17182161:-1 gene:GSCOC_T00014456001 transcript:CDO97191 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIDQFATFDAGSNDVGTSSGNSGYLVRHDDGSGSSSSSWGLSCKRKVLEGNPGQSGSSGSLSMEPQDETIGRHNIPAHHNASSSLAVSATSTNSPSSNNQGQVNARIVSGMRGMTYDRFPPRVTEVAESSQRNFSFGADLGHQEPAPFDLSAAASDVRHSNAHSTNHQSRLISATESPELRTPFSRPLNLNSNSSQSPFMHVHGLARNMLPISWSGSLNSRGGASSSPILFSGERGAAVRDEANFRGSLSNNPEHPSFVAARESRHMVQEPTAWSFSPGNTGSSRNVPSSSRYTPNSGTGPLPTVWMPHQNVAAHNQQRLSEFPPWTLFPSVEPDPGGQRGNFSFLPSASSSSEEAVMASGSSSGDHNQPYSRSLLMEVSSEDINGWRALAAGIEGRHRIVSEIRQVLTAMRRAEYLRAEDYMMLDPFVNGAAELHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSDNAIFHALKHRRHQFITDESPNLEPCCICQEDYIAGDDTGTLNCGHEFHTNCIKQWLTMKNLCPICKTTALET >CDO97442 pep chromosome:AUK_PRJEB4211_v1:2:19077077:19080210:1 gene:GSCOC_T00014787001 transcript:CDO97442 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQSKEQPGEFRWQPGSVTQAICNGFWVVVEDVDKASPDVQSILLPLLEGASSFITGHGEAIRVHKGF >CDO97117 pep chromosome:AUK_PRJEB4211_v1:2:16623777:16625983:1 gene:GSCOC_T00014358001 transcript:CDO97117 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSSRIRCLCPVVVFSLLGCLITIRLYSLTSQPFVPGAKIQMPNTYLSQFGELVDVEELEHPPLSKGQDTQTTSLVDEFLDKDSQIRSFFFPDKRNAIDPGEGSDRNYYYPGRIWLDTEGNPIQAHGGGILYDERSRRYYWYGEYKDGPTYRAPGKGVARVDVIGVGCYSSKDLWTWKNEGIVLKAEEKNETHDLHKSKVLERPKVIYNEKTGKYVMWMHIDDANYTKASVGIAISSSPTGPFVYLYSKSPHGFDSRDMTIFKDGDGIAYLFYSSLHNRQTHIGPLSKDYLDVTNSMTKVLVGQHREAHAVFKHQSNYYMITSGCSGWAPNEALVHVAESIMGPWEAIGNPCFGANKVFRVNTFFAQSTFVLPMAGGPPGSFVFMADRWKPDDLRDSRYLWLPLIVEEEAYQQLPLASPIRPKVSIFWHAKWMIPYRGIRENSR >CDP13856 pep chromosome:AUK_PRJEB4211_v1:2:34268153:34270922:-1 gene:GSCOC_T00038977001 transcript:CDP13856 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLAIEQVPSLDWKRTFLFTLLGLVLVGPTLHFWYLYLSKLVTIPGSSGAFLRLFLDQFLFSPIFIGFFLSTLVTLEGRPSQVIPKLEQEWFSAVVANWQLWIPFQFLNFRFVPQQFQVLAANFVALIWNVILSYKAHKAILTK >CDP17788 pep chromosome:AUK_PRJEB4211_v1:2:51339223:51339778:1 gene:GSCOC_T00003910001 transcript:CDP17788 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSNLELFHDPSYPTLSGRFRSMRPKVLVHRLNRSWKLSKKVKEITRAGKLKREMQVKNMKLYVMNKIIVEENERLRRKAMTLLQENKILLAQFQKFPHPDSNTIKY >CDP05090 pep chromosome:AUK_PRJEB4211_v1:2:1480685:1482297:-1 gene:GSCOC_T00020020001 transcript:CDP05090 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRLRLAVGIMGNAASMLLYAAPILTFSRVIRKRSTEEFSCIPYTIALLNCFLYTWYGLPVVSNGWENVPVVTINGLGILLELSFVFIYFLLTTSVILGFCVIALLSVFAFHDHSHRKILVGSIGLIASVAMYGSPLVVVKQVVQTKSVEFMPFYLSLFSFLASSLWMTYGLLSHDLFLASPNLVGSPLGIFQLLLYCKYRKNGFMEEALKRDAAKGWRKSEATDVEKPKEQLHAASAQ >CDP05289 pep chromosome:AUK_PRJEB4211_v1:2:3036621:3039587:1 gene:GSCOC_T00020282001 transcript:CDP05289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aminotransferase ACS12 [Source:Projected from Arabidopsis thaliana (AT5G51690) UniProtKB/Swiss-Prot;Acc:Q8GYY0] MRLVVPLQGVVQGRGGLILGSLIPCALYYFLQFYLKRRRSPPPPPPSSPRSPSTSSVNLADLPRSSSRLSLSTRGSIGRVHVSARASSIAKPNTSPYYIGLDRVRGDPYDPVQNPGGIIQLGLAENRLTLDLIEEWLSKHMNEAVLLGGVDGGLSISGIAPYQPSDGLMELKVVMAGFMTQVMGGTVSFNPSQLVLTCGATPAVEILSFCLADQGNAFLVPTPYYPGFDRDIKFRTGVELIPVHCRSSENFVPSIAALDQAFNQAKKRGQKVRGILITNPSNPVGNLLSREILYNLLDFARERNIHIISDEIYAGSVYGDEEFVSMAEILDPEDFDKDRVHIVYGVSKDLSLPGFRVGAIYSFNEYVLASSKKLARFSSISAPTQRILVSLLSDSGFVQDYLQTNKQRIRRLHDLFVLGLEQLGIESTKSSAGFYCWVNMSRLISPYNEKGELELWEKLLDIAKINVTPGSACHCIEPGWFRCCFTTLDDTDVGVVVDRIRNIVETCKSPA >CDP18037 pep chromosome:AUK_PRJEB4211_v1:2:47157221:47162470:1 gene:GSCOC_T00008648001 transcript:CDP18037 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWNKLGERSCLLQPFASITEKVLRRFKKTENCPVLKLTILQSASGAALADWHLRTSLRLVLDFLCL >CDO99580 pep chromosome:AUK_PRJEB4211_v1:2:11214107:11215986:-1 gene:GSCOC_T00029215001 transcript:CDO99580 gene_biotype:protein_coding transcript_biotype:protein_coding MESEREQTYLSLLILSCNLSFYYWKEIGAAKNPTLLFAAFSLVPPWMFKQVKYVKSHRLMTIKRLMKCLYETWDN >CDP13949 pep chromosome:AUK_PRJEB4211_v1:2:4566235:4568839:1 gene:GSCOC_T00039099001 transcript:CDP13949 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLPSPAALQELSRVISTNRLHRRQVPKSPRPTTLSSAQPPAAGLSGSRRLASKDQQLPQLRLENKGMEGKKSTSNDNSTSASEQGRRIPLAEAVADCVKRWFQDTLKEAKAGDTAMQVLVSQMYYSGYGIPRDAQKGKTWMNRASRARSSVWKVSDKHPGYNASDSDSEDTKDEAK >CDP08669 pep chromosome:AUK_PRJEB4211_v1:2:53960202:53962575:-1 gene:GSCOC_T00027714001 transcript:CDP08669 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPTIALSLLLLLFSTFLTSTPTPTPTHKLDCQTFIPNSLPKPTQSPSWTSDGEPQTILSQETAAGTEFQSNNFSLELHHVDALSLSPHASPESLFNLRLQRDAFRAKSISDMASGRGRQGVGLGRMNFSSSVISGLSQGSGEYFTRLGIGTPPKYSYMVLDTGSDVVWIQCSPCKKCYTQADPLFDPSHSSSFAGVACASPLCRRLDSPGCNNRQKCLYQVSYGDGSFTFGEFSTETLTFRRVRVNNVGLGCGHDNEGLFIGAAGLLGLGRGKLSFPSQAGRRFGRKFSYCLVDRSSSSRPSSIVFGESSVSRTSVFTPLLTNPKLDTFYYVGLNGISVGGSRVPGITESHFKLDAAGNGGVIVDSGTSVTRLTRPAYIAMRDAFRAGASNLRRAPDFSLFDTCFDLSGKTEVKVPTVVLHFNGADVSLPASNYLIPVDGNGRFCFAFAGTISGLSIIGNIQQQGFRVSFDLAGSRVGFAPRGCA >CDP18390 pep chromosome:AUK_PRJEB4211_v1:2:43366767:43368921:1 gene:GSCOC_T00012974001 transcript:CDP18390 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHRQYGFGKVEAQFDDNVNTCHDVVDLRDELFVRLANSGFVDVAAVLAAISNIPLTFQSRVVNVGELLCGKSSTAVHHDCSQSEDILLNGNRRSKLTVGVKRARAGKSLVQQQSFNQFENENELGVQKWVNNNLDTEIPFKETAEDVLTFIPEGGEEEEDLTFTEKSSQKNGEDLRKHELRLLGDLPVHVQEILGEDASLPNAEATVYAPVPTDDGVNLSALKTHQMDLQVGNNQEIEVSVKSPLTVESSPTEIIGVVDKIDAVELIEEKKNNLQSVMDFVMLEWKDFEGHVKMIQNSISGFFGELESGETDLKPVQESASKNSEELISRRKWVEKRFKKLDEKEKLILELLQKVELAQNKFATIRNFVGEKLENIAFQG >CDP17814 pep chromosome:AUK_PRJEB4211_v1:2:27034609:27039720:-1 gene:GSCOC_T00009427001 transcript:CDP17814 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSVQSISGLRLSCCLIFHMLQQVFIESDAMPYPTRSNFQLKLSQEKKKSFCSAQSLPQNLVTSPQLRRIKASAGGISGINKSLNSGKQIPSSQAASPTSAKTHFRRFSLRSGVELKPGP >CDP08598 pep chromosome:AUK_PRJEB4211_v1:2:39648003:39652369:-1 gene:GSCOC_T00027596001 transcript:CDP08598 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGSEIKRTQEERKKMEQDLASLTSVTFDTDLYSADRFEGYERSIPVNDDDDTADGPDNEIARKLNSYTAPKEFFKDAPRPGNEDDLGFKQPSRIIDREDEYRKRRLNRVISPERVDPFLDKTPGPDMRSYADVMKEEALKRQKDDVLKAIAKKKEEEAVKPVSKEKEAEKPKKRNRWDQSQDDTSAKKAKGGSDWDLPDSTPGIGSGRWDATPTPGRIGDATPSVRKNRWDETPTPGRLADSDVTPAGGVTPGATPAGMTWDATPKLAGLATPTPKRQRSRWDETPATMGSATPMSNATPAAAATPGVTPFGGADLATPTPSAINLRGAITPEQYNLLRWEKDIEDRNRPLTDEELDAMFPQEGYKILEPPASYVPIRTPARKLLATPTPIGTPLYNIPEENRGQQFDVPKEMPGGLPFMKPEDYQYFGALLNEENDEELSMEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMHILIREFQSPDEEMKKIVLKVVKQCVSTEGVEPDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCGEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALIHLMNYVWPNIFETSPHVINAVTEAIEGMRVALGAAIVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPMLDDEENNVYSRPELVMFV >CDO97020 pep chromosome:AUK_PRJEB4211_v1:2:15879707:15883930:-1 gene:GSCOC_T00014232001 transcript:CDO97020 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSREDDEITSGTTKPFALYLLMLIMLISCSVSPFLQNQLSPFLSTFFCRLFKALIHMQLQMLSNPRFLVNPNRNSSLPSFSSPFLSPSNNLTNFSSIFRSPPNLLSASLQSKVLTSARMSSKSALSNNAQSVELGLDDCQLDRFAAVANQLADAAGQVIRQYFRKSFEILDKEDLSPVTIADQAAEEAMVRIIQENFPFHAIYGEEKGWRCKEKVADYVWVLDPIDGTKSFITGKPLFGTLIALLYQGKPVLGIIDQPILRERWVGLNGRKTTLNGQDISTRGCAKLSQAYLYTTSPHLFNGDAEMAFARVRDKVKVPLYGCDCYAYALLATGFVDLVIESGLKPYDFLSLIPVIEGAGGILTDWKGRELDWKASADSHAGSFNVVAAGDKQLHLQALDALRWP >CDP07663 pep chromosome:AUK_PRJEB4211_v1:2:24462608:24464278:-1 gene:GSCOC_T00024988001 transcript:CDP07663 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNKGKLCLITLALVSLMFECAISDDAKDKQECTNALVGLATCLPYVGGNAKFPTPDCCSGLKEVLKTNKKCLCLVIKDRNDPDLGLSINVTLALGLPSVCNAPANISECPALLHLDPNSPDAQVFYQNGHASSSIAGSPVSGPTATAASSPGPNAKQHSDGNRSGKQWFGVEAVVTTLFLWSFTSNLII >CDO99932 pep chromosome:AUK_PRJEB4211_v1:2:7649581:7652834:-1 gene:GSCOC_T00029654001 transcript:CDO99932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06340) UniProtKB/Swiss-Prot;Acc:Q9FNH4] MQNPPQGYRRNVGICLMNPSSKKIFAASRLDIPDAWQMPQGGIDESEDPRTAAARELREETGVTTADVVAEVPYWLTYDFPPAVREKLNRQWGSDWKGQAQKWFLFKFNGNDDEINLLGDGTEKAEFGEWAWMSAEQVINHAVDFKKPVYEEVLSVFSTYLQ >CDP00090 pep chromosome:AUK_PRJEB4211_v1:2:6289642:6294459:1 gene:GSCOC_T00029881001 transcript:CDP00090 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVIWTAFEVLFIVAIMLAFGVVSAMVLETFRRRFNHNHVEAPPVFEDPNSLNQVPCPHIFDSAEKYISLIVPAYNEENRLAGTLDETLNYLQQRAAKDKSFTYEVIIVDDGSADGTTRVAFDYVKRYLVENVRVILLGRNHGKGEAIRKGMLHSRGEILLMLDADGATKVTDLEKLENQIIAVARKGHEFGQSIASDSTLRIADVIVAAIGSRAHLEEQALATRKWYRNFLMKGFHVVVMLAVGSGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCNTFHIPMVEISVNWSEIPGSKINMASIPNMLWEMGLMSLGYRTGIWKIYG >CDP08833 pep chromosome:AUK_PRJEB4211_v1:2:52642485:52643563:-1 gene:GSCOC_T00027941001 transcript:CDP08833 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKLKQLFNLIKFLVTGAFFDSSHLAYEPTHQIRDTPRTIEAHSSCKPSGKIRCKKPPAGHCNIENDADCGRQGQTYTTYKCSPGVSKRTKAAMTINSFQKGGDGGGTSKCDIEYHSDDTPVVALSSGWFNQRSRCIKNTTIYGNGRSVQAMAVDECEPTMGWDKDHDFQPRCDDNIIDASRAVWEARRMPKSKRGTVDVDWSDS >CDO99960 pep chromosome:AUK_PRJEB4211_v1:2:7452217:7454234:-1 gene:GSCOC_T00029692001 transcript:CDO99960 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAYTPAFLAGVASFALVPLEGLRFTLLRSALTIHFLKRLFEVLFVHKYSGVMEVETAITISMSYFLSTASMIYAQHLTSGLPEPPIDLKHFGILLFVLGISGNFYHHYLLSELRTEGGDNKYKIPHGGLFDLVICPHYLFEILGFVGVSCISQNLYAFSYTFGTMFYLIGRSYATRRWYQSKFDNFSENTKAVIPYIF >CDP18378 pep chromosome:AUK_PRJEB4211_v1:2:43193651:43197680:-1 gene:GSCOC_T00012958001 transcript:CDP18378 gene_biotype:protein_coding transcript_biotype:protein_coding description:VAP27-2 [Source:Projected from Arabidopsis thaliana (AT1G08820) UniProtKB/TrEMBL;Acc:A0A178WBB7] MSNELLDIQPRELKFIVELKKQSSCSVRLFNKSKHYVAFKVKTTSPKKYCVRPNTGVIEPKSACDFLVTMQAQKVALPDMTCKDKFLVQGTVVAEGTTDDDITSSLFAKENGKYVEESKLRVVLASPPHSPVLLPINGVHDQVPVFETSPSKDQVLRGDENVGTQLKVIENMMEQKSENIEPPVTVEARDLERVKGVEELELAKDVENLKFKISELELKLEEAQVTISELTEERRLTARESESLRQELALLSTKKGVRRVQVGFPFLFVCMVSLVSVVLGYLVRC >CDO99792 pep chromosome:AUK_PRJEB4211_v1:2:8851879:8856436:1 gene:GSCOC_T00029483001 transcript:CDO99792 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSIFVSPILVLLFVLLSPLSSHAFLRLPPNAYFPSVHAEKLIRQLNLFPKQLINIADHDPLSSSPFPEAEKIVEKPLKLSNLVSDPSVTVQDLAHHAGYFKIEHSHAARMFYFFFQSRNSKKDPVVIWLTGGPGCSSELALFYENGPFSIAKNLSLLWNDYGWDKVSNLLYVDQPIGTGFSYSTDRRDIRHNEDGVSNDLYDFLQAFFSKHPEFAKNDFYITGESYAGHYIPAFAARVHHGNKAKEGIHVNLKGFAIGNGLTEPGIQYGAYTDYALDMGIISDSDHDRINKVLPVCETAIKLCGTDGTISCLASYLVCNAIFSSIMAHAGDINYYDIRKKCEGSLCYDFSNLDNFLNQKTVRNALGVGDLDFVSCSPTVYQAMLVDWMRNLEAGIPALLEDGIKLLVYAGEYDLICNWLGNSRWVHAMEWSGQNEFKSSPEVPFVVDSSEAGLLKSHGPLSFLKVHDAGHMVPMDQPQAALEMLKRWMKGSLTESSAEPGKLVSSM >CDO97654 pep chromosome:AUK_PRJEB4211_v1:2:20710597:20713747:-1 gene:GSCOC_T00015058001 transcript:CDO97654 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIAANHFSGGPNPGSPNDALYKELWHACAGPLVTVPREGERVYYFPQGHMEQLEASTLQGLDHQLPSFNLPPKILCKVMNVNLRAEAETDEVYAQITLLPDLDQGEVTSPDPPLPEPQRCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSAKKLVAGDAFIFLRQVEMTI >CDP06848 pep chromosome:AUK_PRJEB4211_v1:2:38741668:38742466:1 gene:GSCOC_T00023867001 transcript:CDP06848 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFEDPFIARRALERGAFLYAKKPASLELVKCLWQYVVRERKQKGKEKENVQKKNEKKGKGKAEVIINGQEVMNGELGDLPNYTDSLSKRVWTSKLHAKFVDAINQLGEGGCFPSEILALMNVSGLTRMQVASHLQVSFQSALQRINILFDCYGN >CDO97298 pep chromosome:AUK_PRJEB4211_v1:2:17961764:17961856:-1 gene:GSCOC_T00014599001 transcript:CDO97298 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNRGRIMDGLDSEFYIDTRPSMSDAEDA >CDP08900 pep chromosome:AUK_PRJEB4211_v1:2:51923309:51926199:-1 gene:GSCOC_T00028033001 transcript:CDP08900 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETGSYGRTNRPVFDRLEGQQSQHLYVKNQVCKFWLAGKCNRNPCRFLHSHSPNPQPQPKQIPQPQPQQSDDPNANFGNKIKSTWRNPNISASKNVKVSSPMNVKASSPGVLNSVVNCGHSGQRAQQKLCQYWVTGNCVHGDKCKDLHSWFSGSGLALLTKLERHNKAVTGVALPCGSDKLFSGSKDESVCLWDCNTGQCVGIVKPGGEIGCLISEGPWVFVGLHNAVMAWNIETQTELLLRGPVGLVKALVVGDDMLFGGAQDGSILAWKFSSESSCPELVATLKGHKLAVLSLVVGAGRLYSGSKDETIRMWDLKTLQCLQTLSGHTNFVTSLICWDCYLLSGSLDNTLKVWAATESGDVQVVYEFKEESGILALSGIEDSQSKHILLVSCKDNTVRLYDLPSFSERGRVFAKREVEAIAVGCGDLFFTGDATGQLSVWKLLGGSSAEEAKSS >CDO99484 pep chromosome:AUK_PRJEB4211_v1:2:12087969:12088871:1 gene:GSCOC_T00029078001 transcript:CDO99484 gene_biotype:protein_coding transcript_biotype:protein_coding MPASALDCLSALRIDYPMMFSIQNTYDDCVRTSHCGVLEFTAEEGFVFLPQWMMDNLKILEGDLVLLKDVSLPKGTYVKLQPHTTDFINISDPKAVLEKALKKFACLTTGDTITISHNQKKFSIDVVETKPNSAISIIDTDCEEDFAAPLDYIEPEKKGSHVANKIGNSKEGKDKQKFVAFSGLARRLDGAVPVGLQTNRAARVELDINRTRVELELRILNSLAREPACELKYIYIYIFYFYLIIKLRILYINFFIFYFYSKIIYIFLIFYYLFRKKYYFIYFFKNKIFIFFIFFRAGST >CDP08556 pep chromosome:AUK_PRJEB4211_v1:2:40872423:40874906:1 gene:GSCOC_T00027516001 transcript:CDP08556 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLHGSMRWVLQINGAINYNPEKLQFLTITPFLLSIFTSLLEAKMQGINPKAKYIIASWKLRTHLILPWRPLILIDGTTTYDIDDQLRVIRHVESWKISALEAIGQIFTPGFLRSDK >CDO97693 pep chromosome:AUK_PRJEB4211_v1:2:21080084:21081030:-1 gene:GSCOC_T00015102001 transcript:CDO97693 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIWCPEISAKAYIDTVKFCGLSMESSAPELISAMAGGWNAKLIVEAWTTGGAAPKNSIGLAIAARHSGGRHVCIVADEKSRQEYTCAMQNRPAGIALPEVMVGEAEELMGRLTGIDFLVVDGHCKDFTRVFSFAKFSHLGAVLVCKNASRKTFSWFRWNKVLDSAVSVVNSRNLPIGNGIDVAYVAGEKRCSGKVTKGWITHMDQQSGEEHVFRR >CDO96761 pep chromosome:AUK_PRJEB4211_v1:2:12863847:12866390:-1 gene:GSCOC_T00013883001 transcript:CDO96761 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFHQSILLFASAIVLFAVQHANSSSVETVHYNVTGYRGRHLGGGCNLFQGKWVVDSSFPPYESSGCPFIDYEFDCQKYGRPDKQYLKYAWKPDSCNLPRFDGLDFLKRWKGKKIMFVGDSLSLNQWQSFLCLIHASVPSANTNLVRKEILSYATFQDYDVTIYLYHSTYLVDIAQENIGRVLKLDSIDRGNDAWKGMDALVFNTWHWWLHRGDSQPWDYVQDGSTVSKDMDRLVAFSKGLSTWAQWVNQNVDSSKTKVFFQGISPSHYDGKEWGSDSKSCYGEQEPLQGSRYPAGLPPAVDVVKKTLSSMQKPVVLLDITTLSQLRKDAHPSGYGGSKSGNDCSHWCLPGVPDTWNQLLYALL >CDO99860 pep chromosome:AUK_PRJEB4211_v1:2:8248567:8252090:1 gene:GSCOC_T00029558001 transcript:CDO99860 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDSPYLTATQTPVSNLLRKAEKGNTGSVMSLPKHSRKVTHHEYEVETANGRTITKKGKPKDPSRHKKLETPKVVTHRAVTKGTKGQVHTNSSNIGDLFSEGSLNPYADDPYAFD >CDO97205 pep chromosome:AUK_PRJEB4211_v1:2:17275073:17276600:-1 gene:GSCOC_T00014473001 transcript:CDO97205 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSSNVAILLIIFELYTTLLLLHNHLANADPIASKARETLEIIIGGGIGGGGDNPSDAPPPDDGPSCPPPVSPFTFESKRIEIVYPVIQRLKAKITCDPLGITKSWVGPDICNKYQGFRCATVPDYGVKALADVQFNGYNFSGPDLTLEGFIDELPDLSIFHGNSNKFAGNIPKKLSTIRYLFELDLSNNNFNGQFPYEVLGATKLTFLDLRFNSFSGAVPPQVFTLDLDVLFINNNNFVQRLPDNLGSLPVLFLTLANNKLTGPIPSSIGKASKTLDEVIFLNNQLTGCLPCEIGLLNKATVFDVSRNLLTGPIPWSFACLAKMEILNLAKNQFFGVVPDPICQLPNLESFDLSSNYFTGVGPECWKLIQRKVLDVTMNCIPGLPNQRSKAECDAFFCKPRSCSNQGSLTWVPCSSKYSQPATSTSPGGRAGISYKALKPHRL >CDP04941 pep chromosome:AUK_PRJEB4211_v1:2:276613:291432:1 gene:GSCOC_T00019812001 transcript:CDP04941 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADKLRDLSQPIDVSLLDATVAAFYGTGSKEERTAADHILRDLQNNPDMWLQVVHILSNTQNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVKLSSDEASFRRERLYVNKLNIILVQILKHEWPARWRSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQLKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLATLHAFLSWIPLGYIFESPLLETLLKFFPVPAYRNLTLQCLTEVAALNFGDFYNVQYVKMYNVFIMQLQAILPLTTNIPEAYANGSSEEQSHIRVLESAPENVNTLLMGLEYLINISYVDDTEVFKVCLDYWNSLVLELFEAHHNSENAAATAMIGLQHMPMGLVDGLGSQLLQRRQLYAEPMSKLRLLMISRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLRKLNKQLNGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVILQLGESEPFVSELLTTLPTTIADLQPHQIHTFYESVGHMIQAEPDPQKRDEYLQRLMELPNQARSILLRWNEIIGQARQSVDFLKDQDIIRAVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISTSLAQGGPYAYRTSIVKLLRSVKRETLKLIETFLDKSEDQPQIGKQFVPPMMDPILGDYARNLPDARESEVLSLFATIINKYKGAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFFRTYFLMIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESSGLTEPLWDASSVAYAYPNNAVFVREYTIKLLSTSFPNMTATEVAQFVNGLFESRTDLPTFKNHIRDFLAQSKEFSAQDNKDLYAEEAALQRERERQRMLSIPGLIAPNEIQDEMVDS >CDO99640 pep chromosome:AUK_PRJEB4211_v1:2:10435849:10438432:-1 gene:GSCOC_T00029291001 transcript:CDO99640 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESRSCLDTKQPENSSGSSSSSTLEQRSIEHAIQVISSLISISHSIKVFAGKWQSIRDRLEELLSSLTVIENCDSIDNPSLVSTLKAIFHALKDCDDLAKSCLRLSFSGKLLMQSDLDIVCARLSDYTKSLSDIYTLGLLTQNYAIVLSRPNLGASKDDMKLYVKDLLSRLKIGSADMKVQALICFNEVIQEDDKYVKIAVEIENFVGLLVNFLDSHETEIQEEAAKAVSVIAGFQAYRGVLVGAGIIAPLIRVLESASESSKEFAARCLQKLTENSDNAWSISAHGGVTALMKICNNGDNSGELVGLACGVLKNHVGVEEIKKFMVEEGAISVFIKLIRSRDGAAVSQISSIDILLTMASGDESIRQIIVKEGGVRALVRVLDPRLSFPSKAREMALKGIMSFCFSSVNCFNILLNYGFLDHIMYFLHDREVSLQELALKAAFWLCGTSEEAKKAMGDAGFMPELVQFLDSKSLEVCEMASETLYTMILVPKNRKRFVQNEQNVGLLLQKLDRVEMNSGNRKLLLSILMSLTSCSSARKKIANSGYLKKIEKLAESDNSDAKRIVRRLSSNRFRNIFNGFWNS >CDP05185 pep chromosome:AUK_PRJEB4211_v1:2:2232670:2237585:-1 gene:GSCOC_T00020147001 transcript:CDP05185 gene_biotype:protein_coding transcript_biotype:protein_coding MQFWEQHKLAYPIFFLTYVSSSTIDYVKSFLEWMSDSIAKSFEHTRDNAFLLRHVKLLINKSDLESVPDGPKVVLASMGSLEAGFSHDIFVEWVSDTKNLILFSERGQFGTLARMLQADPPPKAVKVTMSKRVPLVGEELAAYEEEQNRIKKEEALKASLIKEEESKASLRTELPVNDQMVLDANNTHSSSNAIGQRSEAFRDVLIDGFLPPSTSVAPMFPFYDCSSEWDDFGEVINPDDYVTKDVNIDQMLMPVDGELAGKLDEGSVSLILDTTPSKVVSTELTVQVKCSLIYMDFEGRSDGRSIKSILAHVAPLKLVLVHGSAEATEHLKQHCLQHVCPHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVLFKKLGEYEIAWIDAEVGKTENGMLSLLPLSSPAPPHKTVLVGDIKMADFKQFLASKGVQVEFAGGALRCGEYVTLRKVGDASQKGGSAAIQQIILEGPLTEEYYKIREYLYSQFYAP >CDP05183 pep chromosome:AUK_PRJEB4211_v1:2:2213534:2216149:1 gene:GSCOC_T00020141001 transcript:CDP05183 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQPNTGLFVGLNRGHVVTKKELAPRPSDRKGKTSKRVHFVRNLIREVAGLAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVVRKMRAAGGGEKKK >CDP13974 pep chromosome:AUK_PRJEB4211_v1:2:4756547:4759344:1 gene:GSCOC_T00039129001 transcript:CDP13974 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKAAPAFALLNPAKGENLGPLFSFSTQFSRQGSVLTKNFPARDGNGGLLVYAKKETNNQPLTGILFEPFEEVKKELMLVPSAPQASLARHKFVDDCEAAINEQINVEYTVSYIYHALFAYFDRDNVALKGLAKFFKESSEEERDHAEKLMEYQNKRGGRVKFECINKPNTEFDHPEKGDALNAMEIALCFEKLVNEKLLKLHAVATQNNDVQLADFVESEFLVEQVEAIKKISEYVAQLRRVGKGHGVWHFDQALLHEH >CDP07757 pep chromosome:AUK_PRJEB4211_v1:2:25845371:25851758:1 gene:GSCOC_T00025124001 transcript:CDP07757 gene_biotype:protein_coding transcript_biotype:protein_coding MALKYKLILAHLFLFAFIISVSHCDENVPLVNGLNRSSFPSHFVFGAATSAYQIEGASNLRGKNIWDVFVSKHQDRISNHSDAFVAADSYHRYKEDVSLLSGLGFDAYRFSISWTRILPTGRISGGISARGVRYYNALIDDLISKGIQPFVTIFHFDLPQKLEEEYKGFLSQKIVEDYANYAELCFRLFGNKVKHWITLNEPWTYTTMGYAFGKFPPNRCSNREFGSPDTRCLYGNSGTEPYVVAHHLLLAHAAAVKIYREKYQKIHGGQIGISLVTQWFEPYDPNSQTDVDAANRSLAFMFGWYMDPLFRGAYPTEMVKYVDKDQYLLDITNSEIELCRTILQSGAGWLYIYPKGFYKILVHIKEKYNNPLIYVTENGKRFYFYFFVFRDNEWDKPFDLQRVNYHHNHLTELRRAINDEKVKVKGYFVWSLMDNFEWLDGYFLNFGLIRVNYGNKSLKRSCKASARWFSNFLQKSGSPNAANVC >CDP05249 pep chromosome:AUK_PRJEB4211_v1:2:2740815:2742482:1 gene:GSCOC_T00020227001 transcript:CDP05249 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFSTCYSDPIPNPSSPDFPDSSSTSDNVVNACKANYSDEEVLLASNNPKKRAGRKKFRETRHPVYRGIRRRNSGKWVCEVREPNKKSRIWLGTFPTAEMAARAHDVAAIALRGRSACLNFADSAWRLPVPESPEPKHIQKAAAEAAEAFRPSESCDGVSSGASGDHANKEECGSVAFPENVFFMDEEAVFGMPGLIANMAEGLMLPPPQCAVGDDLELEANADMFLWSYSI >CDO97059 pep chromosome:AUK_PRJEB4211_v1:2:16206264:16209895:1 gene:GSCOC_T00014283001 transcript:CDO97059 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELKERHLAATQTVSALRDRLKQKRLLLLDTDVAGYSRSQGRTPVSFGPTDLVCCRTLQGHNGKVYSLDWTPEKNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSVCSIFNLNSPTDRDGNLPVSKMLSGHKGYVSSCQYVPDEDAHLITSSGDQTCGLWDITTGLRTSVFGGEFQSGHTADVLSVSINGSNSRMFVSGSCDSTARLWDTRVASRAVRTFHGHEGDVNAVKFFPDGNRFGTGSDDGTCRLFDIRTGHQLQVYYQQHGDNEVPPVTSIAFSISGRLLFAGYSNGDCYVWDTLLGQVVLNLGTLQNSHEGRISCLGLSADGSALCTGSWDSNLKIWAFGGHRKVI >CDP18669 pep chromosome:AUK_PRJEB4211_v1:2:34748471:34768492:-1 gene:GSCOC_T00006409001 transcript:CDP18669 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEESPTSTSFGGKITRISFSLATQQEICKSSISDCAITHASQLSNPFLGLPLEAGKCESCGASEPGQCHFGYIELPIPIYHPDHVRELKRLLSLLCLKCLKIRNRKFQVKNVGVLERMLSSCCEEASQVAINEARNPDGALYLELKVPSKIRLQGNVWSFLEKYGYRYDKNPRPLLASEVMAMLRRLSSDTKKKLSAKGYFPQDGYILQYLPVPPNCLSVPDISDGTNVMSKDHSLSLLKRALKQIEVIKNSRSGMPNFESHQIEANDLQISVAQYFEFRGTGKASRDVDPRFGVSKESNTSSTKAWLEKMKTLFIRKGSGFSSRSVITGDPYKGVNEIGLPFEIAQRITFEERVSQHNMNYLQKLVDEKLCLTYRDGMSTYSLREGSKGHTFLRPGQVVHRRIMDGDMVFINRPPTTHKHSLQALSVYIHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLAARSEVLELFSVEKQLLSSHTGNFNLQLATDSLLSLKLMFKKYFFDRVAAEQLAMFVPAALPMPAVVKYRSSGPFWTVLQLLQTALPASFECSGERYLTHSSELVKLDFNRDLLQSTFIDVITSIFFSKGPKEVLRFFNFLTPLLMENLYSEGFSVCLEDFYIPKAIIEAVQQSLQDISPLLYHMRSTQSESIKLQLENFLRGVKSPVSNFVLKSSAMGYLIDSKSESALNKVVQQIGFLGMQISDKGKFYSSTLVNDLAQLFKKKYPSSGHYPSEEYGLVRSCLFYGLDPYQEMVHSISSREVIVRSTRGLTEPGTLFKNLMAILRDVIICYDGTVRNMCSNSIIQFEYGMNHGISFQSEFGAGEPVGVLAATAMSNPAYKAVLDSSPSSNSAWEMMKEILLCGVNFKNEVSDRRVILYLNDCGCGRKYCRENAAYVVKNQLRKVSLKDVAFELLIEYRQQYSVYESSETDTGLVGHIHLNEAMMKSSNITMNEILSKCEERIISYQKRKKVGFKFKGVLLGVSDDCSFRQSSARKLAETPCLKFICRDASDYQLEQRSHVLAETICPALLETVIKGDPRVSSVNIIWISPDTSTWISSQCKSQRGELALDVVLEKDAVKQTGDAWRVVMDACLPVTQLIDTNRSIPYAIKQVQELLGISCAFEQAVRRLSTSVMLVTKGVLKDHLVLLANSMTCAGNLIGFNIGGIKALSRSLDVQVPFTEATLSAPRKCFERAAEKCHVDSLSSVVGSCSWGKHVAVGTGSPFDILLDTKKVELNQPAGIDVYDFLQLVRGSSGGDETNTTCLGAEIENLDLEDEAMTFDLSPVRDSDQPTFEDRHELENNLANPRSKESIQRELGWERDSPQTAELGGGWEKASKAQNTSANVLVSDSAWASWGGGTVGKEDNFSTMAKEDSRSFTDWNSTQPGSLKQSGSSSVWGKMVDNERDSSFAAEPRSSWEQAADKSGNVWTGKKVSDSAWSSWGSSPVDKEARFSNGVQKNSPKYGEWGAKELRSTGKQSESSPAWKKIDSLGNLPLTAKASGGWDQKFDKDQRHAAQTTALDPGWSSWNNCEPVERDSFSKRVQERSSSDGEWGKKSQDTAKQSGSSFGWGKKFEAGSNSPLTTNGSASCGSGGWELALDKAQRLVSQATVSDPTWSSWGSGETNKEEIILNSGQGDTSNDHKWGAKESESTGKQLGFSSGWGTKVSSNENKTDENKDPVTVTTENYSDWSKMNTDAVQGERSLPTNSEEGSWRSGGAVGIDTDGERNKSTGTHAWENKKDAHSQRGPRKWFKGNGNESSRGWGSPSNGDWRNQRNRPAKAVDNVGASGTFTLTKQRLDSFTAEEQDILSDFEQMMQNIRRIIHQTGYNDGDPLSADDQSYVVDNVLNYHPEKVLKIGAGIKYIMVSKHASFQESRCFYVVSTDDHKQDFSYRKSLENFARKKYPDKADAFLAKYFSRKPPRPGWSRDHASTPDEAGSRQEQ >CDO97306 pep chromosome:AUK_PRJEB4211_v1:2:18016648:18017855:1 gene:GSCOC_T00014613001 transcript:CDO97306 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSTITWFTSVLLADCYGSPDSKTGRRKYTYMEAVRANLVVANSSFAQYGTLVGATIGYTLTSDISMVQVLNQKQ >CDP08738 pep chromosome:AUK_PRJEB4211_v1:2:53427133:53437906:1 gene:GSCOC_T00027815001 transcript:CDP08738 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLESGLPLKRDQDLLRSSSTTGRSFNQRQQAARSRFARLFFFKKFDYLIWICTVAVFLFFLVLFQMFLLGSIMEKSETFSRVNDGVGDVDLALLKGFGGLDFGEDIKFEPSKLLAKFRNDPILANGTVVSRNHLTFGYRKPKLAVVFANLLVDPYQIQMVTIAAVLRRVGYEIEVFSLDDGPVHAIWRDYGFPLHVIEVNETMKIAVDWLNYDGILLNSLESLGIFSCLMQEPFKYVPLIWTIHEQTLADRLRNYALTGQNEIVESWRKVFSRATVVIFHNYALPMIYSACDAGNYFVIPGTPEEAWDAANMLSVDKSNMHVKIEKRSTDFNILIVGCQLLYKGLWLEHALVLKALLPVVKEFRSESSIDTHFKIIFVVGDSNSNYSAVVETIAANLTYPDGMVKHVAFDENADKILSTADLVIYASFRDEPSFPNILLRAMCFEKPVIAPDIFIIRKYVDDRVNGFLFPKENIRVLSQIVMQVVSNGKLSLLALNAAAIGRQTAKNLMVSESIDGYVSLLENVLKFPSEVASVQSVLEIPSNLKASWLWHPFEHIRNLSEHNKTKRINRFLDKFERQWNHTQRDGSLPAALTGENFVYSIWEEEKSIQVAYMRKRREDAELKDRTDQPRGTWEDVYRNARKADRIKNELHERDEGELERTGQPLTIYEPYFGEGTWPFLHRTSLYRGVGMSTRGRRSGADDVDAPSRLPLLHNPYYRDVLGECGAYFAIANRIDRLHKNAWIGFQSWRVTARKESLSRTAEISLLDAIQTRRHGDALYFWACMDMDPRNPLKHDFWTFCDAINAGNCRFAFSEALKKMYGVKHNLSSLPPMPTDGDTWSVMHSWILPTRSFLEFVMFSRMFVDALDEQFYEEHHPNGHCYLSLTKDKHCYSRVLELLVNVWAYHSARRMVYVNPETGVMQELHRLKNRRGQMWVKWFQYNTLKSMDEDLAEEADSDHPKRRWLWPLTGEVFWQGVYEKERNLRNREKEKRRQQSKDKISRIRRRTRQKTIGKYVKPPPEEMEKSNSTTMKTKLLR >CDO99528 pep chromosome:AUK_PRJEB4211_v1:2:11647359:11648293:-1 gene:GSCOC_T00029144001 transcript:CDO99528 gene_biotype:protein_coding transcript_biotype:protein_coding MNETIYYFSIGPCYGIFNYCVVFGVILYSFLSMLYLFQIVGSYQPSFYKSDNFQFVFGDICI >CDO96736 pep chromosome:AUK_PRJEB4211_v1:2:12514197:12521311:1 gene:GSCOC_T00013848001 transcript:CDO96736 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWLKNIISKKKVKDKRSKKLKEPASKKSKEQEGEYQAIKETSRLANDISIKNERVPCIPTEDVAAIRIQTAFRGFMARKKFRYSKGVLRLQALLQGHSVKKQASSTLSYLHSWSKMQAHIRARRICMVTEGRLRQKKLENQLKLEAKLHDVEVEWNGGSETMEEVLARIHQREEAAGKRERAMAYAFSHQWRANTNPNFGWGSTELGKTNWGWSWMDRWIAARPWECRVAVQSSPKKSNGKKTSKTGKNINTPTTKTPVTVKTISPNGKGAVKARKLSFEAADKIVTPKGTSKAEEAGSTS >CDO96809 pep chromosome:AUK_PRJEB4211_v1:2:13291058:13299970:1 gene:GSCOC_T00013948001 transcript:CDO96809 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSWTTNYEIKLSRYLLLILVLKIQGCFTLNSEGLTLLEFRGRVTSDPFQTFSNWNPSDKDPCTWSGVHCVDGKVQMLDLNGRSLEGVLAPELGNLTHLRSLVLCENHFSGVIPKQFGWLAALEVLDLRNNNLSGTIPAEIAWLHSLKRLLLSNNKFVGSIPLAVGKLDQLKEMQFDVNLIPAAVEVGCFSRKVGHCEWSSNLKSLRKEYSLLTPIKETLNRYFDLFPMYRFGKGSFRNDASDSYDNVPSASELDVKQNLLNMADVRRRKLVEQPNNIAAYPFNNGNPVGNFNSYPSSTSSGSFPAVPSKNSSSSIPAGPLQPPSPNTSNPTSASVNQPADKQPPAAGNSEHTWKYIIGISSGGFLLFFAAAVLVVIRGRTAKNIGPWKTGLSGQLQKAFVTAGVPKLNSAELETACEDFSNIVQAHDTVTVYKGTLSSGVEIAVASTVVNSLNDWSKRAEIAFRKKIDSLSRVNHKNFMNLIGYCEEDEPFVRMMVFEYAPNGSLSEHLHVKELEHLDWSTRMRIIIGTAYCLQHMHGLNPPLPHGHLNSKAILLTDDYAAKIADIAFWDEFVKKSAEHEGQQLEYPLLEDEESDVYNFGLLLLEVISGKLPYSEEHGSLLNWAAEYLNDKRSISYLIDPTLKSFKNNELDIICEVIAECIQENSRKRPTMKEVATKLRDALDISPDSATPRLSPLWWAELEILSSEAA >CDO99681 pep chromosome:AUK_PRJEB4211_v1:2:9892878:9894955:-1 gene:GSCOC_T00029352001 transcript:CDO99681 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVYIVYYSTYGHVEKLAHEIKKGADCVEGVEAKLWQVPETLSAEILGKMGAPQKSDVPIITPHELPEADAFIFGFPTRFGMMAAQFKAFMDATGSLWGAQKLAGKPAGMFFSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTAGAGMFEMEKVKGGSPYGAGTFAGDGSRQPTELELQIAFHQGKYIAGIAKKLKGSD >CDP17822 pep chromosome:AUK_PRJEB4211_v1:2:27217739:27222622:-1 gene:GSCOC_T00009441001 transcript:CDP17822 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAPSPLTLSSILSQQELNPIINSSSSSSSSSLISFKPQPLFFNPTKALSLFPLVLQCKERKSSATSSSLGHWGVSSDGSFSKSAFVVAAVAEVADAVEEQVGEGEAGSGGGVAVAAPPTKPKKGKAALPLKRDRMRSKRFLEIQQLRENKKEYDLKTAISLLKQTSQTKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTIKVAVLTQGEKFDEAKNAGADLVGGEDLIEQIKGGFMDFDKLIATPDMMPKVASVGRILGPRGLMPNPKAGTVTTNIPQAIDEFKKGKVEYRADKTGIVHLPFGKVDFSEEDLTENFLAAAKSVEANKPSGAKGVYWKSAHICSSMGPSIRLNIREMLDYKLPSKV >CDP08533 pep chromosome:AUK_PRJEB4211_v1:2:41598611:41600172:-1 gene:GSCOC_T00027477001 transcript:CDP08533 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWFKISLAMVMILLPIGTRGDDHLTPILNDICKEVECGKGTCQASLGYPFNFKCICDNGWRRTRLDNEETLMQHIHANVSAIVVTLISTTSQFFHALINVPSGLIVQGWELLKFLNHI >CDO97346 pep chromosome:AUK_PRJEB4211_v1:2:18331104:18335053:1 gene:GSCOC_T00014664001 transcript:CDO97346 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGFVTSIGFLDSKLGMDTSGLLRFNNLGSFAQFSSSSTSSSATFPYQARTLRKGLKVKKKTRPLSLNPKCQVVDEIGSRASSLSALELLKTSAADRYTKESSSIMVIGINFRTTPVEIREKLSIPEAQWPQSISELCGLHHIDEAAILSTCNRMEIYVVALSQHRGVKEVMDWMSKKSGVPTSELCQHRFLLYNKDVTRHLFEVSSGLDSLVLGEGQILAQVKHVVNSGQGVPGFGRKMSGMFKHAITAGKRVRTETSISTGSVSVSSAAVELAQIKLPQSSYTTARVLVVGAGKMGKLVIKHLVAKGCTKMVVVNRTEDRVSAIKEEFKDVEIVYRSLSEMFTCAAEADVIFTCTASDAPLFLKKHVQTLPPVRPDIGHRLFIDISVPRNVESPVSDLETARVYNVDDLKEVVAATKEDRLQKATEAQGIIWEEVVKFEAWKDSLETVPTIKKLRAYAERIRASELDKCMSKMGNDVSKSQKKAIYDLSMGIVNKLLHGPMEHLRCGGTGNRSLGETLENMHALNRIFGLDTEIAVLEEKIRSKMEKNRK >CDP04987 pep chromosome:AUK_PRJEB4211_v1:2:654852:655493:-1 gene:GSCOC_T00019878001 transcript:CDP04987 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL26 [Source:Projected from Arabidopsis thaliana (AT5G53730) UniProtKB/TrEMBL;Acc:A0A178UFX4] MSQIHAKSPKHCANKQGLAVDKLKFNKKLFYTFSTFLLSLSALIFLIWLVLHPSKPQFSLKEADIYQLNLSGPHLLNSSIQATLLSNNPNKKVGIYYDILQVYASYKGQQITLDTSLPPFYQAHEESNLLSASLVGNGLPVAPSFGYEVGRDQSAGNLLLNLKANGRLRWRVGTWVSGRYRFNVNCIAIMPFGPSLPTGPLSTKQGTQCSITL >CDP07766 pep chromosome:AUK_PRJEB4211_v1:2:26002642:26003325:1 gene:GSCOC_T00025138001 transcript:CDP07766 gene_biotype:protein_coding transcript_biotype:protein_coding MASHKALLLHLLALFSMLSMSANACRLCGISLPLVPDFLCPPDPCKLRLRPPPPPPGKSPPSRPPPRRGIPILPPPILQ >CDP15151 pep chromosome:AUK_PRJEB4211_v1:2:50176920:50184334:1 gene:GSCOC_T00042748001 transcript:CDP15151 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSSPTTYYSFGFGQSASAASPFGCQSGFGANTDLSNSNPFAPSGATPFAASTGATMFAGNSTTGAGAFSQPAISSTPFGSASVFGQTTGSYATATACVSASTGLATPTGFGQWTNSSPSGSQSVFRQGSNSCNNNFFGTAATPFATSTGGSMFSGNSNGAFGASSFAFGSSASSTTAPFSTTFETSALPSTVFSQAPSSAPVVSQSAFGEVSKFDVNNSFSSKPVTATPFGSISGGAIFGGNSTSKSPFGVTSSPSTSKSPFGEPLPFSFGILSTTTGAPIFNNIGSLIQPSQSKSTNHAFYSSSQSVFGSSGVLASGISTSVYSGFQHGGSCSRPPFSSTGLAFSSNGAPNNFNSVSRGAIGSSTTPITGAPSNFNTVASNNPELQNSRFTFCQPNSQFSEPNLQFGSNNGPTAWETKKFYSGYQPASTSMKSGQSVMDCQRYGSKIASSVATKEVDIGSTEPAGKLFSISAMPFYKDKSHEELRFEDSNQLLRSKDAASGFIFKSNQPSAGKTNAFSGPTVVSLDSQSESLSAISTGTGLLTSNSSDFIWPNYDFRSESQSTIALDPSVVASPFGMESRIQISMGGRAATTTVQYGISCIPVSDKPAPVKRNSLLTARCLSLSQNWPSVEKYRPKNDSQKVPFYEEKVPGIYKVGASFIPRENARSWVLNSMVERPLKTNSRNSSLLEESVSASESGNFEADVEAILPKLQCPDYYIKPPVEELAAKERAEPGFCRRVKEFVVGREGYGSIRFLGETDVRNLDVESVIQLNHREVIVYRDTTKKPQVGQGLNKPAEVSLLNVKCINKRTGKQYAEGTRVDKWTDMLKTKAEEQGAEFLSYNPVTGEWKFRVQHF >CDP15159 pep chromosome:AUK_PRJEB4211_v1:2:50085642:50092983:1 gene:GSCOC_T00042759001 transcript:CDP15159 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEHKFESFFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLVGMFTRTIRLLEAGIKPVYVFDGKPPDLKKQELAKRFSKRADATEDLDEAVETGNKEDIEKFSKRTVKVTKQHNEDCRKLLRLMGVPVIEATSEAEAQCAALCKSGKASILVYAVASEDMDSLTFGAPKFLRHLMDPSSKKIPVMEFEISKVLEELNFTMDQFIDLCILCGCDYCDSIRGIGGQTALKLIRQHGSIESVIENINRERYQIPDDWPYQEARKLFKEPEVVTDENQLDFKWNAPDEEGLINFLVKENGFSIDRVTKSIEKIKAAKNKSSQGRLESFFKPVANTSAPLKRKETKCILGSPKSQVNLKMIFGKATLKHRPRQELASSAREPEKPWKQRRKLKRRIQIRSRSLVVERRRNKSFFSFEVPNWLVEPVLCWQGEEFPDAATNLGFPDGATNLCFFLC >CDP19646 pep chromosome:AUK_PRJEB4211_v1:2:5668901:5672223:-1 gene:GSCOC_T00009486001 transcript:CDP19646 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKLDEAEFWLPSEFLTDEDILMDNKENRVKSVGLCFPSEFPYDYGSSVLSSPVESVVGSTETESDEDDLLLTELTRQLALHEAHKITPAQKNHEKAWALSGSPQSTLTQVGSWSGRSTMSSNGSPNGPSQVSSPPTTPLGVNDDAWDLIYQAAGQVERLKMNGSGDGPTRNPGLLGPPRRLPTPPPPTNFSPSTVYHNQVKQDAGGIWSRHAKNGWSYDSREVLQNLGGRIGGGMGYGNAMGAGVGQAPCGWPSQHQRNRQYVGELGMKVGGGIYSGCGYGYGFSYGSGGGVSCGGGGGLKKERSGTGVFLPRRYDSNCASSFKASDARKKPGSCCAWVPNKVAPISNKNLDDINEIVQPKSSSRNSAGFMGDFDALMARRNALLAQQRQRSLCPEGSISHHEICLPQEWTY >CDP05209 pep chromosome:AUK_PRJEB4211_v1:2:2436212:2439956:-1 gene:GSCOC_T00020173001 transcript:CDP05209 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKEAVKKGAWSPEEDKTLVDYINKNGHGTWRSLPKLAGLLRCGKSCRLRWTNYLRPGIKRGPFCPEEEASIMQLHTMLGNKWAAIASHLPGRTDNEIKNFWNSHLKKRAKASLDQPESILTSDDFGLETPSTRHMVQWESARLEAEARLSMDPLLLSSSSAAKTECDYFLRLWNSEVGESFRQGVACQSPLSPVSSSIKDESTSVVTTQTDSARIFGSADATENQKQEEHMSCHNSEELLTGSDSSKSHELDNSSETKMRLLQDLPNGNDMEFLQEPIDDVSMYLQEPISLTDCYPWKQL >CDO99506 pep chromosome:AUK_PRJEB4211_v1:2:11870431:11877369:1 gene:GSCOC_T00029110001 transcript:CDO99506 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSL1 [Source:Projected from Arabidopsis thaliana (AT1G28380) UniProtKB/TrEMBL;Acc:A0A178WFB2] MAFLSSSGNITRSTTTVGMDPQSAAEKAVGAIGMGYDLTADIRLSACKEGPNGSRLIELDDSSTKELVVPGGVVVPGVSTSIKCDKGERTRFRSDFLSFSQMSEQINQELSLSGKIPCGLFNGMFDFKGCWQKDATATKGLAFDGWFISLYNIELSKSHVTLSEQVKREVPPFWDPAALAEFIDKYGTHVILGVKMGGKDVVHMKQLQSSNLQPNDVQKLLKKLSEERFSETDGSLISNPEGSLVMPKDEKSVLWDPYSLFANPLRPAIVSHTKNADLLSIHIRRGGVNKGQSHAEWLSTVSHSPNVISMSFVPIVSLLNGVKGSGFFSHAMNLYLRYKPPIEELQQFLEFQLPRQWAPAYSDLPLAPRRRKQASPALQFTFMGPKLYVNTVKVDSGNRPVTGIRLYLEGKRSDHLAIHLQHLSTLPNTYQLTDDHSYEPVDEPVERGYFEPVKWSIFSHVCTAPVEYRGTRIDDSASIVTKSWFEVKNVGMKKVLFLRFGFSMVASSRIRRSEWDGPSTLSRKSGLISMLMSTTFSAGLGQPDKPVKVDVNSAVYPGGPPSPARAPKMTNFVDTKEMTRGPEDSPGYWVVTGAKLCVEGGRIRIKVKYSLLTILSEDSLLI >CDP08618 pep chromosome:AUK_PRJEB4211_v1:2:54456126:54457393:1 gene:GSCOC_T00027637001 transcript:CDP08618 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVGKSTLTPPPFLASPWKSCSVVDELRRRKPWCCSSTISLAVTFSLSKYYLLSAPSPGLTRAILQEDQDQDYHLLSLQTKELFSSSNSQLYVCNLPRNYGISELVEIFTPYGTVQSVEVSRDAETGISRGCGYVTMSSIAEANAAIAALDASDVGGREMRVRFSTQMTRGSSRHNLGPVNLSTRRDFMFESPYKIYVGNLAWSVTPADLRNLFSQFGTVVSARLLHDRKTGKTRVYGFLSFSSASEREAALSLDGRDFCSRKLLVRDVFSKIS >CDP00005 pep chromosome:AUK_PRJEB4211_v1:2:7064676:7068930:1 gene:GSCOC_T00029757001 transcript:CDP00005 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGTRPTFFEMAAAQQLPSSLRAALTYSLGVLALRRPFIHKILDYEDEFFALLMLVLETHSLRTTDASFAESLYGLRRRAVNIKVKTKDNIHSKKKILPLDSPADAIHHNGLEKRQKILSVVVLVVLPYFRSKLQYVYNREREATLQASLWGEGEERFGNIDYFDGSGNSSASGSSSGEEASTTRRCVMKRIQKIIAACYPWIHAGSEGLSFAYQLLYLLDATGFYSLGLHVLGIHVCRATGQELMDTSSRISKIRSRERERLRGPPWLKAVQGALLSSMYAVLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVAKEGIPLPPDRTLCPLCSQKRVNPSAVAVSGFVFCYSCIFKYVSQYKRCPVTLMPATVEQIRRLFHDM >CDO97380 pep chromosome:AUK_PRJEB4211_v1:2:18588845:18591130:1 gene:GSCOC_T00014706001 transcript:CDO97380 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVERLKEEIKRLGKPQDDGSYKVTFGVLFNDDRCANIFEALVGTLRAAKKRKVLTYDGELLLQGVHDNVEILLKPTPTATSAEAVTKS >CDP15832 pep chromosome:AUK_PRJEB4211_v1:2:44316856:44322525:1 gene:GSCOC_T00016703001 transcript:CDP15832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-L1-1 [Source:Projected from Arabidopsis thaliana (AT2G26430) UniProtKB/Swiss-Prot;Acc:Q8RWV3] MIYTAIDTFYLTDEQLENLPSRKDGIDIATETTLRIYGCDLIQESGILLRLPQAVMATGQVLFHRFYCKKSFARFNVKRVAASCVWLASKLEESPRKARQVLVVFHRMECRRENLPIEHLDTSLKKYVELKADLVRTERHLLKEMGFICHVEHPHKFISNYLRVLETPELSQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDADKSGIDEVCRVLAHLYSLPKAQYIPVCKDGGSFTTSNKSWDLSPQPPREGSLSVPPANDNNTSPRGTSTGANQESGKDAQSKAASDKLNDSKKSDGESRSLPTDGDSREEPLSKSMSEHKTEASEERDKNERDRDRSKEKERVKSRDRDRGRESDRERERERERERERQDNERDRDKIKDRSHRSRDKGHGEKLKHHSSRDREYHSSYSSREKDRRRHH >CDP05111 pep chromosome:AUK_PRJEB4211_v1:2:1637821:1642304:1 gene:GSCOC_T00020049001 transcript:CDP05111 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPLDFSKTERIVLLIDLHPLFCLENPNPYLTATVASVTRLLNFPPLFKSLFAYKLFFSSLSPLRSASAVHRLLPNSSLTSLSFNDPSHTLASLSTALNSLSLSPISTELSNARPLASHTAASLLQLVHDYAWESELETVVGKGNQHFPLVRSNLVLLFSSVCCSISSLSDYLDVGVVGSRVLCELDEFSVNFCHLFGAVHDAFAGRDIHLSWINVKYESQGNYNEVKENIFGKELGLFDEGIRNLGWGFCSTDSIILGSAILPFRLIYPKIGVSLNFANSSWSCKRSDVQLYLEIFDVNGKPLECNCCHLELLNLKTLSGKKSDDSWGSLELRDSQSEKLDSREAFWHRFGDGMIKLSFKAVQKYNEEEKIEGCLSDCILVKELSRESKKQKRNSTDDFFADRVLEILSREINEACSCNTMPIWQILLSFLSGEGYLALVSLSNKNGDTILGVLKPFTAHSALLSVVDSDSLGDHCRSVSNLGRGRSGKMKKHKKHLHQDLTWSSFCKAASECSDFDLAAIYFSRKFDKPKKLKFLKCWLKQIGNHSQNYIKSFHVSNSTEESTPSLSFSSEQSGKQEEAVISSCPETSEAFFGSLKKRIQQGLESGMHLQTLAERLVKSSIYWLLRSYETYENAERQNPIQLDGSCQSLGAKLIDLLLTDPKEMNDMRNCSSPSSNSCDRNPASDLTVRKYELQVLLRMEIFRSDISVNKEQPLKQKLLKQICSLLDIIQYLIDGGIHGNVSLYEYVERTIKMRYCNVLDDIVKDLYTQMDLLPFGDEDETQALLFNSEDSGQSWRDNKDTYEKAESHDVYQSVSVEEETYQQQENVDESPEGIRKDEQARKLSEARERRERARRFVSFTSWMPDLQRVWAPKPLKAMNGRSDSMNRDSKRKDKERASHRSVVCETPMTEAKRPCSRSTSEGDDECKDPENYSSSVSKALFQDD >CDP14032 pep chromosome:AUK_PRJEB4211_v1:2:5241034:5247814:-1 gene:GSCOC_T00039205001 transcript:CDP14032 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLHKRMHSLTMSFANSSIMKDHLLMDIDRLVRLNMESWTGRVLLLDEAKKITFYLTVKQLMSFDPCEWTQNLMKEYMLVIEGFFSVPLRIFSPTYRRAIQARTKVAEALSLVVRERRKESEGGERKNDMLGALLDEDGNGGGFSDEEIVDFLLALLVAGYDTTSTIMTLAVKFLTETPLALSQLKEEQDEIRARKGEKEALTWEDYKSMPFTQCVVNETLRIANIISGVFRRAITDVNMKGYTIPKGWKVFASLRAVHLNQEYFKDARSFNPWRWQINNNTPGATSPLNVFTPFGGGPRRCPGYELARVELSVFLHHIVTRFSWLPAEQDKLVFFPTTRTQKRCPIIVQSRVVSGPCKEV >CDP05381 pep chromosome:AUK_PRJEB4211_v1:2:3771196:3771975:1 gene:GSCOC_T00020397001 transcript:CDP05381 gene_biotype:protein_coding transcript_biotype:protein_coding MADIRQQRPLSHQVVKAATAVTAGGSLLVLSGLILAGTVIALALATPLLVIFSPVLVPAGITVFLLVTGFLSSGGFGVAALSVLSWIYRYVTGKNPPGADQLDRARQKLALKAREMKDRAEQYGQQNIPAGSQQH >CDO99756 pep chromosome:AUK_PRJEB4211_v1:2:9131071:9138974:-1 gene:GSCOC_T00029441001 transcript:CDO99756 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELF6 [Source:Projected from Arabidopsis thaliana (AT5G04240) UniProtKB/TrEMBL;Acc:A0A178UAR7] MGVVEIPKWLEELPLAPEFRPTDTEFADPIAYISKIEKKASAFGICKVIPPLPKPSKRYVLYNLNKSLSKCPELGSEARAVFTTRHQELGQNGKRVKGAAGNQLIGAPKQVWQSGEVYTLEQFEAKSKNFAKSQLGVVKEVSPLVIEAMFWKAASEKPIYVEYANDVPGSGFGEPEGSFRYFNRRRRRRRKRGTFDRNNQGSSCNNDHVTNWVSGASTSLPSMSLDQSENFSDGKFSNASNEMHGTAGWKLSNSSWNLQVIARSPGSLTRYMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHTGSPKTWYSVPGDYAFDFEEVVRLQAYGGNTDSLDALTLLGEKTTLFSPEVIVAAGIPCCRLVQNPGEFVVTFPRAYHVGFSHGFNCGEAANFSTPKWLTIAKEAAVRRAAMNYLPMLSHQQLLYLLTMSFVSRVPRSLLPGARSSRLKDRQKEERELSVKKAFIEDILKENHLLTILLQKNPSYRVVLWDVDLLPASSKDSELCSTVVTDGTQTREDSHLDNCSNQDLYTQMSLYMDTITDFYVDDADDIQNDYQVDSGTLPCVACGILGFPFMAVVQPSEQASRDLVPEDQAMTQQLGVCQPAGCKSLDLDFRAESCIPETRKLVKKNINHPDEASPFAESSPSTCSHMEEDALSVDINTSSFPVHVTASSAVKFDRGWNLSTGYFRPQLFCLEHAIETVELLRPKGGANVLGICHSDFQKIKAHSAVVAEEISIPFNYNEIPLGNASQEDLYLIDHAIENQEKDEGAQDWTSKLNLNLRHCVKMRKNFPSQKVKHALALGGLFSDGVLHLKALKWQSRKSRSKRNSDPTNFSKPSVSIQTEKVEEPVEKSGSRMSRKLGQVMIQYYRKRYKSKPRGSEGAIKALVVSEKHPSDEISGADSGNCKEKERDMSMNTHVRVEGPTCLAYSRKSKLRNEGQKVVSGGGLNENPSPLKLVDSSIATNPVAGNINVHTGSGMSDELVELNKNYNLLLKDSHKLSMINVAEETDENHDSVNSENSAGSLGISVYDTESSEVMREDEIVCQINMAKRTCDVVTTNESERKYHRKADGDVLMKEASEPAPSCPHADESSGERCNQQVETTVLDKCQMSSGEMEKEISSMKGADRERAVSSDVLTSNTSNPSLTEELEAPREMPADDQQQQQFQHTEKMRSKRKREVDIQNEDQPNFGSFSRSPCEGLRPRTKRDAAGDTTGAEKPFEDLSKGRKVNKHLNGSDLHKHKREQKRCSHKCSIEGCRMSFQTKAELVLHMRDRCPVQGCGKRFSSHKYATSHQRVHDDDRPLKCPWKGCRMTFKWAWARTEHIRVHTGERPYKCKVDGCGLSFRFVSDFSRHRRKTGHYVN >CDP09396 pep chromosome:AUK_PRJEB4211_v1:2:22874554:22879029:1 gene:GSCOC_T00028743001 transcript:CDP09396 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLVNCSNCRTPLQMPPGAQSIRCAICHAITQIGDPRAVPPPPHGSSAHSVAPHNHVPPPAPSPYNQAPPGPPPNAHGRKKAVIVGISYRYSRHELKGCINDAKCMRYMLVNKFHFPESSIIMLTEEETDPYKIPTKANMRMAFYWLVQGCQPGDSLVFHYSGHGSRQRNYNGDEVDGYDETLCPLDFETQGMIVDDEINATIVRPLPQGVRLHAIIDACHSGTVLDLPFLCRMNRSGQYGWEDHRPRSGVYKGSNGGEVISFSGCDDDQTSADTSALSKITSTGAMTYCFIQAIERGHAATYGSMLNAMRTAIREAGSSGNGLGGGGVTSVLSMLLTGGSLSGGFQQEPQLTACRMFDVYSTPFYL >CDP18670 pep chromosome:AUK_PRJEB4211_v1:2:29971406:29971852:-1 gene:GSCOC_T00010440001 transcript:CDP18670 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTPFSATFLLINLLVFTLLTPSYSCGTCGNPRRGTGKDGGMGKGTGTGGGNGSGSGAGSAGRCPRYALKLGVCANVLGLIGVTIGSPPTLPCCSLLQGLADLEAAVCLCTAIRANVLGINLNFPVSLSLILNNYGRNTPNGFICP >CDO96727 pep chromosome:AUK_PRJEB4211_v1:2:12421421:12424467:-1 gene:GSCOC_T00013838001 transcript:CDO96727 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVVQRVASASVEVEGRIVSAIGPGLLVLVGLHESDADSDAEYICRKVLNMRLFPNEKTGKTWDQNVMQKNLEVLLVSQFTLYGILKGNKPDFHVAMPPEKARPFYESVVEKFRKAYTPDVIKDGIFGAMMKAGLRNSVF >CDO97611 pep chromosome:AUK_PRJEB4211_v1:2:20362212:20363026:1 gene:GSCOC_T00014997001 transcript:CDO97611 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASLSAPHFGGGSGSWEAVVKYSSTTSLLPLLKTHPFPTARLCTLSLYRKQKERFCLCGFAASR >CDP08748 pep chromosome:AUK_PRJEB4211_v1:2:53350105:53351466:1 gene:GSCOC_T00027833001 transcript:CDP08748 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAFNFSPAEGTRVKTSPPELASANDSNKKLLILDLNGVLLGSPFTRMTRNREFNFRPRCFEFLQVCLLYFEVAVWSSKLRHNIQPVLDRLSEKMNERLEQRLLFVWDQSRCTMTQTSLGENPDKKVMFKDLQHVWGEYKSYNSSNTILVDDSPYKSFLNSPYNAIFPTSYTCYTVEDNYLDPEGDFVRHLKKLAMADNVQDFIMRNRFGQSPVTEGSVEWNFYVNVVSKLGLQNTAKRVTRKREAPNRYYPEVPIAFMFRNMFTIVQHLVMIAKIASAHMHRPRKKGKFESMRKIIVHCTEASPNLWKASTSLLMGHTTKTFVLW >CDP04919 pep chromosome:AUK_PRJEB4211_v1:2:155895:160656:1 gene:GSCOC_T00019788001 transcript:CDP04919 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGIAPSFLSGGNHLKGYQLWSPNSSSLVKTPTLTVQKNLSRRRLPRNWTVHATYNDRRGGGGGDFVAGFLLGGAIFGTLAYVFAPQIRRSLLNEDEYGFRRAKRPIYYDEGLEKTRQTLNEKISQLNSAIDNVSSRLRGGNSMPPVPIEADPEEATM >CDO97369 pep chromosome:AUK_PRJEB4211_v1:2:18496228:18497388:1 gene:GSCOC_T00014692001 transcript:CDO97369 gene_biotype:protein_coding transcript_biotype:protein_coding MQILSHLCFLLAFCSVLELRKRVLQYFLGFVLMDSLTCLSSYLKQLSCNSEFGCGFLIFWSYKQILKVLGLFLLLGFGLKLIKFRFLCDSGGKSGSLRKGICETHGFDVKCSSKISSCKFKPLKHVHDSGSPVIDKLEKTKLLNCDADGQYEATNVCSEECNKGNDDGDDDENEHCDEDKEVDVLALRRLIKAERRRANSALLELEKERMSSATAVEESMAMILRLQNEKSLIEMESRQYRRLAEEKLLYDDNVISSLQWLVERHESERAVLEDQLRLCEQKLRLFMKSNEMDEFEEVEESVSSSSDIYEDALDLFSSIDMDSSRE >CDP14053 pep chromosome:AUK_PRJEB4211_v1:2:5412651:5413317:1 gene:GSCOC_T00039236001 transcript:CDP14053 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGFCIKPRGGGYVGGGGTKCGRWNPTSEQVKVLTDLFRSGLRTPSTDQIQKISSQLSFYGKIESKNVFYWFQNHKARERQKRRRVSIDEQKENHYQGKNSSSITHFAEINQISEPERVIETLQLFPLNSFGTESEAEKQLRFFANDCKGTASFSYGMGAEMDHPTLDLRLS >CDO99467 pep chromosome:AUK_PRJEB4211_v1:2:12222143:12223339:-1 gene:GSCOC_T00029056001 transcript:CDO99467 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVYLRTNKFEVKLEGITFKAKDFETMCSMDFLFPDGKTWARPPVVGIDVMRHPRDPNNILMLLCFGVGCVILKFISGDVLPESIYRFLTDERIRFVGFGIPEKKDLFPLEELGLTKHQVDIGYLAAKILDDPKYRKYELAELARRVLRVKTMIGLTQSSSFERHEQIKCAICQLFITSAIAMALLGKSEKKRPVDASKKSSSFLKNLNQLPLFTEGWFKLPKYKKVVRDKNKVEENKVRDKVPLPTATLVDDGFSGYEIFGDAEGDYCAFGDDPFHGKSVHIPYGDDDDDDDHDANGHPFHAKGSQGFFGDAFSCLKHKDGSPGDNHSKEAFGTERKPLKGILKSSSSARFEVSNHGSSRPDSGPDSSESSSQEVFIVKRTLKRANSKGYNVQFK >CDP09499 pep chromosome:AUK_PRJEB4211_v1:2:21484549:21487124:-1 gene:GSCOC_T00028885001 transcript:CDP09499 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKQQIQESLPGWRDKFLSYKDLKQLLNLISSAPPVLSGSVEYVRAESEFVVLLNKEIDKLNSFFMEKEEDFIIRHKELQQRIQRMIAKSGSEASEIDYKAEMRKIRKDIVDFHGEMVLLINYSNVNYTGLAKILKKYDKRTGGLLRLPFIQKVLQQPFFTTDLISKLIKECEITIDSLFPAPAEKKARGEIEAITVAGEGIFRNTVAALLTMQEIRRGSSTYSHFSLPPLNLPDTDVIKSVQLSSPIPIP >CDP08649 pep chromosome:AUK_PRJEB4211_v1:2:54131319:54134394:-1 gene:GSCOC_T00027687001 transcript:CDP08649 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTNRVERTDIRPGDHIYTYRAVFAYSHHGIFVGGSKVVHFTRVETSDHSDDEMIALPSSCPTFPDCGFRQPKSGVVLTCLDCFLQNGSLYSFEYGVTPSVFFAKVRGGTCTTAASDPPETVIHRAMYLLQNGFGNYDVFQNNCEDFALYCKTGLLTVDSLGVGRSGQASSVIGAPLAALLSSPLKLLMPSPVGVATVTAGMYCMSRYATDIGVRTDVIKVAVEDLAVNLGWPGSRAEVGAENELSIEQIAQ >CDP05219 pep chromosome:AUK_PRJEB4211_v1:2:2502730:2505444:1 gene:GSCOC_T00020185001 transcript:CDP05219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane steroid-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT5G52240) UniProtKB/Swiss-Prot;Acc:Q9XFM6] MALQLWETLKESIVTYTGLSPATFFTVVALGLAVYYLVSGLFGSSDQGHHPRPREFEEQVEPLPPPVQLGEVTEEVLKQYDGADPKKPLLMAIKAQIYDVSQSRVFYGPGGPYHLFAGKDASRALAKMSFDEKDLTGDISDLGVFELEALQDWEYKFMSKYVKVGTVKKTVPVSDGVANGEAAESTSEATTDDKKPAETSDRDVAEATEGRPSESAAAEAVESKTDGDADKKE >CDO97605 pep chromosome:AUK_PRJEB4211_v1:2:20326127:20326855:1 gene:GSCOC_T00014991001 transcript:CDO97605 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELWMSAAIASIGIMLIIMLHVCILRRCGAGTSRNQMINITGAVPINRDSSTTGIECASMSIDDLKKLPCFQYKEEDPHKECAVCLEGFKIGEVCRLLPICNHSFHVQCIDSWLQQTPSCPICRISANSI >CDO99489 pep chromosome:AUK_PRJEB4211_v1:2:12020660:12022115:-1 gene:GSCOC_T00029083001 transcript:CDO99489 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIKVENESSRDLAEERAPLLVPEVEKTLVQKAISQTFQSTAHLANLLPTGSVLAFQLLSPIFTNQGECDAVSRSMTAVLIALCGLSCFLFSFTDSFKDQKGNLCYGFATLHGLWVIDGSATIPPELAATYRLKFIDFMHAFMSILVFAAVALFNQNVVNCFYPMPSDEAKELLTAMPVGIGVICSMLFVVFPTKRHGIGFPLTAN >CDO99922 pep chromosome:AUK_PRJEB4211_v1:2:7755339:7757844:1 gene:GSCOC_T00029643001 transcript:CDO99922 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSPNDGNNNTNQSWVMYNSAPPHPPVVTWTKYEDKLFEQALVGFPEDLPNRWQKIADRVPGKTAEDVRVHYDALVHDVREIEAGRVELPNYADEDEFFGWGEPEQPPPESRTPNQISFGASGKAKHGDGERKKGTPWTEEEHRLFLIGLDRYGRGDWRSISRNVVVTRTPTQVASHAQKYYLRQTSGKKERKRSSIHDITTPLESKTVAPPSNFPNQAGYQNFSFPEQR >CDP17153 pep chromosome:AUK_PRJEB4211_v1:2:50246409:50246969:1 gene:GSCOC_T00006316001 transcript:CDP17153 gene_biotype:protein_coding transcript_biotype:protein_coding SRSEKKSRKAMLKLEIKAISSVRRVTVKKSKNPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQTAEQFKARNLSNVISKPEPSKLVMTQVGVSGAKAVKTLKAADGDIVTTIMELTN >CDP05159 pep chromosome:AUK_PRJEB4211_v1:2:2046640:2047072:1 gene:GSCOC_T00020110001 transcript:CDP05159 gene_biotype:protein_coding transcript_biotype:protein_coding MFESPTQDKIADFEMKLMDIDSEHLGTPETEYQAIVRMSSAEFARICKNFSSIGDTVVISVTKKGVKFSTKEEATIIEMNDPVSLTFALRYLNSFTKASPLAEMGYIRFYLAPKIEEDEEETEP >CDO99765 pep chromosome:AUK_PRJEB4211_v1:2:9072094:9074370:-1 gene:GSCOC_T00029452001 transcript:CDO99765 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCLPFAANLVQSSLRSNGFSKNIVLSPLSLNAIAAMLATGCSGRSLKRVLSFVECDDLEQLKSMFSQMINSYRRYRGRGGSSSPPKISFVNGVWVDSRFPLKPSYKDCVTNVFKSDAKNVDFQKADKAIKEINSWADLQTNGLIKDVLQRGHISPVTALILGNALYFKGYWADEFDITRTRNQNFSLLGGDKVSVPFMGISGHYLYGSFGDFKVIELPYKVGNIGDRKRFSMQLILPHKIDGLQDLVLKFNSGFISGHFELERTLVDVVQIPKIKFSSVLEEIKFPFMEEDMELTEMIHARDPPFISKIIHKAFIEVDEKGTEAAAVTFSAIIGRAPGAIQREIKTFVADHPFMFIVKEETSGLVLFVGAVVNPLPE >CDO96815 pep chromosome:AUK_PRJEB4211_v1:2:13357854:13365241:1 gene:GSCOC_T00013960001 transcript:CDO96815 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQKKESIALLSIYGDEDDEEMEEAEAEAEIEAKTEEEAEQQPFQILQVEQEREEEFSRHEQQQRVGEDNVTPGRGVFGGLGGSASATPQGSASSPGRRRKERITIVDYGHDEAAMSPEPEEGEIMVGRNSMEVEGAAMVNGNFQVKTVQVLTPGDRATPPQVSDPNDQAEMATSNPAVVGSESAEAADADVVPSKEAEDIDLLNNFLPPPPEAKCSDELQEKISKFLRLKKIKSYNAEVRNRKEYRNPDFLRHAMIYLDIDEIGSCFSKDVFDPHGYNQSDFYDEIELDMRREMERKEQERKRSPKIDFLSAGTQPGAVPTPKINLPIPVAPGTGLNTVTAAVDTLARDGRQNKKSKWDKVDGDRFNLVPTVGQDAVSAVATHASFLSAANAGTGYSAYAQQRRREAEDKRSSDKKSDRSSDKKSDRRT >CDP16452 pep chromosome:AUK_PRJEB4211_v1:2:30599581:30613668:-1 gene:GSCOC_T00018367001 transcript:CDP16452 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNQESHKRTQPPLSLNQRHNRILSDLSASHSKPISQIPGEEICENQSNVKVKKKLQGRRRLCKAYSASDAAENEDVFGFSGIADFDSPSPPRPFAVEQLQQQNQSKFTAEAADFPSFSGIADFDSPSPLSDKVRPSNVVLDSREVCNKDGNADETRSSSSYGDSDLVPHPPGEEGKLVKVRIEGSRSPCRASRNDGYRSKMDIEITKTEESKGLGISDCDSSPWVKTALENSYGGGMNEIRDILNDLSSRLEILSIEKKREPRRIDLNDDSKHLSKSEVNHAKNNEEIPDYESAASSFSTSSGSSVASAKESDIGYSHIDDFKKNNDFDVASQIRNSYEKLESGMKICQPPKNDIKKVIVNSDSARRSFESTLREEGENEDNDCFIVSGKDFNKKGVKWHKSNHLYEDSDNFDALEDPAVVFVSEEEHVITLTGPKFTFRLPGKIGKMLYPHQRDGLKWLWSLHSMGVGGVLGDDMGLGKTMQICGFLAGLFHSNLIKRALVVAPKTLLPHWVKELSTVGLAGKTREYFGTSVTTRQYELQYVLQDKGILLTTYDIVRNNVKSLSGDYYYNDEGSEDEMTWDYIILDEGHLIKNPSTQRAKSLLQIPAAHRIIISGTPLQNNLKELWALFNFCCPEILGDYKWFREKYEKLINRGNEKNASDREKRIGSTVAKELREKIQPYFLRRLKSEVFSEDDSSNSCKLSKKNEIIVWLKLTRCQRQIYEAFLKSEIVLSAFDGSPLAALTILKKICDHPRLLTKRAAEDVLEGMDSMLDQQDHDVAEKLAMHIADVDKMFDFEEKHDISCKTSFILSLLDDLIPKGHHVLIFSQTRKMLNLIQESLISNGYEFMRIDGTTKACDRLKIVNDFQEGRGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQLKDVIVYRLMTCSTVEEKIYRLQIFKGGLFRTATEHKEQMRYFSKQDLQELFSLPKQGFDISVTREQLLEQHGQQQMMEDSLKAHIKFLEGLDIAGVSQHSLLFSKAEPEPVAQEEEVPRVRGATFRANSSSRLSNEEAHSAQYAFNPKDVKLLQKNSSPSAGEPTEAEITAKINRLSQILGNKATMSKLPDNGEKIRKQIALLNLERDEIRKEKGATGENFVHLEDLSQEFERMLNMKM >CDP17189 pep chromosome:AUK_PRJEB4211_v1:2:47535878:47538263:-1 gene:GSCOC_T00000655001 transcript:CDP17189 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLSGDELLSDSFPYKEIENGMLWEVEGKWVVQGAVEVNIGANPSAEGGEEDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVAYIKKYIKNLSPKLEPEKLDAFKKNIEGATKYLLSKLSDLQFFVGESMHDDGSIVFAYYKEGATDPTFLYLAPGLKEVKC >CDO97449 pep chromosome:AUK_PRJEB4211_v1:2:19127892:19134113:1 gene:GSCOC_T00014796001 transcript:CDO97449 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLTRLALRRLVAGGNCGRYLPSSLSVTPGAAGAAMAVVKPWDDVAVVRGQGLGALVGQWRRMVSSVPEHAAPPEKEKKESGEAAVMGEKNDEMMVSSYWGISKKRIVREDGSDWPWNCFMPWETYHSNVTIDLKKHHKPKNFIDKVAFRTVKILRIPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRKFEQSGGWIKALLEEAENERMHLMTMVELVKPKWYERLLVLAVQGVFFNAFFVLYVLSPKLAHRIVGYLEEEAIHSYTEFLKDINSGAIENVPAPVIAIDYWRLPKDATLKDVVTVIRADEAHHRDVNHFASDIHFQGKELREAPAPLGYR >CDO97038 pep chromosome:AUK_PRJEB4211_v1:2:16048082:16050032:-1 gene:GSCOC_T00014254001 transcript:CDO97038 gene_biotype:protein_coding transcript_biotype:protein_coding description:PE11 [Source:Projected from Arabidopsis thaliana (AT2G21610) UniProtKB/TrEMBL;Acc:A0A178W118] MGAPSFMIYASVILLVKLVSDDLVMASSSSTSTPMDMSTAILIRVDQSGMGDYDKIQDAINAAPSNNSELVFIWVKPGVYREKIVVPADKPFITLSGTEASKTIITWNDTGEIYDSPTMAVLASDFVGRYLTIQNTYGTSGKAVALRVSGDRAAFYGCAIVSYQDTLLDDAGRHYYSNCYIEGGVDFICGNGASLFERCHLHSTAENEGAITAQQRSSPLENTGFTFLGGKITGAGSTVLGRPWGSYSRVVFALTYMSSVILPEGWNDWGDPRKQSTAYFGEYKCYGPGANRSNRVKWYHSLSNHDAAPFLTKTMIGGRAWLRPVPTHFKQQTGSTISTLKAQGKT >CDP15535 pep chromosome:AUK_PRJEB4211_v1:2:46274608:46276210:1 gene:GSCOC_T00015403001 transcript:CDP15535 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSTDLIPTADQYFLLNFIIGIYFAPDLKEEKIRKPVLQRYVEGLPPYISNQMAGSFMSMVEMERVYYCVVRKASRSVIMKPPMLRLFFQGNLPAPVEDPSLAYPQFDDLFPPKLHPRTQSGNQYDMVQNIVFINEPDISYIKPEDIDRFKRLTGLEEFHLDGESSMLHNSVGGEMVYDLVGQEEDQSEDFLHRGSAQNFSAVPYTGTPTEHNMPSNGTLPLGNNFANPLMDGATIPSPTKTSSADDYEPRKIFLPSFPSREVWSNIIAATKNGFSVTGSAARGQVGPVIGLVDIGESDDSYLFRVSLPGVKRDEREFSCEVENDGKVLIKGVATTGEKTVHKYSQVFEMQSQNLCPSGNFSISFNLPGPVDPRQFSGNFGTDGILEGIAMKAGQC >CDP08548 pep chromosome:AUK_PRJEB4211_v1:2:41112724:41113470:1 gene:GSCOC_T00027505001 transcript:CDP08548 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNGNMSCRYHSLCAYNGDDDLFSFDLSEDQLRQRLGHMSHTPCQIIFSMANEYVPEYVDKKVLVERLCRAMGGAEKVEIEWGNHALSNQVQEAVQAIINFVKREGPKGWDDPWS >CDP13865 pep chromosome:AUK_PRJEB4211_v1:2:3936160:3939028:-1 gene:GSCOC_T00038988001 transcript:CDP13865 gene_biotype:protein_coding transcript_biotype:protein_coding MGENPSWVLQSGGYSPTRLRSPVEYDFPGDRFIPNRSLMDLDHAKSLLTNRTTKELEKPKYSEEYSRKLKENLTLDVEGRPYRMLVFRGSPKSSRKSSRLIDEMRRSDEDKTNTRDSNKNRSFPKKESLVLDAPNLSDDFYMNVLDWGSGNLIAVALGSALYTWNPSNRAIHKLLDVDSQCDYPTSLAWSGDARKIAVGHMCSDVQLWDAETSKLVRSLRGHQNKVVSVEWNGHILTSGGNDKAIINHDVRAKKSLTCYLRVHTRGVCSLKWSRRSNILASGGDDNLVYIWHASKMSSSHYMYRLNAHSAGVKALAWCPYNSDVLASGGGLLDGSLKLWNVQKGVCINSINTHAQVCALQWNRHQKEILSGHGFSWGNADCGNQLCLWKYPSMSKIGGSSKSDSRVLHLTQSPDGLRVASAGANETISIWEVFGPPQANEPRSSGLDSLLAFRASPIR >CDO97521 pep chromosome:AUK_PRJEB4211_v1:2:19679502:19683230:1 gene:GSCOC_T00014892001 transcript:CDO97521 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIDLFICLLLLVNFVLYHLFIKEMAKGTRGRRRFASRQCRQTPYPLPCGNQSEKMQRKKCSKTLVKKDWEDATCSVCMEYPHNAVLLLCSSHEKGCRPYMCGTSFRYSNCLDQYRKAYTKVTSADHNQHLDGTIDSPAMIPPVSGWPIDKCEVTELACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDNCSFIGTYKELRKHVRADHPSAKPREVDPLLEQKWRRLEREREREDVISTITSSMPGAVVFGDYVIEGGHYGFISDEEDGFDDDHLDQSIRRQERNSNHTLEGGTVVGSRTSRIGSFDYSDQDSESDNDDNAGIPRASDRLSLVNRLRSQGRVLLGRSGRRRRRREANRSRR >CDP05138 pep chromosome:AUK_PRJEB4211_v1:2:1909362:1911028:-1 gene:GSCOC_T00020085001 transcript:CDP05138 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVLKVEFHDDKVKQKVMQKVSGLVGIESVAIESKDKKLTVVGDIDPVGIVSKLRKLCHTEIVSVGPAKEPEKKKDESQKKDDPKKGGDEKKGGGGGGGGDNKKNESKGDAVKAYPALPPPPAYQQHYLPAYHQNYQPPMPYQYHHYPPTAPAYYARSAEEDPNSCVIC >CDO97505 pep chromosome:AUK_PRJEB4211_v1:2:19576962:19579251:-1 gene:GSCOC_T00014869001 transcript:CDO97505 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVQVIPSNLRPNVPYSSDLEKCGENQRMKLSAFKEYSLDELKVALSRFSVENIVSEHGDKARNVVYKGQLEDDASWIAVKHFNKFAWPDSRQFLVVITYFKQVPSYSLHKCDINLFMYVL >CDO97486 pep chromosome:AUK_PRJEB4211_v1:2:19413974:19416536:-1 gene:GSCOC_T00014840001 transcript:CDO97486 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGLKEYPWMMTGSGRAPSVIEVRRPLQIFSFEGIGAFWRGWRSGIARDSTFGGIFFSSWQFLHRAMLEWKAVGMTPPPRSDDEIGPLSPLAVSLAAGFSGSIAAAASHPFDTAKSRSECTVLPKYVSMERKLLKWPRPGKRFERFTGIHPADRNILFRGVWLRMARSGIASFVVVGSYYWAVGHLLPK >CDP08831 pep chromosome:AUK_PRJEB4211_v1:2:52654718:52658493:-1 gene:GSCOC_T00027939001 transcript:CDP08831 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLALRRRQHEFDLQREKAEKEKKVKKLQAKKNKMKVDGSNKKKAGSGFQVGKKKVKTKLTPLAKAKAAQAMEVDK >CDO97332 pep chromosome:AUK_PRJEB4211_v1:2:18202790:18207476:-1 gene:GSCOC_T00014649001 transcript:CDO97332 gene_biotype:protein_coding transcript_biotype:protein_coding MINQFILGAFVASVVGLVGFVLLYSHLIIRRERKRYAPPSPAANRIAERKDHQYIKTSVNNVDGPASENEKSVSDVIIVGAGVAGSALAYTLGKDGRRVHVIERDLSEPDRIVGELLQPGGYLKLIELDCLRDIDAQQVFGYALYKDGKDARLSYPLEKFDSDISGRGFHNGRFIQRMREKAATLPNVRLEQGTVTSLIEKKEIIKGVQYKTKDGQQMTAYAPLTIVCDGCFSNLRRSLCDPKVDIPSYFVGLILENCQLPYVDHGHVVLADPSPILFYQISSTETRCLVDVPGQKVPSISNGEMANYLKTVVAPQIPPLLYDAFIAAIEKGNIRTMPNRSMPANPHPTPGALLLGDAFNMRHPLTGGGMTVALSDVVVLRDLLRPLHDLHDATTLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDPARNEMRQACFDYLSLGGVFSSGPVALLSGLNPRPSSLVLHFFAVAVYGVGRLLIPFPSPSRMWLGARLLSGASGIIFPIIRAEGVRQMFLPATVPAYYRGPPLD >CDP15537 pep chromosome:AUK_PRJEB4211_v1:2:46294205:46302711:-1 gene:GSCOC_T00015405001 transcript:CDP15537 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTLLALGNGAPDVFASVAAVRGGQARTGFGAILSAGTFVSAFVVGFVAIYAAPFAVDPGPFVRDVLFYLIAALFLFYVYLSAEIFLWQALGFVGFYLFFVGIVFWMDLGTSSGKGKRRGIGGGGDGDGSEVELIGNEEQQKGLIEMDYESGEALMKSEDSKKQIYGFWRVLGKISQVWELPVSVLLKLTIPQTSPSEWSRFYQSANIALCPLALLYSCRSFMPLDHPVLFLFSNVHFALWLIVLCGSCSLAILHFIVEKEPPKTEQIPVVLVAFVMSVFWISTVAGELLNCLAALGALLKLPPAILGLTVLAWGNSVGDLVADVALAKAGQPAMAMAGCFACPMFNMLFGLGTALVMQAANVYPDAYALHFHVSIVMAFIFLLLSLMGSILVVTWHRFRVPRFWGFCLVGLYVIFMILSLIIAMFWV >CDO99486 pep chromosome:AUK_PRJEB4211_v1:2:12062671:12066122:1 gene:GSCOC_T00029080001 transcript:CDO99486 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCSNESNQENLPLQIVPAQISHEKSTTVLNSKLNEVKTIACPSCGHPLEVQDQTGLLQDLPGLPAGVKFDPSDQEILEHLEARVLLDSRKIHPLIDEFIPTIEGENGICYTHPEKLPGVNKDGQIRHFFHRPSKAYTTGTRKRRKVHTDTEADGGGETRWHKTGKTRPVFISGALKGYKKILVLYTNYGRQRKPEKTNWVMHQYHLGTNEEEKDGELVVSKVFYQTQPRQCGGSSSISELDKRSTSSVSQSHLMKSTSNNFIDYYPHPYNISYNLGSQSGDSHSSSQLIPNLVVHGDGSSFIHLPSSVSKGK >CDP08619 pep chromosome:AUK_PRJEB4211_v1:2:54437214:54450047:-1 gene:GSCOC_T00027638001 transcript:CDP08619 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSFLESHGLGNGTDDGDSDEYPSPSSSVPHHHRTVDEILLLNDSSSSSSSSAAGLSLAHSLDSNSNHHLNTTSIISSSWTSRNLTTSINSSNNNRINLAEFASSRSVILPSLFAAVKSNVKPGAALAAAAAASRSFPTPHAAAIKSSRTSSSAVALCSIAIAENDSISTTPPPPPPPPPPPPAIATAPHSELASWVPSEVDGEDENLTAKDAGILPTNQTPNLEAATSFLVSNVDKQRIDDSSGAMLLSISSSAATEFHLPAKAEEKHLDANRNSTSSEVAIQAQSSSVVEDENNEDSRINSTNRVIHKDIASIVADPDEGECFEQEITAKTDEMHGQENVISQSKDEVLSLGGHKTNSDDDVADIVQDVALQLKSNKGRRKTRNKSLHPCLTPLELAEELEKKQAFTGMYWEEGAAAQPMRLEGVRRGSTVLGYFDVDSNNAITRAISLQAFKQEHGFPSVLSVHLNYIAIGMSKGVILVFPSKYSPYHSDNMDSKMLMLGLQGERSYVPVTSMCFNQQGDLLFAGYGDGHFSVWDVQRASALKVINEHKAPLVHMLYLGQDSQASRQFNVVSGDSKGVVKLIRFSVVPWVNRISYSKATKLLDETTSMVICASPLLSTEFLGGLSMSSQVSSSVTTSAIGSMMGGVIGGDSGWKSTPLVENGVVIFVTHQSALVAKVSPTVEVYAQIPKPDGVGDGSMPYAAWRCMSDLLGSSTETVPAETLEKCSWLAIAWDRKVQVAKLVKSELKVYAKWTLDCPAVGVAWLDDQMLVVLTSIGRLVMFTKEGNMIHDTSFAVNGTGGDDMITYHTYFNNIHGNPEKAHHNCVAVRGASIYILGTSHLVVSRLLPWKERIEVLHRAGDWMGALNMAMTIYDGQAHGVIDLPRTLDDVQKTIMPYLAELLLSYVDEVFSYIKVASGNQVGNSDQLDESKSSSDSDNPEIEEQYIRVGGVAVEFCVHIKRTDILFDEIYAKFCAAKHKETFLELLEPYILKDMLGCLPPAIMQALVEHYSMKGWLQRVEQCVLHMDISSLDFNQVVRLCREHRLHGALIYLFNKGLDDFRTPLEELLVVLQQCERENASVLGYRILVYLKYCFHGFAFPPGHGTLSPTRLLSIRKDILAFLLEDSSIPNPQALTNSMSDKPFPNLCHLLDLDTEATLDVLNCAFLEEQNLAFNNLCHNLTSSNVGVKDLGDESQNLVQKLVDVLSLILEASYFQRGCSTSTDDGSSLETWPSKKDAGHIIEFITYYVACERAKVSRDILSQILDYLTSEISFSPSVSRQHIEIHKRREKQLLTLLEVVPDTDWDAPYLLHLCERCQFHQVCGLIHSNRCQYVAALDSYIKAVDESIHAFSFIHDMLRRLSETDSEAFQAAVFSRIGDLVKLDREGTFFLVVVHFHGQSQEILFSQLHSHPESLFLYLKTLVEVHTTGNLKFSCLRKDGSLHFPSGRMAKHQSDRIKTFLEELNDFPKLLRTKPIQLTDEVTEQYLELLCRYERESVRKFLETFESYRVENCLRLCQEYGIVDAASFLLERVGDVGSALMLILSGLNEKFIVLEASIGPSDSHPKHFNSILKEEEVNDILDILHSCIGLCQRNSPRLDPHESEYLWFQLLDSFCLPLMDSCSSKTRIIHQQDMEVLDVKQDHEDDCIIKWKISKSHKNAYILKKLLSLFIREIVEGMIGYVRLPTIMLKLLSDNGSQEFGDFKPTILGMLGTYDFERRILDTAKSLIEDDTYYTMSLLKKGASHGFAPRGLTCCVCNGLLTKRSHSSSIQVFSCGHAMHVHCVLQENETSVWGSSAGCPICVAGKKAQRSRSKSVLVTNELVSKALSRSHKVPGTSVLHAPDNDVSENSYGSHPISRFELLNNLHKDQRSSQIENMPQLRLAPPAVYHEKVKKGNDLMAEESSRGSAGAEKSRSKQLGDIKVKGSSVRFPLRSNIFGKLPLKS >CDP18130 pep chromosome:AUK_PRJEB4211_v1:2:32160737:32170351:1 gene:GSCOC_T00010173001 transcript:CDP18130 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNVVVCDNGTGYVKCGFAGENFPTSVFPCVLGRPMLRYEESLMEQEVKDIVVGDACLKLRHQLDISYPVNNGIVQNWDDMGHVWDHAFFKELKVDPTECKILLTDPPLNPSKNREKMVETMFEKYNFAGVFIQVQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLQRRGYAMNRSADFETVRDIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQASEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDTPEFWIRRQDYLEEGVACLSKCGQA >CDP15210 pep chromosome:AUK_PRJEB4211_v1:2:49255907:49257742:-1 gene:GSCOC_T00042836001 transcript:CDP15210 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLLEDVNQIPDPGALGKQGVCNRFVRMGSCQYGDSSKYYHPKQNPNDQVLPGQISSQNVQIS >CDO99829 pep chromosome:AUK_PRJEB4211_v1:2:8543075:8551870:1 gene:GSCOC_T00029525001 transcript:CDO99829 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSEDDNAVTGVGNGSGGFRSIRDRFRFKRNPNSAASYLPSTSTATTSPLDRQYKQGRSHSHHHHHHHHHYNRSAARKMLLFPFRERSWFYLCIFLVIFVFALASMVLQSSIMPVFRQRGGGSERGKRLWPVRDDLKLGSSLHFEPQRRFQLHDGLDRLRSQPRIGVRPPRIGLILGNMNKDPSSLMLSTVMKNLKGLGYLFKIYALQDGDAREVWEEIGGEILNLSPERHAHIDWSIFEGIIAESLEVKDAISSLMQEPFCSIPLVWIIQEDTLANRLQMYERNQWNHIISHWKSAFCRANVVVFPDYSLPMLYSVLDTGNFFVIPGSPVDVWAAESYTKTHSKLVLRKENGFDEDDVVVLVIGSSFFFAELSWDYAVAMHDLEPLLLNYAGREEGKETFKFVFLCGNSSSQYDDALQDIATRLGLYEGSLRHFGVHGDPNGLILMADIVLYASPQDEQGFPPLLTRAMSFGLPIVALENPVIKRHVADQVQGMIVAKHNPDALIKAFSLLISEAKLLKLAHSIASSGRLLAKNMLASECVMSYAKLLENILNFPSDVLLPVNTSQLKQTSWEWSFFQEEIDKKAGDLANPHSRGYGLSLGVVYNIEEDMANLLPLKNVSGNDLEALDGDFPTHLDWDILREMESSEELESLEMEEIEERMEKAIGDWDELYRNARKSEKLKFEMNERDEGELERTGQPLCIYEIYHGAGAWQFLHHGSLYRGLSLSTRARRLRSDDVDAVARLPVLNDTYYRDVLCEIGGMFSVANGVDNIHKRPWIGFQSWRAAGRKASLSTKAEQVLEEVVQKNTKGDVIYFWAMLDMDGGFMGRNDVLTFWSMCDILNGGNCRSAFEDAFRIMYALPSHVEALPPMPEDGGRWSALHSWVMPTSSFLEFIMFSRIFVDSLDYLHVNSSNMTSCLLGSSVLEKQHCYCRVMELLVNVWAYHSARRMVYIDPHSGLLEEQHPIEQRKGFMWAKYLNTSLLKSMDEDLAEAADDNDHPYEMWLWPLTGEVYWQGVYEREREERYRLKMDKKRKTREKLLDRMKHGYVQKALGRLKL >CDP05029 pep chromosome:AUK_PRJEB4211_v1:2:986011:989083:1 gene:GSCOC_T00019937001 transcript:CDP05029 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTRLVLRKRTQAPIPVSVLPGSKKRSRSKKGGAEEQEDYSNACCEKCGSGEDADELLLCDKCDRGFHLFCLRPILASVPKRSWFCPSCSNNKRLTTFPLVQTKIVDFFRIQRSSLSAEAPGQDGRKRRKRTGSSLVMSKKRRKLLPFNPSEDPARRLEQMASLATALIATGTEFSNELTYMPGMAPRSANLAKHEREGMQVISKEDSQTLNLCKAMMRRGEWPPLMVVFDSLEGFTVEADRFIRDLTIITEYVGDVDHLKNRENDEGDSMMTLLSAADPSKSLVICPDKRSNIARFINGINNHTPDGKKKQNVKCVRYDVNGECRVLLIASRDIAKGERLYYDYNGYEHEYPTEHFV >CDP09330 pep chromosome:AUK_PRJEB4211_v1:2:23611234:23613151:1 gene:GSCOC_T00028650001 transcript:CDP09330 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEKKEKEKVKVKEKEIEVITAVYKINLHCPKCAHDIRRPLLRIPGVHSADIKHEKNEVTIKGAIVAKKMHERLQKWSKKKVELISETKVKEAEKGAKETKKTILIKSYMHCAECEREIRKRLLKHKGIHNVKTDIKAQTISIEGVIESEKLLTYMRKKVHKYAEIIPPKAKEKEKEKKDEKKEKEKIEVKIVEFKEVEKVAAKTKEGDTPYFVHYVYAPQLFSDENPNACSIM >CDP08611 pep chromosome:AUK_PRJEB4211_v1:2:39237205:39243994:-1 gene:GSCOC_T00027621001 transcript:CDP08611 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGREVSSGVVSESSKDERKETAGYERKSKGVSVEPNDRLEVVVDTGKVDNENNTVGVSNAALETKEVKVEGEVKPKGERRRSKPNPRLGNPPKHKYGEQVAAGWPSWLSAHVGEAIDGWLPRRADTFEKIDKIGSGTYSNVYKARDTITGKIVALKKVRFDNLEPESVRFMAREIIILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPEIKFTEPQVKCYMKQLLSGLEHCHKRHVLHRDIKGSNLLLDNSGILRIADFGLATIYDPNHKHPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKCKLPNATLFKPREPYRRCIRETFKDFPSSALPLIDTLLAIDPADRKTATDALKSEFFTTEPFACDPASLPQYPPSKEMDAKRRDDEARRQRAATKAQGDGARRNRTRAVRAIPAPDANAELQSNVDRRRLISHANAKSKSEKFPPPHQDGALGVPLGASHHIDPSLVPPDVPFSSTSFTYSKEPVQNWSGPLVDPANGGAARRKKNAANDAREARKTGKKDRK >CDP06817 pep chromosome:AUK_PRJEB4211_v1:2:37316694:37320306:-1 gene:GSCOC_T00023812001 transcript:CDP06817 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAGSSGSETEVTWEDQQKINQFSRLNNRFHELEDEIKLAKETNDNLEDASNELILTDDDIVRFQIGEVFAHIAKDGVETRIEQMKEVTSKNLEKLEEEKESIVAQMDELKKILYGKFKDSINLEED >CDO99915 pep chromosome:AUK_PRJEB4211_v1:2:7819107:7820765:-1 gene:GSCOC_T00029632001 transcript:CDO99915 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQRDQKKLKRLESTMEMRKCFGTMLLLSLLFISYDIVMEAEAAVCSKPSTYFFGPCVRRSTCRRACSHENYPDGKCSRFLGKCICYKPCTT >CDP05082 pep chromosome:AUK_PRJEB4211_v1:2:1403410:1410655:-1 gene:GSCOC_T00020008001 transcript:CDP05082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated ion channel 1 [Source:Projected from Arabidopsis thaliana (AT5G53130) UniProtKB/Swiss-Prot;Acc:O65717] MNHQQEKFVRFQGWESIAGSEGQYASKDVLHVGNFRTKINSVSDKSCGGFESGSERIKSFKDSLRSCSLTSLVPKGFRSSNRTLDPQGLFLQKWNKIFVLSCVIAVSLDPLFFYIPVINGHKKCLSFDKKLEVTASVLRSFTDIFYLIHIIFQFRTGFIAPSSRVFGRGVLVEDSWAIAKRYLSSYFLIDILAVLPLPQAAMLIVIPRMRGARSLNTKNMLKFVVFFQYIPRVLRIYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETTCWQSACGNQTDCIHASLYCLDDHLRFKQFLNDSCPIQTPDTTRFDFGIFLNALQSGIVESMDFPRKFFYCFWWGLQNLSSLGQNLKTSTFVWEICFAVFISISGLVLFSFLIGNMQAYLQSTTLRLEEMRVKRRDAEQWMSHRLLPDSLKERIRRYEQYKWQENRGVDEENLIQNLPKDLRRDIKRHLCLALLMRVPMFEKLDEQLLDALCDRLKPVLYTKHSFIVREGDPVDEMLFIMRGKLLTVTTNGGRTGFFNSDYLKAGDFCGEELLTWALDPHSSSNLPISTRTVQALSEVEAFALIADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRCCRKKLEESLREEENWLQNALAKNEGSSPSLGATIYASRFAANALRALRRNGARNSRLTDRVPPIMLQKPAEPDFTADN >CDP17754 pep chromosome:AUK_PRJEB4211_v1:2:51677269:51683223:-1 gene:GSCOC_T00003863001 transcript:CDP17754 gene_biotype:protein_coding transcript_biotype:protein_coding description:RH3 [Source:Projected from Arabidopsis thaliana (AT5G26742) UniProtKB/TrEMBL;Acc:A0A178UT03] MACPSSIIGVSSIYQTTPSLELSKRPTSTPPLSFPFPAEKSHFYGLKVTATSAASSSSSNVHLRSNGNTGSSFVASAVVTTNSSVLSEEAFKGLGDFGKGSFDVSESDYDDESEGEFDAEDGEVDGDELAISKLGLPQKLVETLETRGITHLFPIQRSVLVPALEGRDIIARAKTGTGKTLAFGIPIIKRLSEDEEERGPRRRSGQLPKVLVLAPTRELAKQVEKEIKESASYLNTVCVYGGVSYITQQNAISRGVDVVVGTPGRLIDLINSGSLKLGEVQYLVLDEADQMLAVGFEEDVEVILEKLPSERQSMLFSATMPGWVKKLARKYLDNPLTIDLVGDEEEKLAEGIKLYAIPTTPTSKRTILSDLITVYAKGGKTIVFTQTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLIIHYELPNDPESFVHRSGRTGRAGKEGRAILMFTSSQRRTVKSLERDVGCRFDFISPPSIEEVLGSSAEQVVATLGGVHPESVSYFTPTAQQLMDERGVDALAAALAQLSGFSRPPSSRSLITHEQGWVTLQLIRDSGARGFLSARSVTGFLSDVYSTAADELGKIHLIADARVQGAVFDLPEEIAKELLNKELPPGNTISKITKLPALQDDGPPSDYYGKFSNRERGSRGSFRDQRGSRSSRSWSSSRFSNDEDGSRRGGWGSRSGSRFSDDDDFSRGGSRGGRSDWLINDRRSSRSAPFGSKDRGFGGACFNCGRNGHRASECPNKQRY >CDO96992 pep chromosome:AUK_PRJEB4211_v1:2:15574631:15578203:-1 gene:GSCOC_T00014198001 transcript:CDO96992 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFWQNQLIECGILFIGLRNNILAGIYMEVDISSLRLNSLESNQITTVHSDVVSPEDVAWADSCLIKDEISENGCNSHQDTLITRLGSKSNSSTAIWEDISPYDTDMETSQILDARVDDISLSDLEAQNFSGDRLIDENNNISMSTFNLNNVFLPTYNEKLRDLGTKESEDFKFPGLVPEQLTGDIFKVWNLEMPTEEDEFVKQLKKAISESSLELTPPVSDEAERLKRLEDGDIDDIISGIADLSLNFFSI >CDO96961 pep chromosome:AUK_PRJEB4211_v1:2:15346587:15348918:-1 gene:GSCOC_T00014158001 transcript:CDO96961 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNLTLLLCLFVTGTRHRGSIFHFKRWIFLTSARRVPSRKIRVFSVSFDYFLGEFKNVAGTVAKLLKEQPVIVAHTENTFDGSGIRRLLSNKFELDTALDTSLQTIPSMRSQWKIVKTNLRVALDVLVPSSGLGPLGAVDQIDKIINEAFKKLDADDRKLVKEDEFKKLLTEILGSTMLQLEGNPISVSTKGPQTLPCMCRLRPPPRFWKLLLHNAVDKYDLLNYEESRNNSPCNF >CDP07805 pep chromosome:AUK_PRJEB4211_v1:2:26735121:26736571:-1 gene:GSCOC_T00025195001 transcript:CDP07805 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQLGEISSIVVSSPRLAREILKTHDLALSDRAEILASKIVCYDSTNIAGSPYGPYWRQMRKICTMKLLGPEKVRSFGSIMQDEAWHLISSIQALSVAGAPINLTEKLSYTSSMVFRAAFGKVSRQHKDTFLQVLKQALPLVSTCDVSDLFPSYKILHPFSRVSTKVMKMHQKIDKIFDNIIAERVDNLARTRKGMGESSDEDLIDVLLRVKESGDLQIPITNNNIKAVLIDLFTGGLANVPAAVEWARAEMIRNPYVMAKAQIEIRAAFMGKKTTIEETDVQDLEYLKLVVKETLRLHPPAALLLPRECREQCEIDGNIIPIKTRVIVNVWAIGRDPEYWDDPESFRPERFENSSIDFTGTHFEYLPFGAGRRMCPGISFAMPNIELPLALLLYHFDWKLPTSLHSNGGLDMSEAVGFSARRKQHLCLLASLCDPALDVACRTPVTD >CDO96875 pep chromosome:AUK_PRJEB4211_v1:2:14242005:14247804:1 gene:GSCOC_T00014041001 transcript:CDO96875 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRLPTWKERENNKRRERRRRAIAAKIFAGLRMYGNFKLPKHCDNNEVLKALCNEAGWVVEEDGTTYRKGCKPAERMDIIGGSATMSPCSSYQPSPGVSYNPSPSSSSFPSPVSSHYAANANGSADANSLIPWLKNLSSGSSPASSKLPHHIYIPGGSISAPQYAFLPSSTPPSPSRQTPPDSGWLSGVQTPQDGPSSPTFSLVASNPFGIKEPLSNGGSRMWTPGQSGACSPAIAAGFDQTADVPMADAVSAEFAFGNSTKGLVKPWEGERIHEEFVSDDLELTLGNSKTR >CDO97357 pep chromosome:AUK_PRJEB4211_v1:2:18409724:18414665:-1 gene:GSCOC_T00014677001 transcript:CDO97357 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGGGLNADMEADELDREPSPDMPVRNNHFYSLRYPGAVRQKAYIFDGEGNYYNKEWDLAEGRGKEFCWFHVELPKGNQKLSQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPASSKFTFRIAARVTQNSVITVSLGRVPRLGFSPTNESLLSEIPALESPNYTRGEQKQRNGIVIGEHVLDFLLTMNHSEEADNPVPKSVSNLVVHIVDTHVDHLQDVVTNLEIELDSLELELDRGGFALKKQMLDDRRFPKMHVDLQRLLQVIAHGQQVFPRVKEKCASKDWFANEDINSLEELVGRLRRLKENVGFIANRVSAIQQGLDSWQAEQINRKLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTAQSRPELKDGFRNVMLLCVAMLGLVLLCFIFPALYSRIMAWRRRRAMRRSWSLNRKSFLRRTTSVGERTERGGYLRLF >CDP18234 pep chromosome:AUK_PRJEB4211_v1:2:48414363:48416661:-1 gene:GSCOC_T00011795001 transcript:CDP18234 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLTLHRHPMCAEIIEQFQKCHSDHPIGKFFGKCTELKVKLDRCFRQEKALKRKANFEESKKLKERLQAHRKELAERSQEDKLHAS >CDO99840 pep chromosome:AUK_PRJEB4211_v1:2:8396557:8398732:-1 gene:GSCOC_T00029536001 transcript:CDO99840 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQVKWLLLMLVFVSSLIAVSSERKTISASRTFKELNRNGPYLGLITVFAPEEDAFFATGAFKPNPKHPYVDLSGRRFRVGSIGGSKVIYVRCGEGMVNAAAATQQMLDVFRVIGIVHFGIAGNANNSMSIGDVIIPKQFAQTGLWDWVKFKATIPTNDVAELDFGSYDVPNGGDNELGSIGYSTEFFYSKSGKPNAPERTLWFQTSQNWLEVSSSLEGMALEQCVNSSLCLPEKPKVVVGLNGATANIFVDNAAYREFLYTTFHVSSLDMESAAVVMTCLSNGFKVIVIRGLSDLAGAQDGDNTIRLFGPLAASNVAKAVVQFVKTLRGFHFQLSI >CDO99658 pep chromosome:AUK_PRJEB4211_v1:2:10160058:10162472:1 gene:GSCOC_T00029317001 transcript:CDO99658 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMELENKVSFKRCIREGDLVIVYERHDNMKAVKVCENGVLQNRFGVFKHSDWIGKPFGSKVFSNKGGFIYLLAPTAELWTLVLSHRTQILYVADISFVIMYLEIIPGCLVLESGTGSGSLTTSLARAVAPTGHVYTFDFHDQRASSAREDFEKTGLSSLVTVGVRDIQGEGFPDEFIGQADSVFLDLPQPWLAIPSAGKMLKEDGVLCSFSPCIEQVQRSCETLKSCFTDIRTFEILLRSYEVRGERLESWENHAGGSLGSRKRRQRSTDGSNGVEASVSATIVARPSSEGRGHTGYLTFARLRCVI >CDP16438 pep chromosome:AUK_PRJEB4211_v1:2:35558312:35575462:1 gene:GSCOC_T00018338001 transcript:CDP16438 gene_biotype:protein_coding transcript_biotype:protein_coding MKAENLLASASINIGVAMFILSLFSILKKQPSNAPIYYPRRISLNHHIFLDERFTFSRFLPSLEWVRRASRVSEGQILQQCGLDVLVFIRLFKFGINFFTVSCVVGVLVLLPLNYIDADRLSSQFHSVNSFTISNIIGGSNRLWVHLSCLYFISCYGLYLLYKEYKDIWLKRIQQLHNLRHRPDQFTVLVREIPFCNEHKAYGCCVDHFFSKHYPSSYQSYQILYDCEELEKLLKKKKKRSPYTDLDTYFEEYICPFGTHQPEMKESNNLLDFSCKSMLINVLSFISGGIPSLISSISELPVAFVTFRSRLGAALAAQSQQHSNPLVWITGIAPEPRDVLWSNLAIPYRHLPLHEIVVFLLASLLTIFFAIPVTAIQGIAKFEKLRKWFPPAMAVQLIPGLRSIVTGYLPSVILNFFIYIVPFSMIAMAQMAGYISRSKKDIKACNMVFYFLVGNAFFLSLLSGSLLDQIGESFTHPKDFPGHPRRCRFAQADFFMTYILTNGLFGFSLEILQPGLFMWNYIKSHTWHRGKRKTAYLNSIPYYRIIPFVALSILIGMVYAIISPLLLPFLVGYLLLGYVVFINQIEDVYITCYETCGQYWPYIYQYILAALILMQITMVGVFGLMSKPSASISTIPLLLLTFGFNEYCKRRFVPTFHKYSMQDAKKNDELDEQNGVMETNLQNALDAYCPPCLRPVDFEVEESCSTQPLISPK >CDP09325 pep chromosome:AUK_PRJEB4211_v1:2:23672590:23672970:1 gene:GSCOC_T00028642001 transcript:CDP09325 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSLSSKPQNSTLEKPFAAATDSGESKEFVTEFDSSKAPTAKNRDNRVIPPKPNKWRLTKKMKNLELPLQSDAQEQPMLQFEVVEFGPFDPTSESMSYDLNLHNSSNGALLLPCCIILFFFFL >CDP08641 pep chromosome:AUK_PRJEB4211_v1:2:54185834:54189105:1 gene:GSCOC_T00027676001 transcript:CDP08641 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKNGTEGSRGGHDHVKKDGNVGDQINEKVDYVFKVVVIGDSAVGKSQMLSRFTKNEFCFDSKSTIGVEFQTRTVNINSKVVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQSFDHVARWVEELRAHADNSIVIVLVGNKADLVDLRTVPAEDALEFAENQGLLFFETSALNGDNVDAAFFKLLEEIHNAVSKKCLDSRGAGGIWANATDQGSFKGLKIDVISSAEFEVSEMKKSSSCSC >CDO99950 pep chromosome:AUK_PRJEB4211_v1:2:7532867:7535875:1 gene:GSCOC_T00029679001 transcript:CDO99950 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKALVSYAGGVLLKQPWWRTIAARLSSSSKATSSSVAVNSILLRSLKDHYLEVSKMTPPPKVSPPSPFTVVTGSIDSGGAVLKRSYGEEEIGISVMRLANIIPGGGEDDDEEDDGMNQLFVHVEISKPGQQDSLHFLCGLYPDALGIHSVSMRSKMESSEAAALPVLLSRYNGPTFEHLDEKMRDALHGYIEERGINESLFPFLQAWLYVKDHRNLMRWFKSVGTFVTQAKEGASPAS >CDP18394 pep chromosome:AUK_PRJEB4211_v1:2:43473293:43474956:1 gene:GSCOC_T00012984001 transcript:CDP18394 gene_biotype:protein_coding transcript_biotype:protein_coding MERRENEIDEKEKRLEKRKEELEVREEILELKLKLVSIRGCDEDEKAGDNAPYNPPPLKRCGNLAWGSGKILDADEEVMNLEEESSHRSKRSCIDGKNAGQVTADDLGKSGLGDIDVKEVMAKAFCTQNIIVIDDSDSDTDSKDNNVSNPINSPGSFYDDTEKEKLVSRFKYGQTWACYDGKDIIPRAYAQIMTVFQSGGIIRLGVAWLKPLWGFPGENKWINAGLPVGCGMFEWERTSVEAATVFSHQVCCLEKVHNRYCVLPGAGETWAIYKDWDIFAWACDPENHRQCKYEIVEVLEYQTSGSSLFDIRVACLDKMGGSLNSFQRRRQDEDGSFLIRSSNLYRFSHKVPSVRKACNVPGDVSDV >CDP04928 pep chromosome:AUK_PRJEB4211_v1:2:202950:206298:-1 gene:GSCOC_T00019798001 transcript:CDP04928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-21 [Source:Projected from Arabidopsis thaliana (AT5G16830) UniProtKB/Swiss-Prot;Acc:Q39233] MSFEDLEFGRSMTIRGDQTKQDCSRALAGDVFRIKTALAAFQRLVYNLGTPKDTPHLRHKLHTSVLQIGQLLEDASAKLKQSTQTHPHAPDAASKKIFDEKLAKEIAYIHREFQKAQRLAAERESAYAPLTPHQIVSYSDSPSEADMMKSMRSKEHTSLMIDTRSQDVINVESEIVLNEAIIEERDQGIKEIQQQIGEVNEIFKDLAVLVREQGAMIDDIDTNIEGSRDAIDQGTSQLTKASNIQRPNSSTCLVVVIFGIILLIVVVVVAA >CDP17990 pep chromosome:AUK_PRJEB4211_v1:2:43544069:43544785:1 gene:GSCOC_T00001251001 transcript:CDP17990 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLILIWAALMGLFLLFSVSRSETEEVRQALVRFMIQISPGNINRGANWGWNLTSDPCIWQGVVCNTKSNSVRIIHLDKLNLIGVLDANSLCVAKSLALLSLNHNQIVGNLPEGISNCSQLRRLFIRGNNFSGILPGSLSKLSNLIMLDISSNGFSGELPDLPRISGLVGFLADNNDLSGRIPEFNYLNLRAFNVSYNNFSGPIPDVHGHFNASSFSGNPGLCGKPLQTPCPSPPPS >CDP06823 pep chromosome:AUK_PRJEB4211_v1:2:37834965:37837357:1 gene:GSCOC_T00023824001 transcript:CDP06823 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSIFIVLFYLPKLFALDPYTHFTSLDLPPKSIGPESIALDRFNQGPYIGISDGRILKYKGPNVGFVLFAYTSPNRYERMKGFYELCDDVTDPNLGPTCGRPFGFSFNNFNGVLYIVDALLGLFKVGPEGGLATLIAKSAGGVPFKFLNGIDVDQLTGDVYLTVASQTFDLRNVIQGNYVLDSTGRLITYNPITKELKVLLDGLSIPAGPVVSTDRTFVLFSEFSTKTVKKYCLTGLKANTTEALLNLPGNPVKIKRAPEPGKFWVAVNEIVQQQPRNATPFGYKFDSFGEILLIKNLEDHYSNIIVNLVQEYNGGRVVVGSREVKFVGMYSK >CDP15244 pep chromosome:AUK_PRJEB4211_v1:2:48805458:48809450:1 gene:GSCOC_T00042890001 transcript:CDP15244 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIKECDEHDQNQDHSAQRSDDKNACEEGVSEKAITDQQSLEEKSAIDNFYEKLIKLNESSGLSLVFNFREAKMDLHLVFKEVTERGGSYQVSKDGKWNEVASALSVKNHAPILPSQIQKVYENLLCQYEQIHYYRTPAKVSKLTGGDSYDSLLGKRKSCECCSQDYEAGKRKCILDIYQGSSGPGTPEQKTTFQTSLDEETVMRDLCAPQKARNSYQLFLKMECQRLRKIHGEASGSRSIRDMAIDAWKHLSEDDRLPYIEASRKDRERFYREMAVYMQYIDKKVLKSENTLKDSASALINFGQSSLINDDYYVTLEADAENFYLPDESLVESTIQMLKTRRPSDPVFQMTWDGFRSPPDTPS >CDO99605 pep chromosome:AUK_PRJEB4211_v1:2:10811626:10823227:-1 gene:GSCOC_T00029245001 transcript:CDO99605 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFVAHSGNVNCLRIGKKNFRQFITGGDDQVVNLWSIGNPAPLSCLSGHSSPVESVAFDSSEILVVAGASSGVIKLWDLEETKMVRTLSGHRSYCTAVEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKIWDLTAGKLLHDFKFHEGHIRSIDFHPLEFLLATGSADRTVKFWDLETFEMIGSARRETAGVRSITFHPDGRTLFCGFDDSLKVYSWEPVICHDSVDMGWSTLGDMCVHDGKLLGGAYFQNSVGVWVADISLIEPYGGGAIPEHGPPEQKYEVQENPSEKSISHRRSNSSFRSMSPDIDSKDIKNIYVDTEACKPVSSTKVGSINSPNAVDPSEPKEITTLAIQKQGSAVRVHAKTNVSGDAKSFIVPTVIPREYPGAKDKAGSRRESFASSRAITGTLKPSHIRRSSNTKSDMEKISTGLETRSLGSMTTAVETKTEPNFNSKLVCNNNVKEFSEGEDLSIKNVGEKLQKATSLTRLSNQENGEKPQECNKGVIPIRVVNGVAVVRGRTRSLVERFEKREGLSSNEAQTPEKAPNFSSKEAQMPENAPNFSSKEAQMPDRCPSSITEAAKASLQDNNPPNAGREPDTDDNHVIEALMQNHDVLLSIFRSRLTKLQVVRHFWERNDVKGAINAMMKLPDHSVQADVVSVLKEKMEIITLELFSCLLPVLLSLLDSKIDRHASVSLEMLLKLIAVFGPLVRSTVSAPRAVGVDLHAEERRESCKQCFVHLQNVQKILPSLIQRGGVVARCAHELSLVLREP >CDO97551 pep chromosome:AUK_PRJEB4211_v1:2:19886220:19887469:1 gene:GSCOC_T00014929001 transcript:CDO97551 gene_biotype:protein_coding transcript_biotype:protein_coding MSACYPTTITLTQTRIGWVGIGVMGSAMASRLLSAGYSVTVYDRTPSKAAPLQSKGAHLAPSVADLARASDVVFTMLGHPSDVRQIVLENLVPSLNPNSVIIDHTSSHPTLAKQIYDSALERHCHSVDAPVSGGDIGARDGKLAILAGGDEDVVKWLKPLFDEMGRVTYVGGPGKGQHCKIANQITAGANLLGLSEGLVFAEKAGLDKLKFVEAVRGGAAGSMVMELFGDRMINKDFKPGGVAEYMVKDLGMGVDVGEEEGDEVVVLPGAALIKQLFASMVANGGGKLGTQGLISVIEKINGS >CDP16445 pep chromosome:AUK_PRJEB4211_v1:2:30397621:30398882:-1 gene:GSCOC_T00018356001 transcript:CDP16445 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQAAVAPVVKLMKALRHQTGHIGSVSCLALCGEFILSGSQGKDIIVWQQPDLRQFTKFGQGDGSVKALASIGNKVFTAHQDSRIRVWKVSRSSENIFRLVDTLPTTKDYLGKFMKQSNYVQIRRHHKRLWIEHADSISCLAVHNGLIYSGSWDKTLKVWRISDFKCLESIKAHDDAINGLVSSKRIIYSASADGKIKAWEKEGKSTHSLKGILEGHKDVSVNSVAVSEDGSLVYGGGSDGYVLGWLGNKLFDSWRVICEVKAHEMAVLCMCLMGEFLCCGSADRSISIWKREINGGLFRFGVIKGHEGPVKCLQASPRCVGGGFMLYSGSLDKSLRVWWIPKYSGGTEDTSPIQRAEEISPFSF >CDO96892 pep chromosome:AUK_PRJEB4211_v1:2:14474514:14485364:-1 gene:GSCOC_T00014065001 transcript:CDO96892 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSNLSGRALSGQLPAEIGNLDYLEELNLKRNNLEGPIPYFLSEMKSLKILYLDGNFLSMGIPDELGNISSLLELSLNENELSGQLPQQFGNLTKLEELYLSNNLLSGKLPPSLDALENLEAFTVQGNSFSGRIPDFISKWRNHQYLDLRGNNFEGPIPNAISNLTELLYLFINNLVGADKQHSFPEIGTMVSIRHLSLRNCSLAGPIPDYIWQFKSMKYLDLSFNSLVGGIPPVMNVSLQAMFLSRNNLNGSIPVWVTELKESYVDISENSFTNVSIPKEKLINMNFLFHLSTLPCDAMRSICIEWLTCLYEHLYINCGGDATTINGSNYEADLEPNGGSTFYLSRNQAWGFSSMGVFEDAKDQQYILKKTCNTSVVEDTTLYMNARVSPMSLKYYAFCLKNNHYKVRLDFAEIGWNTTRDPSLKRNRVFDIEIQGEKKATDFNIEIAAGGVDRNVTLEYNNILVHDNRLVIHLYWSGKGSTWVRTVYYGPLISAISVSPVLKKHQKLSSATIVGIVGSSVLAVLLILALFWKLGWLGGKTTKNGDQKSIELFPGGVFNFQQIKAATKNFDPKNKIGEGGFGEVYKGVLESGTRVAVKRLSKKAKQGAEEFINEIGTNFALQHPNLVRVLGSCAEQSQLLIVYEYMENNSLEQALFGSAEVKSRLNWLIRVKICHDVAKGLAYIHEESRLKIVHRDIKPTNILLDKDFTAKISDFGFAKHSEDENPHITTRIAGSRGYMSPEYLQGFLTPKADVYSFGLVTLEIVSGKQISTFRAKDQNIYLLDIAYDYQQQGNLIALVDPSLGSDYTHKEALNLLDLAMKCVNPSPKLRPSMSEVVKILEGIVKVVQAKWKSKTSSIGNPSLGDDIPVANLFSGSTQSAGSTSQEGASDTIICPSTSKEIDDSSDLDD >CDP05141 pep chromosome:AUK_PRJEB4211_v1:2:1933338:1936542:-1 gene:GSCOC_T00020088001 transcript:CDP05141 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQMGEAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRLVPDKVNKTLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDTSGEQLGRGTKITLFLKDDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTIEKEISDDEEDEPKKEEEGDVEDVDEDKEETKDKKKKKIKEVSHEWQLINKQKPIWLRKPEEITKDEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDSRKKPNNIKLYVRRVFIMDNCEELIPEYLSFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRAKLADLLRYYSTKSGDELTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDTIDEYAVGQLKEYDGKKLVSATKEGLKLDDDSEEENKKKEEKKKSFEDLCKVIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDTSMSSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLSIEESDDAGDDADMPALEEDGDEESKMEEVD >CDP16466 pep chromosome:AUK_PRJEB4211_v1:2:31065612:31066568:1 gene:GSCOC_T00018394001 transcript:CDP16466 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKVVHIIDLHCFEPAQWINLLEELKARQEGPPHLRITGIHEQKEVLDQMAVRLQEAAEKLDIPFQFCPMVSKLENLDIESLRVKSGEAVAISSVLQLHSLLAYDDDTMRRNSPSRDVMSLYGASPDSQSSPPPKTLSFLNALWGLSPKLMVVTEHESNHNCHGLMERVDEALKFYAALFDCLENTLPRAPVERQKIEKFLFGEEIKSIIACEGLERKARHEKLDKWIPRLEFAGFGKINLSYNGMKQAMRVLQSCNYDGFKIKEESGYFIICWHDYPLFSVSAWGFKRY >CDP05162 pep chromosome:AUK_PRJEB4211_v1:2:2074560:2077522:-1 gene:GSCOC_T00020114001 transcript:CDP05162 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGGRGGGGGFRGGRGGDGGRGGGRGGGRGGFGGRGGSAMKRGGGRGGGGRGGGRGAGRGRGGGMKGGSRVVVEPHRHAGVFIAKGKEDALVTKNMVPGEAVYNEKRISVQNEDGSKVEYRIWNPFRSKLAAAILGGVDDIWIKPGARVLYLGAASGTTVSHVSDVVGPEGMVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKAGGHFMISIKANCIDSTAPAEAVFAQEVKKLKADQFKPIEQVTLEPFERDHACVVGAYRVPTKQKAAAA >CDP05312 pep chromosome:AUK_PRJEB4211_v1:2:3222369:3231938:-1 gene:GSCOC_T00020309001 transcript:CDP05312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MFTLFRRRSLAAYVYGHVLNSHKVHTSTAPLLQETGLYGFNHLKTPKGFRRFVEDAIERSNELVTFISGMPSAPEIIGAMDEISDTVCSVIDSAELCRATHPDREFVEEASEASLRINEYIHHLNTNHCLYMAVVKAEQDSHLLNEEAQRVAHHLRLDLEKAGIHLPSEQLDRANQLNMEIVQLCRDLHIRSEIVGDIIYVFLVSIRFNENIINDPGHMDIFPASHIPKKLHHLARPIYRTTSGAFGGSAWSMTNMKEKGFRLPTDPNTLSSILQWVSDAEIRKTAYIQGNSAPLGNLGVLDKLIAARHEFAQIMGHSSYADLAVHSSMASSPDVVLSFLLEMSEIVRPRADEEFKTIWNFKRERSGQLYGDLEPWDETYFTAIMKSAAYDLDSSVVASFFPLSQCLEGLKVLAESLFGVTFHHIPLAPGESWHPDVIKVALHHPDEGDLGYLYLDLKSRKNKHPVCAHFAIKGGRRLSETDYQLPIVALVCNFLGSKPASLLNHWEVETLFHEFGHALHSLLSRTDYQHFSGTRVVIDFAETPSNLFQYYAWDFRILKTFARHYSTGDVIPEDLVKSMRGAKDMFSGTELQRQIFYALIDQKLFGEEASSMRDTASLVADLKRQHTSWKHVDGTHWHTRFGHLASYGAGYYSYLYAKCFAATIWQKICEEDPLSLAAGSAIRHKFLQHGGAKDPGDILNDLVGKRILNSRYGGIVPDITSLSHEMELKN >CDP13868 pep chromosome:AUK_PRJEB4211_v1:2:3966668:3972580:-1 gene:GSCOC_T00038996001 transcript:CDP13868 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGVDANILLDYIEFQIFPSQNRYEACVCRGKKIETVTSAILEPLLLHSATIKALHSEGFDAKYKLIAPEDRNSSRWFRKSTLMRFLQVIGSSEVTYATDAVKDEISQLEEARKFHLSLYSKGPQNHLETGNAGNSSNNNASIPKAGISSPSSDDSKNELLRAIDMRLSALRGELATAFAQSARATCSNEEMDDLEKFSHHFGATDLRDSLCKLLELNEENSRDEIPSDSQRHRINNKQENNKNCNQPQSDTPVKYSASPAKAAQIERESSSESGELSCSGDEEQPSVQRSRTLIRPASPRRSASPMRRVQIGRSGSRRSTALTIKSLNYLPRERLSCQKDAATESSDEEASEQPSKRSESNVNRISVQDAISLFESKQRDQTVDIQKTKSLLNVKVGANKSVLRRWSAGAGENFSEDPQSTNSDNAVALPSDGVENTGIANELPEEKAEHDLPSEDDAVRPAEVGIKPDSPERGLPDAACIQENAVCSQTAEISENLMDSAEWSRQKEAELNQLLMKMMETKPVKYRTGAPANRKSQNLPSEQRGGFYDHYKEKRNEKLRGETAGKRAEKEKQFRVMQQILDERKAEMASANGSDAGRKHNVKPQKSQKTSSPANHKKEISKPSVVKKASPKASPLPATRKSWPTTASPRPTGVSPAKTPPGTTSAGTTTRRKSQPAPPVPRLSPKVERSQLKPKSVKPNQNDTNKGVKDANEKQQALKKITKSAKPKLQSMDRDAASSAKPSFYNKVTKKSSVVPIESKPFLRKNSGIGSGVSPVSRAKVPPPPEDTLIVTGDANQAEENEIASSSSDQVIEQQEVNLEVNKDHADMEFNIQVNQEKYQEMETPVEVAFTELDGFQNLTDADAALNAQATEESDIPPTAWVEIEEHEEQPAPFSGNVCQIQSPSSVAPVGIPSPRVRHSLSQMLLEESSEPDVVEWGNAENPPAMVYQRDVPKGLKRLLKFARKSKTDTNSTGCSSPSVFSEGEDDTDESKFVTKRSSDNLLKKATLHAKNLGLQNTSSRDKSLAAHGTHARANISKTIGHRLSEKLQEGHISAPVTSSKATRSFFSLSAFKGGKQNEVKFL >CDP09492 pep chromosome:AUK_PRJEB4211_v1:2:21541464:21548250:-1 gene:GSCOC_T00028877001 transcript:CDP09492 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVKSASSVLSRYTANNNGGFISRLKRSLSSLSNSPLTDDQENFVLVEGNGASRTAILNRPSVLNALNTSIGARLQKLYSSWEDDPDIGIVQLKGRGRAFCAGGDIVALYNVIRQGNIEQGKEFFWTIYNFIYMLGTYLKPHVALLHGITMGGGAGVSIPGTFRVATDKTVFATPETLIGFHPDAGASFYLSHLPGYLGEYLALTGDKLNGVEMLSCGLATHYSLAAKLPLIEEQLGSLTTDDPSVIEGSLQNFGDLVHPDPTSVVHWIETLDKCFGHDTVEEIIDALESEASRTKDVWSTSTLKKLKDTSPLSLKVSLRSIREGRFQTLDQCLIREYRMSLQGISGKITSDFCEGVRARLVDKDSMPKWNPPSLELVTADMVDEYFSPVTALEPDLELPTKLREAFL >CDP07674 pep chromosome:AUK_PRJEB4211_v1:2:24569030:24576769:1 gene:GSCOC_T00025005001 transcript:CDP07674 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFRSVSLLSKLRSRAVRQPNLSNSVRWLQIQTSSPDLDLRSQLKDLIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKLLPSAKPSGEPMPEGLLWLLLTGKVPTKEQADSLSEELRSRATIPDHVFKTIEALPVTAHPMTQFATGVMALQVQSEFQKAYEKGIHKSKFWEPTYEDSLNLIAQLPTVASYVYRRIYKNGQTIPMDDSLDYGGNFAHMLGFDNPEMKELMRLYVTIHTDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENITKEQLKDYVWKTLNGGKVVPGFGHGVLRKTDPRYTCQREFALKHLPNDPLFQLVSKLYEVVPPILLELGKVKNPWPNVDAHSGVLLNHYGLTEARYYTVLFGVSRAIGICSQLIWDRALGLPLERPKSVTMEWLENYCKKAAA >CDO97668 pep chromosome:AUK_PRJEB4211_v1:2:20873974:20879380:-1 gene:GSCOC_T00015075001 transcript:CDO97668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 6 [Source:Projected from Arabidopsis thaliana (AT5G49820) UniProtKB/Swiss-Prot;Acc:Q93YU2] MKLKHPSSSTSKTLSATTSSQDARLLVRETLRISANLASAPRPALPPPPISAAADGNVATFGLVEEQFLNSSLRLICREEIDGRRWEYFADLDNSKQFRKNSIRAVSLHSRQAPGQELMAFIRSYVVPEGFPDCVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLSSVGVSENRVAPGAVAINWILKDGAGRVGKMIFARQGKKFDYDLKQLRFAGDLLMELGAGVELATAAVPQLFLPMACAANVVKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLLGTGLSIMIAKRNPSLVATFGLISLGYLMSSYQEVKSVVLHTLNRARFTVAVESFLKTGRVPTLQEGNGMENIFNFPWSKNRPIILGSRFKDAFQDPNSYLAVEPIFERERYVVTYNPSKGGIYALLKDQAKSDDILKAAFHAHVLLHIIRSYNENQSSSSKTEGRVSVPSSASLQGHVAESYKMVLALYGPFKSKAKEQGWVMSESLLNPGRARLCELVK >CDP15175 pep chromosome:AUK_PRJEB4211_v1:2:49864644:49869400:-1 gene:GSCOC_T00042780001 transcript:CDP15175 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRWYSGKQEMRVRDRREDEAHQASVLEDLADDFRLPIDHRPTENVDLDGVEQASLDTQLTSSNVGFRLLQKMGWKGKGLGKDEQGIIEPIKSGIRDPKLGIGKQEEDDYFTAEENIQRRKLDVEVEETDELVKKREVIAEREQKIQTEVKEIRKVFFCDLCNKQYKLAMEFEAHLSSYDHNHRKRFKEMREMHGSSSRDDRQKREQQRQEREIAKFAQMADAQKQQQKHEEPGSNPVSAAVKSATALADQDQRKALKFGFAAKGSTSKNLVKSATKKPKVPVASVFSNNSDEE >CDP15184 pep chromosome:AUK_PRJEB4211_v1:2:49790053:49794232:-1 gene:GSCOC_T00042795001 transcript:CDP15184 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEINRLHKSLEDRHGQLRASASTSEKYLKELDDLRSQLSATQATAATSAASAESAQMQCLVLLKELDEKNNSLKEHESRVNKLGEQLDLLQKDLQAREFSQKQLKDEVIRVEQDIMQALAKAGANKDCELRRILDEVSPKNFEKMNKLLAAKDEEIAKLRDEIRIMSAHWKLKTKELESKLEKHRRADQELKKRVLKLEFCLQEARAQTRKLQRMGERRDRALKELRDQLATKQQNIPVSIDKQNFWETSGFKIVVSMSMLVLVLFSKR >CDP08711 pep chromosome:AUK_PRJEB4211_v1:2:53621427:53626682:-1 gene:GSCOC_T00027775001 transcript:CDP08711 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVKSSESAVSKIVNFAEEAKLAREEIKPTSHAVLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHNIKYSGTVQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILWLYREKTGNEDAELTPVLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEKSPYQYRGMFHALATVLREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLVKAKPFGLVEDSELGVITRLACGAAAGTVGQTVAYPFDVMRRRMQMVGWKDAASVVTGDGRSKAPLEYTGMIDAFRKTVRHEGFKALYKGLVPNSVKVVPSIAIAFVTYEQVKDLLGVEIRISD >CDP08726 pep chromosome:AUK_PRJEB4211_v1:2:53518238:53521025:-1 gene:GSCOC_T00027797001 transcript:CDP08726 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPSRVGSILRQILLVWLILMAMSARSAEAKCAFKAIFNFGDSNSDTGGFWAAFPAQSPPFGMTYFKRPVGRATDGRLIIDFLAQALGQPFPSPYLQSIGSDFRHGANFATLASTVLLPNTSLFVTGISPFSLAIQLNQMKQFKVEVEKHHRTHAKGAKLPAPSIFGKSLYTFYIGQNDFTSNLVSLGISGVKQFLPQVVSQIAYTIKDLYSLGGRTFLVLNLAPVGCYPALLVELPHNSTDVDEFGCLISYNNAVVDYNNMLKEALTNIRKDLPKASVVYVDTHAVLLELFQHPKSHGLKYGTKACCGYGGGAHNFNQQVYCGNNKLVNGHNVTATACSDPQNYVSWDGIHATEAANKLTAHAILNGSYSDPPFPLHKFCDIQPIG >CDO99709 pep chromosome:AUK_PRJEB4211_v1:2:9577651:9580726:-1 gene:GSCOC_T00029382001 transcript:CDO99709 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPRVIALACLHDTSSRDTYFSGQYIKKSGDSKVEFSEEEEDLIIRMFNLVGERWSLIAGRIPGRSAEEIEKYWNSRHPTSQCSRNN >CDO96987 pep chromosome:AUK_PRJEB4211_v1:2:15544524:15547765:-1 gene:GSCOC_T00014193001 transcript:CDO96987 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 9 [Source:Projected from Arabidopsis thaliana (AT4G34700) UniProtKB/Swiss-Prot;Acc:Q945M1] MSLGSASYLARRAAQKERVRILYRRALKDTLNWAVHRHLFYPDADALREKFEANKDVQDLETIDRMIAAGEVTYNKWRHPDPYVVPWAPGGSKFHRNPTPPSGIEILYDYGREDND >CDP15823 pep chromosome:AUK_PRJEB4211_v1:2:44215838:44217283:1 gene:GSCOC_T00016690001 transcript:CDP15823 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKHSNRKFHSRPKLSLLKCSTIVAAFLFLASFIYFFSPLADFAKSWSREVHFKGDLREAKFPWNKLCFGQANEKLKLAVFSKSWPIGAAPGGMERHASTLYTALAAKGHEIHIFTAPSDRRAHEDIHQGNLHVYFASNDHGSVNCSLAFDIFNRENKMNEFDYVHTESVSLPYWRARVVPKVAVTWHGVWYEIMHSKLFQELLSNPKEQLPGPMTELQEAMPRLLNEIRFFSIYKQHICISDSAGEVLVNIYQLPQRNVHVILNGVDETKFTPDPMAGAVFRERYGVPSNVSLVLGIAGRLVRDKGHPLLHEAFAKITKRHPGVFLLVAGSGPWGRRYAELGKNVKVLGALEPSELSHFYNSLDVFVNPTLRPQGLDLTLMEAMHCGKPVLTPNYPSITRTVVLNEEFGYTFSPNVESLVEALESAIKDGSRELQRKGKLCQRYAVSMFTASKMASAYERFFLCMKNSRYCQYPLTSDC >CDP05006 pep chromosome:AUK_PRJEB4211_v1:2:830608:832096:1 gene:GSCOC_T00019908001 transcript:CDP05006 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGRKGRGYFTWGRWGFLHLYTNRDNWPEWSRRKRTFQRRRNGTEKLKRSWLGWVFWGFTHVSGIYSVGISLRTLQPKPHSFASLNSIQTNKDIFTYPSLQ >CDP09438 pep chromosome:AUK_PRJEB4211_v1:2:22365570:22366143:-1 gene:GSCOC_T00028805001 transcript:CDP09438 gene_biotype:protein_coding transcript_biotype:protein_coding MWCYPRYPYLQKCQLRQLKLRSLEESTLSKNKERESLWNGTSGSHSPFFFGSKHLVPILGQHIVVQ >CDP07767 pep chromosome:AUK_PRJEB4211_v1:2:26127014:26127530:1 gene:GSCOC_T00025142001 transcript:CDP07767 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVEMNNSCKVGEVVKCTGMVEVNSRHMVEVGERCTCKGVVGVNNRRMAEVGVKNRRTVEVGAAVNCKHMVEVAVTCRCKVGMDSNMVVACTYRVVTCTYRKEVEEKHSPQVAKHCKH >CDP05168 pep chromosome:AUK_PRJEB4211_v1:2:2116152:2123040:1 gene:GSCOC_T00020122001 transcript:CDP05168 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWGKSSSKEAKKKPTRESIIDTLHRKLKFPSESKSTGRSGGSRRRSSDTFSEKGSQSRAESRSPSPSKHVSRCQSFAERPQAQPLPLPGLRPASVLRTDSGISSSGKPKVEKSSKPSSFLPLPRPACIRHITDSAELDEELVIASISSECSIESDDQTDSRQRSPLESDYELGSRTATGSPSSMIIKDQSPVALKSPRGSPGAVDLLTHKSVLSSPPKRRPLSSHVPNLHVPCHGAFCSAPDSSMSSPSRSPMRAFGNDQVTGSGFWLGKPYPDLPLLGSGHCSSPGSGQNSGHNSMGGDMSGQLFWQPSRGSPEYSPIPSPRKTSPGPSSRIHSGAVTPIHPRAGGGAHESQTNRSDDGKQQSHRLPLPPVTISNSSPFSHSNSAATSPSVPRSPGRAENLASPGSRWKKGKLLGRGTFGHVYVGFNSESGEMCAMKEVTLFSDDAKSKESAKQLGQEIIMLSRLRHSNIVQYYGSETVGDKLYIYLEYVSGGSIFKLLQEYGQFGESAIRSYTQQILSGLAYLHTKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATAKPPWSQYEGVAAMFKIGNSKELPAIPDDLSEEGKDFVRQCLQRNPLHRPTAAQLLDHPFVKNAAPLDKPLASTDPTSVVVNGVKSPGIEHGRNLPTSESERLAIHSSRVSKSNFHSSDIHIQRNISCPVSPIGSPLLHPRSPPHLNGRMSPSPISSPRTTSGSSTPLSGGAGAIPFQHLNQSTYLQEGFGSVPKTPQSPYINGPLYWDSDMFRGMQAGSAFRDLTSSENDALSKQFGRPVFGELHDGQSVLADRVSQQLLGDPVKLNPSLDLNSRSRLTGRTNGV >CDP09474 pep chromosome:AUK_PRJEB4211_v1:2:21845042:21846511:1 gene:GSCOC_T00028853001 transcript:CDP09474 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTEDQPPKFKHYCRICKKGFMCGRALGGHMRAHGIGDEGGSLDDDDVASDWEDKFGGADRTCRRMYQLRTNPNRLKSCRICENCGKEFASWKSFLEHGKCSSDDAESLVSSPGSDGEYEGERKGCQWSKRKRSLRTKVGSFSSAYPSSEDEDLLLARCLVDLANARFEVEPEESCASASKEEERRINPVTAYFNTPLIASRVPLDKPKGASKGLFECKACKKVFNSHQALGGHRASHKKVKGCFAAKQDQQDDSSLADDDVTIHDEFFPSKSPSSLQFEQGPTMAGASRRKSKVHECTICHRVFSTGQALGGHKRCHWITSNSQETSSLVKLQFNHDHMDHQILHQGPPLINKSETLDLNIPATQGDHELSGILRPQNPLSFEVSTDIRLHTWTPNLGAETKCDSQNCHHQNDDDQGNENDRHNDSNNINKNNINCSNGPIENADDEADSKVELAKLSDLKDINLSGNSSQWLQVGIGSTARVNTNP >CDO99693 pep chromosome:AUK_PRJEB4211_v1:2:9783976:9791197:1 gene:GSCOC_T00029366001 transcript:CDO99693 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKATMTLKDFHGGSIPSDLPLPSAPGVMVRPSERGSFDRQAAWGNPLGRPDHRLRPGSAGTARNFDDKTTFLSHNSNIGRHFDEDERKPLDGVSGPRRTVSDESLRALPSHVVEPKTDYSASGRVPSRPSSTPGLQYASGITSSSYAGRFSETNHAGVGSQGFGPSGGVGVNFQNVSGSGGQMVSGPHPNAWGLRKEAAGVKEPAAATWSAPDAAAKLAHASALEKVSSGRWHSKQHNSSQPDVEVIRQSEVESEFHLRDKDVYSKNTYSSRHLVGGTDYHEAALARQVEKSLIVDDGIRGGSKAIPIYERARAPVTLEANERNPLMNANDFQPLHHVGKSGGAESQSAVHSELSERQKLKLLPRSKPLETQELPLEYKPQPTVPVHVEINNRSHEMQIPLKAGLVGSESGNPIVERPKLNLKPRSEPLDPAEDGTESKRNTLFGGARPRELVLKERGIDNVAVHDDDLALSPQRVKQDVLKTDRVSVHAASTRYNDKPGSIPIEHRTGKNSDGRDHRLEVERTDVQKRNWRGENWRNKREFEKQHPPHQHLQPQQQERPPSPETWRKPVEHPKAASADAPGLRYGKAASAVELAQAFSRSISDSPTPDRFSGQKGPPSQGQMPFSRLTGPTPRPQINGY >CDP09497 pep chromosome:AUK_PRJEB4211_v1:2:21496996:21509867:-1 gene:GSCOC_T00028882001 transcript:CDP09497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MDGVSNGAFLRGEESGGLAAEWPEMEWLKAKGYCSMETLVANRLEVALRLAWLNCNNSGKKRGVKLKEKINGAGVAANVFGRKKGCIDWWTKLDEAMKKKVFQLVVGKAAKLLTAETLKGKDVLENERMLNPNTEQSLAYNTFLSREENDGCPPIPDSKVECRASVSVSKYPFQLKCMLSILILLEDISSMLLVFQHSGYNEDKLFFSSLDSVSTTSDLILRKLRDILMVISLDCTKFELLEEGKMSSSTKKQKEKLGTSNHKKKGKNRNLKKLHSAARSSELDNSLPKPAKENGTGLPHKRSYNLSPSSKVSEKVQERNPSTECLVSRIDMVARNNGSASRKSKKQRNKLRSSSTHSSVEIESCQRREVEAVLVPSNCQNGNAESDCIAEDPIIDNAHEGIGNDKHEPNSSNSTVPKPLNSGNSEGTNNPGFRDCQNSGIVVVTNGAVASLESVKGNVDCEASPSMSTRYLIDDLGSVETKRAKSKQQHEVDRKSILLTKLSKDSNVNGKATPFREQGTSGLYDIGIINSSAYLSYEWPTVAPIQIPPCNSHLPAATDRLHLDVGYNWQNHFHQSFVPAVHRVRNSPVETGCSGITSQPLPMSLDWPPMVRGVNRIAPSVACNYDSGDVMDFSDAINLHELADDQDSQWMSEEELELHAVSGMDYNQYFGGGVMYWNPSDFPVSSFSRPPSLSSDDSSWAWREADMNRAVDDMVGFSSSYSTNGLTSPSAASFCSPFDPLGPAHQAVGYVLSENEIGGKVVPSSSTIAEVVTDDNISGSFSNLSGDCEAKTGDSLQYPILRPIIIPNIPREIPKSDFKRNLDRKSPCIPPNSREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRRHRGFPSVRSGSSSPRHWGVKGWSHDGINFEEACIRMDGSEVVWPSWRNKSLQARKLTQPVPGALLQDRLIAISQLARDQEHPDVAFPLQPPELLNCSNHKASLSVIHGLLHDEIDSFCKQVASENLLWKPSINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKIVENTAIPIIMLVVEVPQDLIASSASNGQASKEESVQKTSKENNSFQADPTGLATGASNKCCHMKYEMEKDVKSVRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKKFLADRSLDQSYSGGLSSYCLVLLIMRFLQHEHHLGRSINQNYGSLLMDFLYFFGNVFDPRQMRISVQGSGVYINREQGYSIDPIYIDDPLYPANNVGRNCFRIHQCIKAFADAYSTLENELTCLPNSDELNTKPACKLLPKIIPSIGLSGGS >CDO96822 pep chromosome:AUK_PRJEB4211_v1:2:13492376:13493114:-1 gene:GSCOC_T00013967001 transcript:CDO96822 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLAKWLHSPSCFLDMKQRLNIMIDVACALHYLHNGYSTPVVHCDLKPSNVLLDQDMIAHVSNFGIAKLLNQEDGITHTATIATFGYVAPEYGLEGLVSTKCDVYSYGIMLMEVFTRTKPNDARFNGNSSLKDLLDCISFIMEIALDCSRESSRERSNMETVLREMNNIKSKLL >CDO97022 pep chromosome:AUK_PRJEB4211_v1:2:15892627:15893948:-1 gene:GSCOC_T00014235001 transcript:CDO97022 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSALSDNAQSVELGLDDCQLDRFAAVANQLADAAGQVIRQYFRKSFEILDKEDLSPVTIADQAAEEAMVRIIQENFPSHAIYGEEKGWRCKEKVADYVWVLDPIDGTKSFITGKPLFGTLIALLYQGKPI >CDP19514 pep chromosome:AUK_PRJEB4211_v1:2:21335305:21338780:1 gene:GSCOC_T00002342001 transcript:CDP19514 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLKVAVVGAGVSGLVTARELQREGQQVVVYEKSNQIGGTWVYSPQVESDPLSLDPKREIVHSSLYYSLETNLPRRLMGFSDYPFTIRKNGELRTFPGHQEVLQFLNNFVQDFGLLDFIRFNTEVVRVEQQNDQWVVESRRSTCDELSSSEEIFEAVVVCNGHYTIPKVADLPGIKSWPGKQIHSHNYRVPEPFRDQVVIVIGAGPSAMDIGQEITKVAKEVHLSSRSPEIKVSKLEMFNNLWQHSKIEYCYENGLVAFQDGTSVAADIILHCTGYKYDFYFLRTNDTVTIDENRVGPLYKHVFPPVLAPGLSFVGLPYRAVTFFMIEVQAKWVASVLSGKVVLPPKEEMLHDVEQHYRLLEENRIPKHHTHRLPLDPVIKVPDYSWVFVISTRFTTMQVFEYLMIISIWQ >CDO96890 pep chromosome:AUK_PRJEB4211_v1:2:14452596:14454245:-1 gene:GSCOC_T00014062001 transcript:CDO96890 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAINNLPGGKTDVSFPDIHNMVSLYFLTLRNCSLTGPIPDYIWRFTNLFYLDLSFNNLTGKIPPDLNQSQPQFVFLRSNKLNGTVPGWLTSLSNSGSYV >CDP05137 pep chromosome:AUK_PRJEB4211_v1:2:1883724:1884549:1 gene:GSCOC_T00020082001 transcript:CDP05137 gene_biotype:protein_coding transcript_biotype:protein_coding MNKILIGAEPLPLHCPRTASWNQRNPILHSKTLNHATFQLKSWRLHAEAKGFNRAPANMLQQKDRENAAAKSYRGKNGEDDDDDDDDKIPEAVWERIMFRILFYVGVPLVTGVALLQVFSIMKEQNLWDVPIWLPFLTTFLTFGASALGIAYGTLSTSWDAQREGSFLGFEEAQKNWVEIWKEEEEEE >CDP00126 pep chromosome:AUK_PRJEB4211_v1:2:5996187:5998256:1 gene:GSCOC_T00029927001 transcript:CDP00126 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLFLSFLVLIFLPSLLLSQSVEFLHAGFKDAGTNVTLNGAAEIEDSGIVKLTNDTEKLLGHAFQSNAILFKNSTTGKVFSFSTAFAFSIVPQFNSVNLGGHGMAFAISPTKEFKGAFSRQYLGLVNSNDNGNSSNHFLAVEFDTVQDLEFKDINDNHVGIDINSVISNASVPAGYFADGNSTILDLNLKSGSIIQAWIDYDSSKNQLNVTLSLSSSKPNSSILSLDVDLSPIFEDYMYVGFSASTGLLAASHCVFGWSFKINGQAQSLDLPSLPKLPLPQKSHVKLILGTSISAVAFMGFAVLLSLYIVRRIKNMDVIEDWEHSVGPHRFPYKELKQATRGFRDKELLGFGGFGRVYKGTLPNSNIQVAVKRINHESKQGLREFISEIASIGRLRHRNLVQLLGWCRRRGDLLLVYDFMPNGSLDKYLFDEPEMILTWEQRFKIIKGVASGLLYLHEGWEQTVIHRDIKAGNVLLDSEMNGRLGDFGLAKLYEHGSNPSTTRVVGTLGYLAPELTKTGKPTTSSDVFAFGAFLLEVVCGRRPIEPKALPEELVLVDWVWDRWKKGAMLEAVDPRLGGLYDEVEAVVVLKLGLMCSSNSSERRPSLRQVVRHLEGEVVLPDFAAAPNEYNAKKGGGHGGEFEDFVHSYPTSSFDKVSAWSSADYADKDDLEAGSISPLSASGWKGKR >CDP08624 pep chromosome:AUK_PRJEB4211_v1:2:54381281:54387294:-1 gene:GSCOC_T00027644001 transcript:CDP08624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MAENAEIDDRVDFDDDNYIEEEDDVEEQMEDEGAGEGAEEIGEDQDEQHEDLQPGDSGKEQVFEGVRNDLGAELAENVGNPNSSNDVVENDEHAELLGLPPHGSEVFIGGLPRDVSEIDLKNLCESIGEIFEIRVMKNRDTGESKGFAFVAFKTKDVAQKAIEVLHNKEFKGRTLRCSLSETKYRLFIGNIPKVLTDNDFRKVIDDTGPGAETIELIKDPHNPNRNRGFAFVEYYNNACADYSRQKLSNTSFKLEGNTPTVTWADPKITPDHSAAAAQVKALYVKNIPENTSIEQLKELFQQHGEVTKVIMPPAKSGGKRDFGFVHYAERSSALKAIKETEKYDINGQVLEVVLAKPQSEKKFDVAHHSPSSAPLPNFIPPSGYGGFPMNPFGQVAPGYGAVAGFQQQPMIYGRGPMPAGMQMVPMVLPDGQIGYVLQQPGIQMPPVRPRRNDRGNGAGRGGTSASSDDTGRNRRYRPY >CDP14009 pep chromosome:AUK_PRJEB4211_v1:2:5047394:5050329:1 gene:GSCOC_T00039173001 transcript:CDP14009 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVARDVVAVFGLAAVAAYFNNWLVWPLYWFAQGTMFWALFVLGHDCGHGSFSNNPRLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKTFKSLDSATKKLRFTLPFPLLAYPVYLWGRSPGKTGSHFDPSSDLFVPSERNDVITSTVCWAAMAALLVGLSFVMGPVQLLKLYGVPYWIFVMWLDLVTYLHHHGHDEKLPWYRGKEWNYMRGGLTTIDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAARPVLGKYYREPKKSRPLPLHLLGVLVRSMRKDHYVSDTGEVVYYQSDPQLSGSRKLN >CDP08565 pep chromosome:AUK_PRJEB4211_v1:2:40646387:40648339:1 gene:GSCOC_T00027539001 transcript:CDP08565 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRTQFERLRQKTREGENQERLRQETREEEMGERLNDAAVMGDAERHLRDAAVKGDAATLKRLIREDPLLLDKVSLNCQDINPLHMAARLGHVEFAQEILKVNREMCLACDRLGRNPLHLAAIKGRVPVLQQLIRAQPLAAREKVAGGGTVLHLCVKYNQLEALKFLLQTINDYEFANLKDGDGLTLLHLAICGEQDETIKYLLDGNKVDVNATNANGNTALDLLHEEANSEIAQYLKDAGAERAKKDIAGSDWLSRKRETLMVVASLIATMAFQAGVSPAGGVWQDDSLPGAEPHTAGKAVMAYKHPRYYRNFIRTNTVAFVSSLSTILFLISGLPFRHRFFMWALMVIMWLTISAIATAYGISIAIVTPKDHRKQLSHVIETAVTVWCGVMALLLLGNTMRLVNRWLRRRGIDLFKKVRHRNRDVQPQTNHNQESLQQIHCS >CDP13837 pep chromosome:AUK_PRJEB4211_v1:2:33615509:33615946:-1 gene:GSCOC_T00038932001 transcript:CDP13837 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQATVVPEPVLKKQKRNEEWALAKKQELDAAKKKNLANHKLIYNRAKQYAKEYEEQQKELIKLKRGARLKGGFYVNPEAKLVFIVPIRGINAMHPRTKKILQLLRLRQIFNGVFLKVNKATVTQHSTCFTKLSHMWHIGTPI >CDP13849 pep chromosome:AUK_PRJEB4211_v1:2:34034270:34036134:-1 gene:GSCOC_T00038963001 transcript:CDP13849 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLSKLTVAARGHGHSTRGQAQADQGIVINMESLGGQVMQFNTGQLPYVDVSAGDLWINILHESLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVHQLEVVTGKGDVVNCSEKQHADLFHSVLGGLGQFGIITKARISLEPAPKMVKWIRVLYSDFSTFSRDQELLISAEHTFDYIEGLVIINRTDVLNSWRSSFSPQDPAQASKFISDGRTLFCLELTKNFNPDEVDTANKEVENLLSKLSYIQSTLLMTEVSYVEFLDRVHTSELKLRSKGMWDVPHPWLNLLIPRSKIKYFAQGVFGNILKDTKSGPVLIYPVNKSKWDNRTSFVFPEEDIFYMVAFLFHAVPSSAGTNGLEHFLTLNKRILDFCAVAHLGVKQYLAHYTTQEQWQAHFGQRWEVFTQRKSTYDPLAILAPGQRIFQKRASIL >CDP08881 pep chromosome:AUK_PRJEB4211_v1:2:52084764:52089797:1 gene:GSCOC_T00028007001 transcript:CDP08881 gene_biotype:protein_coding transcript_biotype:protein_coding MATWANLPYYLTLKTKKTSLFYMAVTTVLCSLSYLIGIWQHGGLKIAAKSPMATLLELPCSNLNKNFTTIGGSSSVTLDFTARHNADDLVPLSSSARVEQIPPCKPEFSEYTPCEDVNRSLKFDRDRNIYRERHCPEKDELLKCRIPAPHGYKTSFRWPASRDMVWYANVPHKHLTVEKAKQNWVQFKGDRFKFPGGGTMFPRGADSYIADIGKFINLKDGSIRTAIDTGCGVASWGAYLLSRNILSVSFAPRDTHVAQVQFALERGVPALIGVLASIRLPYPSRAFDIAHCSRCLIPWGKFDGLYLIEVDRILRPGGYWVLSGPPINWESHWKGWNRTAQDLKKEQDGIENVARSLCWKKLVQKNDLAIWQKPSNHIHCKIYRKAFKKPPFCQAQDPDKAWYTKMEACLTPLPEVSETKEMAGGLLAKWPERLTAVPPRIVSGSLDGITAATYDKDTYLWKKRVEHYKVLDSQLAEPGRYRNLLDMNAHLGGFAAALVNDPLWVMNVVPAEAKLNTLGAIYERGLIGTYQNWCEAMSTYPRTYDFIHADSLFSLYQDRCDMEDILLEMDRILRPQGSVIIRDDVDILVDVKSVIDRLQWDSRMVDHEGGPHVREKLLIAVKQYWTAPASSEDQDGSRTA >CDO97457 pep chromosome:AUK_PRJEB4211_v1:2:19189673:19195194:1 gene:GSCOC_T00014804001 transcript:CDO97457 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDEELELLLGEIPHAISFNHHHHLRHGHGSDHHHHNLDESLLAQKMTGMMYRMGMYDDVTLDHNNKHTCASPVSGFSLHSDGSSSSLFSGGHSFSDNGSPTTPPVEELQTHSNGGTSTQSHYSDRLWMENKSPDSYFGRKADDNVFDELRLLRNLSRMYVSKEPEDVKLLNLSGRQIFDRVSGGNIGMDLDQHGLFSQYYGRGISDSGRIQCPVPGSPLSLDANLLGSRYSLSQSVPHGSLEALSGEQSLIIQGESLNYALNRVQERSRHNKGSPYEADVNAHQEKQSLIDGCPQIAGNQVRAQSLRLCCPFSLPSKCNSLGEAQGYIYYIAKDQHGCRFLQRMFDEGTPQDVQVIFTEIIDHVVELMMNPFGNYLMQKLLEACNEEQRMQILLRVTAKPGELIRISLNTHGTRVVQKLIETLKSRQQTSLVISALEPGFLALIKDLNGNHVVQRCLQCLSYEDSKFIFVAAAKYCVDIATHQHGCCVLQRCISHASGEHRENLIAEISANGLLLAQDAFGNYVVQFILELKIPSATTKLVCQFEGNYVHLSTQKFSSHVVEKCLAVCSNEVRSTIIHELLSATHFEQLLQDPHANYVVQTALKVSEGPLHDSLVEAIESHKAISRNSPYSKRIFSHKLLKK >CDP00009 pep chromosome:AUK_PRJEB4211_v1:2:7027799:7035246:1 gene:GSCOC_T00029763001 transcript:CDP00009 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVLDDFPFWNFRWVKHYSLSRAKNSTSPPKAKGKQATVRLIDTSDSESGYKKAFLRGRKMRTLVLVGSANFTYTWCPLARRPFFTLSVRHRPKLKFSTSSDKACRSYIDEECDEDFLPWLEQKACTKISSTLSIGKSAHGRALYASKPIQAGDCILRVPYSVQLAPDNLPPEICSLFGDEVSSVSKVALLLLHEQKMGQKSEWAPYIRRLPQPFEMHNTIFWSDDELEMIRQSVIYQETIKQRNHIEKQFMAIKPATDQFPQCFEDVSLKDFAYAHALVTSRAWESSRGVSMIPFADFLNHDGTSEACLMSHEGKQLSEVIAERDYSPGDQVLISYGKFSNSSLVLDFGFTVPYNIYDQAQVELNIPQHYHLFQMKLELLQRFKAPAIKDVNEFSSSEKLFTIKEVKFASKKGRGIPQSFRAFARVLCSNAQELSDLESEAAQSDGRLARSPLKNKSREIEAHELIHSKITEQINEYDACIKSLGPSTSQNLVTKHALRRQMAHDLLTGELRVLKSASAWLKKYCATLLEG >CDP15538 pep chromosome:AUK_PRJEB4211_v1:2:46315409:46322296:1 gene:GSCOC_T00015406001 transcript:CDP15538 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSLAFLSLLIFLFCIHARIIAASSHYGYKTYIVYVSESAKPPFNTSHHDWHSSILRNVYYDLPKTKLLYSYEHAIHGFAARLIPSQAEELRHQPGILSVIPDSISQLQTTRSLQFLGLADSSGIWPNTNYGEDIIIGILDTGIRPDHPSFSDAGLSPVPSSWRGGCETAVDFPSGSCNRKLIGARSYYGGYEESMRRSLEEMGEFKSPTDYDGHGTHTASTAAGSVVRSAGFYEYATGEAKGVAIKARIAAYKVCWRGGCFDSDILAAVNQAISDGVHVLSLSLGRSPARPYDEDPIAIAAFHAAERGILTSASAGNSGPSYGTVTNVAPWILSVGASTIDREFQADVVLGDGRTLLGVSLYHGNPLVNALLPLIVVCDVGGDTKGVAKGYAVHLAGGVGMILANTEERMEELMLEAHLIPATKVGITNGNIIKSYIRSQFAPTATIIFRGTVAGFTIWAPRVGVFSSRGPNVITQEILKPDVIAPGVYILAAWSKFANPSGFDGNIDKRRVDFNIISGTSMACPHVSGIAALLRKARPYWSPAAIKSAIMTTANNVDNNGRNIVDRATDVASTPFAHGSGHVDPNKALNPGLVYDMGTRAAIKRYQLKLLGDYVQFLCSIGYTPARIAVFVSNPPESCRPGITPGDLNYPSFSVVFSRQRTVVTHTRRVRNVESTAAAVYTVRWNAPKFVEVKVTPYKLAFDQYSDTLTYQVTFTSAAIETIGDTTSAFGYLEWIDGQQHIVRSPIAVLWYRDSWVDAM >CDP15849 pep chromosome:AUK_PRJEB4211_v1:2:44840904:44845320:1 gene:GSCOC_T00016736001 transcript:CDP15849 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRVDSVLHNLELLHNNLEKRDSFETGFSNLREDFEALKLNLLFLKPVLLCARNWSSDQLKVRLRAFLSKIEAPVNRSGMDIKSLNLRSKSSFNLKSVVTALKPVVSNLLGNIKSFKQDIIDIYETLSSCSSSESGSCLRDYELVDFIDSVLQNLIDLLSRRYFESMEDYNSALHAHIEALEDKLTFLKNFIGFAKFLGVEERELGDLLAHVQVVALNAARLSYKCLFYKEDEEMHDPRMCSIISELLEKINPVDLQVYETYVKVLKAPKSPESLLTTQTDMQILKNFNDSLISSLWELLWCRTSFAVSVKDQMKRLYEGLRFLRSILNEAQENMNELNDKIVAVISEAGIVIFSLFLNGVKEVEVDSLVVGESADCCAMLVTTNNSVKLIVDVSGSRISGSLPHYHSFRGQEVRKTTRFKPSRGRAPITREIVVGLEDEAQKVINRLNRGSTKLQIVPIVGMPGLGKTTLAKKVYNDPRVQGHFRIILWCTVSQEYNLKNLLVQILSSVGIQGRVNEEHKVLDEIDLMLYLKRLLLRNRYLVVLDDVWDIGVWHGLRHSFPDDSTGSRILITTRESSVASEVRIGVDSVEHHNLRELTKEESWELLQKKVFGEADCPQPLRMLGEQIARNCKGLPLTIVIIAGILSTIEDEAWSDVADRLTSAIVYDQCKYILELSYRHLPHYLIPCLLYFGAFREDQEIETEKLTRLWIAEGFVSVEELVQDTEPKRLEDLAEEYMMDLIGRNLVMVAKQGHTGGVKTCRIHDLLHEFCKDRAKKENFLQVLRGYGELSTFNEHPYLERLSIWSKVEQFKKSRLFCPQLCSLLLFSQIEESDSFMADMSFVFGIYKKLRVLDLEQIFLRHKVFPREVEALVELRYLGVQGAMSSIPSTIDKLSNLETFVVIAESGTVSFPDTIWNMTKLRHLHVVGWNVSCSLPSENLENTSDLWNLDTLSTLTVTLDDRAANIMRKVPNVRQLKIQLSAAECSVGCCNLSHLSSLEALEVSAESLPSNPVEFSFPLNLKELVLGGLHLPWSKISVIQELPELEVLILLGESFVGERWELTAGGFPKLMCLSLENLDVVKWEDATGGDDVFPYLRKLRLIGVLELKEVPSCLGQSESLEEIEVRDCNDSLKESVRKIWEDMGYEDLKIIID >CDO97384 pep chromosome:AUK_PRJEB4211_v1:2:18606207:18608024:1 gene:GSCOC_T00014710001 transcript:CDO97384 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQTLDIVKNEIPIEEESVVISEDTNAGLVLVDIINGFCTVGAGNLAPREANRQISQMIDESARLARVFCDNKWPLLAFLDSHHPDRLEHPYPPHCISGTDESNLVPALRWLEKEPNVIIRRKDCYDGYIGSFQEDGSNVFVDWVKKNNIQVLLVIGICTDICVLDFVCSTLSAKTRGLLAPLEEVVVYSRGCATFDFPASMAKDVLAHPQELMHHVGLYMAKGRGAKIAREVSFGAVKNA >CDP07740 pep chromosome:AUK_PRJEB4211_v1:2:25590297:25590971:-1 gene:GSCOC_T00025092001 transcript:CDP07740 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELNDEISGSNPFTFPVAIIYYFSQPLGMKTWIRALLYCAIAPTILAGRVDPILFEAINASEHAIFFFARAPQIVENFKVKTRVLLSLISPSCSGFCYRCGDKWYHPVSDAGYIRSHSRRKRRKRIRFFLALPDSKHHCL >CDP05020 pep chromosome:AUK_PRJEB4211_v1:2:908689:914656:1 gene:GSCOC_T00019923001 transcript:CDP05020 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYYMVFGALGAVVAALELSKANKDRITTSQAFTSFKNNYLLVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACATYCITYILSCITKHSPQYKVLMLGRVLGGIATSLLCSGFESWLVAEHFKRGFDQQWLSLTFSKAIFLGNGLVAILSGLFGNLLVDSLSLGPVSPFDAASCFLAIGMAIILSTWTENYGDPSENKDLLTQFKNAAVAIASDERIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFSTFMLASMLGSSFASRLMARNSPKVEIYMQIVFVISSASLLLPIMTNFLVAPSKVKGGGISFSGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVDAFPITVMFGMCSIFLFVASILQRRLAAIADKPKAEDWTPMKERDAEAEPLNAP >CDP00131 pep chromosome:AUK_PRJEB4211_v1:2:5953205:5957800:1 gene:GSCOC_T00029937001 transcript:CDP00131 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSREKSGQETLNPFKPFIVSNQRNDFLTTSVKGGILAFLFVSAALLVYSVFTSQPPWFICPECPNPTDNISRTACNTEEGPISSPTNITHIVFGIGGSTSTWNDRSHYSALWWQDSVTRGFVWLDKEPDADTPWPENSPPYRVSSDWTRFRYTSSQSAVRLSRIVVDSFRVGLPDVRWFVMGDDDTVFFPDNLVTVLAKYDHRQMYYVGGNSESVEQDTTHSYDMAFGGGGIAISYPLAAELVRVMDGCLDRYYNFYGSDERVWACIKELGVSLTRERGFHQIDIRGDLFGLLAAHPMVPLVSLHHLDHVKPLFPNLTQHESLENLIQAYHMDPAQIMQQSFCYHGWYKWSVSISWGYAAQIYPSLVIAGDLEKPLQTFRTWRSWSNGPFIFNTRPVNPDPCERPAVYYIEGIGEDGNGGTLTSYTRSIAEPENCRGGHQGHAVGIERVLVSALKMDQKEWKSPRRRCCEIKSSKDGTLKVLIRRCNQQESITP >CDP07760 pep chromosome:AUK_PRJEB4211_v1:2:25867749:25868505:1 gene:GSCOC_T00025128001 transcript:CDP07760 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSPSAILSSSSNTTPSSPKPPSKSQLTIPPPKTALTNLTTTLTATALATTILTTSTPPSLAAVSPSNYFIYYGTAASAANYGGYGGNSDKKASAEYIYDVPDGWKERLVSKVEKGTNGTDSEFYNPKKKQEKEYLTYLAGFRQLAPKDAVLNNLALSDVSLQDLIASADSVTSTERQDANGQVYYDYEIEGIGAHSLISVTCANNKLYAHFVNAPAPEWNRDQDALRHLHQSFKTVG >CDO97268 pep chromosome:AUK_PRJEB4211_v1:2:17712500:17713673:-1 gene:GSCOC_T00014546001 transcript:CDO97268 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRILRKGCNENCILRQSLQGIGSPQAQANATVFVAKFFGRAGLMSFLSAVPEPQRPALFQSLLYEACGRTVNPVSGVVGLLWTGNWQLCQSAVETVLRGGVLRPMMNLPAEPQEAVDHDDASEASRLCLNLSARVTAGGRVKRRSATPEESETSTMESCYDYTASNYYQNLKGGEGTKLLRLFF >CDP04993 pep chromosome:AUK_PRJEB4211_v1:2:719570:723207:-1 gene:GSCOC_T00019888001 transcript:CDP04993 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPSDIKEWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFENGRDAEDAIRGRDGYNFDGCRLRVELAHGGRGPSSSSDRRSGYGGGSGGGGGGGGGGGGGGGGGGGGGRYGISRHSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVSRDGEGTFGLVDYTNYEDMKYAIRKLDDSEFRNPWTRTYIRVKEYKGSPSRSRSRSRSRSRSPRRNRSKSLERSASRSPSKSRSASPVKSSRAKSRSRSASPHPARSGSG >CDP15827 pep chromosome:AUK_PRJEB4211_v1:2:44261267:44264845:-1 gene:GSCOC_T00016697001 transcript:CDP15827 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVAESNIFLAGAFLGQDSLTNGDCCGSLSDDRVMCLQHEAGHFVVGYLLGILPKKYRVPSMEELEKDNLAGGKVEFLGFEFLQEVSNTTLPETYFTNRKHNSKEYDGIVSSKVSYASSGSPRLLLDLVSIWTVTDILLYQTLNRFLCVILGGLAAELLMFGYSELLHSDVDQLDRVMKCLGYSTDMANSQIKWAAINTLLILRRHHKATSRVAEAMALGRSIGFCIDAIETDFGTTNGGRHFGSQANTQAKSPFLTKESIKMNKVLTNL >CDO99867 pep chromosome:AUK_PRJEB4211_v1:2:8187361:8194392:-1 gene:GSCOC_T00029566001 transcript:CDO99867 gene_biotype:protein_coding transcript_biotype:protein_coding MISRISATPFHYTFQVSPGQKIIRLHFYPASYRGFENSFDLFSVKAGLFTLLEDFSPSLTADGSGEKYIIVKEFCLNVEENKKLSISFSPSLITESKDNPYAFVNGIEIISMPAGLYYTPDGDLGAPIVGQKNRFYSVDNSTALEMIKRLNIGGSFISSVEDFGMFRRWDEDSNFLLESRVYRMNHPVLSIKHPNMPPFTAPLKLYQTSWKAGGKLKVDQTYNFTWKLPIYMGFGYLVRFHFCVLDAGMADKDKSEFTILINDQMAETQADVIKWSGGTGTPVYRDYVVIMKGDKEGSKCDLLISLQSPDELVLGLLNGVEVFKLSNLKNSLATPNPAIPKRVSAASSLKIHSMFLAFGRSNNVVTGLTIVIILVNVILFENGATESFQLSQHEEPPILEESVVSRPNEVATSKPLQKTASTSNGKDLQSSKKDSALIRKPSKGWLWKAGWNIGKQTKRTHSILPNVSGPRFSLKDIQAAMDISVDHHHFIIDGELRKVYIGHMKQHPELAFSIYQLPIGRRHKEVLDVCTHEIERLSRLRHPNLLSLIGYCHDKLQDQILLIYDHIGFATLQSYLYGSKTTNHLQWKNRLQIGIGVAQGLDYLHKGTRSTIVHHDVRLENILLNEDLRPKILNSGLSKLSPVAHLEKAPSKSELPWLEYLSPEVITSGLQASEKSDVYSFGLVLLELLCCQKTKDFHLCVGNDERYLKHWVKNHIKTKKLDEIIDPSVEWEIASACLAEFLKIAFNCLRVRKAERPSMSYAIEKLKFALQLQEKAETRFQDYKGQEGRNSSLFEVEPMYLNPVFGVTLYLYSYILLSFGDHHVIAFVNGGYKTPATATYDIGDVAINCGSVGNSAALDGREWIGEASSKFMPSLQPRGKSRSSTALQVSSLSVDPVPYTTARISATPFYYTFQVSPGQKFIRLHFYPASYRGFEKSMDFFTVKAGPFTLLRDFSASYAADTSSVKYLVKEFCVNVEENRELNIVFSPSLSSKSRNTYAFVNGIELISIPTGLYHTPDGDLGARIVNLMNRFHVIDNSTALEVIQRLNIGGNSISPIEDFGMFRRWSEDANYLLEPAGVHQVSHLAKMIKYTNMPAFIAPPKLYQTSWKIERDARANKMHKLTWKIPADLGFGYLVRLHVSQFGAEMSTNGQMEFRVLINNHIAEAKANVMEWSGGAEIPAYRDYLVKVKGETEGRNCDLLISLESFDVFVFGLLNGVEIFKLSNLENSLATPIPTFPGRVLPSWKYPKKQYVFLAIGQGNFPVTSMTILIILVNIAVFNLRQMWKEEFHQGKDTQTTTTEASYRCFSLSEIESATQNFNEAFVIGQGGFGKVYRGVIHETSEVVAIKRLKSKSKQGAHEFWTEVGTLSKLRHIHLVSLIGYCNESQKMILVYEYVPCGTLADNLYKNRRNKTAFVPLCWEQRLRICIGAAPNKEKRTLLSFSN >CDP17177 pep chromosome:AUK_PRJEB4211_v1:2:47390918:47393233:1 gene:GSCOC_T00000642001 transcript:CDP17177 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPVSSSWFSELGMEDSFFNDQYDVMDFLDEEFLNANLSPEGNTNSSTFIPVSTCSTTLSAFSAMDAPPISTERPAKQQKSDDLNSSSLGNIPNHHNQPMILTFGNPVMPAEINPQQINLEDDAVSEILKSHGSFVNLEEATRSSQKRKKTGGRTRPASQTYDHIVAERKRREQLSQRFVALSTLVPGLKKMDKTSVLGDAISYLKHLQERVKTLEEQAAKQNMESMVLVKRSQLLVEDEGSSDEMGGSDEQPLPEIEAKLCNKNILLRVHCRNYRGVLVKILSEVEMQNLTVIHTTVAPFGRLALDVTLMAEMEKEFNLTMQELVKSLQSALQRGKQGD >CDO97608 pep chromosome:AUK_PRJEB4211_v1:2:20340388:20341053:-1 gene:GSCOC_T00014994001 transcript:CDO97608 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRARPTSSNQNISSTSDPAAEETSTNAPDARKPPPSPPTPSFYQRALESTAHLANLLPTGTLLAFQLLTPIATNNGSCDAATRPMTLILLLILGVSCFLACFTDSFRASDGQVYYGFASPRGLWVFDYPAASASGIPGDLSKYRLSFIDVVHAVLSVFVFVSVALRDKNVLSCFYPSPSHETEEVLDIFPISIGLICSLLFVIFPTRRHGIGYPVTSGK >CDP04937 pep chromosome:AUK_PRJEB4211_v1:2:246973:248909:1 gene:GSCOC_T00019807001 transcript:CDP04937 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGASSSQRLHRVFKQELDILLRLRHDHIVKLLGYSDDPEESILVFEYVPNGNLQDKLHTAKARRGGKTGAGADGEEEEEGVLSWNSRIKIAFQLAQALEYLHHKCPLQIVHGDIKASNILLDSHLNCKLCDFGSAKMGFASSVLPPFSSSSSSATSSSRMMLGSPGYADPHYLRTGIASKKNDVYSFGVILLELITGREALCSRTGHKLTSIAAPALRDPSKLPQLLDPRLLRGDDPELLEQVKVMASISATCLCDSPALRPSASDILNAIIRHQYSLLPISYSMEERLHGFKISEWSDLRIGTM >CDO97045 pep chromosome:AUK_PRJEB4211_v1:2:16102405:16104690:1 gene:GSCOC_T00014267001 transcript:CDO97045 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENSQNEKLPSSKAPTQAMTSCRKKKNEDATFLEDLKDHIDEFMHASMDEHKTCFQKTIKKMFSMSKVVAERNAETANVESSLPLRTSLSE >CDP15152 pep chromosome:AUK_PRJEB4211_v1:2:50167887:50176212:-1 gene:GSCOC_T00042749001 transcript:CDP15152 gene_biotype:protein_coding transcript_biotype:protein_coding MTESNWRRRELAFLIVYAVAFYAFVIRRSLQLSHDYYTKLYGLRPGWIANRLNDASDAQWRNFRGNLQILTVVFGIFTLVANVLRTYCCLRAKGMAYVWLLISLAYLSYLHGACIIFILTIASANFLLVKIFGRTKYFSYLLWTFNLSFLVCNRVYGGYQFSSFGQHWAFLDNFRGTFRWHICFNFVVLRMISYGYDYHWMDQNNRFDQEKHIQRCSTCTSGKICYMSLQERSVQNDKFSFAVYLCYLVYAPLYIAGPIISFNAFASQLDTPQKNYSSKQVVWYGFRWILSLFLMELMTHFFYYNAFAISGMWKQLTPMDNFIIGYGVLNFMWLKFFLLWRYFRFWSLISGIEAPENMPRCLNNCYNLETFWKNWHASYNKWLVRYMYIPLGGAQRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCLFFIPEILVKSAANTFKVQSVFGEFLLRELNAVAGAVTITCLMVANLVGFVIGPSGINWLISGFLHKEGLPTFGGMFISFYIGTKLMFHISDSQQKRLKKSTM >CDP19511 pep chromosome:AUK_PRJEB4211_v1:2:21362407:21369747:1 gene:GSCOC_T00002339001 transcript:CDP19511 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLKVAVVGAGASGLVTARELQREGQQVVVYEKSNQIGGTWVYSPQVESDPLSLDPKREIVHSSLYYSLETNLPRRLMGFSDYPFTIRKNGELRTFPGHQEVLQFLNNFVQDFGLLDFIRFNTEVVRVEQQNDQWVVESRRSTCDELSSSEEIFEAVVVCNGHYTIPKVADLPGIKSWPGKQIHSHNYRVPEPFRDQVVIVIGAGPSAMDIGQEITKVAKEVHLCSRSPEIKVSKLEMFNNLWQHSKIEYCYENGLVAFQDGTSVAADIILHCNGYKYDFYFLRTNGTVTIDDNRVGPLYKHVFPPELAPGLSFVGLPYRAVIFFMIEVQAKWVASVLSGKVILPPKEEMLHDVEQHYRLMEENRIPKHHTHRLPLDPFEYLNWVAAQVGFPVDTELLEIYHKFFEFISGASWIKFREQDNRSIWALTSFFDKPTLSFALCPCAWQQNSEFTSSVLIWLHNCILYHGTTSEGGSDRSRGCRPNHGPRTEIRRPSSDKPPKTAYEILGLPLHYFGLVEFIRLNTEVVRVEQGNDDQWVVESRSNGGLISEEPFEAVVVCNGHNTQPRVAELPGINNWKGKQIHCHNYRVPEPFRDQVFIHSVVVIIGGAASANDISLEIVEVAKEVHLSSRSQEIEVKKWDMYDNLWQHSKVTNCYENGEIAFEDGALVTADIILHCTGYKYNLPFLKTNGVITIDDDNRVGPLYKHVFPPQLAPRLSFVGIPRVAINFVMIDLQAKWVASVLSGKVSLPSEEDMSADLEQCYRLLEEKGIPKHHTHSLDLNMHEYLDWVAAQVGLPPVDKRQKEIFYKIREILPTSWIGFREMLLKQLPSISRIS >CDP09301 pep chromosome:AUK_PRJEB4211_v1:2:24008872:24011771:-1 gene:GSCOC_T00028609001 transcript:CDP09301 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRELRSLSSRSRDFFYASLSNALSSATNQRNLRRIHSLIITLGLHNSVLFSGKLISKYSQFKDPLASLSIFGQSSHKKNVYLWNTIIGAMTLIRKALDFYTLMRELRIVSDNYTLPSVINSCANLLDFETAKLVHDHVLEVDFGSDLYICNALIDMYSRLNNLDRARNVFDGMSKRDLITWNSLISGYSSNGYWDEALEFFYKLRMVGLIPDCFTVSSVLPACGGLMEVVKGQLIHGLVEKIGVMRDVIVSNGLLSMYFKFDKLVDCEKVFNKMDVRDTVTWNTMICGFCNSSLYDESIRLLFQMVHDFEPDTITLSSVLQACGHVRYLKSGRSVHDYMVKNRYTGDITANNLLINMYTKCGELLASREVFDIFKLMKTKDVISWTALISAYGMYGERMKALKVFQKMKKVGIVPDHVVFVAILFAFSHSGLVQEGWDCFYQMKNDYSIEPRKEHYACMVDLLSRSGLLAEAEDFILLMPQKPDASIWGALLSACRSSGDIKIAERVSKRLLELNSDDPGYHVLASNVYAALGKWDKVKIIRKSLRARGLKKQPGFSWLEIQNRVYIFGTGHRFFEQYKELHDFLVVLSDLMAKEGYVADLRFALHDVEDDEKVEMLSGHSERLAIAFGVLNTEPGSPLQIMKNLLILVRDANRFHLFKDGTCSCGDHW >CDP05045 pep chromosome:AUK_PRJEB4211_v1:2:1122628:1124156:1 gene:GSCOC_T00019962001 transcript:CDP05045 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIPSDETTVDTVLTKWDFSCDLEVNYESERKVRIVYSALDVDKELQPDKVKREMSVSDGKLFVHFEAVEPRFLRASFSAFVDVLTLATKTIDEFDQGVEV >CDO99671 pep chromosome:AUK_PRJEB4211_v1:2:10005772:10012850:-1 gene:GSCOC_T00029336001 transcript:CDO99671 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPESSSTLVVSGMSLADCETMCRKNCSCTAYANSNLTAGGSGCAIWATDLLDMRLYAADEGGQDLYVRVAASDLDQAGNVGSGNDSDKKTRIIIATAIAAGILLMLSVVGIIFVWKRKSQHVQKSIIDHRGSRERSQDLLLKAAVIPSKRDHSGESTTEELELPLFDFSTITLATDNFSEKNKLGQGGFGCVYKGMLVEDQEVAVKRLSKNSGQGIEEFKNEVRLIARLQHRNLVRLLGCCINMEEKILIYEYMENKSLDSILFNKERSSLLHWQRRFNIICGIARGLLYLHQDSRFRIIHRDLKASNILLDKEMNPKISDFGMARIFGGDETEANTRRVVGTYGYMSPEYAMDGVFSIKSDVFSFGVLVLEIVSGKKNRGFYHMNNQLNLLAHAWKLWREGRGLELMDSAAGELYSTVDVMRCIHVGLLCVQEHAEDRPNMGTVVLMLSSESASLPQPKHPGFCLGRRPHDTTSSSSRQDESCTVNQLTVTILDGR >CDO96895 pep chromosome:AUK_PRJEB4211_v1:2:14615065:14623421:-1 gene:GSCOC_T00014071001 transcript:CDO96895 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDKTCRVVAIDLSGQGLTGSIPPEIGNLSHLESLYLRSNSLMGSIPNTLVKLSQLSILDLCDNKLEGPLPKFLSELKSLRGLYLCNNLFNESIPLEIGGCPKLEYLSLSNNSLSGKLPDELGHVSTLRVLNLAENQFWGRLPESLGSLAHLEEMYVMNNLFDDQLPQSFQKLTKLKTFNIRGNAFNKSIPGYIFEWDKLEKLSLMGNNFEGHLPNKILGLPKMTDLSINNLPGGKTGVLFPDIHNMVSLSFLTLRNCSLTGPIPDYIWRFTNLLYLDLSFNNLMGIIPPDLSQFQLQFIFLRSNNLSGTVPGWLTSLSYSGSYV >CDO99704 pep chromosome:AUK_PRJEB4211_v1:2:9697095:9709004:1 gene:GSCOC_T00029377001 transcript:CDO99704 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRSLFRLCCILGFLSFSKRVTSLTFLARSCQNTTYNPKANSAYSASLNFLLSSLSSNASHTINGFYNSTAGHNISDKIYGLFLCRGDLSSDICERCVADASNRILKLCSDEKTAIVWYDECLLRYSNESMFSRGDASFGINFLSRQNVTRPDLFNPLLLNLMNNVTNRAANDGSGKKFAVEEANFSSFQRLYTLAQCTPDLSSFDCMACLSNAVSNLPSCCYNRQGGRVVYPSCNVRYELYRFYNIVTAASNLPPLLPWSTPSSSKEKGQNSREVVIAIVVPIIVSVFLFFVALFLLRRRLRKRSDRVVEATGGSEILDAESLQYNLNEIQAATNNFSACNRIGEGGSGCVYKGTLHNGQDVAVKRLSTSSLQGFEEFRNEIVLVANLHHRNLVRLLGYCFHGEEKLLIYEFVPNKSLDYFLFDPERQPVLDWSRRYNIIGGLAKGLLYLHEDSRLRIVHRDLKASNVLLDESMNPKIADFGLARICAVDQSEGSTSKIAGTYGYMAPEYAMRGQFSVKSDVFSFGVLVLEIISGKRSGSFHESDSAEDLLSYAWKQWRDGAPVALLDRNVGDSSSRNEVIQCIHIGLLCVQEDAEQRPNMAAVVLMLNSYSATMPSPNQPPILFPFIIIKKKRCRPSCCLKIYCICVACFVYLLLRPEHKIIVTPIRLILDKRCDNTDLIIAANSTYQSNLNFLLTTLSSNASRAANGFYNLTSGGIPQDISNTAYGLFLCRGDVSSDVCQKCVSRACREALELCPDQKTAIFWYDKCLLRYSSESIFSRLDVSVVITQYASLNVSDTDRFNQVLLSTMDEIARRASNLDSGNYFAVQEAKFSDFQSLYALGQCTPDLSGADCHSCLRDAISVLPSCCLSRLGARILLPSCNIRFENWRYYNISTPAPPSPPLPPPSGPPPASSKGKKRQISTRIIVGIVAAFLIASVLFILVCCFVASRSRKRYKTIAKNADGIDISTIEPLHYNLSDIQSATNIFDRDNRIGEGGFGSVYKGTLPNGQEIAVKRLSRSSTQGADEFKNEILFPTILLVAKLQHRNLVRVLGYCVQGEEKALIYELVPNRSLDYFLFGTEKQQTLDWSTRHKIIKGIARGLLYLHEDSRLRIIHRDLKASNVLLDANMNPKIADFGMARLFAVDQTKGSTSKIAGTFGYMPPEYVLHGHFSVKSDVFSFGVLVLEIVSGQKNSSFNQSNNADGLLSYAWKQWMNGTPLALVDPNIADLCAREEVIRRIHIGLLCDQEDAEERPNMATVVLMLNSSSHTMPTPSRPAFFVSSGTEGWRKEQLEADEGPVNEASITELSPR >CDP06828 pep chromosome:AUK_PRJEB4211_v1:2:38075668:38076084:1 gene:GSCOC_T00023836001 transcript:CDP06828 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGSLWLQTTNGHFPYQFWEFDPNVAISEGELAAIDETCENFRINRFEKKHSGRREDGGGVRGGEGEREKKKRLTALEEVIGAGTGAGGGSGLGWERKKKKKGREFFGSFVCFGYFEVCRLKILINFLEFL >CDP08732 pep chromosome:AUK_PRJEB4211_v1:2:53472980:53476498:1 gene:GSCOC_T00027805001 transcript:CDP08732 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEKGRERDVKKEEFLGLKLKRGMLVGKRGGNTTPSPTWRYDGLTQSQAAEGSRLQDFSFPSNFPANISARQLGANLWEVQPHMEVVEMSKGAARPLLRHKNKVKDKGFELPKTHFDQPPHSPQHQPPTESELKKRFTKALMQHDHSAERNGRALPPETPGSSSSKEMTPYRPDITPTGALHLKGRLRESSYNLKTSTELLKVLNRIWSLEEQHSSNISLLRAMKKELDHARAKIKELLQEKKRHRREMEDMMRQLTEDKLIRKHKEEDDVEDTFQSMRNEIEDERKLRKHSESLHRKSTRELADVKSSFSNAVKELERERKARILLEDLCDEFAKGIRDYEQEIRLIKKRSEKDWTLQEHADRLILHISEAWLDERMQMKLVETRCGLADKRTIVDKLSIEIETFLQTKKSPGSAPLNADEEDYVDSGLYCSQLKRGSSKKESNCSSKKQSENAPAGHLSRIVKSNHIKKKLLSQEHMDDSDHLHPELQSKEQSLGDFEPCLSCNAKQHQENNHAENSFDPSLFTGPASPVEKWTSKVQAVDLEAPESSSQHQGIKENTLKAKLLEARLESRQSRSRASKVL >CDP07704 pep chromosome:AUK_PRJEB4211_v1:2:25105124:25112980:1 gene:GSCOC_T00025049001 transcript:CDP07704 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVTFLVALLLLPIHLLNARTVITSFNRSSFPDDFVFGASSAAYQYEGAAFEGGKGPSIWDTFTHKYPGKILNGSNGDVAEDFYHRYKTGVSAFYVYPKGLRDLLEYTKKKYNNPTIYITENGIGDANNSTRIKSIKDFQRVDFYHRHLLAVQEAMKLGVNVKGFFAWSFLDTFEWGSGYTLRFGICYVDYKDGLKRYPKSSALWFKKFLRK >CDP08870 pep chromosome:AUK_PRJEB4211_v1:2:52324218:52324882:1 gene:GSCOC_T00027988001 transcript:CDP08870 gene_biotype:protein_coding transcript_biotype:protein_coding MTENYQVLEEILATFFCCVLKILALALKNADGSR >CDP00017 pep chromosome:AUK_PRJEB4211_v1:2:6967436:6973111:1 gene:GSCOC_T00029773001 transcript:CDP00017 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRLDSDHDRDPHKHHRSSKDKSRDAADDDRRHHSHRRSKHRDEDDDRNRSERRSSREYSVDRDKSVEPRNEERFDDRRKWEKSYEREDSVERKHTKRKERGESEEYGERDYDGGTKRTRVLEERKEKRRLEDHMNGREKEEKKERRRFEDAVEGKEGREERRERRRFEDKVKKEQEGMEVERIEGRFEERVGKKEPKDELPDNGDLGAGDSVANGGAMESFEMTSTTLPESHLYHNHSPATKMEQLQMLESLGACLLAEKLRKIPLLNKATGATRESTLQLGPNEGHIAPSSSSGILPTPAALVRSSSMVTSGATPNASTLPAAAAASIGLPHLPGLNHERFEAVKKAQELAAKMGFRQDPEFAPLINMFPGQMPPEVSIQPKPAKAPVLRLDALGREIDEHGNVVNVPKVNSLSTLKVNINKQKKEAFQILKPELEVDPEKNPHFDPSMGINKNKILRPKRMTFQFVEEGKWSKDAEIIKLKSQFGEARAKELKAKQAQLAKAKAEPDINPNLIEVSERVIIKEKQKETIPETEWWDVPILQSGSYGHISDGNLPMEKLKMEKITIYVEHPRPIEPPAEPTPPPPQPLKLTKKEQKKLRTQRRLAREKDKQEMIRQGLLEPPKPKVKMSNLMKVLGSEATQDPTKLEMEIRSAAAEREQAHIDRNIARKLTPAERREKKERKLFDDPDKAEIIVSVYKVNYLSHPQARFKVDANAHENRLTGCAVISDGISVVVVEGCPKSIKRYGKLMLRRIDWAAAVKNEGEDEDEDDGDTPANKCMLVWQGSVVKPSFNRFSIHECRTEAAARKIFSDAGVSHYWDLAVNFTEDQI >CDP05084 pep chromosome:AUK_PRJEB4211_v1:2:1430479:1434780:1 gene:GSCOC_T00020013001 transcript:CDP05084 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALRAKTIAEGKLENKDFTGAKKFALKAQSLYPGLDGLSQMLTTIDVYISAENKISGEVDWYGVLGVNPSADDETVRKQYRKLALLLHPDKNRSVGADGAFKLLCEAWSLLSDKSKRLAYNMRRSPKGFQQKVPTQASGPSEPPRSNGYHNHSGRTTSAPKTQNTRRTDTFWTICHRCKMHYEYLKQYLNHTLLCPNCHEAFYASETAPPFNYSKSSNLASRHRHQNSSNHAAPSNLSDPRRNATASAKDSGPGPAGLQSPTYTANSPQDRFSRTGSVGSTDPSIAAKAANVIQQAQERMKRERDASQAAAAGWDGNSSYNSAFDGERVYKKTRLDDDGYCYGANTAYHRTTVNGGSSFAGTSAPRKAGFEHDKVHGFSFAYSKPNCMRELTPVENRNMLMAKARKEILKKLTEWRSQNASKAVQTEKMKVTDTKKEKERNSRNDHGRDLSGSGESSATRLADHAEKSAGIPTAGDVDEEHVVAEAMNVPDPDFHDFDQDRAESSFGENEVWAAYDDDDGMPRFYAMVNKVISRNPFKLRISWLNSKTSNEFGKMDWIGSGFYKTCGEFRLGRYEINKSINSFSHKVKWSKVRGVVHIFPKKGEVWALYKNWSPDWNEDTAEELIHKYDMMVVLVDYDEERGVSVAPLVKVAGFKTVFLPNLEPEKVMKIPKEEMFRFSHQVPNYQLTGKEAQNAPEGCLELDPAATPLDLLQVITDDSEVQKQVMQNVPGTRADEIADRASEAEKEEKVEIGEAVKKEE >CDP08676 pep chromosome:AUK_PRJEB4211_v1:2:53915719:53917596:-1 gene:GSCOC_T00027724001 transcript:CDP08676 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCHFELKQYPSLRVEVGSAAVESLERMRDESKKATLQLVEMECSYLTVDFFRKLPQDIEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVNMVCATLRNSIPKSIVYCQVREAKRSLLDHFFTELGKKEGKQLGTLLDEDPAIMQRRVSLAKRLELYRAAQAEIDTVAWSK >CDP17823 pep chromosome:AUK_PRJEB4211_v1:2:27234811:27241341:1 gene:GSCOC_T00009442001 transcript:CDP17823 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEVGRSLDSIPRDLAAAIEAGRVPGSIVSTYFELEESQLLRWLLNFGGFKERLLADDLFLTKVGIECGVGIFTKTAAELKKRREKFSKELDFVFADVVMALVADFMLVWLPAPTVSLRPPLAVGAGRIKKFFYGCPDNAFQVALAGTSYTLLQRFGAIVRNGAELFGVGTSASLIGTGITNLLINARKAVDKNFAAEAEDLPILSTCTAYGVYMAVSSNLRYQILAGIIEQRILEPLLHNRKLALSAICFVVRTGNTFLGSLMWVDYARWVGVQRSR >CDO99968 pep chromosome:AUK_PRJEB4211_v1:2:7368860:7371548:-1 gene:GSCOC_T00029704001 transcript:CDO99968 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYWVRVGSMQEKEAKKEAFRKYLESSGVLDALTKVLVALYEQSDKPSSAMEFIQQKLGGPTVAEYEKLRAEISDLQIRFNELSAAHQDKCRELEELKNSHAEGSSKQMIEEGVSKEDT >CDO97479 pep chromosome:AUK_PRJEB4211_v1:2:19363015:19368029:1 gene:GSCOC_T00014831001 transcript:CDO97479 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLQEKVANLVDGAAGVSRLGIPKYEWWSEILHGVSNTGPGVHFTSLVPGATSFPQVILTAASFNKSLFEIIGKVVSTEGRAMYNVGLAGLTYWSPNVNIFRDPRWGRGQETPGEDPTLTSNYAAAFVRGLQQRDDGDKDRLKVASCCKHYTAYDLDNWKGIQRYTFNALVTQQDLDDTFQPPFKSCVLDGNVASVMCSYNQVNGKPTCGDPDLLSGIVRGKWNLNGYIVTDCDSLDVIFNNQHYTKTPEETVALTLNAGVDLNCGSFLAKNGVSAVNKGLVNESVIDRALTNNFATLMRLGFFDGDPKKQLYGNLGPKDVCTPENQELAREAARQGIVLLKNTAGSLPLSPAVIKSLAVIGPNAQATHTMLGNYEGVPCKYVTPLQGLSASVSTVFQAGCSDVSCATAQVDEAKKIAAAADAVVLVMGSDQSIETEGRDRVNITLPGQQSLLISEVASVSKGPVILVIMSGGGWDVQFAKDSPKITSILWVGFPGEAGGAAIADVIFGLHNPSGRLPMTWYPQSYVDKVSMTDMHMRPDPATGYPGRTYRFYKGQTVYSFGDGLGYSTFTHSLVQAPKVVSVPLEEGHVCRSSSSTCKSIDAVEQSCKNLGFNIHLRVRNVGTMSGSHTVLLFSSPPSVHNAAQKHLLGFQKLHLAPQTEGLVKFNVDVCKHLSMVDELGNRKVAVGEHVLHAGDLKHTLTVRI >CDP09496 pep chromosome:AUK_PRJEB4211_v1:2:21512768:21515510:1 gene:GSCOC_T00028881001 transcript:CDP09496 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGTYVQLTKEQEALEDITPGELNQPIDVVQITAARCPQCGQALPESYQPPANEDWSTGIFGCADDPDSCWTGLFCPCVLFGRNVESLSDEVSYSCACMGHVICIEGGITLAALMAAFNGAIDPQTVGLITEGLLCTWWICGVYTGMARQALQRKYHLKDSPCEPSLVHLCLHWCAICQEHREMKCRLSNYHATETTIVNPPPVQEMIVGNKQEMRIGHKPETSSSSDENTGRTNLELQPV >CDP17803 pep chromosome:AUK_PRJEB4211_v1:2:51183657:51187534:-1 gene:GSCOC_T00003928001 transcript:CDP17803 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDALLWLALFFVIWASTYFLVSELRSRRIARLPPGPYPIPIFGNILDVGQKPHESFARLSKIYGPLMYLKLGSKRTVVVSSPTIASEVLQKYDQLFSGRGVANAVQALDHHKASIVFLPASSQWRNLRKICKEQLFSLQKLDASQGVRREKLQELCDYLQRCSVSRKIVNVSDAAFTTSLNLISRSLFSVDFANYDSNSSQELKGIVWGIMKNAGAPNLADYFPVLQMIDPQGIRRGMTFYMQRLFAIFDDVIDERLQVRGTSETKKNDLLEGLLDHSIKNESEFCRNDLKHLLLDLFLAGTETASVTVEWAMAELLRSPDKIANIRAELKEVIGKKEGVQESDISRLPYLQAVIKETFRLHPPAPFLIPHKADEDVEINGFIVPKNTEVLVNVWASGRDPTIWSNPENFEPERFLGSDIDVRGQHFELIPLGAGRRICPGLPLGYRMVHLMLASFIYNIDWKLEDGMKPEDLDMEEKFGLTVQKAWPLKAIPVKL >CDO97060 pep chromosome:AUK_PRJEB4211_v1:2:16215885:16217243:1 gene:GSCOC_T00014284001 transcript:CDO97060 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSAPLAQPIRSFSSEKSPNCRSQSPSSKPPFHFLRFRTSYRDNLRYLNSIGIINSDKKIHRNPSPETLLHILSTVNFLKSKGFSESHFARLAYLTPQVFSPQVNPADLQPVFDFLTTELAASEEESRDLILLCPHILQSNVQFCLRPTLLYLRDIGVESLNKPTNLNAHLLNTRVKRLEDTAKFLLDIGFSQEESTKFCGRLPAMFGYSIDNNLLPKFEYLVGEMERSPDELKGFPQYFAFSLEKRIKPRHMHLKRRNVVVKEVPLKKMLTWNDTKFYAKWN >CDP18222 pep chromosome:AUK_PRJEB4211_v1:2:48307111:48307782:1 gene:GSCOC_T00011780001 transcript:CDP18222 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVETPASPPTSGGDAKTPLLASQKPDSTTTPQTNQNTSIRQAICSTFKGTAYLASRLPAGTVLAFRLLSPILSNQGDCDVAFKTMTAVLLALCALSCFILSFTDSFKDGKGNVFFGFATFKGFYPIDRTVTISPEEAAKKKIGPLDFLHGFLSLLVFASIALLDKNIVDCFYPTPSVEIKEIISVLPVANGVVCSILFVAFPTQRHGIGFGADPDGVKSS >CDO99674 pep chromosome:AUK_PRJEB4211_v1:2:9983142:9988129:-1 gene:GSCOC_T00029339001 transcript:CDO99674 gene_biotype:protein_coding transcript_biotype:protein_coding MMVILFIIFSIASPFFCQFSSAVDFLAPNQVLLDNGGTLASAGRKFELGFFGPSNVSERYIGIWFKNIPEQTVVWVANKDNPIPSSSGILSITPSGSIAIINNSTNSIIWASNTSATLVSNPTLQLLDNGNLVLKNGSDYGKNSGTYLWQSFDHPCDTLIPGMKLGWNFRTNQEWYLTSWKSLQDPSTGAYTYRVDPRGLPQVVLSEGSAIQYRSGPWDGVRFGGSDLEENTVFRPEFVFDANNEYYTYENTNDSIVSRFVLNQSGLIQHLTWSIRRNEWVDIATVQSDACDQYALCGSYGICDINNSPYCSCPPGFTPKLPVDWQRMDWSGGCVRNNPLNCSGPEGFRKFSKLKLPDTANFLVNKIAIDPMQCAAACLRNCSCTAYAKIDGSVCVVWSGDLLDVRTYNYGGQDLYIRMPASELGSDSKRGILIISVSVGLGTLVLVLGGWCLFRKWTAKGGQAKEQETASQENTERIADDEIELPLFDLITISNATNQFSFANKIGEGGFGPVYQGILSTGQQIAVKKDSKDSGQGIEEFKNEVILIAKLQHRNLVRLLGCCIHGDERMLVYEYMPNRSLDLFIFNQGRGKVLDWRKRFDIIVGIARGLLYLHRDSRLRIIHRDLKASNILLDSEMNPKISDFGLARTFGCDHYQDNTTRVMGTYGYMAPEYAVDGLFSVKSDVFSFGVLVLEIVSGEKNRGFCHPDHDLNLLGHAWRLWNEGNTSKLVDPFMEGAASTSEVLRCIHVGLLCVQQRPDDRPAMSTVLLLLDSENPTLPQPKRPGFYIERTVIDTDSTSSGKMPHNSTDVTVTLLHGR >CDO97137 pep chromosome:AUK_PRJEB4211_v1:2:16741686:16748381:1 gene:GSCOC_T00014384001 transcript:CDO97137 gene_biotype:protein_coding transcript_biotype:protein_coding MRVASEKATRIMSVFHYDSKICVDAVGFSGGIWISPYLDSDAEAVRQDNILKLEVAELKSKFCERTQALIHGDLHTGSIMVTSNSTQVTDPEFSFYVPMGFDGNDRKEYKLWILKTIEETWNLFYKKFTTLWDEHKDGPSEAYLPELYNNVEIHLLAKQKYMEDLFHDSLGFAAEKMTRRIVGVAHVEDFESIAEPEKRANCELQALTFAKLLLKERRRFKSIGEVVSAIQQSKS >CDP00105 pep chromosome:AUK_PRJEB4211_v1:2:6194914:6201309:-1 gene:GSCOC_T00029900001 transcript:CDP00105 gene_biotype:protein_coding transcript_biotype:protein_coding MREAQVLVRFIFVSLNVMGGSVDHSSGEDTDISESEIEEYEDKSYQALKSGKKEVKVSDGAFTCPYCPKKRKADFLYKDILQHASGVGSSTSKKRSARDKANHLALAKYLEKDMSVTAGPSQATVEVDPLADHDRDEMFVWPWIGIVVNLATDFKDGRYVGKSGSNLRDELTSRGFNPTRVRPLWNYQGHSGTALVEFTKDWFGFKNAMSFEKAYEADRHGKRDWMANNTLKSDLYAWVARVDDYKSDGIIGENLRKIGDIRTISDIIEEEVRKTSKLVNNLTNVIEVKNMQVKEMESKFQETESSLGILIEEKNKLHQAYNEELKKLQSTAREHFQKILSDHEKMKSQLENQKKELELRGKQLEQREAKNESDRKKLLEELEENAAQNSLIDAASEEQRKVDAKVLKLAEEQRKQKEELLNRIIQLEKDLDTKQALQLEIERLKGTINVMKHMGDEGDLEVLQKADSLNKLLREKEGELEDFDRLNQTLIVKERKSNEELQDARKELINGLKEYPNSAYIGIKRMGELDNKPFYEAMKLKYKHEADAEERASELCSLWDEYLKDPEWHPTKVILVNGKHEEVIDEDDEKLNDLKEKYGHEVYKAVTTAFIEINEYNASGRYITSELWNYAEGRKATLKEGAAFLLKKWKLHMEKMQR >CDP18229 pep chromosome:AUK_PRJEB4211_v1:2:48376038:48376514:1 gene:GSCOC_T00011788001 transcript:CDP18229 gene_biotype:protein_coding transcript_biotype:protein_coding MLANYSFILQTLVSGALADKADTLTQLLKYRFNIRSTDILFTTLSAKFRSDFYDNIEINICTTYLNQCSCFLKNSPS >CDP17760 pep chromosome:AUK_PRJEB4211_v1:2:51645316:51655075:1 gene:GSCOC_T00003870001 transcript:CDP17760 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKAFRPTNDKIVKIQMHPTHPWLVTSDASDHVSVWNWEHRQVIYELKGGGVDERRLVGAKLEKLAEGESEPKGKPTEAIRGGSVKQVSFYDDDVRFWQLWRNRSAAAEAPSAVNNVTSAFSTPAPSTKGRHFLVICCENKAIFLDLVTMRGRDVPKQDLDNKSLLCMEFLAKSAANEGPLVAFGGSDGVIRVLSMITWKLARRYTGGHKGSIACLMTFMASSGEAFLVSGGSDGLLVLWSADYGHDSRELVPKLSLKAHEGGVTAVELSRVIGGAPQLITIGADKTLAIWDTISFKELRRIKPVSKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQLLASNKKLRVYSMVAHPLQPHFVATGTNIGIIVCEFDPRSLPPVAALPTAPGSREHAAVYVIERELKLVQFQLSNTVNPALGSNGSLSDTGRIRGDAPDQLNVKQIKKHISTPVPHDSYSVLSVSSSGKYLGVVWPDIPYFSIYKVSDWSIVDSGSARLLSWDTCRDRFAILESAVTPRMPIMPKGSSRKAKEAAAVAAQAAAAAASAASSASVQVRILLDDGTSNILMRSVGSRSEPVIGLHGGALLGVAYRTSRRVSPGAATAISTIQSMPLSGFSSAVSSFSALDDGFSSRRPSTEATPLNFQLYSWESFQPVGDLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRCLGDVAIPHATGAVWHRRQLFVVTPTAIECVFVDAGVAPIDIETKKRKEEMRLKEAQARAVAEHGELALITVDSQQTTSQDRVKLRPPMLQVVRLASFQHSPSVPPFLSLPKQSKGENDDSAMPREAEERKVNEVAVGGGGVAVAVTRFPGEQKRPVGPLVVVGVRDGVLWLIDRYMCAHAISLGHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSSDLKRALQCLLTMSNSRDLGQETLGLDLNDIMNLNAKKENVVDAVEGIVKFAKEFLDLIDAADATAQADIAREALKRLAAAGSLKGALRGHELRGLALRLANHGELTRLSNLVNNMISVGSGREAAFAAAVLGDNALMEKAWKETGMLAEAVLHAQAHGRPTLRSLVQEWNKELQKEMEPIPSSKTDAATAFLASLEEPKFTSLADAAKKPPIEILPPGMASLYGPNPGQGKPPPAAQGQKQQPAKQLLLEGPNATAQNASAQSETVAPTTSESIAPPKSDSDAPNTSQSGTAPTSESSVPPSESGATSLEADTSVPPDSNTQVPAESNGKAAENQRPVDETGVDNPNATPESVPSVSSNSPSTSDTASHPPNNQGSDVNSAPPMIDFS >CDP17796 pep chromosome:AUK_PRJEB4211_v1:2:51276625:51283065:1 gene:GSCOC_T00003921001 transcript:CDP17796 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFKGLIETLGSIFSSDYSSSSSSSSYLSSDRRPSGMDGVSGGVATGNERAAYKLKGYFDLAKEEIAKAVRAEEWGLVEDAISNYQTAQRILAEAISISVPSYVTSSEQEKVKSYRQKISQWQGQVSERLQTLSRRAGGVSTVKSSAPQLQSGVDAQATSYSRKPTSQKLSSKNSNGSVMRNQKNGASSAKPSQEADSSYDAKLVEMINSVIVDRSPSVKWEDIAGLEKAKQALLEMVILPTKRKDLFTGLRKPARGLLLFGPPGTGKTMLAKAVASESQATFFNVSASTLTSKWVGEGEKLVRTLFAVAISKQPSVIFMDEIDSIMSVRMSNENEASRRLKSEFLIQFDGVTSNSDDLVIVIGATNKPQELDDAVLRRLVKRIYIPLPDANVRKQLLKHKLKGQAFSLPDGELERLVKETEGYSGSDLQALCEEAAMMPIRELGANILNVQANQVRCLRYGDFQKAMTAIRPSLQKSKWEELEQWNREFGAN >CDP17832 pep chromosome:AUK_PRJEB4211_v1:2:27439117:27440223:-1 gene:GSCOC_T00009455001 transcript:CDP17832 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLLPQLRNLGIRHCQKLNLPPEIVIEDTSTSIESLEIVGCPCLNLRTMLGSVYSFASLRFLDIRDCDYDLDQLPTPSLKRLSLCRCKNVSYLPSGLGRLRSLLLYSCSSPLLFPQGDFPPTLKFLDIEAGENLQLKPLSEWGLNRLTFLERFYIRGGYPELESFSGSGDDGLALLPPTLRSVAIGDLPNLKSLSAFLRGLTALRYLHIFECPKLGSLPKESLRNPLQTLVINKCPLLQKRCLMDRGDYWPMIEDIPCVEIRSDGIRSGSMHFFSYHSD >CDP08860 pep chromosome:AUK_PRJEB4211_v1:2:52386236:52386805:-1 gene:GSCOC_T00027976001 transcript:CDP08860 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQQFATMFQNVPNEWAKGIFRDVDNLTEERVDEVLNEYLSDFTEGLLEANDWPTAYTLAKASMNAYTRITAEKFPSFRVNCVCPGYAKTDINFNTGILTVEEGAGAPLMLALLPDDGPSGAFFIRRELSSFE >CDP08596 pep chromosome:AUK_PRJEB4211_v1:2:39663368:39667647:-1 gene:GSCOC_T00027594001 transcript:CDP08596 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVSNLWAPSSFALTEFKPQFIAQIRCSATQKSSILSADTCRFNGVSSAKEQERTILLIDRGHGHLPAGVSTGEKKKGEEEVMQEKLEPLWDDGYGTRSVKDYLDLAKDVIKPDGGPPQWFSPIECGSPLKNSPVLLFLPGMDGLGLGLILHHKAVGRVFEVWCLQIPVKDRTPFEEMVIFVEETVRNQHASSPNKPIYLVGDSFGGCLALAVAARNPAIDLVLVLANPGISKSQLQSLLPFLEAIPNELHFTVPYLLIFVLGKFVLFKYTSLLFNCLSIECEILHGLFTDHSLDIVLCAARVSITPKHNDIF >CDP06820 pep chromosome:AUK_PRJEB4211_v1:2:37657994:37660825:1 gene:GSCOC_T00023817001 transcript:CDP06820 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSIFISIALDQFNQGPYVGISDGRILKYKGPNVGFVLFAYTSPNKTNELYDGVIDPNLGPTCGRPFRFSFNNFNGVLYIVDAFLGLFKVGPEGGLATLIAKSAGAVPFKFLNGIDVDQLTGDVYLTDASQTFDLRNVIQGNYVLDSTGRLIKYNPITKELKVLLDGLSIPAGLVVSTDRTFVLFSEFNTKTVKKYCLTGLKENTTEALLNLLGNPVKIKRAPDRGSFGWQLMRLFNNYRAMLHLLDTNLTHLGKYY >CDP05052 pep chromosome:AUK_PRJEB4211_v1:2:1152590:1153413:-1 gene:GSCOC_T00019969001 transcript:CDP05052 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLKLKLKYQTFDLMESREKIGIGGIGYLCSD >CDP15492 pep chromosome:AUK_PRJEB4211_v1:2:45402361:45404588:-1 gene:GSCOC_T00015337001 transcript:CDP15492 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTSAAAEGFDKQNVETAISIELGTTNSCVAVRSDSCVSLSPSGLGIETEGGVMADVIPRGSLVPKKKSQIFTTYCDHQTTMSIKATVIIIFSGNERLTKYCRKLGMLQFSGIPPAPRGVAKN >CDO97447 pep chromosome:AUK_PRJEB4211_v1:2:19105323:19109596:1 gene:GSCOC_T00014793001 transcript:CDO97447 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANGKTLGEAEENLLTCLAELFSQISSQKKKTGVIAPKRFVQRVRKQNELFRGYMHQDAHEFLNFLLNELVDILEKESQAAKCPPSQERIANGQNNARSNGVKEPLVTWVHKNFQGILTNETRCLRCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCSSLQEAQKRMKIKKPPQILVIHLKRFKYMEQLGRYKKLSYRVVFPLELKLSNTVENADSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYECLSSDEKS >CDP09426 pep chromosome:AUK_PRJEB4211_v1:2:22596943:22602787:-1 gene:GSCOC_T00028784001 transcript:CDP09426 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPCINACKTITGFTHQHHAFYPSSFPSSTSFSSARKFNSYPSISCNFDGNDRKLNDRRRLILVKQAAAGGKENVWSVDNEMAEAEKEKGKMKRRKKKRRGVADGRKRNVGNRNSRFMVSGAMLVEVETVLQTQEPVIRPAWHTFASSLSGIWKGVGAVFSPFTAEMEPIDIGNKNENLFDCYTLSRVEAVPSASGEQTTQIKRRINWVTLNPYGEIPQLNEGEYMRHARSDNGQASLSRKETSNKFSTHNLPKFEAFNFGRSDIMEEDVMGIEPGLVFFEDGSYSRGPVDIRVGEFDESKYFLSPTYKFEQCLVKGCHKRLRIVHTIEFGNGGSDIQIMRVAVYEEQWDGPASIPDESELEVDLQPFSQRERVQPSELIGSWKVFEVSATPIYGEDIMLEESHGAPYVYLCTETLKKRSLPEHSVYFGEEEMLDMQDVTILWLPGGVTGYVDVNKDGILCVGVGWYSDEGINLVMERDYGTDGKLKEVRWKSEMKRRWSNPPPM >CDP15527 pep chromosome:AUK_PRJEB4211_v1:2:46094888:46095482:1 gene:GSCOC_T00015388001 transcript:CDP15527 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGHHILLMTWHNIVRCSICSLEFLILASDGLWDVVTNKEAVSMLSLPIQDPEDAARRLMHAGCTSTRWDR >CDO99873 pep chromosome:AUK_PRJEB4211_v1:2:8139388:8140758:-1 gene:GSCOC_T00029574001 transcript:CDO99873 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPVAVTSQLKVLMFPWLAYGHISPSLELAKRLTDRGFSIYICSTPINLGFIKQKIAGKYSATIKLVELHLPDTPELPSHYHTTNGLPPHLMSTLKRALNRAKPELSSILKTLKPDLVIYDVTQTWTGALTAAHNIPAVKFLTSTVSMMAYFSHSFMKPGLEFPFPAIYLDPAAERPNRECDRIILTKSSRAIEGKYIDYLFDLTKLKMLPVGTLLEEPIKDDQGDNNDELIQWLGTKSERSTVFVSFGTEYFLTREEMEEIAYGLELSDVNFIWVVRFPLGHKTRPEEALPEGFLERVGDRGRIAEGWAPQAKVLAHPGTAGFVCHCGWNSVVESIEFGVPIIAMPVQLDQPLNARLVVEIGAGIEVVRDENGKFDRKEIARVIKDVVAEEMGENVRGKMRDVSQKIKLKEKQELDEVAELLTQLVSCEGK >CDP07764 pep chromosome:AUK_PRJEB4211_v1:2:25969222:25974642:1 gene:GSCOC_T00025134001 transcript:CDP07764 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNHQMEVHYMNTFGYPYNASDSFVDFFGGLPQAPLPYVHPPPPMPHDHQESAYWSMNMNSYRFGLSGPENAPYYSPYIVNDDFMRMDYNRRPWDYPSVMNIQEPPVVDMPSEEIQAPSMEANPTPSMEAIPEESQTSADNINQQDSGGTQAVWEDNIDPDNMTYEELLELGEAVGTENRGLSQELIDLLPTSKYKSGGIFSKKRSTERCVICQMRYKRGDRQINLPCKHVYHAHCGSKWLRINKTCPICNTEVSSEESNQ >CDP05320 pep chromosome:AUK_PRJEB4211_v1:2:3274299:3275369:1 gene:GSCOC_T00020317001 transcript:CDP05320 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSTHLATILLICLSLTHFSTTATAARALSGATNAEFIRTSCSTTTYPKLCYATLSNQATIIQSDPKLLAHAALSASLDTAKSTSSMMAKLSQSHGMTPRQGGAMRDCVEELRESVDELKNSLDEMPQLTGSNFALTMNDIQTWVSAALTDDDTCMEGFAGKATNGNTKIAVRDQIVNVAHVTSNALALINSYAALHG >CDP04924 pep chromosome:AUK_PRJEB4211_v1:2:177894:179595:1 gene:GSCOC_T00019793001 transcript:CDP04924 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRPSPTAAAGAEEAGGEAPPPTTYRIGYALSPKKVESFIQPSLLNLAKQRHIHLLPIDLHKPLSHQGPFDCLLHKLSGPDWTQQLRHFSSLHPDVPIIDPPDAVLRLHDRLSMLQVVRDLHLPEPIDESDSSSSSSCSFGIPHQEEEEAAQMPPVSFLARLAKALRNALGLHLFNFDVIRDGRFGNRYLVIDINYFPGYAKMPSYETVLTDFFLDLLRRKQQQ >CDO99680 pep chromosome:AUK_PRJEB4211_v1:2:9903881:9906712:-1 gene:GSCOC_T00029349001 transcript:CDO99680 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 8 [Source:Projected from Arabidopsis thaliana (AT4G21350) UniProtKB/Swiss-Prot;Acc:O81902] MATQFPDDFKCPISLEIMSDPVILSSGHTFDRSSIQRWLDAGHRTCPITKLPLSEPPSLIPNHALRSLISSYTLVPLPQPHANPDPNSLIQTIVSVSSHLVCKLDSLDQLGRLCKRDSSIRRRLTESGAVSAVLNCVNADDTSLQAKALHLLLNLSLDDDNKVGLVAEGVVGKLVAAVRSGYGDSRAVAATVLTSLAVVEVNKATIGAYPKAISGLVGLLRAGNGRERKEAATALFTLCSFADNRVRAVENRAVPILIQNASSGLERAVEVLGLLAKCAEGRKEMLDYDGFLEILIFVLKNGSSRGVQYGLLTINLLCSCSERMCLEVLREGIFEICLGLLEDDNEKVRRNAKNLIQVLQGKNRRNLS >CDO96960 pep chromosome:AUK_PRJEB4211_v1:2:15342795:15344527:1 gene:GSCOC_T00014157001 transcript:CDO96960 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAGNVYVNMEEESHAAGNRIQPMSIAPAPAQWTADEKKQSSFSLSERLGLDEFLSLNVWRASVGELLGSAVLVFMIDTIVISTIETDVKMPNLILSILVAIIIAILLLAVHPVSGGHINPIISFSAALVGLISMSRAIIYIIAQCAGGVLGALALKAVVSSNIERTFSLGGCTLTVIAPGPQGPVTIGLETAQAFWLEVFCSFVFLFASVWMAYDHRQRNSIGLIPVFSIIGIVLGLLVFVSTTVTATKGYAGAGMNPARCFGAAVVRGGHLWEEHWIFWAGPAVACFAFYVYTRIIPNNHFHAEGFKHDFFGILRTISRLER >CDO97189 pep chromosome:AUK_PRJEB4211_v1:2:17165734:17167261:1 gene:GSCOC_T00014453001 transcript:CDO97189 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLEPLDVGVQIPYYFRCPISLELMRDPVTVCTGQTYDRASIESWVATGNTTCPVTRAPLTDFTLIPNHTLRRLIQDWCVANRSFGIERIPTPKQPADPALVRSLLHQASSASALPASRLSALRRLRALARDSDKNRALISANNVSELLLSVVFHSDSADLSHEALALLALFQLSEPQCALVASDPDRVSYLVSLLSHSSVDVRVNSAAVIEMAVSGTRSPELRSQVSNAEGIFEGVIGILNYPLAYPRASKIGVKALFALCLVKQHRQRAVTAGAVDALVDGLADFEKCDAERALATVELLCRIPSGCEAFSAHALTVPLLVKIILKISDRATEYAAGALLSLCSASDQAQRDAVVAGVLTQLLLLVQSDCTDRAKRKAQMLLKLLRDSWPEDSIGNSDDFGCSDVVAF >CDO99487 pep chromosome:AUK_PRJEB4211_v1:2:12053186:12054202:1 gene:GSCOC_T00029081001 transcript:CDO99487 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNEITLVYDVVLVFLAIFISVLATFLLFFCKKKPIKAEETLQPAASCKLCACSCSLMDIDSATDGFNNRRIIGKGRLGTVYAAVMPRGELVAVKRIHPRLVLTNAGFGFSSAIKCLSLADHPHVVPIIGYSEAPGERIIVMEFEGMLSLEFYLHHNPDGAVLLDWSRRLRIAAGAARGIEYLHQGMAPPIVHGCIKPSNILIDVKFCARLCDYGLQFLAPRERQGLEGYVDHEYWVEKGGGASKESDVYGFGVVLLELLSGRRNEEGLIAKWALPLIKEMKFDELLDPRLVIPSDIKPLIRLAKVASACVGNSRPSRPTLSQIVPILNNLEVEMSI >CDP17529 pep chromosome:AUK_PRJEB4211_v1:2:29058104:29061308:-1 gene:GSCOC_T00004483001 transcript:CDP17529 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKVSNKLGIHADQDKSNKLLVNLKPSTLQHQDARNKGADLKKKMKKSRPIKRSDLERLGSLNMRRQVPQPGKPPPPKPPPPVFPNTSASPQKKSPVKTSETTPNYMKATTSSDARKERSQVSSRNLQTLFDSQSSGRKDSNSSKMSSGSVHKAARVLGRTSSSKLVRTLTKTTSFKPARASAKKCSPLVLSENLNVQRATCSSTLKDSKFPAYLALSSGATEAEGTSVMKVCPYTYCSLNGHRHPPLPPLKSFLSARRRLLKTQRSFKLGCLSPRRSKPVSSSTEEIQVEQNHEKSSSQEPWDSSMSSPVIEEKQTDFFVQIYGKGRETKVDITDSSLNHIRPAVEGRPSGDETPTQVDNRQVLAIPSDESPFSEMDSHDDSSKIIDAASSVIEVADYSTMDLDYEPDSSLQSIQDSDLGGDSPEFGSCIIPEESIFKSAITNRCFGEIQADYWAEDYVEGLDDGSHDELGLQFKDESFEPIKTQDLIDYLKDGIVQNDVEAEQVQGPDSQDFHDMIEESCEDGMEENEQGDPFKAPTKIEICISLHSSAEATGDMSAKDCKDNNLEDIRIGGKSDRTSANMELTKGAPDQSAHDNSISSGCENLNCLEENEAANFKTIASADLGGEKANPTPKNACGGTQNDCKGSLSCQELAETCKRRSWRTGCKRSTEEYQELKEFNPKAPNFLPLEPDPEAEKVDLKHQTIDERRNADEWMLDCAIQKAVNKLGPARKKKVALLLIPIQSCKANSSLQLMPLCEQRRNICNYTYSWEMTN >CDP04912 pep chromosome:AUK_PRJEB4211_v1:2:58812:60355:1 gene:GSCOC_T00019780001 transcript:CDP04912 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKQQKFVYQEALLLEVDRALNCSRLQSRKLRPANFQKLPSRRIIDHHVIGIKASSESI >CDO99921 pep chromosome:AUK_PRJEB4211_v1:2:7762180:7763506:-1 gene:GSCOC_T00029641001 transcript:CDO99921 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSVVLRSGLGRLMEGTPRSSSISAFRYFADDKGRVLSEEERAKENVYIQKWERERLEKQKLKAEKEKEKAEREKSEKKAEEQAHKS >CDO97477 pep chromosome:AUK_PRJEB4211_v1:2:19334019:19341765:1 gene:GSCOC_T00014829001 transcript:CDO97477 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGAAAASSYNPNRSAEVVQPPSDSVSSLCFSPKANFLVATSWDNQVRCWEVMRSGTNVSTVPKAAMAHDQPVLCSTWKDDGTTVFSGGCDKQAKMWPLLSGGQPVQVAMHDAPIKEIAWVPEMNMLVTGSWDKTLRYWDLRQPNPAHVQQLPERCYALTVRYPLMVVGTADRNLIVYNLQNPQTEFKRITSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHLDDAQQQKNFTFKCHREGNEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMSRCSQPIPCSTFNSDGSIFAYSVCYDWSKGAENHNPATAKSYILLHSVQESEIKGKPRISTGRK >CDP18248 pep chromosome:AUK_PRJEB4211_v1:2:48522838:48536356:-1 gene:GSCOC_T00011810001 transcript:CDP18248 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAVASTCLQSRFHVATTAFYPYLRHASESNSPLLRVLGSLKGPNYSNRRGPYFFRRFFCSDSSEDSAESGAEARRVETDGEDADSKSTAAIVPTVFKPEDCLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALVESRKRQAPFAGAFLVKDEPGTDSAIVSPSDAEKNIYELKGKELLGRLHEVGTLAQITSIQGDQVVLIGHRRIRITELVDEDPLTVKIDHLKEKPYDRDDDVMKATSFEVISTLKDVLKTSSLWRDHVQTYTQHIGDFNYPRLADFGAAISGANKFQCQEVLDELDVYKRLKLSLELLKKELEISKIQDAIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERLEPKKDKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTALPWGIYSDENFDILRAQEILDEDHYGLTDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASAMLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVDTIPNPLLDRMEIISIAGYITDEKMHIARGYLEKHTREACGIKPEEVEVTDAALLSLIENYCREAGVRNLQKQIEKIYRKIALKLVRRRAQNNPPATEVQDGQSVELTAESHGILTETSTGEGAQDGAVSVESSNIEKASETSVEETKSLDPSTDLIEKSPDQAATTEVILESDESKSNEIETVDKVLVDTNNLMDFVGKPVFHAERIYEQTPVGVVMGLAWTAMGGSTLYIETTLVEQGEGKGALHVTGQLGDVMKESSQIAHTLARAILLEKDPANPFFANSKLHLHVPSGATPKDGPSAGCTMITSLLSLAMNKPVQKDLAMTGEVTLTGKILPIGGVKEKTIAARRSEVKTIIFPSANRRDFDELADNVKEGLEVHFVDDYSQVYDLAFGYDEKREE >CDO97185 pep chromosome:AUK_PRJEB4211_v1:2:17123249:17127221:1 gene:GSCOC_T00014447001 transcript:CDO97185 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTCAGYHSTATFSSLYPPVLQDCGFSSLRPQTLRLLNHPTRFSFSHQKGYRLPQSPSPFTILCLREPKAMVVTGMSWDKLILNSDVPVLVEFYASWCGPCRMVHRVIDEIAMDYTGRLKCFVLNADDDLEIAEDYEIKAVPVVLLFKNGEKRESVIGTMPKEFYVAAIERVLAT >CDP09333 pep chromosome:AUK_PRJEB4211_v1:2:23582211:23585625:-1 gene:GSCOC_T00028655001 transcript:CDP09333 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQNGEIKIINYEKKRKNEQKRKVMQPNAFVVFFLFFLWMRVPPPTAPPPLFKAEESVFYYHVGDFLIFFVSCLVRISSLEEALYKANSSDLGIISSIISGFSQVPTLKEKSFYHRLTIINKSFSRRTVEDIISTLEREAAGSNDDWILSTIQSLKKASPTSLKISLRSIREGRLQGVGKCLVREYRMVCHVMRGEMSKDFFEGCRAILLDKDRNPKWQPSKLELVSDKMVDRYFSKVDEDDWEDLELPPRSNLPHHAIAKL >CDP13997 pep chromosome:AUK_PRJEB4211_v1:2:4948062:4964796:1 gene:GSCOC_T00039155001 transcript:CDP13997 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGDRELNVLGEFKPFGLVAEAFDGKSSSDNPQDDYSYFLFDPEVARQRDDAENTVCTSSTTDGSEHELFIRGNRIIWSIGARVYKRFSFPSKVLKACWCRMGDLSEALLCVLQINSLTIYNTSGEVASVPLPHSIISVWPLPLGLLIQDAPEGNFSVHIPFSSPSASLSTRDIARSRREVGYSSQNNSTATHAFDFIYKTDGTSGSSHLILKDPLEEPQACKTIFLISQPTYIEERGKLNIIKEFDERTIWTSNCVPLMASYNKGKMQHSVWVAEIINSNLEVVKSGLSDVVPAGVLTKQISFRRIWQGKGSNGPSSKVFLATDDDAVQIICFLLHEQKKLLSVRLHSVEIDDEIVYDIKPDMSWSIPAIAAEPVIVTRPRVNIGLLPFVDIIALTSENSLLLYSGKQCLCRYTLPSFLGNHQLSWVTKSLETSIIHEIKATGLTDAVQGRVNVVVNSGKIYRCILRCNPSSSLVNNCITAMAEALNPSFYNHFLVLLWGDSGSAFMAKVDSAVNSEWESFCHVVMTLSRRSSDVSLKLSSSDSHSSWEFLIDSMYHKNYGKHNSIAGIPPVASCNLQGSDSSRSLLMRTDNHEESFYIELLKEILDSLHAVYESLKLDILRKRDVSLLVVLLCNIADSLREEGYLDYYMRDFPSLSNDFGMCQSSLMNEIPPSLFRWLESCLLHGYSSAKISDLPFLIRKDGTSAVCWARKIVSFYSLLCGAEQLGKGLSSGVCYTVASGSHSTKEELVVLAMVGEAFGLQELDLLPVGVSLPLRHALAKCRDSPPTDWPAAAYILLGREDLAWSCPVHPRKPKENELHTNGSLTFQSAPYMAHLQPVTIPSLVSDTIELENNKLEDVDSVDGSLMDGMEHIFNSSTQLRYGRDLRLNEVRHILCSARAIAIQTPVSPTASDQDLQQAQLWQLAQRTTSLPFGRGAFTLATTCTLLTEALTVPKLVLAGRLPAQQNATVNLDPNVRNVQELKCWPEFHNAVAAGLKLAPLQGKMSRTWIVYNKPEEPNVVHAGLLLALGLHGHLRVLTITDIYQYYSQEHESTTVGLMLGLAASYRGTMQPAISKSLYVHIPARHPSSFPELELPTLLQSAALLSVGLIYEGSAHPQTMQILLGEIGRRSGGDNVLEREGYAVSAGFALGLVALGRGEDAPGFMESLVDRLFQFIGGKEQKTERFYFLTQPVDEHQRTVGQVMDGTLVNIDVTAPGAIVALALMYMKTESKLILSRLSIPQTHFDLQYVRPDFIMLRVIARNLILWSRIYPSEEWIQSQVPKVVQHCIDGLADEMDDANNMDAEAFVQAFVNIVVGACISLGKLCIFVPRKYFSLLLPVVSYL >CDO99770 pep chromosome:AUK_PRJEB4211_v1:2:9023011:9030481:-1 gene:GSCOC_T00029457001 transcript:CDO99770 gene_biotype:protein_coding transcript_biotype:protein_coding MQLICFCGIVICRGKVKERRLAGISKAKKKILLRDLWHTDSKMAIYEYDPDVIRWGLHHLDVCIPNDSGCPNTVTKYDRDLSEVAYVDEGYCEPMQANVYNDEIIAHAYQEELSRLAAAETSGSSHAEEEHQQISVLSQDWFGSSRRHCNSECQSNQDDLDEAGMFKLCPSPGRAVVNAEDQPGSPEIEDESALDGEVGRRLNQMFSVPHVPRINGEIPSVDEATSDHQRLLDRLQLYDLVELKVSGDGNCQFRSLSDQIYRTPEHHKFVRHQIVTQLQSHPELYEGYVPMAYDDYLKRITKTGEWGDHVTLQAAADSYGVRIFVITSFKDTCYIEILPQIQKSDRIITLSFWAEVHYNSIYPHGEFPELESKKKKRWWWPGG >CDP08629 pep chromosome:AUK_PRJEB4211_v1:2:54323111:54327388:-1 gene:GSCOC_T00027657001 transcript:CDP08629 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPMIPVMPSFPPTNITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQTPTMPPQMAAHPAMQQGGFYMQHPQAGAMAQQPGVFPAKMPLQYNNPHQLQDHHQQQLHQQHQQGIQGQVSVRPGGPNNGMHPMHSEAALGGGGPPASSGPSDRNKQDASEAGPSGADGQGNSATGRGGSGEGSEEAK >CDP09462 pep chromosome:AUK_PRJEB4211_v1:2:22073348:22074546:-1 gene:GSCOC_T00028837001 transcript:CDP09462 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQINIGSLANHDSNLSSSSTTTTTTTSSSSSFSTTSNALNSPSTTSTSSSSSSSNQSTKATTSTRTAKAGKAQESSQELQQVAKINGNENQPRKKHKNGDDEKHPTYRGVRKRNWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGHSAYLNFPRLAHELPRPATTSPKDIQAAAAKAAAATFPGENGGGAEAEPIQAELTNCHSSTDFSLHNMQESSNSASTDDDIDDTFFDLPDLSFDVTGATDHLDGFNCFHGSSSWWPQLHLAPAAEPVFRLEEPFLWECY >CDP08836 pep chromosome:AUK_PRJEB4211_v1:2:52593452:52607373:1 gene:GSCOC_T00027945001 transcript:CDP08836 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEDEKLMNIFWLRPAFQKNSWRAGGWCSSSSKTLALTTTVLVLLIVLVVSSLAFAGWVDLGNYSGISYENALNLFVRTPSSPPPPPPPPLQFPLDCTAWNQSKTCPNNYPIKYEPTNLDPSSNTTCPDYFRWIHEDLRHWKGTGITREMLEGARRHAHFRLVILDGKVYAEKYRESIQTRALFTMWGIVQLARWYPGRLPDLELLFDCNDRPVIPSKAFRGPNAGPPPLFRYCSDWSNLDIVFPDWSFWGWAETHIRPWKSLLKDIREGNKRIKWKDRVPYAYWKGNPHVAPWRGDLMRCNVTEKEDWNARLYVQDWIKEGQTGYNQSNLEDQCTHRYKIYIEGWAWSVSEKYIFACDSPVMLITPRFYDFFIRGMVPQRHYWPVRDNDKCRSLKFAVEYGNNHTEKAEAIGAAGGRFIHEDMKMEYVYDYIFHLLNEYAKLLKFKPTIPPNAMELCAEALACPADGNWRKFMEESLEKSPSLHTNPCTLPPPYSPEALKGFNDEKFKLTKENDFSSISYENVLKLFEKTTRFHPPPLEHPLDCIAWDQKNKTCSSKYPTTYQPMNNHYPSSNPTCPDYFRWIHEDLKPWKGTGITRDMVERAREPAHFRLVILDGKVYVEKIKEFVLLVDQDFSNWEEEVRTGFNHSNVADQCTYRYKIYIEGYGWSVSEKYIFACDSAVLLMTPRFHDFFIRGMLPQRHYWPVKDNDKCRSLKFAVEYGNNHTEKAEAIGAAGSRFIHEGMKMEYVYDYIFHLLNEYGKLLKFKPTIPPNAIELCPESMACPADQNWRRFREESLFITKPECFLNCLESLPVAQNANHSGVEEECPEYFQWIHEDLKPWRATGISREMVERGRVLAHIRVVIVGGRVYVENYKRAFQTRDVVTVWGILQLLRFYPGKLPDLDLMFECGDKPVIQKQDYEGSEATIPPPMFHYCGDNQTYDIVFPDWSFWGWSEVNIKPWELLKKDLKESSDKIKWMDREPNAYWKGNTLLGPQRRGLVKCNVSKNKEWNTQIYDLDWRRERKEGFKTTDLASQCRHRYKIYVEGHAWSVSQKYILGCDSMSLVIDPHYYDFYSRGLLPTVHYWPISENDMCGSINNAVKWGNKHAKQAQEIGKAGSRFVQEKLMMRHVYDYMFHVLYQYAKLLKYQPTVPKGAVEVCSETLICNSKGLRKKFRSYSMVTNPAESSPCTLQPHFNQKKTQDLLKRKEHLKKQIEPFEAGENIRR >CDO99578 pep chromosome:AUK_PRJEB4211_v1:2:11221200:11222180:-1 gene:GSCOC_T00029213001 transcript:CDO99578 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAKTNSPAVPEKAPIRVAAICGSLRKGSCNRGLIRAAIEISKESIGGMIIEYIDISPLPLLNTDLEVNGTFPPEVEAFRDKIRQADSVLFASPDYNYSVTAPLKNAIDWGSRPPNVWADKAAALVGAAGGTGGALQQYHVRQIGVFLNLHFVNKPEFFLRRPSAPPQKCDEDGNLIDGEVRERLKEVLLSLYAFTLRLQGKSK >CDP14052 pep chromosome:AUK_PRJEB4211_v1:2:5402650:5406782:1 gene:GSCOC_T00039234001 transcript:CDP14052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit F [Source:Projected from Arabidopsis thaliana (AT2G39990) UniProtKB/Swiss-Prot;Acc:O04202] MASGGQTILQFPQASTNLTARIHPLVIFNICDCFVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHNESSDQVALDIDYHHNMLASQQKVNPKEVIVGWFSTGSGVTGGSALIHEFYSREVPNPVHLTVDTGFTNAGASIKAFVSVNLFLGDQQLAAQFQEIPLDLRMVEAERVGFDILKTTMVDKLPGDVEGMEATMERLLALIDDVYKYVDDVVEGRVAPDNNIGRFISDSVSSMPKLSSQAFDKLVNDSLQDQLLLLYLSSITRTQLSLAEKLNTAAQIL >CDP04975 pep chromosome:AUK_PRJEB4211_v1:2:521456:526420:1 gene:GSCOC_T00019859001 transcript:CDP04975 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRDTGLSTKGRCRRVSRIGSYAIASSIADPTCISCTTFNILAPIYKRLNHEDQSIRESEKKAYWLNRNHRILDWLLYERSSIICLQEFWVGNEELVNIYNKRLGAAGYTIFKLARTNNRGDGLLTAVHRDIFRVMNHRELLFNDFGDRVAQLLHVELIAPFSQSRNSNVRQEILIVNTHLLFPHNSSLCLERLRQVYKILQYVESYQKENKLNPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDTAHQYADADAHKWVSHRNHRGNICGVDFIWLLNPNRYRKLLKTSWSEAVFGMFKYQLRRASLTEHDAFAFLKADSDGDYITCTGFCEALRQLKLVGHCYGLSIDETKDLWVQADIDGNGVLDFEEFEQRIWHPTWSEVREEFSKDGWDDVVHGTEQTIGFSVKNAVLFPTEVEKGMWPEDYSLSDHARLTVVFSPVRMPCSRLIS >CDO97140 pep chromosome:AUK_PRJEB4211_v1:2:16759565:16761292:1 gene:GSCOC_T00014388001 transcript:CDO97140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15820, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15820) UniProtKB/Swiss-Prot;Acc:Q9XIL5] MQSKGFEESREVLVSVLRACSNEGDLEEAEKTWNKLLSFNKDPPPQAFVYLMEVYAKVGEPMKSLGVFRSMQELLGSASIMAYHKIVEVLSKARNTELVESLMVEFINSGLKPLRPSFIHLMVMYSNLGLHDKLESAFIQCLEKCQPNRTMYNIYLDSLVQVGSLERAEEIFSQMYGNATVGVNARSCNTMLKGYLSCGDYVKIEKMFDLMYCNNYEIEPALKKKLDYTLSLSREVVRTPPKLKLNDVQREILVGMLLGGLRMASGQDNRKFAITFEFNEQSGIHSVLKRHIHDEYHEWLDCGNPVDGVDGTPFHFTTISHSCFTFYAEQFWPNSQPAIPKLIHRWLSPRVLAYWYMYGGHRTPGGDILLKLKGSQESIARVLKALKTRSLENRVKRKGRVFLIGFQGSNASRFWNLVEPFILDDLKDVLQVGSVNPSETAENQNINFESGSDYDENASDYGEDDNL >CDP08851 pep chromosome:AUK_PRJEB4211_v1:2:52462338:52464794:-1 gene:GSCOC_T00027963001 transcript:CDP08851 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALGVVPGLAVILVGDRKDSATYVRNKKKACEDAGIKSFEACLPKDATEEEVLKHISSSNNDPAVHGILVQLPLPSHINEQNVLNAVCIEKDVDGFHPLNIGRLAMRDREPLFVPCTPKGCIELLHRYGVVIKGKRAVVIGRSNIVGMPAALLLQVRLLLAGNLSIKIFQFEKKPLKYTIYNLILNGLGTRTVLVSYIAFKAKASPSNIDGSTLLFKFWSNVRT >CDP05317 pep chromosome:AUK_PRJEB4211_v1:2:3260587:3262012:1 gene:GSCOC_T00020314001 transcript:CDP05317 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKSPVKVLVQGQELHDKSSGSGGWRPEQQIASFDSVGKMSDSVGTLQQLKVPHEFVEMFKTESC >CDP15530 pep chromosome:AUK_PRJEB4211_v1:2:46145261:46147240:1 gene:GSCOC_T00015395001 transcript:CDP15530 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKIEEFGGKREIIKHFSHEHPLVLVSAQNVPRDSKCYGCELLISEPRCYECSSNECQTIFLHKSCANLPREIKHPIHAEHPFTLLAKPPYTKCFCSSCGKFMEGFTFNCSFCKFDLCVECAHLVLQKRRLKHDSHHHFLTSMQRPATFSCDGCDEKKEDWSFSCNICPYWIHRSCAFLPTTKKRDDHEHPLSLAYHLPFDLRLNHFNCDVCRRPLNPSRWFYQCYSCKHFVHVGCVQDGTKPAGAKGSSSSASNQPDHGLDFKPLPWTDMSADLIRPFLEKMGDVEIQLTNQINHFSHEHQLILSVAEVDKDKNEEEMLCDACIEPISTPYYTCSQCNFLLHLNCANIPAKMKAHHDHPEHQLSLRKLENKYGYFYCNFCFLNCNGFFFECEPCNYRIDLHCAFLPRTITHQIHDQHVLNRNIAPMECLCDACGNKFFGDASEKKISGLVRYSCDDCFKLSLHYQCAIMPHTVAHRWDKHCLTLMYPPFGDHPDEFYCEICGEEINPKHWLYHCKECDQSFHLYCIPRLRKDRFMKFGKTLQVSDHQHPLTSIRECRNGSSCNRCSTKLSGGKGFECGKCKFFLCYKCACDVPPEPAVCVIL >CDP09466 pep chromosome:AUK_PRJEB4211_v1:2:22026216:22027694:1 gene:GSCOC_T00028844001 transcript:CDP09466 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQLHVFFFPFFAHGHMLPTLDMAKVFSSRGAKATVITTPLHAPVFNKAIEKCKQLGFDISIRVVKFPAVEAGLPEGTESADQLISDDMLPNFFLATRLLQEPVEQLLQECRPHCLVADFFFPWATDSAAKYGIPRLLFHGSSSFAMSASESVWRNKPYRNVSSDDEPFVIPDLPHDIYITRGQVSTYERQEVENEFTKIMKQVRESELRSYGVIVNSFYELEPDYAEHYTKKLGRRAWHVGPFVLINKEAEDKAERGKKSAIDQLQCLEWLDKQKPNSVVYVCFGSMSNFNAAQLHEIAKGLEASGQQFIWVVRNCVDEEDSKRWFPEGFEERTKETGLIIKGWAPQLLILGHEAVGAFVTHCGWNSTLEGVSCGVPMVTWPLFAEQFFNEKLLTNVLKIGVGVGAQQWSRRTTQIISAEALTKAVDRIMDGEEALNIRGRAKALKEKARKAVEEGGSSYSDFNTLVEELSTYHHASKKPSLSEGANILT >CDP07727 pep chromosome:AUK_PRJEB4211_v1:2:25460928:25466157:1 gene:GSCOC_T00025077001 transcript:CDP07727 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVIDLAPYLETSTKLRSESDCTNLDPEMKSLCEEVSRTLRETGALLVKDPRCSAEDNDKFLDMMEKYFEKPEEFKRLQGRPHLHYQVGVTPEGVEVPRSLVDEEMQEKLRAMPKEFQPATPTGPDPKWRYMWRVGPRPPNTRFKELNSEPVIPEGFPEWKATMDSWGYKMISAIEAVAEMAAIGFGLQKDAFTSLMKQGPHLLAPTGSDLRRHGQEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGQKMGVKVPVGCLLIQTGKQIEWLTAGDCIAGMHEVVVNSRTTDAIKVAIKQNCSLWRVSSTLFAHIASDAVLKPLGHFADSPHGGIYPPICAGEFVEKELAVINLKGRKGEP >CDP13895 pep chromosome:AUK_PRJEB4211_v1:2:4159243:4163004:-1 gene:GSCOC_T00039029001 transcript:CDP13895 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNQPLPLCRPPTPLAAALRMLSPASSLNPSANTRLVNRGTKPTTSTDSSSCTTPIKIHPSSNPKIAFPTSQNFPQALTPFKCSFATTATNPATISNSCEAKMEKLEASNDVCPESPLVVVSFYKFADFPDHADLRKPLKELCERLRVSGGIILAPEGINGSICGTRDSVENVLAFIQNDSRLWGLRRVESPVSPEEEAIHHGHMSSSPLAAGEDAPFRWDHVRVKLKKEIVTLGLPSVSPIERVGEYVSPRDWNALVSEPDVVVIDVRNDYEIRIGKFKGAVDPCTTAFRDFPSWVVDKFGLAEPEDQVETACSPGNTGAAKGKNILPRVAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILNYLEEVPKTESLWEGECFVFDKRVSVEHGLVQGTFKLCYGCKQPVSDEDMEAPEWEYGVSCPYCYSSKSEEEKERARARQRQFERWGIIGGPDKGRRPVRAGCNGESTGEISNSI >CDP13898 pep chromosome:AUK_PRJEB4211_v1:2:4195124:4200203:1 gene:GSCOC_T00039034001 transcript:CDP13898 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVGIGLLLEFLLVVNWMMAGVKGSIHEYRNAAFIPQYNSFFFLGGNEGLHASRVVGDTQKNSSDDSSNPLDGKSFIRFDSISFRRTKEAANKQNEMQQSTGTVEAIIVEVKDRNKIGGSFINSVAICCTPALAKDGSCKLGEVIIRQEPDNPGWPMRIQTSFEGNNEEATMVLKTVEINKTGMYYLYFMFCNPELRGTLISGKTVWHNPDGYLPGKMAPLMSFYGFMSLAYLVLGLFWFVRFVQCWKDVIQLHYHITAVIGLGMCEMALWYFEYSNFNAVGYRPMAITLWAVSFSAIKKTVSRLLLLVVSMGYGIMRPTLGGVTSKVFLLGIIYLMASEALELVEHLGNINDFSGKARLFLVLPVAVLDATFILWIFSSLSKTLEKLQIRRSIAKLELYRKFTNALAVSVLLSVAWIGYELYFNASDPYSELWRTAWIIPAFWTLLAYLLLTVICVLWAPSRNPTRYTYSDETAEDEEEGVPLTSSGVKVAGDLATKLERKERKASITSDHVFGLGEDLEEDKRE >CDO97367 pep chromosome:AUK_PRJEB4211_v1:2:18481507:18486366:-1 gene:GSCOC_T00014689001 transcript:CDO97367 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWTSRASADEDMVEQVLMRTQDRSEAPPGNSNPATSSGGAFRDSSTMQKRLQRLSRNVSEAIASFKNSLNLDLVRDLPSPTQISRGENGRKHVWATVVRSLTQLYPGSQLPEKLVSNIRKHYDSLPDSYAQAGFEMKDVFLHMKLVEQSITEDQPALMTQEVSDDEANGSVVKLTFACNSSLSWPAMSGALDSASICCKKVQIFERKGLTLGIVMLLVQSGQEKLFKTQIESALKVALKKPKSTSMKLSFGLCGCQEDNARGREFGEPDEDCVEQSHRNGIENGSPKVQLRVPLPTTSIVVSVDEWQTVQSGGNEIRKWLLSTDNLEFIDQIGATTFKGVYKGKRVGIETLKGCDKGNAYDFELREDLLELMTCGHKNILHFYGVCIDENHGLCVVTKLMEGGSVQDLMSKNKKLHTREIMRIAADVAEGIKFMNDHGVAYRDLNTQRILLDKHGNSCLGDMGIVAACKSTGEAMEYETDGYRWLAPEIISGDPEGVTETSMSNVYSFGMVLWEMVTGEIAYSAYSPVQAAVGIAACGLRPDIPKDCPQILRALMMKCWNNCPEKRPQFSDILSTLRRPPINSCHDVAR >CDP07818 pep chromosome:AUK_PRJEB4211_v1:2:26915443:26919904:-1 gene:GSCOC_T00025216001 transcript:CDP07818 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGWRCSLSTLDLGPVVLSSETACRRWKNPSIDKTEFWVVEEEQEGELDYEELEEELEELPIHGQCSNSEQLEDNEDEAEDVDLETFQRRNFFNDEDDDWH >CDO97139 pep chromosome:AUK_PRJEB4211_v1:2:16757920:16759504:1 gene:GSCOC_T00014387001 transcript:CDO97139 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSSPFSSSAQTPLPNVRTCPTQSSKFPTSLALPCYLYLRPSLRLRFITFPPLYSVSATTSVQQLDRSPEKSLPDDDNLEILKNGETEGFDFDGPFESTELRRFDSPTVQVKELDELPEQWRRSKLAWLCKELPAHNHGTLIRLLNSQRKWMRQDDAAYVVVHCIRIRENETAFRVYKWMMQQHWFRFDFGLATKLADYMVLLLKVA >CDP14037 pep chromosome:AUK_PRJEB4211_v1:2:5298465:5301510:1 gene:GSCOC_T00039211001 transcript:CDP14037 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWNDSHDLCRWEGIQCGRKHKRVTSINLKDKGLVGFLSPFLGNLSFLRALNLTNNTFQDEIPAQFGQLFRLQILSLSWNSIEGAIPSSLGNLTSLTSLAVADNHLVGNIPETLGQLRNLRVFEFGGNDLYGTIPPSIYNLSQLKTLSVIANQLHGSLPSALGLMLPHLRYLLLADNQFTGMLPASITNASDLTTIDIGNNRFKGKIAFDFGGLPNLVVLFAPDNNFGSGELDEMHFLSTMTNWSNLVGVDLGSNQLKGVLPSNIGNLSQFFRILSLGDNHIYGGIPSVLGNLVSLYNLVLEGNQLTGSIPSTIGNLQKLQMLALDSNKLSGKVPDSLGNLSLMNKLYLGSNMLDGTIPPSLGNCQDLLFLQLSQNNLSGFIPKEIFGISSLSIYLGLSNNHLSGTLPLEVGNLENLAEFDASENLLSGELPETLGSCSSLESLSLAGNLFQGSIPKFLSSMRAIQILDLSRNNFSGQIPHFLEELAIKTLNLSFNDFVGEVPTKGVFANASAISVVGNRRLCGGIFQLQLPNCRILRDSEKHKKPLRVIIPIIIPTSIVFLGVILISIFRQRSLKKRSYETCLSGGLFLKLNYKQLLQATNGFSAENLVGVGSFGSVYKGNLNEERNLTVAVKVFNLLHHGAFKSFCAECEVLRNIQHRNLVKIITSCSSLDFQGNEFKALVYEFMPNGSLDNWLHSWEEDQQKKSRRPNLLQRINVAIDVACALDYLHHHCHAEIVHCDLKPGNILLDNDLTAHVGDFGLAKFLQSPLNLQESSSAGIRGTIGYYGLGAEVSTYGDVYSFGILLLEMVTGKRPTHALFSEGLDLHKFVEMAVPDRVMDIVDPILLHNDHEALAGDSKCSQLEDCLISLLKVGLACSMDLPQDRMNMAEVFSSLKSIKDTFSMARL >CDP00015 pep chromosome:AUK_PRJEB4211_v1:2:6988551:6991023:-1 gene:GSCOC_T00029770001 transcript:CDP00015 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLIEFGRKAMFYVRVLSGYEERRIRSYRLQLEQRLQKAQERKEALKQVPEQIILSEVKRMVEDMQALNKKLEETEAAIEDYFKPIDKQADIIMKMQLEGEERKMKDMMRAMQQQALLEKAEAEKTAHGQTTDINQQTEEKQPAKPSHAELR >CDO96827 pep chromosome:AUK_PRJEB4211_v1:2:13573077:13577108:-1 gene:GSCOC_T00013975001 transcript:CDO96827 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSHLYFPLGLFLLCSLLSCLAMAAPNITSDQSALLSLKAKITGDPHEILASNWSATSSVCDWRGVTCGSRHRRVTALNISNLGLTGTIPPQLGNLSFLMSLDMSGNNFYGELPHELIRLSRLRVLSLGINMLSGNIPSWVGSFQQLRHFSLKNNSFTGFIPPSISNMSKLETFNLQFNSLQGAIPMEIGKLNNLSGSLSSSICARLQGLSWLDLSSNELSGMIPASLSECSKLQVLRLFDNNFSGVIPEGFGNLTALKQLYLNENNLIGSIPLELGCLKHLEKLRLGLNSLTGSIPAQIFNISTLQVLDLSNNTLSGRLPSSMGCGLINLEELYLFWNEFDGVIPASISNASKVTILELIGNIFSGPIPNSLGNLRLLRHLGLFGNHLTTEPSSRELSFISYLTNCKYLKFLGFAENPLHGFLPMSVGNLSTSLERFYAYGCGIKGSIPDAIGNLSNLMLLTLEGNHLSGPIPSTMKYLQNLQALDLDDNQLSGSIPDCICKLKRLYLIYLGQNQFRGSMPSCLNNISSLREIDFAGNLLNSSIPGSLWNLTDLLRLNLSYNSLSGLLPYETGNLKVVTLLDLSGNHLNGNIPSSLGDLQSLATLSLAQNKLQGPIPDSLSHVLSLQFLDLSNNNLSGPIPKSLETLLYLKHINLSFNRLRGEIPSSGPFENFTYESFMSNDDLCGAQRFHVPPCPSPRIHKSSQKKVFHMLGILSGIAATTIALTTAAILLLRCRRKDGISRNTDLLPMGLPKMISYYELVQATNGYDESNLLGKGSFGSVYKGILTDGTVVAVKVFTLLAEVISGSFDTECEVLRNLRHRNLTKVIGSCSNLDFKALVLDYKSNGSLEKWLYSHNHPLDLLQRISIMMDVASALEYLHFSYTTPVVHCDLKPSNILLDESMVAHVSDFGMAKFLDEENSVLHTKTLATLGYLAPEYGLEGQVSTRVDVYSFGIVLMETFSRMKPSDEMFKDDLSLKSWIEESLPNATTQVIDANLLGRQDEHFNEKLECISVIFKLALSCCAECPRDRTNMKDVVAVLQKIKRQLESFPNLSA >CDO99994 pep chromosome:AUK_PRJEB4211_v1:2:7134388:7135331:-1 gene:GSCOC_T00029745001 transcript:CDO99994 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGGKMLISKGNFSNSFLFVVNNNQHSLSTRDHNEECILLRLGHLLFCFLSGCLSYLLMFMFSSAFFSHCVSYCLLILSPSSCCETCDAFTLRSWHFS >CDO99904 pep chromosome:AUK_PRJEB4211_v1:2:7895462:7899498:-1 gene:GSCOC_T00029617001 transcript:CDO99904 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIQPDKSWAGICLLGLTSQECSSERFLASYSEWFNKILSHMQQPPSDSHFVRVASCASMSDLFTRLGGFPNAKKDGNSQASKLIQPVLKLLNEDSSDAFWEEAISLLCTLTNAFPSSLSRHYDNVESSIFSKFMSENCPVSIFKKLAHGLALLPKSRGDEDSWSLMMQKVLIFINNQLNVMFQGLEEEARSSEVVRLLLPPGKDPPPPLGGLTASAKNSDQAMKRPEQVLVSRVSTLMTCCCTMLTDAYPVQVSVPVRSLVALVKRVLMVDGSFSQSSPFMTAMRQDLICLELPELHRCSLELLSSIVKGLRSQLLPHVADITRLLTEYFRTCALPELRIKVYSIMKVLLMSMGIGK >CDO99901 pep chromosome:AUK_PRJEB4211_v1:2:7922132:7926293:-1 gene:GSCOC_T00029613001 transcript:CDO99901 gene_biotype:protein_coding transcript_biotype:protein_coding MITRYLLPLPKLKSPGVAYHVGSCPPFLHQLSYHHRRPAKLRPSFWAPPPLPRSRPCGLAIASSDFCQVFGPGDAVGMTQSVASPPTTRLGSNLMTHGVKPPPLTSALMIKTIIPLLFVGFLAWILQSIRPPPPRICGSPGGPPITGPRIKLRDGRHLAYKEHGVSKELARYKVVLVHGFGSSRHEAEIATAEAVQELGIYFVSFDRPGYGESDPDPKRSFRSLALDIEELADQLELGSKFYVIGFSMGGQVAWGCLKYISHRLAGAALVCPVINYWWPGFPSNLSTEAYYQQLPQDQWALRVAHYTPWLTYWWNTQKLFPSSSVISGRPKLSRRDLEIISKLADRQSQTEYVTQQGEFESFHRDMIVGFGKVEFDPMDLKNPFADGEGSVHLWHGDEDGLVPVALQRYIAEKLPWIRYHEIPNAGHLLVLDNKEAILKELFTV >CDP13836 pep chromosome:AUK_PRJEB4211_v1:2:33613959:33615365:-1 gene:GSCOC_T00038931001 transcript:CDP13836 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGPHFKEANNFLWLFKLKAPLALPLGGLKKKRNHYVERGDAGNREDYLIRRMN >CDP06793 pep chromosome:AUK_PRJEB4211_v1:2:36197155:36204186:-1 gene:GSCOC_T00023768001 transcript:CDP06793 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIAIRLFVSFFFCLNVLNLLYHIVRKVNEGENANVACYSYKLYKEDAKIAKNIGLDSYRFSISWTRVLPGGRLSAGVNREGIQYYNNLIEELLAKAMFRHPTFVTLHHFEVPQVLEEQYGGFLSDKIILHNFICIIFIILIFCFLPSLKDFLEFAELCFWEFGDRVKFWTTFNEPWTFIYKGYVAGKWPPCRVICEDGDPGREPYTVSRNLLLAHAEAVHLYRKKFKTYNTLCKLQSNVLDRDNLFRQIFVQAQGGQIGIVVYSSWFEPFTQSERNKEAAQRALDFQFGRFMDPITYGQYPKSMTDIVPPDRLQRFSEEESGKLRGSYDFLGLNYYTARYAVASDPKFGPPSYDNDQHCTSEKNSHALKTEKCVIYILLNAGNDWIYIYPKGINKLLCAIKQLYNDPPIYVTENGVADANNINYTVCEARKDEVRITYLREHLKEIRLAMIEKRVNVKGYFVWALLGNFEWASGYKDRFGLVYVNFKDRHLSRFPKVSALWYMNFLGRKYRPIQHQPPPTNGLLEDEKLDITSNSAEMVVSESPNKRPRKI >CDO96984 pep chromosome:AUK_PRJEB4211_v1:2:15536036:15536736:-1 gene:GSCOC_T00014190001 transcript:CDO96984 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIVVWVINGTAPNPVRLGEMCEQLGSVLGRPSWLPVPDIALKAVLGEGASVVLEGQKVLPARAEELGFPFKYRYVKDALKAIMT >CDO97001 pep chromosome:AUK_PRJEB4211_v1:2:15679524:15683910:-1 gene:GSCOC_T00014209001 transcript:CDO97001 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MVTSVYASQSLLLLVQPSCLKRRLPTSKKLIISSGSVFTIGHKSKSKQPMVTFRNSKRDANADFEAGKRLYLGMDFGTSGARYALIDEEGNILAEGKRDYSSFMNKEKVDLVQSWKKTLFSLLGDVPVSLRSNIASISVDGTSATTMIIDGKTGESLSKPFLYNDSCPDALPLVKSIAPENHTVCIGSSTLCKLVSWWNSCGSNKDSVVLLHQADWLSWLLHGKLGVSDYNNALKVGYDPEIDSYPPWLLAQPYSFVLPSVQAPGTPIGYMKEDVRTNFGFSENCVICTGTTDSIAAFLAARATQPGKAVTSLGSTLAIKLLSTRRIEDSRYGVYSHRLDDKWLVGGASNTGGAVLRQMFTDDQLEKLSEKINSSEASPLDYYPLLSVGERFPVADPDMQPRLHPRPESDVEYLHGILESIARIEAKGYNLLKDLGATPVEEVFTAGGGSKNDKWIKIRERVLGLPVHKALQTEACYGAALLAMKGSSLKGR >CDP05092 pep chromosome:AUK_PRJEB4211_v1:2:1501128:1505358:1 gene:GSCOC_T00020023001 transcript:CDP05092 gene_biotype:protein_coding transcript_biotype:protein_coding MENSADWELGNLINELMLGRELAKQLQVHINAPSSSPESRDSLVHKILNSYEKALNMLKSNAAVGVGEREQSTGTTIAMSESRSRQNTGLAIGMSDSPRSLSASPHSDDSDREFRDQDSRDVSRKRKTMPRWTKHVQVCPGMGLEGPLDDGFSWRKYGQKDILGAKHPRGYYRCTHRHVQGCLATKQVQRSDEDPTIFEVTYRGRHTCNQGSEPKPPAAAAEKPETSSQGKHRQVTSQPQQNQQGILLSFQRDLKVNTKDLDSHNQHFPTFDFASTSTTKPETHLFLPSLTDNNFAGDLSPPFMTPVTSGSAYFSVSPTHISSYAENQNSYASESEFTGLISAATSTTNSPTVGSDFPFSQIGFDTNFTFDNSGFFS >CDP05192 pep chromosome:AUK_PRJEB4211_v1:2:2323062:2327844:1 gene:GSCOC_T00020155001 transcript:CDP05192 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTLSGLLIKVAAKFPSRRAISVPGRFDLSHARLHQLVERTATRLVAAGVQPGDVIALTFPNSVEFVIAFLAVIRARATAAPLNPAYTADEFQFYLSDSDSKILLTSKQGSAPAQAAASKLNIPHVTVALPDADSDVALSSSLSQYESDPEPDPNLSSKLINDPSDISLFLHTSGTTSRPKGVPLTQLNLVSSVNNIKSVYRITESDSTVIVLPLFHVHGLLAGLLSSVGAGGAVTLPAAGRFSASTFWSDMKNYNATWYTAVPTIHQIILDRHLNSPEPVYPRLRFIRSCSAALAPSILARLEEAFGAPVLEAYAMTEASHLMASNPLPQDGPHVPGSVGKPVGQEMAILDENGKPQEANANGEVCIRGPNVTKGYKNNPEANKSAFQFGWFHTGDLGYLDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLSHPDVAQAVAFGVPDDKYGEEINCAVIPREGANIDESEVSRHCKTNLAGFKVPKKVFITDSLPKTASGKIQRRIVAEHFLAQISTAKVPKFGA >CDP04970 pep chromosome:AUK_PRJEB4211_v1:2:489972:490763:1 gene:GSCOC_T00019853001 transcript:CDP04970 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEENGKVTAAASATTGGGGGGAPEIPKKSLFRYNSPLVQVGLIGVVCFCCPGMFNALSGMGGAGQLDPTASNNALTALYTTFAVFGIMGGGIYNILGPHMTLFASCSTYGLYAGSFLYYNHYQHQAFVVVAGGHLGIGAGLLWAAQGAIMTSYPPHGRKGTYISMFWSIFNMGGVIGGLIPFVLNFHRTEAASVNDATYIGFMVFMSVGMILSLGILHPSRVIRDDGSSCTNIKYSSVSTEVVEILKLFLNWKMLLMVPAF >CDP04980 pep chromosome:AUK_PRJEB4211_v1:2:557985:559751:-1 gene:GSCOC_T00019867001 transcript:CDP04980 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPVVEELDVDKKLQGDEPVVEDVKDEDDHEDDADDSDDEDDDKEDGAQGTNESSKQSRSEKKSRKAMLKLGMKPVTGVTRVTIKRTKNILFFISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAQQFRMPDMGSVMAKSDISASGAAVQADEEEEEIDETGVEPRDIDLVMTQAGVTRCRAVKALKAHDGDIVSAIMELTT >CDO96780 pep chromosome:AUK_PRJEB4211_v1:2:13000202:13000780:1 gene:GSCOC_T00013906001 transcript:CDO96780 gene_biotype:protein_coding transcript_biotype:protein_coding MISTARPYFDQIPRFSFWNSNKMNKYQSFLLQQEELYITSIDFSSIHQPFWISPPNNTKLQQLCVLVCVFG >CDO99782 pep chromosome:AUK_PRJEB4211_v1:2:8921300:8927337:1 gene:GSCOC_T00029472001 transcript:CDO99782 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIRLRRLGTVALAAGGAYTILRDPSISVNDRGGGSALQIVKQKIADPFAVIPCRAVQESALMGASLANPLDVLVVGGGATGSGVALDAATRGLRVGLVEREDFSSGTSSRSTKLVHGGVRYLEKAVFNLDYGQLKLVFHALEERKQVIDNAPHLCHALPCMTPCFDWFELIYYWMGLKMYDLVAGRHLLHVSRYYSAQESLELFPTLARNGKEKTLKGTVVYYDGQMNDSRLNVAIACSAALAGAAVLNHAEVVSLLKDDVTGRIIGARIRNNLSGKEFDTYAKVVVNAAGPFCDSVRQMADKKAKPMIIPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITMLPEPHENEIEFILDAISGYLNVKVRRTDVLSAWSGIRPLAMDPKAKNTESISRDHVVSEDYPGLVTITGGKWTTYRSMAEDALDAAIKSGKLNPTNKCLTYNIQLVGADGWEPASFTILAQQYMRMKRTYRGNVVPGVMDTASAKHLSHAYGTLAERVAAIAQNENLGKRLAHGYPFLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAANRALPRVIEILAAEHKWDKSRQKEELKKGREFLETFKSSKNAQFHDGKHK >CDP15200 pep chromosome:AUK_PRJEB4211_v1:2:49529275:49535751:1 gene:GSCOC_T00042821001 transcript:CDP15200 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKENLKRLAGIDLQICSAQVNESTDFTELTNQEPWLSSTQLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKARLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKPMTTEACAPLIATLPLEVRGKIGDFIMGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGMIEFPLPFGRVLSSTESFVHTLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATANPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKAARMHIYVRRGGPNYQTGLAKMRALGEELGVPLEVYGPEATMTGICKEAIECIMSTA >CDO97586 pep chromosome:AUK_PRJEB4211_v1:2:20162298:20167102:-1 gene:GSCOC_T00014968001 transcript:CDO97586 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESSAAAATLAVSPVTEEEITLTVKWSGKEYTVRVCGDDTVGELKRRICEVTNVLPKRQKLLYPKVGSKLSDDSALLSQLSLKSSLKMTMIGTVEDDIYVDLVDPPEVVDDFELGQDEVIEIKDKEVNKQKLRRRIEQYKIVLRNPCREGKKLLVLDIDYTLFDHRSSAENPIELMRPYLHEFLTAAYAEYDIIIWSATNMKWVELKMGQLGVLDHPNYKITALLDHLAMITVQSDTRGVFDCKPLGLIWAHFPEFYSSKNTIMFDDLRRNFVMNPQNGLAIKPFRKAHANRGTDEELLKLTQYLLAIADIDDLSSIDHSDWESFNEDSYKRRRQE >CDP13861 pep chromosome:AUK_PRJEB4211_v1:2:3909137:3912780:1 gene:GSCOC_T00038983001 transcript:CDP13861 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLVDCSNCRTPLQLPQGAKSIRCVICHAVTLIADPRGVPPPPPPQPPAPYYHPPPPPSPYNHAPPGQPPRVHGRKKAVICGISYRNTRQELRGCINDAKCMKFLLINRFKFPSDSILMLTEEETDPYRIPTKHNIRMAMYWLVQGCQPGDSLVFHYSGHGSQQRNYNGDEVDGYDETLCPLDFETQGMIVDDEINATIVRPLPRGAKLHAIIDACHSGTVLDLPFLCRMDRTGRYTWEDHRPRSGAWKGTSGGEVISFSGCDDDQTAADTDALSKVTSTGAMTYSFIQAIERGQGTTYGSILNSMRSTVTSNEDGLGGGIVTSLLTMLLTGGSLSGTRQEPQLTANEPFDAYTKPFSL >CDP08706 pep chromosome:AUK_PRJEB4211_v1:2:53672177:53676547:1 gene:GSCOC_T00027767001 transcript:CDP08706 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNGSYNLNVKKNPFSSILVKFVICFLLLGLAYRLYSSSYVQFSPVEVTTETTTINGDDDVGPQSLPPPVISGLPPVSTIDPPPEAVDVNKNTTSQAGKCNLFVGDWIPDSTGPYYSNSTCSLIEGHQNCMKNGRPDTGYIYWRWNPRDCDLPKFNAKRFLDFVRNKSLAFIGDSIMRNHAQSLVCTLSQVEEAVEVYHDKQYKSKRWSFPAHNFTLSVIWSPFLAKATIFEDDNGMSTDIIQLHLDELDAVWTQQYNNFDYLVTAGGKWFLKATVYYENNTIVGCHNCQRENITEVGFYYAYGKVINSTLKFITSSKHRVYAFLRTTTPDHFENGEWHNGGYCNRTKPFKEGEVDMNPIDEMLRKVELEEFEMASTIGSQNGITLKLFDTSYLSLLRPDGHPGAYRHFQPFAGKDRNVKVQNDCLHWCLPGPIDSWNDLMLNVLLNS >CDO99747 pep chromosome:AUK_PRJEB4211_v1:2:9268324:9272204:1 gene:GSCOC_T00029428001 transcript:CDO99747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 16 [Source:Projected from Arabidopsis thaliana (AT4G30080) UniProtKB/Swiss-Prot;Acc:Q93YR9] MNSGNEPMNEVEKSLDPQLWHACAGGMVQMSPVNSKVFYFPQGHAEHAHKSVDFGTFCRIPPLILCRVSSIKYLADTETDEVFAKIRLAPLRGNECSDDDGDDGLLAFDKNDGQEKPSSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTILAKDVHGEIWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGEEENRIMRNPKGGTNNSDIGARGRGKVRAESVVEAANLAASGQAFEVIYYPRASTPEFVVKASAVKAAIRIQWCSGMRFKMPFETEDSSRISWFMGTVSSVQVDDPIHWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVELVSNMPAINLSPFSPPRKKLRLPQPPEFPLVGQLPMPSLFSNPLSPSSPLCCLPDKIPAGIQGARHAQFGLPSSEPHFNKLQAGLFPFKLKQLEHAAAAASRIPNSSCFMEDYESKDNVSCVLTIGNSVQGSKPNVRTEAPLFVLFGQPILTEQQISQSSSGDTARSSLSERNPENTVTVSGGSGSGVLQSGHPENSLDQVLPWYKDPKLEFGLETGHCKVFMESEDVGRTLDLSVFGSYEELYGKLAEMFGLERSEMLSNVLYQDPAGVVKHSGDEPFSDFLKAARRITILTDSGSDNVGR >CDP05239 pep chromosome:AUK_PRJEB4211_v1:2:2655003:2659796:1 gene:GSCOC_T00020213001 transcript:CDP05239 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDYEMDGGYEEEPLDPEPEEGAELEEENNNEESPDPILGENEEKQDVPVERPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVNELIVEDSWKRQVGGD >CDO96906 pep chromosome:AUK_PRJEB4211_v1:2:14837742:14850268:1 gene:GSCOC_T00014085001 transcript:CDO96906 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTSVRFTLGRQSSLASERWSDELEREGDDDEEDYEEEEWNGEDIDPGVRLMYAANEGDLDGIKELLDSGVDVNFRDIDDRTALHVAACQGFSNVVKLLIENEAQVDPKDRWGSTPLSDAIHYKNHDVVKLLEKHGAKPLMAPMHVKNYREIPEYEIDPEELDFTFGAEIKKGTTFEVASWRGTKVGVKKFGEEVISDEDKVRAFRDELALLQKLRHPNLVQFLGAVTQSSPMMIVTEYLPKGDLRSLLEKKRALKPAIALRYALDIARGMNYLHETRPEAIIHRHLEPSNILRDDSGHLKVADFGVTKLLKVAKRVKEDRPLSYHEVSCRYEAPEVFRDEEYDTKVDVFSFALILQEMIEGCPPFYTKKAGEVPDLYAAKQRPPFKAPTKFYAHGIKELIEECWSQIPADRPTFEQIIHKLEIIYNKFGHRSRWKVRPLKCFQRVEAMWKKDLDPSCRSTSSI >CDO99897 pep chromosome:AUK_PRJEB4211_v1:2:7944078:7953168:1 gene:GSCOC_T00029607001 transcript:CDO99897 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAAIARFQVQVLHPSPFFKPSTLFSKFPRSSLPIPSTLKRSNTRFRRLSSLTPPLCSSSSHSSSAGGSLESHSSSESGGSVVGDLLDYLNESWTQFHATAEAKRQLLAAGFHLLNENDEWDLKPGGRYFFTRNMSSLVAFAIGEKYSNGNGFHIIAAHTDSPCLKLKPNSASSKSGYLMVNVQTYGSGLWHTWFDRDLSVAGRVIVRADNGCFLHKLVKIKRPILRVPTLAIHLDRTVNKDGFKPNLETHLIPLLAKKVDNASAETKDKINMPSSKDAHHQQLLQILSDELACNIDDIISIELNVCDTQPSCLGGVNNEFIFSGRLDNLASSFCALRALVDSCASPKDLSDERAIRMVALFDNEEVGSDSYQGAGAPTMFEAMRRITDCLDLHSVRESSFARAIRCSFLVSADMAHGVHPNFMDKHEEHHRPELQKGLVIKHNANQRYATSGVTAFLFKEVAKMNNLPTQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREICGKEDVDFAYKHFRAFYQTFSSIDRKLNVDK >CDO97112 pep chromosome:AUK_PRJEB4211_v1:2:16587562:16593948:1 gene:GSCOC_T00014352001 transcript:CDO97112 gene_biotype:protein_coding transcript_biotype:protein_coding description:XLG2 [Source:Projected from Arabidopsis thaliana (AT4G34390) UniProtKB/TrEMBL;Acc:A0A178V358] MTSILRSFMPVSASKVDDHDEYSVEYSFALEYSGPPVGYDIPQVVPVDVNRIPTAAVVSKASMSNNLSLPIIQPIVKSDLVDANDQEGAAVDGSENGDACAVPRVSDGTGSSDTLGFSDSHNDSNEISESSDIEDLNDENSSHAQHANPQLEDRSLSSSASSSEIATCEEVEDCLDETHRGSRTPVVTFRDPQTSEITSEDGSLDELDNAVERPVARSDVKRGLCYRCFKGNRFTEKEVCLVCGAKFCSSCLLRAMGSMPEGRKCITCISHMIDESKRGSLGKPSRMLKKLLTDGELKQIMRNEISCEANQLPPRLVSVNGRPLSLQELSMLQNCRNPPKKLKPGRYWYDKQSGFWGKEGQQPCQIISPRLDVGSQIERNASNGNTNVLINGREITKKELWMLQMAGIHCEGRPHYWLSPDGSYQEEGQKNVMGKLWDKSGIKLICAALSLPIPPESCNGEVEKDADKVCSEGLDQKADNKLLLVGCDQSGTSTIFKQAKILYDVPFSGDDRQNIKFMIQTNLYRYIGILLEGREWFEEDCLLELRRQHLSQPGPSAYAEQIEEKNAYSISPRLKAFSDWLLQVMMSGNLEAIFPAATREYATLIEDLVKDSGFQATYSRRNELPMLPRVANYFLDRAVEISRVDYEPSDMDILYAEGFTSSNGVTSMEFSFPMSSQDGYMEPTDQSDPAMSYRYQLIRVHASSLGENCKWLGMFEDVDLVLFCVSLTDYDEYYVNSDGACINKMLASKNLMENVVTHPSFAQKSFLLMLNKFDLLEEKLERVPLSQCEWFQDFYPLVSLHPQSRHGNSTPSLAQRAFHYIGAKFKRLFDSLTERKLYVSPVTGLESDSVDEALKYAREILKWEQEKPTVSVNDWSSGSMEASTSS >CDO99726 pep chromosome:AUK_PRJEB4211_v1:2:9448810:9453136:1 gene:GSCOC_T00029403001 transcript:CDO99726 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESKELTLQETPTWAVAVICFILVAISIMVEFLIHLLASWLKKKRKQALHDALEKIKAELMLLGFISLLLTVVQDPISKICIPKSAGRSWHPCRENDELDGEKFINPCQAKGKSQLVSEKGLHELHIFIFMLAAFHVLYCITTLGLGRLKMRVWKAWEDETKTLEYTYHNDPDRFRFARDTSFGRRHLHFWSKSPILLWIVCFFRQFFASVTKVDYVALRHGFIIAHLAPQNHTTFDFRSYIKRSLEEDFKVVVGISPIIWLFAVLFLMSNTHGWHSYLWLPFIPLAVILLVGTKLQVIITKMGLRIQERGDVVKGTPVVETGDHLFWFNRPRLLLYLIQFVLFQNAFQMAFFAFTWYKYGVPSCFHKGPKDIAIRLSVGIVTQVLCSYVTLPLYALVTQMGTTMKPVIFDEKVSSALKSWHKTAKKNIKEDRKSGSTTPFSSRPGTPLHGMSPVHLLQGFRCSTVDDDSLQATPRGSNSDNEIWDHEALHNADDNAAGSAGRVTVMHHTVQEIQLASTSRISPKQRSVKTQHEVNIGSTDFSFK >CDP08606 pep chromosome:AUK_PRJEB4211_v1:2:39380371:39381218:-1 gene:GSCOC_T00027614001 transcript:CDP08606 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSNYPTGCCLVVCKMCWKNIGRDESREKERHYSFFFFFFRLRDQRKSRGSFFFLVCFCFLSLCQGENRECAELRE >CDP20619 pep chromosome:AUK_PRJEB4211_v1:2:46692788:46697429:-1 gene:GSCOC_T00013092001 transcript:CDP20619 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHVLDFNDFSAAVVDLLYEFLGKKVSLQLVSSVKADHSGKLGTPAYLENWITTFSSVKAGESALSVTFDWDEDNGVPGAFIIKNFHQYEFYLKTLTLENVPGHDSIHFVCNSCVYPANKYKTDRIFFSNQAYLPNNTSEPLKFCREAELANLRGDGTGELKEWDRIYDYACYNDLGDPDRKDASYVSPILGGSVVYPYPRRGRTGRPSTKTDPNSESRLPLLESLNIYVPRDERFGHLKMSDFLAYELKSISQFLLSKYGNKSDSNSMEFDSFEDVQKIYEGGIKIPKSLTEGISLEFLKQVLPTDGEGLLKYPLLKIIEGNKSAWRTDEEFAREMLAGLNAGIIRGLHVFPPSSKLDPKVYGNQSSTITRKHTENKLEGMTIQDWFLLHTHAVIGPIVIATNRQLSVLHPVYKLLHPHFRDTMNINAISRQILTNAKGIVESTLFPSVYAMEMSSLQYRDWVFPEQALLADLIKRQFSPYGLRLIIHDYPYAVDGLKIWSAIKTWVTDYCSFYYKADQMIQQDTELQAWWKEFREKGHADKKDEKWWPQMKTITQLINSCTIIIWLASALHAATNFGQWPYAGYQPNRPTTSPRFMPEPGTEEYEELKSNPDKAFLKTITSQPQTLLGLSTIEILSRHTTDEVYLGQRENPEWTKNSEPLEAFKRFGKTPSGIEDQIQLTYHTPCFSLRVKVE >CDP08531 pep chromosome:AUK_PRJEB4211_v1:2:41688156:41690956:1 gene:GSCOC_T00027475001 transcript:CDP08531 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQGLRRVSSNLITPLHHPNSSFRQIFSHQALGSPSSTSGSSSPSLSIWRRKKEIGKEGLMVAKELKRLQTNPIRLERFMKSHVSRLLKSDLIAVLAEFQRQDLVFLSIKLYEVVRKEIWYRPDMFFYRDMLMMLARNKRVDEARSVWDDLKREGVLFDQHTFGDLIRAFLDSGLPKEAMDIYEEMRLSPDPLLSLPYRVILKGLLPYPELREKIKDDFLELFPNMIVYDPPEDLFDDQQWEKDDVDG >CDP09473 pep chromosome:AUK_PRJEB4211_v1:2:21851232:21852497:1 gene:GSCOC_T00028852001 transcript:CDP09473 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHRCKLCSRNFANGRALGGHMRSHMMNLCAASKAKQEFIKEEHEEEEVMNINDERQISEEFESVTAAAADSVVVQDRESETESSKNPICRRSKRVRKSRVSDAVQFSGYINDRMAELKKPKSGKQGRFSCELPFADAEPVSSISDTTTEEVVAYCLMMLSRDKWKKEDNEEEAMGKYRCETCNKAFRSYQALGGHRASHKRIKAHNSNSTEEEVAGVETGNAASAAAVSSVVVEEKIHECPVCYRIFSSGQALGGHKRSHVMGAAAVAAAAAAAAATTHVSIRTSPAAKPFSRFGETFIDLNLPAPVDDDEISQIELSAVSDAEFVNPFKQ >CDO97603 pep chromosome:AUK_PRJEB4211_v1:2:20298143:20307181:-1 gene:GSCOC_T00014987001 transcript:CDO97603 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTSNGGSNSSTPSPSSTPNLTSRPELPPVLKRSKTISDETRTPHFPGPLFPAVRRVTTSPPSLNNRTTSASSSEVSSATTVSDQAFNFSDRDYVYPSFLGPYATRSRVAAKSAAHNSNSTERQVTGQFSARMPSNLNVDSDPSKPKSIAKLKGEKDLNSLSVQVPVTSSSLSSSTTASSSSYSPRKPPGHTSSWVLNLLKLICTLPTPYAIYLQNKLGILQEEIGDLRRLCSTGSAVGSHSINLVELGNGFSFSFFGNTDSRKLALYMVVCTLITPFLVYKYLDCAPPIKNPSKGTRDSNEKVPLKKRIAYMVDVCFSVYPYAKLLALLFATLFLIGFGGLALYAVSDGSFTEALWLSWSFVADSGNHADMVGSGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIESNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASNENADQSDARALRVVLSLAGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGLHFEDVLVSFPDAIPCGVKVAAEGGKIIINPEDKYVLKEGDEILVIAEDDDTYAPGPLPEVSPGLCPRMIDPPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKDREKKLTDGGLNISGLENLKLVHHEGNAVVRRHLENLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPYKDTRSLPLRNSGFSQSSWIRKMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLYDQEELCFYDIMIRGRQRWEIVIGFRLAAAECAVINPVDKSKPRKWSLDDVFIVISKGD >CDP08550 pep chromosome:AUK_PRJEB4211_v1:2:41054725:41054832:1 gene:GSCOC_T00027508001 transcript:CDP08550 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCAVQSCKVMDYGVPRNSLVLVNVYAIGRDPKT >CDP05236 pep chromosome:AUK_PRJEB4211_v1:2:2630577:2634316:-1 gene:GSCOC_T00020209001 transcript:CDP05236 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSRWLRSLLGSKNSPSSAFPESASPSSSSSTKDKKKTISSSKSGLIKSSISSNYDNKALNAAGGGLRWSYDDPSSSPYAELDANKHAIAVAAATAAVAEAALAAAQAAAEVVRLTSASTSAGGTRTVSFTPQGSSGRDWRQEVAAVKIQSAFRAYLARRALRALKGLVKLQALVRGHIVRKQSADMLRRMQAMARIQARASATRANTSDSSHAHMKASQHCHADTPAPKKHDIPQRSYSTKHEGPELKRWVSKPNISNSIKVEGLHLGSSWLDRWMEECTWNNQNGSCLNNVSRDDEKSIKILEIDTWKPHHNPRQSEKIFQASQGFRAWNDNGQSVTTFDPISGLATKLEKPNPSVSSGEVPNLRSLKFHPQEEHVLAWTADNSPCLHSASSRPGTSSRRGPFTPSRSECSRSVYGDYLSHPSYMANTESSLAKVRSQSAPRQRTQYERLGANWKFVPSLWDAETVSERGTPHSNLRSKAYHSSGLDRVGMRVHGDNVDFSATYRSRR >CDP17198 pep chromosome:AUK_PRJEB4211_v1:2:47675983:47678162:1 gene:GSCOC_T00000667001 transcript:CDP17198 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLEKLWDDTVAGPRPDSGLGKLRKHPTFNLRPNAGKESVVGSPRSYSNGAGGAAAEDAVRVTRSIMIVRPPPVDFKDSPPASPAGSTPGSTTPGSTPPVSPFAVLFFCFWS >CDP16436 pep chromosome:AUK_PRJEB4211_v1:2:35479882:35483168:-1 gene:GSCOC_T00018334001 transcript:CDP16436 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEIETSSEIVLEERTSVDEVASVTKDSESSLGEAFTEFSLLVKKLSPLLDELRKNKLVDRDAIYQAIESLEVEFYRAKNLLSSTNIHSSPLKHIEDVTQNLGRSLGLVLFAGHEVSMANKEKIEALRKEIMSTKFDWCSQRESVSINDVFTEEDSEEEIVEEDRIILDIKDVVFQLSSGNDEESKRAILQLDALVRENTITDQTIDDESIISVLCNRLSSSKSNNRLAIIRLLRSMIRKNDKNKEKMEEVGRLSVLVKSLTRDVEERKEAVALLTSLSDIPAVRRRIGRIQGCILMLVSIYNDEDQEASNDAGRLLNALSNNIQNVLNMAEAGYFKPLIQYLKEGSDMSKVLMATALSRMQLTDQRKASLGEYGAIEPLVNMFSRGKFEAKLSALSALQNLSSLKDNIQRLISSGVVVHLLQLLFSVTSVLMTLREPASAILAMIAQSERILDKPDVAQQMLSLLNLSSPVIQCHLLNALNSIAGHTSAVKVRKKMKENGGIQLLIPFLVYGNSKTRSSVLQLIYTLSKGDQQDLAQQLGEMNINIIAGIISQSTPESEKAAAVGILSNLPSSDRKVTDMLKHANMLPTLVSMLSSLSAASTPTAMRTAESIAGVLIRFTAPSDKKLQHFSANQGVIAALVKLLVHGSVVAKSRAATCLAQLSQNSLSLKKSRKSNWLCAPPSMDGYCEVHDGYCSPKSTFCLIKADAVPHLIRVLEGTEREADEAVLSSLATLLQEEIWENGSYLIAKYSGIQAIIKVIEFGSVKAQEKALWNLERIFRVESHRVQHCESAQVVLIDLAQNGDPKLKPASAKLLAQLELLQVQSSYF >CDP15190 pep chromosome:AUK_PRJEB4211_v1:2:49717198:49730343:-1 gene:GSCOC_T00042803001 transcript:CDP15190 gene_biotype:protein_coding transcript_biotype:protein_coding MTELVAIDEVELSHQPTSSFRSNSVLNGENDEHIDEECALQWAAIERLPTFERIRSSLFDVNDGNEAKTNAKRVVDVTKLGAQERHLFIEKLIRHIENDNLKLLRKIRKRLDKVGVKFPTVEVRYKNVSVEAECEVVYGKPLPTLWNTLKRSILDLARLPGLKPRKSKISIIGGVSGVIKPGRMTLLLGPPGCGKTSLLKALSGNLNKSLKVSGEVSYNGYKLTELVPQKTSAYISQYDSHIPEMTVRETLDFSSRCQGIGRRGEIMIELSQREKEAGVLPDPDIDTYMKAISVEGQKRTLQTDYILKILGLDICADTLVGDALRRGISGGEKKRLTTGEMIVGPPKTLFMDEISNGLDSSTTHLIIACLQHLAHITNATILVSLLQPAPETFDLFDDLILMAEGKIVYHGPTDTVLEFFESCGFICPERKGVADFLQEVISEKDQAQYWNSSKETYSYSSVDTLSRAFQQSDCGKKLSTLLSAPFESSQNSKDAINLSAYSLPKWTLFRACISRELLLMKRNYSVYVHRTVQLFLIAFMTMSLFLRRTTDFDLPHANYFMGALFFSLIMLVVDGLPELPMTVARLPIFYKQRDLYFYPAWAYAIPSAILKIPISLLEATAWTCITYYGIGYSPEIGRFFGQVVLLFAVHFTSISMYRFLASVCRTMVAASFAGVLSMLLLSIFSGFLIPKSSMPNWIKWGFWFSPMTYGEIGLAVNEFLAPRWQKMLPSNTTVGRETLEAHGLNFDKQFFWISIGALFGFTLLFNIGFTLALTFLPPAGTRAIISGDKFPRTQSKKSTSTSHDEMLRNSSTTFTESHKGKIILPFEPLTVVFQDLQYYVEIPTEVKEHGYRKKRLQLLCDITGALKPGVLTALMGVTGAGKTTLLDVLSGRKTRGIVEGEIKIGGFPKFQQTFARISGYCEQIDIHSPQITIEESVIFSAWLRLQPQINPQTKFDFVKEVLETIELDRIKDKLVGIAGVSGLSTEQRKRLTIAVELVANPSIIFLDEPTTGLDARAAAIVMRAVKNVADTGRTIVCTIHQPSIDIFEAFDELILLKSGGRMIYYGPLGWNSCKVIEYFEGIPGVPKIRDNYNPATWVLEVTSTSSEAELGVDFAEIYQHSALYMNNKELAARLGSPPLESKALEFPTSFSQNVWGQFKACLWKQYWSYWRSPSYNLMRFLHIFAVSMIFGFLFWNQGQKMDNQQGLFNAFGAMYLAVFFGGVNNCSSVMPYISTERIVLYRERFAGMYTSWAYALAQIVIEIPYSFVQALEFTVITYPMIGYYWSAYKVFWYFYSMFNALLYFNYIGMMIVAMTPSFQVASILSSGFYTAANLFAGYLIPQPQIPKWWIWLYYITPTAWTLNGMLTSQYGDIQKEIDVFGETKTIAAFLRDYYGFHHDRLPLVAFISILYPLTFAVVFAFCIQNLNFQKR >CDO97305 pep chromosome:AUK_PRJEB4211_v1:2:18012900:18015378:1 gene:GSCOC_T00014612001 transcript:CDO97305 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISVHIGQAGIQVGNACWELYCLEHGIQPDGRMPSDKTVGGGNDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPDQLISGNEDAANNFARGHYTIGREIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPAYTNLNRLVSQVISSLTASLRFDGSLNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLSGVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGTEEDGDDGEGY >CDP05175 pep chromosome:AUK_PRJEB4211_v1:2:2163433:2164188:-1 gene:GSCOC_T00020132001 transcript:CDP05175 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPSSDIRLPKEEEEIHQRKASFPKEGGTQSQKPPQPSRRGFFTFRQLNALAVIIVLSASGMVSIEDFAFVIFSLVYIYFISRFAFPNHSPYADPPVFGQRNRILSLYVFIGALVGLLFPIAYIFHGIFEGDKEGIKAAAPHVFLLSAQVFMEGVSFSGGFSLPIRVFVPVFYNARRIFTIVEWLRSEIYKVEMEYGGSARRVYIGRALAVANMAFWCFNLFGFLLPVYLPKAFKIYYSSSVRKAKD >CDO99912 pep chromosome:AUK_PRJEB4211_v1:2:7831267:7833736:-1 gene:GSCOC_T00029626001 transcript:CDO99912 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGISFLIGLKAATLFSLFTFMNNLGFKWFAIPFLYASLISFLVSIASHPSINLPMLLGKGSDGVFPIWSLIIFSPYLYFVRAFSALRRLRSGEAPYSEICEGVYVGGWPFSPDKLPPGNPAVIDCTCELPRKTELSGHAYLCIPTWDTRSPQPAEIESAVKWACRKRAQNSPIFIHCAYGHGRSVAVACALLVALGVAEDWKNAEKLIKERRPYIRMNALHRKALEEWSRFRLSSPKRNDETGLGSVILSSPSERS >CDO97475 pep chromosome:AUK_PRJEB4211_v1:2:19318020:19321074:1 gene:GSCOC_T00014826001 transcript:CDO97475 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILSTNNNKASSSQLCDISQIETCKRQRTSSSFWEDNPRLIPSLPDEISLQIIARLPRIYYLNAKLVSRSWKAAILSPELYRLRKCLGTTEEHLYILTKVDGDKLVWNALDPMSGKWQRLPPMPNVGIEDGSRRGFSGLKVWNMVGSSTRIADAIRGWLGRKDALDQIPYCGGAVGAVDGCLYVLGGFCRATVMKSVWRYDPILNAWSEVSPMSTCRAYCKTGVLNNKLYVVGGVSRGRGVLSPLQSAEVFDPRTGIWSEVPSMPFSKAQMMPTAFLADILKPVATGMTSYRGKLYVPQSLFCWPFFVDVGGEVYDPETNSWVEMPMGMGEGWPARQAGTKLSVIVDGDLYALDPSSSLDSARIKFYDHRDDTWKAVRGDVPIRDLTDSDSESPYLLAGFLGKLHVITKDANQNILVLQADWRNQLASQSASSVSLDESSKEELSVPVAGSEAILWNVIANRSAGSAELVSCQILDF >CDO96812 pep chromosome:AUK_PRJEB4211_v1:2:13322491:13324221:1 gene:GSCOC_T00013953001 transcript:CDO96812 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 20 [Source:Projected from Arabidopsis thaliana (AT5G45820) UniProtKB/Swiss-Prot;Acc:Q9FJ54] MEKKGTILMQKYELGRLLGQGTFAKVYHARNIKTGLSVAVKIIDKEKVMKVGLIDQIKREISVMSLVKHSNVVQLHEVMASKTKIYFAMEFVKGGELFNKVAKGRLKEDAARKYFQQLIAAVDFCHSRGAYHRDLKPENLLLDENGNLKVSDFGLSALHESRRQDGLLHTTCGTPAYVAPEVINKKGYDGEKADIWSCGVILFVLLAGYLPFHDTNLMEMYKKISRGEFKCPQWFPPEVKKLMSRILDPNPCTRMPLSKIMENSWFKKGFKQIAIPIPDLDGADWEEFESPRGVLDIHDLCNSDSEGIHQHKEEVITRTMKPTCLNAFDIISLSPGFDLSGLFIKDVNCKSEARFTTQMPPSMVVAKLEEIAAIDSFKVKKKDGMVKLQGNKGGRKGLLAIDAEIFEVTPSFHMVEVKKRAGDTMEYKKFCDQELRPSLKGIVWDWQDITNKELPRN >CDO96789 pep chromosome:AUK_PRJEB4211_v1:2:13070716:13077527:1 gene:GSCOC_T00013919001 transcript:CDO96789 gene_biotype:protein_coding transcript_biotype:protein_coding MESINIVVDDNVEAPEQCDQAYEEEQMQYVPRELVGRPGNDSEILYHCYLIALQPDFSCNTMPHDILLATRLEFDAETLDFELDVPRGSLKIRMKYVRHIKLTSEEVLLCQKFQVTLFRLLIDQNQNKLQEALSGSNENIEHAFLDYLLIPSTGSRENPSIDWTCVRSVLYPQETISDKHMDCCSNRVQGRYVHTKNGLICCCKLQNSLVVTPHNGIIYCTTDTLDDLNSNSLLMLRKGGSVTYKAYFAKQHGITLCFDGEPFIKGKHLFMVQNFLLGCRNQKTKVPTNASVELPPEICEVIMSPISIGSVSSFSYAPSIIQRIESLLIAGNLKRMLMADCMLNDMIPTIKVLEAITTKNCRENFHLTSLATIGDSFLKYATSQQLFKTHQNHNNGLLTGERTKIVSNLALCKLGCDKKISGFIRNECFDPKTWRIPGDNSQTYTLREEVLSTRTIVYAREKRMIKIKTVADVIEALIGVFICTTSERAALAFMKWMGFEVDFVYVQYKRPVAANPEKLVDLRFFKSLLNQYSFRDASLLVEALTHGSYVRPESPTSYKRLEFLGDAVLDYLITMHLHNEHPNLSSGLLTDLRSASVNNDCYARTAIRAGLHKHILHGSQDLQRRILAIVENFEQSSQDSTFGWESEPVIKILADIIESLAGAIYVDSGYDKEVVFRSIKPLLEPLVTPETLKLQPVRELEELCAKEQFVMNKAVRYENACLSCSFKLVILLHVSLGVFFFFFLNNCIFHCLLRYDNVSVILSMSAYCLVLHNFGDHIFRAQNASMTRKLLRNSVFDPFNY >CDO96757 pep chromosome:AUK_PRJEB4211_v1:2:12818362:12819789:-1 gene:GSCOC_T00013877001 transcript:CDO96757 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIDKRWEVLSGNNNWDGLLDPLDYDLRQYIIHYGEMAQATYDSFNAEKASRYAGSCLYAKKDLFAKVGLQNGNPFKYRVTKYLKESNWMGYVAVATDEGKVALGRRDIVIAWRGTVRSLEWVNDLEFVLVSAPKIFGENDDPKVHQGWYSIYTTDDSRSPFNKTSARDQVLDEVRRLVEEFKDEEISITVTGHSLGAAVATLNAVDIVANGFNKSLENPGKACPVTAFVYASPRVGGQGFKKVFSQQQNLRVLRIQNSLDVVPNYPLLGYADVGEELRIDTTKSKYLKNPGNLSSWHSLECYMHGVAGKQGLQEGFKLVVNRDVALLNKYMDVLKDEHCVPVSWWCIQNKGMVQQADGSWELEDHEDDDF >CDP15541 pep chromosome:AUK_PRJEB4211_v1:2:46462015:46464117:1 gene:GSCOC_T00015413001 transcript:CDP15541 gene_biotype:protein_coding transcript_biotype:protein_coding MADTMNVQWVFLVIGVSFVAINTSLQAAQIPGSLPAIFHWFYLALELAFLAVLVSSYLQRPYPNASRLIQHLGVLFAAVAFIMAISMPLLHPPLKPLSNKLFLIAFLIIILASRCISFRA >CDO99634 pep chromosome:AUK_PRJEB4211_v1:2:10480749:10486150:-1 gene:GSCOC_T00029284001 transcript:CDO99634 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAKRPQPIEEGYGAPWRDAKKGKIEAAEQASADFHPALPVRRVLLNPADCDIDFNIEEDGLRGSALYEEGFAYCWSGARANVGITGGKYCFGCKIIAHQPVDMDDTPLDQQHICRVGISRGDDAVGNLGETLHSFGFGGTGMFSNAGKYSGYGEQFDDGDTIICCVNLESKPMASIGFSKNGKWLGTAIQFHAGFGGLEVVNCPIKNLQWESALFPHVLLKNVEVQMQFRTEDGLVPQEGYKPWASAIEDRKVILGPTFQNVSDCELIMMVGLPAAGKSTWAENLVRAHPEKRYILLGTNLVLDQMKVPGLLRRHNYGERFDRLMQRATAIFNTLLSRASKVPRNFIIDQTNVYKNARKRKLKPFLNYHKIAVIVFPRPEELNNRSDKRNKEMGKEIPAQAVNEMLANYTLPMSKDMPNTDEYFDEVFFPELSRAESQRYLDQMKANLNASVVVSSHSDGMGFAGPWSNNAYSPYPPVGTPAGSNSYLCHGGYSHSGGSDCGNRFAASLDVNEPYRSYGAGYTSIAIDNNRMHMTEPYASLATVPFNSQDVYASQPRGSSHEMSIPSFGAPLRNKGSLPVGTSAGSNSYSYPINRLESYGSHGGYSHSGGSDGENRFAAPVNGNELYMSYGAGYTCSAADNNRMHMTETYASLTTVPFNSQIVYASQPQCSSQELLGTGPPTFGSTTAGPYGSPYGAPVPRPSYGNFPPGKEHHGGYGPPGPW >CDP05347 pep chromosome:AUK_PRJEB4211_v1:2:3491005:3493542:1 gene:GSCOC_T00020353001 transcript:CDP05347 gene_biotype:protein_coding transcript_biotype:protein_coding description:QWRF7 [Source:Projected from Arabidopsis thaliana (AT4G25190) UniProtKB/TrEMBL;Acc:A0A178V0Y0] MAFHIAGSKTRISKGIHQMENSSNGNTCHKKHHPFTNLTRSPPLLQSKSRPAPADAHMQAPNSRPALLNPSKSRSRKVQESTPTSRIDRKKLPPESRDDANKLLLRGQSKMDVPRRSGSASTSPSAWALSPGRSLHPPSPAPRRPLDLVSKSKRDPCSDKGSSGVGGVLKYLGKKIKKASRLQEEEHHRCRIIHNALLQWRFVNARAQVSTTAVKGAAQKKLFNFWLKGSIMKNAIAGKRIQLQRLNQQIKLYHILRSEFCLLKEWARLEIKNREAAERLATKLSAMSRCLPLDQGAKADVTSLLDWMIMATRVIENIGAKIANIQAQVERLCYMLTELSVIANEEKDCAIMLEKNLATLASLAAQETSLRLHSIQLDEESRRFNSAILTP >CDP09400 pep chromosome:AUK_PRJEB4211_v1:2:22830503:22836049:1 gene:GSCOC_T00028747001 transcript:CDP09400 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRGGGGGASKAEIMGGPSSSLDRKRINDALDKHLEKSSPSTSRGLKDKSSAGVAAVPSTSAGMGGGSGKLHQQLDHRDTRSTSTLTSNKIKASDDESDTDSEESDVSGSEGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVDSSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMASMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQRAVQSYVPRVFGFKIHRP >CDP00097 pep chromosome:AUK_PRJEB4211_v1:2:6239362:6243381:-1 gene:GSCOC_T00029891001 transcript:CDP00097 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSARIKAESPLHAGANSRYVSSDGRDSSSRLSSASVPQTPRSESEILESSNLRSFGFNDLKTATRNFRPDSVLGEGGFGCVFKGWVDQHSCKAAKPGTGLVIAVKRLNQEGLQGHKEWLTEINYLGQLHHPNLVKLVGYCLEDEQRLLVYEFMPRGSLENHLFRRSSYFQPPSWNLRMKVAYGAAKGLAYLHSPEAKVIYRDFKSSNILLDSNYNAKLSDFGLAKDGPMDGKSHVSTRVMGTYGYAAPEYMVTGHLTAKSDVYSFGVVLLEMLTGRRVIDKNRPPGEHNLIEWAKPYLASKRRIFQVIDARIEGQYSLGGAIKAANLAVKCLATEPKYRPNMDEVVKALEQLQDSDSGSVKHEVVRKHHKTCSNDASNRKAASCPRRSPSPMVA >CDP16450 pep chromosome:AUK_PRJEB4211_v1:2:30570818:30572213:1 gene:GSCOC_T00018364001 transcript:CDP16450 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTIADANTSVTFINAWAASCRGDAEIPQCSFDSASLFPPRELAYCGWTPTTGKIATKRFVFDKEKLGILKPAAAAAAASPESQVNEPTRVEAVSAFFWKHFVELSKSKADSKSHKIVAAVHPVNLRPRMNPPLPDHAFGNLWIYAIAVTALTAEEDKGYSNLAGVLSNTLRNINSNHAKQLQSGDEYLCALKKVTELLSKGELEVCFFTSWCRFPVYEVDYGWGKPTWVCTTTFPFKNLVVLMTTSCGEGIEAWVNMLEEDVARFERDHMYPFFAAENFSS >CDO97430 pep chromosome:AUK_PRJEB4211_v1:2:19000251:19000984:-1 gene:GSCOC_T00014772001 transcript:CDO97430 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAVHISRVDTSSFGWTVLVQVIEADRVKIGRDRDLSRSFRRFEFGDFQGIKVSVVVFDDNVAIVDGRLLPFWKYYVSNAELREIPELVGTGLYSFYWVINEGTVIEEAAGSGELALPFYFELHSFQYFHFVADTNIFINVMGVVIHALPPRDVYFEGSRRYGRDHIIVDQWLIFSS >CDP05259 pep chromosome:AUK_PRJEB4211_v1:2:2792753:2794177:-1 gene:GSCOC_T00020239001 transcript:CDP05259 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSMCKSEKQENKGDVLEISPDGRFIKYDEVLGRGAFKTVFKGFDQENGTEVAWYQINLEAGRAAASLDDLPKLAKSLLSEAALMKSLKHNNIIRCQHSWIDEDNTNVNMITELFSSGTLREFRKNHKSVNIKAIKNWAKQILEGLNYLHTRNPPIAHRDLKCDNIFVNANQGEVKIGDLGLATVLKNSGVATSVVGTPEFMAPEVYDEKYNELVDIYAFGMCMLELIICDYPYSECTNVAQIYKKVTKGVKPLALGNVKDPQVKGFIEKCLLPAAQRPSAAQLLKDPFLSSPESFKGDKCESGLRPSAVLPESNNIPQPDSQVSTSNDGSSYNKASTPSKASAAGIITSGPISILESLRSTQQIQLRLRGKRIDQKTILFNLRVADLHRPVANCFEFLFDLKSDDALKIASEMVQGKDLTYGDVPVAVELMDSMLLELEPTWKPCNAYYSANNVASECRELGSNWQLGAVVH >CDP13951 pep chromosome:AUK_PRJEB4211_v1:2:4574210:4575237:1 gene:GSCOC_T00039102001 transcript:CDP13951 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVELKVGLHCDECIKKILRAIKKIEDIETYDIDKQLSKVTVTGNVTTEEVIKVIHKVGKQASNWGQQEPSADNCYSYSV >CDP18228 pep chromosome:AUK_PRJEB4211_v1:2:48366935:48368570:1 gene:GSCOC_T00011787001 transcript:CDP18228 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPENQELEIPTTTTSSSSSSSTATQTLEKCDPTTAMNEPMNMLTDYYDIDSTCSTPYVSAPSSPGRSQGAPVTGFYYSAPASPMHFMLSTAMSSCNSNDLGLSSQPEVSPTSSTCSFEFDFSASKVSTNGTSSAGSMSSADELFLNGQIRPMKLSSHLQRPQVLAPLLDLDVADDEVGEIVRGREAKMRDRSLRRRTRSMSPLRTSSSPFGWHDGGDFEDDRSGGIFEDKKQLAAAKNGEEEEAVSGETTPCESGASSRSSSVGRSSSRWVFLKEFLYRSKSEGRNNGHKFWGSLSFSPVKDKIKMEKLMPTKFPSLHSSSSSSSSPKDKDKEKASPTAAATVSPDLLATESADGKRAKHTGAQKDGKKKVAVNGVGKRRVPPSPHELHYTANRAQAEEMRKKTFLPYRQGLLGCLGFSSKSYGAMNGFARALNPVSSR >CDP17986 pep chromosome:AUK_PRJEB4211_v1:2:43602153:43604294:1 gene:GSCOC_T00001245001 transcript:CDP17986 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGPCCHCGVEQTPLWRSGPPEKPVLCNACGSRWRTRGTLEDYIPKHAIKDPENDHSGKLPSKLNQISSSSCHSHEQPPILESNIAGQELLLPVLGDGTGNGESTPIGATFSDNFTRVQTIEEAVEFPLWDGNNVFKRKRSILDQHIMSPTEMLHQQLYYALQDSQAAAGDYCNGEEVLIFERINHYIPENEIGLGCILLQPPASPTKNFKPQS >CDP17989 pep chromosome:AUK_PRJEB4211_v1:2:43553046:43555395:-1 gene:GSCOC_T00001250001 transcript:CDP17989 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVILLQKQLVDYSTSLYKEGFLDDQFKQLQQLQDESNPDFVVEVVYLFFEDSERLLNELSKTLNQQIVDFKKVDAHVHQLKGSSSSIGAQRVQKVCIAFRNYCEEQNTEGCLRCLQLVKHEYSLVKNKLETMFKLEKQVLAAGGSIPMH >CDO99963 pep chromosome:AUK_PRJEB4211_v1:2:7430524:7437801:-1 gene:GSCOC_T00029697001 transcript:CDO99963 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLCPNFSPTAAAAVVVSSCKLDSAASAAALIPQRTRNSLVGCSHMHPTNSSSCSSWMLRQRHTPIVMASGTSLDSQDTSSARGDKRPVHGLSEVVVGVLGGGQLGRMLCQAASQLAIKVIVLDPMENCPASSLSHYHMVGNYDDSATVQEFAKRCRVLTVEIEHVDAATLEKLEQQGVDCQPKASTIRIIQDKYLQKVHFSRHSIPLPKFMQIDDLNSAKQAGVLFNYPLMIKSKRLAYDGRGNAVANSEEELSSAVDALGGYDRGLYVEKWAPFVKELSVIVVRGRDNSVVCYPVVETIHRENICHIVKSTADVSWKIMKLATDVAFRAVSSLEGAGVFAVELFLTADGQILLNEVAPRPHNSGHHTIESCYTSQYEQHLRAVVGLPLGDPSMKAPAAIMYNILGEDEGEPGFLLAHQLIGRALGIPGASVHWYDKPEMRKQRKMGHITIVGPSVGVVKARLRSMLREETVDGETAVLPRVGIIMGSDSDLPVMKDAAKILREFDVPTEVRIVSAHRTPEYMVSYASSAWKRGIQVIIAGAGGAAHLPGMVAALTPLPVIGVPVRASTLDGLDSLLSIVQMPRGVPVATVAINNATNAGLLAVRILGVRDLNLQTRMAQYLEDRRDEVLAKGEKLEEGGWEDYLNSQR >CDO99969 pep chromosome:AUK_PRJEB4211_v1:2:7360559:7367285:-1 gene:GSCOC_T00029705001 transcript:CDO99969 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNESSGLSSYFQHPQQPPPPSPLNPTAPTTAVGSNTSPTNGILPNTSNPAASTTTTTSSPLVYGTVPSVVTSGGAGLDSGKRKRGRPRKYGTPGEAAAAKRLSSASTAASISPPKKKDLGFGGGGGGSTSSASSKKYQLAASGSTGQSFIPHVITVAAGEDVGQKIMLFMQQSKREICILSASGSISNASLRQPATSGGNITYEGRFDILSLCGSYVRTELGGRTGGLSVCLSSTDGQIIGGGVGGPLTAAGPIQIIVGTFVIDPKKDITGGLKGDTSAGKSPSPIGGASFSGVSFWSPIDSSYQNIGGSQFMIQPQPRSTQAPSQAMEWSGHPGQSVQQSPENGNYPD >CDO96742 pep chromosome:AUK_PRJEB4211_v1:2:12578090:12583338:-1 gene:GSCOC_T00013857001 transcript:CDO96742 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKIYLFGDSITEYSFAEGGWGAALTNHFSRRVDVVLRGFSGYNTRWALKVIDKAFPPASAAGSGGDEAEAEAPLAVTVFFGANDACLPDQYGAFQHVPVDEYKQNLRSIFSFFKRRWPKTHVVLITPPPIDEAARLLNPYGEIKLGLPERTNEAAGSYAMACVSVASECQTPAIDLWTKMQQIPGWQTAFLCDGLHLTERGNRIVFEEVVAKFEEQGLRLETLPADFPLFTDIDPNDPLKAFET >CDP17792 pep chromosome:AUK_PRJEB4211_v1:2:51301269:51302651:1 gene:GSCOC_T00003915001 transcript:CDP17792 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVVFLPPNLLPFLLAVSLILSPSLSLPTSPAALSLCSAIAMVVFLRLFLFSLFFTSIYAHNITEILSAFPEYSEYNKFLTDTKLADEINSRETITVLVLTNSAMDSLAAKHPLSVVKKALSLHVVLDYYDAGKLHDISNGTTLSTTLYQTTGNAPGNQGFVNITDLKGGKVGFGSAVPGSKLDSTYTKSVKQIPYNISVIEISAPIIAPGVLTAPAPSASDANITALLEKAGCKTFANLIVQTGVLKTYQAAAGKGLTIFAPSDEAFKAAKLPDLSKLTSAELDSLCLYHALGSYDPTGSLKAQKGSLPTLATNGAFKYELSVSSAGDTVTLDTGVDSSRLASTVLDTPPVCIFTVDSLLLPSELFGKSPSPAPGPAPETSPSPAPLAHSPAPLAPSPLLSPPAPPTSSPERSPSKSPTADSQNSTADKNDAYIGVPAFFTSLVSVSVSLIVSTLMS >CDP05085 pep chromosome:AUK_PRJEB4211_v1:2:1444353:1448089:1 gene:GSCOC_T00020014001 transcript:CDP05085 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNFDRLYVGFSRLFGTTARYGVDYFSRARTDKIEPHEYIEDDSPDELNAADSKYSYWLKEHPSALRAFQGIMKAAVGKQIVIFLDYDGTLTPIVDEPDRAFMSDAMRSAVGEVAKHFPTAIISGRSRNKVYQFVKLDEVYYAGSHGMDIMGPPTKLKSYSYEGKYHTNALDKKGDELSIFQPAKEFLPAIQEILDKMKKGTSDIEGVLIEDNGFCISVHYRHVAQVDYGPLEKKVLSVLAGYPRFHLTRGKKVLEIRPSIQWNKGNALAYLLDTLGFASSSRVLPIYIGDDRTDEDAFKVLRRRGVGYPIIVSSAPRDTLALCSLQDPSEVLSFLIRLARWVSS >CDO96925 pep chromosome:AUK_PRJEB4211_v1:2:15076516:15079847:1 gene:GSCOC_T00014111001 transcript:CDO96925 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRSRTLPSRIYNGVVEERQDFRHYLQVEVQPKPQQEAEAINLQANYSKCFDDDGRLKRTGTLWTATSHIITAVIGSGVLSLAWAIGQLGWVAGPTVMLLFAFVILYTSNLLSQCYRSGNPVTGPRNYTYMDAVKATLGGRKVKICGLIQYINLFGVAIGYTIAASVSMMAVKRSNCFHESNGKDPCHMTSNGYMITFGIIEIIFSQIPDFDQVWWLSIVAAVMSFTYSTVGLSLGIAKVAENKSFRGSLIGISIGTITHAGTVTATQKLWRSLQALGAIAFAYSYSIILIEIQDTIKAPPAEYKTMKKATLISIATTTVFYLLCGCMGYAAFGDDAPGNLLTGFGFYNPYWLLDIANVAIVIHLVGAYQVYCQPLFAFVEKWSAQRWSNGDFVTAEYDIPIPFYGVYQLNFFRLSWRTAFVIVTTIIAMLVPFFNDVVGILGALGFWPLTVYFPIEIYVAQKKIGRWTTQWIGLQILSAACLLVSLSAAIGSVAGVVLELKTYKPFKTSY >CDP16433 pep chromosome:AUK_PRJEB4211_v1:2:35401093:35402346:1 gene:GSCOC_T00018328001 transcript:CDP16433 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMIWLDWSKVISGHKKSQYLDSSSSPSASSSKQLKSCSDCHTTRTPLWRGGPAGPKSLYNACGIKYNKKRRELLGLDRGRNDKGKKKRKSKMVLRRSGKLMGKLREEEQAAILLMALSCGSVYA >CDP00150 pep chromosome:AUK_PRJEB4211_v1:2:5741502:5746594:-1 gene:GSCOC_T00029965001 transcript:CDP00150 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVNHLSQPCIYGLSSYAERKSRFMKWLSKLFKGGSSSRGITSGHQPQFLGDENMVWRAPVRSLDDRSRANKEKEELDRAIALSLAEDLKRPQGYRWRSDHDEDLGSSLHGNLNSPYPPYAPREYYPRSYTICGGCNREIGTGNYLGCMGTFFHPDCFRCHACGSPITEFEFSLSGGYSYHKSCFKELAHPKCEVCDQFIPTNGAGLIEYRCHPFWSQKYCPSHEYDKTPRCCSCERLESRSARYISLGDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMRIDQQIPMLLVERQALNEAIEGEKQGFHHMPETRGLCLSEEQTVTSILRRPRFGGHSLVGMTRQPQKLTRKCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGYRNLSPQVEEGICQVLSHLWLESEVMPEFRNMPSTSAASSSSSSTWSSSKKGAKSRIESKLGEFFMHQIANDASPAYGEGFRAANAAVKKYGLRRTLDHIRENRSFPE >CDP08719 pep chromosome:AUK_PRJEB4211_v1:2:53569998:53571158:1 gene:GSCOC_T00027787001 transcript:CDP08719 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDKLSQPLRKTVHRKILDNTAINMPRVVRIQFTDCDATDSSGDDDDDGRLLSPFFRPPRIKKLLSEIVIEKKKYSCPRKATFVDEVKNAYRGVRQRPWGKWAAEIRDPARKARIWLGTYDTAEEAAMVYDRAAIQIRGPDALTNFIRPPEPERPDYTTTEEELAEVHATSVSGYDSGKESENNNLCSPTSVLRFKDKHQPPQQNDHASASATKWAGDWRPVEPVGAESEAISNSPDDDDSLLLDQCLLNEFFNLKSPSPLIYNEIIGHDDGVLELEEGNFDDDTPLDFGFGDDFGASCGAWDVNDFLEDHQLLLV >CDO97265 pep chromosome:AUK_PRJEB4211_v1:2:17689779:17690886:-1 gene:GSCOC_T00014541001 transcript:CDO97265 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKEAASSSHIVEEDDDELLLYGSGSGWVEALTHCDHLDTLSSDLTHIPPPDTPCYRCEHPQENWLCLSCEDVLCSRFVNKHMLEHYRQQNNHCLALSYSDLSVWCFSCDAYLDAQVILPLRPVYETAYILKFGEAPPFRALVDLHLADHKAEGSSSGGQS >CDP18673 pep chromosome:AUK_PRJEB4211_v1:2:30057660:30058662:1 gene:GSCOC_T00010447001 transcript:CDP18673 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHIKITKWHGIVLSFLDHSIIPGSLHSKKVAVKKFQFGSIIGGNGLDLNQKFYLQMFSWDFKPI >CDO97573 pep chromosome:AUK_PRJEB4211_v1:2:20063142:20072921:-1 gene:GSCOC_T00014955001 transcript:CDO97573 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKKRRQVQLTVLSVFFFLLNLVIYPSEGSEADNGLPRNNWSHHANAFNNSSSQDKLLTSNFLFSLPIQKPRYIMCRKLVLKILAIRILSYSIKQVWQALSTLELSSRNYVKPGKIVPLNKGFSTSVSRASRQPIHQSVSDIKSTFQANVESHQAVRQSSIRGGESGSYKATSVTTSGYGVAEVRRTGTHISLSSSFHPQAVNPPSNHSIHISDMARSGNTYPEGVDDDNILENIDVDQIVMEHYQSTCTPQPSISKFPPINPVVNKDNLVEPEATSLPPELCMKCSHGFQLGFCPEASVHLQELKDMLIGISNELIDNITDLESDQIEKLRQERMQLNMQIQQLDKYLRANLVNDERRTSHFSASTATPSAFQYETPPAVPFKMDPTRLDPQFYAHSEPNGFDRWDSSSVSFTSTDWYGASAAPLEREPYVPKYVEVNYIEGSNDKKWSSRDFPWTKKLEANNKRVFGNHSFRPNQREVINATMCGHDVFVLMPTGGGKSLTYQLPALICPGITLVVSPLVSLIQDQIMHLLQANIPAAYLSASMEWSEQQEILRELNSDYCKYKLLYVTPEKVAKSDVLLRQLDGLNGRGLLARIVIDEAHCVSQWGHDFRPDYQGLGVLKQKFPSVPVLALTATATISVKEDVVQALGLVNCIVFKQSFNRPNLRYSVIPKTKKCVDDIDNFIRQNHFDECGIVYCLSRMDCEKVAEKLQECGHKAAFYHGSMDAAQRAFIQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQPSSCVLYYSYSDYIRLKHMISQATVEQSFGVSGYNRASAKPSGRILETNTENLLRMVSYCENNVDCRRLLQLIHFGEKFDSLNCQKTCDNCTKTQSCIEKDVTNIAKQLVELVKMTGQQFASAHILEVYRGSLNQFVKKHRHETLSLHGAGKHLLKGEASRVLRHLVIEDILVEDVKKSDVFGSTSSVLKVNESKVQHLLYRGQPIKLRFPSTQPSKGGTSAATPAKGSLTFGKQGSPEMISPGQPQAEVDLNLSAKLYTALRMLRTILVKEAGDGVMAYHIFRNDTLQQISKKIPRTKDELLEINGIGKAKVSKYGDRVLETIEATIKEHKIDKTSGSSSNDSADSMKRRRDTVKERAGKSGDDEDFNESTGRSRKRTLKKQNSSVEATDSGDLVAYNDCIFDDDMDFDELNCDVQSNSTNSKADVNNGGRVLPSWSSPATKVSA >CDP17233 pep chromosome:AUK_PRJEB4211_v1:2:48138406:48140524:-1 gene:GSCOC_T00000721001 transcript:CDP17233 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIVKVANLVTISIGIILFCPSFQSSPPAEAIRSTSFSIISQKNSVPHLHNSLMMINHGHHPMQLAVCNPSLIHLVMTLDTQFLRGTVAAVNSILQNAFCPQNIFFHFVHSDSDHHLQTLIRDIFPSLNFKAYYFNPETVQNKISSTIRETLEQPLNYARNYLAHLLEPCVERVIYLDSDVVLVDDISKLWRTSLGPATLGSPEYCHANFTKYFTPNFWSQKKFSRVFSGRKPCYFNTGVMVIDLVKWRKFKYTKMIERWMEIQRNQRIYDLGSLPPFLLVFAGEMAPIDHRWNQHGLGGDNLSGNCRQLHPGPVSLLHWSGKGKPWIRLDSGKPCPLDIIWSQYDLYGQSL >CDP05155 pep chromosome:AUK_PRJEB4211_v1:2:2016964:2023701:-1 gene:GSCOC_T00020104001 transcript:CDP05155 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTVGLEVTNSINCELSWKTVKGKRSRKSVARNNGRVTLGNKSPKRVGDFSGSDSDKFGEPGTGQCFPDKAEYVPIKKRRYYLRSPSPSLRTSLYSGQSVSPQLRTPSSHSDDLEHLSDHNGSSGSYTNRRGFVAARVGNGVNEGVVTDKVPEETSGELYNSDNFSGIALLAAAACNNNIDDDPYEGKGDAVEISSLPQRSDASTSSLPVRECIPCSESGKQMDKDASLLDNKEGCSLVVESSHGAPSSSEENEEGKSASSKIDRRHWDLNTLMDAWEQPNEDTSAQYKCDDTCIDGMPMEKLDKEGCVIQRDCISNGSGSESCLPLDHIESATQSSTAKMKENGSEEFCQTSYSSLSGHSMEPVKYSLADEKVSSHVLGSNGSFTNCPLSESCHSSEVSGGNLDIKSCPVSTMIPGADSCRDASSLATTSEQNVVIPDASDLEKFGGENDGQLRDPEDSEKKTELPDNRIALGLEKCIGTCKTLDAENLESISGENTLPSSKCEGLSISDISVGGHSTVISDAKGQDGKILAANDLGTDAARCLGFKQLPSKDDDPHVRDEEGEGRSILHDCHNSDVSQDDPGCIDGRDKIDELQAGYDSPYEDGELRGSVLYSWEENELEDGENECLDYESDGRVGEDSDIGDSPSSKVVEAGSEGSQGKEKNGLLGAQSQETDSRRSTSVKSSSRGESKEIREKIETAGKKVSNQGCGTTEELSTDVIVERNYGSRRGRTSDHMDGLDVKGSYAGVGSKTTRGKLQSRIEGPSTLDAMERDNAYTLQGRSRNSGSSYSRENRDGCPDRYVTRYRPAVQERERNGGNNQLVYWDSRSRYPSSYRGHEGQVPNRQRSSINDPVDKFGGVNSHDHKQSMNYSSRTESPDRFATRYRSAVHERERIGGDGHLFYWESRSRYPSSYRGPEGQGQPRPRSVIADSVDKFGGLDPHDHKQSVNYSSKSMQRPLGWRRSPVDRDEYPGSQRRVAAFSSNRNRGGSRNFTDGVGRGPRGEYHQPMDDDAASSVRMPHYLSWKERGFFAPSTKGVHASVPRRDSRSRSRTRSPRAWHPQRERNLGARRHSRSPDFRSEARMDRMRAPFQKPNFAADYGESFMSPPRGRFSPQRNFRGLDDRNFVDSHLRHRRSPLNVFRQSQRLESIGLSGRLKSDDSFRPMMRPGRFPHTAGLGRGCKLEENDDDKRHDDRSGMMH >CDO99953 pep chromosome:AUK_PRJEB4211_v1:2:7505390:7507684:1 gene:GSCOC_T00029683001 transcript:CDO99953 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGEEARKDESNVEIVERGEIFFFYRAKVNKEEAHSPDDVQRLYIVLRPESGEKSVEEKQGSSSGKEGAKMHESGNDEKETRPGGEGGRGDEKVDIEQQPLLRFIVMGKKSLPEPSQQRGRPYWGFVELVTTRIEDVKAALKGEEYDTNTRGHRRTEPARALAEGVYRILRHSPRKRMHTHLVYRLEFPPEDEKNEAQESLNDEREGSFLIQIKNPEQQGTSRFAGLQSKRKATFPAHLQGQFGNRRFNPADPPDFLNYEGCEFLLIAASDDIEEELGLELRTEVENSHDPSCSDLVRTFGETASIEPLLEGTWV >CDP19999 pep chromosome:AUK_PRJEB4211_v1:2:39123191:39125423:-1 gene:GSCOC_T00011097001 transcript:CDP19999 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSSNNDQKESLQQQAAVPPPADSANQFAPLASTFRRRLLTGVASASVVAVGANFAGITSFLLGLSPENARSLKLDVLYPIEGYSRCIEANQAFADQGFEFIYPANWVGDQTLLSRVAGKAERSLDPPGLKNGRKYVNEPIVAFGPPGSTGELNVSVIVSTVPSNFSIEAFGSPKEVGEELIKTITGKRPDVKGALIQSYSREDSSKNVRYYSLEFQVESPTFQRHNVAVFCTKGGRLFTLNAQAPESLWPQIKSDLYTIADSFSLTS >CDP05105 pep chromosome:AUK_PRJEB4211_v1:2:1587289:1590845:-1 gene:GSCOC_T00020041001 transcript:CDP05105 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKFIFLAIFLSGALVLLARSEPSEDKQALLDFANNMYHSRPLNWDVRTSACNLWTGVTCNHDKSRIIAVRLPGFGFRGSVPSNTLARLSALQILSLRSNGFSGPFPSDLSKLGNLTSLYLQLNKFQGPLPQNFSVWENLSVINLSDNAFNGSIPASISNLTHLTALNLSNNSFSGEIPDLNVPSLQLLDLSNNNLTGNVPQSLTRFPNSAFSGNQLAPEVSSPPAVPPNEKPEKKSSRISEPAVLGIIIGGSSLGFVLIAVLLIICYSNKEAKPKAPKKPKKEVSLKREKKTISASQDGDGRLVFFENCNLAFDLEDLLRASAEVLGKGSFGTTYKAALEDGTTVAVKRLKEVSVGKREFELQMEAVGNVRHENVAQLRAYYYSKDEKLMVYDYYAQGSVSALLHAKMGEKRIPLDWESRVRIATGAARGITHIHSECGGKLVHGNMKASNIFLNSQQYGCVSDLGLATLITPIAPPVMRTAGYRAPEVTDSRKVSQASDVYSFGVLLLELLTGKSPIHATGGDEVIHLVRWVNSVVREEWTAEVFDVELLRFPNIEEEMVEMLRIGMTCVARMPEQRPKMSDVLKMVEDMRRVNTGNPPSTETRTEESTPAALTPIAADIASTSGQQ >CDP05385 pep chromosome:AUK_PRJEB4211_v1:2:3787799:3802201:-1 gene:GSCOC_T00020401001 transcript:CDP05385 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETTCSRGPDQMCRQDSRLNLSAEEEIAAEESLSIYCKPVELYNILQRRAIIDPSFLQRCLRYKVQAKHKRRIQMTVSLPATVNDGFQIQSLFPLCIMLAKPASSAEFTEGCASYNFNRSCILTSFHGDEGVNHAQANFILPEINKLSAEIKSGSLAILFISRVEFTRDRVDVSSFSSNAGGHCLLGKIPMELIHLSWEKSPNLSLGERAEMLSTVDMHPCFMTSSCLEEDRCVSFQTAHSSGTLASSQQLQVIVTAEEVGAKDRSPYDSYSYNDIPSSSLPHIVRLRTGNVVFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRYHLSTSHDLFNFEFWVTEEYQAVNVSVKTDIWRSEIVADGVDPKQQTFFFCSKPLRRRKRKSLVQNAKHVHPLVLDSSLPASFDELLDKTDEFVEHDASSPNIPGLSSAGNSYADPECLPSVPGSNIAPPTVLQFAKTRKLSVERSDPRNRLLLQKRQFFHSHRAQPMALEQVLSDRDSEDEVDDDVADLEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHVPWACEAFSRLHAQDLVQAPALLWCWRLFMIKLWNHGLLDARTMNNCNIILEQCQNKDNDPMTSSKGGD >CDP17212 pep chromosome:AUK_PRJEB4211_v1:2:47854010:47854701:1 gene:GSCOC_T00000684001 transcript:CDP17212 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRKVKPNAVIYSSIIHSLCKDNMVDKAFDLFHEMVEKGIAPNIVTYNCLIHGLCNLGRWKEVARLLTEMQDFHISLDVFTFSAVIDALCKDGEIEAAEDVFQTMIQRGEKPNNVTYAALMDGYYLQGQMDEAMRVFNTMVANGVVPDNFIYNILMNGYFKKMRADDTLHLLKTCSVKV >CDP13966 pep chromosome:AUK_PRJEB4211_v1:2:4659757:4662254:1 gene:GSCOC_T00039118001 transcript:CDP13966 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDQVSSVASVPMDYSRKRKSRRRDGTNNVADTLAKWKEYNDKLDSLDEEGKVVRKVPAKGSKKGCMKGKGGPENARCNYRGVRQRTWGKWVAEIREPNRGSRLWLGTFGTAVEAALAYDEAARSMYGPCARLNLPNYGPQQEASIESSSFPATSASDSTTTSGLSEVCPPADRDAEPNSNVKEEDGEGESRIQDTRPLTWVGAGSPMCTVKEEPKDGHMEALDMSIQAEAPVKNETFNSSDEPLDTLAWDEMFDIDEMLSTLNSGPTHGSGSQYELVGINGGQFLNGNMQPSEFLYQMQNPDAKVLGSCQNMEQTSQGVDYGFDFLEPGREENSQFALDDLGFFDLDADLGI >CDP19644 pep chromosome:AUK_PRJEB4211_v1:2:5650437:5653772:1 gene:GSCOC_T00009484001 transcript:CDP19644 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHQKPSSAPHVLLFPLPLQGPVNCMLKLAELFCSSHLQVTFLNTDHIQRRLLSCTDVSSRFKRYAGHFRFETVPDGLPADKTLTGEQIGELLDSMEAVSLPLFREIVRSSVLLSDDAQNPLTCIIADGAFGFAVDVAAEFGVALMYFDTISPCGLWSILSANRLIQAGDFPFKDDDLDAPVTSIPGMEGFLRRRDLPSFFRIPDQNDPIIQRVLKEERQMKRCQGLIFNSFEDLEGPILSQLKTLVPSVYTIGPLHTHKETRLASERGSKNDRNSTNSLWKENKSCISWLDNQPAKSVIYVSIGSLALMGKKQLLEIWHGLANSGVRFLWVQRPGSITGLDEENDAGIPLKLCRATTERGCIVSWAPQEEVLAHPAIGGFLTHSGWNSTLESIVEGVPMICCPYFADQQINSRYVGEVWKLGLDMKDTCDRDIVEMMVRDLMEERKDEFSKKVDHMARLAKASVSNGGSSYNALNRLIEDIKLMSQKKPLW >CDP08909 pep chromosome:AUK_PRJEB4211_v1:2:51861865:51871181:1 gene:GSCOC_T00028042001 transcript:CDP08909 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYNSQSPYPYGSYGYPSMPPSSNQPYPPSSSVQYPPPASGQYPHPPYPHYPPSGTGYAIPHTYGYPPASSAPSVPPSGAPHPRPFEYGYLSPSHSGHLSYPYQGYPVYSNPSPQHQSHPSHVNEVPILERRESSLSAAGSSAHYDHGGHNVSSYPPVYPPIDDLLASVHLSNNKPSAASQPLNNHSAPASPPAPATHLDPGTIYGVPNHSFSGGRIETPPYPSSPSPNIHVSIPQSPNLQLVPVPSPKGSLKVLLLHGNLDIWIYEAKNLPNMDMFHKTIGDMFNRLPGNMNTKIEGHMNHKITSDPYVSIAVAGATVGRTYVISNSENPVWMQHFNVPVAHYAAEVHFVVKDNDVVGSQLIGTVTIPVEHIYGGGKVEGRFPILGNNGKPCKAGAVLSVSIQYTPIEKLSIYHYGVGAGPEYSGVPGTYFPLRKGGTVTLYQDAHVPDGYLPNLKLENGMQYAHGKCWHDIFDAIRQARRLIYITGWSVWHKVRLVRDESSLAGYTLGELLKSKSQEGVRVLLLVWDDPTSRSILGYKTDGVMQTHDEETRRFFKHSSVQVLLCPRVAGKRHSWYKQREVGVIYTHHQKSVIVDADAGNNRRRIISFIGGLDICDGRYDTPQHPIFRTLQTTHVDDYHNPTFAGSVAGCPREAWHDMHCKIDGPAAYDVLTNFEERWMKASKPQGIKKLKVSYDDSLLRLERLPDILGASDTPCIVDNNPESWHVQVFRSIDSNSVKRFPKDPKEATLKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFIGSSYNWGQHRDLGANNLIPMEIALKIANKIRAHERFAAYIVIPMWPEGNPTGAATQRILFWQHKTMQMMYETIYKALVEVGLEDAFSPQDFLNFYCLGNREVLDTSDASSTESHGPANTPQALSRKSRRFMIYVHSKGMIVDDEYIIIGSANINQRSMEGMRDTEIAMGAYQPHHTWARNRSSPHGQIYGYRMSLWAEHLGVIEDCFTQPESVECVRRVKTMGEANWNQYAAPEVTEMRAHLLKYPVEVDRTGKVRALPGHESFPDVGGNIVGSFLAIQENLTI >CDP15490 pep chromosome:AUK_PRJEB4211_v1:2:45394258:45397768:1 gene:GSCOC_T00015335001 transcript:CDP15490 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSQILHMIFLIIFFLISFHCTHILIANDALNAVEYFSRHGLNEVYYKRSSRHGREKYIGEVSGGDENKEQKTTSLEKFRLLLGMKNFFIRKPRDGHAENYLHSPSPCPSPAFAAEAPAPSPVQPVHRHLYHPSHHHHLVPPAHKIQKKENGGARRILTAVIVSTGVGSLLCAFGLFWGCKRFRKRRKRRTTRTLSIYCSEAGSRSSSKHSQTSVKKVSSDPGPDLFYLESLGAALEPQPFSLKQNSLALNAYSNQNTQFVSVSERERSGHEFTRAEADNDSDGCSAVGEITSATEISELNQNVPDSCNYSSCGDNVVPEEDDSSDDESFHSLCNSQASDVRLSDASAGSVSGLSEILSANASKKSDCSLASPSQFSTVLPPPPPPPPFPMISHVHTTSPKSTFTSERETLKNVSSSTSSDASSTGNSDSSSVSNQTPQCSLSPSSPNLPKAPQGIPLPPCPPPFSKGNANSLKIPPPPPSRLSQFTPLGKDGTPLPKLKPLHWDKVRAAPDRSTVWDKLRSSSFEFDEEMIESLFGYNLQNSIKNEEVKTKTSSPSKHVLEPKRLQNITILSKALNVTAEQVCQALMQGSGLALQELEALSKMVPTKEEEAKLSNYRGDINELGSAEKLVKAMLKIPFAFLRIEAMLYRETFDDEVNHLRKSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGAKAFKLDALLKLADVKGTDGKTTLLHFVVQEIIRSEGFRVSESIMGKINQRSKGRNNEDKEEEYKRMGLDLVSGLSTELCNVKKTATIDLDVLASSVSNLSDGMTKLQHLVHKDLSMEEKNGNFVQSMRSFLGYAEKNLEELQEDENSVLLHVREITEYFHGDVSMDESNPLRIFVIVRDFLALLDHVCKELRSLKIPSSPNPLAPFR >CDO97075 pep chromosome:AUK_PRJEB4211_v1:2:16325332:16328415:-1 gene:GSCOC_T00014307001 transcript:CDO97075 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRKPAENDVFSGQTPKVIADFDPPKKPKRNKYALACSFLASMTSVLLGYDIGVMSGAAIYIKRDFKLSDVKVEILVGILNLYSLLGSAAAGRTSDWIGRRLTIVFASAIFFAGALLMGFSTNYAFLMVGRFVAGVGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINAGILLGYVSNYGFSKLPPHLGWRFMLGIGAVPSVFLALGVLAMPESPRWLVMQGRLGEAKGVLDKTSDSLEEAQLRLSDIKEAAGIPEHCNDDVVAVSKNSHGEGVWRDLLVHPTPSVLHILLAGAGIHFFQQSSGIDAVVLYSPRIFEKAGITKDTDKLLATMAVGFTKTLFILVATFFLDKVGRRPLLLSSVAGMIGSLALLGVGLTIVDHSEHKMVWAVAWCLIMVLAYVSFFSIGLGPITWVYSSEIFPLRLRAQGCSIGVAANRVTSGVISMTFLSLSKAITTGGAFFLFAGIASVAFAFFYTLLPETRGRTLEEMEELFGTFFQWRTKMRELEKQKTGQQLQMGTTATAAKN >CDO97353 pep chromosome:AUK_PRJEB4211_v1:2:18378624:18379623:1 gene:GSCOC_T00014672001 transcript:CDO97353 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPPKYAYPYAPQGYYQGPPVMAPPQYQYTAAPPPKKETGFLEGCLAALCCCCLLDECCCDPSVIFVD >CDP19304 pep chromosome:AUK_PRJEB4211_v1:2:28890043:28892402:-1 gene:GSCOC_T00011535001 transcript:CDP19304 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLQVKSIAKLLEPAVSGTLNVLRSCANSSSVNRVVITSSMVAVSKNRELKEDVVVDESWFSDPSYCEEQKVHILSALLANHMIDKHRSECELIYQAINSDQLMMKILLGVVTVYVVQTLLAKAWTSFFKSHHLTLKYETTRVEPFPKATCSWVDVRDVAYAHVLDLETPSASGRYCLVERCANASQIIKILH >CDO97321 pep chromosome:AUK_PRJEB4211_v1:2:18120750:18123005:1 gene:GSCOC_T00014631001 transcript:CDO97321 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRIVIGNLALRLPPPSSWSKSTTTTTLCYCKIKLKGFPTQYSTVPWLSQESGTDAIENRIHAGFNFKKLEFEKLVEKSGARGGTCGLKIEIYTGKGGNTTAACGWKLGGKLLGSVSVQLDLKATEHSNKGCVIQDGWIGTVGGGSGKGVELHVNVRAEPDPRFVFQFDGEPECSPQVFQVNGNVKQPVFSCKFGFRSCGERNLRSRSSMSEPNTRTGCFSASFPAEKERPLKERKGWSITIHDLSGSPVAAASMVTPFVASPGSDRVSRSNPGAWLILRPGHGTWKPWGRLEAWRERNGGDHLGYRFELIPDGSIDTITLANSSFSTKKGGKFSIDIASGSTPLTSPNSSFDFSSASSGSGSGSGSGSWGQLLYRGFVMSSTVEGDGKCSKPEVEIGVQHVTCTEDAAAFVALAAAVDLSMDACRSFSQKIRRELRQPDQEY >CDP17809 pep chromosome:AUK_PRJEB4211_v1:2:51094419:51095974:-1 gene:GSCOC_T00003937001 transcript:CDP17809 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQATTPELSREDAYKFLNLLPNPDGSFNRLNQLPTLPPTPEIPPNHQDLAAPPLSLSKDIPLNPKTNTFIRLFRPVNPPPNTKLAIIIYFHGGGFVLLSATDPIFHGSCSTMAAHVPALVASVEYRRAPEHRLPAAYDDAMDAIMWVKDQASGGKENGCDPWMQEYADFSKLFLMGSSAGGNMVYHAALRALDVDLAPVQISGLIMNEPFFGGVQRSESEFRQKHDKIAPLHATDLLWYLSLPEDATRDHEYCNIAASVASQKDKIGRLPRCLVRGYGGDPFVDRQKELVKVLEGGGVEVVAAFSEDGYHAVEVFDPKMAQILYDDVKNFVTFSSSAPENLNPEKSAM >CDP13890 pep chromosome:AUK_PRJEB4211_v1:2:4124401:4128060:-1 gene:GSCOC_T00039023001 transcript:CDP13890 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKNLKRAVEEETLTLQQGQSIMQVVDLRGSNLIEVMDAKGERSLAIFPAKFQKSMWIKRGNFVVVDETGREEAAEFGRKVGCVVVQVLFYERVRVLQKSPEWPEVFKAATLGNSKQDLQSHTSNMEENDNSSDDDGLPPLEANTNRLRPFQSHSDTESASDSDSEA >CDO97534 pep chromosome:AUK_PRJEB4211_v1:2:19770165:19773892:-1 gene:GSCOC_T00014907001 transcript:CDO97534 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTMALSSLLPPQTLHITSSHHPPNSSLKVFSFPTRSPQQNCHPKSILSTSPPSFTWVPSQKWRTYISFFPAFLKNKAKDAKAIKEELLEAIAPLDRGAEATPEDQQSIDQITRKLEAVSPIKEPLKSDLLNGKWELIYTTSQSILQTERPKILRSKTNYQAINVDTLRAQNMESCPFFNQVTADLTPLNARKVAVKFDYFKIAGLIPVKAPGRARGELEITYLDEELRVSRGDLGNLFILKMVDPSYRVPT >CDO99523 pep chromosome:AUK_PRJEB4211_v1:2:11685550:11688125:1 gene:GSCOC_T00029137001 transcript:CDO99523 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKASHREDLSSAQAVLMGALAPGVNGPTWNTLKIAFLMLGVSLVAMLGLAFSSSDSTLTLHVAVFVFLAGTLFFLLSSFLAQTGLVSVEHQMQEIGLAPKSFGDVKNDKSN >CDP07631 pep chromosome:AUK_PRJEB4211_v1:2:24095339:24100126:-1 gene:GSCOC_T00024948001 transcript:CDP07631 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMEAAILSAVVSPIAKKVFELVSSLIAGKFHLHQGVEEDIRDLSSQLTAILAVLEHAEELQLDSPPIRDWLGKLKEVLFDAEDLLESFQTEALLWQRQQQARNVHPPFSASQLFMKLNVAQEIKKVLLRLEKIGREKQNFQLDAHAIDSGASSTRPSVSRNTGFIVAESDVVGRDDDKDRMINLLLSEESDRQGHVSVAPIIGMGGIGKTTLSQLVYNDDRVKSHFEFRMWVCVTDVFNYTKVLKDMIEYHTEIKYNDISSLSNVQLESRLLEFLKGKPYLLILDDVWPESFDWSDLQKLLKHGGKGSRVVVTSRSSHVANLMGTLPPHFLELLPEDQCQFLFEKIAFGPGGAKRTEETEEIGKDIVRKCKGLPLAIKVMASLLRGIDGARKWRNIQKHEIWEAEKHSDARKPQILPALSLSYNHLPAHLKRCFAYTCIYPKAYVFHKMDLIKVWKAASFILPRGQYSIEDIGEEYFDELLRRSFFQLSKVDNQETFRMHDLLHNLAESVSGPYCYQIKDNDPCNFYEKARHISLLCSAAEQPIMGIMEKSQKLRTLLSPSDHQKNFGQALDSIFQSLKYIRILDLSSSIMLELPESVGELKLLHYLDLSKTEIRRLPNSVCNLINLETLKLLSCLWLFELPKDLGKLTNLQHLELDDIFWYKLRTLPPGIGSITGLQNLHAFPVSREAGKGINELKGMLHLKGALHIKELENAANAGEANLKDKEDLVKVVFEWSNNRNGSPENAALDENIIEDLQPHPDIKEIQVIHYQGARFPAWIRDGQVKNLTSLTLNHCINCRVLSIGQLSRLQSLSLKGNLELEEWIDAPYHFLHRLKISNCPKLRDTPKIFLNLGAMKIKKCNSLKALPLIPSVMFLKLMHNLVLEDFNEHTPSFIKLLELKVIDCPKLPCLPEVFAPQKLEVSGCPLLTKLPTPEFSQRLQHLAIDACDDPTLVRAIPDTGSLYSLVISNISNLTSFPKWPHLPGLKTLYISRCNDLTSLSDETAASVPFEGMTSLELLSVRGCPNLVRFPDKELPATLKCLIISSNSGLTSLGPEEAFQNLTSLTDVNIENCPELHSLPAEGFSASLRHLLIEGCPELIKECQNVSGADCPKIQGIPDLEIEPLQVLASQNPQNPPAAWYHCLICCKGTRTIEDQA >CDO97377 pep chromosome:AUK_PRJEB4211_v1:2:18572598:18573125:-1 gene:GSCOC_T00014700001 transcript:CDO97377 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGEKEAAVNGRLVTRRNLTLEFVSFEDGKEAVAKAANYLFVKHYANGTKEKSDFTEPQMAEALGAIGSGGADPDLLLIYGPTRCHLGFPAWRLRYTEIV >CDP17211 pep chromosome:AUK_PRJEB4211_v1:2:47841866:47847874:-1 gene:GSCOC_T00000682001 transcript:CDP17211 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSDDVGSIRSLSQISEEETVKLSVDLVAAARHNLGFLRLVDDSDWLHQKPSILESIRRYDQLWMPLISDLTVGSTPPMVLPPLDVEWIWFCHALNPVSYREYCKSRFSKLIGKAAIFDEENEEYALNRCKEIWEYRYPSEPFESEFELNLEKPCVINEDLLDQVSKQRYLYTIFNEPYRSEVVYLIAARQSYKGFLYSLNRISERCSLLVPPSDVLLMWLTHQSYPTVYAQDTKELEGHIEKVHGAWDDVNVKEGAIEEAKNMWEEIFDRPYEKAGGSAIGRAVHVKPPFYWDASDTDVNTKYRSLVPRFLLEFCISVKLSRETRAMTRQMSNEFLRLRMARCHKELKLDKPLLDFRSESWRKAWHLYCEFGTKGVILELRQRGGRCFKGSNLQCSITFLWNDLLRARSLTWSKEIVQVVKADASITPPVQAPYLLKCVPDRVTDDSGAMISDVILRMNEYRPQAGRWLSRTVLDHAGRECFVIRMRMGGGFWRRGGETPSAVKWEDRIIEIREGSWSYVASSIGKAPEKVVGTATPQEPPEEWQASWNISTGNELLIRWASPTSSSGLNFVIRNKEPTDRMVRLLKGRKMQYQVKKSSSASDSSICKKPNDTDDEEVEEEEEEEEEDGFITLVRFSEELPTGKATALLNWKLLAVEVLPEEDAVFVLLICLSLSRSISEMKKEDVGSLLIRRRIKEPKPGERDRGSIMLHPSSYSPSISSPYLQPWYWNAKAVMASKEMDDMTRPPAMNLNYSPAEGGDKLYKRGVFALKKL >CDO99907 pep chromosome:AUK_PRJEB4211_v1:2:7883070:7883702:1 gene:GSCOC_T00029620001 transcript:CDO99907 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVTVFLVLLIHIYAVSSSDPDPVYDFCVPRTNLSSDALSCKNSTLVTVEDFVYSGIKSPGDFKETGFAATPVSSTVFPGLNTLGMSFVRADFAAGGVNVPHYHPRATEVGFVLEGKIYSGFIDTKNKVFARVLEKGEVMVFPRGLVHFQMNVGESPATILGSFDSQNPGLVKIPAAIFGSEIKDELLLKAFGFNSKELAKLRKHFES >CDP05363 pep chromosome:AUK_PRJEB4211_v1:2:3618887:3621154:1 gene:GSCOC_T00020374001 transcript:CDP05363 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLVVTGKSQPYNGRITWFVVLSSMVAATGGIIFGYDIGISGGVTSMEPFLRKFFPEVYTKMKQDSKISNYCRFDSQLLTSFTSSLYVAGLVASFFASPVTRTFGRKPSILIGGAAFLTGAALGGAAYNIYMLIFGRVLLGVGVGFATQSVPLYLSEMAPPKSRGAFNIGFQLCVGIGVLVANLINYGTEKIKDGWGWRISLAMAAAPATILTLGALFLPETPNSLIQRGNDHEKAMKMLQRIRGTTDVKAELVDLIKASEISKTVKHPFKNLAQRKYRPQLVMSIAFPFFQQVTGINVISFYAPVLFRTIGLGESASLMSAVVIGLVGTSWTFISLLIVDKLGRRTVLKTGGVQMFVSQMMIGAILAVKLGDHGGMSKAYGVLVLILICIYVAGFSLSWGPLGWLVTSEIFPLEIRSAAQSITVAVGFLFTFLVAQTFLAMLCHFRSWIFVFFGGWVAIMTVFVCLLLPETKNVPIEKMDEIWREHWYWKKIVGNDQENDESKTDEA >CDP17181 pep chromosome:AUK_PRJEB4211_v1:2:47469221:47476087:1 gene:GSCOC_T00000646001 transcript:CDP17181 gene_biotype:protein_coding transcript_biotype:protein_coding MASILQVPVTSSFPCFSSSSSSLKRRILSRTVSATSSAKIPVPPINPKDPFLSKLASVAASSPEVLLNRPPNSDTPPYLDIFDSPKLMATPATVERSVSYNEHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAELMYLQWMDPKEPIFLYINSTGTTRDDGETVGMETEGFAIYDALMQLKNEIHTVAVGAAIGQACLLLAAGTKGKRFMMPHAKAMIQQPRAPSSGLMPASDVFIRAKEVIINRDTLVELLAKHTGNSIEAVADVMRRPFYMDSTRAKEFGVIDKILWRGQEQIMADVAAPEDWDKNAGIKAFDAI >CDP15534 pep chromosome:AUK_PRJEB4211_v1:2:46267163:46272394:-1 gene:GSCOC_T00015402001 transcript:CDP15534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-crystallin domain-containing protein 22.3 [Source:Projected from Arabidopsis thaliana (AT1G54850) UniProtKB/Swiss-Prot;Acc:Q84K79] MASNNRACYPPWSHFSENNGSGPSDPVQPVLDVLPLQCLAPSGPPPNMNATSTTKSDDSSSSVEINPGPSFGELPAMVFLSSLPTNEEWNNIITATKSGVALTGSAAMGKVGPAIGSVDIGESEDEYLFRVSLPGVVRDEKNFTCDVQHDGEITITGLTSTGEKMVRRDSMVFEMQTQNLCPRGEFSISFQLPGPIDYEKPIKGVFGSDGIFEATVKKRPAKGRRVI >CDO99526 pep chromosome:AUK_PRJEB4211_v1:2:11657828:11658946:1 gene:GSCOC_T00029142001 transcript:CDO99526 gene_biotype:protein_coding transcript_biotype:protein_coding MCHWHVAPRSLERDGIVSWNTQSLPNKNFLTKLKKVTKCCKNAKAPPFQSTPLSLSLSAPFPHLSLSIFIKLHFSTLSSFFSFFLSFKFSTFTLSLSFSLTHTNTQNTHTRSNSTMENSTRGLQENQNPCLLPSPKSYSTNSSSSSTSSNGLHHHHHHGQLPPPPTPPPQVPVPITRSEPNNPYPTTFVQADTSSFKQVVQMLTGSSETAKLASGSSRPEPGSAPVKNHAIPPIKTGPKKEKSASRLYERRNSLKNFKLSPLAPGLVSRPQFSSNSPRPVTPEILSPSLLDITSLVLSPVTPLIPDPFNRSPHSGSSTNSNLDVEAEDKAIAQKGFYFHPSPSNTPRDSEPRLLPLFPVTSPRVSGSSSSDS >CDP18674 pep chromosome:AUK_PRJEB4211_v1:2:30066338:30067340:1 gene:GSCOC_T00010448001 transcript:CDP18674 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHIKITKWHGIVLSFLDHSIIPGSLHSKKVAVKKFQFGSIIGGNGLDLNQKFYLQMFSWDFKPI >CDO97684 pep chromosome:AUK_PRJEB4211_v1:2:21020729:21024623:1 gene:GSCOC_T00015092001 transcript:CDO97684 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNLTPPPRLSHDDYDDELMNSPHTNVSEIKIPLDQVDGDQEDGEENTGTDYGECNSNSKGVFLTWDDLWVSVPNGKKGCKAILQGLTGYARPGELLAIMGPSGCGKSTLLDALAGRLDTKSKQMGEILVNGRMQQLAYGTSAYVTQDNILTWTLTTREAVYYSAQLQLPNTMSKAEKIDRADRTIREMGLQSCIDTRIGGWGSKGLSGGQQKRVSICIEILTRPKLLFLDEPTSGLDSAASYYVMNRIAELAKEYGMTVVASMHQPSTEVCKLLDNLCLLSLGRTIYFGPSIAVNQFFARNGFLSPGLQNPVDHYLRMINTDFDEDIESAADGKTTTKEVIDKLVESYTSSDTYDLILREVAQINGQQIGVVEEKRRRGSFFIQCLVLTERSFVNMHRDLGYYWLRLAIYITLAFGLGTVFYNVGNSYTSINARASMLMFVASLLTLMSIGGFPSFVEEIKVFQRERLNGHYGVAAFVVSNTASSVLFLCLIAVIPGVIVYYLVGLQRGTERFLYFIMVLFASIMLVEGLMMIVASLVPNFLMGLIIGAGTQGVMMLSGGFFRLPHDLPSIFWKYPIYYTSFHRYAYQGLYKNEFEGLKFPSNELMKGGSSSIDGETILRHTWQVEMGYSKWIDLAVLFLMVILYRLLFFGIIKVGERIKPLVKRFYVGLFL >CDP17236 pep chromosome:AUK_PRJEB4211_v1:2:48188366:48190651:-1 gene:GSCOC_T00000727001 transcript:CDP17236 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIFKVLRSFLVLTWFLVPFHASLVVSAKRSTYIVHMDKSAMPKAFSGHHMWYSSTVDAVKSVSFDSLRNGPKFVYSYDNVLHGFSALLSEDELEALKKSPGFLSAYTDKLVTTDTTHTYNFLSLNPTNGLWPASDFGKDVIVGVIDTGVWPESPSYKDDGMTPIPSKWKGTCEAGQDFNSSLCNLKLIGARYFNKGLVAANPKIVISMNSTRDTFGHGTHTSSTVAGNYVEDASFFGYASGTARGIAPRARVAMYKVSWPEGAYTSDIIAGIDQAVADGVDVISISMGLNFIPLYEDPLAIASFGAMEKGVMFSCSAGNNGPSLGTLHNGIPWTMTVAAGTVDRFLAGTVTLGNGMTITGWTTFPARAVVEDLPLIYNPTIAGCNSSDLLSSAHGIVICDNFNAFYNHENISRSTVPATIFFSDDPEITESTTFPDPGVIISTKYQEDVMKYALNGVKPTASITFQQTILGANPAPVVADYSSRGPAPSYPNILKPDVMAPGTLVLAAWVPTSPVATIGDKIRLSSDFSLATGTSMACPHASGVAALLKGAHPEWSPAAIRSAIMTTASTLDNTQSPIKDMGFNYDIASPLAMGAGHINPNAALDPGLIYDATAQDYISLLCTMNLTRSQIASIVRSTDYSCLNASSDLNYPSFIALYNNGSTGVLNKIFERTVTNVGDGPATYKAIVTAPRGSVVEVNPQTLAFQKKYDRQTYSLTIRYTSDNSAKVSFGSITWSDFGGKHVVRSPIVVSPITTVWA >CDP17753 pep chromosome:AUK_PRJEB4211_v1:2:51687293:51694671:1 gene:GSCOC_T00003861001 transcript:CDP17753 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLYYIIVALPCTIGAIALAISHIYRHLLNYTEPTYQRYIVRIIFMVPVYALMSFLSLLLDDKAIYFDSIREIYEAWVIYNFLSLCLAWVGGPGAVVISLSGRVLKPNWCLMTCCFPPIPLDGRFIRRCKQGCLQFVILKPFLVAITLILYAKGKYEDGNFNPMQAYLYLTIIYTFSYSMALYALALFYVACRDLLQPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKDTKEAAEFQSFIICVEMLIAAVGHLYAFPYKEYAGANVVGSRGFTASLAHALKLNDFYHDTVHQFAPTYHDYVLYNHSEGEEGARKYRARTFVPTGPEMDTVRKSKHIFGNKLEDIQLSSLSSSGSSTPQNPGAVQDTAKSEAMNSSLLMDSSNGLSAPYDLSLIDIDMSNYPAKVPAANESGQR >CDO97578 pep chromosome:AUK_PRJEB4211_v1:2:20102601:20109657:-1 gene:GSCOC_T00014960001 transcript:CDO97578 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G11000) UniProtKB/Swiss-Prot;Acc:O23693] MHELIREGRSLEFTPTWSVASVTTVMVFVCLFVERSIYRFGKWLRKTRRKALFASLEKIKEELMLLGLISLLLGQWARWISQICVKSSLFSSKFYLCSDEDYGMGERERVLFTKSDTFSNETEIPTGLNYSTLHQCGADREPFVSHEGLEQLHRFLFVLGFIHVIYSCITVGLAMSKIYSWRKWENEATFAPDGNLQAPKNKVMRRQSTFALHHASHPWSRSRVLIWMLCFLRQFRSSIQKSDYLALRLGFITNHSLPLSYNFHKYMVRSMEDEFSGIVGISWPLWGYAIICIFINIHGLNVYFWLSFIPAILVMLVGTKLQHVVSLLALEIAEPKGSSFGTLVKPRDELFWFGKPEILLRLIQFISFQNAFEMATFIWSLWAFKQRCFMKNHALIAIRLISGIVVQAWCSYSTVPLNVIVTQMGSRHKKALIAESVRESLHSWCKRVKERARRDAALSLATRSTCSLESTIDEGDEIITVASGTLSPCSSSGSLNHQDMNEESTDCVVEGSNPPDDDISFRISEYLAQTIASGAGAGDNADDLEEHHQHQPETLFDLFQKT >CDO96739 pep chromosome:AUK_PRJEB4211_v1:2:12538451:12540549:1 gene:GSCOC_T00013851001 transcript:CDO96739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 11 [Source:Projected from Arabidopsis thaliana (AT5G45940) UniProtKB/Swiss-Prot;Acc:Q8LET2] MDCNKFEGSQKLNNLAEQLRLYKPPTKYSTNNKITNPIEDDKISNASQHGNAKSNNRAAVLICLFEGIDGDVRVILTKRSSTLSSHSGEVALPGGKVEEGDANDIETALREAKEEIGLDPSLVNVVTVLESFTTKRGISVVPVIGIMQDRCAFKPDANTAEVEEIFDAPLEMFLKDENRREVELEWMGEKYALHFFDHNPENKKFVIWALTAGILIRAASIVYQRLPAFQERRPSFWNRSGL >CDP05112 pep chromosome:AUK_PRJEB4211_v1:2:1643766:1646539:1 gene:GSCOC_T00020050001 transcript:CDP05112 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIGIPLHVSRQFSTACQKALLLPAATVPSEAASYSQVLDKWPDIRTLKKIHCRIILHLNLSSCISFGIKLMRAYAARGQTSITRQIFDRIPERNVVIFNVMIRSYVNNHLYHDALFMFKSMNSSNTNPDYYTFPCILKACSASMDLRVGLQVHTQVLKMNLDGNLYVGNGLIAMYGKCGSLTGARSVLDEMPRRDVVSWNSMVAGYAQNGGFNDALEVCREMQTFGLNPDAGTMASLLPAVTNTSSENVAFVEDMFMKVRRDELVPWNVMIAIYVNNSMPNKAVELYMEMEERRIEPDAITISSILPACGDLSAMMMGRRIHKYVERKRILPNLSVENALIDMHAKCGCLQEAKEVFDKMHFRDVVSWTSVISAYGKSGKGAKVVALFSEMQESITPDAIAFVSILSACSHAGLLEEGRHFYELMTKEYKIVPRLEHFACMIDLLGRAGRVREAYDFIKQMPLEPNETVWGAFLSACHVHKDMDIALEAADHLFQLAPKVSGYYVLLSNIYAKSGRWKEVASIRSIMKCRGIKKLPGISNVELNNQVHTFLAGGQSHPQSKEIYEELDALIGKMKDAGYVPETEDALHDVEDEDKENHLAVHSEKLAIVFAMINTKPGTLIRITKNLRVCRDCHIAAKLISKITERQIIIRDTNRFHHFENGFCSCGDYW >CDP08727 pep chromosome:AUK_PRJEB4211_v1:2:53515975:53516739:1 gene:GSCOC_T00027798001 transcript:CDP08727 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMRTGILYNISLPANYSGIQVSVVRLRTARLWLRGANFSFFDIPPRRLPHPFSRRLLIVYQNLGNLSGFYYSVPNHTFVTPVIGLLAYDTNTSRMVKFNITRDPILVHFPLVSGVGDTNITAMKCVRFGTNGEVEFSNLTVANKCIARGQGHFSIVIPSQPLQPPVPSPSNKSKVRDWKWWVIGFGVGIGGLILLVMTVILLYKLIRKKRIAKMERQSEKSEVLGTTWVGPSRMPSATGIRTQPVIENSYVP >CDO99758 pep chromosome:AUK_PRJEB4211_v1:2:9125760:9126476:1 gene:GSCOC_T00029443001 transcript:CDO99758 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPPPSPAAIPETTVPETAPARHPLFARIRLGTIFDVPQLHKLIHQMATYERLTDQFAATEASLASTLFPSPSPPPPFTSFTVFILEVSPDPFPPTPQDPSNFSPILKTFHLDLPIEDSEKEIFRSEIGGDVVVAGFVLFFPNYSTFLAKPGFYIEDLFVREPYRKKGFGRMLFTAVAAQAAKMGYGRVEWVVLDWNVNAIQFYEQMGAKVLPDWRVCRLTGDALEAFAHLNI >CDP05375 pep chromosome:AUK_PRJEB4211_v1:2:3710515:3714564:1 gene:GSCOC_T00020388001 transcript:CDP05375 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTEPYKQSGPCSFSPNARFIAVAVDYRLVIRDVLTLKVVQLYSCLDKITYIEWALDSEYILCGLYKRPMIQAWSLTQPDWTCKIDEGPAGITYARWSPDSRHILTTSEFQLRLTVWSLLNTACIHVQWPKHGSKGVSFTKDGKFAAVCTRRDCKDYVNLLSCHTWEIISVFAVDTLDLADIQWSPDDSAIVIWDSSLEYKILIYSPDGRCLSKYQAYESGLGVKSVAWSPCSQFLAVGSYDQMLRVLNHLTWKVFAEFTHLSTVRAPCSAAVFKEVDEPLQLDMSELTLSDDLVPQSGDDAPETRIEVRYDVMELPINLPCQKPPADKPNPKQGIGLVSWSSDSLYICTRNDSMPTVLWIWDVQHLELAAILIQKDPVRAAAWDPICTRLILCTGSSHLYMWTPSGAYCINVPLTDSSVTDLKWNFDGSCLLLKDKEFFCCAAVPMLQESSDYSSDD >CDP08778 pep chromosome:AUK_PRJEB4211_v1:2:53057871:53058614:-1 gene:GSCOC_T00027873001 transcript:CDP08778 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAKSHSSPFYTGVYVIKVEISVIRDFRIIFSFALAHCFLNSIIFLVNKKKKKMRKTGKSKETMHMEKKPFV >CDP00149 pep chromosome:AUK_PRJEB4211_v1:2:5765998:5768925:1 gene:GSCOC_T00029963001 transcript:CDP00149 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVLDKIIERLIEVRSSKPGKLVQLSESEIKQLCTVSRDIFKEQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPKANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKAFTECFNWLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPTPIPDTGLLCDLLWSDPGKDVKGWGMNDRGVSYTFGPDKVSEFLTKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKNKFMTSTKM >CDO99853 pep chromosome:AUK_PRJEB4211_v1:2:8286448:8290755:-1 gene:GSCOC_T00029549001 transcript:CDO99853 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAAKKKAMVKKLKKGSAQLAAASSLSNDEAPDFLPLEGGPGRRIPQGEVRENKATVLYIGRIPHGFYENEMEGFFKQFGAIKRLRIARNKKTGKSKHFGFIEFESPEVAKVVADCMHNYLLFEHLLQVHLIPAERVHPRLWKGVNRWYKPLDWVRVERKRHDKERTLAEHRKLVEGIMKRDQRRRKRIEAAGIDYECPEIVGGNQSAPKKIRFDVE >CDP00123 pep chromosome:AUK_PRJEB4211_v1:2:6006723:6016658:-1 gene:GSCOC_T00029923001 transcript:CDP00123 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDDYSEELEMLLREQHRRQQEASDIERELSIFRSGSAPPTVEGSLSGLFGGGASGGRGGLSEEEIRSDPAYINYYYSNVNLNPRLPPPLLSKEDWRFAQRLQGGVEGGSGGGGGGSSATIGDRRKVVGNSGGDGGSINRSLFSMQPEFVGMKEENVMDPQKEWGGDGLIGLPGPGLVRRQKSFAEIIQDDANQTLPVSGHPSRPASRAFDNILESSDPQIAHLQQELTSVDSLLSGANIQGISAVHNVGSSASQSYASALGGSLSRSTTPDPHLVAKAPSPRIPPVGGGRVNSVDKRNANILKSSDDVLPNIDVSADIAAALSGMSLSANNKIDEGKHLASQIHHENLYHLQNDHNPVKHHSRLNKPETMQFHKSAVPSVESYMKGPSSLTLHGGGNSPSQYPNIDSPNASFANYALSGGAMNPGSPSMLGNQLGGGNPLPLIENIAAARAMGVDSRGVTLGPNLLAAAAELQNLGRVGNQNSASALQMPLMDPLYLQYLKSTEYAAAHAAALNDPMLDRESVGNSFMELLEMQKSYLETLLLHQKSHYSLPYLGKAGNPNHGYYGNPGFGLGMSYAGNQLGGQLLPNSPIGSGSPVRHGERNMRFPSGMRNFTGNVMGSWHLDLGSGLEESFASSLLDQFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVFHEIMPQALSLMTDVFGNYVIQKFFEHGSPAQIRELADQLNGHVLALSLQMYGCRVIQKAIEVVDLDQQTKMVAELDGHVMRCVRDQNGNHVIQKCIECIPEDAIQFIVSVFYDQVVTLSTHPYGCRVIQRVLEHCCDAKTQSIVMDEILQSVCMLAQDQYGNYVVQHVLEHGKPEERTSIITKLIGQIVQMSQQKFASNVVEKCLTFGTAEERQTLVNEMLGSTDENEPLQVMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIGILSSCSAA >CDP08640 pep chromosome:AUK_PRJEB4211_v1:2:54189587:54191662:1 gene:GSCOC_T00027675001 transcript:CDP08640 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFAAYLHTSGGSTIVAVDAVAVDGNFGLLEGRTMALVHPLVMASLFLYTLYAGYLGWQWRRARTIQTEINELKKQVQQVPVSPAGTPPPQPPQPSPVELQIQQLTEERKQLIKSQYRDKHYDAGSLLLGFGVFGSVFGAVNTWFRTGKLFPGPHLFAGAGITVLWAAAASLVPAMQKGNETARNLHIALNSLNVILFIWQIPTGIDILFRAVEFTNWP >CDO97126 pep chromosome:AUK_PRJEB4211_v1:2:16667621:16670235:1 gene:GSCOC_T00014369001 transcript:CDO97126 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPRMRALGCFFFISLLSSSSFSLALTDAEATYIARRQLLTFSKLDHLSEGGILDSTNFDFELNFTFENDRLKKAYIALQAWKKATYSDPHNTTGNWDGPDVCQYTGVFCAPALDDPNVTVVAGIDLNHADIAGHLPVEIGLLTDISLLHLNSNRFCGIIPKSISKLTLLYELDVSNNRFVGPFPHVVLELPNLKYLDIRFNDFEGALPPALFDKQLDAIFINNNRFHSNLPENFGNSPASVIVVAQNHFSGCLPKSIGKMGETLDEINFAHNGLSGCLPEEITELQSATVFDISENKFVGSLPVGLEYLQKLEEISIAQNMFTGNVPESLCTLPSVTNISLSYNYFENEDVSCVSSGNLTIEDDSNCLDKPSQKTKAACLPVTSHPVDCAKEGCRKSPSRKAPKHPEKPHQPTPTKPRPRTPTKPAPISSPPTPQPTPKPSPSPTPTPVQSPPPPTPTPKPAPIQSPPPPTPVPSPSPPVSKPPTPIQSPPPPIPIPTQSPLPPVPVHSPPLPVASPPPPVHSPPPPVHSQSPPPIPTPTPEPTPVQSPPPPHHPTPAHSPPPTPVPSPSPPVSKPPTPIQSPPPPIPTNSYSIPTPICFKTTNS >CDP08635 pep chromosome:AUK_PRJEB4211_v1:2:54248441:54251097:1 gene:GSCOC_T00027666001 transcript:CDP08635 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >CDO99710 pep chromosome:AUK_PRJEB4211_v1:2:9574891:9577538:1 gene:GSCOC_T00029383001 transcript:CDO99710 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEKCEKKLSKVIVPDKWKEGAHNTTEGGGRKINENKLLSKKHRWTPYGTNSATTKCIICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKFYKQSNV >CDP15206 pep chromosome:AUK_PRJEB4211_v1:2:49392450:49397132:-1 gene:GSCOC_T00042829001 transcript:CDP15206 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRNGNAKSNNSKVPGGSTSYAINLENFSKRLKMLYSHWTEYNNDLWGASEVLAIATPPPSEDLRYLKSSALNVWLVGYEFPDTIMVFMKKQIHFLCSQKKASLLEVVKRSAKDVMGVEVVIHVKAKNDDGTTLMDKIFRAVHAQSRLDGGDTPVVGHIAREAPEGNLLETWDQKLKSANFQLCDITNGFSDLFAIKDEAEITNVKKAAYLTSSVMKHFVVPRLEKVIDEEKKVSHSSLMDDTEKVILEPAKIKVKLKAENVDICYPPIFQSGGEFDLKPSAASNDSNLYYDSTSVIICAIGARYNSYCSNVARTFLIDANPVQKKAYAVLVKAQGATIAALKPGSKAGDAYQAAVAVVEKEAAELVPSLTKSAGTGIGLEFRESGHNLNGKNEKILKAGMVFNVSLGFQNLQTETKNPKTQKFSLLLSDTVIVSQNAPEVITSLSSKAVNDVAYSFNEDEDEDEQEEQPKMKAKTGNAEVLPSKATLRSVNHEMSKEELRRQHQAELARQKNEETARRLAGTGSGVADNRGSGKPSSELIAYKNVNDLPPPKDFMIQVDQRNEAILLPIHGTVVPFHVGHVKSVSSQQDTNRSCYIRIIFNVPGTPFNPHDSNTMKFQGSIYVKEVSFRSKDPRHISEVVQQIKTLRRQVASRESEKAERATLVTQEKLQLAGSKFKPMKLTDLWIRPVFGGRGRKLTGTLEAHTNGLRYSTSRPDERVDIMYANIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTIGGGKRSAYDPDEIEEEQRERDRRNKINLDFQNFVNRVNDLWGQSQFKALDLEFDQPLRELGFHGVPHKASAFIVPTSSCLVELIETPFVVVTLSEIEIVNLERVGLGQKNFDMTIVFKDFKKDVMRIDSIPSTALDGIKEWLDTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEASDSDSENSEESDQGYVPSDAQSDSGSEEENDDSESLVESEDDEEDDSDEDSEEDEGKTWEELEREASNADREKGHESDSEEDRKRRKMKAFGKARAPERRNSGGSLTKRARFR >CDP00132 pep chromosome:AUK_PRJEB4211_v1:2:5952736:5953116:-1 gene:GSCOC_T00029938001 transcript:CDP00132 gene_biotype:protein_coding transcript_biotype:protein_coding MGYERRQEFTSVSYFSLQILKYILELTVYVPYSTVISQRLKGKDIN >CDO99962 pep chromosome:AUK_PRJEB4211_v1:2:7443246:7446313:1 gene:GSCOC_T00029696001 transcript:CDO99962 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPNTSIPALFLNIFGLLWALQVGVSRVEGRAFFVFGDSLVDSGNNNYLVTSARADSPPYGLDYPTHRPTGRFSNGFNIPDIISQNIGASESPLPYLDPELNGRRLLVGANFASAGVGILNDTGIQFVNIIRISLQLEYFREYQQRVSALVGAQQAKQLVNQALVLMTLGGNDFVNNYYLVPNSARSRQYALPDYVRFLISEYQKVLSRLYDLGARRVIVTGTGPLGCVPAELAMRSRNGECSAELQRAAGLFNPQLIQMLRGLNSKIGKDVFIGANTQQMHNDFITNPGAFGFVSSKVACCGQGPYNGLGLCTGLSNLCPNRDQYAFWDPFHPSEKANKIIVQQILTGSTDYMTPMNLSTILAVDS >CDO97029 pep chromosome:AUK_PRJEB4211_v1:2:15994534:15996834:1 gene:GSCOC_T00014245001 transcript:CDO97029 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFDKATYDKLLSEAPKYKLITPSVLSDRLRISGSLARKAIRELMARGLIRMVSAHASQQIYTRATNT >CDP05093 pep chromosome:AUK_PRJEB4211_v1:2:1509875:1512104:-1 gene:GSCOC_T00020024001 transcript:CDP05093 gene_biotype:protein_coding transcript_biotype:protein_coding MDGENLSELFEFDETNLAGPTSPDDIFSVLEALEGVSDFNEMTPLDEAAGGSNPKDRGDQETGSTRLVSQKSTSSSALQESENELETSQKSKRRKLTVEPTATASSEEPNIDGLQRMSHITVERNRRKQMNEHLTVLRSLMPCFYVKRGDQASIIGGVVDYINELQQVLQSLEAKKQRKVYSEVLSPRLVSSPRSSPLSPRKPPLSPRLSLPISPRTPQPSSPYKPRLQQHGLPSPCSSSTSSTVDSVNELVANSKSAIAEVEVKFSGPNVLLKTLSPRLPGQVVKIVSAIEDLSLEILQVEMSATDQTMINSFTIKIGIECQLSAEELAQQIQQTFC >CDP19508 pep chromosome:AUK_PRJEB4211_v1:2:21373307:21377579:-1 gene:GSCOC_T00002336001 transcript:CDP19508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-3 [Source:Projected from Arabidopsis thaliana (AT4G00170) UniProtKB/Swiss-Prot;Acc:Q84WW5] MGISGPGDFLSIHPTELKFPFELRKQSSCSLQLTNRTDQYIAFKVKTTNPRKYSVRPNAGVIRPGAISNVTVTMQAHKEMPPDMQCKDKFLVQSVVMPEGAKELNQQMFEKEDGKVIGESKLRVAYIPANPPSPVPEEPEEDSSPISSMIDDEVKSSEHVSRTFEESTGGASSSEDWPMISKLMEEKTSATQQNQKLYLELEKTRKEILRNQVGRVSLFIALLIGLVGVLIGYFIR >CDP08615 pep chromosome:AUK_PRJEB4211_v1:2:54482919:54495949:1 gene:GSCOC_T00027631001 transcript:CDP08615 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSSGEELIIKARKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALAKGTPIGQALDIEIPPPRPKRKPCNPYPRKMSIGLPTSQVESKDGKLGNPSSSLPQAEHILDLENEPAAEKPEGEKFEDAKENRDEGESNKCFNLLQASPGIPPSSANTCSSTMASGKSSVLMEFVPIFNEVVNRDETTESYVTVEAGPNQNSDKFYTIQSFEENGTCNSRNWANAHSLNDKPAQNKGNVPEQSENIDTSPKNGVQSPKSQPRHVAVHILDGSLRMNAPNVSAHMPYEESLFLEIGGVNSNIFSTLSSSATSEHQSSASRFSISEPFVGFNPILTPVHQEDYHSFLHASSTFSNLIVSALLQNPMAHAAASFAASLWPCSTTEAPAESPGGGTGGFTARQMNAAPSITSIATATVAAATAWWTAHGLLPLCAPFHMGLNYVPVSGSAVPAVTNQPRVDITERREEISGPPLDAKHLEPEYCEALQEQHSTSKSPTLSLSDSVESKGLNSSNKSTAKKTDSAAVTDLNDSNKPKGRKRVDRSSCGSNTPSSSEVETDALEKLEKGKEELREPVVSQPTADSSGRRSRSSSSTSDSWKEVSEEGRLAFQALFSREVLPQSFSPPHDSKNKGKNTNEKNKDNADGKGDKGYLLDLNGKMCTNSATHQDLENNLSLRCLNITEGGLLTTGKLKMRRTGFKPYKRCSVEAKENRLVSAGSQEEEKGTKRIRLEGEASTH >CDP08812 pep chromosome:AUK_PRJEB4211_v1:2:52762465:52777013:-1 gene:GSCOC_T00027917001 transcript:CDP08812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 12 [Source:Projected from Arabidopsis thaliana (AT4G00450) UniProtKB/Swiss-Prot;Acc:H3K2Y6] MQRYHAASCTSAVNNTAIGGSARDVSRAESSSIPSNFSLNSRRPLPLTPYKLRCDKEPLNSRLGPPDFHLQTPTCPEETLTRDYVQSGYRETVEGIEENRETSLSQIQAFTKPVILKCKESIRKCHRAINESRAQKRKAGQVYGVPLSGSLLSKPGAFPEQKPCGEEFRKKWIEGLSQPHKRLCSLADHVPHGYRRKSLLEVLIRNNVPLLRATWFVKVTYLNQVRPGSSNLSGGVPDKTQFSRSEQWTKDIIDYLQAFLDEFVSKNNFHSTLHIRDRSPQMVYPGTLQHRNDAASTSTDADEPSLHFKWWYVVRLLQWHHAEGLIVPSLIIDWVFNQLQEKERLGILQLLLPVIYGVIEAVVLCQTYVRTLVGIAMRFIQEPSPGGSDLVDNSRRAYTMSALVEMLHYLIIAVPDTFVALDCFPLPLCLVTNVVNDGSFLLKIAEDESNMKTGPLEVACLQRDKGVEVHPDSLTINCIVSSIQKRAENLAKAARPGHPSQNVAKALLALDKSLIHGDVSVAYKLLFENLCDGAVDERWIAEVSLCLRSSLKYIGVVTLSFISSIFFICEWATCDFRDFRTGPPSGQKFTGRKDLSQIYIAIRILQLKRREMQSLSQGKNESSLAPDTLAKDPDLQNNYPGRFPVGNACEQNKYSLKSGKTRNLSNIFESPSPLHDIIVCWIDQHEVHSGEGVKRLQLLIMELVRAGIFYPQAYVRQLIVSGIMDGNGISVDLERRKRHHRILKQLPSSYVHDALEEAQVLEGAMLLEVINTYANERRLVLRGLLDCHKSSGSSWQKQKHYYNSGGGSDPSSVDQWRSLQGISSLTTKNVDQVVELEDLKASIVMLLQLPSSSLSSDSGLENFVGGFKRSTGSSITKIDIGEGTPGCEECRRVKRQKLSEDRSSYPQGFLSNALDDEDIWWLRRGPKSLDKMDPPPKQAKQSGRGRQKGVRKTQSLAQLAAARIEGSQGASTSHVCDNRVNCPHHRTGVEGDAPKSGDGIRMAYGSDIVSIGKVLKKMRLVEKRTVMLWLISVVKHLVEEAEKTAAKVGQYGRPYSAVDDANSVRWKLGEDELSMFLYLMDVSNELVSAARFLLWLLPKALSSPAASIHAGRNILMLPRNTENVVCEVGEAFLVSSIRRYENIIVAADLVPETLKAAMHRVAATMASTGRVSGSSALVYARNLVKKYGNVASVVDWEKNLKSSYDKRLISELESGRLPDAEFGFPLGVPAGVEDLDDFFRHKISGVRVSRVALTMRDIVQRQVDEVFQYFFGKERKLFGPGTVKSPVLENLDDGYQIAQQIVMGLMECMRQTGGAAQEGDPTLVSSAISAIVSNVGLVIAKIPDLTAGNHLNFQSTSSSMHFARRILRIHTTCLCLLKDALGERQSRVFEVALAVEASTALAQVFVPGKAPRTQFHPSPESHDSSSNSYEALNSSVKSVLGRGAKIAGAISALLVGALLQGVTSLERMVTLFRLREGLDPIHFFRSLKSNSNGSARSIGALKLDNLVEVSTHWFRVLVGNCRTVSDGFIVELLGEASVVGLSRMQRTLPLNLVFPPAYSIFAFVIWKGLIFNTGVGLRDDLQQLYQSLALAIGDALKHLPFRDVCLRDTHGLYDLIAADAIDSEFAALLESHSADVLYKSMAFVPLRARLFLNALIDCRMPQSLLKPEDVNRMQGQGGLKNYYQEKDANFRDKLVHVLDTLQPAKFHWQWVELRLLLNEQTVIDKLKNEAPLAEAIKSVSPNADKVAVSEKESSFVELVVMRLLARPDAASLFSEVVHLFGRSLEDQMLMQTKWLLGGTDVLYGRKSIRQRLIINAEKEHLSTKLQFWKPWGWCDSNSDSRKTRGSKRKFEVASLEEGEVVDEGSDVRFPGRGSTQVVDVEGFLVSQQHVTERAFIELVLPCVDQGSDASRNTFANDMIKQMNNIEQQINVLSHGAAKSVGSVASGAESPASKGNSRKGMRGGSPGLSNSRRPAGPADGVPSSPAGLRASMSLRLQFLLRLLPTMCTDGEPGKSMRQMLAAVILRLLGCRVVHEAAGHTTSSTLNLSKRDVESLTEASATSVLLSGESLFDCLLLLLHGLLSSYRPSWLKLKSNSKSASECGKDFSVFGREVAENLQNDLNRMHMPDHIRWRIQTAMPILLPSVRCSISCQPPSISPASIAALQSSNQISVLQPHNSILSQRNPVSLVRTVANMAGKAKQLPLQDLDLEIDPWTLLEDGTGVQSSSNSAAIGGSDHANLRASNWLKGAIRVRRTDLAYIGAIDEDS >CDO97330 pep chromosome:AUK_PRJEB4211_v1:2:18180955:18188152:1 gene:GSCOC_T00014646001 transcript:CDO97330 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQYTCCETEFFIHILISVGLVFFAGLMSGLTLGLMSLSLVDLEVLAKSGTPQDRKHAEKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLTTAYGAILLSVTLILLFGEIIPQAVCSRYGLAIGAAVSPFVRVLVWICSPVAYPISKLLDLLLGHGHKALFRRAELKTLVDLHGNEAGKGGELTHDETTIIAGALELTEKTASVAMTRISEIFSIDVNGKLDRFLMNLILEKGHSRIPVYYEQPTNIIGVILVKNLLTIPPEDEIPVKSVTIRRIPRVPETMPLYDILNEFQKGHSHMAAVVRQRNKVGEQPHNNSPLENTVKDVRVDIDGQKPPLEKTLKTKISLQKWKSFPNNGSNSFKSAHRSKKWTKDMYSDILQIDGNPLPKLPEEEEAVGIITMEDVIEELLQEEIFDETDHHFEDS >CDP08893 pep chromosome:AUK_PRJEB4211_v1:2:51973775:51978253:1 gene:GSCOC_T00028025001 transcript:CDP08893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MGIGGHFWELLKPYARHEGFDFLRNKRVAVDLSYWIVQHETAIKAHTRDPHLRLTFFRTINLFSKFGAFPVFVLDGTPSPLKSQARIQRFFRASGIDLSSLPVAEGGISVQRNQAFKKCVQECVELLELLGMPVLKAKGEAEALCAQLNREGHVDACITADSDAFLFGAKCVIKRLHPNSKEPFECYHMVDIEAGLGLQRKRLIAISLLVGNDHDMNGVRGIGVDTALRFVKTFSEDEILNRLREIGRGDKIVIPGYLNSEGDSVHSLEEAYHKPKLLHCSLCGHPGSRKAHLKSACQYCSSVTGEGCLKKPQGFKCHCSACNLEQKAKEQQKKENWQLKICKKIALEPNFPNLEIVEMYLRDKNGIDDNHQIVWANPKTEMLIDYLAYSQHWEPSYIRQRMLPFLSTIFLREMASDPKTELLFGQYAFHSIHRMKVRYGHQLYVVKWRKAARTVADAVYTTAEEPNAQQELGEANDSIDLLDEVDVPQIHIDDGCWYLLTDEDMELVRNAFPEKVDQFLKEQELREMKSKRKKPKSESTPGVQLSITEFYRSSKVVCGKKPRENADEGSENKSGSSDRERKGKSPNFSKSARRRLLFG >CDO96889 pep chromosome:AUK_PRJEB4211_v1:2:14449511:14452524:-1 gene:GSCOC_T00014061001 transcript:CDO96889 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDHLYINCGGNATSVDGHDFEADTQSDGGSTFFLSTNKTWAYSSMGTFLDADEDEFILDKTCNISNTDVSLYSNARIAPISLKYYGFCLKNGSYTVQLHFAEIGWDTNGSSTIGKRVFDVDVQGGQYYLRDFDIQKEAGDVNKVITKEYNVSVTNSRLEIHLYWTGKGSTNNPTKYYGPSISAISVYPVPRPEPVPVPPPPPGKNKFPRSAIAGIVGSALVFGILILALSWALVRIRHRKLKGLELHPGVVFDYKKLKAATNGFAPDNKIDGVGNVYKACFMFTLNSLAHLNSGELDGIQIAVKQLSAKSEEGAHEFVTAIGTISALKHPNLATLMGSCTEQNQLLLVYKYMEKVSLQHALFGPAEAKLELNWETRAKICLGVAKGLACLHESKLQVIHCNIKPTNILLDKDFTVKISDFGYSQFHDSRHSFVCRGHMAPEQIQGIPLTPKADVYSFGIITLEVVSGQEFCTLRSKDSNDYLLNKAYKHQEEGNLIALVDPDLKSNYKPDEALRMLCLAMKCVNQSFDLRPTMSSVVKILEGNEKFDISSASKPRSTHSGSTSHGETSNTTISPSTGNEIDDSQRH >CDP08742 pep chromosome:AUK_PRJEB4211_v1:2:53396414:53398804:1 gene:GSCOC_T00027821001 transcript:CDP08742 gene_biotype:protein_coding transcript_biotype:protein_coding MERELGTECPMEQDQVDHDAAHGSMPEDSTNEQIEPGKDVNLYVGMGFESLGDAFKCYSNYAHNKGFSVRRNRITKSRSNKSIIGQEFKYKLQNNTWIQKVYSIRERWVPAFVHASFCAGMSTTQRSESMNKFFKDYLNSSTAMSKFVTQYDRAIKARYDKEKEKNFNTKNTKPILKTLMCFNDIMVRLQALSNKLDDLPYENEQANNLSISQVDSHSEIHDLTQGQHISKECNSIQPRNSCIKRLSSHLTDEGWFRKVS >CDP07723 pep chromosome:AUK_PRJEB4211_v1:2:25389125:25392289:-1 gene:GSCOC_T00025073001 transcript:CDP07723 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVEVVDAHDLMPKDGKGSASPYVEVDFGNQLSRTTTVPKNLNPIWNQKLLFNLEEARNYLHQTIEVSVHHQRKPNPGRNFLGRVRIPCSYIVKKGDEVYQRFQLESKWWFSFVKGEIGLKIYISSESEPKSYFPISPPQTPPAPSSPPDVSVSETTSLISDKPDSLSNPQIPSLRTPVAETESSGTKNTAVISTEATSNTEGAESYHTDRTKELEEVIQEPVEVIKTIKEIHRHQVFSTPALVVGSKPGRIQLTMHHQANPQAHPSPDDDYEVKDTSPQLGDQWPSGGAYGGRGWISSERYTSTYDLVEQMFYLYVRVVKAKDLPPNSITATCDPYVEVKLGNYKGRTKHFEKKLNPEWNQVFAFSKDRIQSSVLEVFVKDKEMVGRDEYLGKVIFDLNEVPTRVPPDSPLAPQWYRLEDRHREGKVRGDIMLAVWLGTQADEAFPEAWHSDAAFVHGEGVFNIRSKVYVSPKLWYLRVNVIEAQDILPNDRSRLPDVSVKVQVGSQILRTGICPTPTANPMWNEDLVFVVAEPFEEQLVLTVEDQVHPSKDDVLGRLSLPLSTFEKRLDHRPVHSQWFNLEKFGFGALEADRRKELKFSSRVHLRVCLEGGYHVLDESTMYISDQQPTAKQLWKPPVGILEVGILGAQGLLPMKMKDGRGCTDAYTVAKYGQKWIRTRTILDTFSPKWNEQYTWEVYDPCTVITMGVFDNCHLGSQRPGASATRDSRIGKVRIRLSTLESHRVYTHSYPLLVLHPSGVKKMGELQLAVRFTSLSLANMIYIYQHPLLPKMHYLHPFTVNQVENLRYQAMSIVAVRLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRVMSLLSGIISINGWFRGVCHWRNPITSVLVHILFLILIWYPELILPTVFLYLFLIGLWNYRFRPRHPPHMDTKLSWAEAVHPDELDEEFDTFPTSRPHDAIRMRYDRLRSVAGRIQTVVGDIATQGERFQSLLVWRDPRATSLFIVFCLCAAVVLYGTPFRVVTLVAGLYMLRHPRFRSKLPSVPSNFFKRLPARTDSLL >CDP05237 pep chromosome:AUK_PRJEB4211_v1:2:2638838:2639441:-1 gene:GSCOC_T00020210001 transcript:CDP05237 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKKSVASFGSSPAMLALLFLALVLQNHVVKSQEPSCPTTLANLNVCVPFLVPGSGPADNPPSAECCNAVQAVKEDCICNTLQIASRLPTRCNLPVLNCCEY >CDP05285 pep chromosome:AUK_PRJEB4211_v1:2:3005313:3011350:1 gene:GSCOC_T00020275001 transcript:CDP05285 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKASFSNVANVLLDWNDIHNEDFCTWRGVICGNLTMSVVALNLSNLDLGGEISMAVGDLRGLQSLDLQGNKLTGQIPDEIGNCISLILLDLSNNLLSGDIPFSVSRLKKLELLNLKINQLTGPIPSTLTQIPNLKTLDLARNQLIGEIPRLIYWNEVLQYLGLRDNSLIGTLSPDMCQLTGLWYFDVRGNNLTGTIPDNIGNCTSFQILDISYNQITGGIPYNIGFLQVATLSLQGNKLTGKIPDVIGLMQALAVLDLSDNELVGSIPPILGNLSYTGKLYLHGNKLTGPIPPQLGNMSNLSYLQLNDNLLIGQIPAELGKLGHLFELNLANNLLEGYIPRNISSCTALNQFNVHGNRLNGSIPLEFQNLESLTYLNLSSNKFKGRIPYELGHIINLDTLDLSSNDFSGLLPASVGDLEHLLILNLSYNRLDGPIPEEFGNLRSIQTIDMSCNKLSCRMPKELGQLQNLESLILNNNNLSGEIPGQLTNCLSLAILNVSYNNFTGVIPVGRNFSRFPPDSFIGNPLLRGNWLGSVSSPGTSKFKAIFSRTAVVCLILGFMTLLSMVMVAVYKTNQPRQFVNGSKKCMQSGPPKLVVLHMDLALHTYEDIMLSTENLSEKYIIGCGASSTVYKCILKGSQTIAVKRLYTQYPHNLREFETELETVGSIRHRNLVSLHGYSLSPLGNLLFYDYMENGSLWDLLHGPSKKVKLDWETRLKVAVGAAQGLAYLHHGCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKSISAAKTHESTYVLGTIGYIDPEYARTSRLTEKSDVYSFGVVLLELLTGKKAVDNNSNLHQMILLKADDNTIMEAVDPEVSVTCMDLGHVKKVFQLALLCTRPHPSERPTIHEVARVLVSLLPPPPTKPALGTAKTINYSQFVIAKEQSQLKTMQPQVRGDNNSSDAEWFVRFGEVVSKNTI >CDO99714 pep chromosome:AUK_PRJEB4211_v1:2:9554473:9556059:-1 gene:GSCOC_T00029387001 transcript:CDO99714 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTKTPIFVVLFLITLLPISTNSQDLTSDLLVQLESLQSQSPNGGVIHLTDSLLKRILSIPAPRPFTFLIFFDAQQLHSKPELSLPSLKSEFSLVSKSFLANNPPASHSKLFFFDIEFQESQSSFALFGVNSLPHIKLIPAEATDVKKDSFQMDASDFSRLAESMAEFVEAKTRLSIGPIDRPPLISKKQLMFLFVVILIWAPFLVKKVISGNTLLHNKSVWMTGAIFVYFFSVSGSMHNIIRKMPMFLVDRNDPGKLVFFYQGSGMQLGAEGFAVGFLYTVVGLLLAFVTHVLVYVRNRNAQRLVMIFVMFVSFWAVQKVVFLDNWKTGYGIHAYWPTSWK >CDP00064 pep chromosome:AUK_PRJEB4211_v1:2:6465380:6468057:1 gene:GSCOC_T00029842001 transcript:CDP00064 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLLPAWKGRLFSTSLPYVRSNYHWYSTNAICLQIPPNDIEDEKNEIDFELLFSSCTKTDIVKRLHALLIVSGRVQRLSHTTRLVNLYAHLGDVSLSCSTFNQIQTKDTYSWNSMLSAYVRNGQFTEAVNCVYKMLSMSDVRPDFYTFPPVLKACRRLIDGMRLHGWVFKLGFEWDVFVASALVHMYCRFGQFIVANRIFKDMPSRDMGCWNSVISGFCQNGNAAEALAILDQMRLEGIKMDSVTVSSILPVYVVLGNTIVDMYAKLGIMDSARMVFDEMFVKDVVSFNTMIAGYGQNGLASEAVEIFRMMPCKNITPDEGTWVSVLPAYAHLGALREGTKAHGQVFKRGLHWDIFVGTCLVDLYGKCGRLDEALLLFYEVPRTSSVHWNAIIACHGIHGCGGTSLQLFRDMLDEGVQPDHITFLSILAACSHSGLVDQGKSYFHLMQQEYEIKPGMKHYGCMVDLYGRAGHLEKAYNFIKRMPVPPDASVWGALLNACRIHGNIELGKVASHHLFEVDSDNVGYYVLLSNIYANVGRWEGVDVVRSWARDKGLRKTPGWTSIELNNMIEVFYTGHPQSEEMYMELAILTAKAKDLGKHSHKSQ >CDO97124 pep chromosome:AUK_PRJEB4211_v1:2:16653592:16655471:1 gene:GSCOC_T00014367001 transcript:CDO97124 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTIVFIMVEMLAMMLAVHAGDTNPEFDPCSDAKVQRSDGFSFGLAFSSKDSFFFNQTQLSPCDQRLSLSAKSAQLAIFRPKVDEMSLLTINSSTFDPRNSGGYMVAFAGRRYAARSIPVFVADNAQTVTSFTLVLEFQKGTLQNLFWKKYGCDACSGDSFVCMNNTDCAIRNSKCKGNGGSLDCNIGIQLAFSGTDKNDDVLNSWYEVSNLRQYSLYALYSDLRDSLTSPFKNLF >CDP17765 pep chromosome:AUK_PRJEB4211_v1:2:51578879:51583625:1 gene:GSCOC_T00003879001 transcript:CDP17765 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRDPWGGPLEINATDSATDDDRSRNLQDFDRAALSRPLDETQQSWLLGPGEQKKKKYVDLGCIIVSRKIFVWTVGSILAAGLLVGFITLIVKTVPRHHHKGPPPDNYTLALHKALMFFNAQRSGKLPKHNNVSWRGNSCLNDGKSQSSSAIKNLVGGYYDAGDAIKFNFPASFAMTMLSWSVIEYSGKYEAAGELAHVKEIIKWGTDYFLKCFNSTADTVDRLASQVGVGDTSKGPNPNDHYCWMRPEDIDYERPISECSSCSDLASEMAAALASASIVFKDDKAYSQKLVHGARALFDFGRRQRGRYSSGADAEKFYNSSMYWDEFIWGASWLYYATGNSSYLQLATAPGLAKHAGAFWGGPDYGVFSWDSKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIVMCSYLPFFTSFNRTRGGLIQLNHGRPQPLQYVVNAAFLAALFSDYLAAADTPGWYCGPNFYSTDVLRQFAESQIDYILGKNPRKMSYVVGFGNHYPRRVHHRGASIPKNKIKYNCKGGWKLRDSRNPNPNTLVGAMVAGPDKFDGFHDVRTNYNYTEPTLAGNAGLVAALVALSGEKSVGVDKNTIFSAVPPMFPTPPPPPAPWKP >CDP07792 pep chromosome:AUK_PRJEB4211_v1:2:26520429:26523943:-1 gene:GSCOC_T00025175001 transcript:CDP07792 gene_biotype:protein_coding transcript_biotype:protein_coding METTTEVLHSSSSSSSISDSSNNDKGPWSAETTWAIASGSLESSVTFDSSDDSPEQPESDSPRKLPPLILNPPAPDPGPCEIKLHLLQKYNIRQIYVRSTARVYEVYCAQSKNSGNEYLCTVRCSIAERDEQVLQAVNVEELSKECVKHAAVGLPEEKSSGGEHNAPSDDDWVEVKVVSSPTLENGTGSLSNETISNTERHIQDYYEATVEISDSDPCTSLIIRLLSLQNKGVVYIDEVYIYAELVDSTDSESLAPQVNCATGSSLMAMFVPTLLQMSKSCASPTQGEQPSDKLGKASKVENLPKSIDLNENPAYFYQGQKFCADQQYIKLQDVGGSNAESVKSVLPNQTVKEDESFDPVVKNESPCSRIEKVLEQLVSRVSRIEEVCLRFEENMLKPINSMELRIQQVEQQLESLTKNAHDSGFPTGTRICAPSFSCESNSSSFHNGGSDCQPCRGPELERKEIPSVAFANPSDGFANSVNAPRFLPGLVVTVPEFSCGDDEQDDDVLESVNENNQDHEVLNTFNILIFSQ >CDO97395 pep chromosome:AUK_PRJEB4211_v1:2:18758961:18760178:-1 gene:GSCOC_T00014728001 transcript:CDO97395 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQGYRTSAGIKAKEPNGGGTGLNSHTLLVIKLPDSQVLQIVSRSLFLAIILLTLPSIGSVLRSSSNASKVNSDLANFKILPVLFRDLADEGLIKRGQKGLIVGSSLDDLMKDLDFVSDSDNIVASETSLEDSIPNETFDFVFSFSFKNADSVDRVLKNGGIVITKLSNDPSRLMQRQSNYRIVYLRRFENTMVAMRKISSKDGFTNSAKRSIPCGIAQDARKMALKGLEDALLEPPRRTLLKYSRDEMRKIKFLPHLLGDSHSLASFRRRILISDDKNGFEGWFHNNYPTENQHFETYNLEITAEKLSSRSMVNPAAGISGWLKNNVRQEDFVVMKAEAQVVDEMMEEKTICLVDELFLECKNHFQDDGVGDENESKRAYWQCLALYGSLRDQGVAVHQWWN >CDP07742 pep chromosome:AUK_PRJEB4211_v1:2:25627166:25630389:1 gene:GSCOC_T00025095001 transcript:CDP07742 gene_biotype:protein_coding transcript_biotype:protein_coding MFEHLERKEQLVKSSEQERLLAETPKVIADEIEPEATPVDALEKVEERNSSSPKSSHLEASNINLTYDAGCADVGIHSRHSEGNSDKEISGSLCEIPFVEDVNDCQHSDLVQKARVPVVVNGEQSSTDVQAVDSEDNGGSPGISEKEVKPAQVIELSDDESEVEDVKIEKHTAVGNPDECLWHYLDPQGMVQGPFSMTSLKRWSDANYFDRDFKVWKIGESLDKAVLLIDALRQNFPCKEIEN >CDP05210 pep chromosome:AUK_PRJEB4211_v1:2:2442449:2444316:1 gene:GSCOC_T00020174001 transcript:CDP05210 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTIIGRVSDGLPLAQGPRYVNEEENDSFSTYKQQGEFILEEISRAALPLSNANIRIDHHCFYYIVGHGVCFMTLCDSSYPRKLVHCYLQELAKEFEKFDTSLVERITKPYSFIRFGSIIGNIRRQYVDTRTQTNLSKLNAKSLQNVDFVTEDFSQIVKRRKRSYLLERATESSEQVSQIWGSKKLEVIALKWTPITILSFVGAILLWTSLIARDDQGVYGY >CDP08590 pep chromosome:AUK_PRJEB4211_v1:2:39737839:39744008:1 gene:GSCOC_T00027586001 transcript:CDP08590 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVQKVNRNDECSVIGDKGEISFIDFELDGPVCSDDQNEEDPVIISTPFPFTRGKPRSAFVGETSYDAVTITNTTREPVPLWGVKIFGSNPADSFTISLLKPPSMDSDDEYIKRFLEGFSLEDRTLQPEKTLTIWLSCKPKDIGLHTSIVHFDVGDERIERVIFLLAEDKVSQSLASRKSYAKYQRNRQSTSETFARYPAKRSSRGWKYKLRQFEMPEGTRKLLEDKQIPAVILENLTKENYASYFGTLLYMEELHLEKEMRNYDMRTVELRRRKGNLMAIEVPGLAERRPSLVHGDFVFVGPAYQQRNGLNFQYQGSIYRIEADEVLLKFGKDFHMQNHPGSFYNIWFTFNRINLQRLHQAVESAQYLDIDFLFPSQLKEQSSNGMPVTPFTSLNQQQLQAVEKILSSEGAPPYVIHGPPGTGKTVTLVEAILQLYTTRKNTRILVCAASNSAADYILEKLVLNGIVEVKDKEIFRLNATSRQYEDVRPDCIRFCYFEDSIFKCPPLEALMCYRIIISTYMSSSLLYAEGISCGHFSHIFLDEAGQVSEPETMVPMSNLCQRETTVVLAGDPKQLGPVVFSRNAMTYGLGKSYLERLFECNCYSHGDPNFITKLVSNYRCHPAILQLPSKLFYEGELLACKEDTSSAKSWLGILPRGEFPILFVGIQGCDEREGYNPSWFNRIEASKVVNLIIKLRALPDLREGDIGVITPYRQQVVKIINVLESEDIFGIKVGSVEQFQGQEKEVIIISTVRSTSRHNEHDKTFSLGFLSNPRRFNVALTRAKSLLVIVGNPHIISKDFYWHKLLWYCKDNNSYQGCPLSERELDMVEDLEPEH >CDO96830 pep chromosome:AUK_PRJEB4211_v1:2:13605202:13607264:-1 gene:GSCOC_T00013979001 transcript:CDO96830 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSNFLFPLAASLFLSFIVHLSLSEVNITTDKSALLALKASITFDPQNALADWFDTSSVCSWIGVICSSRHQRVTTLNISNMGLIGSIPPRMGNLFFLMSLDISNNTFQGKLPEELAYLHRLQYMNANFNNLTGEIPSSFGLLTEIQHLFPAYNTLSASIPRSLFNISSLQRIVLTNNSLSGNLPTHMCSNLPKLETLALSVNELEGQIPTVLKGCSKLQILSLSYNKFSGSIPRQIGNLTQLTNLYLGNNLEGETSPKIGNLPLQLLSLENSGLDGILPSSIYNITSLTWINIYNNKLSGSLPPDLCSNLPAVQFVDLGNNLFSENIPREIGNCTSFTNFYLSGNQFTGNVPMEIGNLFNTERLALDNNSFTGRIPPTVFNISGIRGISLLANNFSGSLLETIGIVLPNLEELYLGINNLSGVIPDSISNASKLTRLGLGYNEFTGSFPHSFGDLRFLQYLNVIENNLMTESSSPELSFFSALTCCQQLRQLWIGYNPLNGILPSSVGNLSTSLEYVYAAYCAI >CDP08856 pep chromosome:AUK_PRJEB4211_v1:2:52431312:52435014:1 gene:GSCOC_T00027969001 transcript:CDP08856 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKPGVLALFDVDGTLTAPRKAATPEMLQFMQKLRKVVAVGVVGGSDLVKISEQLGKTVITDYDYVFSENGLVAYKGGKLIGTQSLKSFLGEEKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYVDEFPEIHFFGDKTYKGGNDSEIYESERTVGHTVTSPDDTVKLCSSLFLG >CDP05031 pep chromosome:AUK_PRJEB4211_v1:2:1012334:1012721:-1 gene:GSCOC_T00019941001 transcript:CDP05031 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPYEKLDGLARWFGMSVASAFFASLERCSCVNLNTSESDDEDEEEAKDRPLMFTNLRSFGADSSVSPSTVNHTNSQPPSVDTLPV >CDP05018 pep chromosome:AUK_PRJEB4211_v1:2:896989:899898:1 gene:GSCOC_T00019920001 transcript:CDP05018 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLSNSFSNAQIIRTRDSQALLKIILLRLPGPFFPPFSCHRCDRHQEGFNEVFGYGHSTELSSAGLVCKHCGKEIVAKEVQLDEGHPDVHLLFLTVYNFMEVTIQLCIVSLVLNGQPPRFVNNTSLCSRQKERAVDPTGEVIVQNRSFPQWKLHIFDLERELKIDPSIMYVIYQDDRSENWHIQEVAVSPENFESRKP >CDP09401 pep chromosome:AUK_PRJEB4211_v1:2:22814961:22819370:-1 gene:GSCOC_T00028748001 transcript:CDP09401 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQSLTIKVISSMVFAAALVLLGQLYHALVLKPKRIRSKLRRHGIKGPSPVFFYGNIPEMKSIHLQTRSMAATNSDELSHAWPAAVFPHLQQWRNEYGPIFLYSTGSIQTLCIMDPEMAKEVSLWNSSNLGKPSYISRDYSPLFGQGIFSSNGPYWTFQRKIIASEFYLDKVKDMVSLMVDTTSTILQSWETKTKDQRGDVEIRVDEDLTSLSADIISRACFGSSYSQGEKIFLKLHTLQKIMSKGLIGVPGLRYVPSKHNRDMWRLKEEIDSMILEVVKTRTETAHDKDLLQLILDAAKSEGDKSHFTSDFATNKFIIDNCKSIYFAGHETTATSASWCLMLLAAHPDWQARVRGEVLEVCGHNPPDADKFRSLKMLNMVIQEALRLYPPSAFLVREALQDKNLKGIRISKGCNIQIPIAFLHQQPELWGRDARRFKPERFADGTARACKVPQAYMPFGAGSRVCVGHHFAMAELKVILSLVLSRFSFSLSPTYCHSPAFQLVIKPQHGVKLHVRRL >CDP15163 pep chromosome:AUK_PRJEB4211_v1:2:50022776:50023366:-1 gene:GSCOC_T00042763001 transcript:CDP15163 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIQAFLLICLILIIAVAVVDGNTAQGGQEESVDEWFHKLGRAKEKVTKFHFYFHDTVGGKSPTAVRVASANTTFTSSPTYFGLVVMFDDPLTAGPEITSTVLGKAQGFYASTDQNELGLAMYVNYHFTTGEHKGSTLTLVGRNAVDQKTREMSIVGGTGVFRLARGIATAKTYFQNSANFDAIVEYNLVVVHY >CDP15839 pep chromosome:AUK_PRJEB4211_v1:2:44492708:44493293:-1 gene:GSCOC_T00016716001 transcript:CDP15839 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYVSAITTLQIDIEVLNGIMKYLLNSLMSLKTLNFTSNIIYDSTPYQLPPNLMRINLAYNKINVNLPYSISHMADLSYF >CDO97372 pep chromosome:AUK_PRJEB4211_v1:2:18524058:18525945:1 gene:GSCOC_T00014695001 transcript:CDO97372 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSYKKYEIRKRNPDPKSSVLLVIDMQNYFYSMAKPILPAINTTIELCRDASIPIIFTRHRHKSPQDYGMLWEWWNGDLIMDGTPEAELIPDLDRGEDDLVVEKNTYSAFRGTNLEEELVNMGGVKEVMVTGVMTNLCCETTAREAFIRGFRVFFSTDATATSSPDLHDATLKNMAYGFAYLVDCERLQAAFSKSSSSHLST >CDO97302 pep chromosome:AUK_PRJEB4211_v1:2:17992585:17997318:-1 gene:GSCOC_T00014607001 transcript:CDO97302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metallopeptidase EGY3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17870) UniProtKB/Swiss-Prot;Acc:Q9LMU1] MGNPSIEAAIKLEKKRTDRKLKELNRETSDNPILGFFNKLARDNLSKEKERLEKAEEAFKALDLNKLKSCFGFDTFFATDVRRFGDGGIFIGNLRKPIEEAIPTLEKKLSEAAGTEVVVWFMEENTNDIKKQACVVQPKAEMDLQFESTNLNNAWGYFSAITLFVTTIGTIALTSGFFLKPDATIDDYFRDVVPLFGGFLTILGVSEIATRVTAARYGVKLSPSFLVPSSWTGCLGVVNNYESLLPNKRALSDIPVARTASAYLTSLVLAVAAFIADGSFNGGDNALYIRPQFFFNNPLLSFIQYVIGPYTDDLGNVLPYAVEGVGVPCDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMFGRGPANLLSFATSLLLAIGGLSGSVLCLAWGLFATFLRGGDEIPAKDEITPLGDDRFAWGCVLFLICFLTLFPNVGGTFSSSYFGSPYFRGDF >CDO99622 pep chromosome:AUK_PRJEB4211_v1:2:10659658:10661867:-1 gene:GSCOC_T00029269001 transcript:CDO99622 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPTTLLLSLKIILISTGAISMAMGMIFSVPSITAFTCYDLPVVWKSVVTWLKPPYLYFIINVIIITIAASSRFSHHHQSKNSDSYQSREPLISVRTPPPSDVVAAVVLAQREDELNRNAVEAPGPLALPPPPVVEVYVAEDEDEDEDEKVVELKPVVVNGVQFEAVGGTEDADDVANDEWVVWESSATPSQKMVSPESPLDLLLPEKEKPLTASRFGHRKPIKTSPEGGRALRVARPKRDQTLESTWKMITDGRHVPLTRHLKRSDTWENHGREVLVNPSLDSEHDFTPDHVPKSQTFRERTSYYDAQTTGPPVPGRINREPSPGQDELNRRVEAFIKKFNEDMRLQRQESLNQYMEMINRGAN >CDP16350 pep chromosome:AUK_PRJEB4211_v1:2:28332487:28336384:-1 gene:GSCOC_T00018179001 transcript:CDP16350 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKTTRFNLLSALLFTLFLVQTFGKTHIEADFHHLKARLNPQSNVEISSHFPACDSPPKPSNQEGLKEKDRIDRLPGQPPVGFKQYGGYITVNETAGRAFFYYFVEAQGRRKPEDVPLVLWLNGGPGCSSLAYGAFQELGPFRVGSDGKTLFKNRFAWNYVANVLFVESPAGVGFSYSNTTEDFVKGGDKKTAADNYIFLLNLLERFPEYKNRDFYITGESYAGHYVPQLAHNIVYHNKKANRTIINLKGILIGNAVINDPTDSIGMYDFFATHALISPETLQKINKLCDLSHPDASISVESLECNQTLAVADNAVDQIDIYNIYYPRCFHGGLTSFPKRFNILKFDKCSDYYGYAYFNHPNVQEALHANVTKIPYDWQPCSDVLNDWVDWQSTVLPYLKEFMVNGIQVWIFSGDVDGRVPVTASQYAIEALKVSVVTPWQPWFRDWEVGGYVQIYQDNLTFVTVRGAGHQVPSYRPDRALSLISHFISGIPFPNH >CDP08526 pep chromosome:AUK_PRJEB4211_v1:2:41754354:41755925:1 gene:GSCOC_T00027468001 transcript:CDP08526 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPNPHVLAIPYPAQGHVLPLMELALCLVRQGIRVTFVNSEFDHKRVTKSLSQEDNVPAMMQLVSIPDGLESWEDRNDLIKLTLSVYQVMPANLECLIKSINQSDSDKITCIIADETMGWALEIAKKMRIKAVDFWPASASVLAQIFSIPKLMDDGIISSTGTVLKNQMVKLSPTMPEMKPEHLVWTCFSDLALQKFSFDTFLKSNTIVKLADWFICNTSCELEAAAFTLFPQILPVGPLLASNRLGKSVGSFWPEDSDCLAWLDKQPPQSVIYVAFGSFTVFDPTQFRELALGLELINRPFLWVVRQDLTTETDNAYPEGFKDRIQGRGLLAGWAPQQQVLSHPSVACFLSHCGWNSTIEGLSSGVPFLCWPYFADQFLNEKYICDVWKIGLGFERDANGIIAREEIKNKIEQLLTVGEYKKRALKLKTKVINSVTKDGSSGKNFNNLVQWIKAEKNYSCSG >CDP08905 pep chromosome:AUK_PRJEB4211_v1:2:51891567:51893794:1 gene:GSCOC_T00028038001 transcript:CDP08905 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLEFGGGLELLCDSVKIHHVNVEPQAGESKLTMKHLLPWVRTNLIKERPEMFMKGDSVRPGVLVLVNDCDWELSGQLDTNLEDKDIVVFISTLHGG >CDP04973 pep chromosome:AUK_PRJEB4211_v1:2:505109:509033:-1 gene:GSCOC_T00019857001 transcript:CDP04973 gene_biotype:protein_coding transcript_biotype:protein_coding MNIARSCSRSVNQLRPLKNLTLLLQSPAIATTRAISTVPPAILNRSSLLSNHLSPRQLSPLPPALSVASVRHFRNSRDPATRFEISPPVNWGIRIVPERKAFVVERFGKYVKTLTPGIHFLIPFVDRIAYVHSLKEEAIPVPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPIYSVLQLAQTTMRSELGKITLDKTFEERDALNEKIVIAINEAAKDWGLKCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQILESEGERQANINIADGRKSSVILASEGAKVSMILASEGEAEAILARAKATAEGIAMVSRSLKEHGGVEAASLRVAEQYIQAFGNIAKEGTTLLLPASASNPANMVAQVMSIYKNLVKKSPADELPEISESVALPESSPKESSLPEPRRKEKDENSQAAENHSGESAFSLQDTKKRS >CDP05333 pep chromosome:AUK_PRJEB4211_v1:2:3402975:3404191:-1 gene:GSCOC_T00020337001 transcript:CDP05333 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLALKRLVSSSGLLSRSLNPLIRPAASAASSSVFDVVGRRSDRPLDHRRELSSFPGVFDPFWRRSVSQFSEYSSVASGVGPGSEAKETGDGLNLRVTGKEDDDVSPKVDTRKNVFRPLSPHLPIYQPQVNSTMSILNRISGVYLSALVLSFYLASMKMGSICFSYGSFYQFFFYSSKLSLISAEIAALALFYHVYAGVRHLLMDVSGTVFFRRKLHK >CDP05087 pep chromosome:AUK_PRJEB4211_v1:2:1455236:1464835:-1 gene:GSCOC_T00020016001 transcript:CDP05087 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 11, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G53170) UniProtKB/Swiss-Prot;Acc:Q9FGM0] MTTTLQASILYKPPSLPIPSSRNVSSSSSSYKRLHHLSPLPPPPFDHHLYLLSKLYFTNHLHRYPASTSRFCRHCPLLVACAFHPDDVTDLTSSSSDKNDLCSGSEKQQLNVNTSSNESLFSSQLSSGEATGIEPAPPQATEGPPDDNASGATGSEWTSSEGVRSKLPIVVFFMGLFATARKGLEKLMLPAWFSWWPFWRQEKRLERLIAEADSNPKDAAKQSALLAELNKHSPESVIRRFEQRDHAVDSRGVAEYIRALVATNAIADYLPDEQSGKPSSLPSLLQELKQRASGNMDEPFLSPGISDKQPLHVVMVDPKVSNRSSRFAQELISTILFTIAVGLVWLMGAAALQKYIGSLGGIGTSGVGSSSSYAPKELNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKSPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPSPDVRGRQEILELYLQDKPLADDVDVKAIARGTPGFNGAGIISPMISLLLSPYNLANLVNIAAIKAAVEGAEKLTSTQLEFAKDRIIMGTERKTMYLSEESKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSNDETSISKKQLLARLDVCMGGRVAEELIFGQDHVTTGASSDLHTATELAQYMVSTCGMSDAIGPIHIKERPGSEMQSRIDAEVVKLLREAYDRVKALLKKHEKALHVLANALLEYETLTSEQIKRLLLPHGEGLLTDQQQQQQNEEEELVLA >CDP17812 pep chromosome:AUK_PRJEB4211_v1:2:27024718:27028182:-1 gene:GSCOC_T00009425001 transcript:CDP17812 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAGKVVCVTGASGYIASWLVKLLLKRGYTVKASVRDLNDPTKTMHLTSLDGAKKRLLLFKANLLEEGSFDEIVNGCEGVFHTASPCQFSVPARDPQAELLDPAVKGTLNVLRSCARVPSVKRVVLTSSMAAVINNTDLKDDVVVDESWFSDPSYYANFKLWYMLSKTLAENAAWKFAKEHGIDMVAINPALVIGPLLQPTINQSVELILNLVTGAQSFPNATLPWVDVRDVACAHILAFEIPSANGRYCLVERFANGSQLLEVLQELYPTLEFPAKFIDCSSLTFPDYKVSQEKVRSLGIHYIPLEMSLKDTMKSFEEKNLVSL >CDP08880 pep chromosome:AUK_PRJEB4211_v1:2:52090118:52093237:-1 gene:GSCOC_T00028006001 transcript:CDP08880 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSAIGSSSLTLGSLLQFFDWERISGDQEINMSQDVTISFASMETISYLSIIVFLLVLHVLTNRSLHKLQNRPPTPFPTIPLTGHLYLLKRKKNVSLHYSPVSEENSDFSKQFRGVVAKTLMKLNQTRDKLVQTLIDKCRSMGTDDDPAAKTGHQAQGMIQVLMELLPTEPESYPDEVVKVRLHLLPWSGLFSLLLSHQEVMKKAKAEIDTVMSRYLRCIIKETLRLHPPTPLLLHHYSSEDCTVGGFRVLRRTMLLVNVWALHHDPCIRTEPEKFMPERFEGMEGSKDGLRFLPFGSGRRKYPGESLAIASIALALVLQCFDWEKITS >CDO97619 pep chromosome:AUK_PRJEB4211_v1:2:20426121:20431682:-1 gene:GSCOC_T00015009001 transcript:CDO97619 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLSAPEAAKVAIQSIGRGYDVSVDLRLKYCKGDSQLIAIDQDQGQDVVLPGGILISDVPKSIKCDKGERTRFRSDVLTFQQMSEQFNQELSLTGKIPCGLFNAMFEFSSCWQKDAAYTKTLAFDGVFITLYTVALEKSQLVLCDHVKKEVPSSWEPAALARFIEKFGTHVIVGVKMGGRDVVYMKQQHSSSLQPADVQKRLKAMADKRFLDASGKYGTESEKAYHNEKFEVREQRLRFANSGTSSSYSHKDDIVSICKRRGGNDNKNFSHNEWLQTVQLEPDVISMSFIPVTSLLNGVRGSGFLIHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSDLPLGPQRRQQSTASLQFSLMGPKLYVNTALVDVGKRPVTGLRLFLEGKRSNRLAIHLQHLSSLPKIFQLVDDPNGNFLHESCDRKYFEKIQWKNFSHVCTAPVESDEDLSIVTGAQLQVRDYGFKNILFLRLRFSTVLGATVVKHPEWDGSPGLARKSGLISTLISHHFTSVSKPPPEPADVNINSAVYPGGPPVPVQAPKLLKFVDTTEMTRGPQETPGYWVVSGARLMVEKGRISLRVKYALLTVIQPDEDIPE >CDO96969 pep chromosome:AUK_PRJEB4211_v1:2:15410765:15411082:1 gene:GSCOC_T00014167001 transcript:CDO96969 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLPRIVQAKQLFRQYSFTKCNAAASATACLDVPKGYFAVYVGENERKRFVIPIAYLNEPSFRDLLNRAEEEFGFDHPIGGLTIPCREDTFIDITARLTRSMS >CDP05173 pep chromosome:AUK_PRJEB4211_v1:2:2153180:2157009:1 gene:GSCOC_T00020129001 transcript:CDP05173 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGPMAVVKPEMKSYIWLQTTDGSIQQVEEDVAMFCPMICREVLQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGRSNKERKTFDEKFIKLDAKKLCELTSAADSLQLRPLVDLTSRALARMIEGKTPEEIRETFNLPDDLTEEEKLEPLRNLTDDPRIRLLNRLYARKRKELKERAKVKNVEVEEEQLVDERPVDELLSFINGDGESKGVKAAKSKKKNRRRKDLSGNFSSNDSNCNQFKEPNHLPSGYLIGDIDSATSQSKSAVFQDSASVTFSPKLEFDNELDPAMEEELDREVEDFARRLNSVWPERMQEMLSLGQERRPVPVSLNGNGAVK >CDO97592 pep chromosome:AUK_PRJEB4211_v1:2:20198525:20201352:1 gene:GSCOC_T00014974001 transcript:CDO97592 gene_biotype:protein_coding transcript_biotype:protein_coding MELTDLQQHQTNKQTNANNTNTSSTTTTCTTSQSYHFSPFHLHHHHHQQQHGRSAPFIASISIPPPAALTSSVAGATSTTCAAAAGGNRTPSSASPSTPSTSASPSSAAVDASLAIATRSETLIDPSKKPPQQQQEAAQQQQPPKRSTKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIIATTGTGTIPANISTLNISVRSSGSTLSAPPSKSAPHSFHSALALAHHPYEEGFSHMLGFQQQQQHLLAANQMTEAIPSGDGGGDSGGGGGQDATESYLRKRYREDLFKEEGSSGRQGDGADETSPSPPNKQFKVGGGGGGMQIPKTQDVGGPSSGMLRHANMIPATAMWAVAPAPNSGGATGSAFWMLPVTAGGSSQTILAATSGGAVQQQPTEQQHHHHQMWPFPSSSGNTLQAPLHFMPRFNIPAGNLETFQGGRASPLQLGSMLMQQQQQQHPSQHLGLGMSESNLGMLAALNAYSRGVGNLNMNSDHQNHPMDHQQQHQPQPTDSGEDGPNSSQ >CDP13829 pep chromosome:AUK_PRJEB4211_v1:2:33224945:33225756:1 gene:GSCOC_T00038916001 transcript:CDP13829 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKERFLENLFLRCISFRKIQGQGLTILGDLVLKDKIVVYDLAGQRIGWANYDCKFPYQEHFPAVGLIFTVPSIHHLSDWIKRGNLD >CDP05230 pep chromosome:AUK_PRJEB4211_v1:2:2584166:2585110:1 gene:GSCOC_T00020200001 transcript:CDP05230 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLEPLAVGRVIGEVVDSFTPSVKMNITYNGNKQVCNGHELMPAVIVAKPRVEVGGQDMRDAFTLIMTDPDVPGPSDPYLREHLHWLVTIVTDIPGTTDTSFGKEIASYETPKPVIGIHRYVFILYKQKARQAVKPPATRDQFNTRSFAEENGLGSPVAALYFNAQRETAARRR >CDP13916 pep chromosome:AUK_PRJEB4211_v1:2:4320998:4323564:-1 gene:GSCOC_T00039056001 transcript:CDP13916 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPLQLQPLSPPFYPLNSQPKTLKPVFPKACKSQYQTERGLQFDIGDTFFRHESATGRDFGVLSAALYKQSNGSLRVLDALCGCGIRSLRYLVEAKADFVLANDANENYRGIISGNLSRISEERWVVMNSDANRVMTERYLEKDYFDLVDVDSFGSDSSFLRAAIGAVKLDGLLYITSTDGYSSGGHRPQHSLAAYGAYVRPMPYSNEVGLRMLIGGALREASVLGYHVVPLFSYYSYHGPVFRAMLQIKRGRLPDSSTYNFISYCIQCGNSQTVSWDQLGQIRCPCITNACVPNSLIVSGPLWTGPLHQASHLARMLNLAEQLGWISDGNGRNLEKLIRLMVDESDPKLPVGYIKIDEVASRAKLNSPSIGAIMNTLHEEGYVASRSHIAPNAIKTNCPMTECIKTFKALQQCSIR >CDP05041 pep chromosome:AUK_PRJEB4211_v1:2:1084807:1087889:-1 gene:GSCOC_T00019956001 transcript:CDP05041 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAYWRYSDIRQQAPATLQLPVAKRPRSDYADVPSGHELSNYYAHEDERVSHRVIRDTDPIGASYDRYLRSSQLSSYSGGESARSMSNGLGGHPVDDPRIVGMGGSDLAMSTKSRSMGVGGGRSELPLPPDASSTLFVEGLPANCTRREVSHIFRPFVGYKEVRLVTKDSRHPGGEPLVLCFVDFASPAYAATAMDALQGYKFDEHDRDSVRLRLQFARYPGARSGGGHRGKR >CDP07700 pep chromosome:AUK_PRJEB4211_v1:2:24940238:24941326:1 gene:GSCOC_T00025042001 transcript:CDP07700 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKPNRFQEKLLLELTFYFHNGPNNSYTTDNQVNLTTERNGLAIGEAVLPLYVYPQGLRDVLVYTKNKYGNPTIYITENGFGETNITKVEGGVKDLQRARFYQAHLRVENKSCLRILVFSAFSLKTFFLKSLVLSVNSFSLNGCPSAIG >CDP16537 pep chromosome:AUK_PRJEB4211_v1:2:42188984:42197308:-1 gene:GSCOC_T00018911001 transcript:CDP16537 gene_biotype:protein_coding transcript_biotype:protein_coding MGACIRKPLENPTYSEKDHQVEKRKNHRESDGHDNVVQNGISTSGNGPENAAVKQSRIFTSVNLKMFSLAELKTATADFGRKMLLGEGGFGWVFKGWLDEKSFAPSDLGVRIAVAVKKCKPDSEQGLKHWQAEVKLLGKFCHPNLVKLLGYCNENSELLLVYEYMQNGSLERHLFNGDVEPLSWETRLKIAIGAARGLAFLHTTEKQVIYRDFKPSNVLLDEDFNAKLSDFGLAKFGPVNGKSHVTTEVAGTYGYAAPEYVASGHLYVKSDVYGFGVVLLEIITGLRVVDVKRPAEMYNLIKWAKPFLGKKDVSQIMDPRLEGQYPSWGASQMAALVAECLPPDPKSRPSMEEVLDKLEEIRTGEDRERGNLIWRIF >CDP09422 pep chromosome:AUK_PRJEB4211_v1:2:22654202:22664637:1 gene:GSCOC_T00028779001 transcript:CDP09422 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSRFMQLYGYDLLLGSIAVFYVLMAPYTKVEESFNVQAMHDILYHRHHIEKYDHLEFSGVVPRTFIGAFLVSVLASPVVLAMNLMHLPKVYSLYAVRLVLCFLMLCTLRFFRIQIRKKFGYQVEAFFSILTAVQFHMLFYCSRPLPNILAFGLANLAYGFWVKGSFYAALRCLIFATIIFRCDMLLLISPLGLELLLSKSISLWKAIRTCIAATLFCIGSLTVLVDSVMWRRWLWPELEVFWFNSVLNRSSEWGIHPIHWYFTSALPRSLLVAYPLFLLGAFLDRRVLFYVLPVLSFVILYSKLPHKELRFIISSLPIFNMAAAVAASRIYWIFIGTKCENLSRTWYTYSFFLFIFLDSLRLMAFLLTRWSLVCNSRWNFLANSKDLNFSHFVGSYHIFSPSCHHVLLSGVGSMESR >CDP04972 pep chromosome:AUK_PRJEB4211_v1:2:501297:503432:1 gene:GSCOC_T00019856001 transcript:CDP04972 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEENGKVTAAASATTGGGGGGAPEIPKKSLFRYNSPLVQVGLIGMVCFCCPGMFNALSGMGGAGQLDPTASNNALTALYTTFAVFGIMGGGIYNILGPHMTLFASCSTYGLYAGSFLYYNHYQHQAFVVVAGGHLGIGAGLLWAAQGAIMTSYPPHGRKGTYISMFWSIFNMGGVIGGLIPFVLNFHRTEAASVNDATYIGFMVFMSVGMILSLGILHPSRVIRDDGSSCTNIKYSSVSTEVVEILKLFLNWKMLLMVPASWASNFFYSYQFNNVNGVLFNLRTRGLNNVLYWGAEMIGSVFVGYLMDFSFKSRRARGLLGISVVALLGTAIWGGGLAKQLGYSRHHEPEVKLDFKDSEFAGPFVLYFSYGLLDAMFQSMVYWVIGALADDSEVLSRYAGFYRGVQSAGAAVSWQVDAHKAPFLSQLIVSWTLCTISYPLLAVLVIMAVKDDDGEDGGGEGKGESVMPDLH >CDP08799 pep chromosome:AUK_PRJEB4211_v1:2:52897715:52900287:-1 gene:GSCOC_T00027901001 transcript:CDP08799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 86A8 [Source:Projected from Arabidopsis thaliana (AT2G45970) UniProtKB/Swiss-Prot;Acc:O80823] MDLAIALLLFTGIISYLLWFTFISRPFKGPRVWLLLGSLPGLIENADRMHEWIADNLSACGGTYQTSICAIPFLARKQGLVTVTCDPKNLEHILKTRFDNYPKGPTWQAVFHDLLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVNRAIKLRFCPILETAQLEASPVDLQDLLLRLTFDNICGLAFGRDPQTLAPGLPDNSFASAFDRATEASLQRFIFPEVIWKLKKWLRLGMEVSLSRSLVLVDEYLSSIINARKLELMSQPKDGSHHDDDLLSRFMKKKESYSDKYLQEVALSFILAGRDTSSVAMSWFFWSLIQNPTIEEKILRELCRVLIETRGDDVSTWIDEPLAVEELDRLVYMKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRMKSTWGEDCLEFKPERWLSPDGKKFVTHDSFRFVAFNAGPRICLGKDLAYLQMKSVAAALLLRHRITLAPGHKVEQKMSLTLFMKYGLKVNLHPRDLTAIVESVKKQKPIGDQLSQDAGVGSSSVQCNGKCDACCLNRGTKAIAGC >CDO99793 pep chromosome:AUK_PRJEB4211_v1:2:8847286:8850250:1 gene:GSCOC_T00029485001 transcript:CDO99793 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMDATALSCHFHEKMPFEGLTPTNEAKKPVEDNSNSPVFVNHGKFFNSANAWHESRKRWTGDLNQRSIRIEKDPIISWSTTYEDLLSTNEPFPERIPLTEMVDFLVDIWHDEGLFD >CDO97648 pep chromosome:AUK_PRJEB4211_v1:2:20681395:20685246:-1 gene:GSCOC_T00015051001 transcript:CDO97648 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSDSSVQDLARSVVEKKSIPDIIVNNAGTINRNNKIWDVPVEEFDTVIDTNVKGIANMLRHFVPLMIERKQGVIVNMSSGWGRSAAAQVAPYCASKWAVEGLTRSVAKELPVGVAIVSLSPGVINTDMLQSCFGSSASFYQTPEAWAPRAATMILNLSMADNGASLTV >CDP15238 pep chromosome:AUK_PRJEB4211_v1:2:48928221:48929254:-1 gene:GSCOC_T00042878001 transcript:CDP15238 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTSAFRWLDESLSLPTSFMRWPRLFASYLTPNWGLHGFRFSALAPNRWSPRFWMPDFSIIDNVLWSFVTAFESVALVSMLCFFFIFCGCTI >CDO96871 pep chromosome:AUK_PRJEB4211_v1:2:14179823:14182098:1 gene:GSCOC_T00014034001 transcript:CDO96871 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRSWATVYINIISERAIHSSKFLASDMQSSSIVPRLEFKKEKLGCFTEFGALDSPKSSPV >CDO96753 pep chromosome:AUK_PRJEB4211_v1:2:12727299:12735833:1 gene:GSCOC_T00013870001 transcript:CDO96753 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIPLLTSVFTTIILTCLNPSITARASSAGTCYNSIISFGDSLADTGNLLRLDRLSSSSSSSSSSSNTPPHFFLPPYGETFFHHPTGRCSDGRLVIDFIAESLGFPLIRPYFAGKDIRGRSDFIKGSNFAVIGATAIDDSVFRERGIHNPFTNVSLGTQLGWFKEMLASFCKESSDCKELLESSLVLMGEIGGNDYNHALFQGLKIKEVESFVPLVVQTISSAIQELIDLGAETLVVPGNLPIGCSSSYLTYFQSSNKHDYDMETGCIKWLNEFAKYHNKLLLTEINRIRELNPHALIVYADYYNAAMTLYRSPQKYGFKAGALKACCGAGGPYNYNASAPCGYPPATSCDDPSLYVAWDGLHLTEAAYRFIARRLLQGPYSGLRINRFCPPASTSFRSSA >CDO96784 pep chromosome:AUK_PRJEB4211_v1:2:13039652:13040866:1 gene:GSCOC_T00013914001 transcript:CDO96784 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHMDCPGCESKIKKALRKLDGVDNVDVDMGMQKVTVTGYADQEKVLKTVRKTGRLAELWPFPYNPEYHDFNYAYYNHYYRNPATNFSYSFSSYNYEVHGYNGHDHGYYHKPPFSTVIDDRTRYMFSDENAHGCSIM >CDP05233 pep chromosome:AUK_PRJEB4211_v1:2:2599559:2605651:-1 gene:GSCOC_T00020203001 transcript:CDP05233 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFIGGGSSGGGGGDAGLMMADNLYSTIPTSALAHTQPHMVSPPPMVQPIFNSLPLSLAVKPKMEGAGDMGLIGENFGPGDADKSKEEEYESRSGSDNIEGASGDDQDTQGDKASSSGRKKYHRHTPFQIQELEASFKENPHPDEKARLELGRRLNLESKQVKFWFQNRRTQMKTQMERHENNMLKQENDKLRIENIAMKEAMRNPVCSNCGGAAALGEISIEEHHLRIENARLRDELSRICGLANKFLGRPLSSLASPIAPGNSDLELAVGRNAFAALSSVGTPVPMGLDFTSAVTNPLPILPTIRSSMGMTSFDVSIDKSMYLELAVAAMDELLKLAQIDNPLWFRSLDGFSEALNLEEYNRVFPPCVGTKPSNFITEATKATGTVIINSTSLVEILMDPNRWTELFSCMIGRASTIDVVTDGTGGTRNGALQLMHAEFQVLSPLVPVREVKFLRFCKQHAEGFWAVVDVSVDSIRGGPSAQIFSDCRRLPSGCIVQDMPNGYAKVIWVEHMEYDDSNVHQSYRPLLRSGLGFGAQKWVATLERQCECLAVITSSTVPGGDHGVISPSGRRSIAKLAQRMTHNFCAGVCATVYKWEVVQVGNADEAKLVMRKSMGNPGEPPGVVLSATSTVWMPVSEQRLFDFLRNEQTRSQWDVLSHDGAMQQMFHIAKGQDLGNSISLLRSSATSPNSNQNGMLILQETSTDVSGSLIVYAAVDIPAMNVVMSGGDSSCVVLLPSGFSIVPDCYPDSAGPNSSSGNFAKEATAGGGSNGCLLTVGFQILVNSLPASKLTLESIDTVNALIGRTLQGIRSALECS >CDP17217 pep chromosome:AUK_PRJEB4211_v1:2:47888713:47890254:-1 gene:GSCOC_T00000696001 transcript:CDP17217 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDFNMLPEDCASTICSLTSPEDACRLSMVSSSFRSAAGSDLVWERFLPSDYRDILARTLKPLKFSSKKELFFLFSESILLDGGKQSFALEKSSGRKSFTLSARGLSILHGNESNHWCWKSLTESRFAEAAELKTTNRIEIEGKIRTQMLSPNTTYGAHLIMKISSQSFGLDSIPSEISVNVGDNVVTNTACLRPKDETKQQMQSLFCANRMQMLKMRVNEGDERLPVERKDGWMEISLGEFFSGEVDEEITMSLMEIKGHQLKGGLIIEGIEVRPKS >CDP17748 pep chromosome:AUK_PRJEB4211_v1:2:51743360:51745648:-1 gene:GSCOC_T00003852001 transcript:CDP17748 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVFLTISFNQVFASDPDMLQDVCVADLTGKPKVNGFICKENITANDFFTTILGTPGATNNTFGSVVTGANVEKVPGLNTLGVSLSRIDYAPGGLNPPHTHPRATEMVFVLEGELDVGFITTANVLISKSIKKGEVFVFPRGLVHFQKNNGNVAAAVISAFNSQLPGTQGISVTLFASNPPVPENVLTMAFQIGSKEVDKIKSRLAPKK >CDO97074 pep chromosome:AUK_PRJEB4211_v1:2:16304640:16321415:1 gene:GSCOC_T00014305001 transcript:CDO97074 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIELCDLIAEKPEQFADKLVWICGRCPSAESLLTGSPRVSRSQLNAVLAVARFLSKCPNYDDQRPKSLLLAFYRAIPSSFTPSFWPQSFGNDAIASFFNDYFAYMCRAAESASDFATDIAGFTGEIVISATGNVSGDLGISRVFLNALALNFPPILSSDANRLVSCLLERLEIMVPNSPRELISSEAASSQSSPLSLNHFPYHSNERASPGNEVSNASGSSGSVADDASSSKGIVTNGGSAGWKSNVDILNVSTGLNDGGGGKGILISFEQESLENLEKQEIAFKLIRHILDKATVDSKLLEQVRLVTKEQLQSMLAFLKIRKRDWSEQGHLLKARVSTKLSVYQAVAKLQIKILASVDLDGKSSKRFLHGTLALLIEAAEACLFSVWRKLRICEELFGSLLGGISQAAVARGGQLLRVLLIRFKPLVLTTCIQADTWGSSQGAMFQSVLKATCEIIEYGWIKERPPVDTFITGLATSFRERKDYEEELQQSHDAKDKQAASAGQLNMIRLLADLNVSVNKPEVVDLILPLFIESLEEGDASAPGLLRLQLLDAVSRLASLGFEKSYREAVVLLIRSYLSKLSAVGSAESKTVAPEATTERVETLPAGFLVIAGGLTDTKLRSDFRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPTINVEPSLLKLFRNLWFYIALFGLAPPIQKGQVTTKSVSTSLNSVGSMGVIAVQAVGGPYMWNAQWASAVQRISQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAVSQRSALSAALGGRVEVSGMGTISGVKATYLLAVAFLEIIRFSSNGGMLNGSPNSTASRSAFSCVFEYLKSPNLMPAVSQCLTAIVHRAFETAILWLDERASETGHDAESRESALSIHACFLIKNLSQRDEHIRDISVTLLNQLRDKFPQILWNSSCLDSLLFSIHNDPPSAAVHDPAWVATVRSLYQKIVREWIVISLSYAPCTSQGLLQEKICKANNWQRTQPTADVVSLLSEIRIGTGKNDCWSGTKTANIPAVMAAAAAASGGNLKLTEAFNLEVLSTGIVSATVKCNHAGEIAGMRRLYESIGGLDPKPVTTDLPASDSGMLSQNLHPKNESFNEVLLTKFVRLLQQFVNTAEKGGEVDKSSFRDTCSQATALLLSNLESDMKSNIESFSQLLRLLCWCPAYISTPDAMETGVFVWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFASEMRCAGPAAKLRPHLSPGEPELQPEKDPVEQIMAHRIWLGYFIDRFEVVRHDSVEQLLLLGRMLQGSTKLPWNCSRHPAATGTFFTLMLLGLKFCSCHLQRNLHNFRTGLQLLEDRIYRTSLGWFAHEPEWFDGNNNTFSQSEAQSLSMFVHQLLSERMDSTQSDPKGRVPENGNSVNGVKEQYHPVWGQMENYAVGREKRKQLLLMLCHHEADRLEVWAQPVGSKESASRPKVSSEKWVEYARTAFSVDPRIALSLAARFPANGVLKSEVALLVQTYILEIRSIPQALPFFVTPKAVDENSALLQQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQSLRYDEERLVEGYLLRAAQRSDIFAHILIWHLQGETSVPESGKDAVSTKNNSFQALLPVVRERIIEGFTPKALDLFKREFDFFDKVTSISGVLFPVPKEERQAGIRRELEKIQMEGDDLYLPTATNKLLRGIQVDSGIPLQSAAKVPIKITFNVVDRDGDPKDIKPQSCIFKVGDDCRQDVLALQVISLLKDIFDSVGINLYLLPYGVLPTGPERGIIEVCRNTRSRSQMGETTDGGLYEIFQQDYGPVGSPSFEAARENFIISSAGYAVASLLLQPKDRHNGNLLFDNVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLIDPSGAMKSDTWHLFVSLCVKGYLAARRYMDGIVNTVLLMLDSGLPCFSRGDPIGNLRKRFHPEMSDREAATFMIRTCTDAYNKWTTAGYDLIQYLQQGIEK >CDO97633 pep chromosome:AUK_PRJEB4211_v1:2:20529630:20530493:-1 gene:GSCOC_T00015030001 transcript:CDO97633 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKTQNSLNIKLLTLGVFIAFFLLFVLRSSFSSSNQSPIPTLPRPLSGPLKDEKVSNCSPSCNKIPSTLAQAIIHYSTSSIIPQQTLKEISVTSKILEKKSPCNFLVFGLGHDSLMWSALNYGGRTIFLEEDESWIEQIKRRFPMLESYHVSYDSKVNQADELLEVGKGPECTPVADPRYSMCQLALKGLPSEVYEIKWDLIMVDAPTGYYDEAPGRMTAIYTAGMMARNREDGVTEVFVHDVNRDVEDKFSRAFLCDAYVKKQEGRIRHFRIPSYRSNLNQPFCP >CDO99585 pep chromosome:AUK_PRJEB4211_v1:2:11189093:11190997:-1 gene:GSCOC_T00029221001 transcript:CDO99585 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFKLKLSQLLVSLVLIVLLAYNSLSIFSVYIPFPAKTAPLLASSSPENVAEKSRRFVSMSSSGKVSSSVMYAVKEEIPVAEKKTLLDLNFTILHTDQNSLDFIRNESVILHVKRRQSRKALSKIFGQDGEMKQFSKRVKEFFKANSSNSSCKFRFFMTWISSIGNFGDREFFTIESLFKSHPDGCLIIASDSLDSRLGMEILRPFLKKGFRVAAVSPDYSYLFKNTVAKAWIDRLTKGNVNPGEVSFGQNLSNLLRLGLLYKFGGIYIDADMIVLKRFVNLRNAIGAQTVNLQTRNWSRLNNAVMIFDKGHPLLYKFIEEFALTFDGNKWGHNGPYLVSRVVSRVSQRPGYNFTVLPPAAFYPVDWSRIGSLFRAPRNETQSKWMFTKLREIRGRSFAVHLWNKESRKLEIQQGSIIQHMMSDTCIFCNFTSG >CDP05114 pep chromosome:AUK_PRJEB4211_v1:2:1660825:1663073:1 gene:GSCOC_T00020053001 transcript:CDP05114 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAPVHCSANLAEVSTDLNKFVNVQSSDFARPVLGLDSYGVANSYFSRGSKRKWGMVDGSSLFLGLGHSSSSCTTMSPGREMEEDSSIHLGLSIDLQLGNHRANYANQLPYITAKAYEARRAEVDLELSLSTGPAESDVTTITQGSSPHKNKIEPPASVATVQLVDEGSTSSRWKCGPLVPPVQSLGTFALGDQLNSCNNQTPLTTNISSASPATPRNTVSCASGAIPQSQRRINVKTCRFEGCIRGARGASGLCIAHGGGRRCQRAGCQKGAEGRTVFCKAHGGGRRCQHLGCTKSAEGRTDFCIGHGGGRRCNHDGCTRAARGKSGLCIRHGGGKRCKMENCTKSAEGISGLCISHGGGRRCQYPTCAKGAQGSTVFCKAHGGGKRCTYLGCTKGAEGSTPLCKGHGGGKRCTFEGCTKSVHGGTLFCVSHGGGKRCSMAGCTKSARGRTNFCVRHGGGKRCKHEGCTKSAQGSTDFCKAHGGGKRCSWEQVGSEFGGQATVPCDKFARGKSGLCAAHTAQVQEKLVHGDGVLMVPILENRLFSKLERMKGVFAVSSGTDTTNRGAISVGMSSHGHKDACCRMPPQDNLDEFSLPEGRVHGGSLMAMLRGGTSFQTGNSTMHGDEVGRQKTYPISHS >CDP17220 pep chromosome:AUK_PRJEB4211_v1:2:47904477:47907979:1 gene:GSCOC_T00000699001 transcript:CDP17220 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHNLKPAIVVSSIPFPQYSSFTSTTPHLSRFFGTDLSKISRSQKLLPSMLLLSRRRLVLPSVSGMWEALTGGSSAREAVMAIRRGMLLFRQGDVLGSLVEFDRAIELDPRQKAHLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVDEARRRYLEVGRDPRPVMREAYSMFTDGGDPEKLVAAFSGGRENEYFYASLYAGLYNESQDKQDEAKRHIVAACQSPYGLRSDDYMASLAKVHCLCRNWGST >CDP05349 pep chromosome:AUK_PRJEB4211_v1:2:3511782:3519911:-1 gene:GSCOC_T00020356001 transcript:CDP05349 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSTSPEQSQPSGEADRTPNLPRTFKYLLATQFLSRGIPFIFNSWIVRHLTEEDYALYAVQFHLFVTCILFLSREGFRRACLRADFRCDDTSMGENSARLLKVAWMTFPLGILFTLAGCALVLWWQGLGYQSPYAQAILINGFACVLEIMAEPFYILSQNLLLLKLRLVIETVATFMRCLTTYALIVKQTDTEKAIVFALSQTAYGASMLAGYWGYFLLYRMYKVSDLFPFSVGNVMNYDKQLTAMCRLFTLQAVRKLILQEGEKMVLVWFDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGEYTQKKRKLASCLTDALKLVLLIGLMVMAFGPSYSYSLIRILYGRKWSDGEASTALRYYMLYVVTLAVNGTSEAFLHAVATENSLRRWNDSLLFFSLIYLVLNVCLIRSIGAVGLIIANSLNMIFRIIYSAIFIKNYFQGSLSFSFWSCLPSGWSLLVFSCVVTLISETLLLDRDNFWTTFCVHFSIGFACLIIAAIVIYRGERPFINKIVRFREHAD >CDO96756 pep chromosome:AUK_PRJEB4211_v1:2:12800352:12802016:-1 gene:GSCOC_T00013876001 transcript:CDO96756 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEKIGRFSSCRGVAFEIKPHADPFAIPTNSSSKRIWFPWGTDSKIVPDAGDVIQRSMSKTSSHFCDLDLDDDEDRDTLAEIEEGLEAHHDNEKFKPSMSPAPLLPPAASKREEKPKPAAKPNPNRLSVILLDQGLFTVYKRLFVVCLTLNITGLVLAATGHFPYARNKAALFSIANIFALTLCRSEAFLRIVFWLVVKVFGHSWVPIRIKTMITSLLQSLGGIHSSCGISSIAWLIYALVLTLKDRESTSSEIIGVASTILALICLSALAAFPLIRHLHHNVFERTHRFAGWSALALLWAFVILTISYDPKTKSYRSDIGSELVKHQEFWFTVAITVLIIIPWITVRRVPVKISSPSGHASIIKFQGGIKAGILGRISPSPFSEWHAFGIISDGKNEHMMLAGAVGDFTKSLVSDPPSHLWVRQVHFAGLPYLVNMYDRVLVVATGSGICVFLSFLLQPCRANVCFLWVTKGVEQNFGKEIKTWMSGHPRDKVIVHDTALLGRPNVSEMSVDTAKKWGAEVVIVTSNPEGSRDVVNACKGAGIPAFGPIWDS >CDP05301 pep chromosome:AUK_PRJEB4211_v1:2:3143355:3145524:1 gene:GSCOC_T00020297001 transcript:CDP05301 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSVENATGAYLRAVKMTKGAKEPDAAEFISALAAGNNAQHMVIACASAADSITLALVAAALQTGGQVICIVRGVHEQHSSEIALGDSAIHVKFVIGDALNLLSNDYREADCILIDCYLENCQRILETVKVISRNAIVIAYHACSMGSWRCPQGLKAHLLPIGEGLLVTKIAENVNQSNRSPVSGKKSRWLVKIDKCTGEEHVFRV >CDP18387 pep chromosome:AUK_PRJEB4211_v1:2:43272355:43276885:-1 gene:GSCOC_T00012968001 transcript:CDP18387 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTGGQEQVLPNGGEEEPPRCNGPEAEQEALPNTAREDPPEREQSEVAAPVDEVPEVTGSHGPVNGAASGALVNGFRKPVITRVDDRVRINITRSRSKDEMRKLKRKLESELDQVRTLVGKVEAKEHQLTAYSTSNVNTNSRYVGGSVGNFGGYNQPQLLRANSEVGTLGHQYSRPQLLRVNSEAGSGGYQETRPFRHLNVSVMENNHGAAEFVEKEKRTPKANQYYRNSEFLLGKDRLPPESNKKLKLTAKKHGGDSEYGYGFGFDRNRDKVFKSCSNLLQRLMKHKHAWVFNEPVDAKKLNIPDYHIIIKHPMDFGTIKTRLGQNWYKSAREFAEDVRLVFRNAMTYNPKGQDVHTMAEELSKIFEDRWIAIEKEHFPYQMYYDAGLPIPTPRKAPPPSFTHIPAPILAPFSIPPAPPMRTLDRSESMTVPVDSRLKPTYSNLPHTGRTPVPKKPKAKDPNKRDMTYEEKQKLSTNLQSLPSEKLDAIVQIIKKRNTTLSQHDDEIEVDIDSVDAETLWELDRFVTNYKKSLSKNKRKAELALKRRAEAAQAVPTTNPIPAVVEPQKESKGDEKNSSPVVEVEKQGDNASGSSSSSSSSSDSGSTSSDSDSDSSSAYGSDAGHSPKT >CDP13814 pep chromosome:AUK_PRJEB4211_v1:2:32676035:32678006:1 gene:GSCOC_T00038876001 transcript:CDP13814 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVGGSLLSAFLQVLFDSMARPEFLNLFRNRTADDDLLRKLKRNLHTVGAVLDDAENKEIRNQSVKKWLEELHDTFYQAEDLLDRINAQTLRIKVETEILPCTSSGDKFLRKIMPEIETIVEGLEGYIEQINPLGLQVIQSRIQSHQQHETPLVDEIAIFGRDADKEKLIQMLLSEDANGDNIIVVPIVGMGGLCKTTLARIVYKDLRVEVSFPTRAWLSISEEYDATRISKELLRELDISFVDSDNLSSLQWKLGAGLTEKKFLLVLDDVWNSNYNQWDNLRSPFYSGSRGSKIIVTTWDQNVARMMAKERSIYHLDLEIARNPSLSLFLVGCSEEDCPSLFKKHALKIEMPMKMQNSN >CDO99992 pep chromosome:AUK_PRJEB4211_v1:2:7143751:7165672:1 gene:GSCOC_T00029742001 transcript:CDO99992 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSYQNTAPPDLAHLQATMRTIELACSSIQMHVNPSAAEATLLSLSQSPQPYQACQFILENSQMPNARFQAAGAIRDAAIREWGFLSTDDKKGLISFCLCFVMQHASSAEGYVQAKVASVAAQLLKRGWLEFTAADKGTFFSQVNQAVAGSHGLDMQFAGIIFLESLVSEFSPSTSTAMGLPKEFHDQCQTSLELDYLKSLYGWAQDAASSVTNRIIASDSAIPEAKVCSAALRLMLQILNWDFRCSKSTVEIGRRGMGVLGVKNDSYSARKTECNLVQPGPSWCDVLITSGHVGWLLRLYGALRQKFSCKGYWLDCPLAVSARKLIVQFCSLTGNIFPADNGHMQGQHLLQLLSGVVEWIDPPDAVAKSIQNGKSDSELLDGCRALLSMAAVTSPLMFDQLLKPIRPYGTLHLLYALMSEVVKDVMENHTEEETWSWVARDVLLDTWTTILMLLDSTSRDALLPSEGISAAANLFALIVESELKAASASAFSDDNDADYFQASITAMDERLSSYALVARAALDATVPLLVRLFSERFARLHQGRGICDPTQILEELYSLLLITGHVLADEWQGETPLVPMAIQTQFMDVVESENHPVVVLSGSIIRFSEQSLDTEMRTSFFSPRLMEAVIWFLARWSCTYLMPHEESKGNNLTIDNFKERQPESELSKKMLFSVFGDNDQGKFVLDVIVRIATVSLVSYPGEKNLQELTCNQLLHGLARRKNVRVHLLNLDSWRNLANAFTNERILLSLNPVHQRSLAQTLALSASGMRNSEESNQFIRNVASHMTTYLLQLSVKDDLKKVAQQPDIILLVSCLLERLRGVASASEPRIQKAIYEMGFSVMHPILIFLDIYKHESVVVYLLLKFVVDWVDGQIIYLEAHETAAVIDFSMRLLQSYSSHNIGKISVSVSSSLRSEEDTEKYKDLRALLQLLASLCTKDMVDFSSEPVESHGTSISKVVYMGLHIVTPLITLELLKYPKLCHDYFSLLSHLLEVYPEMVMQLNNEAFIHIVGTLDFGLQHQDSEVVDLCLRALKALSSYHYKETGAGKSGLGSYASGYEDLAGNSQEGILGRFLHSLLQFLVFGDYSNDLVSAAADALLPLILCEQSIYQRLANELIESQGNPAFRSRLANAFQFLTSANHLSSTLDRRNYQKFRKNLQSFLVEVRGFLRTI >CDO97052 pep chromosome:AUK_PRJEB4211_v1:2:16162292:16166418:1 gene:GSCOC_T00014275001 transcript:CDO97052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MLMKALEIRRGVTVEIFKEAMRKGKFGITYSTNLISKLSDFIDFVMIQAASMKQLPEFSTASYNFRARTFIDDSGVVPLIRWLKHNSLSYPQIAKLICMSRGNLNSIRRLAEWLKTIYVRGRYIGVALTRAGRNILECNSQDLDEIVGYLEDNGVRKDWMGYVVSRCPEILSFSMEELKARVEFYLNMGIDEKDFGTMVFDYPKVLGYFPMEEMNQKVTFLKEFGLNDEEVGKLLAFKPQLMACSIEEKWKPLVKYFYYLGMSRDSMRRILCIKPMIFCVDLETIIVPKVQFLRDMGVQEEAIGNMIAKFPPLLTYSLNKKIRPVVVFLLTKAGVSQRDIGKVIALGPELLGCSIANKLDHNVKYFLSLGISLRKLGEMIADFPMLLRYNVELLRPKYQYLRRTMVRPLQDLIEFPRFFSYSLDERIIPRHRIMVEQRVNFKLRYMLGGTDEEFDQRVQAAVERRQRFESGVTFEEESSDSQTDVGSLTACSS >CDO97576 pep chromosome:AUK_PRJEB4211_v1:2:20091506:20099742:1 gene:GSCOC_T00014958001 transcript:CDO97576 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVRSLAVLSILLTVAAPALASEYDHRYQDEDKVTLWVNKVGPYNNPQETYNYFSLPFCHPDGTVSHKWGGLGEVLGGNELIDSLIDIKFKKNVEKSTICELELDDAKVKQFKDAIENSYWFEFFMDDLPLWGFVGEMRSERNSDSKHVLFTHKSINVQYNKDQIIHVNLTQDIAKPLEVGKTWEMTYSVKWTPTNVTFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRTLVLLSAVVGTGAQLALLVLLVILFAIVGMLYIGRGAIVTTFIVCYAFTSFISGYVSGGMYSRNGGKNWIKSMILTASLFPFLCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >CDO96716 pep chromosome:AUK_PRJEB4211_v1:2:12346730:12352406:1 gene:GSCOC_T00013822001 transcript:CDO96716 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHHETRDGKSNKNLPHPSSEKFPIGSSDSGFSSGPKYPNPPETTNPDPATLREQWRYATRQYSRWYSQAWGTAILAGLSFFALGWIIKGSNPLPSFKSENVEKKDDKNSSEQAKQG >CDP08525 pep chromosome:AUK_PRJEB4211_v1:2:41824463:41830911:-1 gene:GSCOC_T00027465001 transcript:CDP08525 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKKLSHLYFPLGLFLLGSLLSCLAAPNITSDQSALVSLKAKITGDPHEILASNWSATFSVCDWRGVTCGSRHRRVTALNISNLGLTGTVAPFLRNWAISPFSLLSLGINMLRGNIPSWVGSFQQLRQFFLKNNSFTGFIPPSISNMSKLETLNLQFNSLQGAIPMEIGKLKKLKQIVLDFNQLSVFHPAYVPVFGLTLLDLSLNKLSGVIPPSLSECSKLQLLRLGGNNLSGVIPEGFGNLTALKRLHLGWNNLVGVIPEGYGNLTALGELCLGDNNLIGVIPEGFGNLTALVELCLGGSNLIGCICGRIEIEAHSISLSQLIFSLILSLNLPTFFFGSLFFVLSLFFLVKCNCQKPIFILTTSKTSLSFLILYLNINSLRGTILIEIRNLNKNEDTCTNICRRGNSLGSVPHELGRLKHLAKLALGSNSLTGSIPAQIFNISTLRELDLSNNTLSGRLPSSMGYGLVNLEVLAVFSNEFDGVLPASTSNASKLTHLDLGGNRFSGPVPNSLGNLRLLRYLDLRFYAYGCGIKGSIPDGIGNLSSLIILNLYGNHLSGPVPVTMKYLQNLQALFLDDNQLSGSIPDCICKLKRLYQIYLGQNIFRGSMPSCLNNISSLEEIDFAGNLLNSSIPGSLWNLTDLLTLNLSYNSLSGSLPYETGNLKVVTLLDLSGNHLNGNIPSSLGGLQSLAKLSLAQNKLQGPVPDSLNQMLSLEFLELSNNNLSGPIPKSLETLIYLKYINLFFNHLRGEIPSSDGVSRNTDLLPMGLPKMISYSELVQATNGYDERNLLGKGSFGSVYKGILTDRTRNSVLHTKTLATLGYLAPEYGLEGQVSTRVDVYSFGIVLMETFSRMKPSDEMFKDDLSLKSWIEESLPNATTQVVDANLLGRQDEHFNEKLECISVIFKLALSCFRNFSRDRTNMKDVVAALQKIKRQIKYFPNTSA >CDP16344 pep chromosome:AUK_PRJEB4211_v1:2:27722124:27730678:-1 gene:GSCOC_T00018166001 transcript:CDP16344 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVNRERRYILEVLFNGLRRLEYRGYDSSGISIDSSSFKTPAVSGSNSNSNVAPPPLVFRKEGKIESLVKSVYQEVAETELNLEEPFAIHAGIAHTRWATHGEPAPRNSHPQSSGAGNDFLVVHNGIITNYEVLKETLVRHGFTFESDTDTEVIPKLAKFVFDKANEEGDQSVIFSQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKDLEDEGSIGASFNDAKFLSNNQQPRELFFASDANALVEHTKKVLVIEDGDVIHLKDGGVSIFKFDHAKGRCGGTLARPASVECALSVLEMEVEQINKGKYKHYMQKEIHEQPESLTTTMRGRLIRGGACKSKTVLLGGLKDHLKTIRRSRRIVFVGCGTSYNAALASRPIVEELSGVPVTMEVASDLVDRQGPIYREDTAVFVSQSGETADTLQALDYALENGALCVGITNTVGSALARKTHCGVHINAGCEIGVASTKASDWHCAYTSQIVVMAMLALAVGGDMISNEARREAIIDGLLDLPSKVKEVLKLDEEMKDLAELLINEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDHNLPIVVIATRDACFSKQQSVIQQLHARKGRLIVMCTKGDSASVSVGGSCRVIEVPHVEDCLQPVLNVIPLQLLAYHLTVLRGHNVDQPRNLAKSVTTQ >CDP14020 pep chromosome:AUK_PRJEB4211_v1:2:5159375:5163306:-1 gene:GSCOC_T00039189001 transcript:CDP14020 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTYRVCFCCRRRFRLASAEAPAEIKSLFDSYSENGTISVDNLHRFLVEVQKQENATLEDAEAILNSLHEPKHLNIFHRSGLNLEQFFKYLFGDINPPIDPRRRVHHDMHDPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIKALQNGVRVIELDIWPNSTKDDVDVLHGRTLTTPVQLIKCLRSIKEHAFSASEYPVVITLEDHLPQHLQAKVAGMINETFGDTLFVPGSDGLKEFPSPEALKRKVMISTKPPKEYLEAKDLSGEQNEVKEGKQSAEAGPWGREISINKSRTNDEDDLNEEEAHEDDDDDEVDRKSQQIAVPEYKRLIAIHAGKGKGGLDDWLRLDPNKVRRLSLSELELEKAAVTHAKEIISFTQRNLLRVYPKGIRFDSSNYNPLIGWMHGAQMVALNMQGYGRSLWVMRGMFRANGGCGYVRKPDILLKTGNEIFDPKAKLTVKTTLKVTVFMGEGWYYDFRHTHFDTYSPPDFYARVGIAGVPADTLMKKTRTLEDNWIPNWNEHFEFPLTVPELALLRIEVHEYDMSDKDDFGGQTCLPVSELREGIRAVPLHNQKGEPYRSVKLLMRFQFI >CDO96955 pep chromosome:AUK_PRJEB4211_v1:2:15311118:15316715:1 gene:GSCOC_T00014152001 transcript:CDO96955 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTGKRPIQAVKTWVRRQPPKVKGFLAVISGMAALVLLRAIVHDHDNLFVAAEAVHSIGISVLIYKLMKEKTCAGLSLKSQELTAMFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIYMIRFKLKSSYMEDKDNFALYYVVVPCAVLAILIHPSTSHHIINRIAWGFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGIARFLSCAHWVLQVLDSRGHLLTALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >CDP07661 pep chromosome:AUK_PRJEB4211_v1:2:24436595:24446916:-1 gene:GSCOC_T00024986001 transcript:CDP07661 gene_biotype:protein_coding transcript_biotype:protein_coding MSCADLVTTSSNGNLDDQISQLMQCKPLSEPEVRSLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHNNNLKLIARAHQLVMEGYNWSHEQKVVTIFSAPNYCYRCGNMASILEVDDCRGHTFIQFEPAPRRGEPDVTRRTPDYFL >CDP05174 pep chromosome:AUK_PRJEB4211_v1:2:2158433:2159113:-1 gene:GSCOC_T00020131001 transcript:CDP05174 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGVEEENSLHISNGKKKPQSRRFFLTFRQLNALAGMIALSVMGMVSVEDLAFVVFSLAYIHFLSKVAFPPLSPRPNPRVFGEKNRLQDLYVLFSGIISLAFPSAYIVEGMFRGYKEGVRVAASHVFLLASQIFMEGVAVYGGFSMPVFAFVPVFYNSRRIFTVADWLSSEFYKTDENPRRLYIGRGLAVVNMAFWCFNLFGFLLPVFLPRVFKVYYSSGHRDEH >CDP14008 pep chromosome:AUK_PRJEB4211_v1:2:5030388:5044062:1 gene:GSCOC_T00039172001 transcript:CDP14008 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVGIVTCLHVNSNFINLFTQSEVQESPISSDVAPRVVVHYGIPSTASVLAFDSVQQLLAVGTLDGRIKVVGGDSIEGLLMSPKPIPFKNLEFLQNQGYLVSISNENEIQVWDLESRSISTSLQWESNITAFSVIYGTQFMYIGDEYGFLSVLKYDAEEQTILQLPYHIPANLVAEAAEISLPFNQSIVGVLPQPSSFGNRLLLAYEDGLIVLWDVTEDRAVLVRGNKDLQLKDEMLAESSGDGSHEPLDNLLDHEKEISSLCWVSGDGSLLAVGYVDGDIFLWNLSASDHIKGQGAQKSSDKVVKIQLSSAERRLPVIVLHWSANKKRNGFGGQLFVYGGEEIGSEEVLTILDLDWSSGIAKLTCVHRVDLPLNGSFSDMIVIARSHEMEKTDSASLLVLTNPGQLHFYDDSCLSTLRFEPDKKHSVLAVEYPATIPTIEPIMTVGKLYSVVAKANSSRVLAETVSAAKLEVEQTMTRGSSRWPLTGGVPGELSIAEDGGMERIYVAGYQDGSVRVWDSTFPVLSLRLVFLLQVEGIDVAGASASISTLDFSPTSLSLAIGNEYGLVWLYGLDGTKDKSGIHLVTQTERQVLNLAHDGGSLCKAIFSLLNSPVRTLKWVNSGDRLAVGFECGQVAMVETSALSVLFLTDALCSSSPIISLAVKTLPDTESLKQSEIGTSNESAKEVAFILTRDSHVVLVDSSTGNVVSQPIHPMEESTAVSLYIIGKHNSLTEGSEDDNSKSSEDFEAKGQPGHKSYERQSDPMEAENSEPNIVHNLKDSIILFCCENALHLYFLNSVIQGENKSIYKLDLVKPCSWTAIFTKEATEYGLIIVYQTGDIEVRSLPAFTVLGSTSLTSILRWNFKTNMINLMSSSDKGQITLACGSEFAIVSLLASENNFRIPEALPCLHDKVLAAAADATISIALDQKSKQGFKGAKLEMNNSEARESILAHMDIIFSRFPFSEPVKNLADDQPHVELNIDDIEIDEPLSVVSSSSKSDNERKDKETERQRLFEGSSTDTKPRMRTREEIIAKYRKAGDATSAAAQARDKLVERQQKLEKLSERTAELQSGAESFADLANELARNMEKRKWWNF >CDP17287 pep chromosome:AUK_PRJEB4211_v1:2:32075743:32076690:-1 gene:GSCOC_T00009356001 transcript:CDP17287 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRRAVKELVTKLGSVSEQIRVEALSELRLISKNDPDSRPIIADADSAAISYIAEALYSPTQVIQENAAATLHNLSISSKDQLMSTRGVLDALSHALLNPSCPFAAQCAAGTLYSLLMVESYRSIIGHKRDILFGLVEMIRRPDSASRSIKDGLKALFGIALYPLNRAGLIGLGVVPALFSLVCKDGRVGVVEDATAVIAQIAGCEESWEAFRNVSGVRVLIDLLDSSTGSSIRTKENAVSAMLKLVQCGGEEIAKTIREMGFDVFDGIVDVVENGTDKGKVKGITLLKVLDAKSVGSLLDKEFESLMSNSAS >CDP15220 pep chromosome:AUK_PRJEB4211_v1:2:49131443:49134315:-1 gene:GSCOC_T00042851001 transcript:CDP15220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ADP-ribosylation factor At2g15310 [Source:Projected from Arabidopsis thaliana (AT2G15310) UniProtKB/Swiss-Prot;Acc:Q9SHU5] MLFIFLRISNGGSWRGARLGFFPGVQSLTRSLAPLSLPFPHSVHFFCSIKQGDNMGLSFTKLFENKENRILMLGLDAAGKTTILYKLKQGIVVDDIPTIGFNVETLEHKNMRLTIWDFGGQNVKRPLPIYYYQNVEGVIFVVDSNDQDRVVNARDDLRMLLNEEGLKEDAALLVFANKKDLPNALTAAEIADKLGLHSIRPRNWFIQSSCATSGEGLYEGLDWLYNNIVNKVENL >CDP05368 pep chromosome:AUK_PRJEB4211_v1:2:3646966:3653828:1 gene:GSCOC_T00020379001 transcript:CDP05368 gene_biotype:protein_coding transcript_biotype:protein_coding MEENITRADDQKPLLPPTSALVAVAINGGKKSKYVVRWALDKFVPEGEVSFKLLHVRPKIIAVPTPMGNLIPIHQVRDDVVAAFRKEMEWQVTEKLLPYKKMCTREKVQVDIVLVESEDVVNAIAGEVAKCSINKLVIGASSRRLFSRGQNLSSKISECSPSFCTVYAVSKGKLSSVRPSDSETHRSIKDDCSDSSRLTSNSSSPSSSSQAEWTDQSSTASYCLLSPASLPLQRFQALSTINQALCHRRMNTNDIIYQNSLSLKTGDRDADATSCLSSSDTNDVYSAASSFRSLIQDAMWVNDQASVADTVTDFSSGNQININFELEKLRIELRHLQGMHAMAQNEAIDASRKLNDLNKRRLEDTIKLKEIILQEEEAKALAKKEKERYEAAKREADYMKECAEREAAHKKEAEAKALREAKEKEKLENALVGHVHQYQKFTWEEIVSATLSFSEDLRVGMGAYGTVYKGSLHHTTAAVKILHSKDTYRTKQFQQELEILSQIRHPHLLILLGACPDHGCLVYEFMKNGSLEERLLRKNSSPPLPWFERFRIAWEVASALVFLHSSKPKAIIHRDLKPANILLDHNFVSKIGDVGLSTMLHYDCSSVSTAYKDTAPVGTFCYIDPEYQRTGLISPSSDVYGFGIVILQLLTAKPPMGIAHLMEKAIENDSLMDVLDQDAGEWPGKETRELAMLALRCTELRGKDRPDLKDKILPALEKLKETADKGRDWPPIAKLLPPNMFVCPILKDVMENPCVAADGYTYDRKAIEEWLEENGNSPMTNLPLPHKNLLPNYTLLSAIMEWKSGRH >CDP14073 pep chromosome:AUK_PRJEB4211_v1:2:5559431:5562242:1 gene:GSCOC_T00039260001 transcript:CDP14073 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGCLLLANALAILNEDRFLTPRGWSFQEYSGARRNSVKGQIIGLIYTTQYLRVLLILLNTLCIVIKFVSG >CDO97210 pep chromosome:AUK_PRJEB4211_v1:2:17324091:17328872:-1 gene:GSCOC_T00014478001 transcript:CDO97210 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGLLFTVKGAGRGRTSKVHSCEINNSFGAKSRCYPSRSCHGIWSRSNGYLLEVFSSNLHLAGGLLTCLICNYDRQAQASGTIFASVCAH >CDP09460 pep chromosome:AUK_PRJEB4211_v1:2:22114665:22117605:1 gene:GSCOC_T00028835001 transcript:CDP09460 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGDRLDNFDLLWASKASRPWDPPLTQDGKDRAFARGRKFRAGRSPVNFPIHRVFVSPFLRCLQTATEVVHGLCAVNDDDLTVTSSNGITIDPSKIKVAVEYGLCEMLNATAVRPENVPKDYDFGFDISKCEAVLPAGTIDHSVEPVDKEIPQWGELPADARIRYCSVIKELADKYPSENLLLVTHGEGVGSSVTAFAENTQVYAADYCGYSHLSRSVFPAEDESFTAGPFKVHIKDGENGLSYCGSSVERDST >CDO99610 pep chromosome:AUK_PRJEB4211_v1:2:10767062:10768028:1 gene:GSCOC_T00029253001 transcript:CDO99610 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIAATSVILAIFFILAASSSSAVRPDPNHPDHATDEKPHQSQSKGGGGDDSGEYGGFFGPGGGFNIPGFGTVGGIIRPTVVCKEKGPCYKKKLICPAKCFSSFSRSGKGYGAGGGGGGCTMDCKKNCVAYC >CDP08769 pep chromosome:AUK_PRJEB4211_v1:2:53186601:53195697:1 gene:GSCOC_T00027861001 transcript:CDP08769 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKEGRSSLDSAVDASRKTEKGSKIVYTREFLLSLSELEICKKLPSGLDKSLLSELEDGPQSTNDRLRTHGSLPVQGFRRTEYGSSPPTRGDSAYYSRGIYGRWDGRSSGRSDRDSDSQSDRESESGRRYNNQSRRSWQGTEHDGLLGSGSFPRPSGYTTGISAPKLRANDHYQLNRSNEPYHPPRPYKAAPLSRRDTDAYNDETFGSTECTSEDRVEEERRRRASFELMRKEQQKALQEKQQSSVEKCKADASSDISVLSDDINEEKVILDRENELDSTTNPSISSIDSGKCALPSHATCRPLVPPGFKNAVVEKTSGVKSLTQSYSVENGTLGSLERKFSGEISLSNEPPEDKTIHTLLSNKGEQIANSSSNSDVSSKKTGMVDFLHQTSILPEAHEALDEPEMIKLNAKASGLKLGGDLRETDATSILEKIFGNASTVNGNDSTDSVEHHDDIPDESWSKKSVHSSKFARWFVEEERKTEDDHSSGRPNDLLSLIVGHDKGRNQVDTKIFEHFPAEFPDQRTEIANKPITNASSATIGVSKSLSCSNKQEVVPAILTCEDLEQTILSEYGEKSPTSLPPLEGWSFSGAKSGRPTAHVDNHASQHLLSLLQKGASQKNATPSIPADIDPSEIQPVSDVCDVGPAPYKTGEKVGQNSSTLGETLTLETLFGTAFMKELKSVEAPVSVQRGPVGSAQTESLEPQGLSFPITDNGLFPTTFDQIGLDKMTDEKNLLVSSHRQQVKMDKTEKWLGLNDPQTELNLSKQQYELVSKHVGFDGSVEYQLPEEESLIAVSEDLNARLFRSMPGKFSSKKESSALNAPGDMAEKLAAIGAAVKDERSMTGSDGPPFLHGPINQMEHEIPYHDFHVQTSASHFHSMQMSHERPLLNPLDSHPAHMNSQMKFIGRESMIQHDAPANQQFPVNMLRPPFYQPSVGVTGFDHPAHLAMLQQMQMAGGLSPHMANDFSRGAPAPHLGNQAPGLLQELNPMPGYPFGPHQPNIGGLGMPFPAADVNGGSNHPEAFQRLVEMELRAKSKQIPPFAAGHSQAMYGHELDMGFRYR >CDP00062 pep chromosome:AUK_PRJEB4211_v1:2:6475508:6476248:1 gene:GSCOC_T00029839001 transcript:CDP00062 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKRGNLFILTIIGDDEHRLNPTLIDSIREALHRARGECSGPSALITTAHGKFFSNGYDLAWAQSDRSRLLLMDAKLRCLISDLINFPMPTIAAVTGHASAAAFAFAMAHDYILMRKDRGFLYMSELDIGLVIPPWFVTLLKSKAKSPVAWREVVLKAAKLTAEMGMEMGIVSSAHVSAEETVKAAVELGEALVARKWDGEVYSENRRMVFVEVLAATRSHETGAGGDDEKRAESVAKTLSKL >CDO97683 pep chromosome:AUK_PRJEB4211_v1:2:21003127:21011184:-1 gene:GSCOC_T00015091001 transcript:CDO97683 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVLAPLLILSIQILHTLAQTDSNDSAALNAIKSSWNNLPPNWKGADPCGSGWDGITCNVSDFQCRMLGGMLLTGSGLGDITMLTELQTLDLSNNIGLKGTIPSSIGNLKKLSTLILVGCSFSGPIPDSIGSLSRLVYISLNSNSFSGPIPPSIGNLSNLSWLDLSENNLNGTLPVSFQDSPGLDMLLNAKHFHLSKNQLSGVIPAELFSPNMKLIHLYLNSVSLSLLQQHSFKKYLTLPAHWIHTNECLLYIADCQVSVSAVHYTFLSHLKLTGIEITLLRVLIHSFKFHKQFNLCFTGCASWIKAMLATLMLENTTLQGQIPVNFFSLPQLQTVALSNNKLNGTLNIGNSYSNSLALNLQNNSITDFQQKAGYSIELMLSGNPICQGNGAAQRYCRPQTNKTLIQPTNNCASISCSANKILSPNCGCSHPYIGTLHFFSYSFSDLQNTTYYRTLAGSLISALKANGVPVDSVNVSNPSIDVYSYLQFRVQIFPSGQDPFNRTAISTIGFLLNRQTFQLQYFGPLFFLEESYCCYAGEKNSSHTGAIAGGSVGGAVLFMLILAGGFYAYRRKRARREEMKNNPFASWNPDQGHGGVPQLKGARWLTFEELRKCANNFSESNCIGCGGYGKVYKGVLPSGQVVAIKRAQQGSMQGALEFKTEIELLSRIHHKNVVNLVGFCYDQGEQMLVYEYISNGTLRESLLGKSGMQLDWMRRLGIALDAARGLTYLHELASPPIIHRDVKSNNILLDDHFNAKVADFGLSKLLSDEDKGYVSTQVKGTLGYMDPEYYMTQQLTDKSDVYSFGVVMLELMTARAPIERGRHIVRVVQDAVNNPKEAFLSHEILDPKIAPGGKLGGLEKFLDLAMRCVQESGADRPTMGEVVREIENIMQLASLKKSPEADVTTFISKDSTDTSDQHSYGSKDFDYSIGSLPFNIEHHQKNQ >CDP00154 pep chromosome:AUK_PRJEB4211_v1:2:5712432:5715099:-1 gene:GSCOC_T00029971001 transcript:CDP00154 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKQVGSSSSSFTMDLFGPKEPSKSSSSSTGLFGSVFGPSSTGLGKDSAHSGRKQDFGSQYGTARNGTSDYINQKSRADTKEKSSIYQSETSEPCYFSSSIYYGGQEVYSPTGQDTNSQHIFRRDGEDDDSNDNNPSCASRGNWWQGSLYY >CDO99973 pep chromosome:AUK_PRJEB4211_v1:2:7329907:7332923:-1 gene:GSCOC_T00029710001 transcript:CDO99973 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLFQDLPPPSASQTQLQELQSSTTVKEHSSNPPLEPPPPALKSALKRPKPPSSGEESKPQVSASALAPAPGKRLRFKTTTDASETQVIEAMQKIASHIKNSSKFSKASKLAVQLIQAGSVNPATSDHFFAILEGAMSSPTTCNEASLRADYHALFSAAQDAVECLNKKQKNLLTIWTTRAVMANDLFTDDSFVFSKASGRIKEAISSLPVATKDDDEEEAASLEETEVGKAHGESHRDNTSARSIPEAKEEEPDPFGLDALIPSTSKKDDTAKGKRELVAKNKKSEEDEARKFLRGQREALISCLEIAARRYKTPWCQTVIDILVKHASDNISRFTSRQRDAIDKLWASIREQQIRRKQGKSVSGKLDVNGFEWLQEKYANEKISIRHSVSGGGERRCEQWLG >CDO97591 pep chromosome:AUK_PRJEB4211_v1:2:20185276:20186382:-1 gene:GSCOC_T00014973001 transcript:CDO97591 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFKYEELVQATENFSQSRLVGKGSHGHVYRGILKRNGCEHDQLVAVKKQSLGLQKLRDNSKLENEVNILSSLSHNPYVINLLGISHDSSNNKVLVMEYMPNGTLHEMLRSPVGASSCPPTTWPKRAQIALQIAKAVQFLHEARPPIVHRDIKSANILFDSDWNARLADLGLAIRMNHDSLNWQIDSLNRPAGTIGYLDPAYTVPSKLSTKIDVFSFGVLLLEIISARKVMDVSRSPSSIVEWAIPLIQKDQIVEISDGRVPISRFTDGLIRNMLSIARRCINFGETDRPSMGEIVSELENCIVEPIRFPLWMNLLRSLIHRKNGSSKSKTTARAATSIVCAPHQENGHADISRGKLLLREILADIS >CDP05373 pep chromosome:AUK_PRJEB4211_v1:2:3675629:3679302:-1 gene:GSCOC_T00020386001 transcript:CDP05373 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRLWRAAANNYSRAVPGRNNFVHAAHFYPSSRIHYSDVAAAVDSAVPDPTVKNFTAKTDVNLNKMFWSKPCSLALPADSPLRIEEPHYEGIKRFILKLMLFYSKQSKSIRGANVIYLRITSQVDKPAIYNVFSLEKTFKTTFALLVLHMWLVLRRLKEEGKEGGDLGQYLYERYNHDMELRVDKEGVKLLLGKWMKNLEKIFYGNIIAYDTAMLPEAKKDELQNVIWRNVFQSDDGDSSKVPIDAALVPLQAMSRYVRRESGCLSLTDKEALFSGNFMFTSLKSSKS >CDO97545 pep chromosome:AUK_PRJEB4211_v1:2:19833770:19839792:1 gene:GSCOC_T00014920001 transcript:CDO97545 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVKDAPASPEVVDAPAPSKAKDAPSPAAEEKAGAMENKPSSESTSVETQSEQRRATTTTSAAGVPLQNPFDFSAMTGLLNDPSIKELAEQIAKDPSFNQMAEQLQKTFQGTSVDEGIPQFDTQQYYTTMQQVMQNPQFMTMAERLGNALMQDPSMSSMLENLTNPVHKDQLEERMSRIKEDPSLKPILDEIETGGPAAMMRYWNDKEVLQKLGEAMGFAVAGEPGSSADNAEPDEAEEANEDESIVHHTASIGDVDRLKNALAAGADKDEEDSEGRTALHFACGYGEAKCAQVLLEAGAKVDALDKNKNTALHYAAGYGRKECVQLLLEHGAAVTLQNLDGKTPIDVAKLNNQHEVLKLLEKDAFL >CDO99806 pep chromosome:AUK_PRJEB4211_v1:2:8732922:8734602:-1 gene:GSCOC_T00029500001 transcript:CDO99806 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQCSPLNWAFYYQEEGIDELKHSLLYTTLELETTVLSAREELARKDDELVHLKSLLTKITRERDEAIAKCQRLMLEKLLLQQQQLKQKHVEPAAAAPSSGTTSNEDFEPRRGSDSYAGLSSSDCDENVIASPAGKDSAVLLPLQPVSPPPAFADVTDRIVPKKPLPEKGKFLEAVMEAGPLLKTLLLAGPLPQWQHPPPQLSTIDIPPVTISSSPRPMLLHQDSCLSTCTGGGGGLSKKRVLVNGDQCCDSSPPNSKYQRVVHQSSLTNI >CDO97144 pep chromosome:AUK_PRJEB4211_v1:2:16797521:16799994:1 gene:GSCOC_T00014392001 transcript:CDO97144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:Projected from Arabidopsis thaliana (AT1G49570) UniProtKB/TrEMBL;Acc:A0A178WK78] MFLFEPLANGQLDYNFYDDLCPNLPMMVRWGVWAALRNDTRMAASLLRLHFHDCFVNGCDGSVLLDDTPNFKGEKNALPNRNSARGFEVIESIKADVERACPSIVSCADILALAAREAVILSEGPFWPVSLGRRDALTASTKAANEQLPTPFESLDNIVAKFASNGLDLRDVVVLSGAHTLGYAQCFTFKRRLFDFKGSGKPDPLLDASMVASLQGTCPNVDESNSKLAPLDVQTVYKFDNAYYKNLMTNTGLLESDQALMGNPKTAEMVNFYSTYPYLYSRDFAASMVKLGNIGVLTGQDGQIRKKCGSVNYYY >CDP16439 pep chromosome:AUK_PRJEB4211_v1:2:35604668:35607609:-1 gene:GSCOC_T00018340001 transcript:CDP16439 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSTNSLTSLLFITSSLLLLLGCFHGTKAQSTPPVVNGLSWTFYDSSCPKLESIVRKRLQKVFKDDVGQAAGLLRLHFHDCFVQGCDGSVLLDGSAGKPSEQQAIPNLTLRLESFKIINDLRSRVQKECGTVVSCSDITALAARDAVYLTGGPEYDVPLGRRDGLNFATANATIANLIPPFANASTILTSLATKNFDATDAVALSGAHTIGRGHCTSFTARLYPNQDPTMDKTFANNLKGVCPTKDSNNTTIMDIRSPNKFDNKYYVDLMNRQGLFTSDQDLYTDGRTRGLVTSFAVNQSLFFEKFVDAMIKMGQLNVLTGTRGEIRANCSVRNSDNSFLSTGVEMGEIGLAQS >CDO97598 pep chromosome:AUK_PRJEB4211_v1:2:20238253:20264356:1 gene:GSCOC_T00014981001 transcript:CDO97598 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQKQKTTSLSSSKSGSKGKASTSASVTKLQISAENENRLRRLLLNSGQSAPPPASTATDDAFTKEQKAKKLRSVYEKLSCEGFTDEQIERALSALKETATTESALDWLCLNLPGNELPLKFASGTSEHTNGGSVGVVSIAREDWVPTMDSSPDESKDELLNFSVKVKGQRDDETLESSQLSQADWVRKYMEQQEEDESETWESDLIEYDAERKVRETKFDVGTILRDYHSAMSEAVGAKERGDKETQKKAGQMIRKIVQQASALGLSADVLASDYERSSTASLKDSCSVSTPDENINAEDATSYIMERGTELAQSELEVDQNLVNGNSSIEFFSEGNLSSCPVKDEDALEGESEDVELGNFLFEDAPAGDVFPDEVLDLQKKERLRGLYSEKTFEKLEGIWKKGDPKKIPKAFLHQLCQREGWEAPRYSKMLDNGNNPSYTVSVLRKASGRGKSRKAGGLISVELPSQDLFSSDAEDAQNRVAAYALNLLFPDLPVHLPIVEPYASLIIHWKEGHLSKDIEASIKNRRAGFVDSLLNAAGPDHKDTADITSSSLDNEFEMPDAKETTNASVKLKGRATKYKKEAESSFLKTEQEKRKKLQKYQGMLESRAALPISQLKEDILQLLEDNNVLVVCGETGSGKTTQVPQLILDHMIEAGQGGDCNIICTQPRRIAAVSVAERVADERCEPSPGSKDSLVGYHVRLDSARNEKTKLLFCTTGILLRMVLGNKNLAGVSHVIVDEVHERSLLGDFLLIVLKNLIEKQSAHSTTKLKVILMSATVDSHLFSHYFGDCPVITAKGRTHPVSTYFLEDIYEQIDYRLASDSPAYINDETFSKEKRAAVGHHRGKRNLVLSAWGDETLLSEDLINPYYVPIHYQSHSEQTQQNLRKLNEDIIDYDLLEDLVCYIDETHPEGAILVFLPGVAEIEMLLDKLSASYRFGGPSSEWLLPLHSSIASEDQRKVFLRPPDNIRKVIIATNIAETSITIDDVVYVVDAGKHKENRYDPQKKLSSMVEDWISRANAKQRQGRAGRVKPGICFCLYTHHRYKNLMRPYQIPEMLRMPLVELCLQIKLLSLGSIKPFLLKALEPPSEEAIRSAISLLYEVGAIQGNEELTPLGYHLAKLPVDVLIGKMLIYGGIFGCLSPILSISAFLSYKSPFIYPKDEKQNVDRAKLALLTNKIGGENDSNDGNGQSDHLLVMVAYRKWEKILRENGVKAAQRFCTSYFLSSSVMYMIRDMRIQFATLLADIGLISIPTSYQITRRKQDKLDSWLSDASQMFNMYSNHSAIVKAIVCAGLYPNVATSEEGIVGSALGTLKRNAGSPSKGQPVVWYDGRREVHIHRSSVNSGLKTFQYPFLVFLEKVETNKVYLRDTTIISPYSILLFGGSINVQHQTGLVFVDDWLKMAAPAQTGVLFKQLRLTLHSILKGLISKPQAVSVTSNEVLSSIINLFLEEDKAVK >CDO97412 pep chromosome:AUK_PRJEB4211_v1:2:18849474:18854476:-1 gene:GSCOC_T00014752001 transcript:CDO97412 gene_biotype:protein_coding transcript_biotype:protein_coding MASINVSCPSTTLSRSQALKNFRFFNGLHCGTLNPQSGCPEESSRLKGRACLHIKGDGRIKSVLVSDEGNLLSHTNGADTVVKNSPISGIATGIQIQPDSVQFGTLVADIAPTSTGFPVDNDESDLDRPTKGFSSISDAIQDIRNGKIVLVVDDEDRENEGDLIMAASKVTPEAMAFFVKHGTGIVCVSMKEEDLERLNIPLMVNSKDNEEKLCTAFTVSVDAKHGTSTGVSARDRATTVLALASQDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLSVLAGLEPVGVLCEVVDDDGSMARLPRLRQFAKEENIKIISIADLIRYRRKRDQLVEHASAAKIPTMWGPFTAHCYRSILDGIEHIAMVKGEIRDGHDILVRVHSECLTGDIFGSARCDCGNQLALAMEQIEEAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYSGLKGYGLAVAGRVPLQSFITKENKRYLETKRVKLGHIYDFSSNGFPNLISNKNGKPSAGS >CDP13923 pep chromosome:AUK_PRJEB4211_v1:2:4378492:4381506:-1 gene:GSCOC_T00039065001 transcript:CDP13923 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGMEGPLGTVLSFSSSSMVLPPPLQQSSNCNVFCFSSLQTNVRSSIRLREPRNNLRLNNKIYCKAPESEVQENPTRKGSSSKNRMEEYNIAMKRMMRNPYEYHHDLGMNYTLITEDLIVGSQPQKVEDIDHLKEQENVAYILNLQQDQDVEYWGIDLQSIVKRCEEIEIHHMRRPARDFDPESLRIMLPRAVSSLEWAIEEGKGKVYVHCTAGLGRAPATAIAYMFWFQGMDLNTAYDELTSKRPCGPSKRAIRGATYDLAKTEAWKEPFDSLPDYAFQDVADWERKLIEDRVRGLRST >CDP05282 pep chromosome:AUK_PRJEB4211_v1:2:2978428:2979541:-1 gene:GSCOC_T00020269001 transcript:CDP05282 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEET [Source:Projected from Arabidopsis thaliana (AT5G51720) UniProtKB/TrEMBL;Acc:A0A178UGQ5] MASARVAPAGFSYGRPPLSASEKPRRTVVVRAEAVNPGIRKTEDKVVDSVVITELNKPLTAYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLVKKQ >CDO97166 pep chromosome:AUK_PRJEB4211_v1:2:16979670:16993378:1 gene:GSCOC_T00014422001 transcript:CDO97166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) UniProtKB/Swiss-Prot;Acc:F8S296] MFSWNIAKSAEAMFSRWAIKRLCKFLLKKKLGKIILGDVDLKQLDVQLSAGTVKLTDLALNVDYLNHKFGAAAAVMVKEGSIGSLLLTLPWSGDGCRIEVDELELIIAPGGASVLHDGLETRNFGQNNNHPANHDSRKLENDSGSSGGVTHTSVDVHEGVKTIAKMVKWLLSSFHVKIKRLIVAFDPCIVEETNKRFSRSLVLRISEAECGTCISEDPDPHLMEEAKDNFLGLSRLTNSFEFQGAVLELLQIDDVEHQSTCACASGINFGEWFSDRCQSTAMTPIITGQNGGFSGHLKLSIPWKNGSLDICKVDVDAYIEPLELRFQPSTVAWFICLWDMFKDMGSTSGSEMLCKATDTVYDNAALNYTSSMPDVRSLNADKVLEENDNSLVNCNSLLEEECRLEALLSEFHLISDWVGRSQKDEPDFGESVYQFFECFDELRSSQSALGQSGMWNWTCSVFSAITAVSNLASGSSPIPPVQQHVETNLKVTVARISILFSFFDKKPEYSCYERENQAKAAQYVHYLDMKFLDLLLVLQVCPEEINFEATVQHIELDDHFSSENDKIDPKLQNESVTASSLTDLIQVMQDAVQDALIPFSSSGEYAGMVSRRGFDVDVQPSMVASNACSCITSCECIDMDNVVKVALFKTSGISRCQVTVSTGTSANFVLGPVSFTLSLPPCVLWANFGLVDKVSDLLKEVGACKMSHGRNNFASKTIFSEKELYSQENEEKNSHGRVSSIPSDESLRGNICLSNARIILMAGYYSFNQFLALDFSYPQKFGDKNPKASEPASGTSLSEGGLLENSKSLQLSWKDLAVYLITSDPGENGGIELSNVLKWKFSAHMIMSIANETSQLSAISMFWQDGTTGPWITRRAKLLATSGNLNNRQRFTGKDYEFASVTSAKDMEESDNRAKQEMVLSSGSFIHVLLSPVMVNLGKAHYDSLICLLHQLVNCLSCMASDTLKEESSISQTSILVDCDSVGIAVCMEEKVDTKSSTQSELPGSWHGFRLKIQKFELLSVSNIGRVRGAKFVWMSHGEGNLSGFVTGVPHEELLLISCSNSTMGRGDGEGSNVLTPRFAGSDIVHLWNPDELHSYMSIAVRCGTIVAIGGRVDWWEAISSFFSVPCREIEQTGENSLQEGGSESSAPFQTSFILNLVDIGVNYEPNMYPCASTDGLDVESSSGMVSKAADDQYIACLLAASSFTLSSNSISDSSVGVYNIRLQDLGLLLCPVSGPKTSGSNYSVEHLSRAGYVKVAHVAHVKALLKTYSKGDPRWEVESSDLRIVVGTCSDTACGLIRLGAQLQQLFAPNLEDTLVHLQTRWNDVQGTTEDAQIGTHLGGAALSDIEGQNLGANSSSCRSNLMDEICEDAFQLVGNADGQRDYDDREFNMSINDNVLGEPSELSASNGEHFAGCFPFSESNPVVGLENNGASFQHENVPEFIEEYFLSDLRPLSGVSFTSQLPNELHCKAGITGSGELPYRNNGWYGNTSLRIVENHVSEVNDQANPGQLENSESSSGCTELDDHGRIKGCILLKNMNIVWRLYAGSDWSNIQKSQEHSTSGRDATVCLEISLSRMQIQYDIFPDGGLRASQLSLAIQYIRVNDNSKNAPWKLVLGYYQSKDHPRKSSSKALKMDLESVRPDPSTPLEEYRLRVAFLPMRLHLHQSQLNFLINFFGGQRTSINSPKNGTHDMLEAGKGSHKAASLSGHTIVQEALLPFFQKFDIWPVLIRVDYVPSGVDLAALRSGKYVELVNLLTWKGVELQLKHVHSVGVYGWSSVGEMVLGEWLEDISQNQVHKLLKGLPPIRSLVAVGSGATKLVTLPVNGYRKDRRLLKGVQRGTIAFLRSISLEAIGLGVHLAAGAHDILLQAEYILTTIPPCVSCPLQSRASTSVRSNQPEDARQGIKQAYHSISDGLGKSASALVRTPLKKYQRGDGVGSALAAVVQATPVAAVAPASAAAGAMHYALLGVRNSLDPEHKKESLDKYLGSSQQREFM >CDP15502 pep chromosome:AUK_PRJEB4211_v1:2:45641040:45646760:-1 gene:GSCOC_T00015357001 transcript:CDP15502 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRRLKNVHFPARFYHHHHHPNRIFCKLPFSSLSSAQSQTTTSSDPTPKPSSLSARMSFVFEQIDAIEKERSQKDQTLQRIRAWRESKKQQQQQQPTTDLSPAEAELSEKKLELMEENEVSHKNAGGLLDGKIKEVELVHPWPEWIEFMERLVQQNYFDHKRRDEDGMMETVGFNLSEMVEEEGFDFTRDFKTVQTAVLNFGRDRFDILRSLSRQDLQILVGYGCPSVDKKVVFSAKLLRKHVHLDEGDVCSSCSLRSSCERAYLLTNKEDEARTMDAMRILLTYGFDAVNGSVANKSLMKMKTLKTVVRKLIHDVVKLSAVPIDPNLPPPVIKKPPPKVKQPPPPPKKRVGRDDIEMKKGDWLCVKCDFMNFAKNTVCLQCDAKRPKRQLLPGEWECPQCNFLNYRRNMVCFHCEHKRPPDEFMESQVQDRQHSPRRLENISGRPEISNAWNFDFDDNESDGADVAAFEYADSKKMHEDFPSDKQLHAGVARASEDGLHKGYRSARDTDPVKPGFGFNDFDDEDDDVENYELDTRNNAQKASTVDFSELDVDSYSEDEDRTGHDWTLRSKSNVQAQNKPSKSTRRGVGFSGSDPEIDFGTDDELPIHPNWKSSHVNQRSKRRGGMSFGSDDELSSGTEYTNNDFGSREMKGNKWKSSRSSRKQNSYDSDDEPFSDLESNNDGHFDKDKFGSRKASLNGQRNTSNGRRNRDMGNLRGSSRDSPKRFEDTDYGHRKYTRDGGRSQNFRSSSREGSHKQRQGRYGNYNKSGDSYLDDERHRRPRVNVR >CDP08888 pep chromosome:AUK_PRJEB4211_v1:2:52031536:52033485:1 gene:GSCOC_T00028017001 transcript:CDP08888 gene_biotype:protein_coding transcript_biotype:protein_coding MFHIEIMIISVPMAGFAFVYMEDERDADDAIRRLDRIEFGRKGRRLRVEWTKQERGIRRPGGSPKRSSSNSRPSKTLFVINFDPIHTRTKDLERHFEPYGRISNVRIRRNFAFVQFELQEDASRALEALHMSKFMDRAISVEYAIRDDDDRRNGYSPDRRGRDMSPDRRGHGRGRSPSPYRRDRGSPDYGHGSIPSSRAEPRGSPDYGRAESPVNERYHSRSPPPRERSRS >CDO97440 pep chromosome:AUK_PRJEB4211_v1:2:19060437:19061408:-1 gene:GSCOC_T00014785001 transcript:CDO97440 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMEASLISMSPLLLRNLITSLFIFADKCLAFFSQNSKVFEGLRYVILSSFLFFLRILPSFFPSLDPPEDKYKNGDRYVPATVGGGGGGGATGCTGDSGIARALSQLLSIVNDIPVSSRKYEVVRSLAERIIDENLSENDDALREVNRTVLSAAFARTLSQLEAAMLDQGRGLGSFASVRNGQAMS >CDO99848 pep chromosome:AUK_PRJEB4211_v1:2:8338153:8343453:1 gene:GSCOC_T00029544001 transcript:CDO99848 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSNDSITRRKNKKSRKKQEVKDPSKVSYRIASIIAAKKRRLTGKRRMCQGMCFSIPTAEDPFNDRYGKTDAVKNKKRPLDSRVDKTHMDKKRALSRNMAANKDHANEDVKKEKLFKLEKIENNTIASVTVFKSEGKKDVGKPGKTEVPMLQACGSKTDERGHEIFQDCPSKFLVLCLNTIQNALQHESAFSSEDRPFFVHKWGVEFWKFYSSGRDIVETIGADSDSEQIAWVVSCAADTIARKEKGGLSFSSPFLLFIVPSQEKAAKVRKICKPLKALGIHTVSLHPGASIDHQIRGLKSCEPEFLLSTPKRLLELVSLKEVDISGVSLLVIDGYGTYSDNDCIELIRQSISGCPQAVVFSECSSNWSTPILPNLLQGSVCRISSDDLECVR >CDP00140 pep chromosome:AUK_PRJEB4211_v1:2:5845543:5849206:-1 gene:GSCOC_T00029949001 transcript:CDP00140 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKDFEAKLGIRITCSQETEPLGTAGPLALARDKLADGSGEPFFVLNSDVISEYPLKEMIEFHKSHGGEASIMVTKVDEPSKYGVVVLEEATGQVERFVEKPKLFVGNKINAGIYLLNPSVLGRIKLRPTSIEKEVFPKIAAEKMLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKDASKLASGTHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEAGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >CDO97051 pep chromosome:AUK_PRJEB4211_v1:2:16156448:16159887:-1 gene:GSCOC_T00014274001 transcript:CDO97051 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAVIVMNDQGKPRLVKFYSFQAVEKQHELIRSIYGVLCSRANNVSNFIKVDSLFGPDARLVYKTFATLYFIFVFDSSENELAILDLMQVFVETLDKCFHNVCELDIIFNFNKVHSILDEIILGGQVLETNSSEVAKAAEEISKMEKHANSVTLVPSISGWSNR >CDO97299 pep chromosome:AUK_PRJEB4211_v1:2:17968609:17969873:-1 gene:GSCOC_T00014604001 transcript:CDO97299 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVSTLCIVSLLLFLTLSYAVARPVATFQDVTPMETHHGHRVNDRVEKVDKEESCEGLGEDECLMRRTLEAHLDYIYTQGQKQP >CDP09417 pep chromosome:AUK_PRJEB4211_v1:2:22683520:22685206:1 gene:GSCOC_T00028772001 transcript:CDP09417 gene_biotype:protein_coding transcript_biotype:protein_coding MAELESPRVMSKLISFLSSLLQRAAESNDLNRRLQPQKISVFHGLTRPTISIENYLDRILKYANCSPSCFIVSYIYLDRFTQRQPALPINSFNVHRLLITSVMVAAKFMDDMYYNNAYYAKVGGISTAEMNFLEVDFLFGLGFHLNVTPTTFHTYCSYLQREMLMQPPTINSAESSLCMGKSSKLHLCFNDDESSHQQQQQQQLAV >CDP17839 pep chromosome:AUK_PRJEB4211_v1:2:27646215:27649892:-1 gene:GSCOC_T00009467001 transcript:CDP17839 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVIDEFSTEACRRKLMEAQGSTSKVRKVKIPSCCTNFSVKDYKFNRKMAPKVDEITRRLESLKEQIKILHLVETVAKRPNKTRDRLPSTSLVESYVYGRENDKEELLKLLLSNESSDDQVAVIPIVGMGGVGKTTLAQMVYNDDRVNEFFDSKAWACVSDDFDIIGVTKTILKAIKAGGCDYEDLNMVQVKLSETLTRKRFLIVLDDVWTEKYEDWDILRRPFLVGSSGSKIIVTTRHHRVASVMHPNLEGIGRSIVRKCKNLPLAVKTLGGLLQDQSDILPALRLSYYHLPAHLKPCFAYCSIFPKDYEFDKYELVLLWMAEGFLEESKASQLMEDIGDNYFKELLMRSFFQQSSSTSSRFVMHDLINDLAKYVAGDFCSRLTDDLEENINCTILDKVRYLNLSGTSLKLLPESLSNLCNLQTLLLRNCWRLINLPVGIRKLINLRHLENSHTSQLHEMPSGIDQLTNLQTLSKVVVGKHGGFRLNDLGNLSLLAGSLAILELQNVTNVQEARDANLKNKRDLDKIVLAWNSEYDGSLSKVLQQDLLEALRPHTNLTSLEIEFYKGDKFSSWVGDSSFTKLVIVSLRGCTHCRCLPSLGQLPALKDLSIQSMREVKAVGTELCGKDCSWEFSFPSLESLTFDDMPEWEEWTCHLSSAGENECHFPLLQKLCISGCPELKSIPVLHLPSLCELNNTINIVQLKSLVVSECSQISSLEELAVLPMLKYLKIESCSALQSLPTLSEDTSTPIERLEIIGCPCLNLRTMLGSVYSFASLRSLDISDCDYHPDQLPTPSLECLCLYRCKNISYLPSGLGRLGSLELLSCSSPVLFPQGDFPLNLKSLRIVAGENLQLKPLSEWGLNRLTSLEMFSIDGGYPELESFSGSGDDGLALLPPTLRSVIIVGLPNLKSLSTFLRGLTALRHLYIFNCPKLGSLPKEPLRNPLQTLKIDKCPLLEKRCLMDKGDYWPMIEDIPHVIIGSDDIMY >CDP17837 pep chromosome:AUK_PRJEB4211_v1:2:27572475:27574440:-1 gene:GSCOC_T00009464001 transcript:CDP17837 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNIGNDWSSGLCDCIKDCRSCCLTCWCPCITFGRVAEIVDKGQSSCCKMGCIFCVLNLLLLNHGSLAWIISMGYRTKIRQQYGIMGGSCEDCVLHFFCGRCALCQEYRELQFQGYDVGAGWEANAAKKASGVTMAPVGEKMTR >CDP15178 pep chromosome:AUK_PRJEB4211_v1:2:49843011:49846360:-1 gene:GSCOC_T00042783001 transcript:CDP15178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable L-cysteine desulfhydrase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26600) UniProtKB/Swiss-Prot;Acc:Q3E6S9] MASDDHHIPNGSTTTPPDIQFEFAHHDPAIARINNGSFGSCPSSVISAQQDWQLKWLQQPDDFYFNTLKPSILKARLIIRDLINADHVEEISIVDNATTAAAIVLQHVTWSFLSSQFQPGDAAIILHYAYGAIKKSLQAYVARAGGQIIEVKLPFPVNSDEEIIQEFRKALELGRMNGRKIRLALIDHITSMPSVVIPVKELVKMCREEDVDQIFVDGAHAIGCVDIDVKDIGADYYTSNLHKWFFCPPAVAFLHCKKSDILNQLHHPVVSHEYGNGLAIESSWIGTRDYSAQLVVPEVMDFVNRFDGGIDGIKKRNHEKVVEMAIMLAKAWGTHLGVPPQMCSSMAMVGLPACLGIFSHSDGLKLRAYLRDCFKVEVPIYYREPEKGEHTPITGYARISHQVYNTVEDYYKFRDAVNKLFNDGVTCAFLSD >CDP06801 pep chromosome:AUK_PRJEB4211_v1:2:36588297:36592184:-1 gene:GSCOC_T00023784001 transcript:CDP06801 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDEMPRVKLGSQGLEVSRIGFGCMGLTGIYNSPVPEEDGIAILKQAYYQGVTFWDTSDVYGAEHANEYLVGKALKQLPREKVQLATKFGVFKIEPTKVTVKGTPEYVRSCCENSLKRLQVDYIDLYYIHRIDTTVPIEETMGELKKLVEEGKIKYIGLSEASPDTIRRAHAVHPITALQQEYSLWTRDIEPELLPLCRELGIGLVPYSPVGRGLFAGKAVVESLPQNSFLETHPRYTGENFEKNKTIYFRLDALAKKHGCTPAQLAIAWVLRQGEGIVPIPGTTKVKNLQENNGSVKVKLTEEDLKELSDAVPINNVAGQRTTDALFRTSFYFASTPPPPKKCRLE >CDP06849 pep chromosome:AUK_PRJEB4211_v1:2:38988650:38995230:-1 gene:GSCOC_T00023875001 transcript:CDP06849 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEKIGQMVQIDRSVATPAVMKNYYIGSVLSGGGSTPLPQASAADWVNMVNDFQKGSLSTRLGIPMIYGIDAVHGHNNVFNATIFPHNIGLVNSNFRDPELVRRIGDATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKIIREMTDIILGLQGEIPSGSRKGVPYVAGKDKVAACAKHFVGDGGTTKGINENNTLIDRHGLLSIHMPAYYDSIIKGVSTVMISYSSWNGEKMHANRDMITGFLKDKIKFKGFVISDWEGIDRITSPPHANYTYSVEASILAGVDMVMIPFNYTEFIDDLTHLVKNKFIPMDRIDDAVERILLVKFTLGLFEDPLADLSLTNEVGKQEHRNLAREAVRKSLVLLKNGKNETDPLIPLAKKASKILVAGSHADNLGYQCGGWTISWQGFSGNNYTRGTTILAAINSTVDKETELEYLENPDSQYVKSNNFDYAIVVVGEPPYTESAGDSQTLTILDPGPAVIINVCGVIKCVVIIVSGRPIVIEPYISSIDALVAAWLPGTEGQGVTDVLFGDYEFTGKLPRTWFRTIDQLPMNVGDSHYDPLFPFGFGLTTHQSIVSRSKSSGFKGHPYATLILIFVLIGLYFQGNLLT >CDO97658 pep chromosome:AUK_PRJEB4211_v1:2:20759381:20776496:-1 gene:GSCOC_T00015064001 transcript:CDO97658 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLTITGILEKMTGKDKDYRYMATSDLLNELNKEGFKLDVELEGKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKIHEQQVLDMTNKLCDKLLNLKDQHRDIASIAMKTIVAEVPSLSVAQSVLVSITPKLIQGITGTVMHISSFYRFGFTLKLNILVVYGYCSQGMSTEIKCECLDILCDVLHKYGNLMASDHEPLLAALLPQLSSNQATVRKKTVSCIASLASSLSDDLLAKATGEVVRLLKNRASKSEMARTNIQMSGALSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDISSYCDEILHLTLEFLSYDPNFTDNMEEDTDDESHEEEEDDESENEYTDDEDVSWKVRRAAAKCLAGLIVSRPELLGKLYEEACPKLIDRFKEREENVKMDVFNTFIALLRQTGNVTKGQMEFEESRQDFLITYPRWLLKQEVPKIVRSINRQLREKSVKTKVGAFSVLKELVVVLPDCLAEHIGSLIPGFEKALCDKSSTSNLKIEALTFTRLILASHAPPVFHPYIKAISAPVISAVGERYYKVTAEALRVCGELVRVVRPNIEGSGFDFKPYVHPIYNAIMARLANQDQDQEVKESAITCMGLVVSTFGDYLRGELPACLPVLVDRMGNEITRLTAVKAFAVIAASPLHLDLSCVLEHVISELTAFLRKANRALRQATLGTLNTLIVAYGDKIGSAACEVIVIELSTLISDFDLHMTALALELCCTLMSDSRSTPNVGLTVRNKVLPQALTLVKSSLLQGQALLALRNFFAALVSSANTSFDALLESLLSTAKPSPQAGGVAKQALFSIAQCVAVLCLAAGDKKCSSTVNMLTDILKDDSSTSSAKQHLALLCLGEIGRRKDLSSHVQIESIIIESFQSPFEEIKSAASYALGNIAVGNLQKYLPFILDKIDNQQKKQYLLLHSLKEVIVRQSVDRSDFQESSVEKILNLLFNHCESEEEGVRNVVAECLGKIALIEPQKLVPALKDRTTYPAAFTRATVVIGVKYAIVERPGKIDAILQSEISSFLMLIKDQDRHVRRAAVLALNTAAHNKPNLIKGLLPELLPLLYDQTIIKQELIRTVDLGPFKHTVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLEDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTVSFRPKQDAVKQEVDRNEDMVRSALRAIASLNRISGGDCSHKFKNLMNEIGKSQTLWDNYCSIRNE >CDO99675 pep chromosome:AUK_PRJEB4211_v1:2:9969877:9982911:-1 gene:GSCOC_T00029340001 transcript:CDO99675 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPVLLLIKARFNCTFLYLDNIIVSIPGSSRNRYLGIWYFQMSPQTIVWVANGNNPLNDSSGVVSIAKDGNFILQDQTERIVWSTGAENISSNGTFLQLLDSGNLVLRNDNGGNAGDYIWQSFDHFSNTWLTGMELGKDPSSGLFRRMTSWTSADDPAPGQYSCSVNPRGPPLEFVLWEEDSLQYRSGPWNGVGFSGLNFEPNNVFDLKLVVNAEETYYEYVPETKLVTTRSVLNYSGIMQRYVWNATSLKWLLVGNLPNDPCDNYGHCGANSICTISDPTICSCLTGFIPKSQQGWEIHDWTGGCVRKHPLNCSKGEGFLELKGVKVPDHWLIWINTGMSLKECQAECLKNCSCTAYANSNVSGQGSGCLIWHGDLIDVRQLVRPSAQNLYIRVAASDLGPKKRNKVILVVLTSSLSLTVMFSVCCIILLKRAHIQAILAVAMSRSENMYHILKLKSCITFHFLFGVSAHINQTLFFQGLCHVCFFGVREGGGGGGGGRERENMEDLELPTFEIMSVAKATNNFSESNKLGEGGFGPVFKGQIPTGQEIAVKRLSVDSNQGLIEFKNEVKLISKLQHRNLVKLLGCCIQGEERMLIYEYMHNKSLDYYIYDSTRRHSLTWTRLLDIIIGIARGLLYLHRDSRLRIVHRDLKASNILLDREMNPKISDFGIARTFGGDQSCERTGRVVGTYGYMSPEYVVNGLYSMKLDVFSFGVLILEIISGRKNRGFCHPDHNLNLLGHAWKLWHDGNASQLVDELMEESFPMSEVEKCIQVGLLCVQRRPEDRPTMSDVLLMLDGGSTILPQPKTPGFYMESPPNSRKRYLGIWCHGMTPQTIVWVANRNSSLNDSLGAVSIVKDGNLILRDGTGKTVWSTDIQEISSSGTVLQLLDSGNLVLRHDDKENDEGYIWQSFDNFTDTWLPGMKLGRDSRTGLNRKLTSWKSMDDPSSGQFTYGISGTGRPLEVQLWKGNSLQFRTGPFNGVGFSGVISIPPPIPAVFNPTIFVNTNEVYYEVPDSSPLLVRIVVSYSGEIYFYLWNSSSSLEWIVIYSIPIDSCDNYGYCGTNSICTINDPFRCSCLTGYVPKSPQDWGIGIRSNGCLRKQPLNCSKGEGFVEVKGVKVPDHSLDWTDSSATLKECEEECLKNCTCTAYANSNVSGRGSGCFFWYGDLIDIRRLATPSNQNIYIRVEAAELGSNERKKIILVVVPCSVVLALLVLTFWFILFKRSRRRGKFRNSRSIFISRLHVLSAGLKPQLHSEVMEDLSLPTFEMMTIAKATNNFSNSNKIGVGGFGPVYKGQLPTGQEIAVKRLSIDSNQGLAEFKNEVIVISKLQHRNLVKLLGCCIQGEERMLIYEYMPSKSLDIYIYDSTRRKLLTWTCRFDIITGIARGLIYLHRDSRLRIIHRDLKASNILLDREMNPKISDFGIARAFGGDQSPEKTTRVIGTYGYMSPEYVTQGLYSTKSDVFSFGVLVLEIVSGRKNRDFHHPEHNHNLLGHAWKLWSEGNACQLIDEVMEESFSVSEVERCIQVGLLCGQRCPEDRPTMSIALSMLNSETALLPQPKRPGFYSERTANETEDSMDKQQQQHPVTNDITITLSEGR >CDO96710 pep chromosome:AUK_PRJEB4211_v1:2:12272668:12273775:-1 gene:GSCOC_T00013815001 transcript:CDO96710 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEVPWDDAGRGGIAVVGDLKESSSPVHKKQRSDEKDELELYDEDIRQINLQADQLVYTEKDHALDSIVSILNDYYPDGCNDENDVWFKYWRQLIDSEGFDIDPIPYHDTTKLPIPYHDARNLAFVPLLELESIIKHAKLAIEHHNQKKDTDYEFAKVEKANARFWGPIGANFYITFLAKDPYAACGLTTFQALVWEGAEPDEYYVKFCRPKVVAN >CDO96874 pep chromosome:AUK_PRJEB4211_v1:2:14229536:14231406:-1 gene:GSCOC_T00014039001 transcript:CDO96874 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPCSSNVLPPFLTKTYEMVDDPLTNAIVSWSCNNRSFIVWNPPEFSRDLLPQYFKHNNFSSFIRQLNTYGFRKIDPEQWEFANDDFVRGQPHLLKNIYRRKPVHSHSGQGAVESQLSESERRRYKDDIERLKSDKEALLFELQRYKQEEQRFELQVLGTTESVQLMEQKQKNMMSFLSKILQRPILALELMPEPHIHERKRRIPGNSYLNEDISMANTQINSQILQKEQLDASSLLAFNKDLLEELDSTLSFWENILINVGQACDRLTSSPALVESTSCAGSPVVSYTEPNPKMSEIDMDCDQNTNTAVINDTANSDELVAMNPTNVPTNGPTGVNDVFWEQFLTENPGSTNSSEVKVNIMIMAGFGGT >CDP13906 pep chromosome:AUK_PRJEB4211_v1:2:4251772:4256736:-1 gene:GSCOC_T00039044001 transcript:CDP13906 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESGAFDKSLGVPRSELKVLVGEKRARPEVEERSEFEQKRVKTRDLESVFRSEERTTKDAVHLVVDNATREIDLNANFGAPNNVLADDAMAPNNEECNVSLLNSRGFGLDLNEGDIFNFTMNKEPIHPCGIYGHSKSIDDSDCGSSVGPLEEKDPMKVWKEMKQNGFLSSSHGGVPMPKPRGRKHKNDGIKRKMELAKKEQVDRFAKMAAPSGLLNELNPGIINHVRNKKQVHSIIEALLKSERNENSHSGSRQKDQTKRGTKDFSEVKDLKVINRAETKGHSLSHEDGSMNSLLERRQMSGYPASFNNSASLYSVLTGVDHESGMVDTRAMGSTSSFKHPNIENEDEILALKLSSAGAITSENNSSLSNEESANLTSVTSLSVQAASVASHWLELLHQDIKGRLAALRRSKKRVRAVIHTELPFLLSKEFLSVQENAPYNSKTADAGHSHNSAADAHRAKWNVLFDQMDRTLSEEEKQLESWLNQVTEMQLHCDTGLFKYSTAYSLQHSSTFENDCRLHKADNSERDLAVRAAAASIYSTCNFLLSMENLPCC >CDO96711 pep chromosome:AUK_PRJEB4211_v1:2:12277434:12279078:1 gene:GSCOC_T00013816001 transcript:CDO96711 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNNLGLPTQLEYHTFDLHQDFENQYFQGFDGTGLCGEDALPQIAGFVQNFCPPPAAFLGPKCALWDCPRPAQGSEWCQTSQDYCSGYHATIAPAEGYPGMAPVLRPGGIGLKDNLLFAALSAKAQGKDVGIPECEGAATTKSPWNAPELFDLVVLEGEMIREWLFFDKPRRAFESGNRKQRSLPDYTGRGWHESGKQVMNEYGGLKRSYYMDPQPMKNYEWHLYEYEINKYDVCALYRLELKLVDGKKSPKGKIATDSVTDLQKQMKKLTAEFPSDKQRAIKGGAKAASKDAAGSIYSTPNRMPPTGEGFEYSTGASYEYLVDNLNGYYHCHFTSYISMSWALSSSGLLSTL >CDO97167 pep chromosome:AUK_PRJEB4211_v1:2:16995229:16995651:-1 gene:GSCOC_T00014423001 transcript:CDO97167 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRISFSNDFADTQQPTKHDHGYREAPVSSDFEFSVSGYNMISADEVFFKGKIVPTKTTLRDELLVEDDDMDVSSPRLHKGMSRWKERLGLKRSNIHHLRRKSADRTVSDQVLERIDETTSTTLAFHENMFAANLKGEN >CDO97225 pep chromosome:AUK_PRJEB4211_v1:2:17423939:17425581:1 gene:GSCOC_T00014494001 transcript:CDO97225 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHSLPCSLVHVFLVSFPGQGHVNPLLRLGKRLAAKGLLVTLSAPELIGKEIRKANQMSDEPTPVGDGMIRFEFFDDEWVEDENKAFCIGEYTKHLEVAGRKILPGVIKKQEEQGLPVACIINNPFIPWVSDVAESLHIPSAILWVQSCACFSAYYHYCHALAPYPTDAEPEIDVQLPSMPLLKHDEIPSFLHPTTPYPFLREAILGQFTNLSKSFCILMDTFQELEHDVINYVAKLCPVRPIGPLFKNPKAPASNVSVDILKADDCIGWLDSKPPASVVYISFGSIVFLKEEQIAELAYGLLDSEVSFLWVVRPRSKDSGYQEVVLPDGFLEKAGDKGKIVRWSPQEQVLAHPSVACFLSHCGWNSTLEALASGMPVLAFPQWGDQVTDAKYLVDEFKVGIRMCRGEAENKIVAREELEKCLREATNGPKAAEMKENAMKWKKLAADAVAEGGTSDRNLQEFVDEIRRRCTVTLLENGKQQ >CDO97079 pep chromosome:AUK_PRJEB4211_v1:2:16358415:16361564:1 gene:GSCOC_T00014311001 transcript:CDO97079 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRDGPLMRSNEKGRRSRIAAAIAIGVVFGCVFALLYPHGLFVSTPLHSIASKSYLQFNLSSCESSERISMLKSEYVAAYKKNAELKKQIQELTGKLRLAEQGKDNAQKQVLVLGEQQKTGPFGTVKSSRTNPTVVPDVSANPRLAKILEKVAVNRELIVALANSNVKNMLEVWFSSIKRVGIPNYLVVALDEEIANLCRHNNVPVYERDPDNGIDLVGRTGGNHAVSGLKFQILREFLQLGYSVLLSDVDIVYLQNPFEHFHRDSDVESMTDGHDNRTAYGYDDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVSDRLSRGKAWDQAVFNEELFFPSHPGYDGLHASRRTLDFYLFMNSKVLFKKVRKDAHLSKFKPVIIHINYHPDKLSRMKAVVEYYVNGKQDALKSFPDGSDW >CDP04991 pep chromosome:AUK_PRJEB4211_v1:2:701594:706068:1 gene:GSCOC_T00019885001 transcript:CDP04991 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADAFLEILTRPTMGGMVVGVIMLLGPVWVAFLLGVMLGWAWKPRWASLGNCKFDFSAPSSPCSSLLPSNVLSFGAPPPPTFASWSPDTSVEQRQDTLPPPTDDAISSGFSQLKEEVPVAVTNEDLEHLCHLVGRRDGGPPWKHMMERSTSEMSYQAWQRDPETGPPQYCSRTVYEDATPELLRDFFWDDEFRLKWDDMLIHAETLEECPTSGTMIVHWIRKFPFFCSDREYIIGRRIWESGRSYYCVTKGVPCDSIPRRGKPRRVDLYYSSCWYIQAVESRKGNGQLDACEVLLFHHEDMGIPWEIAKFGVRQGMWGTVRKIERGFRSYQRTRASGALLSHAAFMAQINTKIDPDHLRSLESSEEDSSESEMLESTEKPRGMIIPKLLILGGAVVVACSVDHGLFTKAIIFSVAKTFANIGRRACPRT >CDP13978 pep chromosome:AUK_PRJEB4211_v1:2:4788933:4792658:1 gene:GSCOC_T00039134001 transcript:CDP13978 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTPNLECRMYEARYPEVDQAVMIQVKSMADSGAYVALLEYNNIEGMILFSELSRRRIRSISSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETMKIDLEDLYIHVGWPLYRKYGHAFEAFKLIVSDPDSVLNSLTREVKEVGPDGQEVSKVVPAISEEVKDALVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKEAMRKAEAAGNKDCPVKIKLVAPPAYVLNTQTLDKEQGIAILYKAIDACTEEIERHKGKLSVKEAPRVVSERDDKLLAEHMAKLGHENEEVSGDEDSEEEEDTGMGEIDVENSGHGITD >CDO99788 pep chromosome:AUK_PRJEB4211_v1:2:8868159:8872052:1 gene:GSCOC_T00029479001 transcript:CDO99788 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDGEQFAFKEMQEFSTADGFVDVSECLAEMIKYVANEPSVGLFYIQQHTRNAAPNLSNLKNNVTERSREMTLHAEDSEDSISIIRSMRECGCPIADEMIKDIKNSLAIMSAKHPKRGLINSSDSAFRLGRTRSWGPVAWGRKSSSPQQDGDKGASYLSNVLKSAKLKASNLKWPQVESSEESREIKDEKSISYLDPSLSDRVDRSVCDDDLISLAVKYEEFKADREAKLEEWLGEMKNYTSN >CDO99933 pep chromosome:AUK_PRJEB4211_v1:2:7647117:7649254:1 gene:GSCOC_T00029655001 transcript:CDO99933 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGKVMFVVSFVIRIIAVFNAQLHLDLGQSDFLLHTCSTCGVKYACGDEADEKLHKELHKDYPHGIKFKGWKNERVVSMPSGEAGPILVVLEGDPLAHKKMVEKVLQMMEVELGSGSLIHMLCKVYLFIVHQRIAACPVLEPISKAYRAVSKSGTSRNQENAGSENNKQDSYLLKMGGNNLQRQVVKKPPKQLSLIGLYGFYQFLTNLFFGILVIPHNLDTKAISVLCQTSYRITFIKDFLLEPSQLAFSEPTSTGSALASRYFGTPSFLPYRADI >CDP15821 pep chromosome:AUK_PRJEB4211_v1:2:44194158:44196445:-1 gene:GSCOC_T00016688001 transcript:CDP15821 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGQPISIICLSFFLILLLLHPHACTARKFRGTMAGFMNVELGRLYHLDKIYQLGDSISDTGNLVLESPHGSGFLFTRPPYGETTFGKPTGRCSNGLLMVDYFATAFGLPYLEPYKKAHANFKHGVNSAVAGATALSEEALKAKIITNPATNSSLSVQLEWMASHFNSTCHTKEGCWEMLRNALFFVGEIGGNDYNYGFVQRKTLDELTGLVPDVVQSITDAVRRVIGFGARRVIVPSNFPIGCLPIYLSSFHTNNSAAYDEKHCLKDLNNFAEIHNEVLKASINVLKKEYPYVDIVYGDYYNAYLWLLSHAKRLRFGRNSLQKACCGSGSGPYNFDPRKMCGAEGVSACPNPDKYISWDGIHSTQRAYKYIAGYLLRSILPQMRMFHL >CDP07655 pep chromosome:AUK_PRJEB4211_v1:2:24398430:24400698:1 gene:GSCOC_T00024978001 transcript:CDP07655 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSINAISPSVAYLSKKDNQPPPIETMFKLPSPLPAWPQGAGFANGTIDLGGLQVCQVSTFNKVWATHEGGPDNLGATFFEPSSIPDGFFTLGYYSQPNNSPLFGWVLAAKDASSGQGILSKPTDYTLIWSSESLNIKQDGVGYIWLPTPPDGYKAIGHVVTSSPDKPSVEKVRCVRSDYTDATDLDSWIWGSSNGINIYGSRPKVRGIQVLGVSTGTFILAQNSGAATSLACLKNLQGNFHAMPTLNQIRALFAEYSPVIYFHPDEEFFPSSVNWFFQNGALLYTRGQESNPVAITPTGSNLPQGGTNDGAYWIDLPRDNAAKDRVKQGNLQDASVYIHVKPMLGATFTDLAVWVFYPFNGAARAKVEFVTIKLGKIGEHVGDWEHVTLRISNFNGELKSVYFSEHSGGTWVSASGLEFQNGNKPVVYSSLHGHAAYPKTGDFLQGSNSNVGIRNDTGKGQIFMDAGANFSVVSADYLGSTIVEPPWLNYTREWGPKISYNINDEIKKVEKFLPGKLKRALEKAVSELPSEALGEEGPTGPISKDNWSGDERT >CDP05311 pep chromosome:AUK_PRJEB4211_v1:2:3217275:3222248:1 gene:GSCOC_T00020308001 transcript:CDP05311 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVRANLGPELGAADTSSKGAAIIWYKHDLRIDDHPALVAASHHHPMLLPLYIFDHRILSRFSDEMLQLLLFALEDLRKSLKGQGSNLMIRFGNAETVIQHLAREVKATSIYAEEEVEYELRRMLDAVKEALALATVSSAEAYPRMTMWRTPFYGMKNLEDMPESYDGFKQLKLPVVSPVLPTKLSSLAMDLTWGDLPTLDELKKFVIKVGTSRNKLTSIMSTSELEIDQVVTQGKIGGVNGAALMESNRDMGSSNLTERKRSERSAFVTQQKNSVAGGTGNVLNALSAYLRYLEGTARGEWQEVHERLRQAESREGASFGALFGSALLLGIISRRRVYHEAIKYEKERNGGFLSPFGYSAITVAAAVDTVCSMEWYWLLALRSQIIERGTYSVRIWRWNGYLIHYTVSGNEGPAVLLVHGFGAFFEHFRYNISHIADSGNRVWAVTLLGFGKSEKPNIVYTEVMWAKLVRDFIIQVVGEPVHLVGNSIGGYFVAIVAGLWPALAKSVILINSAGNVVTEYSAIHLSEDRQTPGAAWLGARLLLLYLRFNIRNIVKSFYPSKMDQADDWLIDEMLRTSHDPGVLVVLECIFSFDLSVPLNYLLERFERKVLAIQGMRDPLSDSRAKLACLREHCKGIVTKELDGGHCPHDELPAVVNPVIQEWVMTVEREYTPVGLTR >CDP08679 pep chromosome:AUK_PRJEB4211_v1:2:53900600:53903547:-1 gene:GSCOC_T00027728001 transcript:CDP08679 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSSFRISPTQLFIFFLVLFYSEKSNVAADGSPGIDGEALCSGDMSSFLPLPYGKLPNMVCKRLWNSFVLRYSQTEGNVVTIVLSTVYTTGWAGIGFSKDGMMLNSSCMAGWVNVEGHARIKQYYVEGFTPSAVKPDKGELPLTNVPPYVALNGARIYLAFQLKYPVPLKRQPILLAYASAYPQHHHLSLHDDKTTITFDFSSGNSDSAPSPSGTFYRVDDMRKTHGALSVLGWGLFLPYGAIVARYLRHRDPLWYYIHLVIEFLGFILGVAAAVVGLSLSHMLQVDIVGHKTIGIFVLVLSILQVLAFFARPSRDSKNRKYWNWYHSWTGRTAIFLAAVNIILGIHIADAGPGWKISYGFLLGLTLIACIFLETMSRLRGSDDSKFPPSFQMNGS >CDO97614 pep chromosome:AUK_PRJEB4211_v1:2:20385946:20387070:1 gene:GSCOC_T00015001001 transcript:CDO97614 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKLNMELIGKEKSRHITFKKRKEGLMRKIHEFATLCDVDACMIIYGPKQESASMESGIWPENSDEISRMIDTYQGKSKDSATRVFGLQDFFQDRNKRVEDELDKVRKKAAEIQYPTWIDPMSHLSEMELRKFAAAVSDKIEVVDSRMEAICRGYDDDDDDHLHHHLLLHNHHHNHYSQIQNRYLMTEGSQQQPTIDFTAAATSYNNNDNARLSGLIQRGVELELMNHQQVSIGPAPVNPVEMHVPMAHYPAAATIHHQMNENEHHHHHHQVQLGGSASSIQCASFKYYGSSSGGGGGMVAGRGGGVLDNMVYNHPRPLARYYGPSVQPVVAPYVQYPHLMPNVSPQMQSLRENDYNDMIHYRVMDQKPPRH >CDP15845 pep chromosome:AUK_PRJEB4211_v1:2:44633102:44633487:1 gene:GSCOC_T00016727001 transcript:CDP15845 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRNTKRVCFKLEQSNVGLESYLDIITKKTEQFQKCIADEDGVLNAIYRERERERDACTCGGGCGEATS >CDO99777 pep chromosome:AUK_PRJEB4211_v1:2:8958049:8958638:-1 gene:GSCOC_T00029467001 transcript:CDO99777 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNTCSSFILLFCALLLFSPNYVGLSASRALAEEVMRPMGSKSENYIAFETKKNHEDEGGSRVGQGVEACLPKGFRRTSAPSRYTNYQTLDSSLCSTKGSKNKP >CDP17962 pep chromosome:AUK_PRJEB4211_v1:2:43970082:43975525:-1 gene:GSCOC_T00001207001 transcript:CDP17962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-1-like protein, Male meiosis and anther dehiscenc [Source: Projected from Oryza sativa (Os08g0117000)] MSKIKVCALFRPLNQKERADHGDSICIHGVDSESFIFKDEKEDFNFSFDRVFYPGSPQSDVYDFIALPLVQAAVDGINGAIITYGQTGAGKTYTMEGPSIMDGDEKNKGLLPKVVNGLFEAIALSDETASSMIKLSMVEIYMEKVRDLFELVNDNIQIKENRQQGIFLYGATEECMFSLLQINVTNCTEALQNLCNGIANRAVGETQMNMASSRSHCIYTFTVQQEITKTRRIKSGKLILVDLAGSEKVEKTGAEGRVLEEAKSINKSLSALGNVINALTGGSPGKANHVPFRDSKLTRILQDVFGGKSQTALLCCCSPSPSNAPESLSTLRFGARHHIKASIRVNSPEDIIAKKHEAMSLNKDELRERILNKLKENFKAEDVDLLEQLFILDDFFFDPGSVEEVEAAYEDITVRTISLLQKAVEDLNSKVEELTEQNAILKAKLRATEMSYPLHKEAKGSASFLSGTFGINLWVPSFFHGTN >CDP09327 pep chromosome:AUK_PRJEB4211_v1:2:23640855:23641733:-1 gene:GSCOC_T00028647001 transcript:CDP09327 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGSASRFVTQLHRPDLQLQRPVANSEDDSNRNQFSGENDDESHQAGLELVTSNTSSGDVVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVSSGCDVFESVATYARKRQRGICILSGSGTVNNVSLRQPAAAGSVVTLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGNVVGALIASGPVIVIAASFTNVAYERLPLDEDDHSLQMQPPAASQTSGGGGGSGGSGAGAGGSNNQFSDPSLGLPFFNLPINMPNSQLPMEGGGWTGNSTGRPQY >CDO99584 pep chromosome:AUK_PRJEB4211_v1:2:11194150:11196749:-1 gene:GSCOC_T00029220001 transcript:CDO99584 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIP5 [Source:Projected from Arabidopsis thaliana (AT1G61040) UniProtKB/TrEMBL;Acc:A0A178WDP6] MAEEEELDDLLLEAAGRTGESERRRRKVPYSDDESDSKDDDYDDDHAYSNRKPSGSQVPLKKRLDPLERDEDDSNHEEDGYHEGDSDDDSVGSDLYKDEDDRKKLAQMSELQREMILADRASTRSDREMHEKFAKKRKGSPTQTHSRAVRSSTRFADRTAAKDDALNEIRAKRMRQQDPEAHRKLRDATRDGSGSRGYSPVKRRPFTAATIGSPTRSGSASHSDEEESTGDGGMADSDEDKTSAESKWPTYEDIKEITIRRSKLAKWFMEPFFEDLIVGCFVRVGIGKNRYGPIYRLCVVRNVDGSDPDRLYKLDNKTTYKYLNVVWGNENSAARWQMAMISDSPPAKEEYDQWVREVERSGGRMPSKLEVLEKKEAIQKTNSFVYSAETVKQMLQEKKSATWRPLNVAAEKDRLRTEMEVAKINNDELEVERIKARLQELEASRQAHEMDKKAIRLAEMNRKNRVENFKNASGLKPVNASLKAGEAGYDPFSRRWTRSRNYYVKNPIDGEATAADGEATAASAVNNRNGTAVVSTEMGKAATATADDGKLVDTSAPVDQGRESNMLHGFKLEINLDPLENFGGAKGAQAGFMARKQRIEATVGCRVPENDGRRHALTLTVSDYKRRMGLL >CDP00003 pep chromosome:AUK_PRJEB4211_v1:2:7082138:7088189:1 gene:GSCOC_T00029755001 transcript:CDP00003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFPCKICVVGFLCGVCLTSLFLAALTSFGAFELARTPSLSFSGGILKTDSTSGYAIIGSDCNSKQKEKERITFSQKSAIIEIDERVQRLYSVWSNSLSKSASEKGQSGISRLDGQNFPDAPHLEDCRLRVEINRRLDSRAENESSPPWTIWKGMLDNFPLTTDEQQRYLGHQLTSESAYLPWITGSDEENYPLTRKVQHDIWFHQHPSNCRDPSIRFLVADWERLPGFGIGAQIAGMCGLLAIAIKEKRVLVTNYYNRADHDGCKGTSRSSWSCYFFPETSQECRERAFELMQSKEGWEKGIIRAKENYTSKEIWAGRIPRQSAINLLLPYVDPSENILMIWGSPWSYMQPTTEINGTLIAYHHKMDRRWWRAQAVRYLMRFQSEYTCNLMNTARHEAFGWEAAKMVLSNMNSDVEEDAVEKNRYDIEKFVWSNHKPWIPKPLLSMHVRMGDKACEMKVVGFQEYMHLAERIKRRFPHLNSIWLSTEMQEVIDKSKSYPHWRFYYTNVTRQEGNTLMATYEASLGRETSTNYPLVNFLMASEADFFIGALGSTWCFLIDGMRNTGGKVMSGYLSVNKDRYW >CDP07626 pep chromosome:AUK_PRJEB4211_v1:2:24050146:24054077:-1 gene:GSCOC_T00024942001 transcript:CDP07626 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLNFELSKKTHIFHLKVWVLIAIFVGLFLVFIVLVLPFCVSRKRSKRGSATLQISQIPKVSKEIKEIKVDQYSAKNYAAHDIDILTLQDKFSDKDSDKLLSCEKAMTADNSSQYDSFTNLEKEGVACKSGEKGGVGAIYNSHPVAVSSLLSGLPKFAHLGRGHWFTLRDLEAANNRFSKENVIGEGGYGVVYRGRLINGFLEFRVEVEAIGHVHQKNLVRLLGYCIEGTHRLLVYEYVNNGNLEQWLHGALSHHGYLTWEAIQTRYASCTLGYLHEAIEPKMVHRDRKSSNILVDDDFNAKLSNFGLAKLLGSGKSHITTKVMGTFGWVFISGIRSRLNEQNSFSHIYVTPEYANNGLLNEKCDVYSFGVVLLVAITGRDPVDYVTPMIFFLFRLLLFHVNLVDWLKVMVGSRCFEEVVDLTIETKPPTTALKRALLAALICVDPDAEKIPIMSQVARILESEEYPVSRKGLV >CDP15850 pep chromosome:AUK_PRJEB4211_v1:2:44967349:44970994:1 gene:GSCOC_T00016739001 transcript:CDP15850 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRVDSVLHNLELLQNNLEKRDSFETGFSNLREGFEALKLNLLFLKPVLLCARNWSNDQLKVRLRAFLSKIEAPVNRSGMDIKSLNLRSKSSFNLKSVVTALKPVVSNLLRNIESFKQDIIDIYDTLSSCSSSESGSCLRDYELVDFIDSVLQNLIDLLSRRHFESMEDYNSALHAHIEALEDKLTFLKNFIGFAKFLGVEERELGDLLAHVQVVALNAARLSYKCLFYKEDEEMHDPRMCSIISELLEKINPVDLQVYETYAKVLKAPKSPESLLTTQTDMQILKDFNDSLISSLWELLWCRTSFAVSVKDQMKRLYEGLRFLRSILNEAQENMNELNDKIVAVISEAGIVIFSLFLNGVKEVEVDSLVVGESADCCAMLVTTNNSVKLIVDVSGSRISGSLPHYHSFRGQEVRKTTRFKPSRGRAPITREIVVGLEDEAQKVINRLNRGSTKLQIVPIVGMPGLGKTTLAKKVYNDPRVQGHFRIILWCTVSQEYNLKNLLVQILSSVGIQGRVNEEHKVLDEIDLMLYLKRLLLRNRYLVVLDDVWDIGVWHGLRHSFPDDSTGSRILITTRESSVASEVRIGVDSVEHHNLRELTKEESWELLQKKVFGEADCPQPLRMLEAHCPPLRMLGEKIARNCKGLPLTIVIIAGILSTIEDEAWSEVDSLTSAIAYDTDRCKYTLELSYMNLPLHLIPCLLYFGAFREDQEIETEKLTRLWIAEGFVSAEEPVQDTEPKRLEDLAEEYMMDLIGRNLIMVAKQGHTGGVKTCRIHDLLHEFCKDRAKQENFLQVLRGYGELSTFNERPYFERLSIWSKVEQFKKSRLFCPQLCSLLLFSQIEETDSFMADMSFVFGIYKKLRVLDLEQIFLRHKVFPREVEALVELRYLGVQGAMSSIPSTIDKLSNLETFVMIAESGTVSLPDTIWNMTKLRHLHVVGWNVTCSLPSENLENTSGLSNLDTLSTLIVTLDDRAENIMRKIPNVRQLKIQLSAAECSVGCCNLSHLSSLEALEVLAESLPSNPVEFSFPLQLKELVLGGLYLPWSDRYLSFFLAPLTEILCTSNFQMWLCRK >CDP08654 pep chromosome:AUK_PRJEB4211_v1:2:54099685:54100481:-1 gene:GSCOC_T00027693001 transcript:CDP08654 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRMRGRSLASSKSSSSPSSWEVLRLMQEQRSSDAKNAEATLFPTQFAILSSFSQFINPQLLCTVGFADILLL >CDP05257 pep chromosome:AUK_PRJEB4211_v1:2:2784215:2785742:1 gene:GSCOC_T00020236001 transcript:CDP05257 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSCNTKSRLRSSMFMASRVSAIAMLVILLSGIMSLATKASPMMINRPCDEIYVVGEGETLHTISVKCGDAFILERNPHIYDPDDVFPGLVLNILP >CDP08775 pep chromosome:AUK_PRJEB4211_v1:2:53082950:53088156:-1 gene:GSCOC_T00027870001 transcript:CDP08775 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVATRSPQAGGNSVVQGMIMALLVVVSAGYIFIWIMVPTNTFKQHWLLKIRAESNTTYFGSQGANFLVFTFPVLFVAALGCVFLHLGKKRSNEHDSKRRDGKNRTVAWKRPVIIKGLGIVSRIELAFLVMFIALLVWFYTVYVRNGFDSITPKSAAKSGMKVWQAKLGSASLRLGLLGNLCLTLLFFPVTRGSSVLQLFGLTSEASIKYHIWLGHTVMTLFTAHGVCYIIFWAATHQISEMTKWAKADISNVAGEIALVAGLAMWATTFPRIRRKMFELFFYTHHLYIIFVLFFVLHVGIAFACLMLPGFYLFMIDRYLRFLQSRQNVRSVSARILPCETVELSFSKTKGLSYTPTSILFLNVPSISKLQWHPFTITSSSNLEPEKLSVIIKGDGSWTKKLHEMLSSPSSVDHLDVSIEGPYGPTSTDFLRHELLVMVSGGSGITPFISIIRELMYASEILKCKTPSILLIPSFKNSSDLTMLDLLLPIVGSPAEFSNFALQIEAYVTREKQPAPEGKKSLRTVWFKPKPSDSPVAPILGKNSWLWLGAIISASFIIYLIFIGILTRYYIYPIDHNTNGVYSWSSRAVLNILFMCISIIIAATAAFLWNKKQNAMENKQIQNLEGATPVASPNSWFYNADRELESLPQQSLVLSTNLHYGERPDLKKILFERKESSVGVLVCGPKKMRHEAANICSSGLGANLHFESISFSW >CDP05083 pep chromosome:AUK_PRJEB4211_v1:2:1419756:1426167:1 gene:GSCOC_T00020012001 transcript:CDP05083 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDTGATAKGGPVGDVFPEKDDDGGYASGGWKSEDGRLSCGYSSFRGKRASMEDFYDIKTSKIDGQTVCLFGIFDGHGGSRAAEFLREHLFENLMKHPEFIANTKLAISETYQRTDVDFLESEKDTFRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGRAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDLEVDEELELLVLASDGIWDVVPNEDAVSLARAEEEPEAAARKLTETAFTRGSADNITCIVVKFHHKKAESEESQRD >CDO97491 pep chromosome:AUK_PRJEB4211_v1:2:19440054:19441903:-1 gene:GSCOC_T00014845001 transcript:CDO97491 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRKAIGAVKDQTSISLAKVGSSASLSDLDVAIVKATRHDEYPADERHIREIMSLTCYSRAYVGACVSTISRRLSKTKNWVVALKTLMLVQRLLADGDPAYEQEIFFATRRGTRLLNMSDFRDASKSNSWDYSAFVRTYALYLDEQLEFRMQNRRGKRGAFSYDPEDDEQVGPNAIVVRSTPVREMKNEQVFSRIHHLMQLLERFLACRPTGLAKNNKIVVVALYPIVKESFQLYYDITEILGILVDRFMELNVPDSVKVYEIFCRVSKQYDELDMFYGWCKSVGIVRASEYPDVEKIPQKKLDVMDDFIREKSVMEQNRKVTMIEPKPEAQPAEENEEPEPEQDINAMKALPPPEGFQEENEEKEQEEVKEEEKGKPKTQEVGDLLNLGEDAPTTEEHGDKLALALFDGNLSTNAPATRDWETALVQSASHLSNQQVSLSGGLDTMVLDGMYQQGAMQQAIAASGVTATGSASSVALGSAGRPAMLALPAPPSADGAHPPGADPFAASISIAPPAYVQMSEMEKKQRLLVEEQVMWQQYARDGMQGHVGLAKVQSNQYHMSGYGGTH >CDO96778 pep chromosome:AUK_PRJEB4211_v1:2:12984664:12991095:-1 gene:GSCOC_T00013904001 transcript:CDO96778 gene_biotype:protein_coding transcript_biotype:protein_coding MFEHVWSCFCCVIVIRSKFFSGFRSFCLFSNLCSSKVRHQYLIQNKVDKPHISFTQSHCDSFLLIYHTSPSQKPNMPDQSSTTSWFFTYTKIRFFTKIRRFLLLRTAKKQYKAPDHSEKLRDLSSASKKEGKIEKEGMEKENDQDGWVVLQRSVKGLHFGRWEEKELAAKEIKRIAKEDLKTRKSMGELGIIQPLVAMIESEVLERQRLAVQALIELANGSFTNKLLMVESGILSKLPKKIDLLDESAFQDFAHLLLSLSSLSSIQFPVSSARIIPLVVSILDSCSSIETKISCLGTLYNLSSVLDNAGNLVASGVVNTLLRLSSVGEASEKSLATLGNLVVNSTGRKALESSPMVPENLIEILAWEEKPKCQELSAYVLMILAHQSSVQRQKMAKAGIVSVLLEVALLGSPLAQKRALKLLQWFKDERQKRMGPHSGPQVGRLSIGSPVNKRGVDEGKKFMKNIVKESLYKNMETITRRANGAGNSSGLKALVLSSSSKSLPY >CDO99757 pep chromosome:AUK_PRJEB4211_v1:2:9126524:9128374:-1 gene:GSCOC_T00029442001 transcript:CDO99757 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPQPPFLATIPENTVPETAPFRHPLYARIRLATILDVPHIHKLIHQLASFERLAHQCTATEASLAATLFPSPNPPPPFTSFNVFILEVSPDHFPPPAPQEDTTNSSPLLKTLHLDLPIEDSEKEIFRSEIGGDVVVAGFVLFFPKYSTYLAKPGFHIEDLFVREPYRKHGFGKMLITAVAGQAVKMGYGMVEWVVLDWNANAIQFYERIGTKVYQDKRICRLTGEDLDVEGSAK >CDO97062 pep chromosome:AUK_PRJEB4211_v1:2:16227109:16230352:-1 gene:GSCOC_T00014286001 transcript:CDO97062 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFLVSCGRKKKRKPHDQMQYYRDNSRGAGSDYYSGQHGNWKNHPHSQDHVLKMPPSTNVSSEHGWPIAPPPPPPPMVSSDMSSAAYSGPHNPPVPPPHPAIALGFAQSSFTYGDLAAATGGFSNANLLGQGGFGFVHKGVLPNGKEIAVKSLKANSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGSQRMLVYEFVPNNTLEYHLHGPGRPVMDWPSRIKIALGSAKGFAYLHEDCHPRIIHRDIKASNILLDNNFEAKVADFGLAKLSSDNYTHVSTRIMGTFGYLAPEYAASGKLTEKSDVYSYGVVLLELITGRRPVDVSSDDDSLVDWARPILGQVIDGGSFEELVDPNLGDKYDPSEMMRMVACAAACIRHSARRRPKMSQIVRALEGDVSLEDLNEGVKPGHSALFGSSSSSEYDSSYHTDLKKFMKKTGLSSQEFTSSEHGEYAHSSGESQEIHPRTRSRGHTP >CDO97714 pep chromosome:AUK_PRJEB4211_v1:2:21233432:21240105:-1 gene:GSCOC_T00015132001 transcript:CDO97714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1A [Source:Projected from Arabidopsis thaliana (AT2G45240) UniProtKB/Swiss-Prot;Acc:Q9SLN5] MAGGGADAVETAALCCAKCGKPAHLQCPKCVELKLPREGAAFCTQDCFKASWGSHKSIHLKAKLLELSPGNEQDATSPASSWLYCLKKGQARTLKMPNFDWTGTLRPYPISKKREVPAHVEQPDWASDGIPKVEPNSDLQHTVEIKTPDQIERMRETCRIAREVLDAAARVIRPGITTDEIDAVVHEATVSAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETFFVGNVDEASQRLVQCTYECLEKAISIVKPGVRFREVGEVINRHALMSGFSVVKSYCGHGIGELFHCAPNIPHYARNKAVGIMKAGQTFTIEPMINAGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVRSVTETGVEVLTARLPTSPNVFPWIHS >CDP08798 pep chromosome:AUK_PRJEB4211_v1:2:52923628:52926225:-1 gene:GSCOC_T00027899001 transcript:CDP08798 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MSSEESPQITRRTTRLSAANSENAVDSSKSCALKPLSVNDLLYGNSPISLEELISSFPGRRGQIIELMSLLGPVNSPMFPTFVYGGSSTGKTSVVLNIFRHLRRPFVYASCITCYSPRILFESILNQLMLHRKSDSNGYSSIKRCDKPSDFVNLLREALSGVIGTLQGNAEKAGSKKAARWPNGKMVYLIFDSLNLVKEWEKSSTILPFLFNLYDILRMPEVGLIFISNNSLDTYYADTGFVEPFPFHFPDYSEDDLRQILLKHQVNKNLYSSFLDVVLRPFCRITRRVNELSTALLPLFKKYCEPLGDLGAVPNEDMKRKLYGHLQRHIAPSLNETFRVSAGLTSGASRSKDNKRKGIVKKLEACTSSNELDFHMSTSAKFLLISAFLASRNPATLDASFFDSTGGSDNRKRKRKSSAKSLEQKETAEQELLMKGPGTFPLERLLAIFQCLTSVAEYSPDVEGQGNRATMGDSGYIGSHVLLQLSSLCNANFITKGGSCPLEGSTRYRSNVSEDMVLKVARSVKFPLSKYLYRR >CDP05297 pep chromosome:AUK_PRJEB4211_v1:2:3104639:3110518:1 gene:GSCOC_T00020293001 transcript:CDP05297 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGIELKPGKPYVHHFGDDRGRLHLSQATLGSGKSSKKTIVQCNVGDKKPIYLCSLLPEKLENCALNLEFEEDEEVTFSVIGPHSIHLSGFFFGETEDDGDLDHYEGHVLETESETDDPLDYDSEDEDEDDFIDDDDDLEMFPSSPIRNSGVKIEEIVDDKKPTKEVAIKEVAISKQTKKKGNHEGESDKKENSGKELVVRGTSVTPILESEDEDGFPISSPGKRKKDDLITRVTANETQDDNAGEEAIQKKGKDDFAPNRSLKRKTDVVGQDDEHSREIGESRDNLAQVDNAAPGIDAKQKKKNKKKKIANKEVIAHETNGNNDISKEENSGDMVQKRVADMGNVLEPNTEREKEKKNKKKKKKKKQETAVSSDPGQTMSDKIGSKMEVEEKAENKSLQVRSFPNGLVIEELAMGKPDGKKATPGRKVSVLYIGKLKKNGKIFDSNIGRAPFKFRLGIGQVIKGWDIGVNGMRTGDKRRLTIPPAMGYGAQGAGAAIPPNSWLVFDVELVDVN >CDP05382 pep chromosome:AUK_PRJEB4211_v1:2:3772034:3772542:-1 gene:GSCOC_T00020398001 transcript:CDP05382 gene_biotype:protein_coding transcript_biotype:protein_coding MIWWGYLVSLLVNFMVRLRSVANEEECAGAVVRGGGEDISSPGKTEWASEELLEFVVHMKNGDKSVLSQFDVQALLFEYIRQLLCIRKWYAWLFLLSDYQTILV >CDP15169 pep chromosome:AUK_PRJEB4211_v1:2:49971298:49973155:-1 gene:GSCOC_T00042771001 transcript:CDP15169 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVVRQREAEGEQKEIYLVDTCESTEETATPQSNRCRISVNSVRPVSKSDRQEFSYSSNGLVIFREEFRRSTAGLEHYVLLNPLTRQKVRLITPKDSNVKTGACRSE >CDO99656 pep chromosome:AUK_PRJEB4211_v1:2:10172910:10174275:1 gene:GSCOC_T00029313001 transcript:CDO99656 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGKQEKLAVKKGPWSSDEDRKLIAYIKKYGIWNWNQMPEFAGLSRSGKSCRLRWVNYLRPDVKLGNFTEEEDQTIINMHANLGSSFDLVVWSAIAKQLPGRTDNQIKNHWHTRLKKRLLNSPGGNAKELATDTSEVSELEGLLHVENSINIPPILSPSCGHWDFGGSTQENTSSTEIIEDSDQIFWTQPFLMGNLSMESQPSAYIHSQFDPLIWAQEPPSPSSSFLDSGYCDSILFNYSEKEDVSCLERPEFQF >CDP13873 pep chromosome:AUK_PRJEB4211_v1:2:4005832:4009671:-1 gene:GSCOC_T00039002001 transcript:CDP13873 gene_biotype:protein_coding transcript_biotype:protein_coding MSICGVYRQQLVLFGSCCCPPQQLPKLLPNRKTPHGLPLQTCGKSQLALIHDASSKFVPRATASAASSSSSPTEYSEQLLGGVKPKPKKQRIAGIDQDDLQDPKLLADPESCFCEFKGVQIHYKIFDAESLAPNLSEEAATTQVSDTTERAGFPMILLHGFGASVFSWNRVMKPLAQVTGSKVLAFDRPAFGLTSWVDPTNHSPAGSADARPLNPYSTMFSVMASLYFIDFLASEKAILVGHSAGSLVAVDTYFEAPERVAAMVLVAPAILAPLLKQDFSKDNQRGKNNKKQEEHSNSDGHWNPILRIFSMLSKLTKHIAQAIMNMLKGMGDMINYVYKKALSALLRSAIGVMLVRMIIDKFGIAVFRNSWYDSNQVTDYVLQGYTKPLRVKGWDKALVEYTVAMLSDSSSQSKPQLSKRLGEISCPVLIVTGDSDRLVPPWNSKRLSQVIPGSHLEVIKNCGHLPHEEKTEEFVSIVEKFLQRTFGASQEQRLQVVT >CDO96737 pep chromosome:AUK_PRJEB4211_v1:2:12524393:12526110:-1 gene:GSCOC_T00013849001 transcript:CDO96737 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPDLCFASSNEDDDENNKNEDKGYQYSHFYNQHFHQPDKSVGKSSIDIHQEKWPDLISSGQTLDPNHQHIKSAKFKGRMQDVSNQGSTRLKPKRAKGNSIEIPGGRIFRSTGRKDRHSKVGTARGPRDRRVRLSPNTAIEFYDVQDRLGYDRPSKAIDWLMKEAKAAIDALEHDSSYATTTNLDGCSILMQPRNPLPEKGLDVQEESRINCSESACRIDGQEYMNNDDTSINNFSFFSMENGVIPTTSSLGFYSYPHGGEINSETARCQRILAWNSNSSNAKGSQETLVNSELPLHFPSPFDQNQLLFHREPLQSSFSPIRGPTLDSQFPNLCFSSARFSSDGGLSELSAAARIPGPEKQKPVSSKPSSPTNLLHYQD >CDP08523 pep chromosome:AUK_PRJEB4211_v1:2:41887938:41890739:1 gene:GSCOC_T00027462001 transcript:CDP08523 gene_biotype:protein_coding transcript_biotype:protein_coding MPANLESLIKSINQSDSDKITCIIADKTMGLALEVAKKMRIKAVAFWPASALQLTQIFSIPKLIDDGIISSTEKENKLFIVITLQTLCCAVLKKQMIKLAPTTPDMKPEHLLWTCFSDPTLQNFAFDTLLKSIRTAKLADWLFCNTSCELEPAAFTLFPQLLRVGPLLASNRLGKSVGYFWPEDSDCWHGLISSLRNLSFISCASSACSLRKCGCTFIFLSVDHIVSCCPCPVYNSLELHWPVHLFLIPHHSCVDIPVYPLYQASRFKFSPFCWMYQLLPNSAYPFTTSQRLYF >CDP05034 pep chromosome:AUK_PRJEB4211_v1:2:1020595:1024699:1 gene:GSCOC_T00019944001 transcript:CDP05034 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWQQFIQSVFFGVIFSFLLAKLFAVIFAFREENLRVVRGDPTEESQSKPSAAAIEREISESDSRVFEEKKPLIADTEKDIAAAVAKESGSVITPGGDDTYTSGSDSDSDWEGVESTELDEAFSAATAFVAATAADRSSQKVSNDVQLQLYGLYKIATEGPCSTPQPSPLKMTARAKWQAWQKLGAMPPEEAMQKYIEIVTELYPSWITNTATKKQGKESSGASSAGSRGPMGPVFSTFTYEDEPGNDLKLDAIHAFAREGDAGNLLQCIENGFPVNAKDSEGRAPLHWAVDRGHLNVTELLLSKGADINAKDNDGQTALHYAAVCERATLAEFLVKHKADIEIKDNDGNSPADLCELNWPWMQQSASVTD >CDO97582 pep chromosome:AUK_PRJEB4211_v1:2:20139513:20144931:1 gene:GSCOC_T00014964001 transcript:CDO97582 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDRTGQSELIECDKSVIIKRVSIPARDLRILGPVFSHSSSILAREKAMVVNLEFIRAIVTAEEVLLVDPLRQDVLPFVDQLRQQLPHKSAFKNDGTGQIVAQDDEMLFPTSEQWLPAPETVEGMQAELPFEFQVLEIALEVVCTFLDSSVAELERDAYPVLDELAMNVSTKNLERVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMAQLYLTRKWIQNQQSEALLGAMVSNNNVPAATLLQRLNSTTSGSLTINHSNDDDVEDLEMLLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFAVAVETLIAGVFGMNIPCTLYQTNGVFWPLVGGCTAGCMLLFLLILGYARWKKLLGS >CDP07660 pep chromosome:AUK_PRJEB4211_v1:2:24433988:24434498:1 gene:GSCOC_T00024984001 transcript:CDP07660 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSGLLSSLGYNTVCAISSRSQSILLDKEMNPKLSYFGMARISGGDETEANTRRVVGTYIRLNINALPLTTTSSKSDVFSFGVLVTYDLLSSLGCDTVRAISFVVLLPEWSEVAR >CDP14017 pep chromosome:AUK_PRJEB4211_v1:2:5133562:5139295:1 gene:GSCOC_T00039182001 transcript:CDP14017 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAQSADVYAPKTIQVWRALLNWLAFFFQIFVQIIRGTPSLTQVLSYVGLRHSSLLSSSSPQFKPLPVVELQEPEHPPPPLSSAVQITSGATSDGGLLDKLTVVLDLDETLVCAYETSSLPSMIRSQATEAGLNWFELECVSSDKEIEGKPKINYVTVFERPGLHEFLKQLSEFANLVLFTAGLEGYARPLVDRIDAENRFSLRLYRPSTISTEYREHVKDLSCVSKDLCRMVIVDNNPFSFLLQPLNGIPCIPFSAGQPQDEQLLEVILPLLKHLSQQKDVRPVLYERFHMPEWFQRHGIPASWWANYEGIVKN >CDO97485 pep chromosome:AUK_PRJEB4211_v1:2:19408979:19410424:1 gene:GSCOC_T00014839001 transcript:CDO97485 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 104 [Source:Projected from Arabidopsis thaliana (AT5G64530) UniProtKB/Swiss-Prot;Acc:Q8GWK6] MGDNNAKLPPGFRFYPTDEELVAHFLHRKAALLPCHPDVIPDLDLYPYDPWDLDGKAMAEGNKWYFYSRRTQSRITGNGYWKGMGVDEPILSSTSGQKLGMKRCYVFYVGEPSEGVKTNWIMQEYRLSAENGSSGSSRSSKKRHSKIDYSKWVVCRVYERNCDNDDDDGTELSCLDEVFLSLDDLDEISLPN >CDP05391 pep chromosome:AUK_PRJEB4211_v1:2:3844966:3849083:1 gene:GSCOC_T00020414001 transcript:CDP05391 gene_biotype:protein_coding transcript_biotype:protein_coding MALKHDASSKFVPRAAASSSSSPTEYSEQLLGGVTPQQKKQRITGIDQDELQDPKLLADPESCFCKFKGAQIHYKIYDAESLAPNLSKEVTTPQDSDTTKSLGFPMILLHGFMASTFSWHRVMKPLARVTGSKVLAFDRPAFGLTSWVDPANHSPTGSRDARPLNPYSIMFSALASRYFIDVLAAEKVILVGHSAGSLVAVDTYFEAPERVAAMVLVAPPILAPLLKQDFSKDNRRGKNNKKQEEHSNSDSHRNPILRILSMLSKLTKHIAQAIMNILKRMGDMINYVYKKALSALLRSAIGVMLVRMIVARFGKALFRHAWYDSNQLTDHVLQGYTKSQKVKGWDKALAEFTAAMLADSSSQSKPQLSKRLSDISCPVLIVTGDSDRLAPPWNSRRLSQFIPGSRLEVIKNCGHLPPEETAEEFVLVVEKFLQRTFGASQEQRLQVVT >CDO97355 pep chromosome:AUK_PRJEB4211_v1:2:18387855:18397433:-1 gene:GSCOC_T00014675001 transcript:CDO97355 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSINSNSIQRKIFYINLSYYRLHLSTIQALFSGHMGNKKRVSSSLDEVEKVHKQIDISIESSGGSEPFKKRLKKEKKNKEAKGENDFDVPSTSPSSKPTSANPMERRKQRKALDKVRHHVEVEAVKTNQVGLDLKENPSKTDEEVSSPGTSGGTNVLPEFHIGVFKHLASADASVRQAAAETMVMELQAVQKAYDKLENKEGVEGGLKLEADKDDGLNSCAPSVGYAVRRLIRGVSSSRECARQGFALGLTVLIGEVPNIRLDSLLKLIVDLLEVSSSMKGQEARDCLLGRLFAYGALARSGRITEDFSNKNTPYIKEFTSSLISLAAKKRYLQEPAVLVMLELVEKLPVKALLDQVLEAPGLQEWFEGATETGNPDALLLALKMREKVGFDHGVFVKILPSEYSTSKLFSADYLSSVANCLKESTFCQPRVHSVWPVLVNILLLDIVSQDMDSASTLNSVKKHKKGRKGSSAEDDIEKNLRCFCEVIIEGSLLTSSHDRKHLAFDILLLLFPKLPSSCVQAVLSYKLIQCLVDILSTKDSWLYKVAQFFLKELSRLVKNDDGKRVEVIVALQKHSNGKFDCITQTKTVKVLMSDFKSESGCLLFVQELVNMFLDEGHASDEPSDQSQTTDDNSEIGSIEDKDSVGLTGTSDFLKSWIVDSLLYVLKHLKLDPEARFRVQKEIMKFLAVQGLFCSSLGTEVTSFELQEKFRWPKSAISSALSRMCIEQVELLLANAQKGEGPHAAVGGLESNDLGSYFMRFLSTLCNIPSVSLFRVLNDDDEKTFKKLQAMEAQLSREERNCGLSMDANKLHALRYLLIQLLLQILLRPGEVHEAANELIICCKRTFGTSDLLDSSGEDELNGDGTPDIMDVLVDTMLSLLPQSSAPLRSAIEQVFKYFCNDVTEDGLMRMLRVIKKDLKPARHHDTGSEDEDDDEDDLLDIEEAEESDEAETGETADSDEQTDDSEAVCQVEAAGNELPENSDDSDGGMDDDAMFRMDTYLARIFKERKNQAGGKPQVLKVLSNLAQAYVNPHTTEGSEQLGQRIWGILQKKIFKAKEYPRGEAVQLSALESLLEKNLKLAAKPFKKKKSGSSTSSKKHTASWNRHKMVTALAQNSTFWILKVMDARNFCVSELQRVFDIFKGMLASYFDSKKSQIKSEFLKEIFRRRPWIGHNLFSFLLEKCGTAKSQFRRVEALDVIAEVLKSLTTNADDSSQHSLVKIMKSNLQQLCDLIKELVTNMPEKQSRRADVRKFCSKVFQTLTSLNLTTSFLKALESDARAACESQLGDVFLAFVKR >CDP17790 pep chromosome:AUK_PRJEB4211_v1:2:51324170:51327088:1 gene:GSCOC_T00003912001 transcript:CDP17790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP18-1 [Source:Projected from Arabidopsis thaliana (AT1G01940) UniProtKB/Swiss-Prot;Acc:Q9LPC7] MISTRSILHDEFFSFSFFLVLDSDKQAKVTNQSNRLVLVLLDNMSVTLHTNLGDIKCEIFCDEVPKAAENFMALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGILSMANSGANTNGSQFFITYAKQPHLNGLYTIFGKVIHGFEVLDIMEKTPTGPGDRPLAEIRMNRVTIHANPLAG >CDP05340 pep chromosome:AUK_PRJEB4211_v1:2:3431090:3432414:1 gene:GSCOC_T00020345001 transcript:CDP05340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aquaporin TIP5-1 [Source:Projected from Arabidopsis thaliana (AT3G47440) UniProtKB/Swiss-Prot;Acc:Q9STX9] MALTSRLGHSVTPNALRSYLAEFISTFLYVFAAVGAAMSSRIMMPDAASESSSLVAVAVANAFALSAGVFLAANISGGHLNPAVTFGMAVGGHISIPMSILYWISQMLGSVMACLLLRTATVGQHVPTHAIAPQMTGFGASLVEGVMTFTLVYTVYAAADTKRGPSGVIGPLMIGLIAGANILASGPFTGGSMNPAYSFGSALVGGSFKNQAVYWVGPLIGAAIAGLLYDNVVFPARSPDARGLAEGGV >CDP13979 pep chromosome:AUK_PRJEB4211_v1:2:4795456:4799944:1 gene:GSCOC_T00039135001 transcript:CDP13979 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLKERKYPFIFLLFVLLIVAALFLISNSQSPIYITSGDLTPTQTASLPKSLPSKDAAAPPIDSSIVIISTAPPSQNQEDFVNSSSSTPSGEYEFKWKLCQGSGADYIPCLDNWKAIKALPSRRHMEHRERHCPNPNPTCLVPLPKGYKIPVPWPKSRDMIWYSNVPHPKLVEYKKDQRWVVKSDDYFVFPGGGTQFKDGVGRYIDSIKKILPTIEWGKHTRVVLDVGCGVASFGGYLLEKDVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQRLTFPDNAYDVIHCARCRVHWDANGGKPLMELNRILRPGGYFIWSATPVYRKEERDQNVWKAMVALTEAICWTTVKKTFFDSSGVGLVIYQKPVSSSCYVNRKENNPPLCDQNNRPNSSWYVHLDGCLQSLPITGTGDNYNWPAPWPERLNSKPASLSSEPDAEEIFNEDTKHWSALVSDVYIGGLSINWSRVRNVMDMNAGYGGFAAALIDLPLWVINVVPINAPDTLPIIFDRGLIGLYHDWCESLSTYPRAYDLLHSSFLFRNLTQRCGIVDVVVEVDRVLRPGGIFLVQDSTEMITKVGSILQSLHWSITVHQEQFLVGRKGFWRPDEKSRI >CDO99682 pep chromosome:AUK_PRJEB4211_v1:2:9885073:9888347:1 gene:GSCOC_T00029353001 transcript:CDO99682 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFQGEVCGGSWWNSSRSMFGSSPCSSGILHDLGSFGWSTDFLDSKARSSDDQSGNSANSDGSIVIQDLQKPNQPESASNNSNLSIDSTLQILGIGLSSPSTTDWDRTLMHGSSNGRSDQSNYQPMLQEDHNSSMNYRQERGLDSCPADQIQKDWSSTLKNNFSTIVEEDSSINSFKSSGNQPLNSITTTSQCTAATCAGVSTSFPISSASYGYSSTLLQTLFDTEVPQPQQSLYGTRPMNYPSTTSYQNEFSPSLPKFSPLPKLQNTNSLQLSTNPNFCNGSAAAFNDLRVNFFPSVQSQFPSSTFNEKPSLPSITTKVSNDGIRGLGSVAKKSSSEPAFKRPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIDYIKFLHDQVNVLSTPYLKNGSPIQRQQASDKVKDQEGSKEDLKSRGLCLVPISSTFPVAAETTTDFWTPTFGGTFR >CDP05252 pep chromosome:AUK_PRJEB4211_v1:2:2758370:2759356:-1 gene:GSCOC_T00020230001 transcript:CDP05252 gene_biotype:protein_coding transcript_biotype:protein_coding MECQIHSSGPQLTCRTSPRHKPNYSSSSLVLPKSRIRKTTVVASQKNLDRQQPIPAPQLTESKLPRRDVYNIKFETLASCKLGISVYPDFEYNAEEGSGSGMGTNRNTDSNESDGDIFVDFDLNTLYIPPLTTATTRFMGLPLPPFLRIDIAPEILRGSINQETGKVELEFKASFWFSVGKLYKAPPLLVGTVLTSEESEGTIRSGRGERLNGGGRCRLVGVAMVQPIDDFFMDSFLGLPTECFAELNAIISVSTK >CDO97624 pep chromosome:AUK_PRJEB4211_v1:2:20464102:20464452:1 gene:GSCOC_T00015016001 transcript:CDO97624 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKQNDRDATSMNNNRQANTTRISASGSFPGNVPMSLCETD >CDP05126 pep chromosome:AUK_PRJEB4211_v1:2:1817260:1820024:-1 gene:GSCOC_T00020067001 transcript:CDP05126 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSEISPSPPVPTAAGNNAHMLYVFNRNGVCLLYREWNRPLKTLSPQQDHKLMFGLLFSLKSLTAKMDPTSADKGNLGVPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPRTGDLRESLKYIYNLYVEYVVKNPLYSPGNPIRSDLFNSTLDQYVRGLG >CDP17201 pep chromosome:AUK_PRJEB4211_v1:2:47683726:47689684:1 gene:GSCOC_T00000670001 transcript:CDP17201 gene_biotype:protein_coding transcript_biotype:protein_coding MRWESHRCILLLILTTSTINLPFSRVFAASATTNTEKTSGVCVSPGGRFPPFLDEGKPPRKVSKGHRDLTLCRVFRHNTCCDVTQTHPAFLSIRKLASAGEASQECLHLWELLECSICDPRVGVQPGPPVICVSFCDRVYQACSDAYLSVDAKTQVLAPCGLKDFVCGRASEWISNGTDLCRAAGFSVKPSDDPQELSCYGGKASLDYVADLWKASESRVPQETEGLWSLEDFRQWVEAMPFSEKVSWAVGGMVLTAGLIFASQRKSRSQRQKHAALQRTARKLGTKMNSTSPPGRGNKKTT >CDP09368 pep chromosome:AUK_PRJEB4211_v1:2:23124682:23128387:-1 gene:GSCOC_T00028704001 transcript:CDP09368 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPRSVNSPRRTLSFSRNRRATGSFTYPDDKASGFGVSGEHGPKPSEVYGFVGSISTVVATVIFLVWAYVPENWLHSVGIFYYPSRYWALAVPAYLMVTVVLAIGFYIGLNFMATPPPTSFSTMFDEFSREPLSSVCDGDEQPIEPISDIGINKINNIMFNKFNQLS >CDP05169 pep chromosome:AUK_PRJEB4211_v1:2:2124429:2132888:-1 gene:GSCOC_T00020123001 transcript:CDP05169 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFSRKGPSGFSAASTAEQVTEGIDGSGLTAIVTGASSGIGAETARILALRGVHVVMAVRNISAGREVQEAIRKEIPNAKVDAMELDLSSSSSIKDFALKFNHSNHPLNLLINNAGVMATPFILSKDNIELQFATNHIGHFLLTNLLLETMKKTVHESGREGRIVNVSSRRHKFSYPEGIFFGRINDESGYKSLLAYGQSKLANVLHANELSRRLKEDGIEISANSLHPGAIATNLFRHFSIVTGIIDVLGKHILKTVQQGAATTCYVALHPHVKGVTGTYFSDSNVAEPSLQAKDIELAKRLWDFSSTLIHQSDP >CDP17205 pep chromosome:AUK_PRJEB4211_v1:2:47742355:47747416:1 gene:GSCOC_T00000675001 transcript:CDP17205 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFTSEEVSGQNQVKASVQRKIRQSVAEEYPGLEPVLDDLLPKKSPLIVAKCPNHLNLVIVNNVPLFFNIRDGPYMPTLRLLHQYPNIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGALDDDVEAESPVAIMAEGKQHALAIGFTKMSAKDIRSINKGIGVDNMHYLNDGLWKMERLD >CDO97170 pep chromosome:AUK_PRJEB4211_v1:2:17010946:17014082:-1 gene:GSCOC_T00014427001 transcript:CDO97170 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSNPSSFFTFAKPPLNCISAPPTLSYPPSSTTNFRFRAIADHPAPGPSFPPWWLNVLAAADAAVPGFGRGSNGNTGGGAVGGVGSAANSNKSPKVNAKEKRWSGNRDSYLADDDDALPLPMTYPDSTPVTPEEIDRRLRCDPEVQDCKEVVYEWTGKCRNCQGTGYVSYYNKRGKETLCKCIPCIGIGYVRKITARKDIDVMEDLDNGAL >CDP05238 pep chromosome:AUK_PRJEB4211_v1:2:2641672:2645563:-1 gene:GSCOC_T00020211001 transcript:CDP05238 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSPQPYYTPISKPAANAHVNTWKRNNLYSLVLITILCSLSYLFGVWQHGGATTSFTTPSNVGSTPPCVLPENTTTSIATTASKTSNSKALDFSSHHSADDRGIGKVSGGSAKVYPPCDVKYSEYTPCEDPKRSLKFSRSRLIYRERHCPAKHELLKCRIPAPYGYRNPFKWPLSRDLAWYANVPHKELTVEKAVQNWIRYEGDRFRFPGGGTMFPNGADAYIDDIGKLINLKDGSIRTAIDTGCGVASWGAYLLSRNILAMSFAPRDTHEAQVQFALERGVPALIGVLASKRLPYPSRAFDMAHCSRCLIPWGQYDGVFLTEVDRVLRPGGYWILSGPPIRWRKYWKGWQRTREDLNKEQTGIEQVAKSLCWKKLIEKDDIAIWQKPANHTQCKKLKKVYNSPAFCPNQDPDKAWYTNIETCLTPLPEVSDGEEIAGGRLEKWPKRLNAMPPRISTGTVNGITPQTFQKDSQLWERRISYYKTVNNQLGQAGRYRNLLDMNAFLGGFAASLVEDPVWVMNVIPVEAKVNTLGVIYERGLIGTYQSWCEAMSTYPRTYDLIHADSVLTLYKDRCEMEDILLEMDRILRPEGSLIIRDDVDTLVKAKRIADALNWDSQIVDHEDGPLEREKLLFAVKSYWTAPASSDQDDSKTS >CDP08844 pep chromosome:AUK_PRJEB4211_v1:2:52547974:52548282:1 gene:GSCOC_T00027954001 transcript:CDP08844 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTEMRTRSFRNEDYNNRRAFLRSYPLYGGGDQDEDVKAESEKAAANETTSKKPMKKIILSVFHWGGERVLVLRRFKHKVTFYVVTCLPVGLKAPTALISV >CDO96970 pep chromosome:AUK_PRJEB4211_v1:2:15415036:15415344:-1 gene:GSCOC_T00014168001 transcript:CDO96970 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLPRIIQAKQILKRSLLTSNAAISASVDVPRGYLAVYVGESKKKRFVIPVAYLNEPEFQELLTQAEEEFGFDHPMGGLTIPCREDIFIDLTSRLGRNMS >CDP09386 pep chromosome:AUK_PRJEB4211_v1:2:22942187:22948050:1 gene:GSCOC_T00028732001 transcript:CDP09386 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSASRRISPPSEPSSSRKPSGPGSKLKSTKFKLPSFLICGSSSSRLPIEFDDYPRGPPTINSVENLTPDSFSDSIAQSSTIFGSEAGYTSSELETAAPSSSNATTQSAPLEYAMPDREASGHQTCHSNHTDLVPNQPSQSVIPANVSISENEHGRNVAQEGRNSSPDGNCFENHEVAVLGSEISDSRSLASALDPLGSLLLSGNSNAEMDTPSSSRFRMSGSEQDLGRGDLLHLDVVSIPSNILSSSIAEISSSQARRNNRRLYWEALSRRSFSRISDSPTIVFATGPADDLGSEDRWLLNLSGDLHYDGVFHDSVYLGARSNFRSGRRWLLRSEISERVLGHGEGGRRTDFCASGLHPDGTCSCDSFFSAEESSTLASISRIIMLAEALFEVLDEIHRQPLSLSLSMLTLPAPESVVDSFPLKYHTKVDATATRPGDTPQCYICLAEYEEGDKLRVLPCKHEYHMPCIDKWLKEINRVCPLCRCNVCEDPEQDSVTNNGIPSQ >CDP07787 pep chromosome:AUK_PRJEB4211_v1:2:26448929:26452949:-1 gene:GSCOC_T00025170001 transcript:CDP07787 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGNPSRYVKLTKDQAPLEDIKPGELNQPIEVPQLEVRKCNECGQPLPESFEPPADEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIEKLREDTPWTTPCVCHAIFVEGGIALAAATAVFYGIDPRTSFLFCEGLLFSWWMCGIYTGLVRQALQKKYHLKNSPCDPCLVHCCTHWCALCQEHREMKGRLSDDAAMPMTIVNPPPIQEMAAVSDNRESVASSRNGTEHTALEMQAL >CDP15202 pep chromosome:AUK_PRJEB4211_v1:2:49510044:49511842:-1 gene:GSCOC_T00042824001 transcript:CDP15202 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLVDAKPRKLTASKISSKVGQSGGIESTGRVQIGDIEESYQPQWEKSQTPFTQTWLKSTKTLIGRQIKITKRLQILLMLRLFQAIILGLFTGTLLCKLGGQYDQQKMNSVRALGFVSTMSIMLINLVQLPLYMLQRPIFYKHRAQKFYQASSYVVAHSIFNIPQTLMEAASYTFSMYFLVGLSFSGRGAALVEYLLLLFLVAYFGSSVIFIRRKNFLPTSLYLSATNNIHHLISCRSLVSIFLLFSGFVIYPFNILTYWKWLTYVRK >CDP08735 pep chromosome:AUK_PRJEB4211_v1:2:53448963:53452025:1 gene:GSCOC_T00027811001 transcript:CDP08735 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKKAPKFAVMKKMVTSKAIKQHKEEVLNPNKKDLTKEKLPRNVPNVSSALFFKHNTALGPPYMILVDTNFINFSIQNKLDLQKGMMDCLYAKCTPCVTDCVMAELEKLGQKYRVALRIAKDPRFERLPCTHKGTYADDCIVDRVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITQHKYSIERLPEATIGGAPRI >CDP05308 pep chromosome:AUK_PRJEB4211_v1:2:3190766:3193716:1 gene:GSCOC_T00020305001 transcript:CDP05308 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQAVKPAKVGMEEPQEQVHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDTFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >CDP14004 pep chromosome:AUK_PRJEB4211_v1:2:5001882:5004787:-1 gene:GSCOC_T00039163001 transcript:CDP14004 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRPRLTQHKASYYSEDCISSLPDDILVSILSRLSLKEAVLTSCLSRRWRHLWTGITGLDFDADDELNKIAAEPKLKSSERSKYINWVNRVVRNYRGLTLEAFRICFDLEKGSKTAIDNWVKFAMAKMVQKLELDLLENGETLRQSLRNYTFPYKLLTETKWSSLKRLYGNLPSNLSFTSVGFKALKVLSLKCVNVNDEALQYFLSNCPVLQCLSIHGSGDLENVKVTGVSLKLRYLEIIFCLGVKTIEICETNLVSFSYLGGNINLCIDNVPMLDEISIGEGYSGLENDVFNQLSSCLYQLEVLTLDIYRPEENIKMFAFPELPNLKQLILKIGAWDDDPLLQFTSLVRACPNLYRFVLQVNTECYH >CDP07788 pep chromosome:AUK_PRJEB4211_v1:2:26471361:26482673:-1 gene:GSCOC_T00025171001 transcript:CDP07788 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQEGEEVVEKIEGKQEVVVVNPKPNNGFTAKLIDWVEKAVVKLMYDSKQPLHYLSGNFAPVDETPPCKDLLVKGHLPECLNGEFVRVGPNPKFSPVAGYHWFDGDGMIHGIRIKDGKATYVSRYVKTSRLKQEEYFGGSKFMKVGDLKGLFGLFMVNMQILRAKLKVLDMTYGIGTANTALIYHHGKLLALQEADKPYVLRVLEDGDLQTLGLLDYDKRLTHSFTAHPKVDPFTGEMFTFGYSHTPPYITYRVISKEGVMDDPVPITISDPIMMHDFAITENYAIFMDLPLYFRPKEMVKDKKLIFTFDPTKKARFGVLPRYSKNDALIKWFELPNCFIFHNANAWEEGDEVILITCRLQNPDLDMVSGIVKKKLENFSNELYEMRFNLKTGLASQKKLSESAVDFPRVNESYTGRKQQYVYGTILDSIAKVTGIAKFDLHAEPETGKTKIEVGGNVQGVFDLGPGRFGSEAIFVPRQPGITSEEDDGYLIFFVHDESTGKSAVNVIDAKTMSADPVAVVELPNRVPYGFHAFFVTEEQLEEQAKL >CDP08741 pep chromosome:AUK_PRJEB4211_v1:2:53399710:53402468:1 gene:GSCOC_T00027820001 transcript:CDP08741 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVSPEVASICGRRCETPARVLSYTNRKNVVAVSNAGKRAVRVRVSLEEANVLNLGRNVRLLCGVGHFSAPVKQAVTVPSPKPSKEEEEKRNYYLNTGYAIRTLREEFPALFYKELTFDIYRDDIVFKDPLNTFAGIENYKSIFWGLRFHGRIFFRALWIDIISVWQPVDNMIMVRWTVHGIPRVPWESHGRFDGTSEYKLDKNGKIYEHHVHNIALHTPPKFRVLAVEELILSVGCPSTPKPTCFESSSSSIGNIVEPVKFKGVEHYVSSILTGVHGSDSEWLRKS >CDO99903 pep chromosome:AUK_PRJEB4211_v1:2:7899804:7908056:1 gene:GSCOC_T00029616001 transcript:CDO99903 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYNCLKVIDCKIILKQKQLLYTLLEVPDKSWAGIYLLGLTSQECSSERFLASYSEWFNKILSHIQPPSDSHFVRVASCASMSDLFTRLGGFPNAKKDGNSQASKLIQPVLKLLNEDSSDAFWEEAISLLCTLTNAFPSSLSRHYDNVESSIFSKFMSENCPFSIFKKLAHGLALLPKSRGDEDSWSLMMQKVLIFINNQLNVMFQGLEEEARSSEVVRLLLPPGKDPPPPLGGLTASAKISDQAMKRPEQVLVSRVSTLMTCCCTMLTDAYPVQVSVPVRSLVALVKRVLMVDGSFSQSSPFMTAMRQDLICLELPELHRCSLELLSSIVKGLRSQLLPHVADITRLLTEYFRTCTLPELRIKVYSIMKVLLMSMGIGIAIYLIQEVISNVLLDLDPHGHESGGSYSAAHSKTLEEALQQSFQRKRKHPTSAESVGDQSVKGGLEVETSQNMTAISVRIAALEALEALLNVAGAMRSDGWRSNIDRLLITVATNACKVGWADNNSTVVYGEATPIWADFQLAALRALLASLLSPGRVRPPHLAQGLELFRRGSRESGTKISEYCCHALLTLEVLIHPRALPFIDLQSAVDHYGSASLNLPEVHSADHRKSTSFHFSTQGKQPAQPESGDDDLYERWLAIGDETDVNDPGKYTSSDKEPSGASTHPALEKLPHGDSPSERNKRECGEFGESMAVAADKVPVDGDEIMVDLLTQESYKQTEERDHIEGQISVATAGGHTATKSDGLVSGSATSADGHTDFVVAAGKDVSSSASKRNTMAMATEQCVAPTTSAKDVVTSQDDEYTRIVEKISATISNTGRGAGVVVEISDDASMDSLPDIVDGDPDSD >CDP07752 pep chromosome:AUK_PRJEB4211_v1:2:25759827:25769091:1 gene:GSCOC_T00025111001 transcript:CDP07752 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAIGNGDERTFNVNFGSEGVSKLREDVKEKLKEYMGEYTDDTLVEYIIVLLKNGRRKDEARNELNVFLDDASDSFVSWLWDHLASNLDLYAQTHQSLSDGALKTSPIAIQQAGGNDSRLVESKSVNLKSNKSFKARHTREWKGQAIDEGEQPRLRSSVADGNHADKDSRKAGNEKIEVSPQPATRRKRNHPEEQLQSKREVVSQAPIAAPRRLLQFAVRDAVATSRPSTITAEPSLKRLRSVVSTTAEDSNLEEHPQKIRSVARVPNAMATAIKAIAEAAKDVRRVRSSGNVFDRLGRPKDVSETLNQSEEFGEDPAGEGQDGAYIREEGRPTYDHTNDYSRPYPNDVSLLQRNTRMVIDPASDNEDYDATMDEPQTGNGIGVSRMVPHSAANNADEIVIVPSKNQDQFGKISDTSHKIVSSSINLNTWKSPQHQGLRGTLNVDNHKSVQVNEALTSVPAGRLTKENSNSVAVANGNAQLEVDAPKESQKTHSSALGSYPIGRPTEDADSRTIFVNNVHFAATKDSLSRHFNKFGEVLKVIILTDAATGQPKGSAYIEFMRKEAAENALSLDGTSFMSRILRVIRKNSAQQEAFPIPTWPRIARGSPYAVSRFGRAPFSRGIGGAYRSRLLTKPGPRSFQWKRETQPTQTEILNPGSSNTAPSSISRSLTYVRPEPKTNESSSAA >CDP13957 pep chromosome:AUK_PRJEB4211_v1:2:4597186:4603181:-1 gene:GSCOC_T00039108001 transcript:CDP13957 gene_biotype:protein_coding transcript_biotype:protein_coding MNARIVYLGEAEQVPVRDDRELELEIVKNLKKRCDEAERRISLALDAFPSNLQALLNEYLDKKIDGETLKSFLRHWPPERWQEYEPLISYCRDNGIQLVACGIPLEILRTVQAEGIHGLSKADRKKFAPPAGSGFISGFSSFSRRSPMDTNYAYQSTPFGPSSYLSLQAKVVEDYTMSQIISEAVTDGGAVTDGGATDMLVVVTGASHVTYGSRGTGLPARISRKMPKKNQVVILLDPERQQIRREGEVPIADFLWYSAARPCSRNCFDRAEIARVMNAAGKKRDALPQDLQKGLDLGLVSPEVLQNFFDLEKYPVISDLSHRFQGFRERLLADPKFLHRLAIEEAISITTTLLAQYERRKENFFYELDYVITDTLRGSVVDFFTVWLPAPTLSFLSVSDGFSGPDRMEALKGLLGSIPDNAFQRSPAGKDWNLIHRVASVLIGGLKLAGVGFISSIGAVASSNVVYAMRKVLNPALAVKHPIKRSPILKTAVVYACFLGTSANLRYQVIAGLVEHRISDKFSDQAFLVNMLSFVVRTINSYWGTQQWVDLARFTGLQAQKNEKVSSETSDSPKPAALECNAPEDANIDETNEQ >CDP00094 pep chromosome:AUK_PRJEB4211_v1:2:6266417:6270009:1 gene:GSCOC_T00029887001 transcript:CDP00094 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSEFLEYGSSGGADSRCSSDSESGLCDIADLADKISYHKLAMDQFEIDTLSVVNSLLLSISEKDLLHVKSGLCNASCIRFSLVKLLRLSGYDAGVCAAKWPGSSKVPGGDHEYIDVVNYTNAGSSSSERLIIDIDFRSHFEIARAVESYDRMLNSLPVVFVGSLTKLKQFLQVMVEAARSSLKQNSMPFPPWRSLPYLQAKWQSPYQRKFSPEEPNAYGGSSMEHKQCGGHLRRLQSELEIERLLKPVKSNNWKPKPDNRWRRSSYMTL >CDO97106 pep chromosome:AUK_PRJEB4211_v1:2:16538516:16545608:1 gene:GSCOC_T00014345001 transcript:CDO97106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G49430) UniProtKB/Swiss-Prot;Acc:Q9XIA9] MAEYIVQVEEGRPATDGMPSAGPVYRCIYAKDGLLEIPEGMESPWDFFRESVTKNAKNRMLGRRQVTDGKAGSYAWLNYEEVYSTTLKIGSAIRRRGVNPGDRCGIYGANCPEWIMAMQACSSQAITYVPLYDTLGPNAVEFIINHAEVSIAFVQESKMPAILKCLARCNSHLKTIVSFGKISSRQKEEAEELNVTCFSWDEFALLGFSDHDLPPKQKTNICTIMYTSGTTGDPKGVILNNGAIIAEVLSIHQLLMETDKVGTEDDTYFSFLPLAHIYDQIIETYCIYRGCSIGFWQGDIRYLIDDLLELKPTMFSGVPRVYDRIYTGIMEKISAGGTIRKILFDFAYDYKLKNLQKGLRQDEAAPLLDKLVFDKIKQGFGGKVRIMFSGAAPLPKHVEEFLRVTCCCVLSQGYGLTESCSGCFTSITNVMSMMGTVGVPITTIEARLESVPELGYDALADVPRGEICLRGKTLFSGYHKRQDLTSDVLVDGWFHTGDIGELQHNGTMKIIDRKKNIFKLSQGEYVAVESLESTYSRCPLVTSIWVYGNSFESFLVAVVVPERKALEDWAVQHLQDGDFKSLCNSIKARKYVLDELNNTAKKHQLRGFEMLKAVHLEPVPFDFERDLITPTFKLKRPQLLKHYKDRIDQLYSEGKGSKV >CDO96903 pep chromosome:AUK_PRJEB4211_v1:2:14820711:14824952:-1 gene:GSCOC_T00014082001 transcript:CDO96903 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLKLSKLGAHAIKNCLKAPLASTARTLLVPLCRNENLLPLIHQRFSTAAPDKAFEKQNEEKEKISVTFVDKDGEEKHIRVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDPNHYNKLEDPTDEENDMLDLAFGLTETSRLGCQIIAHPDIDGIRLALPAATRNFAVDGYKPKPH >CDP17835 pep chromosome:AUK_PRJEB4211_v1:2:27537761:27540353:1 gene:GSCOC_T00009461001 transcript:CDP17835 gene_biotype:protein_coding transcript_biotype:protein_coding MVILNVIRLISLATLTALGFCKIHDNCTVRRCSDQGPAIRFPFRLKDYQPQQCGFPGFELSCTQSQETLLENLFRFVINKIDYESRLLYVSKLDGCLSGLLPKLDLSASLFQIPDFHDHDFSFFKCSSKKWYMIPIPCLSNSSYQVYATRSTDSILFPSLVSCAKMFEIPSVSYALIEPQLPLVLSWSAPNCGYCEAEGKFCRLSSSSAEKLKTRIRFCVYVMNSLWNAGMVLGPALIVLIGIASYRIFRSKKLKKEDQLKIGQFLEDYKALKPTRYSYSDIKKITGNFNEKLGEGGYGTVYEGKLSNEVFVAVKVLNNSKGGGEDFINEVGTIGQIHHINIGRLVGYCADGYRGVLVYEFLPNHSLEKFTSSENERNLLGLEKLHNIALGIAKGIEYLHQGCEQRIFHFDIKPHNILLDQNFNPKISDFGQAKCCSKEQSAVSMTAARGTMGYIAPEVFSRNFGKVSHKSDVYSFGMLLIEMVGGRKNIKIGGQDNGTETYFPEWIYNKLDQGGEITIQIDNEDDKITAKKLMIVGLWCIQWYPVDRPSMKAVDRPSMPRNPFGWANEINKRATMGGGGFVSELKSISESESEES >CDP17540 pep chromosome:AUK_PRJEB4211_v1:2:29570656:29572448:1 gene:GSCOC_T00004504001 transcript:CDP17540 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLGKEGRQEVENLLRPCWQICDPDDVELPIQSKVNFSFSQPPPHEVYCSLARNRNKTPLPKSIAGPGTPLPPEQDTLISPNYQFAITKKQSKEAVEETEDDEEAADPNLNPSQEQRIDASQGAAQRVSFPIGPKRSK >CDO97149 pep chromosome:AUK_PRJEB4211_v1:2:16829347:16832161:1 gene:GSCOC_T00014399001 transcript:CDO97149 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGGDSWGREAQYRKRRVDDLIIEGIDGCGYKKLPNGKLVCLLCPHHPVLDTPLMLSMHVKGSRHRAAESEQKERELSRQYQINKRIALADSSSTAVSSTSSEVRCGSTKKPLIEQVHKAASEILAGESPQCSTLDKSDKSPDVRSDICYYKKENQSIAKSNATEVVAAPEVAFQQQLDYKNRRERELKLISAGWKRDAHARWFKDENVEFDSDEEDPNVYLGQ >CDP15539 pep chromosome:AUK_PRJEB4211_v1:2:46414592:46414984:1 gene:GSCOC_T00015408001 transcript:CDP15539 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLFLRASKGAAGPCTSGDRPSTVISSFICQGCDFTTGNGTRGELIYGSKFEDANFIKKHTGPGVLSMANAGPSTNGSQFFICTEKTAWLDGRHVVFGRVTKGMDVVKAVEKVGSSFGKTSTTLLSIYH >CDP14002 pep chromosome:AUK_PRJEB4211_v1:2:4994392:4997300:-1 gene:GSCOC_T00039160001 transcript:CDP14002 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGGRKNNNFSIFVVVFSVFLFGCFMYNEDKEVESSTEDDDNAQKQNSIAIEQVEPKKIELPVEEEEEDNGGNANDDDDDADDDDEIQLPPEDCDLFTGQWVLDNTTHPLYKEPECEFLTAQVTCLRNGRHDSLFQNWRWQPRDCSLPKFKARLLLQKLRNKRLMFVGDSLNRNQWESMVCLVQSVVPPGRKSLNKTGSLSIFRIEDYNATVEFYWAPFLVESNSDDPNMHSILNRIIMPESIKKHGKNWKNVDYLIFNTYIWWMNTFSMKVLRGSFDEGATEYDEIERPVAYGRVLKTWSKWVNKNIDPNRTKVFFMSTSPLHIKSLDWDNPDGIKCAKETRPVLNTSMPLNVGTDRRLFVIAANVTRSMKVPVNFINITKLSEYRKDAHTSVHTIRQGKMLTPEQQADPATYADCIHWCLPGVPDTWNEFLYAKIISRRS >CDP07662 pep chromosome:AUK_PRJEB4211_v1:2:24450029:24457271:-1 gene:GSCOC_T00024987001 transcript:CDP07662 gene_biotype:protein_coding transcript_biotype:protein_coding MISNTEDEELGTKPLRRLSVFYYGVGHMLNDITSACWFTYLLVFLTDIGLSPSDAAVVMLSGQIADGFTTIFAGELIDRFGHFKIWHAAGSLLVAISFSSVFGGCLPCKVLGSSSSTLKTVGYSTFAAIFNVGWAATQVSHMSMVNCITLNSTSRVVLASCRNAFTMVANLSLYAVAFFVFKVKTSGTPISVENQYRWIAYISIAIGCCFVVVFHLGTQEPRLKQAVHRKGYARIYWTYWFKKILYYQVALVYVLTRLVTNVSQAYLAFYVIDDLQMSQFSKASVPAVIYICSFIVSVLLQEMTWTGPRLKSYYSAGGLLWLFCGAGIFFLPRSLSYLMYFLSTVIGIANALIMVTGVSMQSFLVGEDVHGCAFVYGSLSFLDKISCGVALCILESYQNSSTQVHSCSPTNSCFSVSRFGLGFVPAACALFGVAVTCSMKLQPPTPKPLMEPLLA >CDO97396 pep chromosome:AUK_PRJEB4211_v1:2:18760376:18760486:-1 gene:GSCOC_T00014729001 transcript:CDO97396 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARIRELLLLWCRARSTRVALVGGNHTAARFCTR >CDP05103 pep chromosome:AUK_PRJEB4211_v1:2:1579346:1580035:1 gene:GSCOC_T00020038001 transcript:CDP05103 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMLNKIPQGGWVPFAISAILGTVMLSWTYGRSKKSMYEGGGKMSIGELNQKLSSTCIFRTPGICFFFTDLVDGIPPIIRHYIQHTNSVREIMVVVTIRTLPIKTVLPEERFVVGRLGVEGVYRCLVQLGYKDSLNMEGGDYAREIVAKLRGNADTTAEQRKLHSASENETVFVMGRTVLAANENDGWLARFTIEYLYRFLQKNCRSSVSALHLPPEKTMQVGMLYRL >CDP17781 pep chromosome:AUK_PRJEB4211_v1:2:51417473:51420828:-1 gene:GSCOC_T00003900001 transcript:CDP17781 gene_biotype:protein_coding transcript_biotype:protein_coding MSANTSSSPSGGAAGSSSGNTGGGPCGACKFLRRKCVAGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPVHKRLDAVVTICYEAQARLRDPVFGCVAHIFALQQQVVNLQAELSYLQGHLATLELPPPPPPSAQPQPIIAPPSLTIADLPTGSSSAPATYDLSSLFDPVVQLPWTMQQPRQMDSHQFGAARAPAEASSSAGTGDLQELARELLHRHRTSSTVPCNEVPPLPPHSK >CDP14026 pep chromosome:AUK_PRJEB4211_v1:2:5185655:5187307:-1 gene:GSCOC_T00039198001 transcript:CDP14026 gene_biotype:protein_coding transcript_biotype:protein_coding METYWFIIVVSLCISAILKSLFTLLSSSNNTQDKLPPGPYTIPVIGSFLWLRMSFADIEPVLQRLKAKYGPLISLTIGSRPSIFVASHSLAHRALVQNGAVFSDRPKSLPTAKIFNSNQHNISSARYGPTWRLLRRNLTSEILHPSRVKSYTRARRWVLGILFQRFLDQNHAADEPANMDRTVVDHFRYAMFCLLLLMCFGDKLTERQIQEVESLLHRALLSFGRYNILNFLPRLGKILFRSQWKELLKLREDQENLLLPLIRARIEAKRQRRNDDDKEEEEEEEEVVAYVDTLVGLKLPDEKRELSEGEMVTLCSEFLNAGTDTTSTALQWIMANLVKYPAVQNKLYREIVEVVGPPPPLSLSSSSSSSSCGDGLKETEEVKEEDLQKIPYLKAVVLEGLRRHPPGHFVLPHSVTEAVELEGYVVPKNATVNFMVAEMGWDPKVWENPMEFRPERFLEEKMAGDNSEGQLFDVTGSKEIKMMPFGAGRRVCPGSNLALLHLEYFVANLIWHFEWRAVDGDDVDLSEKQEFTVVMKNPLRANISPRVKST >CDO99703 pep chromosome:AUK_PRJEB4211_v1:2:9715243:9718497:1 gene:GSCOC_T00029376001 transcript:CDO99703 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQRNLKWMVFLFIILTTFKSGHAKETQPILEKLDQLLKTALEWRANQQMQLQSQDSKLKPTVSVTISGALCFVAASISSAGGIGGGGLFIPILSIVAGLDLKTASSFSAFMVTGGSIANVACNMIIKGKKNGGKTLIDYDIALLSEPCMLLGVSIGVICNLMLPEWLITIMFACFLAWSTFKTFKSGISYWKLESEWMSKNRCQKLEGGMLIAEKSGETVQSSQEPLLQKETSLKLDIPWTKLGMLVAIWFCFFVLYLLRGNRYGQGIIVMQTCGVGYWIISSTQIPLCIIFTLWILYSRKSNTDQFQQQELGSDAKNQGPNMFVFPIMALLAGGLGGVFGVGGGMLISPLLLQVGIAPEVTAATCSFMVFFSSTMSAFQYLLLGMDHVYAAIIYAIICFIASIIGLVIVQSAIAKQGRASLIVFSVGIVMAVSTILMSSFGAVDVWRDYITGKYMGFKKPC >CDP08895 pep chromosome:AUK_PRJEB4211_v1:2:51962291:51965258:1 gene:GSCOC_T00028027001 transcript:CDP08895 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29990 [Source:Projected from Arabidopsis thaliana (AT1G29990) UniProtKB/TrEMBL;Acc:Q2HIK4] MASSTALREQFLSPPVPFLSNPNLLLHIAKNHQVRKKYTIQLGENELVLKELELLNEDANVFKLIGPVLVKQDLAEARANVRKRIDYISAELKRLDATLQDLEDKQKSKQETVFKLQQKVQSFQAGKGKA >CDO96921 pep chromosome:AUK_PRJEB4211_v1:2:15039614:15048788:1 gene:GSCOC_T00014107001 transcript:CDO96921 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSTLLSLCGFGVGVSSGLVVGYFLFIYFQPTDVKDPVIRPLVEHDSESLQKLLPEIPLWVKNPDYDRVDWLNKFLEYMWPYLDKAICKTAKNTAKPIIAEQTAKYNIESVEFETLTLGSLPPTLQGMKVYLTDEKELIMEPSIKWAANPNITVVVKAYGLKATVQAVDLQVFAAPRITLKPLVPSFPCFAKIFVSLMEKPHVDFGLKLLGADLMSIPGLYRFVQDIIKDQVASMYLWPKTHEVQILDPTKAMQRPVGILHVKVLRAVKLRKKDLLGASDPYVKLKLTESKLPSKKTTVKHKNLNPEWNQEFTMVIKDLESQVLELSVYDWEQIGKHEKMGMNVVPMKELTPDEPKVLTLTLLKSMDPNDVSNDKERGQIMVELTYKPFKEDDLTKDFQESGTVQKAPEGTPPGGGVLVVIVHEAQDVEGKHHTNPYARIIFRGEERKTKHIKKNRDPRWEEEFLFMLDEPPVNDRLHAEVLSTSSRIGLLHPKESLGYVDISLSDVVSNKRINEKFHLIDSKNGRIQIELEWREAS >CDP04914 pep chromosome:AUK_PRJEB4211_v1:2:83694:84488:1 gene:GSCOC_T00019783001 transcript:CDP04914 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPASRVRETFVNFFEGKGHFNWKSSPVVPLNDPTLLFANAGMNQFKPIFLGTVDPNTPLSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKGEAIQWAWELLIKASLAISN >CDP13841 pep chromosome:AUK_PRJEB4211_v1:2:33758465:33764772:1 gene:GSCOC_T00038944001 transcript:CDP13841 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVGGSFLSAFLQVLFDRMATPEFVNLFRNQKANDDLRKKLKSELRTVGAVLDDAENKEIRNQYVKEWLEELHDTFYQAEDLVDRISTEALRIKVETEYQSSTSTCTYSGDEFLSRIKPEIETIVARLEGYNKQIIPLGLQVLHSRIKSHHKFETSLVDETTFIGRDADKEKIIQMLRFEDADRDNITVIPIVGLAGLGKTTLARMVYEDSKVELSFPTRAWVCVSEEYDATRITKEILREFRISFGESDNLLSLQVKLRGGLTEKKFLLVLDDVWNSNYNQWDNLRSPFNGGSRESKIIVTTRNQQIARMMAKERSIHHLNSMLEEDCQSLFKKHAFENRDGNENAELEEIGNKIVTKCGGLPLAVKTVAGILRSKTTPEEWKEILVSEEWTQMDIPDGPLPALRLSYIHLPSYLKRCFAYCAVFPKDYQIRKEEIIQLWQANDLLGYPGENKRIKNEGEKCFHELRMRSLFHQSTGHTFSMHDLVNDLARFVFGKYCLRLEDHQEGNATISGARHFSYHRSWYDTFHKFNLLSQTKNIRTFLPLRTDPMNRLSNKFLEDTLPQFMSLRFLSLSCYGNIVKLPNSYSGFKQLRFLNLSSTGIKELPEWICSFYNLQTLLLSYCRELEELPENLGKLINLCCLDISGTPLKKMPPQMGRLINLQVLTAFVIGKDSGSTIKELGKLPMLRGKLILSGLENVSSGRDASMANMEGKEHLDVLTLEWNGAINDSQAVRDVLDNLQPHSSIKHLNIIGYGGTTFPNWLCNPSLSRLESLSLSNCENCFSLPALGQLQSLQSLEIVGMSYIFDLVENFYGDVSATKPFPSLKKLRIEKLPEWERWHIPSGEVFNRLEELRIIDCPKLIGELPQQLASLQSLEISGCGNLVRPSGRLSIFNEEIRQKFSSLRGLKISALKNLTELPLQLNQLSRLERLTVDDCGSLSPSHVSRPPASLKSLQYKRCCNLELESSSGEGGGAFEYLQVENCDSIKVKVEWLALFPMLKVVRIFKCKSVEMLSVPAAPAPAPGMTTTTTTTTTSTSSVMTSLQSLLISGCDDLILSFPTPGLTWLLIECCKKLTLLPQRMESVLPSLQSLLLFNCPEIECFPEGGLPSTLQSLTISDCKKLMSRRREWGLEKLSSLTHLAIRGPCDEVESFPEEDWRLPCTLQDLCLDSLQILKVLNYSALRHLTSLQNLCFNDCPRLQSLPEEGLPASLTELRFWGCPLLKPRLKWERGQDWHKVAHIPCVVVDCQPIP >CDP06844 pep chromosome:AUK_PRJEB4211_v1:2:38483057:38491258:1 gene:GSCOC_T00023858001 transcript:CDP06844 gene_biotype:protein_coding transcript_biotype:protein_coding MATISHTNSRRMYSWWWDSHISPKNSKWLQENLTDMDAKVKSMIKLIEEDADSFAKRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRHAHRTMAEAFPNQVSLMLDDSPANSASGPDHQTPEMSTPVRVFFEPDELQNEALGLSALHSNGAKRNGTLTEDGRVRKSLQFHEAEEKEKNVLSSDKQSHNSQLLSEQNQVHESEEILSLKKALVKVEEEKEAGLMQYQQSLEKLSMLEYEIARAHEDSKGLSEQASQAQAQAATLKEALTKLETEKESNLLQYRQCLDRISDLEKTISRAQEDAEEHNQRVYKAETEAQSLKDELTKVSAEKDAALDQYMSSLEMISNLEHKLQCTEEDISKLKERAEKAENEVETLKQAISRLTQEKEAAEVQYHQCLETISSLERNLSSAQEEAKRLNVEIENGIATLKGAEEQCLLLERSNHSLQSEVEVLMLKMGNQSQELTEKQKELGRLWTCIQEERLRFVEAETAFQTLQHLHAQAQEELRSLSSELQNKVQTLREMETHNKGLHEEVLKVKEENKSLNELRVSSAITIKDLQNEISSLTETKGELEEEVELRLDQRNALQQEIYCLKEELNDFNKKHSSIMEQVRAVGLNADSFGTSVKELQDENSNLKDSCQRESSEKLALLEKLEILEQLLEKNSILENSLADLNAELEAVRHKITALEGSCQSLLEEKSALLDDKASLQAQLQDANQSLENLSEKNTFLENSLSDALDELQGLKTKSRSLEESCQLLVDEKARVVAEKDVLTSQLESTKMRLDDLERRYLDLGEQYSDLEKEKESSDCKIQELQISLDVQKQEHASFTHTREMQLACLESDRSLLQEEINSSKREFNKELDNSFHSHIEVFILRKCARDLEEKNFYLSAKNQKLFETSISLEKMLYELKKDNLSQKAKIISLSDEGSTLRKGIFQLLKALDIVPIHDNSNRSGQDQTFLNHILSKLEGAKKSMYETEEENLRRSVELSVLVAMIGQLRIDAQNLELEKCINDQELRIRHEQLFALQNEALKLHEMNEELRTQLIEGDHKQESLLTKVSDLQKKLQDLRGSYLDLQSENSKTCEEKGSLTKEFLLLGEKNGTLEEENSVVFREMLYLGHLSSFFRSCVDEKSLEIRALAEELDEVNNDNDDLRKRLNLMERKLGEVLIQKEIELQQLHEDHQKTKVREETLLSELEMARDDIETWEAYASDLFVELEASKLYQILYEETLHQLTEACETLKNESTTKDADIKLLRERANLLASQNEGLNVQLSAYGPAITSLSECISSLVKRTCLHGQLEIPQYEEQKDAEVLDHVCENGGNDIEEVVADPISALQDLHWRIQSIEKAVTQREHLLKQENQSVHSELETAKKQIAELKSESNQRRRNSKPTSEWSEMDNGLLTKDIMLDQISEFSPYRISRREQGDAEVQNIESWETVDHDGSIDLTVGKSNKMVNPSTEKITNLHRVKSAKKQKNELPISDILIEKELGVDKLEFSKRSTEPLQEGNRRKVLERLNSDVQKLTNLQITVQDLKRKLQIIEKSRKGKAIDECDILKEQLEEAETAILKLFDLNGKLMKNMEGKLQNRHEEYPRELGDCSWRCRRYSLFY >CDO96893 pep chromosome:AUK_PRJEB4211_v1:2:14600441:14605884:1 gene:GSCOC_T00014068001 transcript:CDO96893 gene_biotype:protein_coding transcript_biotype:protein_coding MKNILKILLVSDGVTVPNVSVDIECSRGKRTTETTTVLSLHEMAKYFNDKAKISGSVPLGSFNAILTKVNLVLRDEIKRTVPYSWDPAALASFIENYGTHIVTSATIGGRDVVYVRQHQSSHLSALDIENYVKDIGEQRFSDSKSLPSAGPLKYKDKDVTVIFRRRGGDDLEQSHAKWVKTVETAPDVINMTFTPIVSLLEGVPGIKHLSRAIELYLVCKILSSIHSYIFCVDYFQDSRHDSGEVCAIGRQKLLENVSCFRSHCYPLIICLIYLFCYCHY >CDP13897 pep chromosome:AUK_PRJEB4211_v1:2:4173343:4174566:-1 gene:GSCOC_T00039032001 transcript:CDP13897 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEIPPEDGYTWRKYGQKDILNSRFPRSYFRCTHQKLYQCPAKKQVQRLDDDPNIFEVTYRGDHTCHLSTVNMGGGSSTSGGAAAGPSTSRYGRDVDYPVADMADVMFNSGSSSSTSMDLIFSSMDDKWPDSADKKN >CDP16359 pep chromosome:AUK_PRJEB4211_v1:2:28571053:28571190:1 gene:GSCOC_T00018192001 transcript:CDP16359 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEIVKDNESGNIGVAKLVRDRRTKELFVVKFIERRQNNLCFW >CDO97621 pep chromosome:AUK_PRJEB4211_v1:2:20449620:20451579:1 gene:GSCOC_T00015012001 transcript:CDO97621 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDSISCGSAPGSAPGSPKNRVKFLCSHGGKILPRPADGHLKYVGGETRVISVSRDIKISELMKKLTYLIEEEMVLKYQLVQEDLDALVSVKTDEDLKHMFSEHDRYESAGSPRLRAFLFPANPVVVENYMDAIAVEQRYIDAINGIVRAPPSSGTKLHHPTLTVHPAPGPSLGISSACSSPRSPESCNTDGNAHEPMLQSSYHSTRMHMHRVQSSPTVCNLTTGLQQQNSASGLQLYPQNYYQTIRQGFHQGYQYPSKPPADGHKCAGPERLISVRSVGRAEGTRYQVDHGPLYYQSAPRHTRGGGCCSKCLHYDEYGAALEKRMERGSSLSPSPIPLSPRQGHMFVKPWDAAVGGES >CDP18027 pep chromosome:AUK_PRJEB4211_v1:2:46789473:46790035:1 gene:GSCOC_T00008630001 transcript:CDP18027 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPDMLKNALMFKRLEARIKDKGSALGIGRGRAVAMRARAQAAGRGCPPGRGVVPPVRR >CDP08728 pep chromosome:AUK_PRJEB4211_v1:2:53494432:53497777:-1 gene:GSCOC_T00027800001 transcript:CDP08728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01150) UniProtKB/Swiss-Prot;Acc:O04616] MAAAAAAASTSMAATAVSTSRFPVRWTTSCSAFPYLPPRLSTSTAFSTSFKKLSVSRILKLDCQKCNVETRIILTLNCERKIYEPYLFPQDEDFLSNLHIESRSTLLQVKASEESPAVDTTELLSDLKEKWDAVENKSTVIVYGGGAIVGVWLASIIIGAINSVPLLPKILELVGLGYTGWFVYRYLLFKSSRKELAEDIESLKKKIAGTE >CDP17186 pep chromosome:AUK_PRJEB4211_v1:2:47508320:47514320:1 gene:GSCOC_T00000652001 transcript:CDP17186 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSM4 [Source:Projected from Arabidopsis thaliana (AT5G27720) UniProtKB/TrEMBL;Acc:A0A178UIB9] MSYPETVYSWFLASEAMLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRSDRKPPGVGRGRGRGRDDSAAGRQGKPIGRGMDDGAAKGAGRGKGGPAGRSGGGKGGGRGRGL >CDO99568 pep chromosome:AUK_PRJEB4211_v1:2:11309324:11310291:-1 gene:GSCOC_T00029202001 transcript:CDO99568 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGLQQTVHYGHTLQSTHSMRRYFSVASLQRVLHTVQHPHYSHSHLTWYSKTSSPYWASHQKAF >CDO96779 pep chromosome:AUK_PRJEB4211_v1:2:12996912:13000116:-1 gene:GSCOC_T00013905001 transcript:CDO96779 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYSEAPVKRSTIGEDCGRQFDQFPGDIGGIGGNLGSKSMSGSQSLVLDGERGELVKSCGRVGKKGEASEAKTIAALKSHSEAERRRRERINAHLATLRGLVPPNEKMDKATLLAEVISQVKQLQKTTTQISERFCIPLDSDEVRVEQLDEISVEGTFNFKASLCCDYRPGLLSDLKKAIGSLPLTLMRSEISTLGGRLMNVLFFTSSRRGDIGSAEDRKQLVNSVHQALSSILDKFAESTDCLPQTMYSNKRQRVSYFVSSCSSS >CDP14071 pep chromosome:AUK_PRJEB4211_v1:2:5545045:5549569:-1 gene:GSCOC_T00039258001 transcript:CDP14071 gene_biotype:protein_coding transcript_biotype:protein_coding MCHMTACSLLSYIAIAWLKMVPMQTIRSRKQFFKISALSLVFCGSVVSGNISLRFLPVSFNQAIGATTPFFTAVFAYLMTFKREAWLTYVTLIPVVTGVVIASGGEPSFHLFGFIMCVGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVLLLPATLYMEKNVVGITLALAKNDIRIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYSLTVFGVVLYSEAKKRSK >CDP08573 pep chromosome:AUK_PRJEB4211_v1:2:40560082:40561512:1 gene:GSCOC_T00027550001 transcript:CDP08573 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQEDRNAYNPEDLDDDEEDEEGIGGLIHNPGNNTNDINPPENDVVVDDEDDDVDVDVDVDEDDDVDDSTSSSNLAAADHHHHDPSSLLNHHHHHIHLPPPPSLSPGDVTVALPTDQSLRPDAERQRIDEVTVASVSAVASAVVEEKKPVPNDESRRLFQRLWTDEDEIELLQGFLEYTTSRGVINSSHHHDTTAFYDQIKSKLQLDFNKNQLVEKLRRLKKKYRNVMNKMSSGKEYVFKSPHDQATFEISRKIWGGSGVGASVRSGVVMEEGGFDDDDGNPNFSVNFIDQSPNPNFNLNPNGIDQLEKKTPRSRKRPRGVGGVKIEEKPFGYTSVPTLQNSNQQQSVQNVQNMQNVGVVTPTATQIGPVTAAAGSAGSGQATNLIEETVRSCLSPIFKELLNTVMSLNGPMMGGSRGFGFALSPMPLGLNGDLVADEKWRKQQILELEVYSKRLELVQDQIKTQLEELRSMGS >CDO99818 pep chromosome:AUK_PRJEB4211_v1:2:8643388:8646370:1 gene:GSCOC_T00029512001 transcript:CDO99818 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGQPVMVKLKWGMEYKGFLVSVDSYMNLQLANAEEYIEGQFTGNLGEILIRCNNVLYIRGVPEDEEVEDADRD >CDO96819 pep chromosome:AUK_PRJEB4211_v1:2:13393220:13394026:-1 gene:GSCOC_T00013964001 transcript:CDO96819 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVENLVELREAQDYAGSQIFNFRKCASLKCAIELGIPDVIAQHGKPITLSGLISALPINPSKSIHIHRLMRFLSNAGFFVRQNEGYSLSTTGRLLLKNEPFNMRAFLYYVSDPIALKPWNFLTEWFKNDDPSPFDTAHGKNFWSYAAAEPQFGKIFNEAMAGDSSLIVEVVMTQCKSVFEDLTSLVDVGGGTGEFVKAIAQNFPNLECLVCDLPHVVSNQQRTENLDFVAGNMLEMVPPDNAILLKVIRDIHPPCFNHLNFLRNKV >CDP09338 pep chromosome:AUK_PRJEB4211_v1:2:23495410:23498503:1 gene:GSCOC_T00028660001 transcript:CDP09338 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWGFICMLMMAVFGGLMGLTSLLKSVNRWLFEAKLGDRRFSLPPGDLGWPFIGTMWAFLRAFKSSNPDSFISSFVNRFGPVGLYKTMMFGSPSIIVTTPEACKRVLTDDEAFMPGWPSSTLTLMGRKSFIGISAQEHKRLRKLTAAPVNGHEALSIYLKYIEDNVIEALEKWAGMGQIEFLTQLRKLTFRIITHIFLGSESEQVMEALEREYTVLNHGVRAMSINVPGFAYYNALKARKRLVAIFQSVVTERRARRKENSTAEKRDMMDALMDAVDDKGRKLDDEEIIDVLVMYLNAGHESSGHVSMWATLFLQKNPEVLQKAKAEQEAIVKNRPLGQVGLTLKEIRQMDYLSKVIDETLRVVTFSFVVFREAQKDINISGFTIPKGWKALVWFRNVHFDPELYPEPKKFDPDRWDGLTAKAGTFLPFGAGARTCPGNDLAKLEISIFLHYFLLDYELERQNPSCPLIYLPHQRPIDNCLGRVRRVSPSSVRKKD >CDO97666 pep chromosome:AUK_PRJEB4211_v1:2:20868442:20870759:1 gene:GSCOC_T00015073001 transcript:CDO97666 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSQEGEMPIPLNSTYGGGHGHTSHGHGHMIHHDPVPSAHNHMIPSSAPQMPSNGPISSSLEEHVPFKKMVRYRECLKNHAAAMGGNATDGCGEFMPSGEEGTLEALTCSACNCHRNFHRKEVEGEPSSCDCFHSPHPNRVGRKVIVGHHHKSLLGPESLGYPTGALIPSRAAPPHPMIMSYNMGSLPSESDEQDEAGGGGGGAVGGGIVSARPPHQLVKKRFRTKFTPEQKEKMLNFAEKVGWKIQKQEEAVVQQFCQEVGVKRRVLKVWMHNNKHNLAKKNHNASSNNINPHNQV >CDP13993 pep chromosome:AUK_PRJEB4211_v1:2:4912801:4913583:1 gene:GSCOC_T00039150001 transcript:CDP13993 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESGHQIQLTKSHHKCLLRSARHFVYILSTPPTHPPMWSFHVPAAATTTRYPSHHFIALTFFPPPCLRHPHRRSKTVATKNEKKKTKALTAMSNQDSPTFHWHYSDFDDSNFQIRGRTLFFAVVLFCVILFITLIYLYARWVCRLPPFSSRPRLLPDAPSGPPAPQGLDLNAISNLPIILHRASSANPNEGECAICLGIFQDGEKVKVLPDCNHRYHSECIDEWLRTQSSCPLCRASLRVDSPV >CDO96851 pep chromosome:AUK_PRJEB4211_v1:2:13941112:13942699:1 gene:GSCOC_T00014009001 transcript:CDO96851 gene_biotype:protein_coding transcript_biotype:protein_coding METRFANSPLINEQEDSENSPENSGDSPRFCMLNDSKMTCTSSPKKSRRSIQKRVVSVPIKDPEGSRLKGEMGVPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPNMLVVTYSCEHNHPWPTPRNQNHHNQNQNSSPSINKATKTTSTKGESTNSANSDEEEGEEEEKPAKFASQAELNSDEKFVPLISSASDYGWFSEFESTTSCTMLESPILTEARVTDADLAMAFTMRDDDESFFADLGELPECSTVFHRGMMEREEERRRHGLTPWCGTTG >CDP06829 pep chromosome:AUK_PRJEB4211_v1:2:38076771:38078708:1 gene:GSCOC_T00023837001 transcript:CDP06829 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLMKRGLHYTREKKKWLIILGLVGFSSYGAYKVYHLPGVAKKRRRLLKLLEALFSVAEMVSKSSDTISIVCKDFKEFLLSDSDEIPTSLKQLSKIARSDQLSGSLTKVSEAIAIGVLRAYRSENRGETEEVGKSDFSDRFLDKLTSSAGTGFVSVVVGSFARNLVMGFRLNGELNQGLNGDGHNGMLNVNSSSLSMPGWVNVVCDDRCKVLIADCIKTFVSTAVAVYLDKTMDINIYDELFSGLTNPKHQNQVGDILVSLFNGAVETFVKTSHQVLTASKPDLGSNSNSSCSPVDQNEAASLGSNKLQDQEVALSKIQEGSRSINTQNNGWVSSVSSTLAVPSNRKFVLDVTGRVTFETIRSLVEFFFWKISEVLKRSFAVIREDIIDRGLEVIRYVGAKSAVILTICLALLLHVLGSGRVLLPA >CDO97114 pep chromosome:AUK_PRJEB4211_v1:2:16598359:16617857:-1 gene:GSCOC_T00014355001 transcript:CDO97114 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLHHHQQQLVALLSAALPKDNDPSTSSTTPSSSANAAATSSISEEEEPSRVAAITSLHRAILYPPNSILVTHSASFLAQGFSQLLTDKSYLVRQSAATAYGALCSVLCSVPLASNGRQNHVILNGLVDRFMGWALPLLINIGNATAELALEALQEFLSVGDVGAVERYALPVLKACQELLEDERTSLILLHRLLAVLTIISLNFSRCFQPHFVDIVDLLLGWAMVPDLAESDRSVIMDSFLQFQKHWVNNMQFSLGLLSKFLGDMDVLLQDGSPGTPQQFQRLLALLSCFSTVLKSVASGLLEMNMLGQITEPLCKMVPLLLGCLSQIGRKYGWSKWIQDSWKCLTLLAEILGDRFSTFYPVAVDILFQSLDMRNTVQLTRTVNITSFQVHGVLKTNLQLLSLQKHGLLPSSAEKIIGFDAPIAQLRLHPNQLVTGSAAATYIFLLQHVNEEVVERTINSLIEELDLLKTIVLKETCGEGCIGDGITAQKSYSRSELFALIHFDLKVLLTCVSPGGSRYMNVTEVDTLYLNRSKRLLSFLVEKLDPFSLPIEAYVELQLAVLQTLQRLSEVEFLTGCSKREPAPSKPMKEDNIKNRHPILVLEYLRNYSNLLVKALDTASPLAVKIEALEWMTKFCKNVICAYENVESTHYPCEVWGYVEVMQNLLFSILNSASDREPRVRSLVKLVLDMLLQAKLVHPSHFLYIAETVLEKLGDPEKDIKNAFGRLLCNVLPITIYVFGLYDCRMITSCSSVICGVKNRPNLYWKQVFAIKQLPHQLRSQQLVSILSYISQRWKVPLSSWIQRLICSCRNSKDHPLIQSEELVNADVNGLWRDVKVERDILERVCSTNILAGAWWAINEAARCCISTRLRTNLGGPTQTFAALERMLLDISHLLQLDTHQSDGNLTITSISYAHLLPMRLLLDFVEALKKNVYNAYEGSTVLPCPSRQSSLFFRANKKVCEEWFSRICEPMMNAGLALQCHDATIQYCTLRLRELKNFVASSFTDNSRVQVSEVVQSIRGRFDGEILRVVRHMALALCKNHESEALIGLQKWASMAFFPLFAEDNQGVSDSEILEQFSWITGLVYQAKGQHEKAAAHFIHLLQTDDSLSSMGSEGVQFAIARIIESYTAVSDWKALESWLLELQALRSKHAGKSYSGALTTAGNEINSVQALARFDEGDVQASWACLDLTPKSSNELTLDPKLALQRSEQMLLQAMLFQKEGKVDKVPHELQKAKSILEETLSVLPLDGLSDAAPHVNHLYCILALEEKYQTRGSQDNHLESLLGSYIQAVQSPINRVHQDCSLWLKVLRVYQNTQPTSPATLKLCNNLLNLSRKQRNLVLANRLSNYLKENVANCPDTDFRDYIISSLQYEDILLMHSEGKLDDAFSNMWSLVRPSMVSSSIVSSDSFDNVLKAKACLKLSNWLEQSYSDPRLKDVVLKFREDFINIDTFDLGRGISSFRNDALSAKPNIDAITEEFVGAARELSTRLCLNMGKSWISYASWCYAQARSFLSADNEALLHSSSVSSAFHSEIHHQSLSLTEIEQLKVKDIVSELLQKRSLEVLNENVENFVVSECWQKENVKSLSDQITDILEATAGAPGAEDHSGETLSAMLKSKLQKSLAGAKIALDDPAVTSLIDDITDLWRSLRRRRVSLFGQAAQAFINYLSYSSSMYSDCQLSGLHHSNHKSMSYTMRATLYVLNILLNYGVELKDTLEPALSTVPLLPWQEITPQLFARLSCHPEQVVRRQLETLLVKLAKLSPWSIVYPALVDANTQEKEIPEELQQILACLYKLYPSLVQDAQLIIKELENVTVFWEELWLSTLQDLHADVVRRISLLKEEATRIAENVTLSHGEKNKINSAKYSAMMAPVAVVLERRLASTSRKPETPHEMWFHEEYGGQLKSAILNFKTPPASAAALGDVWRPFENIAASLSYQRKSSVSLGDVAPQLAQLSSSEAPIPGLEKQIIASDTERNLSTGVNGIVTVASFSMQVNILPTKTKPKKLSIVGSNGQKYTYLLKGREDLRLDARIMQLLQVVNGFLHSHSATRGQSLGIRYYSVTPISGRAGLIQWVDNVTSIYSLFKSWQNHVQQGQLSALGAGNTNDAVPPPVPRPIDMFYGKIIPALKEKGIRRVISRRDWPHEVKRKVLLDLMEEAPKQLLHQELWCASEGFKAFSSKLKRFSGSVATMSIVGHILGLGDRHLDNIPIDFSTGEILHIDYNVCFDKGQRLKIPEIVPFRLTQTIEAALGLTGIEGAFRASCEAVLGVLKKNKDIILMLLEVFVWDPLVEWTRGDFHDDAAIVGEERKGMELAVSLSLFASRMQEIRVPLQEHHDLLLAVLPAIESSLERFVSILNQYEIVSALFHRVDQERSNLALHETSAKSIVAEASCNSETIHAALEIQAREFAQAQAAVMEKAEEATSWIEEHGRILDALRSRSIPEIEAIRLTGAEESLSLTSAVLQAGVPLTIVPEPTQVQCYEIDREVSLLVAELDHGLSSAVTTLQTYALALQRILPLNYLTTSPVHGWAQVLQLSMSTLSSDVLSVSRRQASELIAKLHGNWFNSAQSIYDELCFKVGEYAVEIEKMEKERTELLDSIGPETESEAKDCLLSTFMNYMRSSRSEEKADAFALGTKENVRTILNYAAITLYNDVKKAIVNDLNGSIWGNSDDRPESDLGNIFNYLEEQIQKCLLVAGFLNEVNQHMDGDSRGNVDGDRVNCLSQDNWASMFQTSLLSCKRFASQMIEVVLPDVIRSVVSFNSEVMDVFGSLSQIKGSIDTSLEKLVEVEQERVSLVELEQNYFVKVGLITEQQLALEEAAVKGRDHLSWEEAEELASQEEACRAHKALLAALMESFSELESVDQALASFDKLAASGSSVLSSHAFFIWKVSLVDSFLDSCTHDVSSFVDQNLGFDQLVNAVRQKIEARIQEHIKHYLRERVAPILLVRLDTECESLKHMNETGRGLQLDPVRDVGAVKRVQVMLEKYCRAHETVRAARSAASIMERQVNELKEALLKASLEIVQMEWMHDITQNPLQHNRLISHKFLASQDNLLPVILNISRPKLVESLQSSIARIARSLESLQACEQTSVTAEGQLERAMVWACGGPSSSATGNSLTRNSGIPPEFHDHLMRRRQLLWEAREKASEIMKICILILEFEASRDGIFRNSEELYPLRTVSDGRTWQQAYLNVLTKLDITYHSFTRTEQEWKFAQSNMEAASSGLVSASNELCVASVKVKSASGDLQSTLLAMRDSAYEASVALSAFAGITKGHTTLTSECGFMLEEVLAITEGLHDVHSLGKEAAALHFSLMEDLSKVNAILLPLESLLSKDVAAMTDAMTKEQETQMEISPIHGQAIFQSYYIRTMEACQAFQPLVPPLTSSVRGLYSMLTRLARTASLHAGNLHKALEGLGDSQEERPPDNNLSSQGLAGDITAYHNDESEIFSKSDPENYHDLLRLNELSLQEKSWISPPETILSGSLDPGVTLAEVSLPDSSNGSDVTEPLLYDTSNREAREFSNQFCLNEAVPHEKSSPTQSGSVSIQVSSQGKSVLRNEASLSNEDKVGGTRDTSFISTEAGTRATRGKNAYAMSILRRVEMKLDGQDIANNRDISIAEQVDFLLKQATSVDNLCNMYEGWTPWI >CDP08687 pep chromosome:AUK_PRJEB4211_v1:2:53829446:53833185:1 gene:GSCOC_T00027741001 transcript:CDP08687 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNQESTGIHQILLKLLDGTHRFLNFTTPIITSHSLKQRIQTLTFVPSHHQLLLLLGQSNCPPTLLTDDHKTLNLSPSSGPHCKTQDLDGNSRFPLVVHLLLRLRGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKKLEEWRAEAEERKLEKIAEEFIKKKAKEMVKNGNGKGGVDTDKYVLKYREDSAKCMQEVERSVRESLKGLVSSSTKKKKRASEGNESDAKRFKIWFGKRKVAGSDSEDDSDEDEDENNKSVVIDKGDQSDSSKEAEGSSDSVTEARRDAISSDTGPSESSSEEEKDNTVKEGRESGESEVDLVEAATVIELELGTLEEKTLQDGDKLSLQASVVGIGTIHAKKEPSDKSCLSDAGGRVSQAPTQSISGEDEASAVVASNDSISNLAMHNGVVTTINAESLNLEKPINLEEINSAAELEVLGLERLKSELQARGLKCGGTLQERAARLFLLKTTPVEKLPKKLLAKK >CDO97540 pep chromosome:AUK_PRJEB4211_v1:2:19802251:19806025:1 gene:GSCOC_T00014915001 transcript:CDO97540 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G25290) UniProtKB/Swiss-Prot;Acc:F4ICF4] MVGSAAFHPKFFPFPVSQVGPTAAHLITTAAALRLGDFLRHRIHLHFLLPSAPSSPNFKSIFDLGYLPFLRDVWNERANLYSGSKILQWTHDSISSTCMALYFFNSGEDENDSSHVRKTYSKPSRRSSFNGRKWTNILLAANVLIYVAQIATQGKLLFWGAKINSLIDKGQLWRLATSSFLHANIGHLMVNCYSLNSIGPAVENISGPRRYLAVYITSAIASSAMSYWLSKAPAVGASGAIFGLVGSFAVFVLRHKGMIKGSEQDLRHIMNVVVLNMAIGVLSKGIDNWGHLGGLIGGVATSWFLGPAWKLESISNDGHEVFADNAPIFTVIKHTRNSNR >CDP19301 pep chromosome:AUK_PRJEB4211_v1:2:28820178:28826685:-1 gene:GSCOC_T00011530001 transcript:CDP19301 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGKVVGVTGASGYVASWLVKLLLERGYTVKASVRDLNDPENIEHLISLDGAKERLHLFVADLMKDGSFDEVVDGCEGVFHTASPFKPVVSDPEAELLDPAVKGTLNVLQSCTRVSSVKRVVVTSSIASVAYNREAKDGVVVDESWFSEPSYCEERKLWYVLSKTLAETAAWKFSKEHGIDMITIHPSWIIGPHLQPSINTSVQLILNLLNGDESFPYANFRWVDVRDVAHAHILAYENPSASGRYCLVERAAHISQVIKILQELYPTHQFPDKLSHDSILINPDYSVSNEKAKALGVQFIPLEVSLKDTIEGFKKKNLVSI >CDO97293 pep chromosome:AUK_PRJEB4211_v1:2:17911283:17915977:-1 gene:GSCOC_T00014577001 transcript:CDO97293 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDINNHVAFHEAKFIDQNGVLRNSRVPVVQELARQRLDFLPERFIRESPTADPIAAPSSELHEISHIEVPDPLPCIDMSKIQLGDDLEDRDRELTKLAGAAKDWGMFLIEKHGIEPKILDEVKDVVKGFFGLSFQEKKASVGSYLSVDNMGYGRNFVKSEDQPLDWIDRLTMKAAPRDATQGLRVWPQNPPNFREAVEKFVEKARKICDSLLRALAETLSEDRQIFIKQFDEEKSEVNVRVNYYPPCPRPDLALGITEHSDASALSVLVQFEASGGLQVFKDMKWLAVQWPVGALLINVGDLMEILSNGCFRSSWHRAVTQRDVERFSVALFYNPPSEAEIEPLKDGKSNNNHHGYKKVVVGEYLQNYYKISPTPTKQAIKFAQF >CDP08610 pep chromosome:AUK_PRJEB4211_v1:2:39248291:39249683:-1 gene:GSCOC_T00027620001 transcript:CDP08610 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGYKNYEEVEPYNDGWGYTAPSGTSYSDHACRPVIVDADGKKHPIIQYASGTGGYVMKTERIVEYRYSPDEPLADHRRYEQHSPAYDRPEKVENFLTKVQDDASRPVTKVSVPIISNWRANPNSAGKDHGTGTKNKDMYYERPGSNHRPITPSHPPSKPTNDIGTAVDFLAEAIKPNYGTNSQTDVSRPNKAGPLSINWRTGLNSADQDRKMDSDEDEQRMYGKNQIPNFREPTKPINNKEGYERANGNGSPTYFGQNPAPFRATSQQPRFPAPTMQNKEMYSAPEKIDSTEARRRYGNMKYQPVLEDSQTETIDSDAALKKYKGAKVPW >CDO99557 pep chromosome:AUK_PRJEB4211_v1:2:11372667:11380371:1 gene:GSCOC_T00029187001 transcript:CDO99557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) UniProtKB/Swiss-Prot;Acc:Q94AP0] MTSVLGCRKIKHNNSTSLILNFRYSLSIISALLLLGIFYLLPFLNEFFFFFGFEQLLSANRCSLYPHSHYWIASKRIVTPRGIVSGTVEIKGGRIVSVLEEEHWQKSVKSLQVIDYGNAVIMPGLVDVHAHLDDPGKEEWEGFPSGTRAAAAGGITTLIDMPLNSVPSTVSEETLGLKLQAAAGRIYIDVGFWGGLVPENAFNASSLEGLLKAGALGLKSFMCPSGINDFPMTNISHIKEGLLVLAKYRRPLLVHAEIPQDDAGYLENKDGRDNPRSYDVYLKSRPASWEEAAIKDLLTVSKDTRTGGPAEGAHLHIVHLSDARSSFELIKEAKKSGDSMTVETCPHYLAFSAEKIPDGDTRFKCAPPIRDAANKEKLWAALIDGDIDMLSSDHSPSAPQLKLFNEGDFLRAWGGISSLQFVLPVTWSYGQKYGITLEQLASWWSEKPAKLAGLSYKGAISIGYEADFVVWEPEVEFDLDENHPVYHKHPVSSHFLTTLSVYLYDRHTACNFSLWYFFSKECTLVWHRGLECVLFYDQISLVWS >CDP04986 pep chromosome:AUK_PRJEB4211_v1:2:652032:653704:1 gene:GSCOC_T00019876001 transcript:CDP04986 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHQSPPPPSLPQPHHLQLQSPHHLPKKPITSLPDFIFTAFSLFLLVSSPKSPTTAFIPLPKISFPLNPRRFLRIPGMSLPSSKSPSNPSFPTPQSLSDWLKPRLPSDSFASWGVKPGTKNVHNLWLELSEGETLLADSSPPIRSLQVVVVRVIGKHNRVLLESHQELSNGVVRRRCRPLSEKMKPGESVEAAVSRAVKEELGSAIRGDFGDEGIVKIVPDSYCKKVEERVSASYPGLPACYVLHTVDAVVEGLPECEFCTEEVEEYIDSEMKRVAEGAFSCKKHFWKWVDPCSV >CDO97446 pep chromosome:AUK_PRJEB4211_v1:2:19098563:19102973:1 gene:GSCOC_T00014792001 transcript:CDO97446 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFNSLVSGEAKDYYSGRGGGGELLDLFDWWNEIQESEKWQRGLYYTLSASYALVSLVALVQLIRIQLRVPEYGWTTQKVFHLMNFIVTGLRAVLFGFYRNVFTIRTKALELILLELPGLLFFSTYTLLVLFWAEIYYQARSLPVDKLRPTYYIINGLIYLLQVCIWIYARVSQTTTAVQVARLFFSVLSFSAALGFLIYGGRLFIMLRRFPIQSRGRQKKLQEVGYVTCICCACFLIRCVMDALSAFDEDVDVDVLDHPVLNLFYYMMVEIVPSAIVLFILRKLPPRRVSDQYQSIR >CDO97474 pep chromosome:AUK_PRJEB4211_v1:2:19307897:19313171:-1 gene:GSCOC_T00014825001 transcript:CDO97474 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRKKRRIFKSKVRIKAKKRVLKEEKITRGVSPLVEKYWLQRYDLFWRYDEGIKLDEEGWFSVTPEEIAVGHAQRCTGAGVVIDCFAGVGGNAIQFARVCDHVVAIDIDPEKVALAMNNAKVYGVEDHIDFIVGDFFQLAPSLKGDVLFLSPPWGGPSYKMTKKFTLDSLKPKDGHSLFQVAQKITPHIIMYLPRNVDLLEVEQLSWLSSPPLDIEIEGNTVRGHFKAITVYFGDAAITQLCLPQTLSGAACKVCI >CDP08661 pep chromosome:AUK_PRJEB4211_v1:2:54035664:54035972:1 gene:GSCOC_T00027703001 transcript:CDP08661 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRELACIYTPWILYDDGIPVTAEKIVTLVKAANLIVESYWPSLFAKLCKKRNIEDLIANVGCGGGTAAPVGGGGATPAAIEEKKEAAREESDEDMGFSFV >CDP07628 pep chromosome:AUK_PRJEB4211_v1:2:24075057:24077975:-1 gene:GSCOC_T00024944001 transcript:CDP07628 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARVFRGTRVLLEAAKAATKHSSSSTSSSAATAAATAKKKPPTGATTKAAASRSKSTTAAAKPKPNAKPKTKPVKQPRAPPSPNSGVFKLSPVSPALNDFLGVSESSRSDAVKKVWEHIKLNNLQNPANKREIYCDDKLKTLFEGRGKVGMLEIARILSGHFVKTG >CDO99573 pep chromosome:AUK_PRJEB4211_v1:2:11261110:11271412:1 gene:GSCOC_T00029207001 transcript:CDO99573 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 1 [Source:Projected from Arabidopsis thaliana (AT4G21670) UniProtKB/Swiss-Prot;Acc:Q5YDB6] MAAAVVVNLIEGERVLGEVEVYSIDDQNGVVWDRDEIRISEYSQPSERCPPLAVLHTVTSSSSDSGGLCFKLELKDKSQQNSPLSILHATCLRENKTAIMPLDEEDELHLVAMHSRQHEGQFPCFWGFIVASRLYNSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKINSEVDQQRVSAMLAEIKRYQDDKNILKQYAENDQVVDNGKVVKSQPEVVLALSDNHQTIVRPLLRLQEKNIILTRINPQIRDTSVLVRLRPAWEDLRNYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSNLIDPKELLDRIVCVKSGLRKSLFNVFQHGNCHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEANNAIPVLCVARNVACNVRGGFFKEFDEGLLQRISEVAYEDDIKEIPSPPDVSNYLISEDDPSASNGNKDSLGFDGMADVEVERRLKEAISASSTAPLAIPNLDPKIVATVQYAVPSSISVLQPTMSGPVVPFPSQQLSQVTSVLKNPINQAILPPEASLQSSPAREEGEVPESELDPDTRRRLLILQHGQDSRERTSSEPQFPVRTPLQVSAPRAQGRGWFPIDEEMSPRQLNRVVPPKDFPLRSEPMEIEKHRSSHSPFLHKAESAVPPDRAFLENQRMLKETLPREDNLRLNQPVASFPSFSGEEASMVRSSSANRDLDLESGQIDPQAETPIGALHDIAFKCGTKVEFKQALVSSSELQFCAEVWFAGEKIGEGLGRTRREAQRHAADSSLMNLADKYISSLKPDSSSVPGEWRRFPNTSNNGFANDFSSWGYQQLPKEEPGSFSTASMPPRVLDSRLEASKRPVGPIAALKELCSMEGLGLAFQTQPQLSANPGQKNEVYAQVEIDGQVLGKGIGINWDEAKSQAAEKALGTLKSMLGSYGHKRQGSPRPWQGMSSKRLKPEFSRVLQRMPSSARYPKNASPVP >CDO99948 pep chromosome:AUK_PRJEB4211_v1:2:7549845:7554765:-1 gene:GSCOC_T00029676001 transcript:CDO99948 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVVVDGSEVVVKDPQSLRHKISSIRLAGPEKLQVIADFDATLTKYWIDGRRGQSSHGLLRQGSPEYDEKRQKLYEYYHPLEFDPTIPLDQKAKLMEEWWGKSHALLVEGGLTYDAIKKSVTNSTIAFREGVVELFEFLEEKDVPVLVFSAGLADIIEEVFRQKLHRSFKNVRVVSNQMIFDQNGQLVSFKGKTIHVLNKNEHALDMAAPVHDRIDNVNGLNEDNSFVKKRTNVLLLGDHIGDLGMSDGLNYETRISVGFLNDNVENSLESYLKAFDVVYLNDTSMQGVVKLASQLCQT >CDP00152 pep chromosome:AUK_PRJEB4211_v1:2:5732923:5734692:1 gene:GSCOC_T00029968001 transcript:CDP00152 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFENVNRWLRELRDHADSNIVIMLAGNKSDLNYLRAVPEQDARLLAEKEGLSFLETSALEALNVEKAFQTILLDIYQIISRKALAAQEATANLPGRSTTIKVGEYSGNTSRKACCSN >CDO97218 pep chromosome:AUK_PRJEB4211_v1:2:17374080:17378280:-1 gene:GSCOC_T00014486001 transcript:CDO97218 gene_biotype:protein_coding transcript_biotype:protein_coding MLWCFSTLFLRLLLPSLALNVDGTLLLSFKYSILNDPLSVLDDWNYDDETPCLWTGVTCAQVETPFGTPAMFRVISLVLPNSKLLGSIPEDLGFIQHLRTLDLSGNFLNGTLPNSLFNASELQVLSLSSNAITGGLPGFSGGLKNLKLLNLSDNALAGNLPPNLPSLQELTVVSLKRNFFSGTIPNGFLYVEVLDLSMNLLNGSLPLEFGGERLRYLNLSTNKLSGPVSPEFAKKIPANATIDLSFNNLTGEIPESMALSNQQTESFRGNMDLCGKPLKKLCTVPSSLSAPPNISTTNSSAPAIAAIPQTIDSTPLPSSPGTAANAAQNQGQHRLKPGTIAGIAIGDLAGIGVLAIICLYVYQLRKRRADEGSKEIPLPVAVDKQQKDNVKDSQLSTAKETTSRSLPSWSCLTIRNGEEPSEATTSDCDDENKNMDIGHQIDQYHEKGRSTKYKSERSLVMVDGETELHIETLLKASAYILGSSAAASIVYKAVLEDGSAFAVRRIGESGFERFKDFESQVKAIAKLRHPNLVLLRGFYWGDDEKLVIYDYISNGSLANAGYRKVGSSPYHMPFQVRLKVAKGVARGLAYVHEKRHVHGNIKPSNVLLTPEMEPIISDFGLHWLLHGKNSYKTDAFSSRHFGFMGCTSPYHAPESLNNLKPNPKWDAYSFGILLLELLTGKVFSDRELGQWTAGLLMEDKNRVLRLADVAIRGDVANREDAMLECFKLGFSCASLNPQKRPSMKDALQVLDRIQTSPSY >CDO96807 pep chromosome:AUK_PRJEB4211_v1:2:13258282:13262189:1 gene:GSCOC_T00013945001 transcript:CDO96807 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQLNLNAPLLSVRKPTLNSGSLLSSRNSSANLGSSDGKSRKLLHKSGPIRQHSLPVPKSDWELGALTKPAAVPFVWEQTPGRAKGEGDEQTETTKRSVSAPRLPPGRLPDSVRRYSGERCNDQNIHGPHPEVYPVSDHAALIDSLRESIYGNEESDLESTDDYSDALDALSPTASFSFNCSISGLSGFEVPNVKQSGTFCVDPQTKDLMMSRFLPAAKAAVVETPQYIPKKQPAATEPPKQPRKVVSGERKPLLDHYGSNIISFYNQFAEDVQSEGEDSQRDIPKKRSSKTWGIFPRLCVKKSLCLLNPIPAMKPRTPVPKSPPTDVGRLTRKAYSGPLDKQASDANNKRRFHSGVLSRELHQVENKPSDSRQFSYTSYRAGGLSPCRPSRSGGISPYRNASPQSPFGKGARFLGLPKEVDNVTAEKYSSLYRKCSNLLDAAPPRIYKQDTVSPTEVVEKTLYIDSVTSVNLKKDIPSSKSESRVNSPGENVKISAERKKIEKLSPPYSNGEVKLLDVTKKGNKLDPKSSLFAHEVQPSPTRISNLRGLVDGRESLQLVKSFNEDTNSVDVNAQLEAQTDIDAVSPQSPLNPPLPKSPSESWLWRTLPSVNLRIPFSHSHLGKNYHTKNVDQKASVAGTKWETIVKTSHLRYDHNRYSEENFPRVSHKQNKI >CDP08628 pep chromosome:AUK_PRJEB4211_v1:2:54327475:54331073:1 gene:GSCOC_T00027656001 transcript:CDP08628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 12 [Source:Projected from Arabidopsis thaliana (AT4G00840) UniProtKB/Swiss-Prot;Acc:Q5M757] MDVVINPFRLCSGLKVLGYFMIFLVLSIIALSYYAVVILTWAPHLLRGGFNSFFSFFIVILFHYLLILLTWSYMMVVFRDPGSVPDNWQLVSEEDLEEGNPTSISHNLGPQTSASALSDGTEIRPAIRYCSQCQNGKPPRCHHCSICQRCVLKMDHHCIWVVNCVGARNHKFFLLFVFYTFLETTLNTVVLLPSFVNFFEQTKKHSLSPGNLAVTFLAFDFVP >CDP08796 pep chromosome:AUK_PRJEB4211_v1:2:52934776:52938873:1 gene:GSCOC_T00027897001 transcript:CDP08796 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPSLFNGVDSSTEEWIVDQMHIVRPVVETGYENVLLVRLLLEMANPSIRKSSVAEGLTVKGILDNWSKIKPIIMEEWDEKRDPLIDLFGKIRDEWMDNDLATWIGANRFYPGVPDALKFASSTVYIVTTKQSRFADALLQGLAGVTIPQERIYGLGTGPKVEVLKQLQKMPEHQGLSLHFVEDRLATLKNVIKEPELDNWNLYLGDWGYNTQKEREEAASIPRIHILQLSDFSKKLK >CDP08691 pep chromosome:AUK_PRJEB4211_v1:2:53789676:53796373:-1 gene:GSCOC_T00027746001 transcript:CDP08691 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIPPLKPTRPVPIFSRVFPLRLKYHSFRFPILSTNGHDQEQQIRTHFSSTRKLSTRGRTSSATRPSSKSLIEDEADLSDWVSGLSSKSFSKTQVFSDSEDDDSKGNSRRNRTSGGGVFSRDRVAGNWGKRGREERGGKRRRDGDSDDFQASNRRGGPRDLEGSFPRRKGKFSGGEWGAEDDEEPIFRRRDRENAIFSRERAWGSDDRFSRGPRGNRLNDVFSREEARDSNEIFSRGSRDRKNGSDLQRARLSNKTSSRGLRDRRKNGAPREQMEGIDMNYGRNARGKGAGRGKSIASDEEDDEDEEEDEEEKGYMRFKELIDSEESSEELNDDDDDVGNYVSEKESVFSQGEGDGMPKASPSSSSERSDSYLSETRFEECSISSLSIKALKDVGYERMTVVQEATLPVILKGKDVLAKAKTGTGKTVAFLLPSIEVITKSPPAARDQKRPPILVLIICPTRELASQAATEANTLLKYHPSIGVQVVIGGTRLALEQKRMQANPCQILVATPGRLRDHIENTAGFATRLMGVKVLVLDEADHLLDMGFRKDIERIIDAVPKQRQTLLFSATIPQEVRHICHIALKRDHEFVNTVQEGSEETHSQVRQMHMVAPLDKHFTLLYTLLKEHIADDVDYKVLVFCTTAMVTRLVADLLAELNLNVREIHSRKPQSYRTRISDEFRKSKGLILVTSDVSARGVDYPDVTLVVQIGLPADRQQYIHRLGRTGRKGKEGQGMLLLAPWEEFFLSTIKDLPTTKAEVPLVDPDTRKKVERALSHVEMKNKEAAYQAWLGYYNSNKTVGRDKYRLVELANEFSRSMGLDNPPAIPKLVLGKMGLRNVPGLRTK >CDO97097 pep chromosome:AUK_PRJEB4211_v1:2:16487735:16493223:1 gene:GSCOC_T00014334001 transcript:CDO97097 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDTSYHKRSKSDYSGNIFEEEKFSNLLKSSYLSEMDMGQLKSSIEPKKRPLTDSMVQNTLKEEILELQKQLENQFAMRQALQRALCHKPFLHDSSLENSISKPAKDLIKDIATLELEVVYLEKYLLSMYRKTFAKRLIPSSMTREKPNQNSPPKEQVSSEFPQNDSSEDSPTNAEHLMPPGGSSASPLNDSLGSQILEDYSIHRSHSSLSHRSAVGVKTSPSLGPLAVEEAVESYHSLPLFMLERAQGSSNVSLPEHLVPSVQDRAWGSPNRISEEMIKCISAICCKLADPPLNNYGFPSSPGSISSSRSSPRDQNHNWSQHCSESSLFNSWLDNSFRIESPKEFSFPFQIVAEIHGICRDQKSLNKVEDMIQKFRSLISFLEFVDPKKMKHEEKLAFWINVHNALVMHAYLVYGIPRSNIKRMSLLLKAAYNIGGHTVSVDMIQSSILGCRLPRPGQWLQSLFFSPAKYRAGDTCKLFATGHPEPRLYFALCSGSHSDPMLRVYTPRRVFQELEVAKEEYIQTNLRTQKDLKLLLPKRVDSFVKELDLCPSGFAELIEHALPGFLREKFQQPQQGKFWKKIVWVPHDFTFRYLIADELVN >CDP05242 pep chromosome:AUK_PRJEB4211_v1:2:2679017:2679228:-1 gene:GSCOC_T00020218001 transcript:CDP05242 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTDGNLVASTNRVAKLLRPLFFVEKPMNFETLTVVFNK >CDO97588 pep chromosome:AUK_PRJEB4211_v1:2:20171910:20174255:-1 gene:GSCOC_T00014970001 transcript:CDO97588 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGGVGGVGLGSGGGGGPTAAAAAAAAQKQKTLLQRVDADIGNIVDNFSFLVNVARVNDPPVRNSQEAFMMEMRAARMVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRTEEFTQQAEKTDMMLARIGEEAAASLKDLESHYYSSSQRTNMPFPADN >CDO97195 pep chromosome:AUK_PRJEB4211_v1:2:17208788:17214948:1 gene:GSCOC_T00014461001 transcript:CDO97195 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFLTICRKADDPSQMPAIGGVGTSLASSGGQRRKWRTALWRALLVVWYTLVFVVEFLLRSLWLKDSRTALSGGAGVELWPRKLATAKFRLDDMKVVKRAVAEAVSGSGTFAKKSFVIESFQYWLLLLALQDGIQMTGLSMVNLRPQPGLQDFSKLLDSKSGTRFGNQFGMLLLPVYYHKGGSDPLQFVRRAKAMIDKKKLSLEGLFSYKLGYFVMSCFGAKLAALLNYRIVCNTTFTISNVVGPREEITIIGNPVTYLRANTSSLPHAVTVHMVSYAGRADLQILVAKDIIPDPQLLARCFEDALLEMKECAEAANTTRKEK >CDP17810 pep chromosome:AUK_PRJEB4211_v1:2:51091924:51092883:-1 gene:GSCOC_T00003938001 transcript:CDP17810 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFDPYEHLKVALNPDGSLTRYIRLPTTPATGENTQLVGQSAASKDVVLSEEKKTWLRIYRPAKLPSNDKSIARLPIIIYFHAGGWIQLSVEQTVIHESCNLLSSEVPAIVVAVEFRLAPESRLPAQYEDATDAILWVKDQAVNENGDRWMKEFGDFSRCYLYGVSCGANIAFNAALQVQDMNLEPVAIAGLVMNQPFFGGKQRTKSELKLATDPYFPLPVIDLLWELALPKGTDRDHRYCNPFVDGAYKEKIKSLGRCLVIGFGGDPLIERQQDFVQMLVLQGVLVEARFDDVGFHGIDMIDTRRAAAIINFIKEFV >CDO99750 pep chromosome:AUK_PRJEB4211_v1:2:9216168:9221546:1 gene:GSCOC_T00029434001 transcript:CDO99750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1B [Source:Projected from Arabidopsis thaliana (AT2G01720) UniProtKB/Swiss-Prot;Acc:Q9ZUA0] MEASGRRPRLLLQICLIFSTLILTFFSPVVRSSSTPELQILSAERRVDLSSHIIRVSLTLKVENVGTSPASEILLAFSPAQVDHLAWIKAGALVGKKRKKSSLPLDVKPSNMLDGPNGTKYYSVFLTSQLGSGESVSLEVLYVLTHSLEPFPVEISQSESQLVYFRDSAVILSPYPIKSQETSFRTPTNKVESFTRVEPTDRSGTELKYGPYEELPSYSYSPVIIHFENNNPFAVVEELVREIEISHWGNLQITDRYKLAHAGARQKGGFSRVEYQSTQPLGGSASFKHLLAELPPRVHSVYYRDDIGNISSSRLRIGAKKSELLIEPRYPLFGGWKATFVIGYGVPVHDYLFESADGSRYLNYNFGCPLAETVVDKLTIKVVLPEGSEDPSAVIPFQVEQRLEKKHSYLDVVGRTVLVLEKKNVVPDHNSPFQVHYKFNPVFMLAEPLMLVLGFFHLFVASIAYLHMDITIRKS >CDP16348 pep chromosome:AUK_PRJEB4211_v1:2:28044211:28047083:-1 gene:GSCOC_T00018173001 transcript:CDP16348 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRKATVDDLLAMQACNLFCLPENYQMKYYFYHILSWPQLLYVAEDYNGKIVGYVLAKMEEESSECHGHITSLAVLRTHRKLGLATKLMTAAQNAMEQVYGAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKQLKGKKHQHHHHHHHHHHHHHHHGGGCCSGETKVEGKAGEPEAKAE >CDO99986 pep chromosome:AUK_PRJEB4211_v1:2:7219348:7224947:-1 gene:GSCOC_T00029730001 transcript:CDO99986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast J-like domain 1 [Source:Projected from Arabidopsis thaliana (AT1G08640) UniProtKB/TrEMBL;Acc:Q93WG3] MALTISSSLVQCPKPSLFSPKNYFLRSSAPLCRIIPSNNLRFPRIYKVGKFMVQAASSAAGSPSNDFNPYEVLGVSPIEGFDMVKAAYAKKKKDAEKRGDEATATELEKAYDKIMMAQLTKRKKGETFGSFKVSKDIKFADKLPIVPWGPRPTKSDPKDIKINIAISAVFTAWIFIQRSAEYKPLQFLAFVFVYRIFEKLKAFEPPVSPTFTEEGEDEGRMLRMGKRLLRSLSLVFGCIAVASLGYTGILNLIEVAGGYIPRFLYYNQEMLITASTAVMLYVMASFYR >CDP05355 pep chromosome:AUK_PRJEB4211_v1:2:3569018:3572545:-1 gene:GSCOC_T00020365001 transcript:CDP05355 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWYPANDVDQEVQSADFLFNNVEVEVGSVYEIDHLHLPPRTPVQLRSIRVAMVSEKTELNVAVRFPSMESLKTYFSNSIREMYPALDEKFVMGTALAAKVLLRQIPSQEFAENKHLEGFWLVNATAVNVSEEDNSYRNGNCLTELKGMGMVRWGTRRQVKFLERHNENISGNLRSPSSFRTGGKKAIEVIRKPAEAKDEDDNDDEQEEREEDDGDDDEREDDVVDVANEETEAEEETQEMNRNLKRKRYKLRNGTTRQKAKKVEREKKKKLKKTHARRGRNKCRELLVMENPKDRWSAERYQLATKNLLEVMKAKGATAGNPILRPDLRAEARKKIGDTGLLDHLLKHMAGKLAPGGEERFRRRHNADGAMEYWLESADLVKIRQDAGVNDPYWIPPPGWKPGDCPTQDPICAKELRLLKEEISHLKREIDKLVSNKKQGVNQAIGFSSNQCDISEKFDQLANSLVSSKSHLSELPEEIKKLMPEAKGEARSNTELIVSKESGTAGKEIVELEKGTKEQEVQVVVNVGELEGSAKAENAAAAEAATAEKKAAKIERLKSGFRICKPQGTFLWPNMARNICNYTATGSTTSHNMVSPRVVVQVEDLLVVPTPPSVSSSTALAPPLLPYYHTTNTNNHHQPTSPVKPLAERRAVKVTVSTLSNDHGDHSDSSTVTTTTTANKKTTPINLNDVPYNSVEVLSGLPSSLPGPAATTTLMPQLLPDEGKRETSRPWEVMTGGVGSGNNAARETTGHLQKQPTKCCSSSASCLSPEKAMGNWLALATANSASDQSSQG >CDO96844 pep chromosome:AUK_PRJEB4211_v1:2:13830349:13837132:-1 gene:GSCOC_T00013996001 transcript:CDO96844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G18780) UniProtKB/TrEMBL;Acc:A0A068FHR7] MMESGVPICNTCGEQLGLNSDGEVFVACHECHYPVCKSCFEYEIKEGRASCLRCSTPYDDSSLMADENTEESGKHATMASRLSNDQQDVGLHARNISSVSTVDSEYHDESGNPIWKNRVESWKEKKSKKKKATSKPVKEVQIPVEQQMEEKEQQLADASQPLSQVVPIPKTQLTSYRIVIIMRLVILGLFFQYRLTHSVDSAYGLWLTSVICEIWFAFSWLLDQFPKWSPINRETFIDRLSMRYEREGEPPQLAGVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFESLVETADFARKWVPFCNKFQIEPRAPEFYFSQKFDYLKDKVQPSFVKERRAMKRDYEEYKVRINALVAKAQKTPDEGWTMQDGTPWPGNNTRDHPGMIQVFLGNTGAQDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSKAIREAMCFLMDPQVGRDVCYVQFPQRFDGIDKSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPSSLTSIPKASSSSNCCCCCPRKKQAKEKDPSELYRDAKREDLNAAIFNLREIESYDEYERSLLISQVSFEKTFGMSSVFIESTLMENGGVAESAHPSILIKEAIQVISCSYEEKTAWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFGGGRLKWLQRLAYINTIVYPFTSLPLVAYCILPAICLLTGKFIIPTLSNLASVLFLGLFLSIIATSVLELRWSGVSIEALWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTAKAADDTEFGELYIIKWTTVLIPPTTILIINLVGVVAGFSDALNSGYESWGPLFGKIFFAFWVILHLYPFLKGMMGRQNRTPTIVVLWSVLLASVFSLIWVKIDPFVSKQDPSNLSQGCISIDC >CDO96909 pep chromosome:AUK_PRJEB4211_v1:2:14914576:14915361:-1 gene:GSCOC_T00014088001 transcript:CDO96909 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAPNITKKLEGKVAIITGGARGIGEATARLFANHGARVVIADIQDEEGQTVAESIGSETCSYVHCDVADEEQVKKLVDSTIHTYGQLDIMFSNAGVFSKSKQLVIDLDFAGLDRIMAINVRGTAACVKHAAKAMVERGVKGSIVCTASLAATTGGESWTDYYMSKHAMLGLMRCASKQLGPHGIRVNAVSPFAVATPLTCSSFQMDAEEFEKLNQPLSCLKGTVLKVNHIADAALFLASDDSAFITGHNLVVDGGCTV >CDP14066 pep chromosome:AUK_PRJEB4211_v1:2:5524599:5528214:1 gene:GSCOC_T00039253001 transcript:CDP14066 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHQMEREYSAQSLLRRSASESESHYTMETGIYMSSFAATVFVAGLVTVGVSLMTLLIALTVMLQNCQRQNAGVVEMQKPSGDYEFCRILALHIELNHLDSDSFPLVCKELAFQFIGDGQYIRELNATLRVVENYFSSIRPGEDGRDVVLMDADDLLPSDYDSLDQFNEYTCSNCHKDASQLKWTLVRELYVKLQNGGWPMILLSRKPERLHKTTVGYLNSVGCGGWSSLIMREDNEFDMDDQEYLTRRRTAIKGQGLRTVAAISSQLDFLTGSKTGGLSFKIPNVFTDRMNNHPSEVSDRVEFA >CDO99785 pep chromosome:AUK_PRJEB4211_v1:2:8893361:8896829:1 gene:GSCOC_T00029475001 transcript:CDO99785 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSIVLGKTSKKVYNQVEENGRLKTPRHSRTTSTAPKDLASFAEEYRKLAIDCLKVLRVEMLLETIFHMQEMTSRQYLDDQDAEEPDDFIISLTSLITRRDEEMAPFIAESKRKYIFGGICGVAAHAFIKALTDVTSINLFGVQQICRNSIALEQALAAIPSINSEVVQLKLDRVRTYYELLNMPFEAMLAFITEHEHLFTDAEYANLLNVQVPGRDIPFDARDRVSEILSR >CDO96886 pep chromosome:AUK_PRJEB4211_v1:2:14395037:14397901:-1 gene:GSCOC_T00014057001 transcript:CDO96886 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRQYLIRKALRIFGNFFGNVSSFVSEWFRQHKSLLELGLKFVWGLLWSVYVCVVLVVLLVSAFVVGGILMNAAVEEPVRIKESLNFDYTQKSPIAYVPIIGCPGPDCGIESSENFDVLKFDGMRVIPLDHKLQVTVSLTLPESDYNRNLGIFQVRVDFLDPDGKALASSRHPCMLPFKSRPIRLLLTFLKVAPLLTGYTSESQDLIIRFKGFTEGGRPTSCLRVTIEQRAQFAHGAGVPEIYAASLTLESEQPLLKRIVWYWRRTLFIWVSMTIFTVELLFTLLCCNSIIIPRVNLGRTRNGPPQNSDSIQSSLGA >CDO97651 pep chromosome:AUK_PRJEB4211_v1:2:20696896:20704961:1 gene:GSCOC_T00015055001 transcript:CDO97651 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKRKSIENPIEGYDTPAEKQQKRGNELLNLDEPVACVHDVSYPEGYVPRASTSNLPDKDAKPAKEFPFTLDPFQAEAIKCLKNGESVLVSAHTSAGKTVVALYAIAMSLQNKQRVIYTSPIKALSNQKYREFKEELSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYKGSEVTREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYFFPSGGNGLYLVVDEKGKFRENSFQKALNALVPPGEGDKKRENGKWQKGLFVGKAGEDSDIFKMVKMIIQRQYDPVICFSFSKRECEFLAMQMAKMDLNNEDEKVNIETIFWSAMDMLSEDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWISSGEYIQMSGRAGRRGIDERGICILMVDEKLEPSTAKLMVKGSADSLNSAFHLSYNMLLNQIRSEDGDPVNLLRNSFYQFQVDQAIPDLVKQAKSLEEERDSIILEEEDSLENYYSLLQQFKSLKKDVRDIVLSPKYCLPFLQPGRLVSIQLIKVDDNLPSFSVKDDVTLGVIINFERIKGLSEDDTNKKPEDASYTVDILTRCAVHKDEAGKRTISIVPLKDPGEPAVVSLPISQAKIDSLSSVRLVIPKDLLPVEARENTLKKVSEVLSRFAKDGLPQLDPEDDMKVQSSSYRKAVRRIEALENLFEKHEIAKSPLIEQKLKLLHTKKQLTAKIKSIKRTMRSSTALAFKDELKARKRVLRRLGYVTSDDVVELKGKVACEISSADELTLTELMFNGVLKDIKVEEMVSLLSCFVWQEKLQDAQKPRDELELLFTQLQDTARRVAKVQLECKVQIDVENFVSSFRPDIMEAVFAWAKGSKFYEIMEITQVFEGSLIRAIRRLEEVLQQLIQAAKSIGETELEAKFEDAVIKIKRDIVFAASLYL >CDP09490 pep chromosome:AUK_PRJEB4211_v1:2:21552647:21555298:1 gene:GSCOC_T00028875001 transcript:CDP09490 gene_biotype:protein_coding transcript_biotype:protein_coding MGESMKQFQDGLIEVEIEAENFLLARHQLVENDRLRNGNREALTALRKRARTTKTSIPSPFESIMREIESRPLVKEICGTCGNHDGKENTWLMFPGTDVFAGIPFHAAHAILDKDQAGLDFEAKKLQSYIKEKSFWISEKGVLADKISPGVLRSLVTLTDQTKDQEKE >CDO96825 pep chromosome:AUK_PRJEB4211_v1:2:13543099:13545828:-1 gene:GSCOC_T00013972001 transcript:CDO96825 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSERKNNSVLIIYANLPFYSCTNICKCGKSLGSIPLELGCLKHLEKLGLGLNSLTGSIPAQIFNISTLQVLDLSNNTLSGRLPSSMGCGLINLEELYLFWNEFDGVIPASISNASKVTILELIGNRFSGPIPNSLGNLRLLRHLGLFGNHLTTEPSSRELSFISYLTNCKYLKFLGFAENPLHGFLPMSVGNLSTSLERFYAYGCGIKGSIPDAIGNLSNLILLTLEGNHLSGPIPSTMKYLQNLQALDLDDNQLSGSIPDCICKLKRLLNLSYNSLSGLLPYETGNLKVVTLLDLSGNQLNGNIPSSFGALQSVANLSLAQNKLQGPIPDSLSHVLSLQFLDLSNNNLSGPIPKSLETLLYLKHINLSFNRLRGEIPSSGPFENFTYESFMFNDDLCGAQRFHVPPCPSPRIHKSSQKKVFHMLGILSGIAATIIAVTAAAILLLRCRRKDGISRNTDLLPMGLPKMISYYELVQATNGYDESNLLGKGSFGSVYKGILTDGTVVAVKVFTLLAEVTSGSFDTECEVLRKLRHRNLTKVIGSCSNLDFKALVFDYKSNGSLEKWLYSHNHCLDLLQRVSIMMDVASALEYLHFSYTTPVVHCDLKPSNILLDENMVAHVSDFGMTKFLDEGNSVLHTKTLATLGYLAPEYGLEGQVSTRVDVYSFGIVLMETFSRMKPSDEMFKADLSLKSWIEKSLPNATTQVVDANLLGRQDEHFNEKLERISVIFKLALSCCAECPRDRTNMKDVVAALQKIKRQIECFPNISA >CDO99952 pep chromosome:AUK_PRJEB4211_v1:2:7510482:7516492:1 gene:GSCOC_T00029682001 transcript:CDO99952 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGFLQSVSAAMWRSRSPDDIMEPESPIPVQRKPPEQVKIPEPEPEPEPEPKKEPPAKPKKPVHFKRVASAGLKDYVLQTRTGNLKEFFSLGKKLGQGQFGTTFLCVEKATGNQYACKSIAKRKLLTDDDVEDVRREIQIMHHLAGHPNVISIQGAYEDAIAVHVVMEVCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFIDQKEDSLLKTIDFGLSIFFKPGEKFTDVVGSPYYVAPEVLKKRYGPEADVWSAGVIVYILLSGVPPFWAETEQEIFEEVLHGDLDFSSDPWPSISESAKDLVRKMLVRDPRKRLTAHEVLCHPWVQIDGVAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAETLSEEEIAGLKEMFKMIDTDNSGQISFEELKVGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFIAATLHLNKIEREDHLFAAFNYFDKDGSGYITRDELQQACKEFGIDDTSLDDMIRDVDQDNDGRIDYNEFVAMMQRGNPVVGGGKKSLENSFSINFREALKL >CDP14049 pep chromosome:AUK_PRJEB4211_v1:2:5384449:5388299:1 gene:GSCOC_T00039230001 transcript:CDP14049 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSCSANLDEVFGDHSAEGLAQGFSSEDRGRTLSQNSSWQSHIDFLEPTTLGIMPEPPDWPERDLILWADIEQRAKSFDLPLSLRMIKKKHQREEALKGVAELASSSITKAFSSTVFIIVELQSHALQMREALCNEDLKVIISKVQRDMHLSFVWLFQQVFSPTPALMVCMMSLLAEFGVYSTSQACLKHLMADPEELLQVGNQEFRSAAEVSLWNSLLDQATRMQPGPGEMVLNHDVLQKFVSPLSAEIEPDDYMEYHRTDLTYQMGLSQDPDNPLLLCNYAQFLRLVAHDYDRAEECFKRAIQVEPLDAESLSRYADFLWIVRKDFWRAEDTYLQALSIEPENSYLASKYANFLWNTGGEETCFPLDASNSSSSRDSNM >CDO96765 pep chromosome:AUK_PRJEB4211_v1:2:12886048:12887146:1 gene:GSCOC_T00013887001 transcript:CDO96765 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSILKQFVCCFLIIIISWEPQALSRMIPDVSMIERHEDWMSSYGRQYKDAAEKERRYNIFRANVNTATPLMYENVTSVPPSIDWRKKGAVTPIRDSTCGKSWTIPAVDAVEGLTKIKTGKLYTLSVQEILDCDGGGHNGCEGGFTDDAFQFIKQHGLTTESNYPSKGNVGTCDTKKEDEPVAKISGYENVPVDNENALMQAVANQPVAVIVDASGMDFQFYSSGVFTGDCGTALDHGVTLVGYGTSNGKLKYWLIKNSWGVDWGEDGYMRMQRDIAAKEGLCGIAMEAKYPTK >CDP17827 pep chromosome:AUK_PRJEB4211_v1:2:27273667:27277716:-1 gene:GSCOC_T00009447001 transcript:CDP17827 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAELFLSPLLQVLFDKLAYPVLQSFARQQGIRAQLKKWSKTLELIQNVILDAEDKQITDRRIKVWLESLRDLAYDLDDIVDEITTEAFRQKLMEPDHSRRSKILKLIPTCQNFTPHSIKFNARIQSRIKTISAQLDELAKQKNDLNLVQHADNGGISGRSVVRHPTTSLNESHVYGREREREEILNMLLMTNETCKDDVCVIPIVGMGGIGKTTLSQLVYNDERVNDFFDLKAWVCVSEEFDVLAITQTIFESVTKVGSESKNLNMLQVSLHDKLSKEKFLIVLDDVWNEVYESWDLLSRPFQVGLPGSRVIVTTRNNNVASMVGSVPGYHVGRLTNDDCVSLLAQHARRDFEEHPELKGLGEDLAKKCGGLPLAAKALGGILRSKMSPEEWKEVLDSKIWDLPNEGNILPVLRLSYYHLPPHLKQLFAYCSVFPKDYEFDKLELVLLWMGEGFLQQPKGKKRMEEQGFDCFNELVSRSFFQRLSGSQSSFVMHDLINDLAQFVAGGTCHRLDDKVEIYEWHKVSEHTRHASFLRHEYEVFNKFQALYKVRGLRTFIPMPVQNVHVWPPFYLSNRILLDLLPELHSLRVLSLSGYSISELPSPICSLIHLRYLNLSGTSVTSLPESLSNLYNLQTLSLRNCRFISKLPETLGDLINLRHLDNANTEQLKEMPMGIGKLTSLQTLPKIVLGKACGLRLSELKNLSLLRGTLSIEGLQNVVDIQEAQEACLKNKPDLEEVQLIWSNKTDNSCDADVLDMLQPHRHFKKLKIDFYGGRKFPTWIGDPLFDKLESISLSNCVNCISLPHLGQLRELKHLRIGGMLGIKRIGIEFFMGNYPLEPAFPSLETLRFECMPDWEEWSWNDGEMQFPHLHQLTMFKCPKLTKISPLQLPLLHELDLEECTREVLDSFMDLNSLTYLKLESITGLSCLPRELTLCSSKLEVLEICNCNDFLKLWESGIGLQTLTCIRRLVIADCESLVCLVDNDQQLPSNLEVLELFRCATLCFLPPDLSSLMSLRELIIKNCPKLMTFPELGLPPMLRRLEIQACNALNALPNGISGLERLELKDCSSLRAWPAGNFPTSFKKFVIKNCEHLQPVSQEMFHQNSSMSLEDLSILNWQNIGTLIQYMHNFSRLVELYISNCDTLESFPDQGLPTPNLRILSVEYCSNLNSIPAEINRISSLVSLEVRSCPKLETFPKGELPSSLTSLRVWDSRKLRPLAEWHLDRLASLQEFSICGGFPKLVSFGDAEHLFPSSLTKFSIARFPSLKSVFKGLNSLTSLQHLSLMNCPKLHVLPCHKLLDRLWHLEISGCPQLRDRCLREKGEYWQRIADIPCVEIDGSYVYKQNPE >CDP17794 pep chromosome:AUK_PRJEB4211_v1:2:51288133:51290704:1 gene:GSCOC_T00003918001 transcript:CDP17794 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSQTQIQSQKPPRKPQPPPISTTTPTTSKRNWSLNDFEIGKPIGRGKFGRVYLAREVQSKYIVALKVIFKEQIGKHGLHRQLRREMEIQSSLHHPNILRLHGWFHDDLRVVLILEYAHGGELYRQLRKSGHFSEPQAAYYIASLTRALAYCHEKHVIHRDIKPENLLLDHEGHLKIADFGWSVQLKSESKRMTMCGTLDYLAPEMVDNQAHDYAVDNWTLGVLCYELLYGVPPFEAESQRDTFRRIMKVDLSFPSTASVSAEAKNLISRLLVKDSTKRLSLKEILEHPWVVKNAENTVACTN >CDP07746 pep chromosome:AUK_PRJEB4211_v1:2:25657462:25660885:-1 gene:GSCOC_T00025100001 transcript:CDP07746 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIYITALLLFGAMLTFQLEVRAEASNSQLKHDSKILQDSIVARINSNSTAGWTAEMSPRFSDYTVGQFKHLLGVKPTPKGVLESTPVVTHPRDLKLPDQFDARTAWPQCGTIGRILGQSHACLNLFTDNVYERC >CDP05080 pep chromosome:AUK_PRJEB4211_v1:2:1391098:1397759:1 gene:GSCOC_T00020004001 transcript:CDP05080 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHEGRGLGCQKTMDEKIDATNGSDKAVIPSCCLKARASDPECDAKCHATVVSGWFSGHQTSSEKTPIQMYFNNPMWPGEAHSLRVEKILFKERSEFQEVLVFQSSSYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIKSPKNVLVVGGGDGGVLREISRHSSVKLIDICEIDKMVIDVSKKFFPQLAVGFDDPRVHLHVGDAAEFLRSASEGKYDAIIVDSSDPVGPAQELVERPFFQTIAKALRPGGVLCNMAESMWLHTHLIQDMISICRETFKGSVHYAWTSVPTYPSGVIGFLICSTEGPPVDFLHPINPIEKLEGAVQHQRELKFYNSEIHKAAFALPSFVKRELSCLRDIKLQERQ >CDP07720 pep chromosome:AUK_PRJEB4211_v1:2:25374364:25376748:1 gene:GSCOC_T00025070001 transcript:CDP07720 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNNQKGSQNQTRNANQNSIPSEDFDIRETSPALGGGRGSGNDKVGTAFDLVEQMHYLFVRVVKAKELPTKDGNGTPDSFVEVKLGNLRSETKHLKNVSNPEWNQVFAFLSDRIQAPVVEVLVRDKNRNGDDLIGMVVLDVMDVPKRVPPDSPLAPQWYTLENRKGDKVRGEMMLAVWIGTQADEAFPEAWHLDATTAVSGDGIANIRSKVYLSPRLWYLRVNVIEAQELQLSDKNRQQPDIFVKVALGNMFLRTKISQSKSTCPLWNEDLMFVAAEPFEEQLALSVEEKVAPNKDVVLGNCLIPLRGVERRIDLRTPINRWYGLEKHVVSENGHRNLVKLNSKVHLRISLDGGYHVLDELTNYSSDLRASAKQLWKPAIGLLELGILSAQGLSSTKTKDGHGTTDAYCVAKYGQKWIRTRTILNSLNPKWNEQYTWEVFDPCTVITIGVFDNCHLQGADRGGGVKDSRIGKVRIRLSTLETNRVYTHSYPLIVLLPSGVKKMGEIQLAVRFSCSSLLNTLQMYSQPLLPILHYLHPLTRYQTDNLRHQATQIVSLRMSRAEPPLRREVVEYMLDVGSNMWSVRRCKANHYRIASAMSGIVAFLQWFNGICTWKNPFATILVHILLLIFVAFPQIILSCSFLFLFLVGICNYRGRPRYPPHMDIKLSQADRAHPDELDEEFDTFPTSRHSDVLRMRYDRIRSIGSRVQTVVGDLATQGERFYSLLSWRDPRATGLFLIFCLVASLVVYIIPSKALVVAVGFYLMRHPAFREELPALPLNFFRRLPTRTDSLL >CDO96927 pep chromosome:AUK_PRJEB4211_v1:2:15095709:15097301:-1 gene:GSCOC_T00014113001 transcript:CDO96927 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFKMATTGMWVTEECKNSFMEMKWKKVHRFIVFKIDEGSRLVTVDKVGGPGEGYEQLAASLPKDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPEESRIRAKMLYATSKDGLRRVLDGIHYEVQATDPTEMGMDVIQDRVK >CDP09491 pep chromosome:AUK_PRJEB4211_v1:2:21550084:21552323:1 gene:GSCOC_T00028876001 transcript:CDP09491 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLAAIEKSLFLLGCAYLSWKIIRYIWGLAKIKKEPVKVLVSGQIGYALVPLIGRGAMLGPDQPVIIHLLDIEPAVLSLKAVTMELTDAAFPLVKGIVSTTKAAEACKGVKIAIMVGGFPRKEGMERKDVMSRNVSIYKGHASALKRFAAPGCKAVHVRTIQVLVVANPANTNALILKQFAPSIPEKNISCLTRLDHNRALGQISERLKVHVGDVKNVIIWGNHSSTQYPDANHATVNTGKGLSTEFITTVQQRGAEILKARKLSSALSAASAACDHIHDWVLGTPKGTWVSMGVCSDGSYGVPPGLVYSFPVTCKKGEWSIVQGLEIDAFSKAKMEASAKELIEERELADSCLN >CDP00073 pep chromosome:AUK_PRJEB4211_v1:2:6391321:6394399:-1 gene:GSCOC_T00029858001 transcript:CDP00073 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPLNTVFDAKRLIGRRFSDSSVQSDMKLWPFKVIAGAGDKPMIVVNYKGEEKQFAAEEISSMVLNKMKEIAEAYLGTSIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKASSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVEAKNALENYAYNMRNTIKDEKISSKLPAADKKKIEDAIEGAIQWLDGNQLAEADEFEDKMKELESICNPIIAKMYQGAGGPDESGPKIEEVD >CDO97568 pep chromosome:AUK_PRJEB4211_v1:2:20020366:20021636:1 gene:GSCOC_T00014949001 transcript:CDO97568 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHSSSTTSVNGFYNFLTRGLDNLDHLFLSQNFMSFQFLQQVLSLLQSFHSQLTFLIQKLHLPVGEKWLDEYMDESSRLWEACHILKSGVCNMENYYSAGTNIASSLDDHAILNMQLSRQVFRALTGCQREIVALEEENKSLMESRAQHLALRFDENVLIESKFNGFTGFRGVLYAMRNVSSLLLLIMLSGLVYYWPHTSLWQGDYEGNMVFGSAFMVSTARLHQRVAAEINHIQGQPRILLHEFQRARIAMDELKEDLERKMMYESEIDVQDKAENFKNCFDMLKAGAESMVAQLDDFFDEIVEGRKKLSDMCTHR >CDP05272 pep chromosome:AUK_PRJEB4211_v1:2:2916490:2917800:-1 gene:GSCOC_T00020257001 transcript:CDP05272 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTSSLIPLQQDDDSQLFPYDLTIPTIHPYLDDTIHHQDLGNQAASSLAPHQQQHYDQVTSIDDDDNNNHHPKGRQRKKPSSAIPADNNDPDDSKQKRTSHRDVERLRRQEMANLFASLRNLLPLEYIKGKRSMSDQVHQAVNYITHKEKNIRELKVKRDKLRNLMGGSSDLNVKSVEETNSSSTTFTVKQCESGGIEILMKNDLAGNCFPLSRVLDMLLDEGLNVVNCVCTKVDEKFLYTIQTEVQL >CDP05278 pep chromosome:AUK_PRJEB4211_v1:2:2959530:2962393:1 gene:GSCOC_T00020265001 transcript:CDP05278 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHFGVNTFTDSEWGTGRENPAIFNPTVLDAAQWVDTAVQAGVSLVILTAKHHDGFCLWPSKYTDHSVISSPWRNGKGDVVQELVNAANPRGVDVGLYLSPWDRHDPRYGKNKEYNEYYLAQLQELLRKYGSVKEIWFDGAKGSNAPNMTYDFGDWFSLVRELQSSINIFSDAGPDVRWVGNEQGFAGSTCWSTINRTALSIGNASIVGYLNTGDPKGTDWLPPECDVSIRTGWFWHKSQSPKPLHQLLQIYYNSVGRNCVLLLNVPPNPTGLISESDILRLREFRKAIDTVFSSNLAENCSATASSQRGGKDGGFGPENVVDGDHLWTYWAPSDDDDDKEHHWIEFRASDGPLRFNVVRIQEAIGLGQRIRQHEVYADGKRIASGTTVGHKRLHRLEKGAVHALSVKIKITGSRATPLLSSVGLHFDPFWHPNPNGQPPSKFVR >CDP05387 pep chromosome:AUK_PRJEB4211_v1:2:3809557:3813271:-1 gene:GSCOC_T00020403001 transcript:CDP05387 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQEGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDQESFNNVKQWLNEIDRYASENVNKLLVGNKSDLADNRAVSYDTAKAFADEIGIPFMETSAKNASNVEQAFMVMAADIKNRMASQPSANTARPPTVQIKGQPVNQKSGCCS >CDP06808 pep chromosome:AUK_PRJEB4211_v1:2:37005700:37006131:1 gene:GSCOC_T00023796001 transcript:CDP06808 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNEMSEMRVSSSIRCPMNWKTRMSRFADRFNLASEMPLKLPKTIVKPVHGDYTIATSTRAFSIVRILLYLIDK >CDP05314 pep chromosome:AUK_PRJEB4211_v1:2:3247021:3251578:1 gene:GSCOC_T00020311001 transcript:CDP05314 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRTTWLPPLFSSSKRSLVNQIQGAYGLRIWRTMSSEIQKPEEDGTFPRGITPFITFVLGGPGSGKGTQCARIVENFGFTHLSAGDLLRQEISSNSENGAMILNTIKDGKIVPSEVTVKLIQKAIESSESHKFLIDGFPRTEENRLTYERIIGAEPNIVLFFDCPPEEMVKRVLNRRQGRVDDNANTVKERLKIFRALSLPVVNYYAKKGKLYKIDGTGTEDEIFERVRPIFAA >CDP18029 pep chromosome:AUK_PRJEB4211_v1:2:46807592:46818692:-1 gene:GSCOC_T00008632001 transcript:CDP18029 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPLHDQQQQQQQAMATAPSQGPPYHQPATLEEVRTLWIGDLPYWADESYLHSWFSPTAEVLSIKVIRNKITGQPEGYGFVEFTSHAVAERILQSYNGRQIPGTELNFRLNWASFGIGDRRPDAGPEHSIFVGDLAPDVTDYLLQETFRAHYPSVRGAKVVTDPSTGRSKGYGFVKFADETERNRAMTEMNGAYCSTRPMRISAATPKKTTATALQQQYAVAKAIYPTPIYTPPVQTIAFDSDVNNTTAFVGNLDANITEDELRQIFSQFGEIVYVKIPAAKGCGFVQFAARTSAEEAIQRMQGAVIGQQVVRLSWGRSPTAKQDLPGTWTQPTDPNQWSAYYGYGQGYDAYSYGATQDPSLYGYGAYAGYLQYTQQGEGSQDPAAISAAAPALEQREELYDPLATRGVDKLNAAYLAVHGSAILGRPLWQRTSSFTQQPALNLQLSNA >CDO99842 pep chromosome:AUK_PRJEB4211_v1:2:8380213:8382197:1 gene:GSCOC_T00029538001 transcript:CDO99842 gene_biotype:protein_coding transcript_biotype:protein_coding MSISETLVEAALRVLNTADPVEKARLGDEVANKWLQGLITQAYDPSQDVIVPDRPARLSNVKLVSPSMMPKLGKAGSLQSRQAIVHSLVHTESWAVDLSWDIIARFGKQECMPRDFFTDFVKVAQDEGRHFTLLSVRLEELGSFYGALPAHDGLWDSAMATSNDLLARLAIEHCVHEARGLDVIPTTISRFRNGRDDQTADLLEKVIYPEEITHCAAGVKWFRYLCLRSRNPDVIDGLLMPQKNEVNYSDNDVEADDEVIRMFHATVRRYFRGPLKPPFNETARRAAGFGSQWYEPLAIKDVATE >CDO99519 pep chromosome:AUK_PRJEB4211_v1:2:11715787:11717138:1 gene:GSCOC_T00029130001 transcript:CDO99519 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPIAKKAAFNLSKAFPRSNYPLLYGKVSRVCFTTATKHSGGQYAEHADRRRDHDRDLYADKSDEDAAEMTKRARESVKESMGKTKERTQEMEEKAKEAAEKAKHRTESVAERAAEKAKEGKDWAADTAQETKEKAEDRADEMKEKTEDTAYEMKEKTKGAAETVAEKTKEGAYKVVETAETVGEKAKQTMKDAWGAAKETTQKIKETVVGKDDDDDKDRVEDFMEDHVRKPARKHRDIPVTEAPKGEHPDGVTMDEDVVELRRKAGQHDHHDKKH >CDP15204 pep chromosome:AUK_PRJEB4211_v1:2:49453559:49460232:1 gene:GSCOC_T00042827001 transcript:CDP15204 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVAPTSGLRESSAHVVGVDRLPEEMNDMKIRDDKEVEATIVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCSALDALTHPFFDELRDPSSRLPNGRFLPPLFNFKPHELKGVPVETLVKLIPEHARKQCPFLAL >CDO97669 pep chromosome:AUK_PRJEB4211_v1:2:20883928:20886732:1 gene:GSCOC_T00015076001 transcript:CDO97669 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIFRYKTKLRSLAPKITTLSLSSSKFQSSPRKTLSKISEYFPHPQPRPHLNPLGFVSHIQERHKWHGSSDNYDHIKAEVNCPRCSKLMSVLFSNRPLSISRSEPGVYQAVNLCPNCRTAFYFRPFKLEPLQGSFIELGRLKGGKVDMEGNSESGGTGGRGGENGKKIWEKLRNYSGGSSASSNNVKEGSSNSGGDVEEKAVGPAWVEVGSGGGEFEGANLGKELPTPKEISRGLDDFVVGQERAKKVLSVAVYNHYKRIYHASLHGESGAEYRSTDGKIGDFDADDVELEKSNVLMMGPTGSGKTLLAKTLARVVNVPFVIADATTLTQAGLR >CDO97317 pep chromosome:AUK_PRJEB4211_v1:2:18098948:18107405:-1 gene:GSCOC_T00014627001 transcript:CDO97317 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLLRALPSIAFRYKHFSLRPPPLPLLFLNSHSPSFKPTQPPKRCISSPSVSASSPSPSSTETPLVAASPKVSRSIPSLEWVSRTAFCGELSEGDVNKRVRLCGWVALHRVHGGLTFVNLRDHTGVVQITTLPNEFPDAHSTVNDLRVEYVVAVEGVVRPRPIESVNKKMRTGAVEVAAERVHVLNTVRSKLPFLVTTADDAKESVKEEIRLRYRCLDLRRPQMTSNIILRHQVVKLIRRYLEDVHGFVEIETPILSRSTPEGARDYLVPSRIQPGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMEMAFTPLEDMLRLNEDLIRKVFLEIKGVQLPDPFPRLTYAEAMSQYGSDRPDTRFDLGLKDVSEIFSDSSFKAFADTLKSGGIVKALCVPSGGKTYSNTALKKGDIYNEAIKSGAKGLPFLKVLEDGAIEGISPLVSCLDHTKKDKLLKHLSAGSGDLILFAVGQPASVNKTLDRLRLYVAHDLDLIDPSRPSILWVTDFPMFEWNDAEQRLEALHHPFTAPNPEDVKDLFSARALAYDMVYNGVEIGGGSLRIYKRDVQEQVLEIIGISREQAEAKFGYLLEALDLGAPPHGGIAYGLDRMVMLLAGSHSIRDVIAFPKTTTAQCALTRAPSPVDTQQLEDLSFHAT >CDO97556 pep chromosome:AUK_PRJEB4211_v1:2:19927293:19929104:1 gene:GSCOC_T00014935001 transcript:CDO97556 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVLWADYPDSKYKGNSKNKCWKSEEFDQLTGDSCFESFSCVTVVHAIPVVKIADWGNLEPGM >CDP08820 pep chromosome:AUK_PRJEB4211_v1:2:52717153:52722543:1 gene:GSCOC_T00027927001 transcript:CDP08820 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACCIPILECVYCLACARWVWKKFLYTAGRESENWGLAAASEFEPVPRLCRYILSVYEEDIRNPNWAPPGGYGVNPDWIILKKTHIDTGGRVTPYMIYLDHYNAEIVVAIRGLTLAKESDFLVLFDNKLGQTKFDGGYVHNGLLKAAQWVFEGDSEILRELLERYPDYRLTFGGHSLGAGVVTMLTILAIKNLEKLGNIERKRVRCYAIAPARCISLNLAVRYADVINSIVLQDDFLPRTTVALEDVFKSIFCFPCLLCIMCLKDTCTLEEKMLQDPRRLYAPGRLYHIIVRKPFSLGKISPTVRTAVPVDGRFERIVFSRNMTSDHGIIWILQESRRALDALLEKEHIMDIPAEQRMERQASIAKEHREEHRAALQRAVALDVPQAYSPSYGTFHEIEEGENSHRTGVSFESFDKRKSWDELAGRLFEMDGSARAVLRNS >CDO97122 pep chromosome:AUK_PRJEB4211_v1:2:16638820:16641030:1 gene:GSCOC_T00014365001 transcript:CDO97122 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLQMVSSRKQCLQKLPTFSFKITQQIGLNSIPLSHASILKELKESKPLQQVHAYIITSGLSSNIFLCNRLMNSYASCGLMDCAQDIFSGTENRNFVSWTILISGFTRNDLLIDAIKAFHDMILNYVRPNANTISSILPAFAKLGLTRMGKSVHGYWIRQSCGRNVFVESALVDMYMKIRCMNFARHIFDNMPDRNLVSWNSVILGYSDNGSGEEAFNLFNCMRRKGYSIDVFTIMSLISASLGVGDLSLARAVHALTIRIGYVSDRLVMSAFMDLYIKTDGVDDAYCIFNEISKKDIVVWTVMLSGFLRKGKWNRSIEHFNEMIAAEKSALDAVSLVSILAGCSSSGALQQGRRIHAMVMKIGYQSDVFVGSAVINMYANCAEICDAERYFGSMKEKDAACWNALISGYSMNGYGNDAIDLFLKMKGSGINPDEWTLLSALCACSHAGMVDQGLHIFHHMAEFWNIVPNSKHYACVIDLLGRAGLLDDAYRMICNMHLPPTVDVYCAMLSACRVHRNMEMGVEIARKLSALKPTDVGHHILLSNMYALAGNLEAVKLTRTSLRLTRLKRYPGFSSIEIDGEMYTFMASQKDHPQYQHISGFLKGIILKIKSEGYVPDRECVLEEVSDDMREDILYHHSEKLAIALGLLKTKQGTVIRITKNLRTCNDCHSASKIISKVFGRALIVKDANRFHVFQDGICSCKDYW >CDO97186 pep chromosome:AUK_PRJEB4211_v1:2:17132046:17136999:1 gene:GSCOC_T00014448001 transcript:CDO97186 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSTSTMVISSESLEQTGKLVANAYTSVPQLPLQQSRNSSQGAVAILWDIENCPVPSDVRPEDVAINIRMALRVHPVIRGAVTLFSAYGDFNAFPRRLREGCQRTGVKLTDVPNGRKDAADKAILVDMFLFALDNPPPSYIMLISGDVDFAPALHILGQRGYTVILVIPSGVGVSSALSNAGSFVWDWPSVARGEGFLPSAKIFTPPRGNPADIAAFLVGCHLNDNADCQNEEEAIVYRGISQRFYNTWDFSMVSQSLGEYNSNSISIPGFPATLRSHSLPSGFNEVLAGNLAPCNQNDLVWVQPGDLNGLKGQLVKLLQLSGGCLPLTRVPAEYQKIYGRPLYVSQYGSCKLVNLLKKMADTLVVEGKGQKKLVCLRNSRGGQCAPPVVLAKKERNGKGAQEDNADVVAVAGSSDECSEEERVVIEQDERTGGKCGSGMAAHSQMNNQSLEKFKYELQEILVSYSCRVFLGCFEAIYEQRYKRQLEYQNFGVSELGELLEKVQDVVVLQEEPVSKRKFLVAIGG >CDO97694 pep chromosome:AUK_PRJEB4211_v1:2:21093774:21095986:1 gene:GSCOC_T00015104001 transcript:CDO97694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g45350, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45350) UniProtKB/Swiss-Prot;Acc:O22137] MTLSGNLHQPWISILPFSPQFKTQMDINQIHAKLLTTGLIKNLYLTRKLILKFSNSPHKPLIQFAKYLFFSSQAFDDSRNKADPLIWNSVVKIYSHGSDPENALKVFVLMLESGVLVDEYSLSLVLKACSRVGLVKNGMQIHGLLKKCEFGSDLFLGNCLISMYVKCGCIEYGRQFFDRMADKDSVSYNSMIDGYVKCGMVDFARQLFHSLPAGMRNLITWNTMIGGFVKLGDGFESAWELFEKMPERDVVSWNMMIDCCIKSGKMRMAQMLFDTMPRRDVASWAIMIDGYAKSGSVDVARGFFDDMPVRDVISCNAMMAGYLRNGSYVEALKMYHDVLNNSDFEPDKTTFLIALSAAAHLGNINEGVTIHSHMKNNGLFAAGKLGVALIDMYAKCGDIDCALSVFEDIKEKSVDHWNAMIGGLAINGLGELAFDMFMEMERRCIEPDDITFIALLNACGHAGMLKEGIICFEILRRVHNMDPKLQHYGCIVDILSRAGHIEEARTFVKEMPIEPNTVILRTLLGACKNHENLSTGEPLAQHLIGLNSLNSSSYVLLSNIYAQLGLWDSVRCIRTIMKAKDVKKFPGCSWIELEGLVHEFFVGDTSHFQVKEIFSTLNR >CDO96882 pep chromosome:AUK_PRJEB4211_v1:2:14311381:14312341:1 gene:GSCOC_T00014050001 transcript:CDO96882 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVGENKKWNAIGGLSDTKANLQGLGGTKTAQNVDKAVPRDVQEMAEFAVEGTKLVLIKVKRVVVFGGFYSLHMLTQDDKGTYTDKALALKFKNGKKVRLWYKHNER >CDP18028 pep chromosome:AUK_PRJEB4211_v1:2:46796187:46805062:1 gene:GSCOC_T00008631001 transcript:CDP18028 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPKKRSKQTPKHQQHDLSSSNAITIPATPVALDPTPVRRSSRLYTTPTAATAPPPPPPRFTRRKSLNFTTTTPTPIPKTPKKPAPQSQEDAAKQISPISPDRYETRRKRKLDEEKNAGLAKNKDLKGGKKRVYYRKVVYDGGEFSIGDDVYVKRRENAESDNEDPEVEECVMCFKAGRAVMIECDECLGGFHLKCLKPPLKEVPEGDWICRFCEATKLGKNVELPVPPAGKKRARTAKEKLLSSDLWAAHIESMWKEVDGTYWFRSRWYIIPEETDAGRQPHNLRRELYRTNEFADVEMESIIRHCYVMNPKDFSKAADEGDDVFLCEYEYDVCWHSFKRIAEISNNEEDAEEDESDKDWNSCEITDSDSEDDTDYKKEKINGSQRGPSFGHSLAANLRKGQFFGLQKIGAKKIPEHTRCHKQTEIGKAKAMLLLACLPKSPPCRNKEMEEITAFIKGAVSDGQCLGKCLYIHGVPGTGKTMTVLAVMRTLKIEVDSGNIKPYCFVEINGLKLASPENIYRVIYEALTGHRVSWKKALHLLNERFSNGTNWEEENNKPCILLIDELDLLVTRNQSVLYNILDWPTKPHSKLIVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYQQLQEIISSRLKGIDAFEKPAIEFASRKVAAVSGDARRALEICRRAAELADYRLRKSQSLNDSAGTRRSLVGVADVEAAIKEMFQAPHIQVMKSCSKLSKIFLAAMVHELYKTGMGETSFEKLAMTVSCLCTSNGEAFPGFDTLFRVGCKLGECRIILCEAGVRHKLQKLQLNFPSDDVTFALKDSKDLPWLAKYL >CDP07790 pep chromosome:AUK_PRJEB4211_v1:2:26514727:26516559:1 gene:GSCOC_T00025173001 transcript:CDP07790 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDEGTSSANEAVKKKFNRICVFCGSRAGYKSSFSDAALQLGKELVNRKIDLVYGGGSVGLMGLVSKTVYEGGCHVLGIIPRALLPHEISGKTYGELKIVADMHQRKSEMEKHADAFIALPGGYGTMEEFLEMITWAQLGIHEKPVGLLNVDGYYDGLLALFDKGVEEGFIADSARHIMALADTAEELIKKMEEYAPIHERVAPRQSWEVDQLLESTTTTQVGESLTS >CDO97008 pep chromosome:AUK_PRJEB4211_v1:2:15736663:15742613:1 gene:GSCOC_T00014218001 transcript:CDO97008 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSPGNINGGPSSPDDIISSPIGNTLSSPGDSTRRKRGRRSTAAYATPPPPASSRFATPEGTPTLSSTNPRRGRRRVSTATPTGAAATPSSTDDGPPSSEAGDADDADETPPMYVWGTNISVQDVNAAILRFLRHFRENPSQTEGKYMRAINYVIEIEGDSLDVDAHDVFDYDSDLYAKMVRYPLEVLAIFDIVLMDMVSRINPLFEKHIQARIFNLKVSTSMRSLNPSDIEKMVSLKGMIIRCSSIIPEIREAIFRCLVCGYYSDPVVVDRGRINEPLICGKQECLARNSMTLVHNRCRFADKQIVRLQETPDEIPEGGTPHTVSLLMHDKLVDAGKPGDRVEVTGIYRAMSVRIGPTQRTTYIDCLHLKKTDKSRMQAEDPMETENGTARSDDDTIDYDNKVEKLKELSQLPDIYERLTRSLAPNIWELEDVKKGLLCQLFGGNALTLPSGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDRGICCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPIGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQIDRRLAKHIVALHFENPEILQEDIIDLPTLTAYMSYARKNIHPQLSDEAAEELTRGYVEMRRRGNFPGSSKKVITATPRQIESLIRLSEALARIRFSEWVEKQDVMEAFRLLEVALQQSATDHSTGTIDMDLITTGVSASERMRRENLVSTTRNIIMEKMQIGGSSTRLLELLEELKKQNSGAEVHLNDLRNALATLASEGFVVVHGDSVKRI >CDO96832 pep chromosome:AUK_PRJEB4211_v1:2:13657082:13660241:1 gene:GSCOC_T00013981001 transcript:CDO96832 gene_biotype:protein_coding transcript_biotype:protein_coding MLTILSFADNKLTVHSITWGIPKKIGNLHKLEELYLDNNTLSGSIPAAIFNISSLQSIELCQNKFSGTIPLTMSNKLSNLQYFNIYQNYLSGVIPSFISNASKLVSLSLDNNELTGSIPNSLGSLRNLKFLNLASNKLSSEPSSPELSFFTFLMICRFLRYLAVDQNPLNGFLPASFSNYATLEHLNAFSCKIKGNIPVGISNLSSLLDLDFSGNELIGSVPRTIHRLTNLQELKLDFNQIRDALDIFCGLHSLGLLQLSQNQFFGSIPECLGNMTDLRELYLDSNRLNSMIPATLLSMKNLQILNLSSNFFSGSLPLDIGNLKAAYSLDISFNQLSGIIPTTIGELQVLQSLSLAKDNLQGSIPESISNMLSLEFLDLSHNNISGAIPKSLQTLKVLKEFNVSFNRLSGEIPQGGPFRYFTGQLFMNNEALCGDPRLGVPPCQCNSIRSSRKRKVLLLVISLSVIAAILIIAIVAILVLRWLKEPKGSGGTELMSVAKYERFSYYDLLHSTDNYSESNLLGEGSFGSVYKGILSDGIVVAIKVFNLQVEGTLKSFDRECEVLRSLRHRNLTIVLGSCSNPDFRALVLKYMPNRNLDKWLHFRDHFLDLFQRVNIMIDVACALEYLHYGYDTPAVHCDLKPSNILLDEDMVAHVSDFGISKMFGEGESILHTNTLATLGYIAPDFGSEGIVSTRIDVYSFGIVLIETFSRMKPSDEMFSGDLSLRSWVEDSLPDALQVIDANLIRPEDEHFTHKLKCVLLIMNLALNCSRESPGERMNMKDVLANLKKIKYQLLMTVSA >CDO97063 pep chromosome:AUK_PRJEB4211_v1:2:16233490:16236887:1 gene:GSCOC_T00014287001 transcript:CDO97063 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQASPSDPDNFPFVVLGNKIDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKDGTNVEEAFQCIAKNALKSEEEEEIYLPDTIDVASSSQQRSSGCEC >CDP15517 pep chromosome:AUK_PRJEB4211_v1:2:45953420:45955611:-1 gene:GSCOC_T00015375001 transcript:CDP15517 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPSKRKPFTTLSLTFLSLTLMLIIFRSFRWKIEVPRKNINSISVRYPEWYRIIAQKLAQKRIRVGLVNVNNETSEDIGSAFVQGEAEIVKVNFNRAADHIQWGHLFPEWIDEDNPEEQKCPEIPMPRYNDYGEFDVIVASIPCPIGAEKGMGIRDVFRLQVNLVVANLLVRSSFEYHEYEMKFVVFLGSCSPMWEIFRCDDLFWDDGAWSIYRPDLRRLKQKVLMPVGTCQLARPFTESGQEGWRRYALNDALYQPREAYVTILHSSEAYVCGAIALAQSIIQSNSTKDLVLLADDSISKKSLQGLKAAGWKIKRITRIRSPHAAKGAYNEWNYSKLRIWHLIEYDKIIFIDSDFIVLRNLDEFFVYPQLSAVGNNGHIFNSGLMLVEPSKCAFQVVMGKRFTMASYNGGDQGFLNEVFTWWHRWPGRVNFLKFFGNTYDLIRPKIPMNLYTIHYLGVKPWMCYKDYDCNWDVVGFHKFASDLAHEKWWQVYDAMPKKLRPYCELSPRMDARIKKWRGKARNASLPDRHWEIRVKDPRMHA >CDO96940 pep chromosome:AUK_PRJEB4211_v1:2:15212178:15218978:-1 gene:GSCOC_T00014134001 transcript:CDO96940 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRQFSLPLKLILFCILGTTGICVSLSSTNIINRQAQFVEDEDFKIEEASIPDIQRAFASKKLTSRQLVDFYLNQIHTLNPVLRGVIEVNPEARDQADEADRIRDSINCNASYSTSLLSDMHGIPVLLKDSINTYDKLNTSAGSYALLGSKVARDAGVVERLRKAGAVILGKSSMSEWYQLRDPRLPSGWCARSGQGLNPYVQGGDPCGSSSGSAISVAANMVAVSLGTETDGSILCPADSNSVVGFKPTVGLTSRAGVIPVSPRQDTIGPICRTVSDAVYVLDAIVGFDPRDHGATKAATKFIPVAGYKQFLNKDGLKGKKLGVLRNPFVNLSNRSNAVPILESHLRTLSQQGATIIDDLEISNIGVILDPFQSGETVAMLAEFKLSLNDYLQGLTESPVRSLGDIIAFNKNNPDLESFGDFDGQDFFIVADFTNGIGEQERKAIELMEKLSGDGFEKLILENDLDAVVTLGSSAARVLAIGGYPGITVPAGYDRDGMPFGMLFAGLKGMEPKLIEIAFAFEEATMIRRPPIYRSRSIVLDNSIAIDMDIALLLFVSATIFGLSHNAEGSPFSFREAAVRDIRIALDHSRLTSRELVEFYLKQIRGLNPVLNAVIEVNPDALCLADKADRDRRAKKPGSVTGLAGIPILLKDNIATKDKLNTTAGSYALLRSVVPQDAGVVKKLRRAGAIILGKASMTEWAAYRSPGVPNGWNARRGQGVNPYHKYATSCGSSTGSATSVAANMVAVTLGTETSGSILCPSSVNSVVGIKPTVGLTSRAGVVPISPRQDSVGPICRTVSDAVYVLDAIVGFDPDDAVATKKASKYIPRGGYLQFLKSDGLEGKRLGILRCSFVGFSNSSEELNAFEPHFHILRQRGAVLVDITDTASFDSIVSSMFNDQFTAMRVEFKLALNAYLQQLITSPVRSLADVIVFNKKHTKLEKIEEYGQDNFEEAEKTNGIGRVERELLHNLTGASKIGFEKLMKDYKLDALVTPAPNIVYAISAGGYPGINVPAGYDTDGTPYGISFGGLKGSEAKLIEIAYDFEQATKIRKPPPLLRNHIKTYN >CDP19865 pep chromosome:AUK_PRJEB4211_v1:2:31223382:31223522:-1 gene:GSCOC_T00007811001 transcript:CDP19865 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPINPKPFLNNLTGEPVMVKLKWAMEYKGLLASVDSYMSLQLS >CDP15231 pep chromosome:AUK_PRJEB4211_v1:2:48999886:49004681:-1 gene:GSCOC_T00042866001 transcript:CDP15231 gene_biotype:protein_coding transcript_biotype:protein_coding MKSINFMTMKPCCRVLISRKISPFLGIPLPKSHQFFAPNSSAFQFNHSLHTAPKTRIVNLQSILKENQQPFFAPSSTRGQSRIFSSSCLCGKLSHRGLYVIARVASVRNYSTSVETRVNDKNFERIYVQGGLNVKPLVVEKIDLDENIVSNEEPNVKVGEDSLDDKSSDGLSSVEAVKNVGREQSEVDKEAWRLLENAVVSYCGSPVGTLAANDPNDKLPLNYDQVFIRDFVPSALAFLLKGDSEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDENKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIKLILNLCLSDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLRVDDGSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTEATNKFNIYPEQIPHWLMDWIPEKGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNEAILNLIEAKWDDLVGLMPLKICYPALESEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACMKMGRMDLAKKAVDLAETRLPADRWPEYYDTRYGKFVGKQARLYQTWTIAGYLTSKMLLENPEMASLLFWEEDYDLLEICVCALSKSGRKKCSRGAAKSQILV >CDO97245 pep chromosome:AUK_PRJEB4211_v1:2:17566902:17569899:1 gene:GSCOC_T00014517001 transcript:CDO97245 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNQEDLEWLMARNPPPQSSPNAFGILPIPENPRTFSLPSPGNHVNRLSSLPASRSLHIPNPYHTNVALHNSANTNIHVNPNYADSFYDYLLEAEFNQLNLSTPRQTTAAAAAYGCHVGRLGSVPGKFGSIPVGSNTYVDDSSVGTAQFPLSYATTRYANNGNFQRMRIDSAVRGQLGMYIQPQYLTSVDDQVLNGNLRGSNLNNAFHDQEMDNLPRQGLVSVNRNASAFRPELGFVFPNNSGRNKINTTATLQRRERSLDGFDYDNPSLSTSFHSRCRQRGQSLSSLEELRSKIFSSLEDLRGKIFIVAKDQNGARDLQKMLAEGKPKEKEIIFSELKDHVRELMVDQFANYLAQKMFEIGNADQITELLLLVINDEHSLMAICLDMHGTRAMQKLLGHVTTPEQRSLVISALRRITVTLTKSNNGHHVVEHCLKYFPIEDKKYILDVVADNCLDIATDKSGCCVLQQCVEHARGEPRDRLIAEITANALVLSEHPYGNYVVQFIIGLRIPYITSDILSQLAGNYVSLSMNKYGSNVVEKCMKESSEEHAMQIIKEIIGSQNFLAVLQDPFGNYVAQSAFAIAKGSIRHAMINLIQMHYSYLHSHPHGKRVLARARGSKQRM >CDP05120 pep chromosome:AUK_PRJEB4211_v1:2:1693274:1696794:-1 gene:GSCOC_T00020060001 transcript:CDP05120 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHSLMITLWTFLCLLVLADIDGCMGGRISLGSRLLASENQTWNSDNGTFAFGFAPADSRNDQFRLGIWFAKLPGDRVLVWSAYINSPVSKDAILEFDTTGSLLLIDKHTTVWTSNTSNAGVEAASMSENGNFILYSRNLTVVWQSFSHPSDTLLPGQPLPVSLELTSSILPAYGGFYTLKMLQQPTSLNLALTYNLPEYGFMPELYANYSYWSGPDISNVTGNVVAILDEAGSFGITYDSSSDGAVYVHKNDGDNGGLSLATNQTDRPSVLRRLTLEMNGNLRLYRWDNDVNGSRQWVSEWAAVSNPCDIAGICGNGICNLDRSKTNASCTCLAGSNAGNDGFCWANSSLTGKCGRHRENLTSQFKISTLQQTNYYFYGSSVIANYSDIGTVSKCGDACLSDCDCVASVYGLNEEKPYCWVLSSLEFGGFEDPGSTLFVKVQSNGSSESTKDSSSDGSEISKKKILVLPIVLSMMVLIVLLVCLLYISVHRKRAFKRALDNSFIFSGAPIKFSYKELQYSTNNFSTLIGTGGFGSVYKGTLSDGTLIAVKKLDRILPHGEKEFVTEVNTISSMHHMNLVRLCGYCSEGLQRLLVYEFMKNGSLDKWIFPSNNCQDRLLDWSTRFHIALGTAQGIAYFHEQCRDRIIHCDIKPENILLDESFCPKVSDFGLAKMMGREHSQVVTMIRGTRGYLAPEWVSNRPITVRADVYSYGMLLLEIIGGRRNLDMTFDAEDFFFPGWAFKELTSGSPMKVADRRMEGRVEEGELIRALKTAFWCIQDEVSTRPFMGEVVKMLEGTVDINTPPMPQTVLELIEEGLDRVYKAMKRELNQFSSFTITSHPSSRATCSYSTMSPR >CDP05130 pep chromosome:AUK_PRJEB4211_v1:2:1839662:1841064:-1 gene:GSCOC_T00020073001 transcript:CDP05130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 27 [Source:Projected from Arabidopsis thaliana (AT5G52830) UniProtKB/Swiss-Prot;Acc:Q9FLX8] MADDWDLYAVVRSCFNAAATSTASSSTTTDAAIAPVENSSLPSGETSLSSSFLASPSFQSGHTSSDFPGFANHTRDSFRGLEEIYKEPYEDIVQSFVDLNSQAQHIQAIQGPGCTADQTPQQQRIYGQPQQLMQQPQRYSHLSREMFVGASLSFPSTSPQPERPRRRKNQQAKRIQQMTQEELSADSWAWRKYGQKPIKDSPYPRNYYRCSTSKGCTARKQVERCPADPSMYVVSYSGEHSHPRPTHRSSLAGTTRSKPLLPVSLQPPRP >CDP07624 pep chromosome:AUK_PRJEB4211_v1:2:24029375:24030240:1 gene:GSCOC_T00024938001 transcript:CDP07624 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPPAIHLDPNLYENPLEFNPWRWEGKELHVGSKSFMAFAGGTRLCVGADYAKVQMSLFLHYLVTQYTWRVLPGAERIRTPTGIQFPKGLPIEISKNK >CDP16538 pep chromosome:AUK_PRJEB4211_v1:2:42202339:42203942:1 gene:GSCOC_T00018912001 transcript:CDP16538 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYQHPTRYIAQPPLQLTALAQPLKHHHKRMKIDGWIFWVGILVGGLAGLSFSIFLGSIMVRIVMERKGVTTFTRLIHPPELAFLKKEDNLASLGHFIGKGGCGEVYRVELPERGIAIKRIAEPHKTTRELTEEDSKLLDKKMRQIKQEIKTVGLIRHRNLLPLLAHVPQAKCHYLVYEYMRNGSLEDLIYRVSKGPEKLCWLSRHRIALGIAEGLKYMHTDITPRIIHRDLKPANILLDDDMEAKITDFGLSKAFPEINTHLMSNVAGTVGYMAPEYHETLMFSEKSDIYSFGVILAALVMGKLPKDDFFQHTEEMNMVKWMRNAAASSDPWRAIDPNLMGNGHENVLFFALKLACTCTSDEPNERPSSTDVRTMLASHFPHSGRR >CDP09440 pep chromosome:AUK_PRJEB4211_v1:2:22341139:22348770:-1 gene:GSCOC_T00028809001 transcript:CDP09440 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKSVALDAVIKEAVDLENIPLEEVFDNLKCTRKGLSSDAVKERLDLFGFNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMSIALANGGGKGPDIQDFVGILLLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWSEEDASVLVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDGVYSGSTCKQGEIDAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTAIGNFCICSIAVGMLIEIIVIYAIQERSYRTGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMNEVFAKGVDKDAVVLMAARASRIENQDAIDTAIVSMLSDPKEARAGIKEVHFLPFNPTDKRTALTYVDKAGKMHRVSKGAPEQILNLAYNKSVIEKKVHSIIDKFADRGLRSLGVAYQEVPSGNKDGPGGPWEFIGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGGSKDEAVAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKIADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTCIWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPVPDSWKLSEIFATGVALGAYMAVTTVIFFYVAYETSFFKNVFNVRNFNKHEFDMSNDEVAKRLNQMVASAVYLQVSTISQALIFVTRSTSWSFKERPGFLLVTAFVIAQLIATVISATATWGFAGIRSIGWGWTGVIWLYNIITYMLLDPLKFLVRYSLSGKAWGLVVEKRTAFSTQKNFGKEAREAAWATEQRTLHGLHAPEPVKLIDRNSTFQEMNNMAEEARRRAEIARLRELRTLKGKVESFVKLKGIEIDAINQNYTL >CDP17783 pep chromosome:AUK_PRJEB4211_v1:2:51410234:51412459:1 gene:GSCOC_T00003902001 transcript:CDP17783 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYDCKMLSFTDSIVGPSQNNLEFQRCFLWGKSLWRIPSSFGCLKSNNGPVLIVKNEIETEKFEVKQEDKPRFRWVKVGPDTNEDQKQAIAQLPLKMSNRCKALMKQIICFKPEKGNLSDLLAVWVKSMNPKRADWLLILKELSRLEHPLYLELAGLALMEESFEACVRDYTKIIHGYAKQKKVQEAENTFLAMKRGGFICDQVTLTALVHMYSKAGNLKLAEDTFEEMKLLGVPLDRRSYGSMIMAYIRAGRLSQGESLLKEMEAENIYAGREVYKALLRAYSMNGDSKGAQRVFDAIQLAGMIPDAKVCGLLINAYVVAGQSSEACIVFENLRRSGLQPNDKCVSLVLAVYEKDNKLSKALDFLTDLERDGFLLGKEASEVLVKWFQRLGVVEEVEQILRDYALRTAQ >CDP00020 pep chromosome:AUK_PRJEB4211_v1:2:6935491:6938075:1 gene:GSCOC_T00029776001 transcript:CDP00020 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLSVGEVHVDGHVFPPAAKKPPGSDQNFFLGGAGARGLEIEGKFIKFTAIGVYLEETAIPSLAVKWKGKTAEELTESVEFFRDIVTGPFEKFIRVTMILPLTGRQYSEKVAENCAAYWKAVGIYTDAEGKAIEMFLDIFQNESFPPGASILFTQSPLGSLTISFSKDSSIPEVSNAVVENKLLSEAVLESIIGKNGVSPDTKKSLAVRLSDLLKVFDNNNNNVTADNKKLEADGAIAAEAPGEKQVNGVQVPVQVP >CDP17793 pep chromosome:AUK_PRJEB4211_v1:2:51293760:51297668:-1 gene:GSCOC_T00003916001 transcript:CDP17793 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQLLSINDDVDKGVVGRDGTRKKREGSPLSNLELGIGDSRGLRGVVKEEKDQLVFTAEQLQQLQLQVVIFRYILAGLPVPIDLVLPVWRSLAASLGSSKSGIYDQLPSFLGFSPRDFDYRSMMDAEPGRCRRTDGKKWRCYRDVVPNQKYCERHMHRGCQRSRKHVETSEDDTKANSSAINSDIFASSMKTSNATTTDSRTPAMLSTSLNLTVPCPSISKSVPETLKSSSAPYTGNGNLESKGDNVMTVNNGTSTSSLIRVLADKNNTKYGSNCTDGIGFECSNISTNKIDQSSGGNKKSGDASVVPGFGLSPKSVLQCSTASNCNQLNFDCKTEVEVEPLRCRRTDGKKWRCSRDVVPDKKYCERHLHRGVKKAVAGSKLIIGAPAAPPSHNIQTRGTATKVEKLNTNLSISIAANPQHTSDADSSSFSNSDATTVTDENVTSSHILTLSP >CDP00013 pep chromosome:AUK_PRJEB4211_v1:2:6996610:6997245:1 gene:GSCOC_T00029767001 transcript:CDP00013 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPSSTFQLSNPNPLFPSSSPRTCSPLKLHAPPSHSFTIITRATSEDSSSDETDQTQTESPGSDSDVFENRLSQVRLRYKSGTGKKAEVRKTRKGKKGASSSSSGSGMYLPPVPLKEAVSEGLNVEFGFSPYSERVNGRIALIGLTALILVELATGQGVIKYHSPAIILIQVYFMAAASALYVKYEKEKVSVWLRTQSSASSSSSSADK >CDO96782 pep chromosome:AUK_PRJEB4211_v1:2:13027373:13029842:1 gene:GSCOC_T00013910001 transcript:CDO96782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein PTF2 [Source:Projected from Arabidopsis thaliana (AT4G35540) UniProtKB/Swiss-Prot;Acc:O81787] MESSGSCKNCSKRTLVVDGDTGNLVCSSCGVVQDFENFQAHIGGITGPTGTFVRVGTAGSGSVYSYKQTKVYQAQKLIEDLIFKLGLAASRYDEVKAMVERITEGEYGQGRWFPIFVGACAYVVLRKDKKSLPIVEVANVVGCDISELGRMVHRVVDFLDLKLPEFDIVSSFERAIRSCPSFSGVEEEIVGRMLKQGVFLVQCSMKWYLTTGRRPMPIVAAILVFVAELNQVHVKIEEVAKELYVAVRTCKKRYKELLERLVKVAQLLPWGKDITVKNIIRNASSVIQYMELKSSSRCSGKNRFDHVGFDLDDLVADCLNKEIRYRYDTCDVEKDLQYFEVENSPTLRIEGTNNLQISHECLAMIYSNFLDRLPLIKSSAEIEEADWTKQEKGYDIYTCREWWTGKSEMSKKLLLKQIIEKDVGLNANPPSFDRGNLTYQRRREKINAAKSRIQRILHPSEAGFGDGKDLCLAQCISANNKRKIQVDVDWEDLIIETLLLHQVREEEIEKGYYNALLDLHVFNL >CDP09341 pep chromosome:AUK_PRJEB4211_v1:2:23441514:23445698:1 gene:GSCOC_T00028665001 transcript:CDP09341 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIESGKEVSEELTRESLIAISYTAPEKEAAARNSNENLTGENVVAATKVDGNDTYRSKLISISYTESPDAKTLPVLPGKN >CDO99497 pep chromosome:AUK_PRJEB4211_v1:2:11957217:11958785:-1 gene:GSCOC_T00029095001 transcript:CDO99497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 86A1 [Source:Projected from Arabidopsis thaliana (AT5G58860) UniProtKB/Swiss-Prot;Acc:P48422] METVLLFTIAIGTSTYLLWFYLLARKLTGPKVWPFFGSLPFLFLNRRRFHDWIAGNLRSTGAAATYQTSTICIPFLARRQGFYTVTCHPKNIEHILRTRFDNYPKGPTWQTAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMNRWVNRTIRTRLWVILEKASKEHIAVDLQDLLLRLTFDNICGLTFGKDPETLSPELPENPFAIAFDSATEATLQRLLYPGFLWRLKKIFGFGAEMRLKKSLEVVETYMTDALDARKETPSDDLLSRFMKKRDVDGNLFPSSVLKRIALNFVLAGRDTSSVALSWFFWLVMNNPRVEQKIVNELSTVLQDSRGDDIEKWIEEPLVFDEADRLVYLKAALAETLRLYPSVPEDFKYVVSDDVLPDGTHVPAGSTVTYSIYSMGRMKTVWGEDCMEFKPERWLSTAGDRFEQPKDVYKFVAFNGGPRTCLGKDLAYLQMKSVASAVLLRYRLSLVPGHGVEQKMSLTLFMKHGLKVYLSPRLLAAPAPASMVAMSA >CDP08593 pep chromosome:AUK_PRJEB4211_v1:2:39719155:39723199:1 gene:GSCOC_T00027590001 transcript:CDP08593 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSATSPKGVVISVPVLVLSVATAAIFFFFLLSSLSSSPPPCSCPTTPISTGYSRTDDKSIGFSGRISASKEDIDWVKCQIDANGLHMAENVLRKGINPRTREQQLQDLLQFKGISHYEGEEGKNHTALPCPGELLVEQHHSNYGEPWAGGRDVFEFLAESIHLTPDSRVLEIGCGTLRVGSHFIRYLNPTHFHCLERDELSLMTAFRYELPSQGLLHKRPLIVRGDDMDFSKFGSEDLYDLIYASAVFLHIPDKLVWVGLERLVNRLKPLEGRIFVSHNIKFCSRLGGEECTRRLNHLGMEYLGKHTHDSLLFNHYEIWYEFRRSKL >CDO99650 pep chromosome:AUK_PRJEB4211_v1:2:10328014:10328628:1 gene:GSCOC_T00029306001 transcript:CDO99650 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIFTKSFGCGRALQRPVGLIVRNWHKEPVLVAWKKPEIGWTKLNFDGSCRCRTGKASIGGVLRNHEAEFLLGYSEFIGQKNSTIAELVALERGLELVLEDGWSDVWVEGDSKFLVDIIAKKRRVSCAELQKHVGHINSIIPALNNCMLTHIYREGNRAADKFAQMGYHFEKPQVWRHSPPKEVLRIVQEDAQGKKFIRRRRR >CDP00028 pep chromosome:AUK_PRJEB4211_v1:2:6805638:6816288:1 gene:GSCOC_T00029787001 transcript:CDP00028 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPVKIIPLQHPSDTASYSSSSSYTKQSAVSSVVEKWKTKVERMTWTEWIETFLPCYRWIRTYKWREYLQIDLMAGLTVGIMLVPQSMSYAKLAGLQPIYGLYTGFVPIFVYAIFGSSRQLAIGPVALVSLLVSNVLGRIVDSSEELYTELAILLALMVGILECIMGLLRLGWLIRFISHSVISGFTTASAFVIALSQVKYFLGYDIERSSKIIPLVKSIIAGADKFLWPPFVMGSVMLAVLLIMKAMGKRKHLRFLRAAGPLTAVVLGTTFVKIYHPSSISLVGEIPQGLPKFSIPKEFGHVTSLIPTAILITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANIVGSFFSIYPTTGSFSRSAVNHESGAKTGLSGIVMGIIMGCALLFMTPLFEYIPQCVLAAIVISAVIGLVDYDEAVFLWRVDKKDFLLWMITCITTLFLGIEIGVLVGVGASLAFVIHESANPHVAVLGRLPGTTVYRNIEQYPEAYTYNGIVIVRVDSPIYFANISYIKDRLREYEYEIDVSTSRGPEVERIYFVIIEMAPVTYIDSSAVQALKDLYQEYNSRNIQIAISNPNRDVLLTLTRSGLVDLIGKEWYFVRVHDAVQVCLQHVQNLNEAHKKSSEYLVDDRPSFLRRPMKQRAGEFSSTDLETGHKSTLGSKDSDSQLEPLLFRK >CDP08558 pep chromosome:AUK_PRJEB4211_v1:2:40849570:40849974:1 gene:GSCOC_T00027520001 transcript:CDP08558 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVFVDGPVITKFVNDSGAFTEFVDEHFNRLDADGDGVLSRDELQKRFGRFSSRGFELQSQEEIGNLYNVLFEKFDVDQNGTIDREEFRSLMKEIMVAKARAIGNSPVPIILQEDSLLMRAVQHKGHVGDDKN >CDO97413 pep chromosome:AUK_PRJEB4211_v1:2:18858705:18862436:1 gene:GSCOC_T00014754001 transcript:CDO97413 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVLHSPFLSSTSFSRVSIHHLRPRFSTALPPVSSSLKPPLLSISAPKFSLQNFFPSPPKLLYKKLSPPHSSTNDSAPSTTELKTVALQGAKIIPLIISVSIGLIVRFLVPKPPEVTPQAWQLLSIFLSTIAGLVLSPLPVGAWAFLGLTTAILTRTLPFTIAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPGDPSARKLGAYLVQSQFQSAGNSSALFLTAAAQNLLCLKLAEELGVVIASPWVSWFKAASLPAFVSLIATPLVLYKLYPPETKDTPDAPAMASKKLEVMGPVTRNEWVMVGTMLLAVSLWVFGDALGVASVVAAMLGLSILLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMAGQLTNLGIVSWMSCCVAKILQSLSLSWPAAFGVLQAAYFIIHYLFASQTGHVGALYSAFLAMHLASGVPGVLAALALAYNTNLFGALTHYSSGQAAVYFGAGYTDLPDVFKFGFIMALINALIWAVVGTFWWKLLGLY >CDO99923 pep chromosome:AUK_PRJEB4211_v1:2:7729823:7733860:-1 gene:GSCOC_T00029644001 transcript:CDO99923 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLRWYDLVGFGVGGMVGAGVFVTTGTASRRYAGPSVVLSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYIRVTFGEFLAFLTGANLIIDYVLSNAAVARSFTTYFATAIGVSTKLRITVHFLPKGFNEIDILAVALVLILTLVICYSTRESSLLNMVLTVLHIMFIVFVILMGFWRGEWKNFSEPGNPKHPGGFFPFGASGVFNGAAMVYLSYIGYDAVSTMAEEVRNPVRDIPVGVSGSVILVTVLYCLMAASMSLLLPYDMIDPEAPFSGAFRGGSDGWEWASNVIGVGASFGILTSLLVAMLGQARYMCVIGRSRVVPAWFANVHPRTCTPVNASAFLGIFTAAIALFTDLNILLNLVSIGTLFVFYMVANAVIYRRYVSVGTTNPWPTLSFLFCFSLTSIIFTVVWQFAPPGKPKAFILGACTAIAIGVLQVFHHTVPEARKPEFWGVPLMPWIPSISIFLNIFLLGSLDRPSYVRFGFFSALAVLVYLLYSVHASFDAEEDGKLSHNNGENVKESIETEDHKLEV >CDO97141 pep chromosome:AUK_PRJEB4211_v1:2:16765335:16774261:1 gene:GSCOC_T00014389001 transcript:CDO97141 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESELVNRLREILRTSDLDIATAGSVRRQLEEEFGVSLHDRKTFISEQIDSFLSELRNDDAQQQHREETQEDSSDDEEVREVKVEDVKQDDENEDSGSHTQEVAIGKDEGSDGVRSKQKRSHKKDKNEKKKGNGFGKTWALSPQLQEIVGVPEMARTEVVKRMWAYIRENNLQNPKDKRKIICDENLRGIFQVKSINMFQMNKALSKHMWPVDSEDEPQKHNTQQHQQDQEEAEEEDSNGEEMKQEFENLKQELNEGSVHQEVDVEHEEESHGVRNKKRSRSYKMDNNDKKKRSGFNKPWAISPQLQKIVGVNQMARPEVVKKMWVYIREKNLQNPKDKRKIICDQTLHGIFQVKNINMFQMNKALSKHMWPIDAEDDHQEAEEDSNGEETEEQSEDVKQEENEYSGSNEVDIGGEGEGEGEEEESDGIRNKKKRYRSQRMDAIQNKKGSGFSKPCAISPQLQELVGVPEMARTEVVKKVWAYIREKNLQNPKDKRKIICDEALRAIFRVNTINMFQMNKVLSKHIWPIDIEYATPIKSSQKKRQREKDRQ >CDO97672 pep chromosome:AUK_PRJEB4211_v1:2:20898759:20906106:-1 gene:GSCOC_T00015079001 transcript:CDO97672 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEMRGRGSGNRANGGGGGFDPSNLHLKKELNQIRKAARVLRDPGTTSSWRSPLNSARSAAAKHYYHHHKNDFSKQLYSNGETQFQLPIGTVENNGTSSRSINYEASNGNNVKEKEKEKEKKVYLYNWKMQKSESERSRQCADDDLENVGNDDMEQSSSAQEEAEESVEDSLSDARNGGIDSKSDTYASDKYASMIFKCKDTSFTPSIRRNIKKKSKKSNYSRSNLRSRGEKLKEQILLARGSKRTALEGLGRDDLSSLVDHSDDTEGYCNSEDLRRASAVSPLLAKLKNKNWSNSSAAKFLRSSRKEDSSYSYSTPAMSASSYNRYVARYPSTVGSWDATTVSLNDGDEEGDDPLDLPGRSGCGIPCYWSRRSTPKYKGGSGSCFSPSLSDTLRRTGSTILCGSHRMYKRSYRGSSLGYNKRRPGSRPAPQGLLPLLTNGGDGQIGSSIGTGNSDDELSTNYGELDLEALSRLDGRRWSTSCRSQEGLELVALTGEEEGTPENITSLSQKYRPMFFEELIGQNIVVQSLMHAVSRKRIAPIYLFQGPRGTGKTSTARVFAAALNCLASEETKPCGVCRECADFVSGKSRDLVEVDGANKKGIDSIRYLLKVLLAGSLSASSRYKVFVVNECHLLPAKTWMGLLKFLEEPPPHVVLILITTDLDNVPRTVLSRCQKYPFNKIRDGDILARLRKIAAEENLDVESDALDLIALNADGSLRDAETMLDQLSLLGKRVTTSLVNELVGVISDEKLLELLELAMSSDTAETVKRARDLMDSGVDPIVLMSQMATLIMDIIAGTYPTVDAKQTTSLFGGRNLTEAEVERLKRALKLLSEAEKQLRVSSERSTWFTATLLQLGSISSPDQTHSGSSRRQSSKATEEDYSSTLKDSSISNQKPDLQYTLRNSVSPPSFHKATYQKSNSKEASLPVMDVKGLSPNPSQNQLISGDALAGTCDDFVGGINTSRCTASSMLDDIWVKCVEKCHSKTLRQLLHTYGRLVSIADVEGVFVAYIAFGDSDIKTRAERFHSSITNSFETVLRSNVEVRIVLLPDGDTYLSNANPDISPVQKPTHATNNLNRENAAVLSSSADGYSNIDTCQESLKISRGSFNNSEDKLPANLGSSAGNAKMGNTKDRKPEVPVQRIESIIHEQRLETAWLQAMEKGTPGSTNRLRPEKNQVLPQEGIYHHIQLQSSASADLSSQHWDDELSRDIKSLKVDDGKALKKDQISKRVDHYPISPSLLHDNSMVGNFSKDNLGYESGPGGGGCSGLFCWNNTKVTKPPRRVKVKQGTPVRSNKAVRFSWFGECAKASRTESRFKI >CDO97518 pep chromosome:AUK_PRJEB4211_v1:2:19655869:19663119:1 gene:GSCOC_T00014889001 transcript:CDO97518 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVCCVSPSATYRRSINSWQKGEILGSGSFGTVFEGYTDDGLFFAVKEVSLLDQGSQGKQSIYQLEQEILLLSQFQHDNIVQYLGTEKDDSKLYIFLELVTKGSLLSLYQKYNLRDSQVSAYTRQILSGLNYLHQRNVVHRDIKCANILVDVSGSVKVADFGLAKATKLNDIKSCKGTPYWMAPEVVNRKNHGYGLAADIWSLGCTVLEMLTGRIPYSHLEGMQALFRIGRGEPPPIPNSLSRDAQDFILECLQVNPDARPTAAQLLNHPFVRKLPSAFPSPVSPHYSGTRP >CDO97181 pep chromosome:AUK_PRJEB4211_v1:2:17099171:17099974:-1 gene:GSCOC_T00014442001 transcript:CDO97181 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVSALSAKPLSETKSGPPDMQREAAWLKRKKEFRRWQRRRCKSFTDSDLDELRACIELGFGFEFDSADLDPKLSSAFPALDFYCAVNKQFHSRSDSLSRSSSSVTVASSDSDTSRSSAGSSSSSLFEPGDDPEVKKTRLRQWVQVVACTVRQCSPR >CDO96758 pep chromosome:AUK_PRJEB4211_v1:2:12826450:12827763:-1 gene:GSCOC_T00013880001 transcript:CDO96758 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAKRWKVLSGNYDWDGLLDPLDYDLRRYIIHYGEMAQATYDSFNAEKASRYAGSCLYAKKDLFAKVGLQNGNPFKYRVTKYLYATSSIQLPDAFIVKSFSREAWSKESNWMGYVAVATDEGKVALGRRDIVIAWRGTVRSLEWVNDLEFVSVSAPKIFTENDDDPKVHQGWYSIYTTDDSRSPFNKISARDQVLDEIRRLVEEFKGEEISITVTGHSLGAAVATLNAVDIVANGFNKSVQNPGKACPVTAFVYASPRVGDQGFEKVFSQQQNLKVLRIRNSLDVVPNYPLLGYADVGEQLGIDTTKSKYLKSPGNLSSWHSLECYMHGIAGTQGLQGGFKLVANRDVALVNKHMDALKDEHCVPVSWWCIQNKGMVQQSDGSWKLEDHEDDDF >CDO97441 pep chromosome:AUK_PRJEB4211_v1:2:19072223:19074139:-1 gene:GSCOC_T00014786001 transcript:CDO97441 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCISFTASTDWFYRSFFAYTGLKSVTTDFGDGTFMHCWVPKTVKPSKPNLLLLHGFGANAMWQYREHLRHLVPKFNIYVPDLLFFGESSTKSPERTEAFQAQCVMKLMEVHGVQKMNLVGISYGGFVGYSMAVQFPEAIEKLVLCCTGVCSEEKDMEEGLFPVPDLDEAANVLVPQTPDKLRELMNLSFAKPVKRVPSCFLTDFIDVMCTDHVKEKRELIKNILKDRQLSKIPRITQPTLIIWGEQDQIFPVELGHRMKSYLFPSRKNIISMSRHVGENARIEVIKHAGHGVNLEKPKEFNKHLKAFLVDSSDSASSSSSSSSSPSHSLINYYRHRRFWSS >CDP00155 pep chromosome:AUK_PRJEB4211_v1:2:5710799:5711858:1 gene:GSCOC_T00029972001 transcript:CDP00155 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMKYVFALGFLVVLGISCLNGADGAGECGRSSPDMEAMKLIPCAEAAQDANAPVSSGCCAQVKKLGQNPKCLCAVMLSDTAKYSGVKPEIAVTIPKRCNLADRPVGYKCGAYTLP >CDP07632 pep chromosome:AUK_PRJEB4211_v1:2:24103584:24105087:-1 gene:GSCOC_T00024949001 transcript:CDP07632 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGKIRENKKEEFLDHKKKKRWVDEKSGNYCFMLYPRSLYVTWGHREYWDWKCFKETSDDNIEVVKLSHICWLDVRGKFKMSDLSKGAMYEVVYVVKLTKGADGWELPITLRLSLPGGEVQERKVSLLEKPRGEWIELNLGSFRASDGDHGEVCFDLWEHGGHWKNGLLVQGAIIRPCN >CDO99872 pep chromosome:AUK_PRJEB4211_v1:2:8147531:8148937:-1 gene:GSCOC_T00029573001 transcript:CDO99872 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWLAHGHMSPFLELAKRLAKKNFHIYLCSTSVNLSSIKNQIAGKYSDSIEPVELQLPCLPDLPPHYHTTNGLPPHLMTTLKTAYEMSAPNFSNILTTLHPDLVMYDFNQPWAAEIASSQNIPAVQFLPFGASMTAFGLYMIKYPGKELPYPEIYIRDYEIAKVRSRDARVNDVSDGQRFLQGLDLSCKILLVKSFKEIEERFMDFLSVASGKKVVPVGPLVQDISLDDIQDEEMEIINWLDQKENASVVFVSFGSEYFLTEDERSEIARGLELSNVNFIWVIRFPFGGKITVEKALPEGFLERVGDRGKIVDGWAPQARILKHANTGAFLSHCGWSSMMESMKFGVPMIALPMNIDQPLNARLIEAVGVGLEPLRDEKGNLQSEEIAKVIRKVLVEESGKNVRRKAKELSEQMEMRGDEEEIDNLVEEVVQLCQKNNGCC >CDO99884 pep chromosome:AUK_PRJEB4211_v1:2:8067414:8068805:-1 gene:GSCOC_T00029588001 transcript:CDO99884 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPMFSFIFKLVVPNLKMKNEKRKKKEQRERERERRGGRAGGRESAQSVTESVVEKAATVSPPK >CDP08767 pep chromosome:AUK_PRJEB4211_v1:2:53205551:53212014:-1 gene:GSCOC_T00027858001 transcript:CDP08767 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal binding protein AN [Source:Projected from Arabidopsis thaliana (AT1G01510) UniProtKB/Swiss-Prot;Acc:O23702] MSGKKERSSTSTSRSPPTMSHHSSPPRRHPLPLVVTLNCIEDTTLESDCLAGVASVEHVSLSRLADARIESAAAVLLHSLAFLPRAAQRRLRPWQLILCLGSSDRSVDSALAADLGLNRLFHVDVSRAEEVADTVMALFLGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASARSLATRSLAFRMSVLYFDVDEGNGKLSRSLNFPSAARRMDTLNDLLAASDLISLHCSLTNETIQIINADCLQHIKPGAFLVNTGSSQLLDDCAVKQLLIDGTLAGCALDGADGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAISILQSLFVDGINPRDSVSDEEELENEVGHDRVETQDSESALQSSVCEQLTSDIHLNAESSQKKASNPSKESSGQHQGPVSSQGTSSRTEAKRSRSSKKTKRRHARQKSQHKIEEHMTHERESTSHHEDDTAMSGTDQVLSSNSRFASPEDSRSRKTAIESIQESSAEQLLKASREFTKKSGELLKDGFVVALRPRDQHALYVSRQRVQGGAWFLDTVPNVAKRDPAAQFLVDFRSKDAIGLRSFTAGGKLLQINRKMEFVFASHSFDVWESWTFEGSLEECRLVNCRNPLAVLDVHIEILAAVGEDGITRWLD >CDP14050 pep chromosome:AUK_PRJEB4211_v1:2:5388434:5393610:-1 gene:GSCOC_T00039231001 transcript:CDP14050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 64 protein C4 [Source:Projected from Arabidopsis thaliana (AT3G55830) UniProtKB/Swiss-Prot;Acc:Q9LY62] MTAMISRSSLFSRRTFRQGAISAIGSVKIKLLLSCCIVFVVIAFASRAASFMGWKQSHTAHLGHPSLPRKGYALLINTWKRNDLLKQSISHYASCPGLTSIHIVWSEPDPPSVSLIKYLNHVIESNSRDGQQIELKFDINREDSLNNRFKEIKNLKTDALFSIDDDVIFPCSSVELAFSIWQSAPDTMVGFVPRMHWVDRSKGNSDQFTYGGWWSVWWMGTYSMVLSKAAFFHQKYLSLYTYQMPASIREYVTTNRNCEDIAMSFLVANATGVPPIWVRGKIYEIGSTGISSLGGHSNRRTECVNRFVAEYGHMPLVPTSVKAVDSRSSWFW >CDP07810 pep chromosome:AUK_PRJEB4211_v1:2:26779413:26779595:1 gene:GSCOC_T00025202001 transcript:CDP07810 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQMINLEAADQYCHCILEIIRAVSCAKEGIIASEAVPLLRVFYEQENLNAPRSHRPPS >CDO99755 pep chromosome:AUK_PRJEB4211_v1:2:9143382:9147648:-1 gene:GSCOC_T00029439001 transcript:CDO99755 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLLLRPCPPILPTKPTAENSHRCYTSNRLGLRCLTCKNSLRKETAETSPPIGATLFSDSEYKHSWLEELKRACPPEISSQQWENDDEKFRELVDKRGVDNVRMLILDSVNHAKAGHPGMALGMAEVGYFLYRHAMRYNPRNPKWFNRDRFVLSAGHGCLLQYVCLHLAGFQSVQIEDLKRLTKLGSRTPGHPENTITDGIEVTTGPLGQGVPNAVGLALAEAHLAARFNKPDVAIVDHRTYCIMGDGCAMEGISHEAASLAAHWKLNKLTLIYDDNHNTIDGSTDLAFSEDTSARFQALGWKTITVDLRNGELKLFENALLSAYGETEKPTFIRVKTTIGKLSKKEGTSKTHHGTFDEDDVKQMKQKVKWENHQPFHVIPMIYREMQIQADQGEKLEAMWTSKLHYYQTAYPEEAAEFNALLHGGLVPGWESSLPQWSITDPVDATRGYSEKCLNQLAKVLPGLIGGSADLASSNKAYLHDCGDFQRQNYKGRNIRYGVREHAMGGISNGIALHGGGLIPFAATFLIFSDYMKNSIRLSALSHAAVTYIMTHDSIGLGEDGPTHQPVEQLAGLRAIPRLLVFRPADGNETAGAYKVAVANRDVPSVIALSRQKLAANVEGTSSDAVERGGYIVSDNCGEELPEIILISTGSELCLCEASANLLRKEGRRVRVVSLVCWRLFDRQPQEYKEDVLPSGVSKRLSVEAGSPLGWREYVGEKGSVLGVEEFGASGAYLDAFKKYGFTEENVTRIAKSLLSC >CDO99920 pep chromosome:AUK_PRJEB4211_v1:2:7763820:7767149:1 gene:GSCOC_T00029640001 transcript:CDO99920 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPSRGGVRGGRDQFSWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLHWYTRDKNSEAADKEAMKEEIQRIKEEEEQAMREALGLAPKRATRVQGNRLDKHEFSELVKRGSTAEDLGAGHAEAARVHGLGFSREPRAWEESSLPNGLQAIPLENVDVSMPSAPTRSDEDDSGDENRRKKRRHEENKREKHDRRGKQHSHSRNSDEKKKRKKDKEKRRHDSD >CDO97565 pep chromosome:AUK_PRJEB4211_v1:2:19980881:19991123:1 gene:GSCOC_T00014946001 transcript:CDO97565 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHFRSGFWLFFVVLLFVGLSGNLISAQTRSPKNVQVALRAKWSGTPLLLEAGELLSSQWKDFYWDFTEFWLLKGSEDSGSHTAKDCLRTIVNYGKSLLSKPLASVFEFSLTLRSASPRLVLYRQLAEDSLSSFPLVDYSSASSNEGGFETNDNAKSKKVEPLLLGVNSRAPNGKCCWVDTGAALLFDANELLLWLENPDKATTDTFQQPELFEFDHVHPDSSIGSPIAILYGALGTDCFKEFHNVLVGTARQGKITYVVRPILPSGCESKVGHCGAIGTRDAVNLGGYGVELALKNMEYKAMDDSAVKKGVTLEDPHTEDLSQDVRGFIFSRILERKPELTSEVMAFRDYLLSSTISDTLDVWELKDLGHQTAQRIVHASDPLQSMQEINQNFPSIVSSLSRMKLNDSIKDEIIANQRMIPPGKSLLALNGALINIEDVDLYLLVDMVQQELSLADQFSKMKIPSTNVRKLLSILPPSESNMIRVDFRSTHVHYLNDLEHDIIYKRWSSSINEILMPVFPGQLRYIRKNIYHAVYVLDPASICGLEASY >CDO99965 pep chromosome:AUK_PRJEB4211_v1:2:7390875:7405764:-1 gene:GSCOC_T00029701001 transcript:CDO99965 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSDAPICFWLLQGTSVNIIVGSHVWVEDPGVTWIDGQVNKIKGAEAEIETSNGKKVVVKLSKVYPKDTETAPGGVDDMTKLSYLHEPGVLQNLKARYELNEIYTYTGNILIAINPFQKLPHLYDPHMMVQYKGAPLGELSPHVFAVADVAYRQMVKEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPQEEIEKYKLGHPKTFHYLNQSRCYELDGVSDAHDYLATRRAMDIVGISAKEQEAIFRVVAAILHIGNIDFAKGKEIDSSMLKDEKSKFHLKTTAELLRCDPVALEDALLKRVMITPEEVIKRSLDPLSATVGRDGFAKTIYSRLFDWLVDKINVSIGQDAKSKSLIGVLDIYGFESFKHNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTREAIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFANKLYMTFKNHKRFLKPKLSRTDFIISHYAGEVHYQSDQFLDKNKDYVVPEHQDLLSASKCSFVAGLFPSIHEETTKSSKFSSIGSRFKLQLQQLMETLNATEPHYIRCVKPNNLLKPAIFENSNIMQQLRCGGVLEAIRISCAGYPTRRPFFEFINRFGLLAPEVLEGSYDEKVACQKILEKKRLKGFQVKTVVPFQSIQNTPLLQIITSRIMLLSFGLINMDLEDFFSLIGKTKVFLRAGQMAELDARRAEVLSSAAKAIQQRIRTHYARKQFVALRKASICMQSLCRGKLACKHYEGMKREAAAVKIQKNTRRREARMAYNKLRISVLVLQTGLRSMDACKRFTFKRQSRAATLIQARWRCHKASSYHRKLKRGTIIAQCRWRGKIARRELRKLKMAARETGALKEAKDKLEKQLEELTWRLQLEKRLRTDLEEAKAQEIAKLQNSLEAMQKKVDEANALLVKERESAKKAIQEAPPVIKEVPVYVEDTNKVESLTEEINSLKASLQHEKESSNELQQKYGKAQESSEERRKQLEETEGRLHQLQESLHRLEEKLNNLESENKVLRQQAVSIAPNKFLSGRSRSILQRMESSHSFGDTRMTMDLHSASMNHREFEADDKPQKSLNEKQQENQELLLRCIAQHLGFASNRPIAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIEKTQDNNDVLAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSASLFGRMNYSFRGTPQGVNLSFVTSGIPGGVESLRQIEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVTNTAAQQVLIAHWQGIVTSLGNFLNTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYTATDKYAGSAWEELKHIRQAIGFLVIHPKPKKTLDEISHSLCPVLSVQQLYRISTMYWDDKYGTHSVSSEVISKMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDISKSMEQIEISDIEPPPLIRENTGFSFLLPA >CDO97327 pep chromosome:AUK_PRJEB4211_v1:2:18159189:18159494:-1 gene:GSCOC_T00014643001 transcript:CDO97327 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKTSLVMTMSLILVLEMSACTTLRLYGVSPSTWVVLELNAPMDGSLLLATMILRAILMDSVLTRGLMLLRLFSLSLFSNVPSLRLDFIFCNFSHFVVS >CDO99908 pep chromosome:AUK_PRJEB4211_v1:2:7876449:7881050:-1 gene:GSCOC_T00029621001 transcript:CDO99908 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSAAVASLSPVSTDVVNLAAVLATPRVLRAVIALPLFVRITNTISPSNLEHLSLLMMSLRSNSCSSVKAMAKAGALSAPTTPARGRKHALISLSDKTDVAVLGSGLQELGSTLMQNFWVLTIFTGRTTWH >CDP15830 pep chromosome:AUK_PRJEB4211_v1:2:44293172:44299393:1 gene:GSCOC_T00016700001 transcript:CDP15830 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQWLLVCHGLVTLLVIVSFLCGNWPIFQGTFIQRIHIFLTFGAYDYFRRFVVLVFGPKGTGALASVEYYCCDRPNPILQIIYLGIIGGTYYILVKSSFSYIPGYYLGEVHRYTSILAVAVGILLFLVTSFSDPGTVNIENVSQYLAAYPYDNIIFFEKDCSTCKIPRPARSKHCSVCNRCVARFDHHCGWMNNCIGEKNTRYFMAFLLWHFLLCIYGVIAILFLLAGRLKELRVIHILTVYYGIENSFRSLAPHVVQWLLSSYNTQILIMAFLAIVSLLLCGFFGYHAKLCITNTTTNETFKWKEYLSWQRKLLEAKASEAALKESLVELSLDKKLPQSKWKAIFSRSRLEEVEVVQNNIYDEGFLHNLYEIIFPYSTRKSFSQKKSKLR >CDP13937 pep chromosome:AUK_PRJEB4211_v1:2:4495021:4497753:1 gene:GSCOC_T00039082001 transcript:CDP13937 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSICSAMPFILRPPRNTICGACYEGAKSIITLTNKLDNDKGLLDKQPNNPCNNNSSSHNSVNRFSACGKIGLANALKWVKEMKEAEEELNEKLNFLSGFVAAFRHQMHPDILIKPGNDGPSLPAHRALLAARSDVFKNMLDSDGCKAPPSDTITFPELNHEELESLLEFLYGGELPKEKADKHVYSLSMAADKYEIPFLQKFCEHRMLGSLSSSNVLDVLEIADTCSNPSLKETALNFIVNNMEDVVFSDRFDAFALKNPHLTVQITRASFMEIRNRRTAI >CDO97414 pep chromosome:AUK_PRJEB4211_v1:2:18863500:18873786:-1 gene:GSCOC_T00014755001 transcript:CDO97414 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MDNSYGKAKELASFLIKHYTEQLHSIILSPDPRLHYPLLVDFAELLDDNPTLAHLLFSHPAEYLLVFDEAAIWAQKVIFKDLKQWDNASVKDYVHVRINVSGSPLECPETFPSIGRVRVKHRGILLTLKGTVIRSGAIKMIEGEKIYECRNCKHRFKVYPEVETRNVIPKPTICPSQSSKFCESTRFNLIEDNKICHDYQEIKIQENAQVLGVGAIPRSIPVILKDDLVDTVKAGDDVIVTGVLTAKWSPDVKDVRCDLDPVLVANYVRRMNEVKSGIDIPDDVIMKFKQFWLDFENAPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTKVRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMRGHDRATIHEAMEQQTISVAKAGLVTTLSTRTVVFGATNPKGQYDPDESLSVNTTLSGPLLSRFDIVLVLLDKKNPEWDAVVSSHILAEGEKENDNCNEDLTSTWPFPMLRRYIHFVKRNVRPVLSKEAENVISRYFQLQRRSATQNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAILCIESSMTTSAIVDSVGNALHSNFAENPDEEYAKQEMLILQKLCIIDEFPALKSNHQ >CDO97286 pep chromosome:AUK_PRJEB4211_v1:2:17858821:17861337:1 gene:GSCOC_T00014569001 transcript:CDO97286 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGTTQKCTVCEKTVYLVDKLAADNRIYHKACFRCHHCQRTLKLGNFNSFDGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPEKPVDHENATKVSSLFVGTRDKCIGCDKTVYPIEKVTVNGKPYHRSCFKCSHGGCTISPSNYIAHEGTLYCKHHHAQLVKEKGNYSQLENDMDKEPSLPAHMEIAAES >CDP08828 pep chromosome:AUK_PRJEB4211_v1:2:52673407:52675479:1 gene:GSCOC_T00027936001 transcript:CDP08828 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNSDKLVRRTAMVASVTASYFLLTADYGPEPNVLDPIKNAILSAERSVKEFIFGPSKGIQENETKKLASNAGEKHP >CDO99722 pep chromosome:AUK_PRJEB4211_v1:2:9482604:9485985:-1 gene:GSCOC_T00029398001 transcript:CDO99722 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSTGKFGRFGGKFVPEALISCLSKLEAEFILALHDENFQEELATALRDYVGRESPLYFAKRLTDYYKNSEGKGPEIYLKREDLVHTGAHKINNAIAQAMLAKRIGRKRIVAATGAGQHGVATAAACAKLSLECTIFMGSADVGRQGANVLLIKNLGAQVKVVDGSFKDATSEAIRDWVGDLETSYFLSGTAVGPHPCPTIVREFQSVIGKETRKQAEEKWGGKPDVLVACVGSGSNALGMFHEFIRDEDVRLIGVEAAGIGLDSGAHSATLARGDVGVYHGSMSYLLQDEEGQIIGPHSIGVGLEYPGVSPELSFLKDSGRAEFYAVTDDEALDAYRRLCQLEGIFPALEASHALAYLEKLCPGLEDGAKVVVNCSGRGDKDAATVYKHNEGRV >CDP15841 pep chromosome:AUK_PRJEB4211_v1:2:44581642:44582909:1 gene:GSCOC_T00016722001 transcript:CDP15841 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMKGGCRFTPPPFLTKTFQMVEDTETNNMISWNSDGTSLIVWDHLKLAAEVLPKYFSHNNFSSFVYQLNNYGFRKIGPRQYEYENKWFQRGQEHLLMNIRRRYDADPTLRKRRGPRKQHEEITAARPSMEAELEIFEDHMNALKEEITSSNYRIGKLESSIATFKKNVKRMEAKSKALIKVLAGKFGPAVVEKIIQRVEEELELETFQTMKRRRVILPQSSKTTTKSMNDGACGMGQDDQEANTSLAESKQRADQKLWKKFVGDDSVSEDESEQQLLKQHSRIDMAISKSYGYFL >CDO99576 pep chromosome:AUK_PRJEB4211_v1:2:11226833:11229454:1 gene:GSCOC_T00029211001 transcript:CDO99576 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIQHKHIEVNGLKLHIAEIGGGSKAVLFCHGFPEIWYSWRHQMIAVAKAGYRAIAPDYRGYGLSDPPTVPGDATYADFVDDLRSLLDALAISKVFLVAKDFGARVAQYFALLYPNRVAGVVTLGIPFVPPKPTPMQDFLPEGFYISRWMEPGRAEADFGRFDCKTVVRNIYILFSRSEIPIAKENQEIMDMVDSSTPLPSWFTEEDLENYGALYDKSGFQTALQVPYRANRELNTSEVKVDAPALLIMGEKDYFLKFPGMEDYIRSEQTKFFAPNLKTVYVPEGSHFVQEQFPDQVNGLILNFLKTHS >CDP17219 pep chromosome:AUK_PRJEB4211_v1:2:47900931:47903741:1 gene:GSCOC_T00000698001 transcript:CDP17219 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L19-2 [Source:Projected from Arabidopsis thaliana (AT3G16780) UniProtKB/Swiss-Prot;Acc:Q9LUQ6] MVSLKLQKRLAASVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKTKAEKAREKTLSDQFEAKRAKNKASRERKIARREERLAQGPGAKAPPAPASQPTEGTKKSKK >CDP05073 pep chromosome:AUK_PRJEB4211_v1:2:1320865:1327008:1 gene:GSCOC_T00019995001 transcript:CDP05073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MSDFNISDRVSVGLTSRADEVIFEATVNDVNNPLHNTKVVLRRLTSAHAKRRGRRAIEVLRRLARRRLMYHSYSMQVYGYVSSSSTGDTGAFTLVHGYHGSFSLRHWLQQSDWFPTLEATLALDEESARKVGDDTIGGPAVSRQLRLIRVLMRDLLIGVNYLHSHGLAHTELRLENLHISPVDKHIKVGILGNAADLYESCSETLNSKIDRREMMIAFDMRCVGYIMAKMVLTELMDSSVFMKFKAFLTKGNDSSCLREFLLPTIYRNSSSGNTGLQILDRNWGAGWNLLSLLLATKPSQRISCLKALRHPFLCGPRWRIDPSMDIIKWNLGSTAVRITEEYIYNQQQRRRLAHFIELMEMLNLHSKPRHWLELLPGKWRFLYSTGRHIGLTLRQPPSRVLIGDVRLTVSKASIPNANFSVVSDVEFTVMVGRDWPHNKVGVSGKLQVESLFRLKSGRRMYLDAEDTPKRFPSLTVSAEGSVLKILSSKKWKKIIPIKEFPSSLPVVKLVSGDIGLTMMLNGPLGSDVEKARQVIHEVRIQIPPEIFDLSKIVCGTYVDSRLLILRSVNGSALFLTRSCK >CDO97431 pep chromosome:AUK_PRJEB4211_v1:2:19004941:19006842:-1 gene:GSCOC_T00014775001 transcript:CDO97431 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTLEVAREFRIPEILFFTPSACGMLGYLQYEELRERGYFPLTDDSCFSNGYLDTELDWIPAMKGIRLKDFPSFVQSTNPGDIMFNYNLKSLQNALRTSSLILNSFEDLEKEVFDAIRIKFPTFLWKQDMGCIDWLDKKKPGSVVYVNYGNLVILTPGQLREFVWGLANSKHPFLWVIRPNLVNGGTQVIYHDFLDEIKDRGLLLDCWFLSHASIGGFLTHSGWNSTLESNCEGVPMVCWPFFGEQPTNCLYICTKWEIGMEIDNNVKRDEVEGLVRELMEGSKGKEMKEKIMEWKDKAERATKPGGTSYNNFKLLVNHLRGESRAQKP >CDP15207 pep chromosome:AUK_PRJEB4211_v1:2:49384904:49386394:-1 gene:GSCOC_T00042832001 transcript:CDP15207 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGDTKVVDSCHGLLLFRDAFARWRYYVFNPLTQELLVLDGPNEGASCAFFYDPSANELKILYLCIGFQFFIYSLGGRGWRKIKSPPSGSPPQYDTPPAICNGALHWITSCGRAAEEDIPPCTSGITIFGMDTEDFSTLPHPGDEYNSRVNHRNMRLLVVDEHLSFCNVYRGYTVIDIWLLEDYASWAWVRKWCLRPILDGPRPLESECYGFSRRLEVVHFQNGELLLDCGERGLVLYHLDQNTFKKLGRPPTSYQHRCIPCTKSLVPLHRAALPQD >CDP16434 pep chromosome:AUK_PRJEB4211_v1:2:35404406:35409090:-1 gene:GSCOC_T00018330001 transcript:CDP16434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MHVIAFPVCSAAVIRAIPFKKSLVPTPNSSTNVHHPKVLRYSATVGLSPRRDAETELEDKKYELLRVIQDTQRGLVTTADQRSSIEEALVSVEAFDAGEAIDLGELDGTWRLQYTSAPDVLILFESASRLPFFQVGQVFQKFECQDESNGGVVRNIVKWSIPSLLEEQEGATLLVSAKFSVVSSRNIYLQFEEIALNKINISEELQALIAPAILPRSFISLQILQFIRAFKAEFPVRNPGRNSVGGLYYLSYLDRHMLLGRAVGGGGVFVFTRAQAIVL >CDP08892 pep chromosome:AUK_PRJEB4211_v1:2:51995402:52001310:1 gene:GSCOC_T00028022001 transcript:CDP08892 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVLHSLPPAPIDSSFPPTPKPYSTLSPLPHNSFATTIRELKTAKLHGFAASASPSNPKTKTQKQDDDYHTTLKALNSKGRFPRRSLGQHYMLNGSINEQLVGAADVKEGDVVLEIGPGTGSLTNVLVNVGAAVLAIEKDPYMAALVRERFANTNRVKVLQEDFTRCHIRSHMSLIMEGRSFVESQRAKVVANIPFNISTDVVKQLLPMGDMFSEVVLLLQEEAALRLVDPSLRSSEYRPINIFVNFYSDPEYKFKVPRTNFFPQPKATVVAFRLKQALDYPRVSSTKSFFSMVNSAFNGKRKMLRKTLQHICPPTEIEAALSEVGFQTTSRPEELALEDFVKLHNLISKP >CDP05299 pep chromosome:AUK_PRJEB4211_v1:2:3124432:3128337:1 gene:GSCOC_T00020295001 transcript:CDP05299 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVNLNPIDLAAVGEDVTVKAVNKRYEGLVTVRTKAIKGKGAWYWAHLEPILVRNPETNLPKAVKLKCTLCDAAFSASNPSRTASEHLKRGTCPNFNFLPKTGSQLPALASPSSQNHYRKRSFQETPTGTPSSSYQVGMVDSSRIFGEMGGYSPVQIAQTPLANTSSGSGMGQQQLVLSGGKEDLGALALLEDSVKKLKCSRASPAPALSKDQVDSAFDLLADWFYESCGSVSVSSLEHPKFKDFLNQVGLPPISRREISGSRLDSKFEQVKLEAEARIRDAVFFQVASAGWKGKNCRCGEESVIKFLVNLPNGTNVFQKVVCIGGSVPSQYAEEVLWEATRGICSNVVQKCVGIVADKYKAKALRNLEIQHHWMVNLSCQLQGIISLIKDFSRELPLFQTVTDSCLKIANFFNSKSQIRDNFHRFRLQEAELSGLIRVPHPKCDLSKNLASVLLMIEDILGYARVLQLVVLDDSYKVVCIEDSVAREVANTIQDVGFWNDLEAVQSLVKLIRGIAQEIEAEKPLVGQCLPLWEELRTKVKDWCAKFSLAEGPVDKIVERRFKKNYHPAWSAAFVLDPQYLMRDASGKYLPPFNCLTHEQEKDVDKLITRLVSREEAHIALMELMKWRSEGLDPLYAQAVQVKQQDPVTGKMRIANPQSSRLVWETCLKEFKSLGKVAARLLFLHATTCGFKCNWSIMRWICLQGHPRACMDRAQKMIYVAAHAKLERRDFSSAEEKDVELFGAAKSADDVLN >CDO97276 pep chromosome:AUK_PRJEB4211_v1:2:17792603:17795887:-1 gene:GSCOC_T00014555001 transcript:CDO97276 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKSGVLEKHLQELKDEDQTLGDDRKPVLLQIRSIIPVLEEGDLFPDRGFYLKVSDASHAMYVSLPQEQNDMILSNKLKLGQFIYVHKLEKSEPVPLIRGLTPVPGRRPCEGSPEDIHSPTALVKFLQVLDTDPVVEKGVISEKTINEDSTISRKPLYRGLSDSEGLIRNHNGLQQRPRGRFRSLSASRTRHGERTVGSRSSKPTSTDDDSDSDSTLSSVSLMSKRKSWTQSDILGLKQMFDSSAIKNESKPVAKSLSANVSPVRSMRYDSSDECSSSTTRRRDVSSTKKLVKGTNKSRTPVPKVISEQTSQPVSSLVHDGKGAETAIAWESLPSKLVKLGKEVVRQRDIALSAAADALQEACAAERLLNSLSQLLENILFFSKRVEIQCTKMIFEVKHITYDVKQIFYALHFQNYDWKNDFIAQNTYSEFHVSGEEDVQPYVDKFFDLQDDLARTRLIVQSLTNISPFKTPETDANGTTSVKEALNIALERKKNATTWIKSAVAFDLSTCSSDPLKLSVSPVAATDAVKKPSRCVKPKGARIIRRQRTNDDLPLLVASDKDYQAEWTRGSTLCAAADLASLLQDECRKLLLSYVENYLEEVESKTSSMHSDRQVSGMMYKVKRVNDWLNVFIGKEPNLPGDDGFKIFSNLDDSEREAYGRVRNKIYEILLNHVERTALAFGKS >CDP15523 pep chromosome:AUK_PRJEB4211_v1:2:46010089:46014352:1 gene:GSCOC_T00015382001 transcript:CDP15523 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSNYTPIDSQNVTGSVPAAADSSGHVTVKFADSNLQTFPPIAPQGKISSGSGPPRDADDTFSKTASGSDDDQQGGWFRTFSIAAYKPYFDVDTSDVVERIIDSLFPFRGSFNEKTADRPDLYGPFWICTSLIFVAASIGTFVTYLSHKLQKKEWDYDINLVTWSAGLFYGYVTIVPVGLYLILKYFSAPSGLVQLFCLYGYSLFVFIPALCLSVIPSQIVRWVIAGVAGFMSATFVALNLRNHIKSAGERWFLIVVGIFLLQLALALVLKLYLFTVTV >CDO97094 pep chromosome:AUK_PRJEB4211_v1:2:16469840:16472915:1 gene:GSCOC_T00014330001 transcript:CDO97094 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSGNPVESHKSDVLPASASAYLDPHYWDDRFSHEEHYEWFKDYSHFRHLILDHINPASSVLELGCGNSQLCEGLYGDGITELTCIDLSPVAVEKMKQRLISKGYKDIQVLEADMLDLPFANGCFDVVIEKGTMDVLFVDSGDPWNPRVETVDRVMSMLRQIHRVLKTHGTFISITFGQPHFRRPFFSNSEFTWSTEWRTFGDGFHYFFYILKKGHRMSESAECTERIDMPSISPYHDELDSEDYIFRTNIDDA >CDO99470 pep chromosome:AUK_PRJEB4211_v1:2:12194501:12200927:-1 gene:GSCOC_T00029061001 transcript:CDO99470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 3 [Source:Projected from Arabidopsis thaliana (AT2G33860) UniProtKB/Swiss-Prot;Acc:O23661] MCGLIDLNTVNNDDDGNGSEMTPSASESVCMELWHACAGPLISLPKKGSRVVYLPQGHLEQLADQLSLSNIDLPPHIFCRVVDVKLHAEATTDEVYAQVSLLPDYQMEHKWKEGEVEVETEEDVEGAGKSLTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYEQQRPSQELVAKDLHGMEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGGDGELRLGIRRAAQLKSCSTFPTASQQFNASSIISVVNAVTTRRVFNVCYNPRAGSSEFIVPYQRFSKSVAHSISAGMRFKMRFETEDAAERRCTGLIVGISDADPVRWSGSRWRCLLVRWDDMEVSRHNRVSPWEIEPSGIVSGHSSLVTLGTKRTRTGLLTTKPEHSVARDATGISEYGDIRLQKVLQGQEIVGLNNPYDGFDASSQHPSEMKSFPNTKDSRISVVPNQLKSLQGDSFASHEVSFVEPFQFNQVLQGQETILNQSYGRGPAVDIVLESSGSSILNGVQVPGQGNRWSAIRGYPTHVQPPNPSGQLSSPSSVMMFHQASYPVSKVRDAQCGGNLEKLEACNRGLFDTSERYIRRISVCSPESQTAVSMCKNSCRLFGFPLAEGKNGTNEENQIQVKMPYDHDAAFVPENDVQLHPKPSLVTKVTGNSCTKVSDLYNVRDMLLDIAL >CDP16347 pep chromosome:AUK_PRJEB4211_v1:2:27775261:27778772:1 gene:GSCOC_T00018169001 transcript:CDP16347 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQEPVIVQGENGEGEGEGIEEEKTKEEEEMKDIEKGEAGGEEFASQNQQQQHQGTREFHMSMMQRLSDTNPLRLIANAPRVASPSPAYQNRRPPSARPSPSPAYQNRHPPSARPSPSPAQSIPPRPSPAPSSQPRSTPTPQQSTISLNSRSYTNKLSLFLFVVHMVLAFGLVCFLIFKGVQGLVEEGKTKRKELKILKHFLPQVEAASFLSITLAIFWQKAVRVWPKFMVHFIIWSSFVLALSAGILLICFQMPATDALGVIFIFFAIGNGLYACWVTQRTGFCSKVFIKALEPVAKFHDLNQPTYWMLAAGFVWMSLWILAVIGSLNFYYPPIVIIVLVLSLAWITEVMRNVVNLTVSRVIALYYLLGVQSSTQFCFQRALSKNLGSACLGSVFVPSIEALRIVARGLNLIEGEDEFMFCCARCGLRIMDSIFKRGNGWAYVQIAAYGKGFVKASQDTWELFQGREMPQIVDSDITSAICFLTGVCSGCICVIVVAAWTATVHKSYTATVSLLAAFIGYLMTRIAMALPQACVGCYYVCYAEKPNSKLFDNDKTIPDRLNSIKAGRDVVVPTPRVPGAYRR >CDP15186 pep chromosome:AUK_PRJEB4211_v1:2:49771594:49778548:1 gene:GSCOC_T00042798001 transcript:CDP15186 gene_biotype:protein_coding transcript_biotype:protein_coding MTAINVTLLLLHLNSYQVPMMVSKSSGDLWEEILAEEDGNLDRGSDFGNSLKPKEPEITVLYRRRKCQNPSKDVVAERRQSNSSLTSLNENRVSLVPAKRVSWNRSLSTRGRTSITVEAFVGYQPQQRKSKRKGKPPLPKGKTIQTSSFDKEIAYFKEVDSFELLEESPSPKRSGTWLMGVQTDKVAVPHLSSVLRKWLIAQKQGCGPPLSLTKILQTPAVCKESVSSALRTLEDGSLPIHPSLSIQNRINFSSLSGDFSIRPSAAERCSEKSCEDIQVAVGKLSLTSYHDSQDGLGWDPLLALLTACGQSAPSTLLDVLLSYWFKGFYKSVKTKFLFKLSDSENISKVGEGTFGEAFKVGETVCKIVPFGGDFRVNGEVQKRPEELLEEVMLSCTLNRLRRHGDDVLNACTSFIQTIDLRVCKGHYGAALIKAWEDWDGKHGSENDHPKEFPENQNYVVFVQEHGGKDLESFVLLNTDEARSLLLQVTLALAVAEAAYEFEHRDLHWGNILLSRKGSETLSFTLEGRKVNVRTYGLLISIIDFTLSRINTGEDILFLDLSLDPEIFEGPKGDKQFDTYRKMKEVTDECWQGSFPKTNVLWLQYLVDILLLKKSYDRTSKDQRDLRSLKKRLNGYGSARDAAADPFFSDLFVNHES >CDO96908 pep chromosome:AUK_PRJEB4211_v1:2:14874459:14875145:-1 gene:GSCOC_T00014087001 transcript:CDO96908 gene_biotype:protein_coding transcript_biotype:protein_coding MEINVDNYLLHLVLYNICIVYCKQHVPCFFIFGESMLHNGNNNFLNTSFKAKYPPYGVDYPDGRVGRFSNAELVGLERGIPPFANTKRLSIMKGVNYYSSSGSGILDATGHELVRVLVIPSYLSSLFLFS >CDO97292 pep chromosome:AUK_PRJEB4211_v1:2:17900240:17902495:1 gene:GSCOC_T00014576001 transcript:CDO97292 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHSAAGSAPLYCPEDTDEVVQSHPDAAIPHSQEPDDESTINHLFDSESHHMPSPDYLLRRLCLHHLTSRQDSINWILKVHARYDFKPATALLAVNYFDRFLSSYHLPGNGWQYQLFSVACLSLAAKMEEPRVPLLIDLQIMDPTYVFDPKTVQRMELLVMANLDWRLSSLTPFDFLHYFISKLPPLSAHHHASPQPPHPTPTAIHILSTSSDLVLNTIRVIDFVRFSPSVIAAAAVVSAAGKELESLPHTFYERVEKEMVRSCHQLMEEYLVDTCPRSDRKVRSRMQILAAAPPSPVGVLESAACVSCDTRSENPCSAAGSGTIGAAQEHEPPQPKRLRSSVGDIQELRR >CDP13976 pep chromosome:AUK_PRJEB4211_v1:2:4772665:4778931:-1 gene:GSCOC_T00039131001 transcript:CDP13976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable apyrase 7 [Source:Projected from Arabidopsis thaliana (AT4G19180) UniProtKB/Swiss-Prot;Acc:F4JSH1] MVFNKVAEFFSAAGTYLSVHKSSNPSPGLPPLPVSIRGFSFASEGQKNNLRLSSSLQDFSTYRQLDPEEGHNFLEFQKNKSNSKQSNLLLREDAGSSFSKEKANPMVASAQKKWTRVILLLLCVLLFAFVVYVSQHLYFSWSQGAPKYYVVLDCGSTGTRVYVYEASVHQKSDRNLPISLRSLPKGFKRKSSLQSGRAYNRMETEPGFDKLVHNISGLKGAIKPLVRWAKKQIPVHAHKSTSLFLYATAGVRRLPSTDSEWLLNNAWSILKSSSFLCKKEWVKIITGMEEAYFGWIALNYHTHVLGAVPRKETFGALDLGGSSLQVTFESNDGVRDESSLKLSLGPVNHRLSAYSLPGFGLNDAFDKSVFHLLRKHPQIGSADLLNGKVEVKHPCLQSGYKEQYDCSHCASLYENDGTPPIGQKKFGTGGKPVIPLQLVGTPKWEECSALAKIAVNLSEWSDQSPGIDCELQPCALASNLPRPYGKFYAMSGFYVVYRFFNLSSDAALDDVLEKGKEFCEKTWDVAKISVAPQPFIEQYCFRAPYIVSLLREGLHITDSHVIVGSGSITWTLGAALLEAGKAVSTRLEFQSYEIMQMKINPVVLFSVLIVSFFILLFALSCLGNWRRKVFRKPYLPLFRHNSASAASVLRFQRWSPISSGDRVKTPLSPTIQGTQPGPFDTGHGFSGGGIQLTESSMYPSSSSVSHSYSSGSLGQMQFDNSTMGSFWGPHRSQMQLQSRRSQSREDLNTSLAEAHLAKV >CDP14023 pep chromosome:AUK_PRJEB4211_v1:2:5172360:5173069:1 gene:GSCOC_T00039193001 transcript:CDP14023 gene_biotype:protein_coding transcript_biotype:protein_coding MELVRDTIPAKLLPPPRQQSSNGGGHLEVERYKISKRWVTLMIEKGELTNRFRMREIQVLRRSISSLQDT >CDP13998 pep chromosome:AUK_PRJEB4211_v1:2:4970606:4971107:1 gene:GSCOC_T00039156001 transcript:CDP13998 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGLRFAGTRDGRSQELLYDYAVYFLNEIKSVSVSSKHSLPKGLSNYVDRGTLETCLHLIVLSLCVVMAGSGHLQTLRLLKFLRNRNSTEGHSNYGAQMAVSNFAMLECYSL >CDP14022 pep chromosome:AUK_PRJEB4211_v1:2:5164802:5169504:-1 gene:GSCOC_T00039191001 transcript:CDP14022 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYNYYRMFGCFNRKFKISETEPPPDVMSAFHKYAGGGTHMTPDQFARFLVEYQGEEGCTLADAERLMHIVIQRRHHLTKYTRRGLDLEDFFYFLFQDDLNGPIKTQVHHDMTAPLQHYFIYTGHNSYLTGNQLSSDCSEIPIIKALERGVRGIELDLWPNSAKDNVHVLHGRTLTTPVPLIKCLKSIREHAFVKSPYPVIITLEDHLTPDLQAKVAEMVIQIFGEMLYYPESGCLEEFPSPEALKHRIILSTKPPKEYLEIKNQKEGTSSPAEKDSSEDDFFMKDTLEGDPKYDSDPDDEDGDIQDQKSSQLAAPEYKRLIAIHAGKAKQGLRHALVTGLDKVKRLSLTEQDLERAASLYGTDVVRFTQKNILRVFPKGTRVTSSNFYPLVGWTHGAQMLAFNMQGYGKSLWLMHGLFRSNGGCGYVKKPDFLMKRGPRNELFDPKVKLPVKKTLKVSVYLGDGWRLDFSHTHFDSYSPPDFYTKVQMVGVPADEAKKKTRIIEDDWGPIWDEDFTFPLTVPELALLRIEIREHDMSEKDDFGGQTCLPVSELRPGIRAVPIYDKKGEKLRSVRLLMRFQFI >CDP00124 pep chromosome:AUK_PRJEB4211_v1:2:6005612:6006671:1 gene:GSCOC_T00029924001 transcript:CDP00124 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYFLSIFCFLASLSHSNGIQLIIVNNCKESVWPGILGTAGHQTPNDGGFHLSTGEQIVIEVPEKWSGRIWGRQGCCFDEHGKGSCQTGDCTGLLECMGTGGLPPATVVEMTLGTAQNQLHYYDVSLVDGFNLPVSMIPVGGGVGCGVAACEANVNVCCPAALEVRRRGKVVGCKSACLATKAPRYCCTGEYGSRGSCKPTVFSNLFKAICPRAYSYAQDESAGLKRCRAPRYVITFCPPHKTLR >CDP07809 pep chromosome:AUK_PRJEB4211_v1:2:26774152:26774884:1 gene:GSCOC_T00025199001 transcript:CDP07809 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDVLLDQWRENGLSKDQVAEKFSNCTLYVTCEPCIMCAAALSMLGIKQVYYGCANDKFGGCGSILSLHLEDHSRRGFKCQGGIIASEAVSLLRAFYEQENPNAPRPHRPPSQLV >CDP00040 pep chromosome:AUK_PRJEB4211_v1:2:6696073:6698780:-1 gene:GSCOC_T00029800001 transcript:CDP00040 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLNHLLFLCFIWAFSFSSVASLFSPKDRILISFGATKEIQLDDGRLFQPDFGNSVVSLSSNSDSVVSNTASNVPAVCNSARVFTRTSKYTIRTKQIGRHWLRLHLFPVKDDRYDLKSAVFSVVANGIALLHGFSFSKLEDSSPLLKEYVVEIGGSSSEHLVLTLSPWSGSVAFLNGLEVVSVPDGQFDFRVLPVPKGSEFVIPTHVALETVHRINMGGPLLTPKNDTLGRTWKPDIPFLLNADSARSVSKDPRLIEYPAGASVEIAPNWVYATAQEMADANVTDQKFNITWIFRVEQGFNYFIRMHFCDIVSIALDTLVFNVYLNNQSAIDSFDISSKTMALSAAYFIDFVLNVSMGLNQIIVQVGPTSQGTFPANAILNGLEIMKLSGPTDSLDENVAASPIGSKVPKPNRHVMLIAFACVGGLVVLLLILAATLICSRRQKKPKQYSLAWLSFQMGNSETKISAGSFASSTPSLGLERVLAFSEIRESTKDFDESLVIGLGGFGKVYKGVLDNGVVVAVKRGNPKSQQGLIEFRTEIEMLSKLRHRHLVSLIGYCEELNEMILVYEFMAGGPLRKHLYGSDLPPLSWKRRLEICIGAAKGLHYLHTGAADIVIHRDVKTTNILLDDNFTAKVADFGLSKLGPTLDQTHVSTAVKGSFGYLDPEYYRRQQLTEKSDVYSFGVVLLEVLCARPAINPALPREQVNIAEWAMHWQKKGQLQKIIDPLLMGSISADSLRKFGETTEKCLAEYGNERPTMGDVLWNLEYALQLQEASTQSVLDDNSANHIPEVPGWIPRVESVESDDIDIVSNQESDATTSTGVFSQLINPKGR >CDO96928 pep chromosome:AUK_PRJEB4211_v1:2:15106368:15111049:-1 gene:GSCOC_T00014114001 transcript:CDO96928 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKEDPNKLSSSLQDPTPTQPLLSKPYPPPLDHPASPVPATLRPTTSQPSDDHSQLLQISYNYGPRPFKDWPFLLLFSLLILATFAFGIFASVHRNPHHSQVSSFFYNSTSSTCAILEVTQPSFFSSPISINALKSSVLKSLIWTLVITLILSVPFVLFVLLLLKHYTKQLVYISLPFFVIVPVFLDIYWFVACHVSSRCSEALPLAYRILIFVFILLIIGVVIWIFVVNWHRIELTINIIGVAANGLWQNLGLFGVLPGLTLGLFLYYAPIVVFLVFARFNGKIVPKEKNGEYYCVWKQDGWVPAYYALAILTMLWSATAMIEAQVYVISGTIAQWYFSKDYDRPKKSLRSALRNAFGPSSGTVCLSGLLVGVVRFVRAMVDNARNEDASGIVNLILRCCVNALMAAFDFLNKFTINFAAITGEAYCTSARMTYELLKRNLLSAAFVETVSTRVLAGIIFVLSVLYAIVVCLIVRAVGHLGVEAYFVAAMAWLLLLVVLGFFVNVLDNVIDTVYVCYAIDRDKGDVCKQEVHEVYVHLPISRSQRSAFSARTPMVV >CDP17961 pep chromosome:AUK_PRJEB4211_v1:2:43978105:43985104:-1 gene:GSCOC_T00001205001 transcript:CDP17961 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQENQQAVDISKPPYLHLISAFLAMEPPDVLISLARKCGGGLIGERVQGFIWNNYINITVGDGGCQQGPYLKRVLKKLIGEIESDGGVVLDELYEHYAFIMTSLKGDNFSGGNSRVLKRISFLFPDECCELSSCPKSKKLEVSLHCSVDMLEGDTGCSIWPSSLYLSEFILSFPNIFSNRSCFEVGSGVGLVGVCLAHVKASAVVLSDGDLSTLSNMRLNLESNLLSTRIDVPECKKDASTVQCVHLPWESATEIELQNFAPDIIVGADVIYDPLYLPHLVRVLTVLLKRGTSFPNDGSVRCEGCQPDSECIRSEVQHADFEFDSSKGKAESCSAPCVEDKDDALERRPVAYIASVIRNIETFNYFLELMKEANLTVADITENSKPFDLLPYAKSYQRSTIRIFSISYN >CDP15525 pep chromosome:AUK_PRJEB4211_v1:2:46020599:46025517:-1 gene:GSCOC_T00015384001 transcript:CDP15525 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSRVYSCLNPLHLLHPHLILRPLSPLQRPFSLRIIKSPSNPFVPREVRTMLDLNLFREEKGYNPEIIRESQRRRFADVKLVDEVIQLDKEWRHKQYELDNLRKDFNKITKEIGKLRLSGGDVTQAIKNTEENKRLIAEKDAEVEEARVAMNAKLEIIGNLVHDSVPVSNDEANNAVVRSWGEKRIEPNLKNHVELVELLGIADTEKGANVAGGRGFYLKGNGALLNQALINFGLHFLKKRGFEVLHTPFFMRKDVMAKCAQLAQFDEELYKVTGEGEDKYLIATAEQPLCAYHMDDWIHPTQLPLRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNGNDSWDMHEEMMKNSEEFYQMLKLPYQVVAIVSGALNDAAAKKYDLEAWFPASNTYRELVSCSNCTDYQSRRLEIRYGQKKSNEQVKQYVHLLNSTLTATERTLCCILENYQREDGVEIPEVLQPFMCGETFLPFKKTPAKEVKGKKSKP >CDP13885 pep chromosome:AUK_PRJEB4211_v1:2:4087428:4089379:-1 gene:GSCOC_T00039017001 transcript:CDP13885 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGYKVSCIDLKGAGLDQTDPNTIFSFQDYNQPLLDFLAALPGDEQVILVGHSAGGLNVTDATYKFPKKVSLAVYVAATMLKKGMLNEQDVKDGVPDFSDIGEFGDVYDVGFASGLDQPPTSVVIKKHLLRRLNYQMCPEEDSTLAAMLVRPGPIRALTSARFKGEEGGEGSESREDAVVPRIYIKTAYDRVVKPAQQEAMIKRWPPSAVYALESDHSPFFSAPFALFGFLVKAVAAL >CDP00147 pep chromosome:AUK_PRJEB4211_v1:2:5785962:5788693:-1 gene:GSCOC_T00029958001 transcript:CDP00147 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEIQKTSNGETKPADDQDYKRVHDSSIDHKGNVPLRSSTGAWKASIFIISIEFSERLAYFAIAMNLVIYLTEVLHQDLKTAVKNVNYWTGATTLMPLFGAFLADAYLGRFFMILLSSIIYLLGLSLLTMSELIPSIKPCNIDNCGHPRKVHEMAFFIALYSLSLGTGGFKPSLESFGADQFDDNHLEERKQKMSFFNWWNFVLCSGVLLSLTVIVYVQDHVGWGVASLVLTLSMAIGFIVFYLGKPFYRYRVPEGSPLMPMYQVLVAAIIKRNLPHPSNPDLLYEVPRSEHIKGRLLCHTSSLRFLDKAAIFEDNDMQLQQRKHNPWRLVTVTMVEETKLIMNMFPIWFTSLIFGVCNSPAVTLFVKQSSAMDRKIGSKFEIPPATVGFLGALTMLLTITSYEKILVPVLRRARGNERGIKILQRIGVGMVFPVLGMAIAALVESRRLRMAETEAVLQGKAGESAPMSVFWLAPQIMILASGDAFSLVGLQEYFYEQVPDSMRSLGLAFFLSAMGVSQFISSFLITIVAHVTQKSGVSWLSKDLNSSRLDKFYWLLTAMAGLNLCLYIFFARRHSYKIIKGNVTVADCQGGDGTVSVA >CDO97697 pep chromosome:AUK_PRJEB4211_v1:2:21115122:21117329:1 gene:GSCOC_T00015108001 transcript:CDO97697 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVARKRGNKFGKIFVVNRELFVLKLPVLDLNYLNLVTYTHKLRSLGCMQMTGLSGWLYNSLQESCSLENMQRKIILELCVV >CDO97386 pep chromosome:AUK_PRJEB4211_v1:2:18617767:18621029:-1 gene:GSCOC_T00014713001 transcript:CDO97386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ureidopropionase [Source:Projected from Arabidopsis thaliana (AT5G64370) UniProtKB/Swiss-Prot;Acc:Q8H183] MESNGEKAVVSSSENGKSETETTESSSKDGSICGFDSLHHLLQESLSPPLFQEVSRLLLGLNCGKSLETIALPEPARALSSKHDFDLQAYSFRADKESLRGPRLVRVGLVQNGIALPTTAPFSDQKRAIFQKLTPIIEAAGASGVNIICLQEAWMMPFAFCTREKRWCEFAEPIDGESTEFLQEFARKYNMVIINPILERDIKHGETLWNTAVIIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYKDGLMVSDLDLNLCRQLKDKWGFRMTARYEMYSYLLARYVKPDFEPQVISDPLLRRST >CDP05208 pep chromosome:AUK_PRJEB4211_v1:2:2431940:2434873:1 gene:GSCOC_T00020172001 transcript:CDP05208 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKVEPETASSEPPPQGPKSAEALKDVAEEKPLVPVTEEKPLVPVPEEKHEDSKALAIVEKPAEPAEEKPAEGSINRDAVLARVATEKRLSLIKAWEESEKSKAENKAQKKISAIGSWENSKKASIEAELRKIEEKLEKQKAEYVEKMKNKIAALHKSAEEQKAAIEYKRGEDLLKAEEAAAKYRATGTAPKKLLGCF >CDO96726 pep chromosome:AUK_PRJEB4211_v1:2:12421108:12421369:-1 gene:GSCOC_T00013837001 transcript:CDO96726 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVNLVNDGPVTMQLDSVQASRNTNDTVEAS >CDO97532 pep chromosome:AUK_PRJEB4211_v1:2:19764126:19768155:1 gene:GSCOC_T00014905001 transcript:CDO97532 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSVNKSREYCSRRVFPGALFRFKPNQDPRVRHRHDHHPLLPIAMASLSLFLSNPHRPLRPTPLRPLHFSSKVSASAKPPPPPPEKKSFAVATGELFLGIAARLIIRRGDNNLVGQGGEFINGGLEPGGASTSESWWRRWRKEKASSVVIEDPLQPDVTWEQREEDVEAERRRKEQRAVSSPGFSFSAAGLLFPYHLGVAQFLIENGYIKDTTPLAGSSAGAIVCAVIASGASMQEALEVTKILAQDCRLNGTAFRLGAVLRDVLQNFLPDDVHIRSSGRVRVAVTQILWRPRGLLVDQFDSKEDLINAVFTSSFVPGYLAPRPATRFRNRLCIDGGLTLFMPPTSAYQTVRVCAFPASRLGLAGIGISPDCNPENRASPRELFNWALEPAEDHILDKLFELGYLDAAVWAKENPVEKLVEDDSSSIPSGLAQ >CDP16453 pep chromosome:AUK_PRJEB4211_v1:2:30626157:30636708:-1 gene:GSCOC_T00018368001 transcript:CDP16453 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDILLGKNDNVEGVCTFFGMNFYASSVVLTTGTFMSGQIWVGRTSMSAGRAGESASHGLTENLQRLGFETDRLKTGTPARVDIRTVDFTGLEPQHGDEEVSWFSFDPEFHIQREQMCCYLTRTTTITHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKDSHQIFLEPEGRNVPELYVQGFSTGLPERLQLPLLRSLPGLENCSMLRPAYAVEYDYLPAHQCHRTLMTKKIEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKSLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGHEIGLIDDKRWKKYQDKQARISEEKKRLKTVRISGGDLVAEVTALSSQPVRDASTLESLLKKPHIQYKLLDKHGFGNDLLSSVEKECVEIDIKYEGFITRQKLQLQQMVHQQHKPLPQDIDYCAITTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALLIILESNRRKAQDKRLHQLQASAVTDEGPKMTETAL >CDO97701 pep chromosome:AUK_PRJEB4211_v1:2:21142922:21145536:1 gene:GSCOC_T00015116001 transcript:CDO97701 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSASKPSHFHPRNLSNVSTPLSLKSLFFFCSSPGGNPDQETAAISPTESTGPETRNVDPAATPSRSPRGKHRRLQKNPEKLEDIICRMMANRAWTTRLQNSIRNLVPSFDHELVYNVLHGAKNSEHALQFFRWVERAGLFQHTRETHLKIIEILGRASKLNHARCILLDLPQKGVEWDEDMWVLLIESYGSAGIVQESVQLFQKMEELGVQRTIKTYDALFKVIMRRGRYGMAKRYFNKMLKEGIEPTRHTYNLMIWGFFLSSKVESAVRFFEEMKSREISPDVVTYNTMINGYCRVKNMEEAEKYFVEMKGRNLEPSVITYTTLIKGFVSAGGVDNALRFLEEMKKFGIKPNAITYSTLLPGLCDADKMSEADKILKEMVERHIAPNDDSIFTRLLSGQCKAGHLDAAADVLKAMIRLSIPTEAGHYGILIENFCKAGAYQRAVQLLDKLIEKEIILRPQTTLQMEPSAYNPVIEHLCNNGQTRKAEMLLRQLMKMGVQDPVAFNYLIRGHSKEGTPESASELLTIMVRRKVVSEASAHVSLIESYLTKGDPADAKSALDTMIENGHLPDSSLYRSVMESLFADGRVQTASRVMMTMLEKGVKEHMDLIAKILEALLLRGHVEEALGRIELLMQNGLAPNFDNLLSILCEKGKTIAALKLLDHCLQRDYSVDFSSYDKVLDGLLAAGKTLNAYSILCKITEKGGVTDKNSYEDLIKTLNAEGNTKQADILSRMIMASNSKKGKKQASMA >CDP05070 pep chromosome:AUK_PRJEB4211_v1:2:1289778:1293614:1 gene:GSCOC_T00019991001 transcript:CDP05070 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDYEEEDQQKPISSSSSVKPFNAVLDPSNPLGFLEAALEFLAKESDLFKSDSLVKDVNAVVRQVKDKVEAEERKRKEKAAPVNGNAEKKIKEDMPQAVVKEEVKDVEVESKMISEAKEDAKDDDKGPRAPNKGNGLDLDNYSWTQTLQEVNVNIPVPPGTKSRFIACEIKKNHLKFGLKGQPPIIDGELYQPIRVDDSIWSLEDQRFVSICLSKQNQMEWWKCLVKGSPEVDTQKVEPENSKLSDLDPETRSTVEKMMFDQRQKSMGLPTSDEMQKQEILKKFMAEHPEMDFSRAKIS >CDP08773 pep chromosome:AUK_PRJEB4211_v1:2:53102127:53107985:1 gene:GSCOC_T00027867001 transcript:CDP08773 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPFMEAVVCFMILMYLFESYLDLRQHAALKLPTLPKTLEGVISQEKFEKSRAYSLDKSNFHFVHEFFTILMDSAILFFGILPWFWKKSGEFLVLAGLDAENEIFHTLAFLAGVTFWSQITDLPFSLYSTFVIEARHGFNKQTIWLFFRDMIKGIALAVVIGPPIVAAIIVIVQKGGPYLAIYLWGFMFVLSLVMMTLYPILIAPLFNKFTPLPDGELRAKIESLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFNNKRIVLYDTLLQQCKKDEEVVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSKDLFQSFGFDTQPVLIGLIIFQHTVIPLQHLVSFGLNLVSRSFEFQADAFAKKLGYSLALRAGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAALDEPDKKAD >CDO96959 pep chromosome:AUK_PRJEB4211_v1:2:15334148:15336712:-1 gene:GSCOC_T00014156001 transcript:CDO96959 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLYRLCCSNLFYVLLVIIICRLSGTSLFLESLIADCYDPLDPNGNITVSFDILQYTSDGYVVGIMIISLLFLAPSNFISVTIQNFYLYRHVDKPGWRIGWTWSQNEVIWSMSGAFATHQGNCSSFKFQTPHSCMKYPDILDWMPDALPQNRSDACCKGGILDAWAINPSKSFSSFEMTVGNLGGNPTGYRPLNLTLLAPGPGYTCSPIIDTDPTVSSVVGGRREEQGHGNQHAHSRVIWLTRRQSVVFHSQHFTMLPLLHSRTLDTDILQCTDHMCPLRVHWHIKNNFLDHWRVKLTISNYNLGRNYSNWNVLVQHPGFSQNSTSYSFNSIVLPTIGVPDEVALFWGIEYYNDELLQADKVQVGSVTTEILLRKDMDSFTLRNGWAFPRRIYFNGENCEMPLPDTFPMLPNGGSRIDPPHWSLLPIMVYLTYKISGFRF >CDO97017 pep chromosome:AUK_PRJEB4211_v1:2:15863248:15870449:1 gene:GSCOC_T00014229001 transcript:CDO97017 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQQLSAIKGSKVLMVGAGGIGCELLKTLALSEFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPNISITPYHANVKDPDFNVDFFKRFSVVLNGLDNLDARRHVNRLCLAAGVPLVESGTTGFLGQVTVHVKGRTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQENDLNVRSSDASGSSEPAEDVFEHKKDEDVEEYGRRIYDHVFGHNIEVALSNEDTWKKRNKPRPIYIKDVALAEVIQQNGNLDKVPVSTDPLSVSAMTTIGLKNPQDVWSLAENSRVFLEALKLFFLKRGKEIGNLSFDKDDQLAVEIVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAVIAGLIVIEAIKVLQDDVKNYRMTYCLEHPSRKMLLMPVEPFEPNKSCYVCSETPLTLEINTSRSKLRDFVEKIVKAKLGMSQPMIMHGHALLYEVGDGLEEDMATTYAANLEKVLAQLPSPVTGGTVIMVEDLQQELTCTINIKHREEFDGEKEPDGMILSGLTEALVQKNDEASKENGASTSNVSQTVSVEAEEDDELQILPAVSGLAGKKRKLPDNANSSNSDLSGVIDETKIPRKAIDREDSEAVVVLDGNGERKKKRGQ >CDO97025 pep chromosome:AUK_PRJEB4211_v1:2:15971168:15976060:1 gene:GSCOC_T00014240001 transcript:CDO97025 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVERRPFYLYSKPQITRNVEAYKDALEGLSSIIGYAIKANNNLKILEHLRHLGCGAVLVSGNELKLALHAGFDPTKCIFNGNGKLLEDLVLAAEAGVFVNVDSEFDLENIVAAARIAGKKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKSHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVSYIDEIRAQGFQIDYLNIGGGLGIDYHHTGAILPAPRDLIDTVRELVLSRNLNLIIEPGRSLIANTCCLVNRVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPPPSDAEVSTFDVVGPVCESADFLGKDRKLRTPSRGAGLVVHDAGAYCMSMASTYNLKMRPPEYWVEDDGSVSKIRHAETFEDHLKFFKDL >CDP00100 pep chromosome:AUK_PRJEB4211_v1:2:6224681:6228423:-1 gene:GSCOC_T00029895001 transcript:CDP00100 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYYSPSRRGYGGRPRSPLRRGYGGERGRRKEQNHGSLLVRNIPLDCRPEELRVPFERFGLVRDVYLPKDYYTGEPRGFAFVQFVDPYEAAEAQYQMNGQIFAGREISVVVAAETRKRPEEMRRKARTGGPVGYGVRRSSYYGHSRSRSRSRSPRYASGSRGRYRSRSYSPAPRGRNDYSISPPRRYDDRPRSPRDHLQDRDLVHIHRSYSPGYHDVADRNGSSYAKKTELESGEAVAHWRPSPGQASRSPSGSRSRSADISPRHGR >CDP06824 pep chromosome:AUK_PRJEB4211_v1:2:37907356:37918240:1 gene:GSCOC_T00023825001 transcript:CDP06824 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIYYSFCLIFSLACLAVMAASNSNITADQSALLAFKDRMITSESQKILAKNWSVTSFVCDWMGITCGSRHLRVTALNISDMNLTGTVPPQLGNLSFLVSLDIKRNNFHGELPRELAHLRRLRHLDFGINNLGGELPSWFGYLHKLQYLSLRNNSFTGSIPPSISNMSNLETLWLSHNSLEGSVPIEFQNLNNLENLIIEHNQLSGPLSGILPDNICHGLQKLTWLGLGGNNLTGQIPSTLSQCSLLQHFSLASNHLSGSIPKAIWNLTMLEGLYLGSNNLTGATPNEIGNLTMLTILDFAVNKLSGAIPMEIGNLALLTSLYFQSNKLTGGIPEKIGNLHRLETLVLGDNTLSGSIPTEISNISSLQVIELYQNNFSGTIPLTMNHKLNNLETLSLYQNYLTGVIPNSISNASNLVDLMLDDNELIGSIPNSLGSLRNLQLINLAFNRLSSESSSPELSFFTSLTTCRSLRYLEVHQNPLNGFLPASFSNYSSSLEDFGAFNCKIKGSIPLGISNLSSLLYLDFSNNELIGSIPRTINRLMNLQEFYLESNQIRDVLDSFCGFQSLGYLALGQNQFFGSIPECFRNMTSLRQIFLDSNMLASTIPATLLSMKDLQVLNLSSNFFSGSLPREIGNLKAIYSLDLSINKLSDVIPTTIGELQALQTLSLAKNNLQGSIPKSISNMLNLEFLDISHNNLSGTIPKSLEALKHLKEFNVSFNRLSGEIPRGGPFRSFTGQLFMNNEALCGDPRLSVPPCQSNSITKSNKRKKLLLVMLLSGIAAILMVVTITIWILRWLKKPNISSGTELMSVAKYGRFSYYELLHSTNNYSESNLIGKGSIGSVYKGILSDGIAVAIKVFNLQVEGALKSFDRECEVLKSLRHRNLTKVLGSCSNPEFKALVLKYMPNGSLETWLYSHNYLLDLIQRVNIMIDVACALEYLHYGYNIPVVHCDLKPSNILLDEDMVAHVSDFGITKMFGEGESILHTETLATLGYIAPEYGLEGIVSTRIDVYSFGIVLMETFTRVKPTDEMFSRDLILKSWVEDSLPDAFQVIDANLIRQEDEHFTDKMKCVIFIMKLALNCCRESPGERMNMKDVLVELKKIKHQLLIIPTVKP >CDP04911 pep chromosome:AUK_PRJEB4211_v1:2:52590:57249:1 gene:GSCOC_T00019779001 transcript:CDP04911 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAKAAAAAAQQQMMNQQQQQQILLLQQLQRQKQQDAIMSRFPSNIDAHLRPQQHLLHRSQTANSSPNPNPNPNHQISATSNHPTLNSAVPTTTATATSAPAPTAAQQQQHKVSRANPAELQMAYQDAWRVCHPDFKRPFSSLEDACERLLPYHVVADYEAEEDDKILDSDPSGQMLSRSQQWDHNIAAKVAEFTATFEKQVLAFNIISRKRDLGEFRTEEKLMIEQLLLQEERRAFLELRAEMDLRQKAGRETHESNLRIAAMVQAEQARAESQAHADMMVRAPIRASALVSQSTSMTEQDQAANTEELINGWGNNGQKDEKEPSDDFLNDEETENGDAAMQSEWHGGGELDLNTR >CDP00068 pep chromosome:AUK_PRJEB4211_v1:2:6441839:6442248:-1 gene:GSCOC_T00029847001 transcript:CDP00068 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CDO97248 pep chromosome:AUK_PRJEB4211_v1:2:17578090:17585172:-1 gene:GSCOC_T00014520001 transcript:CDO97248 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDIHTLERRYLDSCDRHGIVPTNVVLSALFKAKVRKARSEVVSLVILLDDLTDDHFHPLLDLLSGIDFSEIEAVDFINKSSGFLSGECVLSLMRAIHKKLRVVDLQDKLFGKEFLLDLAQRGLRCQVLYLKSSHFRKLNMVGKFVHMHTLNLDFSASLTSFREDCFSCMPNLKFLSLCETRISNLWTTSAALAKLPSLTRLRFQNCLCLDESGRCCVSSQGKANESNDSGYIHGRFYSEEPWSIDEEILYQSANAEEQGMNTNDINFDQSTVEYSSDNSEVDFSSHHQDRCLPHFLPDASGWGELFDLQNQNSLGLWDIQDEVPLPSSSNSKHMPCSASRKFNSCHSSPICYEKYYREYMIASLQNLKNLDNLPIEKIDRERAKAVFSEHFEYQPYKRQNKENVVRILYKREIRATHTQAGSSREKLSYSSCDSPSYYSRSLSAAKMGSSAWPALHPLSWLGNPLRDERRSFRPRQFEYHPSDSSLMVFGTLDGEVVVVNHESENIVSYMPSLGIMNSVLGLCWLKKYPSKLVAGSDNGSLRLYEINHMSQASVGSGSVAFDDFDQLTSVHVNSADELLLASGYSKHVALYDISTGRRLQMLTDLHREHINVVKFSNHSPSIFATSSFDQDVKMWDLRQKPNQPCYSTSSSRGNVMVCFSPDDQYLLVSAVDNEVKQLLAVDGRLHLDFRISSTGSSQNYTRSYYMNGRDYVISGSCDESVVRICCAQTGRRLRDISLEGKGSGASMFVQSLRGDPFRDFNMSVLAAYVRPSSNSEIVKVNLLASNDYFEKVHLYSRFSHPLHGLGG >CDP08675 pep chromosome:AUK_PRJEB4211_v1:2:53917722:53924008:-1 gene:GSCOC_T00027723001 transcript:CDP08675 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNRLQKACTAIGDHGEDTALPTLWDALPSIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLQRIEEGREYAEFGHLPRKRFTDFAAVRKEIADETDRETGRTKQISSVPIYLSIHSPNVVNLTLIDLPGLTKVAVEGQPDSIVADIENMVRAFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAADILEGKSYKLQFPWIGVVNRSQADINKNVDMIAARRREREYFSSTPEYKHLAHRMGSEHLGKILSKHLEAVIKSRIPGLQSLINKTIIELETELSRLGKPIATDAGGKLYMIMEICRSFDGIFKEHLDGTRSGGDKVYYVFDNQLPAALKRLQFDKHLAMDNVRKLITEADGYQPHLIAPEQGYRRLIESSLITVKGPAEAAVDAVHAILKDLVHKSISETMASSSIFSFTAF >CDP05352 pep chromosome:AUK_PRJEB4211_v1:2:3537120:3541139:-1 gene:GSCOC_T00020360001 transcript:CDP05352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51300) UniProtKB/Swiss-Prot;Acc:Q9LU44] MDALQSSYSENPQSQSTASFSENPPAPPPQYSYDQYSSETLDSSYYQNYSNSSYSYSQSQDQDQSQQNYASYNHKLQETLTKFEQNSGLENSVAGDPIKEKVLTGENSESTPKLEVLRPLLSENGLTNTHSGTDKDQSGGEEETTSRRRRRSRWDPPPSEASSDGSGAGTGGGDGTGTGTGGRKRKSRWADDQPQPVIQLPDFMKELTGGIEFDPEIQALNSRLIEITRKLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRAREKLNRERQEIISQILKKNPAFKPPADYRPPKLQKKLYIPMKEFPGYNFIGLIIGPRGNTQKRMERETGAKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEAETQESLDAAAAMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSKTSTFKSDVLCKICGDGGHPTIDCPVKNTTGKKMDDEYQNFLAELGGTGPESSTKQSSAALALPGIPGSNPPWASNGSNNSGVSSTTPGLGANLIKPKEYDETNLYIGYLPPTLDDDGLISLFSPFGEIVMAKVIKDRVTSLSKGYGFVKYADVQQANNAIASMNGHRLDGRTIAVRVAGKPPQPTVPPGPPAPAMPTYPAPPQPTGAYPSQQYAAGGPLGTPPPGSYTGAPVPWGPPPPPPPYASYPPPPPGSAMYTGQPVPPYGVQYPPPMQTATSAVPSTTVSSAETQQTYASAVDTQQSYPPGVQSHQSTTPVQSVPTYAYGNSVAAIPPNGQYSTPSYSYQGYYNMAQPPPPPPPPPTLDQSQSVTNVPWASNPPVPPPVSSAEKTYGADSEYEKFMAEMK >CDO99810 pep chromosome:AUK_PRJEB4211_v1:2:8701081:8705028:1 gene:GSCOC_T00029504001 transcript:CDO99810 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSDVACRALPCKVWFWCMFLVVIAGGEDIFLEWHVALDTTIKPIISVNKPVITINGLFPGPLLNSTTNDIVHVNVFNHLDEPLLMTWNGIQQRLNSWQDGVSGTNCPIQPGQNWTYDFQMKDQIGSFFYFPSTNFQKAAGGFGPIRINNRIVIRVPFPKPEDEFDLLIGDWYNQSYKEVRSQLGSLSGQHNSPTWILINGKGPFGNPLTVAHESFTASPGKTYRFRISNVGTKFSLNFRIQNHKLLLVETEGSYTDQILLDSLDVHVGQSYSVLVTMDQNVADYYIVASPKLVDETNQTSLVAVGVLHYNGSNTPPNGPLPKDSTEYHKKSIVLTQSIHVYRWNLTAGAARPNSQGSFNISKATISQNFNLHGGLAAEFNGILGYTVNNVSYLTPDTPLKLADYALNGSGVYSLDKYPANYTFPLTVKGTFVVSGIHKAYAEIVFVNNHEAIDSWHLDGFGFWAVGYGNGSWTPQSRTEYNIINPVVRSTVQQVYPKGWTSVYAYLDNPGMWNLRSQILEYWYLGQELYIRVYDPDPNPAKERPPPENLLCCGTVFLKLATFSSIWWFPCLA >CDP08746 pep chromosome:AUK_PRJEB4211_v1:2:53366696:53369075:1 gene:GSCOC_T00027827001 transcript:CDP08746 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAEDLDKKEETLIEKPAEKVHGDHDSSSSASSESDSEKKVKSKPASSPTSVKDKIFRLFGREKPVHQVFGGGKPADVFLWRNKRISAAVLGGATAVWVLFELLEYHLLTLVCHILILALAILFLWSNATTFINKSPPRIPEVRLSEEPFLQVAAAVRFEINRALAVLREIASGRDLKKFLAVVSGLWFFSILGSYCNFLTLFYITFVLLHTLPVLYEKYEDKVDSFAEKAMIEIRKKYAVFDEKVLSKIPRGPSKDKKRA >CDP14065 pep chromosome:AUK_PRJEB4211_v1:2:5518632:5521067:-1 gene:GSCOC_T00039252001 transcript:CDP14065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sedoheptulose-1,7-bisphosphatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G55800) UniProtKB/Swiss-Prot;Acc:P46283] METGIACCARGALLPNVSSQHSTGLVSPRSVSPSFSSRSLKSSSLFGESLRIMPPRSSLKASKSKNSSLVTKCEIGDSLEEFLTKATPDKGLIRLMMCMGEALRTISFKVRTASCGGTACVNSFGDEQLAVDLLANNVLFEALKYSHIVKYGCSEEVPELQDMEGPAEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGRDQVAAAMGIFGPRTTYVLALKDLPGTHEFLLLDEGKWQHVKDTTEIGEGKMFSPGNLRATSDNPDYAKLIDYYVREKYTLRYTGGMVPDVNQIIVKEKGIFTNVASPSTKAKLRLLFEVAPLGFLIEKAGGYSSDGTKSVLDKVINSLDDRTQVAYGSKNEIIRFEETLYGSSRLKAPEPVGAAA >CDP08908 pep chromosome:AUK_PRJEB4211_v1:2:51874262:51877514:-1 gene:GSCOC_T00028041001 transcript:CDP08908 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNSSAKVFVPAAIMQPLLDASNLSELDQALEFLIQTAKSADGRADFASKNMVNAVLQLCQVLEFPSNGDLLLSSLKLLRNLCAGEMRNQNSFLEQNGVGIISGVISSVKPSLECGCEIIRMCLQLLGNVALAGGEHQGAIWSEFFPRGFYKIAELRSRETCDPLCMVIYTCSGETDELLGQLCSSQGLHIITEVLSTVSLVGFSEDWFKLLLSRVCLDKSCFASTFSKLHPVSEVGDHADITAKSVHFSAEQAFLLRILSEILNERIEDVVISIDFSLCILEILRSAVEVVDSVPKGKSALPTGHTGIDVLGYSLTTLRDICACADLTGLEIEGSNRVVDMLVSSGLIDFLLSLLRDLEPPTIIKKAMRKDETSNEAGSYPLKQCPYKGFRRDIVAILGNCAYHRKRVQDEIREKNGILLLLQQCVLDEDNPFLREWGIWSVRNLLEGNAENQQVVADLEIQGSVDVPEMVNLGLRVEMDPQTRRAKLVNT >CDP08581 pep chromosome:AUK_PRJEB4211_v1:2:40082062:40083420:-1 gene:GSCOC_T00027573001 transcript:CDP08581 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAFNFSPAEGTRVKTSPPELASANDSNKKLLILDLNGVLLGSPFTRMTRNRDFNFRPRCFEFLQVCLSYFEVAVWSSKLSHNIQPVLDRLSEKMNERLEQRLLFVWDQSRCTMTQTSLGENPDKKVMFKNLQHVWGEYKSYNSSNTILVDDSPYKSFLNSPYNAIFPTSYTCYTVEDNYLDPEGDFVRHLKKLASADNVQDFIKRNRFGQSPVTEGSVEWNFYVNVVSKLGLQNTAKRVTRKREAPNRYYPEVPIAFMFRNMFTIVQHLVMIAKIASAHMHRPRKKRKFESMRKIIVHCTEASPNLWKASTSLQVGHTTKTFVL >CDP16358 pep chromosome:AUK_PRJEB4211_v1:2:28542063:28546678:-1 gene:GSCOC_T00018191001 transcript:CDP16358 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKTQILLLSALLLSSLVLKTLGKRHGEAEYQLFKAKLKPNSNIDKSHFTPFENPPEPIQDGLKEKDIIQKLPGQPPVSFKQYGGYITINATAGRAFYYYFTEADDPNKAQDLPLLLWLNGGPGCSSLAYGAMQELGPFRVASDGKTLFKNQYAWNYVANVLFLESPAGVGFSYSNTTSDFVEGGDTKTAADNYIFILNWLERFPEYKNRDFYIAGESYAGHYVPQLAHNIVYHNKKANQTIINLKGILIGNAVINDETDTKGLIDYFASHALISPESSRKLHEDCKFSSDAETSDGCNDIVTKLRTIAGNIDIYSIYYPLCLDGNLTSIPKMFSIMEIDPCSEYYVQSYFNLPEVQEAIHANVTKLHYDWEPCSDVLKVWEDSSSTVLPFIEELMENGIRVWIFSGDVDGRVPVTGTQYSLEVMNITTLNAWRPWYRDGAVGGYVQEYKNNLTFATVRGAGHQVPSYRPDRGLSLVSNFVTGTPLPVK >CDP17215 pep chromosome:AUK_PRJEB4211_v1:2:47871704:47875529:-1 gene:GSCOC_T00000690001 transcript:CDP17215 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRGFAAAAYISQFQKASVTIAAAATGELAFHSAADATKYPFEGFTNVINNDINNLEDAVGLYDDMVRMRPLPCVILFNQLLGRIVKMKHYSSAISIFKDMWFLGISVDEYTLTILINSYCLLERADLGFCALGLFFKNGIAPTVATFDTLLKGLFRQHMISQAQELFIKIIQEKLVKPDVIIYNTIIDSLCKNKMVDKALDLLHEMVEQGIAPNVVTCNCLIQGLCNFGRWREAKVLLTEMNNFHVVRDVFTFNIVIDALCKEGEVEAAEDVFKTMIEQGERADCVTYSALMDGYCLQGRMDEARKVFDTMVANGVVLSSVNYNIVINGYFKKTRVDDALHLFEEMQCKGLTPSTGTCNTVLQGLFRVGRSAAARKLFDQMLTIPITSDFYTYCVMLDGLCNVGHIEEALDLLHKMEVERVDLRHVCMYNIILHGLCKIGRLDSARDLFESLSLKGLDPDVSTYTTMIQGVCSKGLLKEAKEFLAKMEENGCLADRISYNVIVQGLLLGGKYDDALVYLEEMDKRGFPLHSHTFSILLNSIKESENDPSLLKIIQKFVPNMREYSHE >CDP08530 pep chromosome:AUK_PRJEB4211_v1:2:41706282:41707929:-1 gene:GSCOC_T00027472001 transcript:CDP08530 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWFKISLAMVMILLPIATRGDDPLTPILNDICKEVECGKGTCQASLGYPFNFKCICDNGWRRTRLDNEETLEFLPCVIPNCSLDYSCMPAPAPLPSIPYNNSFFDPCYWIYCGGGTCIKDATYTHKCQCNSGYSNLNDIPVFPCFNQCAVGSDCSRLGVKLSSSTSSRNNDDSPGEFTNPLRSFEF >CDP05135 pep chromosome:AUK_PRJEB4211_v1:2:1868016:1875045:-1 gene:GSCOC_T00020080001 transcript:CDP05135 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVDRLFQCFKCGVSPPQSAFRQRKKRKHSVKPNGSAQAASTSRSITSSSPAGESHRDSPETQPSIQNPFPSAAITTNLNTKKQFSPIVFYGSPHGVPPKRPARLLRLLHEIRVDLSEKNKLREDIWATFPRQDEAIKYATEHRKSHVFCYQDHANGQRRYLVSTYQEFWKRYKQMNPKFRHHYEVIQEGLPCHLYFDLEFSKRENVQKDGDEMVDLLLSIVFDALHENYSIDTSHDCIVELDSSTEEKFSRHLIVRLPKTAFKDNSHAGAFVAEVCSHIHKSRETEERFKNLFVSKDSSETNCQCQLFVDNAVYSRNRCFRLPLSSKAGKSSVLLPSGRFKCKDMMPQMHVPVLLYLALDNSQSEEEVFMASLICSMDDDCEKLLICKMDTDCVKSLCFDSETANKFDKHSTLAQEFDWNACTIDASRTYMMGKSPFPSLDVFIEDVASIGNISGKIRSWYWFSEYGLMIYSMSRNRYCERIGRQHKSNHVMYVVDIRRGNYYQKCHDPDCRGYRSPLRPVPNGVIPDPAVFLHLSGQTEVPVDTASTTDQSLMDSCKKEWWTEAIRLADQVESIQRTLDLTGMAEKWEEDDDWWRAVERTASQTESKYSSQA >CDP17820 pep chromosome:AUK_PRJEB4211_v1:2:27151177:27155352:-1 gene:GSCOC_T00009439001 transcript:CDP17820 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKTCFFVKVTAFALLIKCSIRKYEGNLGLLHGAKNKLSTHVYWWIRQAITRPIAKKSKITRLRGSVSELVPRICEANVVLSKRLRKLPTCQEIAEAVKRDILTVGLAPERNRELISLDQLTESIICKTYQREIVAGPDETTPKQW >CDP08910 pep chromosome:AUK_PRJEB4211_v1:2:51855650:51858991:1 gene:GSCOC_T00028044001 transcript:CDP08910 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARMNSLDDSLGASKRWYETVIDSITEISCSIDEEEVKEQETLAPQLLYVYETAITGFAAQLSKKQLESLKKMDGFLAATPDELLSLHTTHSTQFLGLRSGRGLWSAPSLASDVIIGVVDTGIWPEHISFHNSGMSPVPSGWKGVCEAGTKFSSSNCNKKLIGARVFSKAYEALVGRINETVDYRSPRDSEGHGTHTASTAAGNIVKNANFFGLAKGSASGMRYTSRIAAYKACYPLGCASSDILAAIDQAVSDGVDILSLSLGGSPRPYYADNMAIAAFGAIANGVFVSSSAGNAGPRSSTVGNTAPWMMTVAANYMDRSFPSGVKLGDGQVFMGASLYYGKATKQLPLVYGETAGAQGAQFCINGSLSPKLVRGKIVVCDRGINSRVEKGEQVKMAGGAGMIIVNTETGREERFADPHILPATMLGAQAAISIKRYMNSTRKATASIEFYGTAYGNPAPVMAAFSSRGPSAVGPDIIKPDVTAPGVDILAAWPPNISPTRLKSDKRSVKFNFISGTSMSCPHVSGIAALLKSVHKDWSPAAIKSALMTTACTLDTGMAPISDAASGSSKFATPFAFGSGHVDPERAADPGLVYDISTLDYLNYLCSLNYNSSQVALFSRNNFTCPDEAAILQPESITATYKRTVTNVGIPVTVYAARVMQPNGVSVIVEPNVLHFQGIGQKLSYKVSVTTLEGRNLSDSYSFGSVVWISEKHTVTSPIAVTWQ >CDP09427 pep chromosome:AUK_PRJEB4211_v1:2:22591386:22592434:-1 gene:GSCOC_T00028785001 transcript:CDP09427 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDHNERPNSTLYQQLMALQPLYPTASLRELISFADDLFPYASEDDLISLEEEGLMGQDDGYSTGNLMISSGLREDNIIQQLKIRRRSRRQEEVADDDEGFEEICVVCQGEYEDEEMLGALGYWTRVFRRLGGGLSPHQPPLKSVAGHHPSPCSIFVYVYSKN >CDP07751 pep chromosome:AUK_PRJEB4211_v1:2:25740326:25742153:-1 gene:GSCOC_T00025110001 transcript:CDP07751 gene_biotype:protein_coding transcript_biotype:protein_coding MPTILCFWLIAIAVFLVCGRGHKPRKLPPGPCPLPILGNLFQLGREKLYHKAVTKLSKVYGPLMSVKLGNQMIVVVSSPNLVREICQKYDHTFYRRLDLDASRALDHHKFSIAWIPTGKKWNDIRRLFKEQIFSSERLNASQGIRQEKVKQLCDYAHEHSIKIICGLTNTMGRPNLADFFPGLKFFDPQGIRHKTEVYFVKLLEAFEDIITQRLQARGASPTSGSGKSDLLEVFLDLCQHREAGWSYNDVKHFLLDLFLGATDTTSSTVEWAMVELLRSPDKKEKARAEIREVIGQGKSVKESDISRLPYLQAVVKETLRLHPPAPIVPRKADTDIEVDSYILPKDSLIVFNLWGMGRDSNLWLNPDSFVPERFLNSESDDKGQHFKLTPFGTGRRICVGYALAQRMLHLMLASLLHSFDWKLEDGIKPEDVDMSERPGLTVQKAVPLMAIPIRTSI >CDP15166 pep chromosome:AUK_PRJEB4211_v1:2:49994019:49994585:-1 gene:GSCOC_T00042768001 transcript:CDP15166 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRTLILALFLCLLLSIFTTSHGYKTHRRGRPCKELSFYFHDILYKGHNRDNATSAIVAAPDWGNRAEFSGPFDFGYLVVFDDPITLDNNLHSTPVGRAQGMYVYDQLSAFSAWLSFSFVFNSTEHKGTLNFAGPDPIVDQTRIVSITSGTGDFFMARGIAILTTDAYEGNYFFRLRVDIKLHECWE >CDO96797 pep chromosome:AUK_PRJEB4211_v1:2:13183201:13187343:-1 gene:GSCOC_T00013931001 transcript:CDO96797 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSDLSPAPLLQTPPTRSLPFREDCWSEEATSTLVDAWGRRYLELNRGNLRQKDWQEVADAVNARHGHTKKTRRTDVQCKNRIDTLKKKYKIEKAKISESNGTLTSSWPFFARLDALIGNNSKNQQQKTSPMPVVLPQKRQFSAVDDSYFRKNYSAVAAAAAAAAEEVEDDEEEDGGSEEDEDEEVEREEGTVAGEEGVRRLAKAIERFGEIYERVENMKQRQMVELEKQRMQFAKDLEVQRMQLFMDTQVQLEKIKQSKRSGSDDLYS >CDP16441 pep chromosome:AUK_PRJEB4211_v1:2:30136201:30139471:-1 gene:GSCOC_T00018347001 transcript:CDP16441 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALLLFWSTTILGLSHNAEGSLFSIREATVHDIRIALDHNRLTSRELVEFYLKEIRRLNPVLNGVIEVNPDALHLARKADQDRKAKKPGSMTGLAGIPILLKDNIATKDKLNTTAGSYPLLGSVVPQDAGVVKKLRRAGAIILGKASMTEWAGARSSGVPNGWNARRGQSVNPYLKSAYPCGSSTGSAISVAANMVAVTLGTETAGSILCPSSYNSVVGIKPTVGLTSRAGVVPFSPRQDTVGPICRTVSDAVYVLDAIVGFDPDDAVATKKALKYIPHRGYLQFLKSNGLKGKRLGIPRYSFVGFSNSSVALKAFETHFRVLRQRGAVLVDIVDTASFDTIIISMLNDESKALIVEFKLALNAYLRQLITSPVRSLADAIVFNKDHSKLEKIKEYGQDIFEAAEKTNGIGIPERQLLLNLTIASKNGFEKLMKENKLDALVTPISTIVSAISAGGYPGINVPAGYDTDGTPYGISFGGLKGSEPKLIEIAYDFEQATKIRKPPPLGRGRALIVEFKLALNAYLRQLITSPVRSLADAIVFNKDHSKLEKIKEYGQDIFEAAEKTNGIGIPERQLLLNLTIASKNGFEKLMKENKLDALVTPISTIVSAISAGGYPGINVPAGYETDGTPYGISFGGLKGSEPKLIEIAYDFEQATKIRKPPPL >CDO99476 pep chromosome:AUK_PRJEB4211_v1:2:12139757:12141601:1 gene:GSCOC_T00029069001 transcript:CDO99476 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINVLPPGFRFHPTDEELINYYLKNKVSSATNPLVSIIAEIDLYKFNPWDLPDKALFGESEWFFFSPRDRKYPNGARPNRSAASGYWKATGTDKPILSYASQCIGVKKALVFYKGRAPNGTKTNWMMHEYRLLNDSHHSLVQKGSMRLDDWVLCRVRQKSNNSIEPREGNAKSRSKRTFPCGFDAYGEGEIAQNISYFGNNHWHPQQNSDRLIPYGHLDIQGGTSSDQSSSQENFEPSQVNINMNHSMKDALESIKRVLSLGNLDEQGSKLPNKRLRSSLTPSSTYLENSGIFVIPNNP >CDP08915 pep chromosome:AUK_PRJEB4211_v1:2:51796233:51799807:1 gene:GSCOC_T00028049001 transcript:CDP08915 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSNKEIKPGCCFPVRLDRSMRRSFKFSRSSSSDKLIKGSPKTGDTPKLRSGAPVKSPGLVACFGELLIDFVPTVSGVSLAEAPGFKKAPGGAPANVAVGIARLGGSSAFIGKVGQDEFGYMLSNILKENNVDNSGMRFDSHARTALAFVTLRADGEREFMFFRNPSADMLLTEAELDKDLIRKSCIFHYGSISLIEEPCRSTHLAALKVAKEAGCILSYDPNLRLPLWPSAEAARQGIMSIWDQADVIKISEEEITFLTGGDDAYDDNVVFKKLYHPNLKLLLVTEGPEGCRYYTKEFHGRVHGVKVQAVDTTGAGDAFVGGLLNSLATDPDLYKNEKKLQEALLFANGCGAITVTEKGAIPALPTKEAVFKILAAATA >CDO97480 pep chromosome:AUK_PRJEB4211_v1:2:19376837:19378935:1 gene:GSCOC_T00014833001 transcript:CDO97480 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKPALLFSSPVELKQSNFNDTALRLDCFGYGGSKNTGFGRSHNNAGSWVNHTNAPDDGCRLVLGLGPTPSAYSDNYEVGGNKKKGITAMVRQGLSSDGDSILKLGLSGGIDEPSNLLDHSVSTQSTLNTPHHSVGNRILIPVVDEGSTSAKRSGGYLTTFFIEPRIENNKTLAEELLEADAKSHGHNSQLSSEPSVISDYSRSTVSGSITSVDHKTSNPRRCKFDGCMKGARGATGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGRRCQQLGCTKSAEGKTDFCIAHGGGRRCGYPGGCAKAARGKSGLCIRHGGGKRCKVEGCKRSAEGQIGLCISHGGGRRCQFQGCNKGAQGSTSLCKAHGGGKRCIFAGCTRGAEGSTPLCKGHGGGKRCLFDGGGICPKSVHGGTNFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKFENCGKSAQGSTDFCKAHGGGKRCNWGGGKCEKFARGKSGLCAAHSSLVQGQEASKGSMIGPGLFHGLVSATSGMRSTVDRTYSSCGGSMISESADSLEMPAKRQHLIPPEVLVPLSMKASSSYSTPLSTEKDEERNNGVGIGGGGGGSGSSSKNHLGNGFGFVVPEGRVHGGGLMSLLGGNLRNGINQR >CDO99827 pep chromosome:AUK_PRJEB4211_v1:2:8563199:8563579:1 gene:GSCOC_T00029523001 transcript:CDO99827 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLELRQELVEIRLPALNIKVSQSSDSTDDGGAVIQSQESTTGTGSCIIEEEECHTPKSPQHMIPEILSCPPAPKKPTRPASSSCKRKLSEFFEFVGREEIESFFRQLDVPNSTTGVIKKRCLV >CDP05207 pep chromosome:AUK_PRJEB4211_v1:2:2420264:2424256:1 gene:GSCOC_T00020171001 transcript:CDP05207 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGIKAVPFAVVAQVLGVAGIIMVLIWNISFRGGLAWESANKSLIFNIHPVLMLLGLIVIGGEAIITYKTLPLRKELKKLIHLVLHAIALILGIIGIYTAFKFHNESNIVNLYSLHSWLGIGIIVLYGIQWIYGFLVFFYPGGSSEIRQESLPWHVVFGLFVYILAVGNASLGYLEKLTFLENSGLEKYGAEAFLVNFTALVTILFGTFVVLTVFSKPVAEDEYSYSAIN >CDP18389 pep chromosome:AUK_PRJEB4211_v1:2:43363573:43366689:1 gene:GSCOC_T00012973001 transcript:CDP18389 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCDFKMICNMPRKRKLKEFHEKGQQRTRRGEEDQDITKPKRPIHPFILFRKQHFENLKGQNGVGACGSKGNASAKQAWEKLSEAEKAQLMNKYKEDLQIYKESIEKLGKSTSFDRAKREITIRSSPCQLLKLVSKLDDIKKEAIREIGFGGLLEIQCHSMPSCLLTWLIQHFNPTQCHIQLDGGQILQVTAHDVEITLGIPGNGPIPKEDEDASEEEVDIIPRRYKWIDLLEELISMESGEEFKKKFVIFVCGCLLAPSKRAEHTTKLWRCLHSVEEIRNMNWAEYSIWTVLAS >CDP08739 pep chromosome:AUK_PRJEB4211_v1:2:53419625:53422942:1 gene:GSCOC_T00027817001 transcript:CDP08739 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLHQRPLHNILTDQYPLSPRSPQNSQKPSILFNRTSLVLLLSLLIVLGILFPWIQIPQGGLFSSSISSSRSGNPNFSESKWRAYTLSEAAANVAKNNTLIVCAVSEAYLPFLNNWLISIVRQKHHDQVLVIAEDYPTLFKVNQKWPGHAVLIPPALESQVAHKFGSQGFFNFTSRRPRHLLQILELGYNVMYNDVDMVWLADPFPHLQGEHDVYFTDDMAAVKPLNHSHDLPPPGKKGRTYICSCMIFLRPTGGAKLVMKKWIEELQAQPWSNAKKANDQPAFNWALNKTAGQVDLYLLPQAAFPTGGLYFKNPTWVQETKGMHVIIHNNYITGFEKKIKRFRDYGLWLVDDHSLESPLGRL >CDP00102 pep chromosome:AUK_PRJEB4211_v1:2:6217211:6220233:-1 gene:GSCOC_T00029897001 transcript:CDP00102 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGIMEVTLVGARGLKDTEFFGGIDPYVLIQYRGQERKSSIARGQGSNPAWNEKFTFRAEYPGGDNQYKLILKIMDHDTFSSDDYLGQSTIYLKELLELGVENGKAEIHPQKYSIVASDQTFSGEIQVGITFTPKEDANAEEEFGGWKESDY >CDO97383 pep chromosome:AUK_PRJEB4211_v1:2:18601858:18604065:-1 gene:GSCOC_T00014709001 transcript:CDO97383 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSFLGGLNLSRVAANMGVDASSAAASSDQSSEFCTLMEYVGKGGIDVGDGLVVLLGHLEYASKKIAALVASPFNSSLGKNVTIASTPSERDEPKPLDIVSNEIILSALRHSGKVSVMASEEDDLPVWINDDGPYVVVTDPLDGSRNIDASIPTGTIFGVYKRLVELDHLPVEEKAILNSLQSGTRLVAAGYVLYSSATILCASFGSGTHAFTLDHSTGDFILTHPNIKIPPRGQIYSVNDARYFDWPQGLRQYIDTIRQGKGKYPKKYSARYICSLVADFHRTLLYGGVAMNPRDHLRLVYEANPLSFIAEQAGGKGSDGKSRILSLQPVKLHQRLPLFLGSPEDIDELESYGDVQQKVNPGYEV >CDP15170 pep chromosome:AUK_PRJEB4211_v1:2:49955650:49959181:-1 gene:GSCOC_T00042772001 transcript:CDP15170 gene_biotype:protein_coding transcript_biotype:protein_coding MATETVSSDHSTPALEQVEKEVKEEVEKIAEDGTSPPKGNEEKPKDETTPGETAVPLAETEKKMEEKQGEPLVVEEVVKAEVEEKPKAEEPPSLPITSAEPEEKIEDKHIEPPAIEEIKKPDDIPALDISSKDNPELAKEYVPKEETVNVAGVADNILKREPEGHSGIKNLETPQEEPPKGSEEEKLVEESKEIDIPASVVEAVEAQEEKVEEIPKDIDIPESVSGATKTIAEEVTELPEKIDIPQAATEAIETKEEEVKESPEKIDVLEAVKEGVETKVEQVEELPKKIDISEPVNGAIETKVEQVEEAAAADVVEVSAAEPGKEEISIPEPVAEDRPEEKPVATEQTGKEAATAEPEEKIEVETIKDREISTDEIDETKLAEGVESTATESSEQIAPTPVAAQVETENVEVPPTEVAEKAEDKEKELTAKPQKQVTLEELLEKVEEETETKDLKTEEKEEKSGISEESSQLEAKEVDAVTSSIPPPEDSKTVASAETEKDAVVDKNVDVEKEPEKAEAETETKAEEAAEAVKETEKTDVAVEESKEVGDLKTTQEAPKEEVPGKTTQKSTNILSKVKHSLVKAKKAIIGKSPAKTPAAPPLETKEEVKS >CDP09398 pep chromosome:AUK_PRJEB4211_v1:2:22850146:22850623:-1 gene:GSCOC_T00028745001 transcript:CDP09398 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLSLSQLHEGIEVVPLRSQLYFMHQKKGAEIYYVIGHLKEAAKVNSSRYYSVLLIYTRQVKENITSTIFF >CDO99550 pep chromosome:AUK_PRJEB4211_v1:2:11403845:11407034:-1 gene:GSCOC_T00029178001 transcript:CDO99550 gene_biotype:protein_coding transcript_biotype:protein_coding MARERRRPIVGQSSSSSSRIKSPAPFLSKTYDLLEEEEERGRREATERGSWGQRVVSWTADGTGFVVWSPAEFSELMLPRYFKHNNFSSFIRQLNTYGFKKTASKRWEFQHEKFQKGCRHLLSEIHRKKCEPSAFPQYLKASEESNNSPSSTVEENNTTRLLLMQENQNLKKERMELQMQIAHFKTLELKLMECLSFYWDDHHNKVRRLC >CDP07748 pep chromosome:AUK_PRJEB4211_v1:2:25667504:25671038:-1 gene:GSCOC_T00025102001 transcript:CDP07748 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIYITVLLLFGAMLTFQLEVHAEASNSHLKHNSKILQDSIVERINSNSTAGWRAEMNPRFSDYTVGQFKHLLGVKPTPKGI >CDP08817 pep chromosome:AUK_PRJEB4211_v1:2:52743447:52745004:-1 gene:GSCOC_T00027923001 transcript:CDP08817 gene_biotype:protein_coding transcript_biotype:protein_coding MISESQTNIKLPSLDISKPLDSCSLSSLTAACSEWGFFQITNHGISKELYDKILSLSKDLFSLPSETKLKLGPFSSLKTYTPHFIASPFFESLRVSGPDFAASAQDSAEVLSAPNISEFSEILHEYGRKMTEISKEVLKLVLMSLGAEFEMKYYNSEFSNCQGYLRINNYAPPESLEEEEEAEGLGMHTDMSCITIVYQDEVGGLQVKSREGKWMDIVPCEGTLVVNIGDVLQAWSNDKFRSSEHRVVLRKLVNRFSLAFFWCFEDEKIILAPDEVVGRENSRIYKPFVCSDYLKFRENNEKGKFEKVGFTVKDFAGIE >CDP13927 pep chromosome:AUK_PRJEB4211_v1:2:4415828:4419932:-1 gene:GSCOC_T00039070001 transcript:CDP13927 gene_biotype:protein_coding transcript_biotype:protein_coding MENALSCTSSSSAPILHHPLSSASFTPQFSSQLRLSRLKRSNSVGQHRNRSLVISKACGPQGNGFPIIPTRIFIEEAIGAEYGEGFETFRPDGPLKIDVDFLNDRLQEGFLQRIRYAMKPDEAYGLVFSWDNVVADTRGLKLNAWKKLASEEGKEFPEDDEIQRLMLYAGAEHVLHKVLLWEKAENDMDRLKLRLSQLYYDNLLKLSKPVEGLKDWLDAVSTARIPCAVVSSLDRRSMIEALERMGLIKYFQAVVAEEDGMESIAHRFLSAAVKLDRKPSKCVVFEDDPRGITAAHNCTMMAVALIGAHPAYELQQADLAVASFTELSVINLRRLFAHKGSSFMDMQKQIIEKSPPRRKLTIDTIF >CDP07747 pep chromosome:AUK_PRJEB4211_v1:2:25664507:25667422:-1 gene:GSCOC_T00025101001 transcript:CDP07747 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSQDQGHCGSCWAFGAVESLSDRFCIQFGMNISLSANDLLACCGFLCGDGCDGGYPIAAWRYFRYAGVVTEECDPYFDKTGCAHPGCEPEYPTPKCIRKCVKENLLWRKSKHFSTSAYRISSDPQNIMAEVYKNGPVEVSFTVYEDFAHYRSGVYKHTTGDEMGGHAVKLIGWGTSDDGEDYWLLANQWNRSWGDDGYFKIRRGTNECGIEADVVAGTPSSRNLNLVPEYNEADVSLDASI >CDO99502 pep chromosome:AUK_PRJEB4211_v1:2:11913014:11915927:-1 gene:GSCOC_T00029101001 transcript:CDO99502 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIASRTMLRSATTSARTVAAKVVTGAKSKPSSSPFRIPAQKPLSARFFRSPVEMSSVSVESMLPYHTATASALLTSMLSVSPRSYGWTLEVTWTS >CDO99779 pep chromosome:AUK_PRJEB4211_v1:2:8939408:8942868:-1 gene:GSCOC_T00029469001 transcript:CDO99779 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRGNSQEALLSQLSEATRTVSTLPECRAVVKKIYCNLVRRIKLLSPLFEELKDGEEELQDDVVSGLELLRIALNSALELLKSVHEGSKILQALQLEQITVKFHQITELIEDALCHVPYTTLDITEEVQEQIELVHTQFRRAKGRMESPDLQLEMDLTIAQRERNPDPAILKRLSEKLHLRTINDLKRESLAIHDMVIANGGLPDERFETMSLLLRKLKDCVLMENPDFDAPEGDKSFVKLRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQTLLHTALTPNYVLKSLIAFWCESNGVELPKKQGSCRNKRSGVGGSECDRAAIDALLQKLANGNPEEQRAAAGELRLLAKRNADNRVCIAEAGAIPLLAELLGSPDSRTQEHAVTALLNLSINEANKGTIVNAGAIPDIVDVLKVGSMEARENAAATLFSLSVVDENKVAIGAAGAIPALIDLLCQGTPRGKKDAATAIFNLSIYQGNKVRAVRSGIVPPLIGLLKDPSGGMVDEALAILAILSSHPEGKVAIGQADPIPVLVEVIRTSSPRNRENAAAILWSLCTGDGQYLKLAKDLGAEEVLRELSESGTDRAKRKASSVLELLQRVEPVSS >CDO97261 pep chromosome:AUK_PRJEB4211_v1:2:17679715:17680225:1 gene:GSCOC_T00014537001 transcript:CDO97261 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPPAKQILNFWEWECKKANIESHSMSVNNHGTEVWSSFKYSTDSRSFFERCKPYTD >CDO97284 pep chromosome:AUK_PRJEB4211_v1:2:17834899:17836656:-1 gene:GSCOC_T00014564001 transcript:CDO97284 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTMGFSLWYGLPNVLPRPTISSDTPTGPNVLRNLTPSQFCFRGFGPSPSFSSQPIFAFSLLILSVSLSLCLSLSLSLSMYLLLRTYVTEPKAQSRSSQLKPLASYHAFYTDANAGMEGVDKERMQAIEQSKSTLDHELQRDLSRIWPHVDMDAFHAAVETLCNPALQGKPMAVDSMSTTSTGNNEARKFGVWAALPGFMASKLSPFMLLMT >CDP15203 pep chromosome:AUK_PRJEB4211_v1:2:49493600:49494971:-1 gene:GSCOC_T00042825001 transcript:CDP15203 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADLSRCNLIKQSEEVNDLQRLHTLRQSATRSAGSLSRMYITTSSGSCATTLSLPRNDNALIILLKTRAMHAKHHRRTM >CDP13956 pep chromosome:AUK_PRJEB4211_v1:2:4595308:4597130:1 gene:GSCOC_T00039107001 transcript:CDP13956 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSSAPLRALKIGIIGFGPFAQFLTQTMIKQGHSIRATSRSDYSEHCARLGIPFIRDMDEFLQSDNEVVLLCTSILSLSDVVKSMPFQCLKQPTLFADVLSVKEYPRDVLLQALPPESDLICTHPMFGPESGRDGWHGLTLMYEKVRIRNEAVCSGFLHIFASEGCKMLEMTCEEHDKLAAKSQFLTHTIGRVLAEMQIEPTPIDTKGFEKLFEVKESVANDSFDLFNGLYVHNRFARQQLKNFEVAFDGVKHKLLDRMNA >CDO97310 pep chromosome:AUK_PRJEB4211_v1:2:18037124:18037576:1 gene:GSCOC_T00014618001 transcript:CDO97310 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNGEISIANVDDDDDGDGERKENELGLIRSPTPTAPPTRLVVGYALTSKKRQSFLQPKLLALARYRILPVHYFRLYAFNRFVCIALV >CDP17185 pep chromosome:AUK_PRJEB4211_v1:2:47500839:47507199:1 gene:GSCOC_T00000651001 transcript:CDP17185 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDDEETSTEPEELSHKQKLEIAKWFLINSPPGEIQYVAKDVKAVLKDENVYEAAAAEAFPLYNKSHMICLQLPDRSGDVMVTSFSEITEDEYLDPRTAQVAVVNHVKQSCTEVRPASDEELPSAYVEEYRCAVDAEISKYVGEAYPKGVCSVYSSKGKHVEEPGSNFELVVVILASRHSPQNFCNGSWRSIWNVVFEDELQIVELRGRMEVGAHYFEEGNVQLDAKHECKDSTAFQSPDDCAASVMNIIRHHETEYLNSLQKSYLNLPDTTFKDLRRKLPVTRTLFPWHNTMQFSLTRDISKELGIGK >CDO97337 pep chromosome:AUK_PRJEB4211_v1:2:18250462:18253394:-1 gene:GSCOC_T00014654001 transcript:CDO97337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tunicamycin induced protein [Source:Projected from Arabidopsis thaliana (AT5G64510) UniProtKB/TrEMBL;Acc:Q84JN2] MALSLRLPSMRILGLSLALIAIQFSLSAALIATQDINPPYPKAISDLRDAIVKGLGFQAEDFTISGFDLRDAQVGRSVAYEFDIEVDNKVLPFKLLEDVNKWDYVDLPIFRADHAVKNGLVERQKLDDQIPVLAPFQLAGPMEIWIQDAKDMRISLPHDVDAGVLKKVILADGAVVTVKGARSVSLRHPIELPLPLNRTSNSFASGLLTLAERLRYASCTQGSPLLSLRIVGATSLTSPTSSTPSATNKLKLKRLAPGLVELSSASKVKVVDAVSTVDMEGEATAFLTPNHFSTLWPVASINGSNPNLLGFESLLSSVLGPKANKKGSFKLLKADISAQTFVKIGFGVEKKLKEGDQQLNWEDIPAWRTKPETVRMHFEVLAKVDGDKIVPEKVMQVNPVIVEDAVAPNVLMGNTTMSNTPVVHPPQNPFSL >CDP08815 pep chromosome:AUK_PRJEB4211_v1:2:52752587:52753404:-1 gene:GSCOC_T00027920001 transcript:CDP08815 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLLTFAEYLSPILICPLKVSSSRTTLYGAGFTSMIPLSFPSTIIPLRKILKEQQISTYN >CDO99811 pep chromosome:AUK_PRJEB4211_v1:2:8696672:8698783:1 gene:GSCOC_T00029505001 transcript:CDO99811 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGPLINATTNDFVHVNVFNDLDEPFLITWNGIQQRLNSWQDGVSGTNCPILPGTNWTYVFQTKDQIGTFTYFPSINFQKVAGGFGPIRVNNRVVISVPFGKPEAEFDLLIGDWFNVDYRVIRSSTQRKFPLYGAVPDVMLMNGNGPYGHPDSKTHESFTVTKGKTYRFRISNVGSFFSFNFRIQNHQMVLVETEGSYTNQVVLESLDVHVGQSYSVLVTADQDESDYYMVATPKLLNASDGSPLVAKGLLHYANSANTVSGPLPSGPDPYDIDFSVGQAKSIRWNLTTGAARPNPQGTFNITNITLSQTFILHGSLTEIDGLPRFAVNNVSYLAPNTPLKLADEFVNGSGVYQLDVFPTQSINDAAACGASVVSGIHKGWLEIVLKNDLGIVDSWHLDGFGFYVVGFGEGDWTPESRASYNLFDPVVRSTVQVYPQRWTAVYVFLDNPGMWNLRSEHLKNWYLGQELYIRVNDPDPNPGKENPPPENVLLCGQ >CDP21386 pep chromosome:AUK_PRJEB4211_v1:2:26990291:26993944:1 gene:GSCOC_T00004447001 transcript:CDP21386 gene_biotype:protein_coding transcript_biotype:protein_coding IFEIWRIPGNTGCIARTCAASAVGLHLIEPLGFQVDDTKLKRAGLDYWPYVVVKVHGSWGEFRDYFSQQTGEKRLLAFTQRGATVHSEISYRKGDWLVFGSETSGLPPEALLDCKTEPHGGGAIRIPMVETYVRCLNLSVSVGIALYEASRQLNYEQLQCPSEPYMDTESSFVTEDIFA >CDP00044 pep chromosome:AUK_PRJEB4211_v1:2:6667707:6668978:-1 gene:GSCOC_T00029808001 transcript:CDP00044 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMNVGTKRAREPDQFDSITTMANCLMLLSRAGEFEALNIFHHNNSSDNSHNPSRVFECKTCNRQFPSFQALGGHRASHKKPKLGADLSLQSQVQTSPPKPKTHECSICGQEFAVGQALGGHMRRHRAAMNEEIGYHQSPVSSLSSGQQHQSPVSSLSSGQHEPVVQKSNTSSGRVCCLDLNLTPLENDLEFRLGKVVAPPVDCFLQGC >CDO99612 pep chromosome:AUK_PRJEB4211_v1:2:10756043:10759992:1 gene:GSCOC_T00029255001 transcript:CDO99612 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFSSGFAAKFPFALVQRSNSFPGHLTKFPILSDKKHNRQNLTNLSVCVLNSRSNQNNHSASTMEEINGLEFDTPFSSETKDEFSKELEVAVKAVHMACMLCQRVQESLVSETHEANDQQVHSKDDNSPVTVADWSVQATVSWVLSEAFGSENVSIVAEEDVEVLSKASSADLLKSVVKKVNQCLAKAPLLGLEAPSRPLDMREVLNAISRCNSRGGKSGRFWVLDPVDGTLGFVRGDQYAIALALIEDGEPVIGVLGCPNYPMKKDWLSYQNGYRRLLSRLTSPTSEAYAKGSVIYARKGSGKAWMQTLLHGDKKFVWPNSARQIKVSSIGNPAMATFCEPVEKANSSHSFTAGLAHSVGMRNQPLRLYSMVKYAAIARGDAEVFMKFARTGYKEKIWDHAAGVVIIEEAGGVVTDAGGQPLDFSKGIYLEGLDRGIIACAGAGLHEKILSAVEDSWNSSSL >CDO96946 pep chromosome:AUK_PRJEB4211_v1:2:15265941:15266771:-1 gene:GSCOC_T00014143001 transcript:CDO96946 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSHLLFLLVSIFFVVSFCRADDKTVQVVGAGECADCKDFKIKTSQAFSGLRVTVDCKLKNGEVTRRVQGIRSQRNSERWETR >CDP06818 pep chromosome:AUK_PRJEB4211_v1:2:37459344:37461850:1 gene:GSCOC_T00023815001 transcript:CDP06818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA16 [Source:Projected from Arabidopsis thaliana (AT3G04730) UniProtKB/Swiss-Prot;Acc:O24407] MSRTMGGECNEYRLNYEETELRLGLPGGGNGNVENGEPMKNGTINNGNKRGFSETVDLKLNLASKNSQADEEEKSVAASSSSSPSKPPAKTQVVGWPPVRSFRKNILSVQKNSNTEAEKSGTGSAAAAFVKVSVDGAPYLRKVDLKNFKSYQELSQALSKMFSSSTIGSYGSQEFKDFMNERKLIDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAVGLAPRAVEKCKNRS >CDP05005 pep chromosome:AUK_PRJEB4211_v1:2:820658:826737:1 gene:GSCOC_T00019906001 transcript:CDP05005 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCCTKSVSASVHKVDDPIASFDGDPSATATSAGDSAAADNRRKPRPAVSPGPPSANGHSNKSTPAHSFAASPWQSPYPAGVRPSPSPARTPGRKFRWPFPPPSPAKPIMSAILKRQGAPAKPNEGPIPEHDGGGAADNEGERPLDKSFGYPKNFGAKYELGKEVGRGHFGHTCWAKGKKGELKNQAVAVKIIAKAKMTTAISIEDVRREVKILKALSGHKNLVQFHEAFEDAQNVYIVMELCEGGELLDRILSRGGRYTERDAKSIIVQILSIVAFCHLQGVVHRDLKPENFLFSTRDEDASLKIIDFGLSDFIRPDQRLNDIVGSAYYVAPEVLHRSYSVEGDIWSVGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPTVSAEAKDFVKRLLNKDHRKRMTAAQALTHPWLRDQDCAVTLDILIYKLVKSYVRATPLKRAALKALSKALTEDELTYLRAQFKLLEPQDGFVSLQNFRTALMKNASDAMIESRVPEILNLLEPLSHKSLEFEEFCAAAISVYQLEALEKWEHIASTAFEKFELEGNRVTSVEEIAKEMNVGPAAHSLLKDWIRESDGKLSFLGYTKFLHGVTLRNSNLRHHQY >CDO97394 pep chromosome:AUK_PRJEB4211_v1:2:18735595:18758256:1 gene:GSCOC_T00014726001 transcript:CDO97394 gene_biotype:protein_coding transcript_biotype:protein_coding MVYEAGGTSHDGPDDRDDDDEDEFEDGGGGNHLLGFMFGNVDNSGDLDVDYLDEEAKEHLGALADKLGPSLTDIDLSVKTPQTPSDAAEQDYDKKAEDAVDYEDIEEQYEGPEVQAATEEDFLLPRKDYFSKEISMSTLGNINSVFDDENYDEDDESEKKDEVAESNIEVKSILSAGEKIDEDASSGDAFEDALESEFLTADIVDSEEEVPVGLEEPSGGNDSTLLPILCVEDGLVILRFSEIFGLYEPLKKSDKRERKYPVPRDKFKTMDTPENVEEDEETFLKGSGLDVSGTRQAQVGQHNVLTFMDNDLGSGKFGVIQEDGKIDAEVDQCRKDSCQSSEPFKEDLPVMLPPEWNSPICPKFYPLDQLNWEDRIIWDNSPAQSSSIEESCEMSGPDSDVLGDKNFEADTHPLVSDLERERCEHEHPFRHGFSISPEPFGSGSLSEPSKLPYCERMYHPQLLRLESRFDQDDPNNTDLGHEGGSEKVLGNGVISRFNRLMLENGDLQEGSWLDNIIWDSNQPISKPKLILDFRDEQMLFEIQDNKDSKHLRLHAGAMIITRSVKPSTGDSVELHSHGGLSGGRFNISNDKFYSNRKSSQQVKSHMKKRTAHGLKVLHSIPALKLQTMKAKLSNKDIANFHRPKALWYPHDNEVALKEQEKLSTQGPMKVIVKSLGGKGCKLHVDGEETISSVKAKASKKLDFKLSEPVKVVYSGKELEDHKALAAQNVRPNSLLHLVRTKIHLLPRAQKIPGENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLGNVGMGARLCTYYQKSAPGDQTGNLMRNGNNGLGSVLILDPADKSPFLGDIKPGCSQSSLETNMYRAPIYQHKVSSADFLLVRTAKGKLSIRRIDRIDVVGQQEPHIEVMSPGSKSVQTYIMNRLMVYMYREFSAAEKRGLRPSIRADELSAQFPSLSEAFLRKRLKHCADLQRGSNGQLLWAMRRNFRIPLEEELRRMVTPENVCAYESMQAGLYRLKRLGITRLTSPTGLSSAMNQLPDEAIALAAASHIERELQITPWNLSSNFVACTNQDRENIERLEITGVGDPSGRGLGFSFVRNTPKAPVSNTMVKKKAVVGKGSTVTGTDADLRRLSMEAAREVLLKFNVPEEQIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFMQLQQQTREKCQEIWDRQVQSLSAVEGEENESDSEANSDLDSFAGDLENLLDAEECEDGEDGNNVSKNDIIDGVKGIKMRRRPFQAQAEEEIEDEAAEAAELCRMLMDDDEADRKKKKKVKVGGDQVRLGSVSQLKLGVENVERIQKTNNVIKRNIQLDGQPLAKENATRDRKEDENISAKKNLSGKLKAKKKNDIEQMELLKKKVKILGDGIKIVKEKKSARESFVCGACGQLGHMRTNKNCPKYGEDVETRAENNELEKNLGKVGILDQADQPQQKTSTKKLIQKSATKIAVVEAHEDDKSSTKAKILKVKCGTTDKPPDKLTPATSQNSDKPVTSDAETVHKSAVKVNKIIFSNKTKPDDMLVESQKPSIVIRPPTESDRDQPRKKIIIKRPKEVINVDDVSQEGSSGIEYRKTKKIVELTSVDKHRVREIKYFTDQAASKKNREEKRWLEEEEKRRFAIRQKEERARRYLEEQKRAMEERDRFSEIRRYEETIRREREEEEREKAKKKKKKKKRPEIRDDYLDDFPPRRNDRRIPDRTAKRKPGPDTGRYSAGYGPATKRRRGGEVGLSNILESIVETLKEKQEISYLFLKPVSKKDAPDYLDIIKRPMDLSTIREKVRRMEYKRSEEFRHDIWQITFNAHAYNDRRNPGIPPLADQLLELCDYLLDLNDADLREAEAGIEHGD >CDP05122 pep chromosome:AUK_PRJEB4211_v1:2:1723359:1731943:1 gene:GSCOC_T00020063001 transcript:CDP05122 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKKHILLSALGVGVGVGLGLVSGQTVSRWSGINNYSDNNAWATAAPDGITGDQIQLELLRLIIPGKDSQVSFDDFPYYLSERTRVLLTSAAYVHLRHLDAIKHTRNLSPASRAILLSGPAELYQQMLAKALAHHFDAKLLLLDITDFSLKMQSKYGTAKREPSFKRSISELTLEKMSSLLGSFSIIANSVDNRGTLARQSSGFDSKLRHTEGVNHSLKHRRNSSVSSDMSSISSQSSSSNSAPPKRITSWCFDEKIFLQSLHKVLVSVSKSSCIILYIRDVERLLLQSSRLCKLFDRMLKKLTGSIVVLGSRMLDPEDDCGDVDERISLLFPYDIEIRPPEDETHLVSWKAQLEEDMKKIQIQDNKNHIAEVLEANDLVCDDLGSICQADTVILSNYIEEIVVSAISYHLMNNKDPEYRNGKLLISSKSLSHGLSIFQEGKGGKDTIKLEASAESSKGPEGEENVGLKSEPNPTSENKTETEKSVPKKDGENPPAPKAPDVPPDNEFEKRIRPEVIPANEIGVTFADIGALDEIKESLQELVMLPLRRPDLFQGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMSHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVESREMILRTLLSKEKVEDLDFKELAAMTEGYSGSDLKNLCITAAYRPVRELIQQERKKDMEKKQRAEEGQSSEDADDRNEEAKEESVIALRPLNMGDIRQAKNQVAASFASEGSIMAELKQWNDLYGEGGSRKKQQLSYFL >CDP16549 pep chromosome:AUK_PRJEB4211_v1:2:42770380:42774742:1 gene:GSCOC_T00018932001 transcript:CDP16549 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQTTLMTNSHHRNFDQLHFNQFDTQPFVNRGTTKPLDQIHTTSHNHFSRLFLCTNQSRNQFHSKYHSTLSFLIHNKTSFLPCLDREHRERERAAGNFLDSAVSWSKAREGNLPEASPILPSSTTLFSRNSKPESPSALGRELGRKFLEFRVKDWLLAEVLETESWMPIYVFLLDCI >CDP15500 pep chromosome:AUK_PRJEB4211_v1:2:45611424:45617466:1 gene:GSCOC_T00015354001 transcript:CDP15500 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLEVGISKAKLILDGYPPKALFTSEEYMKYYDCVYSMCTQLPPNDYSAELLQRFKGALEESLLLKVLPSLYDKDGAPLLVELLRMWTNYKAMTKCLGVFFLYLDRQCAYRKNDAPLQDLATFHFHDLICKHIHQRMFSAAASLVAQDRNGQSIDTDLLKNISTFFIEIQDQEKASYYHNFETLILADTANFYSRLASQWLLCYSSTDYVLKVEQCINEEKARAHRFLCPPSVEKVLWTVHSQLIDQTANRLIEKRRAEKQDLTTYQA >CDO99511 pep chromosome:AUK_PRJEB4211_v1:2:11798542:11807016:-1 gene:GSCOC_T00029118001 transcript:CDO99511 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAKDPSSAETNSEALSDEDIEYEEIEEEIEVEEEIEVEEEEEEVEEEVEEEVEEEVEEEVVGGGDVKGQVDDDIDKEFGEELTPSNQVNDQNDTGQVIEPDCNEDHFIPGFATPGISVANLLPDTHVDQGCAGDYRISGSSVTTHNEEPHVDGKLFFMDHNNGASPCDKWGRSGDSTRLPVHELDAAISLIDTESKKDNEDPYFEKGTYDISGKDSKGEAPRIRPRSSSPESVLNDGNKRQAVVCDFFAKGWCIKGNSCRFLHIKGGAAISSHDKEGTVDAAAKKSELPDKKASSVQLTPHGGESPNKRSETKELPLLKDDRLVLSSQDFGRPSSQDFGRQMNREEYLGYGSSMAKGNSLHGTFSRSYARTLETYGSTIGTPHSTSLEEMTCTRSNFLATDHSFSSLHRSPLSFAYPSWNTDSLSTQKQLGSGQGDYASRSASVQRSSSPFSGSESENVSQTNAVNDKQCSARSKTKTLYDWEPSVPFRPSFFMTRHLLSSASLYDPIRDSVEQASSVVGHSKISQSGKEASVLGAHVQADADSFPTGTLGTECSSNKYSVLFSAKNFSSKDSTAEAKESVGTQSQNKKSFLKEERFLDNECVRDVMEADQMPIDCGSKSVSSGRHRTESKEEMSRQMIEADADLKVEESRALKNFHASLVEVVKELLKPSWHKGVLSKDAHKIIVKKAVEKVLSTLQPHQVPSTSESVKQYLSLSQTKLAKLVEGYVDKYRKSSLG >CDO97690 pep chromosome:AUK_PRJEB4211_v1:2:21069198:21072175:1 gene:GSCOC_T00015099001 transcript:CDO97690 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFGGKDPFDDPFFSEPFGGFFGGKNPFADPFFASPFGYPPASRKQISIEEINPDDDDDGANISNAGKELSVGNPNYHANGSGSSFSYRRVAYGDQDGMYYTCSEGRMNGGDGVFLAEMKEEDKIVGESLHTISKGIHDKGHSVTKKNSSDGRADSLQTLHNLHEDELKGFEENWKNNADKHLPGWSSGFNKLENSGANLIGWDGFPTWSGWGGWAPPSIEYFGDAGAAEPDGEGRRKKVVRVNVE >CDP09481 pep chromosome:AUK_PRJEB4211_v1:2:21788106:21789907:-1 gene:GSCOC_T00028861001 transcript:CDP09481 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHVKLYSCAQFQSTESGIISDQKTLKSTASSSSTLFFSGNSPEAEMALGGAAPQRGTAAAAAANTRRRRPSSSGAAGGAGGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVMGKLYFVRREA >CDP16356 pep chromosome:AUK_PRJEB4211_v1:2:28449732:28457984:-1 gene:GSCOC_T00018187001 transcript:CDP16356 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKTQILLLSTLLLSSLALKILGKSHREADHQLFMAKLKPNSNIDKSHFTPFENPPEPMQDGLKEKDIIQELPGQPPVIFKQYGGYVTINATAGSAFYYYFTEAQDPKKAQDLPLLLWLNGGPGCSSLGYGAMQELGPFRVGSDGKTLYKNQYAWNHVANVLFLESPAGVGFSYSNTTSDFENCGDTKTAADNYIFLLNWLERFPEYKNRDFYIAGESYAGHYVPQLAHNIVYHNKKANKTIINLRGILIGNAAINDETDNNGMIDFFASHALISLESSRDIHKYCNFSADAETSDECIKSVQEAGNMVGTIDIYNIYYPMCLHSNLTSIPKPFSIMEIDPCSEYYVQSYFNLPEVQEAMHANFTKLHYNWESCSDVIQHWGDSSSTVLPLIKDLMENGIRVWTFSGDVDGRVPVTGTQYSLETMNITALNSWRPWYRDGEVGGYVQDYKNNLTFATVRGAGHQVPSYKPDRGLSLVSNFVTGTPLPEK >CDP04958 pep chromosome:AUK_PRJEB4211_v1:2:404757:407465:-1 gene:GSCOC_T00019835001 transcript:CDP04958 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLPWQHLVPSKPIGLCPAQNHKQFIPLHCQVSKIQAFRRSDFDVFARRITSGEAWRDAWRRANDGFEQLLYETKKTAERLDRQYSVSRRLSAVTRSAADRAREIDREFELTQRWRTFSLDFSRNWPRNRKQFIDFLDTPLGKSFTTIFFLWFALSGWLFRFLIFATWVLPFAGPLLIGAVANNLVIKGACPACRRQFAGYKNQTVRCASCGNIVWQPQGDFFSRGSQGSSSSSKSEHDVIDVEFEEK >CDO99940 pep chromosome:AUK_PRJEB4211_v1:2:7614823:7619553:-1 gene:GSCOC_T00029662001 transcript:CDO99940 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVFGVKKDKEPPPSINDASDRITKRGDTVEDKIKKLDAELARYREQLKKTRPGPAQEAIKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFAAEGIKDAQQTMSALKSANKELKGMMKTVKIQDIDNLQDEMMDLMDVSNEIQETLGRSYSVPDDIDEEELMGELDALEADMGMETESDGVPAYLQPDKEPNLEEELNLPPAPSGHAPVPPGRANAQGEDELGLPAVPHASLRG >CDO99967 pep chromosome:AUK_PRJEB4211_v1:2:7374154:7383627:1 gene:GSCOC_T00029703001 transcript:CDO99967 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRKKQGGNKQNFDECAFKNGGNGNSNHRNCKKAGKRQQQQQKFMNENDYRLRLQEVLFNSDYILQKIFRKDGPALGVEFDSLPENAFRYCRPGSRKSHRTCQENQRTFKRQKVSTPLDYQACPEPRSTTIKHGIGKGLMAKNGTPVKRHGIGKGLMTKKSAPMKKHGIGKGLMTVWRVTNPDGGDFPTGIGSSTFSNFSLLAKKKSLQRRQSLMRKLGKRLQEKKKASVRCRKEIHGMGASGRFEQRKQARKEKCELALEGLTCEENLDQLVNLEDDEELELKELQAGPNPLSCSAHLATNGSHGCSLCKDLLAKFPPDSVVMKRPLYGQPWDSSPELVKKLFKVFHFLCTYALKIGVCSFTFDEFAQGFQDKDSLLLGQVHLALLKVLLSDIEMELNSGFFSHSSKNSKFLELLHSIDQEKFLLELWQRALNALTWTEILRQVLVAAGFGSKCVRSPREARNKEVSLMAKYGLSPGTLKGELFSVLLNHGNNGLKVSELTKIPSIAELNIAATADKLELLISSMLSSDITLFERISSSGYRLRVNPAIKESENFVSDSEDFGSVDDDSDTGGGHSSAEDSECETRSSRSNKLRRRKNYMSNNMLTVSTEIDESHPGEVWLLGLMEGEYSDLSIEEKLCALLALIDLVSSGSSVRLEDPVAAITTFVPNMTQHSTGAKIKRSTAKQYNFPRQAGGYCGANGRDATSTSVLNPIDSLVLMSKTSERERSCSMRKDNREMEASEDLHPMQSIYLGSDRRYNRYWLFLGPCNGSDPGHKRIYFESSEDGNWEFIDNEEALCSLVSSLDRRGQREAFLLSSLEKRELYLCRAMSNVVNDAGIGQLNHSDQSDQNTSREDSLSAVSDVDNNLSLIEVQKDVPSGAVVFEMRKAEQQRHRWNLTQAFDRWIWKSFYSNLNAVKHGKRSYVDSLTRCEHCHDLYWRDEKHCKVCHTTFELDFDLEERYAVHTATCRGNLDVNKFPRHKVLSSQLQSLKAAICAIESVMPGDLLVDSWAKSAHNLWVKRLRRASTLAECLQVIGDFVSAINEDCFYQCDDSVESNCVMEDILSSFPTMPQTSSAFAFWLVKLDELIAPHLERVKSQNKLEVIRRLEGSSAAH >CDP08568 pep chromosome:AUK_PRJEB4211_v1:2:40618977:40626397:1 gene:GSCOC_T00027543001 transcript:CDP08568 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTIAAKPTFFLLKPNPLFYPPNRFQFFFLPSHKIPPLAPFRQTHNSNKFPSSIVLLDAYGKDQCNTTIKFKTNDDDDSTEIQEKTSPPMEELAFIVLSKALEYPGNPVTMAAVLLTPMLSLMHDPKLALAASGGVMGGSSFSSSYSSESSSSSSYSSSGSYSSESSFSSFSESSSSSSYRSSESYSYISDSNSNPSPAELLQASLKKSYAVRKKSYPRRTSLDRYAVTSKRSYTALSSSLSEEEVYSLSSSVSQAQVVGNTGPTVVAWVFLLVLLLVMSILAFAFYNSTRDMVSTAEKKCRYTVPTQRITILKLQVGLLGSGRSLQRDLDRVAETADTSTRKGLNYILTETILALFRHPVYWFAAYSSADIKSSTYEGETRFNELSIEERSKLDEETLVNLNNMKKRSLKIKRSTGVTNGYIVVTILVAADGEHELPTINGESDLKKALNKLGSLPSSTTLAVQVLWTPQKEDDVLSEQELLEKYFSLRPL >CDO99737 pep chromosome:AUK_PRJEB4211_v1:2:9366514:9367473:-1 gene:GSCOC_T00029416001 transcript:CDO99737 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNDLANTAVRWPLPRNDLTTFQVPLLFPLSAAAAAFPPLLTTAFPPSFTCVGGDMVVLVVERRPCLSLIALSSISGLNLTGPNMNILLLDFFDMEVKPDMFTFWTR >CDP07627 pep chromosome:AUK_PRJEB4211_v1:2:24060756:24065895:1 gene:GSCOC_T00024943001 transcript:CDP07627 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALPWLQMPPPSLTLQTRRTKLDTKSRHSFSCFCSAAVSEAGLQPSSAIQFSSNSSTYRPAVILPGLGNNTNDYEKLALILDGYGVPTVVVKVSRIDWLRNAAGLLDPNYWRGTLRPRPVLDWYFKKLDEAVSEANELAQGGALSFIGHSAGGWLARVYMKEFGFSNISLLLTLGTPHLPPPKGVPGVIDQTRGLLYYVEKNCPKAVYTPELKYVCIAGRYIEGARFFGSNDDSSGMAVSIDHANSEIAVVNTSKPPAATWRARFVGQGYKQVCGQADTWGDGVVPELSAHLEGALNISLEGVYHSPVGSDDESRPWYGSPGVVKQWIHYLLH >CDO96719 pep chromosome:AUK_PRJEB4211_v1:2:12374083:12376526:-1 gene:GSCOC_T00013829001 transcript:CDO96719 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIYKKWIPELRHYSPTIPIVLVGTKLDLREDKQYLSDHPGATPISTTQGEELKKLIGAVAYVECSSKTQQNVKAVFDTAIKVALRPPKVKKKPQKRIRPCAFL >CDP09457 pep chromosome:AUK_PRJEB4211_v1:2:22136577:22143886:-1 gene:GSCOC_T00028832001 transcript:CDP09457 gene_biotype:protein_coding transcript_biotype:protein_coding MYILSHTICLVGSSASAETSKCSTNPTYFSSKLLTFDRLSNILVLHILLLLIQEGINLGSGPKRNIRLLKANYIKEFNFLGRGEDPLDLKKCFIDLNNLKGREDSVIRQAELEAEIFGIDVTAETQSIFYALFKTLPVHWDKTTIVWHIWGCGDSGDFLKDFHRRRVQVLADSGADLIAFETIPNRLQAMDYAEILEEENIKVPTWFSYE >CDO99851 pep chromosome:AUK_PRJEB4211_v1:2:8318010:8320857:1 gene:GSCOC_T00029547001 transcript:CDO99851 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALCARPKSWLFTALFLSHAHSSAAALVHNRLIGSPLLKSVVVANADQRRHHSSSCRLVDTSAQGGAASIWHAILPAGDGDLDLHRTKRNVLVHHHDELMNKGEGSWNVAWDARPARWLHNRDSAWLLFGVCACLAAPPLPLLADSSEFVDGETDEFRHEAAAMTVVENGKCANFRVTGVPADGRCLFRAIAHVAWLRKGESVPDENRQRELADELRALVVEELLKRRKDAEWFIEGDFDAYVERIEKPYVWGGEPELLMASHVLKAPISVFMIDRSSGNLINIAKYGEEYKKDEESPINILFHGYGHYDIVDVVSEGYQKVEGGISESRSSLEA >CDO97209 pep chromosome:AUK_PRJEB4211_v1:2:17319152:17323064:-1 gene:GSCOC_T00014477001 transcript:CDO97209 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSYQRFPTVKIRELKNDYAKFELRDTDASIANALRRVMIAEVPTIAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEYCSVEFHLRAKCLNDQTLDVTSRELISSDPSVVPVDFASPSSEYDNAENRGIMIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIYINEDMMEKLTLEQRMSVVESSPTKVFDIDAKTGRVVVVDPEAYTYDDEVIKKCESLNAPGLVEVHPKEDSFIFTVESTGAIKASQLVLNAIDILKQKLDAVRLSEDTVEADEQFLELDAHMRGG >CDP08803 pep chromosome:AUK_PRJEB4211_v1:2:52859453:52866265:-1 gene:GSCOC_T00027906001 transcript:CDP08803 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADREIIKPEMMKSYIWLQTSDGSLQQVEQEVAVFCPWICHEIHSGMGSSKTYPISLPSRVSPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARMIEGKTPEEIRDIFHLPDDLTEEEKLEPIKNTIDDPRIRLLNRLYARKRKELKERERLKNVEIEEERVDERSVEDLLSFINGGDAESKVVKTSKTKKKNRRRKEPQKNTPGNDASTSNSTSLSSNAVHIDKESNGLDFICHDAAACDHLLSDPGNPSKLPYIEEGFSPDDEFDDIDPAMKEKIDKEVADFARRLNSGWPEILSLGQERRRIPTAINCNGSLKRYARLDQQQK >CDP04952 pep chromosome:AUK_PRJEB4211_v1:2:367492:368599:1 gene:GSCOC_T00019828001 transcript:CDP04952 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAMQICQGVFVIVCCMILGWGLLFFCVTRFLEFLFSNHHLLFLKFVCLVMVTACTYNWIFGSCAPFLVYLELQLMNLLHNVCCMPFCYLKTID >CDO97371 pep chromosome:AUK_PRJEB4211_v1:2:18516268:18523101:1 gene:GSCOC_T00014694001 transcript:CDO97371 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFSLHRGRNMRLLSFRILGIASSHFSTAAEPSWRHRTSLRIPNLIGGSFVDSQASESVDVMNPATQEVVSQIPLTTREEFKSAVAAAKETFPSWRNTPITTRQRIMFKLQDLIRKNMARFDKLALSITTEQGKTLKDAQGDVFRGLEVVEHACGMATLQMGEYVSNVSHGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFILKPSEKDPGASMILAELAMEAGLPDGVLNIVHGTHDIVNAICDDDDIRAISFVGSNTAGMHIYARAAAKGKRVQSNMGAKNHGIVMPDANVDATLNALVAAGFGAAGQRCMALSTVVFIGDSKSWEEKLVEHAKSLKVNAGTDPDADLGPVISKQAKERICNLIQSGRESGARLLLDGRNIVVPGYEKGNFVGPTILSDVTADMECYKEEIFGPVLICMQADSLDEAINLVNRNKYGNGAAIFTTSGAAARKFQTEIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFYTQIKTVTQQWRDLPSGAGISLAMPTSQKS >CDP15189 pep chromosome:AUK_PRJEB4211_v1:2:49738448:49740357:-1 gene:GSCOC_T00042802001 transcript:CDP15189 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSKQYWSHWRSPSYNLIRFLHIFTVSVIFGVLFWNQGQKMDNQQSLFNVFGATYTAVFFCGVNNCASVSPYVSTERVVLFLYRERFAGMYTSWSLCTCSANPKMVDLAILNGMITSQYGDIQKEIEVFGETKTIAAFLRDYYGFHHGQLPLVPFISILYPLTFAFVFAF >CDP17184 pep chromosome:AUK_PRJEB4211_v1:2:47493610:47499386:1 gene:GSCOC_T00000650001 transcript:CDP17184 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERWVETAVGDVLKDGARMLQIKLKNRFRVAVDHHRRMAGFYSTDGDGYFSSTMQRLIQRFSEFRRDSLPSSTMFYRKRVSKDIDLEADSVLTRVLQAVAVPVFGNVCHVFMHGLNRVQIYGAEKLHQAVLGRPENKPLVTVSNHVAAVDDPFVLAALLPRNVLLDAHNLRWTLCATDRCFRNPLTSAFFKYVKVLPVSRGDGIYQKGMDVAISKLNRGEWVHIFPEGSRSRDGGKTIGPIKRGVGRLILDADNVPIVLPFVHTGMQDIMPIGAKLPRIGKTVTVLIGDPIQFDDILNLEENQNMSRGKLYDAVIARIRDRLQRLKAQVERLVIEQSLQLQNYPTKVTEQSAGILRQVDWGSPGKETYTRLEDYLSPRKNLTTEKVEVDQTQLQERSLQSRNSRMCLLWEGGIGSRNQCYMESTELMGFAARGLLMNNTPRVNDCFVDIQGVNPLKALNDFRKSMYESYYSPVFADNCVI >CDP08850 pep chromosome:AUK_PRJEB4211_v1:2:52464871:52465470:-1 gene:GSCOC_T00027962001 transcript:CDP08850 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFSLPPPLQPPPSCPSASVQKSHNCLLSNYQPSFVHCCCYGY >CDP07703 pep chromosome:AUK_PRJEB4211_v1:2:24976726:24991792:1 gene:GSCOC_T00025045001 transcript:CDP07703 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGSIIVSLLILANFLSVNVLSSGSTTPSNITNALKRSSFPPRFIFGAASSAYQYEGAAFEDGKGPSIWDTYTHKYPEKILDRSNGDLADDFYHRYKEDVRLMKFIGLNGFRFSISWARVLPHGKLSKGVNKAGIAFYNNLINDLLSKGIQPFVTLFHWDVPQVLEDEYGGFLSPHIVKDFRDFAELCFKEFGDRVKHWSTFNEPWSFATGGYDSSTIIGTLAPGRCSAWMNKGCPAGNSAVEPYLVGHHILLSHAVVVKLYRDKYKASHKGQIGIVLVTNWMMPFSNLSADVNAAQRTLDFFYGWFLDPLTYGDYPKIMRSIIGARLPKFSHQQKLLLKGSIDFLGVNYYTSSYVSNIHVANSVNISYSTDLSVNLTSERNGKLIGAPTGVSIFYDYPEGLTDLLVYTKKKYNNPVIYITENGIGDANIHTVKEGVNDPQRIRFYRGHLSAVKAAIKAGVRVKGFFAWTFIDTFEWGSGYTLRFGINFVDFKNGLKRYPKRSALWLKGFLK >CDP05384 pep chromosome:AUK_PRJEB4211_v1:2:3782117:3783931:-1 gene:GSCOC_T00020400001 transcript:CDP05384 gene_biotype:protein_coding transcript_biotype:protein_coding MIHNSFSFSTSTSTIIQHYDQYQYPNPVSPTQQYASLLQSLIARRAIEPAKQLHAHLHLMGLAYNVNLATKLVNLYSVCDNLSNAHNLFDRIPRGNLFLWNVLIRGYAWNGPYEVAISLYYKLQNHGLEPDNFTFPFVLKACSALSDLRVGMDVHEHAVKTGWERDVFVGAALIDMYAKCGSVDRSRQVFDKILNRDVVLWNSMLAAYTQNGHPEKCLLLSGEMAFAGVRPTVATLVTAISASADVAALPKGRELHGYGWRQGFESQDKVKTAFVDMYAKCGSVEVARDLFERLVDKRVVSWNAMITGYAMHGYANETLDLFNRMVREAQPDHITFVGVLSACNHGGMLDQGRRFFDLMTRDYQIEPTVQHYTCMVDLLGHCNRLNDAYCLILQMKVQPDSGVWGSLLNSCKTQKNLELAELALERLIELEPDDAGNYVILSNMYAQAGRWEGVAKLRKLMTDRGLKKSVACSWIEVKNKVHAFLSGDTSHPLSDDIYAELERIGGLMAQAGYVPNISPVFHDVDDDEKRKMIFSHSERLAIAFGLISTSPGTRLLISKNLRVCEDCHVAIKFISKITQREITIRDVNRYHHFKDGVCSCGDFW >CDO99931 pep chromosome:AUK_PRJEB4211_v1:2:7655017:7662532:1 gene:GSCOC_T00029653001 transcript:CDO99931 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPWGSGGGAGGADLLSGRAATMRESLLKSQSITDNMVSILGSFDHRLSALETAMRPTQIRTHAIRRAHENIDRTLKAAEVILSQFDLTRQAEGKILKGPHEDLETYLVAIEQLRENIRFFSSNKSFKSSDGVLNHANGLLNKAISKLEEEFKQLLSSYSKPVEPDRLFEGLPSSMRPSSGSPGEQPDANGKNPSPNGQHHEHHHANAENAVYTPPTLVPPRVLPLLHDLALQMVQAGHQQQLLKIYRDTRSSVLEESLRKLGVEKLSKEDVQKMQWEVLEAKIGNWIHFMRIAVKLLFAGERKVCDQIFEGFESLKDQCFAEVTAGSVAVLLSFGDAIAKSKRSPEKLFVLLDMYEIMRELHSEIETLFRGKACNDIRESALGLTKRLAQTAQETFSDFEEAVEKDATKTAVADGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFENGDGSDSQLAAVTMHIMQALQTNLDGKSKQYKDPALSNLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRIVQQHANQYKRIAWAKILQCLSIQGLTSSGGSGVSADGGNSSGASRAMVKDRLKTFNIQFEELHQRQSQWTVPDTELRDSLKLAVAEVLLPAYRSFLKRFGPLVENGKNPQKYIRYTPEDLDHMLNEFFEGKTLNEPKR >CDO97055 pep chromosome:AUK_PRJEB4211_v1:2:16178219:16180185:-1 gene:GSCOC_T00014278001 transcript:CDO97055 gene_biotype:protein_coding transcript_biotype:protein_coding MIELRVADTAVEEWSDQASFTADLQRAFRDDAWRNIVPGLPAVVLRCTCKLANAVATGNILAARQVRMKLVKDWLPVLIICKENVSHMMSSHKSLYQELEETFLRIISTLPMSDAQELLQQCLSFSTRNVDDCPHLVTAFTTWFRRANRSLPAESLRQ >CDP08777 pep chromosome:AUK_PRJEB4211_v1:2:53061236:53074380:1 gene:GSCOC_T00027872001 transcript:CDP08777 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQVIPLEPGSCPTSFSDHIVYFKVLNRLLLVVAPSHIELWSSSQHRVRLGKSKRGVDSIQKEGENLRAVWSPDAKLIGVITSSFYLHIYKIHFTEKKIQIGGKQPSGLLLATISLLLSEQIPFADKSMTLSNIICDNKHMLVGLSDGSLYNISWKGEFCGVVDLDIPFSDGSGADKLSHSLDNGLPSNGARGVSLPMNYMRKKSAIVHMEFSFSLRLLFLLFCDGQLVSCSVSKKGLKQADLIKVEKKLASGDAVCASVASEQQILAVGTKRGVVELYDLTDSASLIRAVSLYDWGYCADDTGPVSCIAWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTIRQIGLSSVSSPVIKPNQDCKYEPMIGGTSQMHWDEYGYRLYAIEERSSERIIAFPFGKCCLNRGVSGTTYVRQVIYGEDRLLIVQSEDTDELKILHLKLPVSYMAQNWPVLHVAASKDGMYLAVAGLHGLILYDIRLKRWRVFGDITQEQKIQCRGLLWLGKIVVVCNYTDSSNTYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYQDYLLVTYRPFDVHIYHVNLSGELTPSSTPDLQLSTVRELSIMTAKSHPAAMRFIPDQHPIDYVLRKDSSSSDHLAREPARCLILRTNGELSLLDLDEGRERELTDSVELFWVTCGQSEEKTNLIEEVSWLDYGHRGMQVWYPSPGVDPFKQEDFLQLDPELEFDREVYPLGLLPNAGVVVGVSQRMSFSACTEFPCFEPSPQAQTILHCLLRHLLQRNKSEEALRLAQLSAEKPHFSHCLEWLLFTVFDAEISRQASKNHTPVPNHASTSSLLEKTCDLIKNFPEYFDVVVSVARKTDGRHWADLFSAAGRSTELFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGREYEPASPGTEKLSPRFLGYFLFPSSQRRQHLESKSSFKEQSAHVASVKNILESHASYLMSGKELSKLVAFIKGTQFDLVEFLQRERYGCARLENFASGLELIGQKLQMGTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRSEVLFDLFRHDLRLWKAYSITLQSHPVFSEYHDLVEALEERLSSGSYSSSTTSDEK >CDO99524 pep chromosome:AUK_PRJEB4211_v1:2:11673146:11680589:1 gene:GSCOC_T00029138001 transcript:CDO99524 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEATAMHKDQWQKQDNFLEVLNIEKQLLALIHSKGLLHKDVQELYRKVRTAYQSIILNDYDVVDLQEVEYFLWKLHYKHIVEFRKSMRQHWMSGESTKGETSPVDIDSQGNINRYVDGFKTFLSEATDFYSNLTKAFREVCGLPGEVFLYNKGDSSFSTEQMKLSKCHFACHRFLICLGDLARYGELCKKQDASKWSVAFTYYLEASRIWPASGNPHNQLALLATYVGDAFLALYHCTRSLAVKEPFPDAWNNLMLLFEENGSSHLSSLSSETHIDLLKPFEKVSLQAAPQSLTGSSNKSNLETNNIFSTAKTELWPLFVRLISFFLGRSSLEEFESTLSSSVEHLESLVLLDDEQLKAALESYKLMDSSRKGPYRALQLVSIFIFILHNLTESPQNEKLNENDKQQKSGLTQLALIATYICIARLLERCLKCNQLEKCLLLPAVLVFVEWLVGALDEVEKYAADDKVMSAMSYFFSALADLLNRFNIGEGETACDKSALWEDHELRGFEPMANAHASLDFTSTHWEWMATLDSKRSHRIFHAGMRIVNRSANNKQWIFCDKKGLKFFTFGSMELLGQGKTVGVSNLNVKVKEVDEQISRNVEVHEQDSLGETQPQRCQKSVPVSTEEEEVILFNPITRHNSAPLYKYITENDHMYREGLKEPALSADECLRRATSMFIGKNQPRSDRASFSPDATNVKYNKPLKESATYPAGPPSLSAWVFDRDKLDYEPEKGIKNFTKHELTPIQETAFESLTGLLHDRTRDSVAGPDHVSAAAQTLSPPTYVAPVPSAPLLPDDATWSRGSLPSFPEYKSALGSRETDGILGAPPVSGYSNGSAPHGPLDFSPVLPGLVHGYPPLLGMSSSEWLYHYRNNHKLDQTSTLFWPVHMNGPGPLSSFQTNDLSRFDLFSQWGNPLASTPTFYMESPQLHPGSSLVYSAGDPQKDSLLSYQRASPFVCGAVTDPRPEQQPLLHYLKEKEWQLHSPQFRGSAFMGN >CDO99955 pep chromosome:AUK_PRJEB4211_v1:2:7497866:7503190:1 gene:GSCOC_T00029686001 transcript:CDO99955 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVRRDLVPDGPGSVKMVPEEADDLWIAYNLIAEGDTVLAVTVRKVLRETASGARDSERVKLRLEVKVETMEYDKEGSALRVRGKNVLENEHVKIGAFHTLEIEPHRPFVLRKEVWDSLALEVLHQASDPSASADLGVVLMQEGLAHIFLVGKSVTITRSRIETSIPRKHGPAVAGYDKALNKFFDNVLQAFCQHIDFNVVRCAVIASPGFTKDQFHRHLLLEAERKQLRPIIENKSRIVLVHTTSGYKHSLREVLDAPNVMNMIKDTKAAKEVQALKEFFSMLSNDSDRACYGPKHVEVAHERMAVQTLLITDELFRLVLLVLKC >CDO97121 pep chromosome:AUK_PRJEB4211_v1:2:16636252:16636509:-1 gene:GSCOC_T00014364001 transcript:CDO97121 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLKSTFDENGWVVRISRKLDEELGEEIEIPVSIFNVPKTLLNSHPISYIPQQIAVGPYHYFSPEHYEMERYKVAAAKKIQNN >CDO99976 pep chromosome:AUK_PRJEB4211_v1:2:7316453:7317157:-1 gene:GSCOC_T00029714001 transcript:CDO99976 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSFPQHSFAEFSPESSASSSMYCYNSQHCQSSLPFNENDSQEMLLARVISEAAGSGNSMDAPSSSRIKEEEECTQQNDYDEPMNQVNYRGVRRRPWGKYAAEIRDSTRNGVRVWIGTFDSAEEAALVYDQAAFALRGHAAVLNFPVEVVYESLKQMQYGFEEGCSPVLVMKKRHSMNRRNALSKKRSQVLMGLDDDNNNNVLVLEDLGTDYLEELLGASESTSSSCPWRHQ >CDP08808 pep chromosome:AUK_PRJEB4211_v1:2:52813549:52817412:1 gene:GSCOC_T00027911001 transcript:CDP08808 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTSVREIPQRTERIRYPAVDLRALSMSSSSSEIVEERSTLTDSDDAVYVALGKEVKEAELTLSWALHNCGGRKTIILHVHQPAQKISMMGANVPISRLEEYRVRAHHEAERQDMQKLLDKYMLICKKAGVQVDKLYIVKDSIEKGIVELILLHCIKKLVMGGAAKGHYSRKMMEPRSKKAIYVRQQAPSFCQIRFICKGHLIHTREGTPSGVNMEGMSPLLQPCPSGDNLEVMSRSFQASPSDETGQSHSLRSRSVPQGENGQLLSSLSVPDHRRVVSDNHAVKFTGVSTRDGLGGVSPHSRSSVQQSSDGWD >CDO99480 pep chromosome:AUK_PRJEB4211_v1:2:12111359:12112705:-1 gene:GSCOC_T00029074001 transcript:CDO99480 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGTGEDDVLTSEYDSDDSGYFIIDNQRLPNRLKSDYLPDGAEGSEEVDVDKWSKYYQEIRESEGFDINHYPGCCIMAPYHPFNAACQNLFDYSVAAINDFNQKEGTKYKLEKVEKANARLWGCGANHYITFQARDSVADALKTFQALVWWGKDGSGSDPFAAVVKFCRLKATAAT >CDP09371 pep chromosome:AUK_PRJEB4211_v1:2:23100478:23107109:1 gene:GSCOC_T00028708001 transcript:CDP09371 gene_biotype:protein_coding transcript_biotype:protein_coding MATMESLIGLVNRIQRACTALGDYGGGDNAFSSLWDALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLQKTEDGQQEYAEFGHLPRRRFTDFSVVRKEIQDETDRITGKTKQISPVPIHLSIYSPYVVNLTLIDLPGLTKVAIEGQPESIVQDIENMVRTYVEKPNCVILAISPANQDIATSDAIKLAREVDPQGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQQPWVGIVNRSQADINKNVDMIAARRREREYFATSPDYGHLASKMGSEYLAKLLSRHLESVIKARIPSITSLINKSIEELESEMDHLGRPIAVDAGAQLYTILELCRAFDKIFKEHLEGGRPGGDQIYGVFDNKLPAALKKLPFDRHLSIQNVRKVVSEADGYQPHLIAPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRRSVGECQELKRFPSLQSTIAAAANEALERFREESKKTVVRLVDMESSYLTVDFFRRLPQEVEKAGNVAGANAAAATNIDRYAEGHFRRIGSNVSSYISMVSETLKNTIPKAVVYCQVKEAKQCLLNHFYTQIGKREGKQLADLLDEDPALMERRVECAKRLELYKKARDEIDSVAWAR >CDP15194 pep chromosome:AUK_PRJEB4211_v1:2:49633786:49645266:-1 gene:GSCOC_T00042809001 transcript:CDP15194 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVGTDEIESIRNELSEVGSSLRFSLRRLSSSFRSSVLSTGRDSNLDEETALQWAAIERLPTFERLRSSLFDEPDGNEAEDKRKRVIDVTKLSAPERHVFVERLIKHIEHDNLRLLQKMRKRMNKVGLEFPSVEVRYDDLHVEADCEVVEGKPLPTLWNSFKSSLPDITRLLGFKSVVSKISIIDGVSGIIKPGRMTLLLGPPGCGKTTLLKALSGNLNQSLEVTGDISYNGYKLTEFVPQKTSAYISQYDLHNGEMTLRETLDFSLRCQGVGSRAEIMTELSRREKEAGILPDPDIDTYMKASSVEGQKTTLRTDYILKILGIDTCADTLVGDPMRRGVSGGQKKRLTTGEMIVGPTRALFMDEISNGLDSSTTYQIVACLQQLAHILDATILISLLQPAPETFDLFDDIILMSEGKIVYHGPRSSALEFFESCGFRCPERKGVADFLQEVISGKDQAQYWFTTEETYNYISVDAFSRKFKQSSYGEKLREQLSAPSVKSKSRKDAMTFTAYSLPKWELFRACISREFLLMKRNRFVYIFKSAQISISASISMTIFLRTMMSFDLLHANKYMGALFFAGGLLVVNGLPELSMTVGRLAVFYKQRDLLFYPAWAYAIPSAILKVPLSLFEAVIWTSLTYYVIGYDPEIGRFFRHLIVLFALHVASISMYRFVASVCRTVVSASMVGLLTLLFVFAFCGFIIPRPSMPNWLKWGFWVSPLSYGEIGLTLNEFLSSRWKKMLPTNTSIGQKTLDARGLNFEGYFFWISVGALFGFTLLFNLGFTLALTYLNPVGSRAIISREKYSQEQRTKKLDVIDHAEEKSSNPSLATTTESQKAGKMVLPFEPLTVVFQDLQYYVEIPMALKERGFPEKRLQLLCDITGTLRPGVLTALMGASGAGKTTLLDVLAGRKTSGTVEGEIKIGGFPKVQRTFARISGYCEQTDIHSPQITVEESVIFSAWLRLHPQIDSETKIDFVKEVLETIELDGIKDALVGIPGVNGLSTEQRKRLTIAVEFVANPSIFFLDEPTTGLDARAAAIVIRAIRNVASTGRTIVCTIHQPGIDIFEAFDELILLKSGGRMIYCGPLGQHSSEVIQYFEGIPGVPKIRNNYNPATWMLEVTCTSSEAELGVDFAEIYKSSSLYENNKELANTLSSPPIGREALDFPTQYAQNGWRQFKTCLWKQHWSYWRSPSYNLMRFMFMIITSLIFGALFWKQGKKIENQQNLFSILGSMFIAMFFCGVYNSSSILPYVSTERTVLYRERFAGMYASWAYTLAQVIIEIPYVFIQVLAFTVITYPMIGYYWSAYKVFWYIYSMFCTLLFFNCTGMMIVSITPSFPVAAVLQSAFYNIYYLVAGFLIPRPQIPKWWVWLYYLAPTSWTLNGMLTSQYGDIDKPIEVFGEPTTVSKFLRDYFGFHHDQLPIVAVILILYPIVLACLFSYFVSKLNFQKR >CDP15532 pep chromosome:AUK_PRJEB4211_v1:2:46175732:46183655:-1 gene:GSCOC_T00015399001 transcript:CDP15532 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGLNLESESLARKSDNDSFMNLSSHNDSKNQEMKTEDGVNASSNGVQLRLDALDLDFGGWNTGFNGLGSNLEGLSSNVNGVANMGSDFKGGHEELVGGEDDDDADADGWEFKDAYAESKVKMGNEKARLEVQEVWEENSHSSGSANGTNRSIDLFTMSNGFRDMLSTPSGASSKSNGFDIGSNIKSIAAKGNALAPDTCLRIDQKGHEAVLYPHPVAETAESDEDFGDFTAAFAGAGLLQEADSEVRESSRINASLSGFTSGSNGSLDFFSASDGAVDLFAPSNLTFGDFSQVGGGFDIKPSIIVKREASKLDAFSRSELTDGVDLQDNSTVIQNAVSGEPVSEFRSAFEENALVKQENAIELENHKGALPLSIFGDEELETDGSLNADDSFMFQSASSKGNSHSTKSAISINDLISNLYSQAGPISSVSSIQNPVVNGLHLSDSFSGSNLVPAAEDVDNSSWEFKDAVFQNEANKGTSLSGNEDVHQTSSGKLKLQIFMDFYSKLQDELYLIAKGHLASLKETQNDVNFGEDAGLDALIEEIQMACDELGQANAIIKEEHLGNSTQSQSNLHDFLEVLQEPGFCVLETEYDLQRRLSLVEKDAKSAMELIGHVKTMLKILMMGSLDEQHTYVSVWSKMINICAQELQHGAQLWRQSLQKNIQSQILAEPQGKTFVIALGEIYRVAVLLGATAKLYKPWILSTPVESSSIYSLLDQCHSSWSASGLEEALAIISDSTPAKGHGSLASLLDSIKYLCDLDAFALQNKFFIQHESLCWLSLMPQTVAPGMTMVVWNEEQCFLKLANLWVNLISSDRPKLPPLLVNG >CDP18033 pep chromosome:AUK_PRJEB4211_v1:2:46909155:46917381:1 gene:GSCOC_T00008639001 transcript:CDP18033 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGRVVLASNKRIATIVDCTNNFPLECVIRRIFRNAQGDECMLLCPVDTPVQILKSTNVEGWSAVSDEEVEAILPTAAYALAKIHMHLVYSGFCYTARGGFCYTEDDIFEFRTDDGQDVDGLPTEGIEITCFHLDGSHYMIYTPSDPLLFVAVKDANGILQIAEDELLEDPATISAIDEETEFNALVEEETALIESLLGER >CDP15229 pep chromosome:AUK_PRJEB4211_v1:2:49063991:49067350:1 gene:GSCOC_T00042864001 transcript:CDP15229 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYLLFSYLFLTIKILGHFFFFFPIDLLFTNMATYAVMDCFCEMCLEPCADKVKWPKRLGPEPKYYFNAGVFVFEPSFPTYALEVTPPTAFAEQDLLNMFSKDRFASPFLHCMCNFFMLMWWRRPERINLNDVKVLHYCAADLVKPLEIHQGRDRRLVKTRRC >CDP15211 pep chromosome:AUK_PRJEB4211_v1:2:49247187:49254772:1 gene:GSCOC_T00042837001 transcript:CDP15211 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNSPNSSPKSRWSPIGDTSIEETYSSVCRNSVVSDKTINFTSEYNFETPRTILQTPIYPGSSTPDIRQTIQNASPGTAKSIAFNMYQSLKQHGLRDEIGRAFTRDRSAEEDSRRSEELLGMEYILRDGFVSYLRDVAKITPPIPQQVIRFSDISYAKKVEIPSNKYETFGNKVVGWFTGPFKKIFQSKNSTWINILKGIDGYIMPGSMTLLLGPPGCGKSTLLEILAGRARGDKNSHLQGVVMYNDKYASEVHLSRLVAYVSGQLNNFISRRRIQFCRHIPFLSVRETLEFARDCSQTLRPENFTPQMRKFFAHALVEGQDPFLEYILEILNLKNIEHKLTGEAISDTDRQKLTTVELALGTYAVMLYDQPLSGSDLAATYDLADTIRTVCRIQQSSAIMSLTHLSQEIFDLFDRIILLGDGHVVFQGPRTNNAPIHRILGTISTDYRLRSYRIRKKLHQHEHASHAYSTLQKAAGHIRIQVERYETKEESYQPQWEKSQTPFTQTWLKSTKTLIGRQIKITKRLQILLMLRLFQAMILGLFTGTLFYKLGGQYDQQKMNSVRALGFVSTMSIMLINLVQLALYLLQRPIFYKHRAQKFFRASSYVVAHSIVNIPQTLIEAASYTFSMYFLVGLSFSGNGTALVEYLLLLFLVAYFGSSVFFFLSAVSSIPEIGNALAGLLVSIFLLFSGFVIYPSNIPTYWKWLTYINPIRWANISYCRSQFQYYDDPCSNYKGQFPFCDQFPSNTVGKAYLLYYELLNDNFGPWFPYAVLIGWTAVMTILALCGLKTLEFKGLNQSLPHLKRSTVVSNFRKDKERELSSSGSASDQDLYPTMDTRPTILPPGVKVTDDRGVERWIEDIAIDMERRELGIPVEPVSFMFEDLSFTRFDIEMKKKILVFNRITGYANPKNMLALLGGSQESKSTLLKCLAGRIPSSPYLQGDLRANDVIPAETFFRLIGYVEMVDAHQPYLSVRESLQFSAALRLNREIDTRSRHIHVELVLDQLGLLPYSNQLLGSLRDATGRTFEIAKKMTIAVELAANPSILFLEEPIYGLDSAGISSILAILSELSASRLNIIATLTHPTVRSLSFFDQALILTREGEQAYFGPIGPNCEDLLNYFSPIPSSPRKLTGESPISLVMGYLGQGIKSRGTPSINFADKYRASSLHKQVNEEIAAIKNLRKVRVPIKTAPAYPAPYSRQAGLVLLRTQRFLWRNVQYTYGRLTGCIMIGFLMGSLYYQIKYSDLYGVTSRSLYIYMQVILIGVISANNVIPQIGMDRLVYLREKRAGMYLPIFYPLSWAVGEIPYFFIATLAMVGIGNGLAGIGTRSVPEFLEYWLVLCVFTLCVTYFGMMVIFLAPLLIFAAFLVSILTSLWVSASGVVVTCASDGSCKRLPDGSYVWDRLANSQVLSHTRINTDIPILSAMGVLFASLDFLFFSMLRHNKNPSV >CDP00133 pep chromosome:AUK_PRJEB4211_v1:2:5924060:5927556:-1 gene:GSCOC_T00029941001 transcript:CDP00133 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAESSSSSRWSLAGKTALVTGGTRGIGFAVVEELAELGATIHTCSRNAAQLNERLQEWASKGLKVTGSVCDVSSRELREQLMQKVSSVFDGKLNILINNVGTNIRKPTTDYIPEEYSRIMATNLESAYHLSQLAYPLLKASGVGSTVFVSSISGLVHSSSGSIYGAAKGAMNQLTRNLACEWAKDNIRVNCVAPWYIRTPLVENLLSNKEFLDMVISRTPLQRPGEPKEVSSLVAYFCLPAASYITGQVVAVDGGMTVFGFGLPESSNFSL >CDP09465 pep chromosome:AUK_PRJEB4211_v1:2:22036372:22037680:1 gene:GSCOC_T00028842001 transcript:CDP09465 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFNKNGTSRRIPTPGKATVLSIGKAFPRQVVPQDCLVEGYVRDTKCVDPAIKEKLERLCKTTTVKTRYTVMSREILDQYPELTTEGSPTIKQRLEIANPAVVEMAKEASLACIKEWGRPADDITHVVYVSSSEIRLPGGDLYLATELGLRSDVGRVMLYFLGCYGGVTGLRVAKDIAENNPGSRVLLATSETTILGFRPPSKARPYDLVGAALFGDGAAAVIIGADPIIGTESPFMELNYAVQQFLPGTNNVIDGRLSEEGINFRLGRDLPQKIEDNIEAFCKKLMAKAGLGDFNDLFWAVHPGGPAILNRLESTLKLKPGKLECSRRTLMDFGNVSSNTILYVMEYMREELQKEGGEEWGLALAFGPGITFEGILVRSL >CDO97247 pep chromosome:AUK_PRJEB4211_v1:2:17575225:17575657:-1 gene:GSCOC_T00014519001 transcript:CDO97247 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFVAFLLIFALLLSNSFIEPTMGVSVYCARECKARCAKAGVRDRCLRYCKICCGKCKCVPSGTHGNKHQCPCYRDMKNSKGKAKCP >CDP00057 pep chromosome:AUK_PRJEB4211_v1:2:6539785:6542396:-1 gene:GSCOC_T00029832001 transcript:CDP00057 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAYTRTNQYKEALQLYRVMRENLVRPDNYTFTFVLKACTGILDLKEGNLVHREIVERRLENDVFIATALVDMYCKFGDLERAREVFDKMPSKDVVAWNAMISGLSLSAEPNEALELFKYMQLDSRVEPNAVSLLNLFPAVCKLMDAKACMSMHGFVWRRNFPTTVLNGLIDAYAKCGYCNEARMIFDRMAGKDDVTWGTMMAGYAYNGWFCQVLELFDCLKRKNLEKNKVSAVNALLAASEMRDFEKGLEIHDYAVRQRIDSDVMVSTSLMTMYAKCGEVEKAMELFWGIRERDLVAWSAIIAAFAQSGNYEEALSVFREMQNEKLKANAVTLVSVLPACGELYCVKLGKSLHCYAVKYAIDSDLSVGTALVSMYAKCDMFSSALIVFHGLPNKEVVTWNALINGYAQVGDPYHVMEMFSKLRLAGLHPDSGTMAGVLPACAVLGDLCLGSCIHGQIIKYGFESNCHMKNALMDMYAKCRSLPSAEVIFKHLESFKDEVSWNIMIAGYTYNGCAKEAISTFYRMISEAFQPNLVTIVSILPATTYLTALREGMAVHTYVILRGFQSHTLIANGLIDMYAKCGRLDYSEQIFTEMNHKNTISWNAMIAAYSVHGLGDRALATFYLMQESEAKIDSISFISVLSACRHSGLTEEGKKIFNSMKQKYGLEPGLEHYACMVDLLGRAGFLDEVMDLIKQMPMRPDAGVWGALLDASRMHSNLKLGELALKNLTDLELGNRAHYIVLSNLYSQSGRWDDATNARSSMKGTGLKKTPGCSWVEVKEDALPCILCR >CDO97326 pep chromosome:AUK_PRJEB4211_v1:2:18148810:18149295:-1 gene:GSCOC_T00014641001 transcript:CDO97326 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFKFSLVLLITLASSPSFLAQNTQKDYVDAHNAARAQVGVGPMHWDEQVAAYARNYAAKIQMANTCNNLVHSGGRYGENLAAGTGDFTGLRAVNLWVGEKSKYNYQTNSCVGGVCGHYTQVVWRSSVRLGCARVRCNNGWWYVICSYDPRGNIGGQRPY >CDP00048 pep chromosome:AUK_PRJEB4211_v1:2:6608650:6609573:1 gene:GSCOC_T00029820001 transcript:CDP00048 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRAGSNAAKLAIRRTLSQGGGSCVSRTRVVPLQNRYFHTTVSRSRAQSAPIPRPVPLSKLTDSFLDGTSSVYLEELQRAWEQDPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVYGHLKATLDPLGLEQREIPDDLDPALNGFSEADLDREFFIGVWRMSGFLSENRPVQTLRAILTRLEQAYCGAIGYEYMHIADREKCNWLRDRIETPTPMEYSRDRREVILDRLMWSSQFERREPHCMFFKLLSRDSPPSLSKLFSSLNCPLKKSMNMFKCHLCCTLQFQNILQVCE >CDO97266 pep chromosome:AUK_PRJEB4211_v1:2:17692530:17694588:1 gene:GSCOC_T00014542001 transcript:CDO97266 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNIWNSHPKNYGPGSRACRVCGNSHGIIRKYGLMCCRQCFRSNAKEIGFIKYR >CDP16342 pep chromosome:AUK_PRJEB4211_v1:2:27715513:27718278:-1 gene:GSCOC_T00018162001 transcript:CDP16342 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEVFLGAIIKVIFDKLASVDLKKFARSEGLDTQLKRWIQVLSLIQAVLDDAEDKQNMRIAVKQWLDDLQDLAYDMDDVIDEFSTEACRRKLMEAQGSTSKVRKVKIPSCCTNFSVKDYKFNRKMAPKVDEITRRLESMKEQIKILHLAETVVKRPNKTRDRLPSTSLVESYVYGRENDKEELLKLLLSNESSDDQVVVIPIVGMGGVGKTTLAQMVYNDDRVNEFFDSKAWACVSDDFDVVGVTKTILKAITRGVCDYEDLNMVQVKLSEALTRKRFLIVLDDVWNEKYEDWDILRRPFLVGSSGSKIIVTTRHHRVASVMSSTAGYSLKELTDDESLWLLARHALGRTNFDRHPNLEGIGRSIVRKCKNLPLAVKTLGGLLRARSTPDEWTDILNSEIWEIKEDQSDILPALRLSYYHLPAHLKPCFAYCSIFPKDYEFDKYELVLLWMAEGFLEESKASDLIEDIGDNYFKELLMRSFFQQSSSASSRFVMHDLINDLARYVAGDFCSRLTDDLEENIKCTILDKVSFLPVSGKYDGDFYIAKKVITELLLELRYSRVLSFSGYAISDLPNSIGELIHLRYLNLSGTSLKVLPESLSNLCNLQTLCLRDCWGLINLPVGIRKLINLRHLENSNTSQLHEMPSGIDQLTSLQTLSKVVLQNVTNVQEARDANLKNKRDLDNIVLAWNSEYDGSLSEVLQQDLLEALRPHTNLTSLEIEFYKGDKFSSWVGDSSFSTLVKVSLRGCTHCKCLPSLGQLPALKDLSIQTMLEVKAVGTELCGKDCSWEFSFPSLESLTIDDMPEWEEWTCLSSVGENKCHFPLLQKLCISGCPKLKSIPVLHLPSLSELKLQKCSVGIAKCFYNLTSL >CDP08595 pep chromosome:AUK_PRJEB4211_v1:2:39679932:39697043:-1 gene:GSCOC_T00027593001 transcript:CDP08595 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g05090/T12H1_5 [Source:Projected from Arabidopsis thaliana (AT3G05090) UniProtKB/TrEMBL;Acc:Q93ZS6] MHRVASAGNTSSSVRPRKEKRLTYVLNDADDTKHCAGINCLAVLKPTVPDGLDHLFTGSRDGTLKKWELTEDGATCSTTFESHVDWVNDAVLVGGNTLVSCSSDTTVKAWNCLSDGTCVRTLRQHTDYVTCLAAAEKNSNIVASGGLGGEVFIWDLETALAPHSKSSDATEDDCSNGVCGSGSSLPVSLRPINSSNSISLHTTQSQGYVPIAAKGHKESVYALAMNESGTLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRALLLDSTGRFCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLATRESVLLCTKEHPILQLALHDDGIWVATTDSSVHRWPAEGRNPQKVFQRGGSFLAGNLSFSRARISLEGSTPVPVYKEPSFSILGTAGIVQHEILNNRRHVLTKDTAGMVKLWEITRGVVIENYGEVSFEKKKEELFEMVSIPAWFTVDTRLGNLAVHLDTPQCFSAEMYSADLNIIGKPEDDKVNLARETLKGLLAPWLTKRRQRFGSQASGNVEVQSGKDVSSRSITLSRVEVDGNAENDSMVYPPFEFSVAAPPSIITEGSLGGPWRKKITDLDGSEDEKDFPWWVLDCVLNNRLPPRENTKCSFYLHPCEGSTIQILTQGKLSAPRILRIHKVVNYVVEKMVLDKPLDNMNSDGMFPVAGGQLPHPAAGGDGSFRSGVKHWQKLKPCIEILCNNQVLSPDMSLATVRTYIWKKPEDLVLNYRVVQGR >CDO97111 pep chromosome:AUK_PRJEB4211_v1:2:16580044:16581683:1 gene:GSCOC_T00014351001 transcript:CDO97111 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVKKSNGGTLFKEKKPVQRQKFRTLLYSDPTIFSNQEDEDDNNNDCSARNSSATVPSARYPNMSPAPSSGTASPYYLSPWNQPSPFTKSPWIQRPYPPLDHSIEESSGAYAIHNSLIGSLVREEGHVYSLATCGDLLYTGSDSKNIRVWKNLRDFAGFKSNSGLVKAIVVARDRIFTGHQDGKIRVWKLSGLRKKGYRRVGSLPTTTDYFKTSINPKNYVEVRRHRNVPWIKHYDAISCMAVDVEGGLLYSGSWDKTLKVWRLSDSKCIESINAHDDAVNSVVIGVDGLIFTGSADGTVKAWRRELVGSSTQHVLVETLLKQEYAVTSLAFNGKAAAVYAGSSDGLVNFWEREKHFISHGGLLRGHKMAVLCLAAAGNLVFSGSADRSICVWRREEGGIHTCISVLTGHNGPVKCLAVGKEEGDCDASDQRWMLYSGSLDNSVKVWRVFEKAANLKKLEGM >CDO97642 pep chromosome:AUK_PRJEB4211_v1:2:20627925:20629194:1 gene:GSCOC_T00015042001 transcript:CDO97642 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTCLHCFLVMIICCFCATMYQGDRTRKVGVVDSEYIVHMGLPTLGGVLGGHKLTDESPESSTQTENLAGSDTLATSVLNEFDNRSAVRRQSYIEMRIFRRRWNKAVKADQCWVDPYNNQVEQTVH >CDO99778 pep chromosome:AUK_PRJEB4211_v1:2:8948479:8953102:-1 gene:GSCOC_T00029468001 transcript:CDO99778 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSLPDRNSGRLGGLNPENGSANDSKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTIGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVVVYDITSPESFAKAQYWVKELQKHGSPGMIMALVGNKADLQEKREVSAQDGMEYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPPAS >CDP05035 pep chromosome:AUK_PRJEB4211_v1:2:1026827:1030792:-1 gene:GSCOC_T00019945001 transcript:CDP05035 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAWARRASLIVFGILFFGSFFAFSIAKEEAAKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDGERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQKDMKLVPYKIVNKDGKPYIEVKIKDGEKKVFSPEEISAMVLTKMKETAEAYLGKPIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRVMEYFIKLIKKKHGKDISKDNRALGKLRRESERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKHQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTMGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVTIQVFEGERSLTKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKASGKSEKITITNDKGRLSQEEIERMVREAEEFAEEDRKVKEKIDARNSLETYVYNMRNQINDKDKLADKLESDEKEKIETATKEALDWLDDNQNAEKEDYEEKLKEVEAVCNPIVTAVYQRSGGAPGAGSEEEDESHDEL >CDO97660 pep chromosome:AUK_PRJEB4211_v1:2:20790192:20791939:1 gene:GSCOC_T00015066001 transcript:CDO97660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 13 [Source:Projected from Arabidopsis thaliana (AT3G59530) UniProtKB/Swiss-Prot;Acc:Q9M1B4] MEKRIQLRDELLLQHPYLLFLVLAVGFLVMDPFDLSPVGGIDFRPVKNDVAPYKQVMESWPRDNRSRLGEGNLEFVDEIFGPESLEFDIQGRGPYTGLADGRIVRWMGKDAGWETFALVTRNWTEKLCAKGKDSTSSKHWKDEPKCGRPLGLRFDKQTGKLYIADAYYGLLVVGPEGGIATPLSTQVGGKPILFANDLDIHKNGSIFFTDTSTRYNRVKHFFILLEGESTGRLLRYDPPTGTTHVVLDGLAFANGVQLSKDHTFLLYTETTNSRLMKLWLEGPKSGSRELVADLPGFPDNVRINEKGEFWVAIDCCRTKVQETLIRNPWMRNVYFRLPIPMRYLARMVGMKMYTVISLFNEKGEIVDVLEDRKGKAMKLVSEVRELNGKLWIGTVAHNHIATIPYP >CDP17182 pep chromosome:AUK_PRJEB4211_v1:2:47476170:47482353:1 gene:GSCOC_T00000647001 transcript:CDP17182 gene_biotype:protein_coding transcript_biotype:protein_coding MELARLAVDSGGDPGAIHRALDPTPIKVPDVEGSKEDKCELTRTPYGWRFINEELNSYLKFLFEMIVARGPNVGLNVSLNRYDFFHGHLFIAVDSGRLGILFHAKEYPAYEKEVFPYNMGYCQIGSNVTYDDSMNLRNILWLAPLPSNSTKTWLAPGVLVVLDAHPEGIIYQDLIPEYVKFARTLYEEDFGEVVVDVNYLNVGAEVPKYQLFMC >CDP13973 pep chromosome:AUK_PRJEB4211_v1:2:4746593:4747259:-1 gene:GSCOC_T00039128001 transcript:CDP13973 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHSPCASCKLLRRRCTKDCPFAPYFPADDPHKFAIVHKVFGASNISKMLQELPVHQRADAVSSLVYEANARVRDPVYGCVGAISYLQNQVSELQMQLAVAQAEIFCIQMQQDPMAMPTPQFDDDDEGSFLLQHNLPQYLNFASSSSNVIQESFKKESPFGRDMVS >CDP19507 pep chromosome:AUK_PRJEB4211_v1:2:21387443:21389236:-1 gene:GSCOC_T00002335001 transcript:CDP19507 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTAIPIFISFYFFLIHLHPKFKFKMLETSTLPQWLIALLGEKFFNACIIHEDAKKNEKNVFCLDCCEGICPHCLSVHVSHRLLQIRRYVYHDVIRLDDAEKLMDCGLVQSYITNGAKVVFLNQRPQTRPSRFSGNTCMICDKGLQEPYIFCSLSCKLQYILGTGGKLPKYVYECVNITFPEPGFDDGQMTPETVLEPVASIRTESGSSGSVGNGGGASCRTLACTATTEIVRKKRSTISAGFRPVLEISNRRKGTPQRSPLY >CDO96777 pep chromosome:AUK_PRJEB4211_v1:2:12980383:12981765:-1 gene:GSCOC_T00013902001 transcript:CDO96777 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKKRKTEENGSGYPAPTSLIADPNATLPPFPSPLSAEDISKILDPFTKEQLLPIIRAAIVRHPDVLEAVRAVADSDPAQRKLFVRGLGWETNTEKLRAVFSTYGELDEAIVISDKNTGKSKGYGFVTFKHIDAAILALKEPNKKIDGRITVTQLAAAGNSGNSQSSDVSLRKIYVGNIPFEISSERLLSHFTMYGEIEEGPLGFDKQTGKVKGFAFFVYKTEEGARASLMEPNKVIDGHVVVCKLATDNKKMKQPQNVGPGGGMPGMPNAVPGGIPSDGSYAVHGGGYGPYGGYSGPGLQQPPQPQQQPGMIQAPQLNAGVGGPGGFGQGTGFGGYGQGGGQYGGGGGAGSGEYGGVGGLNSAGGGYRMPPTSGGMPTSGGYADGGNYTLSSSYPSQINPQGGGPRVPPGGMYQGMPPYY >CDO97023 pep chromosome:AUK_PRJEB4211_v1:2:15900843:15901905:-1 gene:GSCOC_T00014237001 transcript:CDO97023 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRDEYGNPMQLTDQYGNPVQLKDEYGNPMQLSGVAITAGTASAVHSTGTGPTAATGTQQHQEQLHRSSSSSSGSSEDDGQGGRRKKKGLKEKIKEKLTGGRHKDRDDQEHIDDQHAHSASPPTTTTGSGTSTTVGGQQHEKKSMVEKIMEKLPGHHDTR >CDO97423 pep chromosome:AUK_PRJEB4211_v1:2:18906306:18907177:1 gene:GSCOC_T00014764001 transcript:CDO97423 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPICKDSRAHLPDLEQCEKDALDVETVDFVAGGAQYGFHGRGVYLTWKDIWVTVSNVKEGTKSILQGLNGYATPGQLLAIMGPSGCGKSTLLDALSGRLGTKMKRSGEILLNGRQQELAYGTSVFALLRCYLTMPITKLL >CDO97182 pep chromosome:AUK_PRJEB4211_v1:2:17104685:17108696:1 gene:GSCOC_T00014443001 transcript:CDO97182 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLNFDNTGNITQPEGNVGRPGGNFPLARQSSIYSMTFGELQTFGSLGKDFGSMNMEDLLKNIWTAEETQVNATVERNGTTPAGNLQRQGSLTLPRTLSQRTVDEVWKDLFSGGAKDGSGGGSSNLVPREPTLGEMTLEEFLVRAGVVREDAQPTGKASNGGFYAGLVQSGGIDNGLNIGFQQLARDQGVLGNQMAKSKNALSNPSNLILNGDGVRSSQQQQNQQPPLQPLFPKQATVAFASSLPLGNSVQQIGNNAQLASPGPNASVVGMTTAASTVAQGGVAPTGVMGIAGLRGVTTAAAGGSPGNHLHSDVISKSSLDSPSLSPSPYAFNEAGRGRRSSSTLEKQVERRRRRMIKNRESAARSRARKQAYTLELEAEVAKLKELNEELQKKQVELMEMQKNQMLETMEMPWGGKRRCLRRTLTGPW >CDO97650 pep chromosome:AUK_PRJEB4211_v1:2:20687106:20695957:1 gene:GSCOC_T00015053001 transcript:CDO97650 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKDAEQKWEEDPDRPTSNPLPPQSEAFADFKSSSTVSAQQLLENVAQQIPNFGTEQDKAHCPFHLKTGACRFGSRCSRVHFYPEISCTLLIKNMYNGPGLAWEHDEGLEVFVCNGIIYTKGFFFYWFHWRDFLPLYYTEEEVEHSYEEFYEDVHTEFLKFGEIVNFKVCRNGSYHLRGNVYVHYRELDSAVLARRFINGRYFAGKQVNCEFVGVTRWKVAICGEYMKSKLKTCSRGTACNFIHCFRNPGGDYEWADWEKPPPKYWVKRMAALFGYSHESGYDKWVEQETKGQRRNSSKSLTADEERYRSRRSLSREIKSSNSSPRDNYKEDKFRRSKYSKRYRSDDTKRLEVPDEREYEEERQSRSHQRGKRRQYEREFDRGWLDEEKDGDGYSYSTRNTRESSRSPFRRRSQSHDCREIDKRKIPEKISRKNHASGKRKTRGDDYSDEDEDSDTRGRREIDKDDTREHHRHNHSKHHKHNRSGRKTSKADYADKHNEDIDKRGCWEPEMVDTREYHKHNHSSRKPRKCDYAGEHHEDIDKGGHWEPEKLDTREHHERRRKSHGRSGSHDQNVDKHSRKSRSSNQKRKSYSRERD >CDP09413 pep chromosome:AUK_PRJEB4211_v1:2:22716688:22719152:1 gene:GSCOC_T00028766001 transcript:CDP09413 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEIKVGIRYFLSFGGSFRHLAKNYSHSRPSLAATFVSGLRFFFFFFGGFFWTLQRLNKSDGDESWVRSNGILRNLGKYFTTVGPFSAGFGGSSTARSGGSAGAMVALLPARRQFSSWSTRNLKRELRELMAEFKELSFSECLHLTIASSVTAYLLHSTVRGIAKLTKSVWSRTGIANLKFSSKRFVLFICVVTYCSFL >CDO96897 pep chromosome:AUK_PRJEB4211_v1:2:14785031:14787225:1 gene:GSCOC_T00014075001 transcript:CDO96897 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNIADAANQITNYSGTSYILTILVAALADTYLGRFKAILITACIEFFGLGLLALQAHYAKLRPPLCNNRDPNAHCVEVHGGDAAFLFVALYLVALGSGGIKAALPSHGADQFEEDDPKEAKQMSTLFNWLLLVVCIGGAISVTLIVWIQDHKGWDIGFGLSTFAMFLGIVVLVAGLPHYRIHVVKGSSAITEVIQVYVAAFRNRKLQLPDDPSMLYEMSLDNEAATKAEFLPHTSDLKFLDKAAIQTPPTESEETNPWELCRVTQVENAKILLKMLPIFCCSIIMTLCLAQLQTFCVLQGTTMDTNITKHFQVPPASLPIIPIFFLIILVPIYDGLIVPFIRRFTGLPTGITYLQRVGVGLVLSAVSMAVAAFVEVKRKNVARSHNMLDAIPLLQPLPIHVLWLSFQYFIFGIADMFTYVGLLEFFYSQTPKKLKALSSCFLWTAMAIGYFLSTILVNIVNGATKRITKSGGWLAGNNLNRNHLNLFYWLLSTLSIINFLIYLFFAMRYKYRPQTIDVSDEAKPNELEKVDPQN >CDP08758 pep chromosome:AUK_PRJEB4211_v1:2:53286055:53288668:-1 gene:GSCOC_T00027847001 transcript:CDP08758 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRAFNFKNLPPLLKPPKPQFSSSTATPRHFSTEPQNPPPPTPPLQFSEDHKHTIPQIVSLLQTTSPDEWPTNTHLHHLLLSASPHSLLKITRQLGSLQKSLQFFDYLKNDYPSDSSPTSPPAPLGISPLSFAFQAVLEHAVREEDPKSPAKLLELFNFSKEQNVPLSLNSATLLIKLFGRAKMCDESVTVFSELRPDLRNIHVVNLLLDSLLKSGRIDDALKMVDKMLKSQLNVQPNDTTMDTVLSAFFTRNWSGRNVREEEIIGIVSGFGEHGIFPDSVWLTQLVSKFCRSGKCDKAWEVLHMVMRLGGELNAAPYNALLTGLGKENDFRRMNLLMIEMKEKDISPDVKTFGILINHLCKCHRVDEALETFKKMRGGNEGDEVCVVPDVVVCNTIIHGLCKVGRQEEGLKFMGNMKLEHGCMPNTVTYNSIIDGFCKAGEIERAFELFERMKKDGVEPNVITLNTLVDGMCKCERVGSALEFFDKMEEKGLKGNSTTYSILITAFCRSNNIDKAMALFDQMSQSGCPSDAIVYYSLISGLTRAGRLDDASSFVSKLKKAGFCLDIITYNVLIGGYCRKNKFEQAYEIFKDMEHAGVKPDRVTYNTLVSYFCEKGDFETAHRLLKKMMQYRFLPNVVTYGALIHAYCKAGHLDEAMKIFKEMNSSLKVSANNVIYNTLIDALCKSDKVDVALSLMDDMKEKGVRANTTTYNAMLKGLRERNWLEKAFKLMDEMTEKACNPDYVTMEILLEWLSAVGQTEKLRRFVQGYEVSASVP >CDO97334 pep chromosome:AUK_PRJEB4211_v1:2:18233250:18239252:-1 gene:GSCOC_T00014651001 transcript:CDO97334 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVLGGGRIGGSSHPNQTPFPLSHSHSSTLSPIQLLKPFFPALSFHRLVSSFPPKNLTISERNSSVLRAQNIDYKSEKQRSLESMDSKKDSTELNRELYLDIEPYSTGFLKVSDLHTIYWEQSGNPNGHPVVFLHGGPGGGTSPNNRKFFDPAFYRIILFDQRGAGKSTPHACLVENTTWDLVNDIEKLREHLQIPEWQVFGGSWGSTLALAYSQSHPEKVTGLVLRGIFLLRKKEIDWFYEGGAAAIFPDAWESFRDLIPDNERGCFIEAYHKRLNSDDKDIQNAAAKAWTKWEMMTAHLHPNEENIKKGDDDMFSLAFARIENHYFVNKGFFPTDSFLLDNVEKIKHINTVIVQGRYDVCCPMMSAWDLHRAWPEAELIVVPDAGHSANEPGIAAELVAANEKLKSIIKGNAC >CDO97535 pep chromosome:AUK_PRJEB4211_v1:2:19776515:19786738:1 gene:GSCOC_T00014908001 transcript:CDO97535 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGFSIKGHFKLASSSPSPPPAPPFALLSYHQHHHQGSCRIKIICCDKTSSSSSSADQKFNFKSLGRSLLDNSRRKLTEIDANAVQESLNHWISRTQNFLNEVTTPLVKTVNDRKPVVQDDAGDLGDIFLAEPTINSKTPSGDLSLPAIVSIEQFSRMNGLTGKKMQKIFRALVPGQIYNNARNLVEYCCFRFLSRDTSDIHPSLKDCAFRKLIFVTMVAWEHPYSSRKDSQAEASDRDSFKRKLVGEAAFVRIAPAISGVADWSTAHNLFKALARDGQGISYGSWSTYIDQLLKIHEGRKSYESQGSPKPFGEKILCLSSSRKPPVLKWENNIVWPGKLTLTDGALYFERIGLKGQSDAVRLDLTRDGSQVKRTRVGPLGTDLFDSAISVTSGLESETWVLEFVDLGGEMRRDVWYAFINEVNALHKFIQEFGPKDGDQSVYYVHGAHKGKAKAITCATNAIARLQALQHMRRLLDEPTKLVPFSFLQHAPYGDVVFQTLAVNFWAGPLNSKITDGDKQPGQHLISTQDASESSNHVFDMDGSVYLRKWMTSPSWFSNAAVAFWKHFSMRNGVVLSKNHVIAGVSLVEKAAIVCRDKYIIAEKTQATINAAMIEGIPSNIDLFKELILPLTIMANNFERLRRWEEPLVTTSFLVFVYTIIFRNMLPYLFPMTLMLLAASMLLLKGLKEQGRLGRYFGKVTIRDQPPSNTIQKIIALKQAMREVEKFMQDLNISLLKIRTIILAGQPQVTTEVALLLLLSATILLLVPFKYILAFLIFDLFTRELNFRRQMVLAFRRFLNERWGTVPAAPVVVLPYEVDGSKALSVNKSMANSVSIQGKRES >CDO99978 pep chromosome:AUK_PRJEB4211_v1:2:7268346:7276028:-1 gene:GSCOC_T00029721001 transcript:CDO99978 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLLDDIIRRLLEVRGRPGKQVQLSEAEIKQLCLVSKDIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVKIWRIFSECFNCLPVAALIDEKILCMHGGLSPDLQNLDQIRNLQRPTDVPDAGLLCDLLWSDPSKDVQGWGMNDRGVSYTFGADKVTEFLEKQDLDLVCRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKKSRFFLGSTTSAKPSNAFGSTTTTKPGTPGAKPFLGAI >CDO97450 pep chromosome:AUK_PRJEB4211_v1:2:19135838:19139388:1 gene:GSCOC_T00014797001 transcript:CDO97450 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLSVTTTMTFLSLTKTLNSSSSSTSPFISHLHRRFSPARPTQLLRLPLHRILPNNSLAKSNRFGSIYLPRSLGAVELHPARSCLECVSYSAASFASGSGGFGGNDGSNGGGGGGGDSSAEGGEVKPATVAAGADDISALASDVIVLDVGGMTCGGCAASVKRILESQPQVSSASVNLTTETAIIWPVSEAKDIQNWQTQLGEALAKHLTSCGFKSNLRGQGAIERDVSS >CDO97559 pep chromosome:AUK_PRJEB4211_v1:2:19946225:19952097:1 gene:GSCOC_T00014940001 transcript:CDO97559 gene_biotype:protein_coding transcript_biotype:protein_coding MSWITGTAPASATSAPVNLFPPPSSSAPARYSVLPPHYTRLSYPTSTSYRFTRRSRQPLLSYTLSSLPSLPHLKDAFRALPFHPSPPPIDHDHSFDTVTNADDRISIEAFHSDDEALDAAEKGAVVVDLSHYGRIRVGGEDRIQFLHNQSTANFECLSVGQGCDTVFVTPTARTIDIALAWIMKNAITLVVSPVTCESISKMLEKYIFISDKVEIQDITKQTCMFALVGPASNQIMERLGLIDLIGQPYGSHNHYSVNGKPVTVAVGNVISEEGFSFLMSSDSAGTVWKALVAQGAITMGSGAWETFRILQGRPAPGKELTDEFNVLEAHLWNGVSLNKGCYKGQETISRLVTYDGVKQRLWGIRLSSLVEVGSAVTVDGKKVGKLTSITAGKRASEPFGLGYIKRKAASKGDTVIVGGNVVGTVVEVPFLAQQRVLSKT >CDP08823 pep chromosome:AUK_PRJEB4211_v1:2:52697075:52700257:-1 gene:GSCOC_T00027930001 transcript:CDP08823 gene_biotype:protein_coding transcript_biotype:protein_coding MRREKFVTFPNYFFIPLSLDNFVQDASSRGCFCVIVPGCVLGRVERFSSMESDSDSSTSTEISDGWYDGVRQYDPVQSSLIPGLPDDITFFCLARVPRKYHAVLKCVSKRWRDLVSSEEWYLYRKKHHLEETWIYALCKDELDHLGCYVLDPNRLLSGWKRIQGLPGCCKRRRGMGFEVLGKRVYLIGGCGYIEDATNEAYCYDAGTNCWSEAARLPVARCYFACQAVDNKIFAIGGSDPGSSNLQSLDAYDPQTNCWNSHVDPKVLDDIEDSVVLDGKIYVRCGSSAVSSHVYALAYEPSSGTWEQAAPDMVMGWRGPAIVVNGTLYVLNQTSGTQLIMWQKDTRQWISVRRFSSKWTRPPCRLVAIGEDILIIGKGLSTMVFSTKNAGKMDGIMLSSSFRGLNSDLEVINCRSIAV >CDP07729 pep chromosome:AUK_PRJEB4211_v1:2:25476965:25479406:1 gene:GSCOC_T00025079001 transcript:CDP07729 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEQRSLRYTIFLAILLLFSSSLSPSAQYVIYNSTAYLPASWTHLDYDSMMTPILRRDNDGPSYVCGFYCNSGGSDGGCLFGVLISQGWLGTGAHLQSSKLVWSANRNNLVQGNATLQLKEDGDLVLANIDGTLIWSSNTRGKSVSGLNLTEMGNLVLFGPNNESIWQSFDHPTDSLLLGQKLATGQKLTASVSESNWSEGRLSLAVGSDGLSAYIESDPPQRYYASSINSYPYYEFRNGSFNDFKIPPASVAQFMKFGPDGHLKVYQWAEVGEFVEVIDLLNLYVGNCGYPMVCGKYGVCSKGQCGCIETTNGQESYFSQIMFRQPDLGCSLITPISCDHSQDHTLLELNNAAYFASDSGSDTIRTVMEDCKSKCLSSCSCKAAVFYHDRNLWDTGDCRVINEVFSIINDENYIGDNTTLLVKVQNANKSRRKTIILASTSGAFFGVVCLIGSCLVFLRRIFKEADEIEGDFLNQVPGIPIRYSYENLQAMTEDFKKRLGEGGFGSVYEGALYNGTKIAVKCLDGFAQLKDSFLAEVQIIGSIHHVNLVKLTGFCFENSHRLLVYEHMANGSLDRWIFGEMRSYSLPWRTRRKIISDIAKGLAYLHEDCSQRIIHFDIKPQNILLDENFNAKVADFGLSKLIDKDQSRVVTRMRGTPGYLAPEWLSSTVTEKVDVYSFGIVMLEILCGRKNFDSSKIEEDRHLLSIFKRKAEEERLEDMVDRKSGDMLIHVGEAVEMMRIAAWCLQGNFNNRPSMSLVVKALEGLVVVAETNLDYDFTNSSTVRTEAAGDQGQVVVYVGSPILPSTLSGPR >CDP13924 pep chromosome:AUK_PRJEB4211_v1:2:4389571:4391349:-1 gene:GSCOC_T00039067001 transcript:CDP13924 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESGIPPTTAPQPSATTRLNAAVAKSRLGKRFKLNERNTSFTTELRAGTATFLTMAYILAVNASILSDSGGTCSVSDCVPLCSDPTVSPADCTNNPNLRLLTPDDSCKFDPVNPGYAACLEKTRKDLIVATVASSLIGCIIMGLFANLPLALAPGMGTNAYFAYTVVGFHGSGSVSYQSALAAVFIEGLIFLFLSAVGLRARLAKLVPKPVRISSSAGIGLFLAFIGLQNNQGLGLVGYSSSTLVTLAACPRSSRASVAPVITSSNGTVSLLPGGTVSSDILCLHGRMESPTFWLGVVGFVIIAYCLVKNIKGAMIYGIVFVTAVSWFRNTRVTAFPDTATGNSAYKYFKKVVDVHKIESTAGALSFKSIGKGHFWEALVTFLYVDILDTTGTLYSMARFAGFTDSNGDFEGQYFAFMSDASSIVVGSLLGTSPVTAFIESSTGIREGGRTGLTALTVAGYFLLAFFFTPLLASIPAWAVGPPLILVGVLMMRAVVEVEWDDMRQAIPAFMTLLLMPLTYSIAYGLIGGIGTYVVLHLWDWGESCLRKFGVIKEGRRSSSNGGATNNNGITPPPPVAAPVDGSNKSTTDV >CDO99863 pep chromosome:AUK_PRJEB4211_v1:2:8227680:8229149:1 gene:GSCOC_T00029561001 transcript:CDO99863 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQWHIQEALLDDDLDDEELDNVLMYVVLLGFMFFFEDINQQVPRRRRVRDSALSGRDYVLELINGHENRIIENMRLDVPQFLLLCDLLVDRGYWHAYPSQRVGVHESVALTLMCLSHDERHRVLVERFQHSTETIDRHVRRVLRALARLGRDLVRPRNVDDTHPRILNNGLLMPWFRDCVGAIDGTHNVLAACDHDMRFVFVRVGWEGSAHDARILQETLLDPDSGFPMPPQGKYYAVDAAYRNMPGFMAPFRVVRGTHHERAAKTLFNRRHASVRNIIERTFGVLKKRFSILKGPMQNYLIATQNNIVLACCALHNFMRDYVPNDEYFNEETANGAFADAHIAGEQVQMGQPIDISQQGIDNWNEDRRAMAAHMYWNANN >CDO97531 pep chromosome:AUK_PRJEB4211_v1:2:19758159:19761845:1 gene:GSCOC_T00014904001 transcript:CDO97531 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSISSSCSHQLHHSSKELITSHSLASIHFPRKGQNTSTNFDDINNECRAKSCVKAAPQRLKWRTRVSFFPSFSIKDGDIETLKQELLEAIAPLDRGAEATAEDQERVDQIARKLEAVNKVKEPLKSSLLNGKWELLYTTSKSVLQPQRPKLLRPNGKVYQAINVDTLRAQNMETWPFFNQATANLVPLNTRRVAVKFDSFKIAGVIPIMERGSGRGELEITYLDEELRISRGNQGNLFILRMVDPSYRVPL >CDP16540 pep chromosome:AUK_PRJEB4211_v1:2:42220254:42223700:-1 gene:GSCOC_T00018914001 transcript:CDP16540 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQNSPTREQCLYMAKVAELAERYNETMNYMNKLVRASVYPTSELTSEERRLLSTAYYRVISVHRSAWRKMRSLEQNNDGNTNDDLVLVQEYRARIEADISEICDGILKLLDETLIPSASSSESKVFYFITKGDHERYLAEIKGDDERREAAEKAMQSYQTAEQIALADLPPKHPRRLAVALNFAVFHSEILKACETGCSKARLAYAAAHSDETQLPEELQEESAAIMQRLRNNITFWDAQRTLEDYAVHI >CDP05206 pep chromosome:AUK_PRJEB4211_v1:2:2411914:2417090:-1 gene:GSCOC_T00020170001 transcript:CDP05206 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSATWRSERKIKAVFKMQFQATQVPRLKAKTLAISLVPADVGRPTVRLGKSSIREGTCSWESPVYETVKLVKETKTGKFRGKIYHFIVSAGSSKAGLLGEASIDFVDFLEATYPVMVSLPIEATNSGAILHVTIQKLERTLDERYIEENESPTTNYHNGILEMQLLDSENSQKTNLEFTEGEQPNRITSQYPEQNGSVEDTRFDDASAYRVCLTTADNTLERVSLDADPPGHVYQRYSDGLLKDTSDESVIDTTTSLQEKYARDRIQEASNDVGRLNTRIKMLERQGEVSELELQSLRRQMAKESRKVKELSEQIVALKSERDILKKECEQLKSSPKGIDQEEISNNSGTETKNVSEISEQIKQQLHREKHLSKKLRSQLQKTEDSNSELILAVRDLKEVLSRKDKEIAHLAGQIQANQNEVTLELEETHEEHNKADEVELLKQERANLFAEMEISRKEKEELKKCIQQFTLDNENLKKEKAAVYSDLEQKQGAMMEIQHEYLLSTRTVKQLKEETKNQAILYSEYLTIIDELKTKVQSLEEELEKEAKYFQDNLTAEGRAMVEQEQRAIQAEEALTKANWSNTKETEHLREELKRKSEELISKIDENEKLTAQAVAEGNQLRMHSKFLEKLLQKANDEIQLSKNEYERKLLDLSKGIHLEAQSMGMVSQRKSAHSQARYEIGTMINDKEQRKREYGDSKMLQKWTEQKEELERELLLVKMEAEKLIEENITLRNLIDEKSKRDEILHPEVEKLVIQYDKSKCSSQEMKLENRDLKKHVSKLQVDPNKKKGTADLDVQETKPVKDVVMCDKTPEEGMHSLGMLKSNESEIAKSQRDQITSQRELNLGNHHANHIADLAEFSSEVTFLKELNTHMALELKEMQERYSEMSLKFAEVEGERQQLVMTLRNLKNGKKT >CDP15504 pep chromosome:AUK_PRJEB4211_v1:2:45665773:45670461:-1 gene:GSCOC_T00015359001 transcript:CDP15504 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKIVDALSGNNKKIKGTVVLMKKNVLDLNDFNASFLDGIHELLGQKVSLQLISAVNVDQGTLKGKLGKAAYLEDWITTNTFLAAGETAADITFDWDEDQGIPGAFIIKNNHPTQFYLKTLTLEEVPGHGRVHFVCNSWVYPAAKYKKDRVFFSNQAYLPSDTPEPLRQYRAEELVNLRGDGTGELKEWDRVYDYAYYNDLGDPDKGKEYARQNLGGSQEFPYPRRGRTGRPPSKTDPTVESRLPLLTSLDIYVPRDERFGHLKMSDFLGYGLKSVAQILYPALKSLFDSTPNEFDSLEDVLKLYEGGIKLPKGPLLQSITDNIPFELLKEILRTDGEAILKFPTPQIIQEDKSAWRTDEEFGREMLAGLNPVIISLLQEFPPKSKLDPKVYGSQDSTITKEQIEDKLDGLTVDQALKANQLFILNHHDTLMPYVRRINTTSTKLYASRTLLFLQKDGTLKPLAIELSLPHPDDDKLGAVSKVYLPSEQGVEGALWQLAKAYVAVNDSGVHQLISHWLNTHAVIEPFVIATNRQLSVLHPIHKLLQPHYRDTMTINALARQILISAGGILESTVFPGKFAMEMSAVIYQNWVFPEQALPADLVKRGIAVEDSNAPHGLRLLIPDYPFAVDGLEIWFAIKAWVEEYCNLYYKTDESIQKDSELQAWWKELREEGHGDLKDKPWWPKMQTREELIESCTTIIWVASALHASVNFGQYPYAGYLPNRPTVSRRFMPEPGSPEYEELKTNPDKAFLKTITAQLQTLLGVSLIEILSRHASDEVYLGQRDTPEWTIDGNALEAFKKFGSKLAEIEGRIIQSNTDPKYRNRTGPVKLPYTLLFPTGDPGLTGKGIPNSVSI >CDO99728 pep chromosome:AUK_PRJEB4211_v1:2:9438023:9440917:1 gene:GSCOC_T00029405001 transcript:CDO99728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 28 [Source:Projected from Arabidopsis thaliana (AT2G35770) UniProtKB/Swiss-Prot;Acc:Q8S8K6] MSKSSSNGSLVPYVLCFVTLHLASPIFVANAKQGRHQESDRIIRLPGQPANITFSQYSGYVTVDKIAGRALFYWLIESPASEKPETKPLVLWLNGGPGCSSIAYGASEEVGPFRVSPDGKTLGLSPYAWNKVANLLFLDSPAGVGFSYSNTSFDMVTGDKRTAKDAYNFLKRWYERFPQYKNRPFYIAGESYAGHYIPELSQVIVRHNKGLKNPIINFRGFLLGNPLIDDYYDNIGTFEFWWNHGLISDSTYEALNKSCPYDSFLFPRIQCYRALENAYSEFGDINPYAIYDNPCNDFGTLINNLNMPLPWKFRGNDQCIVKYTKVYMNNPDVQKALHANITQLPHPWMTCSEVIRSSWTDSPKSMLPIFKELIAAGHRLWVFSGDTDAVLPLTATRYSLKALNLKNITDWYAWYDKQKVGGWSQVHEGLTYVTVRGAGHEVPLGRPRLALTLFHHFLKNKNLPSSPSKH >CDP08668 pep chromosome:AUK_PRJEB4211_v1:2:53970362:53976235:1 gene:GSCOC_T00027712001 transcript:CDP08668 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEIIPTSKRRKIEETVVNILKNADLETATEYSVRSAAAHQLSTDLSDLAHKCLVRQALESFLLSTATTMLDDVNSNDVRKVSVPQKNKDDQELPSCSTGRVICKLSDKRSVAVHDFRGKCLVSIRDYLEKDGKQLFSGKGISLTGRQWSLFRSSFPAIEEAIAKMTSQTRLAVGEKQSAVDLLVGDITSQDIFPDDKNKMETDISNCADAVDPQREVGERSTVALGTNNWMAIPNGRQSLQTELVQVNSFGVMDHQSQGDGEWKHDGLDVNHSVATPSSQGQTLNQRYHPRVDSAATSAFAPGGHMPQHSVASFPQSLVPIMTTRLDGKNYHCWAHQMEFFLKQLKVAHVLKDPCPSISAESMSFEEKYQAKAAVQKWVDDEYICRHYILNSLSDNLFNQYSKKRCSAKELWEELESVYNEDFGTIRSQVNKYIQFQMVDGVSVLEQTHELQRILATIMASGIWMDENFHVSVIISKLPPSWKECRAKWMQEEFLSLTALLHRLEVEEEARYQRNQESFPRNAFMDCSKVQNKPGLRKKETKRLCYSCGKEGHISKYCPEKKFESHGQSNGKENEIIPNVTAAKVEEKFQD >CDP18038 pep chromosome:AUK_PRJEB4211_v1:2:47175620:47177358:1 gene:GSCOC_T00008650001 transcript:CDP18038 gene_biotype:protein_coding transcript_biotype:protein_coding MYKDAMYWSMQFNENGKHIIQLANGVTPQEIRNSRRYYPWFANCIGVIDGTHVVASVPLGIQGRFRGRKGYPTQNVLAGGGCFYVFSQHIVQILVDSSLHEQLFTCYVII >CDO97092 pep chromosome:AUK_PRJEB4211_v1:2:16454588:16458247:-1 gene:GSCOC_T00014327001 transcript:CDO97092 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-enyl diphosphate reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34350) UniProtKB/Swiss-Prot;Acc:Q94B35] MNREYTTSDIIKKLKENGNEYTWGDVTVKLAEAYGFCWGVERAVQIAYEARKQFPTEKIWLTNEIIHNPTVNKRLEEMAIEEIPVEDGKKHFDVVDRGDVVVLPAFGAGVDEMLTLSDKNVQIVDTTCPWVSKVWNTVEKHKNGAYTSIIHGKYSHEETIATASFAGKYIIVTNMAEATYVCDYILGGQLDGSSSTKEAFMEKFKHAVSNGFDPDADLVKLGIANQTTMLKGETEEIGKLVERTMMRKYGVENINTHFLSFNTICDATQERQDAMYKLVENPLDLMLVIGGWNSSNTSHLQEIAEDRGIPSYWIDSEQRIGPGNRIAYKLMHGELVEKENFLPEGPITIGVTSGASTPDKVVEDVLVKVFDIKREEVLQLA >CDP00052 pep chromosome:AUK_PRJEB4211_v1:2:6565331:6569605:1 gene:GSCOC_T00029825001 transcript:CDP00052 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTRARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELEGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILIGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIAKDIKQRLSDSDSKIEPQAIRINQTDQPAASGPAAQTSACCGS >CDP08764 pep chromosome:AUK_PRJEB4211_v1:2:53221377:53223827:-1 gene:GSCOC_T00027855001 transcript:CDP08764 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVYAHFPINASITNSNRSIEIRNFLGEKKVRKVDMLEGVTVIRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGRIAEEE >CDP05359 pep chromosome:AUK_PRJEB4211_v1:2:3600319:3602409:-1 gene:GSCOC_T00020370001 transcript:CDP05359 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKPNYFSLFISCVLLGTCCFSNPCYCFKPKNFTLSTIAARWSSAGATWYGSPNGAGSDGGACGYGNLVSQAPFSSLVTGIGPSLYNAGKECGACYQVKCTKHPACSGQPVRVVITDFCPGYPCTSGPAHFDLSGTAFGAMAVPGKEQKLRDAGVLEISYARSFEDGDGDLAGVALKQSSGRDEWWEMKQSSQYSGQILVAKNVIPAGWKPGATYRSLVKYL >CDO97717 pep chromosome:AUK_PRJEB4211_v1:2:21267338:21270101:1 gene:GSCOC_T00015137001 transcript:CDO97717 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGDGIGKTIITGSKSVDGGSTTFKSATVAVVGDGFIARGISFRNTAGAQNHQAVALRSGSDLSVFYQCSFEGYQDTLYVHSERQFYRECDIYGTVDFIFGNAAVVFQSCNIYARNPPNKTNTITAQGRTDPNQNTGISILNSRVTAASDLKPVQSSVKTYLGRPWKEYSRTVFMKTFLDGLINPAGWMPWSGNFALNTLYYGEYANTGPGSSTAKRVNWKGYHVITSATEASKFTVGNFIAGSSWLPATNVPFTSGL >CDP07638 pep chromosome:AUK_PRJEB4211_v1:2:24169331:24172777:1 gene:GSCOC_T00024957001 transcript:CDP07638 gene_biotype:protein_coding transcript_biotype:protein_coding MSERNSVTWACLVSGCNQNDMFEDACHIFREMLVSGLFPNHYAVGSALRACQALRASGLRFGMQIHGLISKTPHSCDVLVCNVLISMYGSCMSSAEYAWQVFYGIKFRNLISWNSIISVYSQREDAVSAFKLFSGLQKEGSVFSFRPTQYTFGSLITAASCSCVFVLEQTLAAVEKTGYVQDLYVGSALVSGFSRFGMLDTANKIFEQMGTRNAATLNGLMIGLVRLGQGEQAVKVFMETRNLVRITSDSLLVLLSSFPEFSSFDVGRRKGRELHANVIRTGLDYSQVSVGNGLINMYAKCDAIEDAFSVFRLMVDRDSVSWNSIISGLDQNECFEDALIIFHRMKSTGLVPSNFTIISVLSSCGKLGWMAMGGQLHSEGLKLGLDFDVSVSNALLSLYANCGFIATCRKLFSLMTEYDQVSWNSIIGALSGSDIYGHDAIGYFKEMMQSGWKLNKVTFINVLEALVSPSLLELAHQVHSLVLKYGAMNDSSIENALLSCYGKCGAINLCENIFSRMLGRRDEVSWNCMISGYIHNELLSKAMNLVWLMLQNGQRLDSFTFASVLSACASVATLERGMEVHACAVRSCLESDVVIGSALVDMYTKCGRIDYASRFFELMPVRNVYSWNSMISGYARHGYGHKALDLFSRMKMQGQSPDHVTFVGVLSACSHVGLVEKGFYHFESMSNLYGLIPQMEHYSCIVDLLGRAGKLEKIEDFINRMPMMPNNLIWRTVLGACSRANGRRRDLGNKAAQMLMELEPQNAANYVLLANMHASGGRWADVAEARHAMREAAVRKEAGCSWVTMKDGVHVFVSGDKSHPDKDAIYAKLKELHLKIKDAGYVPELKFAMYDLEQENKEELLSYHSERLAVAFILTRKSELPIRIMKNLRICGDCHSAFKYISEIVGRQIILRDSNRFHHFVGGKCSCNDYW >CDO99676 pep chromosome:AUK_PRJEB4211_v1:2:9956151:9959404:-1 gene:GSCOC_T00029343001 transcript:CDO99676 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGWDIRNGLNRNLTSWKSAEDPSPGEFSFAIDRGIFPQFYLKKGTRKIFRSGSYDLQILNGTSVRPNVFFKPKFISTPEEAYSEFDPNNEFSIVVLSYTGTIGFFIWDDKSLVWFDIRTHPGETCENYDSCGPNAICTSYTSQPCSCLTGFVPKAPEDSNMLVSSHGCIRKHPLNCPQNEGFLRMTGIKIPDTVHFNICSNMNLDDCQKECLKNCSCTAYSTGDSSGGENGNCLLWYEDLFDLQRHDSFNRLTFHVRVTAEDIGIISKKRLMMVTIPVSVTFPMLIGTLYLVWRKKLYSKEATNQFSDSNKIGEGGFGPVYKGQIATGQNIAVKRLSLNSKQGLTEFKNEVSLISKLQHRNLVRLLGCCIHGEERMLIYEYMPNKSLHNYIYDCTTRKELTWMRRFYIIIGIARGLLYLHRDSRLRIIHRDLKASNILLDSEMNPKISDFGIARAFGEEQLSAKTTRVIGTHGYMAPEYVTNGLYSMKSDVFSFGVLLLEIVSGRRNRDFYHPDHNLNLLGHAWKIWSEGKACHLIDELMEESFNRVEVERCIQVGLLCVQRCPEDRPTMSSVVLMLDSANMVLPHPKQPAGFYGERSMYDTEDPVEKRPTSDVTVTVLEGR >CDO99876 pep chromosome:AUK_PRJEB4211_v1:2:8128621:8129265:-1 gene:GSCOC_T00029577001 transcript:CDO99876 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGWAPQGRILKHPSIGGFVSHCGWSSVMEGIKFGVPIVAVPMHLDQPLNARLVEELGIGEEVVRNKQGILEKEQVSSVIRKVVDEKSTTGERFRRKVRELSEKMREKEEEEIDDVVEEMVKPCRKVDRYNSEDMLF >CDP13815 pep chromosome:AUK_PRJEB4211_v1:2:32678309:32681998:1 gene:GSCOC_T00038877001 transcript:CDP13815 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLVNDLARFVFGKLCVILFINLISRRSKDIRTFLPLRMDQDLDRTCFLSNKFLEDTLPQFMALRVLSLSYYENIVKLPNSCSGLKQLRFLDLSSTKIKELLKWICSFYNLQTLLLSKCKELEELQANLGKLINLCCLDISETPLKKMLPQMGILINLRVLTAFVISKDNGLTIKELGKLPMLRGKLFLSGLENISSGMDASLANMEGKKNLEKLTLKWHIPEGEVFNRLEEFNIIDYPKLIGKLPQQLSLLQSFEISNCSNLVTLPLRLNQFSRLEKLTIDDCESLLPLHVSRLPASLKSLECYNYNLELESESSEEDGTLDYLRLENCDSLKVERLASFPKLKGLSIIDCKSIEVLSIPAASGIVRGLPSSLQSLKILRCKKLTSRWKEWGLEKLPYLTDLWISLQNLKVLNYSGLRHLTSIQHLVIGFCHRLQYLPEKGLPASLTTLDISDCPMLKPRLEWDKGQDWPKIAPIPCLVIDDELVP >CDO97410 pep chromosome:AUK_PRJEB4211_v1:2:18845285:18847159:1 gene:GSCOC_T00014750001 transcript:CDO97410 gene_biotype:protein_coding transcript_biotype:protein_coding MISLWSGGKSVHHIVPSIIMSAAARNSPYKLQDLVTLLYRYRLSSSVANAGNRQFLSSKFRCGNYMNQGYLRSFTSAPIDQADAKGKPDFQILKDAEKKVQPTLPASADKQSFSSWAKWLLGSLMTLLLPFWKQEWESLRGLEGKVEKVVGEVEVVAEVVENVATVTEKVSAEVAEKLPDNNKIKEAVLVVEHLSSVAAQEAKLIEDFIHNVGDMKQDLKEMEKIAEPAIEKVVEPHHQEQAA >CDP16467 pep chromosome:AUK_PRJEB4211_v1:2:31073805:31074365:-1 gene:GSCOC_T00018396001 transcript:CDP16467 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFIYTISISYLLIMLPMFICISDQAFSEDISEAIAMKRMMKTSHLHFYFHDIVSGKNPSAMKVIGTEIMSFGTTFIIDDALTQGQEPTSKIVGRAQGMYAVAAQNDLALLMVINYSFTDGKFNGSSISILGRNHVFDDIREMPIVGGTGLFRFARGYALAHTIWFDIKTGDATVEYNVFVQHF >CDO97544 pep chromosome:AUK_PRJEB4211_v1:2:19830544:19831700:1 gene:GSCOC_T00014919001 transcript:CDO97544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 [Source:Projected from Arabidopsis thaliana (AT1G60870) UniProtKB/TrEMBL;Acc:Q9C529] MEALIYQFTILSDEALQDKNFDPSTIEDLMRLFELESYKAWAAMELEQEKEVQEAESCVEEAEEYLDSVMESAMEEFRRFEEEMNRACQAEYDSLVNVAESARTMGRSLEKAATNASKKYIEAAMNSATASMKSAMKALSSKYKKVHPS >CDP05358 pep chromosome:AUK_PRJEB4211_v1:2:3594357:3599179:1 gene:GSCOC_T00020369001 transcript:CDP05358 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLEFKACFLCIFAVAVIASHAQNLTDFISIDCGLREASNYSDDKTGIPYSTDANFTDSGENSNIARDYISDSLDRQLWTVRSFPRGPRNCYSLSVAQGKGKKYLIRATFLYGNYDSKNQTPTFDLYLGVEMWDTVEINSTTIAVTKEIIHVPSSNHTHVCLVNTGAGTPFISSLELRPLDPEAYPTDNTGESLASFIRLNLAPADTGKVIRYPDDIFDRRWLPYPTPSNWAELNTSLPVAVNNNYQPPAVAMQTAVTPQNASNALKFFWRPPDPTVQFYVYLHFAEVVNLQSNETRAFYVNLKDEHWYNGIVVPKYLALETIYATGGGTKASFDYSLVRTENSTLPPLINALEVYSVKHFLQSQTNEIDVWSILNIKSTYGVNNRNWQGDPCLPAAYVWQGLDCLINTNNKSDPPRIKSLNLSSSGLTGNISPFLSNLSSLENLDLSNNSLTGQVPDFLADLPSLKVLNLSRNQFSGPLPQKLLDKAKNGLELRADGYTVGTSNSCQSGRCKEKKSKKFVVPLVVSLSVSLTFLAVLIVLWRLRRGKHPGRKLGGISNRKDGFLETKNIQFTYSDLLKITNNFQRVLGKGGFGTVYHGLANGQQVAVKLLSQSSAQGYNEFQTEAELLTRVHHRNLTCLVGYCYEDTHMALVYEYMANGNLRELLSVKDDNNVLSWAERLQIALDAAQGLDYLHNGCKPPIIHRDIKSTNILLNEKFEAKLADFGLSRVFTIDDGSFVSTRVVGTPGYVDPEYYETQRLHEKSDIYSLGIVILELITGRPVIIGTEDKRHILQWANFLLATGDIGSIVDPKLKGMYEINSAWKALEVAMACASPTSYRRPNMAHVLAQLTECLAAEKARTEGLEDTGSQDLLEVNLGVLGVELGPR >CDP05123 pep chromosome:AUK_PRJEB4211_v1:2:1732630:1736912:1 gene:GSCOC_T00020064001 transcript:CDP05123 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPKGRYEELKLHESLTNQSCSRYPLACKELAFILRKAYSKAPKNLQSLLFQDTLDAFRLLPQVQSQNAISAANLLLQNAESTLPKQKKVLAVTEFKHAIVSSKRRNKAQQEEEGPCQFPEDILIHVFSYLDLQSLVSAAMVCRSWSSAAGDNHLWESLYVNFFGGSDKYTDTVTGSDICIRENFKRVYQEVSSKKVAPYRGYCWHCSSIVWLTDTRCYNTHIGRDCTNPKVIPVSMAQIVDYVLYDSLPSESSSDSSDSDIDDLCVLKMWAYAK >CDP00111 pep chromosome:AUK_PRJEB4211_v1:2:6153549:6159084:-1 gene:GSCOC_T00029908001 transcript:CDP00111 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKRLDYGFHGYQIPVIPRAPRSVRRRGAHKKSVEDHQICAFELLAAVAGKLLQESESSASSNASEAKVQPCHMDGIKKERSEETKAFRTECLDQGSCVESTFIPERVAGEQNFKSSVEDHPNLENDSIITSSDFSKKVDCDLKLGICNNKTADEDNHFNVAGGSHPSQNICNGKTETAAKRQVGDETKWSQELTVANSPNVKHQVGKCMNSKTVINSDSSVQLSLYRDPVSSVSFPKHRNNVNLGIRDDDDNSFGWNKLSTKFRAFRPQARNGYRRIRKMLQSKYWRVAPKLRDYEVSNTNEGMRSFYRNRKFIHTRDRCQHEAPSKRRKLSGRRFVVAYDQEASSESISNSPEKGEYRRSDGVLDKANGSSTSVKVHKKPKNSSVKFSIKSFKVPELYIEVPETATVGSLKTTVLETVTAILGGGVRVGVVLQGKKVRDDNRTLQQTGISHGGNLDSLGFILEPNFTQVIEPSKDSPQQLQHDTDEKFIRPPASPLQNSGPSNAAIDPLPATKMEKQNVSNHEIILSPKTPVIDSKAVVDGAGTESKALVPVAPVGAEALAMVPVSQKMKRSEVSQRRTRRPFSVGEVEALVEAVEILGTGRWRDVKMRAFDNADHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLSAHSYWSQHQSKQHGKHQIEPLQIMDAQAEKYEA >CDP05072 pep chromosome:AUK_PRJEB4211_v1:2:1310715:1320515:1 gene:GSCOC_T00019994001 transcript:CDP05072 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKRNLKSVMPTLKRCRVADSGAEDDESSGNRKKRKPNNGYYPLLAAAPTAASWCNGGSLCPAEAESKLKGIDSGDRIVQEAPRPPLVRTSRGRVQVLPSRFNDSVLDNWRKEKSKTSAKESALDPEFNPYKERANLKNSKLRGDTKDKVNYRCREYSSSRSTLTSLHEQQAEIEEDGELEECIYLSGINEYVKEEVEKKRRIYGPNDFVSGDIVWAISGRRCPAWPAIVLDTETQTPQQVLNFRVAGAICVMFFGYSGNGTQRDYAWVKRGMIFPFVDYVDSFQGQTELNDGKPSDLRTAIEEAFLAENGFNEMLMVEINAAAGNLEYLQSLTRGVYEASDSNQDQECNSLNKASPILVTIKKGIESCEACGLSMSPKMPKKLNDSNLGSSRLCPSCARLKKMKHYCAICKKIWNQSDSGTWVRCDGCKVWVHAECDKISNSSYKDLGTTEYYCPECKARFNFELSDSENLVSKTKHSKKNGLPDKVSVVCSGVEGIYFPSLHLVVCKCGSCGTQKQALSEWERHTGCKTKNWKTSIRVKGSMIPLEQWMLKVAEYHSRNVVPGKSLKRPSIKVRRQKLLAFLQEKYEPVYAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARNVQDFTSWVCRSCETPDVERDCCLCPANCGAMKPTDIPPLWVHITCAWFQPEVCFASEEKMEPAIGILRIPSNSFVKICVVCKQIHGSCTQCSKCSTYFHATCASRAGYRMELHCLEKNGKQITKMVSYCAYHRAPNPDNVLIIQTPKGTYSTKGLLQNKKNTCSRLISSNRLKLEEAPSTEVTDVEPLSAARCRIYKRLNNKGQRTSEEAVFHRVMGPCHHSLNAIQSLNTIKEIEEPKTFSTFRERLRHLQAIFFFWISYSSGYETEMERVCFGRSGIHGWGLFARRNILEGEMVLEYRGEQVRRSIADLREAQYRNKGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGDDESRIVLIAKTNVSAGDELTYDYLFDPDECDEFRVPCLCKAPNCRKFMN >CDO99835 pep chromosome:AUK_PRJEB4211_v1:2:8489138:8496691:-1 gene:GSCOC_T00029531001 transcript:CDO99835 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPIVVSASGGGGWCSNNSGNNESCVLSWSFGYFLFAFLMLGWIASLYGRLMLTPNVRTTLSTLGCQEDGEGSWSIGVFYGDSPFSLKPIEDMNIWRDKSAAWPVANPVVTCASASEAGFPSNFVADPFLYVQGDVLYLFFETKNSITMQGDIGVARSVDKGATWQQLGIALDEDWHLSYPYVFDYNGHIYMMPEGSANGDLRLYRAVNFPVEWTFDKVIMKKPMVDSFIIPHGGKYWLFGSDHSGIGTKKNGQLEIWYSTSPLGPWRPHKKNPIYNTDKSMGARNGGRPFVHDGNLYRIGQDCGETYGRRIRVFRVEILTTDEFKEVEVSLGTEEPTKGRNAWNGLRNHHLDVQQLSSGEWVGVLDGDRVPSGDTNRRFVLGCASVMAVAALVILVGLLLGAVKCLVPLSWCPHNMGKRSDALLSWEKPNLLSSKLRLFCSRLNRASSILRAKVNLNTCTGRLVIILTIMASMALMCLGVGYIYGGSGAQEPYPLNDHYSQFTLLTMTYDARLWNLKMYIKHYSRCSSVREIVVVWNKGKRPELSEFDSAVPVRIREEEENSLNNRFKMDPEIKTRAVLELDDDIMMTCDDIERGFKVWREHPDRIVGFYPRLVNGSPLKYRAEKHARRHNGYNMILTGAAFMDGRMAFERYWSKEAMAGREVVQKYFNCEDVLLNYLYANASSSQTVEYVKPAWAIDTSKFSGVAISRNTNAHYHVRSNCLRIFAKMYGSLTERKSEFSRRKDGWDV >CDP05268 pep chromosome:AUK_PRJEB4211_v1:2:2867171:2870179:1 gene:GSCOC_T00020251001 transcript:CDP05268 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDCMITTKASASEIMSSSNPERLDQQQNPLVFDASVLQHQTSIPTQFIWPDHEKPSPNSRELAVPLVDLGGFLSGSPSAAKEASRIVGEACRKHGFFLVVNHGVDASLISDAHRCMDSFFEQPLCEKQKAQRKVGEHCGYASSFTGRFSSKLPWKETLSFQFSAAKESSHIVEEYFEKTLGHQFLHLGGVYQEYCNAMSTLSLGIMELLGMSLGVSRESFKEFFEENESIMRLNYYPPCQKPELTLGTGPHCDPTSLTILHQDTVGGLQVFVDGEWRSIGPDMNAFVVNIGDTFMALSNGRYKSCLHRAVVNNKTPRKSLAFFLCPKEDKVVSPPTELVDSNNPRIYPDFTWPKLLEFTQKHYRADMHTLQMFSNWLQQKNI >CDP17228 pep chromosome:AUK_PRJEB4211_v1:2:48099580:48103991:1 gene:GSCOC_T00000715001 transcript:CDP17228 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSQKLRNGFPIPRKKKRTSYREQDDDNYDDLNEEDDDYDDDDDEEFTLDEIHDDVDDEDVMVERKKNKKLGRPRMPEKRISKGQKRKRNTKVSKKMVRKKQRENHGLPRKSRHNTDGDFRKSKTRLVEKNKKITGRGGRRRVLLDSDSDFVNSGLSDYEYTISEEEREQVREANEFCRSLTTTLRSSTPVKKSREDEESVYPQRKRLVRKGKEKEEDLKIETGKQVCGICLSEEGKRTVRGTLNCCSHYFCFACIIEWSKVESRCPLCKQRFATISKPARSDTGFELRTMIIQIPERDQVYQPSEEELRGYLDPYENVICTECNHGGDDALMLLCDLCDSPAHTYCVGLGREVPEGNWYCEGCRPTALDSLNPQGLNPTPNHRTSNSLAGVSSPITTVRETFDLNEAYVPDTPLTQVAGQPSPRLFGADFQATSPASGSGAFTLYERRRIQRQIHQIFSNRRQQDTGINGIRPTVSGNGLFGSQVGRIRELAPQHAVVPDERIASHITFQGRVQDTATHLVQNRDAFPPIPTHLRAPVTPSQASTSGDGSFGGSLQNGFPGFNTRNSLGSGHQQIHPCNGRSNIGSDANLSLHQCREVSPRNVGKEQVQSMVKSHLKSLSRELELDYSTFKDVARSSTHTILAACGLEHRLNEVYPMQALLICSHAEQKAGGQSSPSKDHCSSCFDLLVRNVVRERMSTRVALSSKGSIQLG >CDP15154 pep chromosome:AUK_PRJEB4211_v1:2:50147265:50151923:1 gene:GSCOC_T00042751001 transcript:CDP15154 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIHSADCYTKPPIKELAARERAEPGFCACVKDFAVQQHCMQKISAFICIILK >CDP08724 pep chromosome:AUK_PRJEB4211_v1:2:53535801:53538165:1 gene:GSCOC_T00027793001 transcript:CDP08724 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSNYTYPYTRTGHLRIYTCRVTWNVALAPHSPCLSLSSFLCGFLSLLLTFSLCRLILLILLSFPWPCHVCFLLRVRKCGSFKASVKISTLINIFLKLFVFFRRVVYRFLSLRFLLCQSKPGVMQLIL >CDO97408 pep chromosome:AUK_PRJEB4211_v1:2:18826820:18829817:-1 gene:GSCOC_T00014748001 transcript:CDO97408 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKALVQSQDLKSLPSDYAHFKDSQKSIVAGPEVSLPVIDFSLLSSTNPDERAKVIEDLGKACEEWGFFMVVNHGIPENLINSLFNVCNEFFDMPEEEKLKFETTNPLYPVMVRSGSTIHDKSNQTVLFWRDYLRFFVHPEFYCPDKPQDFRDLISEYSQRTRDLACKLLQGISQSLGMEEDYIQKAMGLDHGTQIFAANYYPPCPQPELAIGIPPHTDHGLLTFLLQNGVGGLEIQHEGQWFHVNALPNSIFVNTADQLEVLSNGKYKSVWHRAVVNNEKTRITLVVPNGPSPDTIVTPAAPLLREAPAAYGPMKYMEYVNVQRVTRLHEKPLLDRLKLH >CDO97519 pep chromosome:AUK_PRJEB4211_v1:2:19664789:19668333:-1 gene:GSCOC_T00014890001 transcript:CDO97519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein NDC80 homolog [Source:Projected from Arabidopsis thaliana (AT3G54630) UniProtKB/Swiss-Prot;Acc:Q9M1G5] MRNSTRRRAADSLASDRRPPPPTPTSVAPTPGEPWAFISTGRDSDASFASSRPSSSAGMKPRASAAPITNKSYQSASIDSINNYLSSHSFPISLKPPLPSAKDITETLKFLLSRMGFAPSKIDDDLQIVLKSLNCPVKLNKSALRAPGTPHSWPSLLAVIHWLVQINILDDSLISVRSNFESNDMLRYTTDSYLLFIKGDDEAVEALDDEYVKKLTSGREEMVEDLKGLEENVSESAKKLESLKTGPSQREVLEKEKSMLEEDVKKFHAMIEHLDRHMVTVENVLKEKEDQLNAKVLETKRVKEENEELKRKIEEQGINASDAERMRKELMAVEKDIKDAEILRNGWEEKRWRLNSEIEHKLQELEGQIIECNQAIRRLKLGIECQYQLNAEGSTTVKLLGLDYKSTLKPALASFEESIKKGSMEKLEELISLQQQSAEVEAKIEAKRNKAATCQSEIEEVEAHIDRIRKETQEYASRCASEASKMVEELEAETHNLEIVEKEATQFLKASKVKLQETTVQIDEEVQLCARELFLLIDSVSKYKEYMASKIADMKNELLETVGAIADMHKASLSAKLACKDPERGS >CDP06833 pep chromosome:AUK_PRJEB4211_v1:2:38188399:38192848:-1 gene:GSCOC_T00023843001 transcript:CDP06833 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGCFDMGCIETERKDASPEKESSSSSTSSSDSVMATSKIGKQNKAVKESGQSNWNVLNKCTSQIRKPPHRRTSPLNWFPRKKVDSYLKRKIKLLQEVDGMNLTLDETLGDSNPHYSRVLREKIAVKEAASKAIEARKAAMVEASWCRILKAARIDCKGAEVHMFEAEKTAAEAFEAATTLGVTMYDIKDCPQKRCKIETTAAKGGGSSTHTVSASFETPFEVDKQVACAVKAALTKLANCPSIKKDDFRELLRKISQNPDSDQNFQDFSEFSSECESDTGSDFEKEISGVMQKEYKESQVSQKFNMTKLEEMMLERLKGLQEDELASLATIVATCGLNAALAEVEGYKKHNLDTGSVSKSNIEDQLKKKMSETEFPSLDKFLVKRLTRLEKEVLEAKNARMKEANNLSEQKLDNKPDDDRLCSLDYTSSDHNNQDSGSNLQRTSPMIELEIEQAMKKSGEMFKEDHKNVGKDTSVSDLGTILVKHSSKLQKEIEEARRNEKCSKMNTKKVDRFCPAKQYITELPSLDKFLVKHVSRLEKEVQEAKSRRNFEPIEGGKVAESRKARSLTSSGVPEETLSLSARDNAPEGTENIDLNKNVLGEDKQPSLKAAQELSHEGEYNGLKEAGNCHHKILTTPDNVGQMGDTVEEVSSRSHRYIQKQDLRNGGNGTTDFESLDKVLVKHVSRLEREKMEFKAKEKEATKVKRKDTKKGLNEEGGQEGSLDQVLVIHRSRLEREKMAAAQQSEEEAAMSVLRKDTKKELDKYGGQESSLDQVLVKHKSRFEREIMVAAAQQSEDHIRHSVAHREARQKELQEAWGGMSLENSMRPHLSRLQRDKASSPFLFFFFAAWLKAEEEERRRAVETS >CDO99695 pep chromosome:AUK_PRJEB4211_v1:2:9762146:9765886:1 gene:GSCOC_T00029368001 transcript:CDO99695 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNSRIGSFNHHTLIFSSSTSSLTSVELQPLSSPQAKAGTNSDKIDQSVQRRTANYKPNIWKHELLQSLTSQFSEKKYKTRAQTLKEDVKCMFVEASDTLSKLELIDSISKLGLDKYFMQEIKEALDATALSNNTSVLKGDLYATALCFRLLRHYGCHASQDMFLDFVDGADKFIPSPKVSIKGLLELLQASNLGGEGEDLLTEAGLFSIENLSGFGASSDNMLAKQVYEAISLPIQWRVEWYNVKKHVQAHEKNHESTKSKLIELAKLNFNLVQSSHQEDLKEVSRWWMNLGIKERLSFSRDRVVESFLYAAGTAPEPKQASLRKWLSKVINLILITDDVYDVYGTLDELEIFTNAVERWSPEEIKELPEGIQICFWTLLNTTNEMAAEIEQENGWTSVLPYLQKTWTDFLKSLLVEAKWYNKGYTPSLEEYLNNGWISSSGPLLSVLAILGVADRKTQNVAEYLKDCQQIIHHSSLVIRLCNDQGTSAAELERGDAASSILCYMREANVSEEVAREHIKSLIVKAWKQINGYCISCPPFLQEPAKYITNTARVAHFMYQHGDGFGVQDRETQDHVRSNFIEPIPIN >CDP07654 pep chromosome:AUK_PRJEB4211_v1:2:24385727:24390030:-1 gene:GSCOC_T00024977001 transcript:CDP07654 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNKLNVFHWHITDSHSFPLVLPSEQVLAEKGAYGEKMKYTPEGVKALVEFGMQYGVRVVPEIDMPAHTGSWAEAYPEIVTCANMFWSPAGSNPLAAEPGTGQLNPLNPNTYEIAKNVIDDAINIFPDSFYHGGADEVNANCWKTDKDIQAFLAKNGTLSQLLELFVNSTLPYILSKNRTVVYWEDVLLSSDINVSASLLPKENIILQTWNNGPNNTKRLVEAGYRVIVSSAEYYYLDCGHGDFTGNNSLYNQPPGTDLGNGGSWCGPFKTWQSIYNYEITYGLNEEEAKLVLGGEVALWTEQADSTVMDPRFWPRTSAMAETLWSGNRDETGMKRYAEATDRLNEWRNRMVARGIAAEPIQPLWCIRNPGMCNTVQ >CDP09411 pep chromosome:AUK_PRJEB4211_v1:2:22738522:22738755:1 gene:GSCOC_T00028760001 transcript:CDP09411 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEITASNGRIILFIDEIHLIMGYGNTYALNAANLLKPMLSCGELRCIGATTLKEYRLYIEKDPALECIFQKGIVW >CDP13877 pep chromosome:AUK_PRJEB4211_v1:2:4041134:4048226:-1 gene:GSCOC_T00039007001 transcript:CDP13877 gene_biotype:protein_coding transcript_biotype:protein_coding METSNSNSDAAHASPSASNSTPSSPRDSNSAPSRRYGVQFPPPLRFFHSPVSSLLEYSGLIRLRPDHSEAEHLIIDHHDTSNSNGSISANGPVSIRIIGPGDGDRVGLVADADGDEESLGLGSTSASVDGSSQDRSLGAGAGAAAVDAGGEGNSTNGSSRGESSYQRYDIQQFGRWIEQILPFSLLLLVVFIRQHLQGFFVTIWITAFMFKSNDILRKQTALKAERKMFVLVTYFVVFILHAFGIYWWYRNDDLYYPLFMVPPKSIPPFWHAIFIILVNDTMVRQIAMALKLVLLMYYKNGRGHNFRRQGQMLTLVEYTLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSIVEKVQSFLAALKALSKKEIHYGSYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVRPADLRSFGDGSTSLFIQMF >CDP13839 pep chromosome:AUK_PRJEB4211_v1:2:33690950:33694248:1 gene:GSCOC_T00038938001 transcript:CDP13839 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCRTPSTSLVDEATVYGRDADKEEIIKMLLSESANGVNFTVISVVGLGGIGKTTLAQLIYKDKRVQNHFPTKAWVCISEDYDAARITKELLEGLEIPLSDASENLNSLQVKLQLELTGKKFLLVLDDHWNRAFNDWDKLRVLFKGGLQGSKIIVTTRDEKIALMMCKKESIYSLDLIAEEDCWSLFEKHAFENKDGDQRLELEDIGKKIVKKCARLPLAVKTVAGLLRSKTTAEEWEDILVSEVWTQTDNEDDILPALRLSYSHLPSRLKRCFACCAVFHKDFEFRKEEIIHLWQANDLLEPPGENKGIEQIGEEYLRELRFRSLLEQSTNGLFSMHDLVNDLAIAVSRRYCYRLEDNDPEHGKIGSISYFSYHPSFYDTFNKFELLRETKNLRTFLPLSKLDGGKELSHKFLHEMLPKFRSLRFLSLLSYRILKLPDSISNLKHLRFLNLSSTFLETLPECICTLYNLQTLLLSDCENLEELPVSLAKLINLSYLDISGTPLKKMPPQMGRLRNLRVLTNFIVGKDSGSMIEELGKLPKLRGRLIISKLGNVCSGRDASMANLKGKKHLQELTLEWNGAINDSHAVRDVLDNLQPHSSIKHLKIIRYGGTTFPDWLGNSSLSHLESLSLSNCENCFSLPALGQLQSLQSLEIVGMSYIFDLAENFYGDVSATKPFPSLKKLRFEKLSEWKRWHIPEGEVFNRLEELSIIDCPKLIEELPQQLASLQSLEIFGCGNLVCPSGQLSLPLQLNQLSQLEELTVDDCGSLSPSHVSRPPASLKSLVCKGRCNLEFESSSGEGGGALERLILGNCDTVKMLSVPAAPAPGIGNQSGMTTTTTTTSTSSVMTSLQHLSISGCDALILSFPAPRLTWLQIWDCKKLTSLPQWMESILPSLRSLLLSNCPEIECFPEGGLPSTLQYLTIYNCKKLTKLPQRMESLLPSLQYLCLSNCPEIECFPEGVVSRGGLAVALHASISRLVLPSESESAKLFSFSTPHLSSKPMH >CDP16341 pep chromosome:AUK_PRJEB4211_v1:2:27696379:27697789:-1 gene:GSCOC_T00018161001 transcript:CDP16341 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPSGLERITLRECHQLKNLWGSSNTVNLVQLKSLVVSNCSRLSSLEELGVLPMLKYLKIESCSALQSLPTLSGLNILRISSCSALSCLPMDKLLLPQLRNLGIRHCQKLNLPREIVIEDTSTSIESLEIVGCPCLNLRTMLGSVYSFASLRFLDIRDCDYDLDQLPTPSLKCLSLCRCKNVSYLPSGLGRLRSLLLYSCSSPLLFPQGDFPPTLKFLDIEAGENLQLKPLSEWGLNRLTFLERFYIRGGYPELESFSGSGDDGLALLPPTLRSVAIGDLPNLKSLSAFLRGLTALRYLHIFECPKLGSLPKESLRNPLQTLVINKCPLLQKRCLMDRGDYWPMIEDIPCVEIRSDGIRSGSMHFFSYHSD >CDP15209 pep chromosome:AUK_PRJEB4211_v1:2:49373706:49379458:1 gene:GSCOC_T00042834001 transcript:CDP15209 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGSLQLAGNRLSILIKDLCTLSCRGREKEPKYYFLYSPEFLMVTVEELTLQVIRFSDISYAKKVEIPSNKYETFGNKVVGWFTGPFKKIFQSKNSTWINILKGIDGYIMPGSMTLLLGPPGCGKSTLLEILAGRARGDKNSHLQGVVMYNDKYASEVHLSRLVAYVSGQLNNFISRRRIQFCRHIPFLSVRETLEFARDCSQTLRPENFTPQMRKFFAHALVEGQDPFLEYILEILNLKNIEHKLTGEAISDTDRQKLTTVELALGTYAVMLYDQPLSGSDLAATYDLADTIRTVCRIQQSSAIMSLTHLSQEIFDLFDRIILLGDGHVVFQGPRTNKISSKVGQSGGIESTGRVQIGDIVTALSVNGEESTYLALGPQRHQHEHASHAYSTLQKAAGHIRIQVERYETKEESYQPQWEKSQTPFTQTWLKSTKTLIGRQIKITKRLQILLMLRLFQAIILGLFTGTLFSKLGGQYDQQKMNSVRALGFVSTMSIMLINLVQLPLYLLQRPIFYKHRAQKFFRASSYVVAHSIVNIPQTLIEAASYTFSVYFLVGLSFSGKGTALVEYLLLLFLVAYFGSSVFFFLSAVSSIPEIGNALAGLLVSIFLLFSGFVIYPSNIPTYWKWLTYINPIRWANISYCRSQFQYYDDPCSNYKGQFPFCDQFPSNTVGKAYLLYYELLNDNFGPWFPYAVLIGWTAVMTILALWGMKTLEFKGLNQSLPHLKRSTVVSNLRKDKERELSSSGSVSEQDFYPTMDTRPTILPPGVKVTDDRGVERWIEDIAIDMERRELGIPVKPVSLMFEDLSFTSADTHLTVQTYLWGKKSYTRFDKEMKKKILVFNGITGYANPQNMLALLGGSQESKTTLLKCLAGRIPSSPHLQGDLRANDVITGETFFRLIGYVEMVDAHQPYLSVRESLQFSAALRLNTEIDTRSRHIHVELVLDQLGLLPYSNQLVASLRDATGRTFEIAKKMTIAVELAANPSILFLEEPIYGLDSAGISSILAILSGLSASGLSIIATLTHPTVRSLSFFDQALILTREGEQAYFGPIGPNCENLLNYFSPIPSSPRKLTGESPISLVMGCLGQGIKSRGTPSINFADTYRASSLHKQVNEEIAAIKNLHKVRVQKKTAPAYPAPYSRQAGLVLLRTQRFLWRNVQYTYGRLTGCIMIGFLMGSLFYQIKYSDLYGVTSRSLYIYMQVILIGVISANNVIPQIGTDRLVYLREKRAGMYLPIFYPLSWAVGEIPYFFIATLAMVGIGNGLAGIGTRSVPEFLEYWLVLCVFTLCVTYFGMMVTFLAPLPIFAAFLVSILTSLWVSASGVVVVLSNIKFYRWMYWTNPFQYAMNALTAISFYCNPKTCASDCSCKRLPDGSYVWDRLASSRALSHTRINTDILILSAMGVLFASLAFLFFSMLRHNKNPSV >CDO99692 pep chromosome:AUK_PRJEB4211_v1:2:9795791:9798131:1 gene:GSCOC_T00029364001 transcript:CDO99692 gene_biotype:protein_coding transcript_biotype:protein_coding MCCDLEIDVNSQETFLVDKVEERNISISWWTALMSQTKEYFKKIHQFALPELLECLKQFQDLQQTMYSLDMLEELLDCLVERLSLYSLIIPYSSLTDSFCIQFSGDFSCQSIGNSSSQASTWWFEDLEFLKVDLFEKKVKITGALRAEKCRITETVINLLHLLDRSLISVRGLFDNLRFSLAFKVKRCSKKKLESLVGSLLDQAKLDDLFFPSPPCKCYAYDVDLILRLQEQFLIESREQFLVNRLKKVASLMDFYLVEVAPDPRLEPSKFVTLATALPEYARDSHDMTYEAVDIYLKVHKKLSEEEKIKICCVLNFDKLSARSLIHLVYNMEFPACAAVTASVSQHSKLREIPRKTGHLEVHEDLRSHHSSAKGTSEDEDQVVRMTKLEYQTIDNRFKTCTPTETFNREKQTRSTYIKKLSSIFPTNLDSILDRKLLH >CDO99719 pep chromosome:AUK_PRJEB4211_v1:2:9525221:9528447:-1 gene:GSCOC_T00029393001 transcript:CDO99719 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAIIACIYVHFVLKGDGDCRRKKGKRISFSFEPPFSPYRIKPFLKRQRNKFYTLLWFFHLFRVLDPTRGLLNSTCTAFFMGTKMKGICKGFNKYISQMFVVKEREMEIGYPTDVKHVAHIGWDGPSGSAPSWMNEFKTGPDFTATSIGNSGSALSPWSSQDFGESMGHRSTSEMYKDIPSTDLPNIPKAKQKRKKSKSTSSPRSNSSSSSRGSRAAKSKTKFVEGNAKPANIEVA >CDP07642 pep chromosome:AUK_PRJEB4211_v1:2:24207209:24212158:1 gene:GSCOC_T00024962001 transcript:CDP07642 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNQLCCFMLLLMMMALCDASRLINYFNKAPLKSIKSKDGDVIDCILLSEQPALDHPSLKDHRILMTPSYHPEGLKKLFNSRNDEKPITQLWQLAGKCPQGSIPIRRGKKEDGFFSVIKSFLQKDKTNREKLSAGMELISQVKSYQSVASYAMGAYYGAKAIMNVWQPQLQQPNEYSSSQLWITENSFGSNQNTIQAGWHVNPELYGDARTRFFMHWTRDNFKSTGCYNLLCSGFVQITNEIVLGGSIFPLSNFNGSQSEISILIWKDPAQDAWWLEFGNTIVGYWPGSLLTSLAHYSSLIEWGALVLNKQSDGVQTSTQMGSGHFPREGFKRASYMRNLEVIGSSMKLRPLNYLRTIARKSNCYDITSKDGDIIDCVHIYQQLAFDNPLLKGHWILQMRPNYQPELGFAENKISNIKSTNNELEQKPISQLLQLAEKGPDRTIPIRRATQEDILRTSSMKTKNFGRKLNTNFPKTGQHNLTAGNKPIHVSAVVREEKYYGAKATINIWEPRLEKRNAFSSSQLSIAGGSSDSDLNVIEAGWHVKLYGDKRTSFFIYWTRDNFRSTGCYNLLCPGFAQTRNEYTLMTFMSCSLKLLGWFSI >CDP07659 pep chromosome:AUK_PRJEB4211_v1:2:24430627:24431410:1 gene:GSCOC_T00024983001 transcript:CDP07659 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESKPNKFHYDLSMSKRTRRSLNLVEDDHDQGSFQAWHGEEECALEFAIGDEEKGSISLSEASENDQKKSLKQLIGGRSLSQHFSQEEPQLQLVVKQHDQEGLSGLKFTRMVSRYAKALSHLIKLKRKQQMGLYKKPVLPLTN >CDP08572 pep chromosome:AUK_PRJEB4211_v1:2:40563816:40569135:1 gene:GSCOC_T00027548001 transcript:CDP08572 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFVGAIIVCKNLGSYVRIPALKTVYWALVCSLVYSLSVVEAKGGTISVASALAGNQEAVQDREHKFLTMAVQEAYKGAECGHGRPFGAVVVHNDEIVVSCHNMVLARTDPTAHAEVTAIREACKKLNKIELSDCEMYASCEPCPMCFGAIYLSKIKRLVYGAKAEAAIAIGYNAFIADALRGTGFYQKGHLEIKRADGNASHIAEQVFEKSKAKFHIH >CDP08616 pep chromosome:AUK_PRJEB4211_v1:2:54473753:54475586:-1 gene:GSCOC_T00027634001 transcript:CDP08616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46820) UniProtKB/Swiss-Prot;Acc:Q8LCA1] MASTTTSTPLSLSASSTLIEAKASRQPSNPASQCVTLPALAPPLQSQCRAAKTTAYCRKIARNVVAMATGEAPSEVAATELPEIVKTIQEAWDRVEDKYAVSSLALAFLVALCGSTGLVSAIDRLPLIPGVLELVGIGYTTYFVYKNLVFKPDREALIKKIKDTYEDIIGTS >CDP00117 pep chromosome:AUK_PRJEB4211_v1:2:6079104:6079739:-1 gene:GSCOC_T00029916001 transcript:CDP00117 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITAAPTQVAAPPPQFYFDEKWKLSKKESSSRSCRSSSSLIKNPSTTKRCAFTRKCARLVKEQRARFYIMRRCVTMLICWRDYSDS >CDO99475 pep chromosome:AUK_PRJEB4211_v1:2:12146603:12156557:1 gene:GSCOC_T00029068001 transcript:CDO99475 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFFSRGSSGDSPQQQASPSPEPSPPFRLPVTSNMTVGPARPIRFIYYDDKGKFQMDPEAVAVLQLVKEPVGVVSVCGRARQGKSFILNQLLGRSSGFQVAATHRPCTKGIWLWSAPLKRTALDGTEYNLLLLDTEGIDAYDQTGTYSTQIFSLAVLLSSLFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGTGSTASELGQFSPIFVWLLRDFYLDLVEDNRKITPRDYLELALRPVQGGARDITTKNEIRESIRALFPDRECFTLVRPLSNENDLQRLDQIALDKLRPEFRTGLDALTRFVFERTRPKQVGATVMTGPILARITQSFLDALNKGAVPTITSSWQSVEETECQRAFDVATEVYMSSFDRSKPPEEAVLREAHEDAVQKAVAAFNATAVGGGSTRLKYEKRFQTFIKKAFEDIKKDAFREAYLQCSNAIQNMDRELRSACHAADAKVDNVLKVLDGLLSKYESSCHGPEKWKKLTIFLQQSLEGPINDLIKKQIDRIGSEKSSLSLKCRSIEDRMNLLNKQFETAEQQKSEYLKRYEDAINDKKKLADDYMNRITNLQGKCSSLEERCSSISRTLESVKQESTEWKRKYEQLLYKQKAEEDQVNSEIQILKSKSHAAEARLAAAHEQAQSAREEAEEWKRKYDIAVKEAKNALEKAATVQERTNKQTQHREDALRAEFASTLAEKEEEVKEKASRLELADQRLATINVDLKAAESKMKNYELEISGLKRELKELNERLENSNATAQSFEREARLLEQQKVHLEQKYRSEFSRFEEVQERCKSAEREAKRATELADQARAEAVAAQKEKSEIQRTAMERLAQIERAERHLESLERQKLDLTNEVEKYRASGMDALAKVEMLEARVGEREKEIESLLESNNEERTSTVQVLEKLLDTERAARAEANNRAQALSVQLQATQGKLDMLQQQLTAMRLNETAWDGKLKTASHGKRVRVDDYELGVESIHDVGANDKASRGNKRSKSTSSPLKFSTPEDGGSVYRGDEDTHSQQTNTEDYTKFTIQRLKQELTKHNFGDELLQLKNPNKKDILALYEKCVIQKS >CDP14072 pep chromosome:AUK_PRJEB4211_v1:2:5554692:5557057:-1 gene:GSCOC_T00039259001 transcript:CDP14072 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYLGKKMAYIYKAKVKKNGSHYRCIWGKVRRPHGNSGVVRATFKSNLPPKSMGSRVRVFMYPSNI >CDP17226 pep chromosome:AUK_PRJEB4211_v1:2:48049899:48053130:-1 gene:GSCOC_T00000713001 transcript:CDP17226 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAEISKMKQKPPLVPLGALIGRELRNEKVEKRTVKYGQTALAKKGEDYFLIKPDCQRVVDNQSTSFSVFAIFDGHNGISAAIFAKENLLKNVLSAIPEGLGGEEWLQALPRALVAGFVKTDIEFRQGGEKSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTGNHRLEENAEERERVTASGGEVGRLNVGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPVPHVKQVKLSSAGGRLIIASDGIWDALSSDQAAQSCRGLPADLAAKLVVKEALRSRGLKDDTTCLVVDIIPYDHPVLPPTPKKKHTLLNSLFFGKRSENSMNKGSSKLSAVGAVEELFEEGSAMLAERLGKDFPLNSNSGLFKCAICQVDQQPGDGLSVNSGPFFSPGSKPWEGPFLCATCRKKKDAMEGKRPSKPVITA >CDO97308 pep chromosome:AUK_PRJEB4211_v1:2:18022503:18026440:-1 gene:GSCOC_T00014615001 transcript:CDO97308 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHSISLKSSISPFSVSQSRQGFPCKWSFLCSELDSRNSGRKNFGSRSKKLSLRILIRRRLSVSCNVKESDNQSNGEEPPESLFMKELKRRGMTPASLLEEKTKSGYVGQETTSREEDGGFSRANAVSTNPERNLTNQREQSMALNSEGLEGLIPRARLLLTLGGTFFLGFWPLILITFAFFSTLYLYFGPNFVHDAGNTRVTPPEYIDPYQLLEEERISSTAPRLN >CDP07698 pep chromosome:AUK_PRJEB4211_v1:2:24919351:24922894:-1 gene:GSCOC_T00025040001 transcript:CDP07698 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGIGLHVLLYVALFFSLSGLSFGVAPKNISTFNRKSFPQDFIFEAASAAYQYEGAAFEDGRGPSIWDTFSHQYPKITQHNYRNLYEQGNKLMWILYHHIEDIKLMKFLGLDSFRMSISWSRVIPHGKLSKGVNKAGIAFYNNLIDELLANGITPFVTIFHWDVPQPLEDEYGGFLSPRIVDDFLDFAELCFKEFGDRVKHWTTVNKPLTFCVAGYDSGILAPGRCSAWRNNDCPAGNSVAEPYLVAHNILLSHAAISNPYREKYKASQNGEVGIVLNPTWYVPYSNSKADTEAAQRAIDFVYGWFLDPLVFGDYPQSMRRLVGKRLPRFTREQSQLVIGSLDFLGVNYYTSNFAANAHFHNGPNNSYTTDNQVNLTTERNGLAIGEAVLPLYVYPQGLRDVLVYTKNKYGNPTIYITENGFGETNITKVEGGVKDLQRARFYQAHLRAVKEAIGNGVTVKGFFPWTFMDDWEWNSGFTERFGLVFVDFKNGLKRYPKSSALWFKKFLQS >CDP08535 pep chromosome:AUK_PRJEB4211_v1:2:41555913:41564359:1 gene:GSCOC_T00027480001 transcript:CDP08535 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKLEKKKYVLKKIRLARQTDRTRRNAHQEMELISRMQNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKKANGVLFPEEKLCKWLVQLLMALDYLHTNHILHRDVKCSNIFLTKEQDICLGDFGLAKRLSSDDLASSIVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMSAHKPAFKAFDMQALINRINKSIVAPLPAQYSGGLRGLIKSMLRKNPELRPNAAELLRHPHLQPYVLDIHLKHNSPRRNSFPIHWPETNYMKKNRFSAPTKAAISTYREKRFSFGGDRTLNPSVSEAEHDYPFSDQTIKNNPKFLDRRMEEVSVDSVHEVTSVTKRITSKVSSVSKNQKMSVTKPSSTSKRPGELSKNRELLLASRIAAKRSAFSTRRASLPLSSKGEVRESGRRPSLGILDCVNSPDVSVNAPRIDRMVEFHLDSYEDSIIPIRRASTASVQGSSNSPQGERSIMKDKCTVEVLDKPLGSLSFADAWQGFEGPKLALDREAVSECSDQNATAGASSRTSSDLRRRRFDMSSHQQRAEALEGLLEFSARLLQEERFEELGVLLKPFGPGKVSPRETAIWLTKSFKENTAKQEDQPLVAQPI >CDP09370 pep chromosome:AUK_PRJEB4211_v1:2:23107641:23110633:-1 gene:GSCOC_T00028707001 transcript:CDP09370 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLKGCASKHSSTNKSTLPVVAPLEAILFDIDGTLCDSDPIHCYAFREMLQGVGFNGGQPLTEDFFIKNISGMHNDELCHVLFPDWDFDRAMKFMEDKEQMFRRLASEQLKPVNGLDKLCKWIEDRGLKRAAVTNAPRPNAELMISMVGLASFFELLVIGSECERAKPFPDPYLNALDALRIAPEHAFAFEDSVSGTRAGVSAGMPVVGVATRNPRKLLIEAGATFVINDFQDPKLWGALEGLERN >CDP17981 pep chromosome:AUK_PRJEB4211_v1:2:43773164:43777905:1 gene:GSCOC_T00001237001 transcript:CDP17981 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEAQSYCTNNITPFHMKLVDCSPLLFQYSTFGSLLLFGSMLGAIISGKVADIIGRRGALWFVELLLIIGWLAIAFAKGVWWLIVGRLLLGFGTGVQTYVSIIYVAETIPPNIRGGFTMASQLMVCAGIAVMFSFGNIIPWHFLAVIGTIPCIIQLIGLFFVPESPRWLANVGRQKELEASLQWLRGKETDISQEAAEIQDCNQSLYKQPISRFQELLETRYARPVSIGVGLSFLLPWGGGNGVLLYASSIFDTAGCNVGIATTTMSFIQIPFSVLGVLLMDKFGRRPLLMVDLAGACLGGLLIGVGFLLQDLKLPVDLSATTVFVGMQMFFAFFAFGAGLPFVIIAEVFPMNIKGTAGSLAAFINYLNSWIVAYVFNFLFKWSSAGAFFIFSIIYALLILFVLKMLPETKRKSLEEIQALWTQEVH >CDP13983 pep chromosome:AUK_PRJEB4211_v1:2:4818402:4821431:-1 gene:GSCOC_T00039139001 transcript:CDP13983 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNEYNDLFSSLISEIKNYTGRDPLLPWLRGIRKMKELVPPQLLKEKLPRFLQKCAQTFQSDRRYTNDLRYLRVWLQLMDFVDNPKSVLRTMEENRIGMKKSLFYQAYALYFEKMKKFEAAEKMYHLGVQNLAEPVDELQRSYEQFLHRMERHKNKKNRCQGRITSNVPLSSGICLKDYDRGRKESECLKPIGTWLVDDVKMLSQSSQHEMQAKDADDALAASDLSDAQVSSDSTLKRGVMGDIISRSYPEGTKAKSSDNLKHYTDDTVVVKFVDTAIVEQSDVEDARHHGLVEPTINTKEAMNAINNMFQEPLEPSQIGRRSRRNQSKTDTSLNSKLEIFVDGIIETSDVQSCQNLRGDSSLQTDSSRTHPPLQEQLQIFIDDEENENVTEMVTGMDIADRNKDQNSARGAKVSKEHLNGFVFPLPLDISLDSSCKILDAAKPQEVPVREETVYRFVGSTISDEPAVENVCHHGLVEPTINLKEAMDDINNMFGKPIEFVRKRRPKKKKDETNYSRSNSSGFLILPDGDLDNELGKTIPKLSTNNENDLSEQTVCTREAIAEINKMFGMPLDF >CDP08705 pep chromosome:AUK_PRJEB4211_v1:2:53678758:53679270:-1 gene:GSCOC_T00027765001 transcript:CDP08705 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRKWSLLTGPVAILGSIVGAVVVANYLFIENVLAWFVYRCSC >CDP09389 pep chromosome:AUK_PRJEB4211_v1:2:22916078:22916968:-1 gene:GSCOC_T00028735001 transcript:CDP09389 gene_biotype:protein_coding transcript_biotype:protein_coding description:FCS-Like Zinc finger 3 [Source:Projected from Arabidopsis thaliana (AT2G44670) UniProtKB/Swiss-Prot;Acc:O80506] MKSAALYFAGCDEQPHFLDSCFLCNRPLAPNSDIFMYRGNTPFCSQECRQEQIEIDEANERRWNRSSNTNRKTADSRKESNEGVRTGAVAVA >CDP17987 pep chromosome:AUK_PRJEB4211_v1:2:43582254:43589915:-1 gene:GSCOC_T00001246001 transcript:CDP17987 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBK2 [Source:Projected from Arabidopsis thaliana (AT3G05140) UniProtKB/TrEMBL;Acc:A0A384KHI2] MINYILAPGLLPPRPQLKSGEDPSTTLESALERKGSMAGKFTCLFPSASAQDLRRFEMDGEKHDESSPRGVLEACVTDLDNESGSPRTRCTASKSNSSMSSRWHKFFKLWKKSSIKRLPSFPPLASRRRSRTLRDHVDNDCCPVKSEWKNFNLADLQKATNNFSQENLIGKGGYAEVYKGCLPDGQLVAIKQLNKGTPEEQIISFLSEVGIIAHVNHPNTAKMIGYGVEGGTYLVLQLSSQGSLGSLLHGSKEKLNWGIRHKIILGTADGLLYLHENCQRRIIHRDIKADNILLTEDFEPQICDFGLAKWLPNQWTHCNVSKFEGTFGYFAPEYYMNGIVDEKTDVFAFGVLLLELITGRKALDESQNSLVLWAKPLLENNNLSELVDPSLGNEYNPEEMDRVILTATSCIEQDPIERPQMRKAKTWKRKMVVTLLRGNVNSLASLMEKHDRCLRRTYSEELLDADEYNSTKCLSDVNQLTQTALNS >CDP19509 pep chromosome:AUK_PRJEB4211_v1:2:21372634:21372840:1 gene:GSCOC_T00002337001 transcript:CDP19509 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGTTFRLMLGGVFGVYVAQNYDVPNLRELARSGLAMAKQVEETNRRRCPLDKINDFGQDPSRKKI >CDP15181 pep chromosome:AUK_PRJEB4211_v1:2:49812682:49814429:-1 gene:GSCOC_T00042790001 transcript:CDP15181 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTMLVKPDPSSSSASSTAPTTCGNCGVQERRLLHHVRHRGIFRRLCTTCVLRLHPQSFCPTCFAVYHPSPPPSGPNDAVTCFKCYSSSHIHCVGPNYPNPYVCPNCVNPNSPIFTLKKAKDLGIEGSEAANGNNGEYRVIDKKAAKVLLAAARIAAVSMSKAAVAARAEAERRAKEAAFTRKRAREALEHVAYLVAKEKLKKKESAAAATAVEVLGVAGRSSGVKVTNVSGNNVNSNVSSVNVGVVGVGREGRRAGNVERVDGSNDVLAALNAVELREGEKNGDVKVDNVIDVVPMDVEENGTVQLTAKKSGNIDHVKDPNGVMENVSNGDAVVLPAEDQVRHAEKSLSLQQNHN >CDO99966 pep chromosome:AUK_PRJEB4211_v1:2:7384566:7388651:-1 gene:GSCOC_T00029702001 transcript:CDO99966 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] METGKEEKEKETLVFLDRASRATRGKRMTKLLDDEVEEDEAFWNQDALKEEEHDDVYEEEAEVADVFDSDFDEDEPEPDEEVENEPDDRTRPKKRLIFPGKTISKNKKKKRVHKSERVPREERTSEQSTPPEHHDAPEDVEVERTIRKSTRTSVIVKQAEREAIQAALQATIRPIKKKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAVVHKAVYTGPQIRYLSRNGSSFLEYMNGASFESEISTESHPYAEKAICAVTGLPAKYRDPKTGLPYATKEAFKMIREELSDKSRSISEKKSKELLSEAIPEQGFSQRRKRTMFPKRETSYFRPFARFRRFPASEIEDSE >CDO96859 pep chromosome:AUK_PRJEB4211_v1:2:14031429:14036950:1 gene:GSCOC_T00014017001 transcript:CDO96859 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLASGNFPVMGRERGERKRLPRVMTVPGVISELDDDQANSVSSDVQSSVAVDRVIIVANQLPVKAKRRPDNVGWSFSWDEDSLFLHIKDGLPDDMEVIYVGHGGRFDRSWWEAYVAANKIFSQKVIEVINPDDDYVWIHDYHLMVLPTILRRRFNRLRMGFFLHSPFPSSEIYRTLPLREEILKALLNADLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYFGRTVGIKIMPVGIHMGQIESVLKFADKEWRLEELKQQFAGQTVLLGVDDMDIFKGVNLKLLAMEQMLNQHPKWLGRAVLVQIANPARGKGKDLEEIQAEIQASCKRINDNFRQPGYEPIIFIDRPVSLSERAAYYTAAECVVVTAVRDGMNLTPYEYIVCRQGIPGSESTSDSNSPKKSMIVVSEFIGCSPSLSGAIRVNPWNVEATAEALHEAISMQDAEKQLRHEKHYKYVSTHDVAYWSRSFFQDLERTCKDHFRRRCWGIGLSFGFRVVALDPNFRKLSIDDIVSDYSRAKNRAILLDYDGTVMPQTTISKIPSQEVISIFNKLCSDVKNTVFLVSGRGRDSLGKWFSPCKNLGIAAEHGYYLRWPSDGEWEMCGQSNDFGWMQLAEPVMQLYTESTDGSYIENKESAIVWHHRDADPGFGSSQAKEMLEHLESVLANEPVAVKSGQFIVEVKPQGVSKGVVAEKIFASMTERGRQADFVLCIGDDRSDEDMFEIIGDAMNSGVLSSNTSVYACTVGQKPSKAKYYLDDTSEVIMMLEALADASDSVPSSVAFTESSD >CDP18665 pep chromosome:AUK_PRJEB4211_v1:2:34462541:34483803:-1 gene:GSCOC_T00006395001 transcript:CDP18665 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDKSKSCFASPMPTIHHTEIYTQYQTKMRVRWLSVSATMAILLSIASLPLLTQNTINNYTTFTSSFSNFLKIFRSKTVQRGVADLIVTNGTIFTSDDSLPFAQSMAIRSGRIIQVGDYSSVQDLAGPGTRELNLEGKMVVPGFIDSHVHLISGGLQMARVELHDVKSKDHFVDKVKEAVANMKQGSWLLGGGWNNDFWGGELPMASWIDDITPHNPVWLSRIDGHMGLANSLALKLAGTSSYMNDPDGGAIIRNSNGEATGLLVDSTMKLITSCIPEVSVDVRREALMRASNLALMRGVTTVVDVGRYFPGSSTETSWEDFSDVYTWADLVGKMLIRVCLFFPIETWARLRDLKYKAGPKLSEKIYLGGVKAFADGSLGSSSALFHEPYVDEPHNSGLEVADINSLHGMTVSADKAGLQVAIHAIGDKANALILDMYASVVSHNGFRDRRFRIEHAQHLVPGMASRFSEQAIIASVQPDHMLDDADSAIKKLGIERATKGSYVFQSLLASGTKLAFGSDWPVADINPLGSMRTAMKRIPSGWHEAWSSSECMNLSDALKAYTIWAAHGCFLDKDVGSISPGKLADFVVLSTDSWDEFSREGSAYVEATYMGGIRAFP >CDP17838 pep chromosome:AUK_PRJEB4211_v1:2:27639171:27640244:-1 gene:GSCOC_T00009466001 transcript:CDP17838 gene_biotype:protein_coding transcript_biotype:protein_coding MYENIEDFLQSPNNLMPVRYAYSDIRKMTNNFNDKLVEGGYGTVFKGKLRSGPLVAVKMLGKSKANGQEFISEVATIGRIHHANVVRLVGFCFEGSKRALVYEFMPNGSLEKYIFRKETETTSLSCEKLFDIALAIAKGIDYLHSGCEMQILHFDIKPHNVLLDERFAPKLSDFGLAKLYPTENSIVSLTAARGTLGYMAPELYYKNIGGVSYKADVYSFGMLLLEMAGKRKNLNPLVDQRSQIFFPSWVYDQLSKGNSIEMGDASEDERKMFKKMILIALWCIQMKPINRPSMNKVIEMLEGDGELLEMPPKPFQNPDEMPAPEAEDGGNDAEETADFPLLSLDRVDSSDMSMDQE >CDP08887 pep chromosome:AUK_PRJEB4211_v1:2:52039867:52042178:1 gene:GSCOC_T00028014001 transcript:CDP08887 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDAGMDVSIDMLSAEEWLSRAQELVPVALKKAREVKVFPGRWKMIVSKLEQIPATLSDLSSHPCFSKNALCKEQLQAVSKTLYEANELSELCIHEKYEGKLRMQSDLDALSGKLDLNLRDCGLLIKTGVLGEVTLPSTAGSTSAEPEAALHGNMRELLARLQIGHLEAKHRALDSLVEVMKEDEKNVLAVLGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGSPVGKEKATISLQRLSMSAETARSIVGHGGVRPLIEICRTGDSVSQAASACTLKNISSVPEVRQTLAEEGIVKVTINLLDCGILLGSKEYAAECLQNLTSSNDSLRKSVILEGGIRSLLAYLDGPLPQESAVGALRNLVSSVSMEILVSLGVIPRLVHVLKSGSLGAQQAAASAICGICSSTEIKRLVGEAGCIPMLVKMLEAKANGAREVAAQAISSLMTLSHNCREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSSLALLSSSKKCKKLMISYGAIGYLKKLTEMDIPGAKKLLERLERGKLKSLFIRK >CDO97688 pep chromosome:AUK_PRJEB4211_v1:2:21054131:21060257:1 gene:GSCOC_T00015097001 transcript:CDO97688 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNVFKFCAALRGLGSIMILVVLGVVGVTYYAVVVCNYAPALVDGDLDSLIAVAVLILFHSLLVMLLWSYFSVVFTDPGSVPPNWRPELDEERGDTDPLTESEFGTASSDPVNLRVRYCRKCNQFKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLATLALLPHFVAFFSDGEIAGTPGNLATTFLAFVLNLAFALSVLGFLIMHISLVAANTTTIEAYEKKTTPKWRYDLGRKRNFEQVFGLDRRYWFIPTYSEEDIRRIPALQGLEYPSKPDFDAQEF >CDP17969 pep chromosome:AUK_PRJEB4211_v1:2:43928085:43929476:1 gene:GSCOC_T00001216001 transcript:CDP17969 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQLYNACSAGSFPIVHILLATRKISLFLSITPIQNTIFFRPLPSRCDRRRGRRQLSGF >CDP08824 pep chromosome:AUK_PRJEB4211_v1:2:52694353:52696892:1 gene:GSCOC_T00027931001 transcript:CDP08824 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKILNYRTTLPGQLKSALSSILAAQRPVLPTHFDSGPESQPGPAHDPNPDVVRPIGSGNEALLTGESQETEKIRLLKQKISNNASMMPVVLKRMKDCMARIDNLDSCNGIIIHPAFKRKRSS >CDP00034 pep chromosome:AUK_PRJEB4211_v1:2:6760632:6763019:1 gene:GSCOC_T00029794001 transcript:CDP00034 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASKVSLKLLIDTKSRKVLFAEANKSTVDFLFHILSLPVGTIISFWASKECEVAWPTYTRALRV >CDP16535 pep chromosome:AUK_PRJEB4211_v1:2:42160360:42166676:-1 gene:GSCOC_T00018909001 transcript:CDP16535 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTRWIPRILLFLALQKSWVHFVNSSPSNNAELKLMQNSKSGFEDYMVSGSESAYQQLCSEITVEFNDCSREVLDLESLFRSADFFREDLACLLKSVQTQEKQKLQLTATIQVLKKVGRPSERLVSHENCRFNRAIGHQCVHINKITEASGTEEAEADAEYDNALKEAIKGVQNAVITINEHLEEVRYEIAALETE >CDP05262 pep chromosome:AUK_PRJEB4211_v1:2:2805350:2808050:-1 gene:GSCOC_T00020243001 transcript:CDP05262 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKMGERKKKDSKKSRTSEWPLIKPKSNLEITRLTDNDLFTVNGFFSSGESKAFIKAAESIGFVHQGSLGPTRGEAYRDNDRISVQDPVLADAIWSSGLNKLLSDLKIRGKTAIGLNPNLRFYRYKAGQRFGRHIDESIDLGDGKRTHYTLLIYLSGGSRSKTRNDNTQDSASETIVGGETVFYGPRNALVAEVPPTEGMALLHVHGDRCMLHEARNVHTGVKYVLRSDVVFA >CDP18256 pep chromosome:AUK_PRJEB4211_v1:2:48644446:48648612:-1 gene:GSCOC_T00011822001 transcript:CDP18256 gene_biotype:protein_coding transcript_biotype:protein_coding MENVGSFVFDDTGRNARKKRSIRPRRRVDDSQSCLDYRDNSSLSSTPVSDSMSKPSSEENLSHAAATGGKESTNQSVSRASYDNLGDAETVSKMSNEVGRLGESNDAGISKLKKVKLKVGGVTHTLHTKTVSEASSFAGSSSTKSSLSSDGPPVHPKLIAQDNENTGRCTSKDKGSGLQGIPWKDFSKSGFTVKKHVISLGKMPGQSVSTRQSGALESSRKSKRVPKKRSLGEVFDDGEDNSDDEIRYLEKVRRLRLSSSHGTEFEDVDEVGSKKQQNASRLSYGDIYSNDVDLRYHNSSSLGKESRKSRSVKASEDADYFEDEDSFSDDEPEPKRKHSNELIDESGDFKREMAITTRQRALKSARSTSSPSGASLIEFPNGLPPAPPKKQKEKLSEVEQQLKKAEAAQRRRMQVEKAAREAEAEAIRKILGQDSSRKKQEDKMKKRQDELAQERAANNVLASNAVRWVIGPSGTTVTFPDEIGLPRILESKPCSYPPPRENCAGPSCTNAYKYRDSKSKLPLCSLGCYKAIHEKMQAAAACLI >CDP17172 pep chromosome:AUK_PRJEB4211_v1:2:50792342:50794385:1 gene:GSCOC_T00006345001 transcript:CDP17172 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIGKTTLTLAVYNNWKILSLHFRYGEPGSRVIVTTRSTLALSIVRTGLEYNLQHLFDEDCWEVMKQMVFLELNIQVPEKLEQIGKEIAKKCKGLPLAAKTLGSFLHSKCDEKDWYSILESAFWDLEQDKNGIIPFLALSYYHLLAHLKNCFAYRSIFLQNHEFEVDDLILLWIVEGFAEPNGGRRLEDIGKDYFKDLLRRSLFQCLSDNPNSPEICKMHDLIHGMAQSVSSNVCYWMEIESMNWYPSYGNIRHLSLSHEKSTRLPGGICKLLALQTLKLINCDELRELPPGLKNLINLRHLDLNMWGKLDFMQSNLGRLTNLQTLCVFKVGKDEGCVIQELGNMRFLRGSLCITNLNFVANETQAKEANLKEKPCLDKLELEWSKDIADSNHQAEVLEGLEPDMNLKELVITNYSGNGFPGWLSLPQLMLTSIQLQGCSSYSILPALGQLPLVKLLDIEGMSSLVHVGQTFCASNATIRFPSLASLKFHNMPSWTGLENNDMPRLCLLAFEDCPELTELPPSLDNHDSLQLTIT >CDP05339 pep chromosome:AUK_PRJEB4211_v1:2:3424641:3426896:-1 gene:GSCOC_T00020344001 transcript:CDP05339 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDVENPKDGHATKAGHGLNVPPSSPALETTRSTWYGSLIQQASVYGVAAGYCISASLLSIINKWAVMKFPYPGALTALQYFTSAAGVLLCGWLKVIEHDKLELLTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLCLNQPWPSLKTWGSLVTIFAGSVLYVMTDYQFTVTAYAWALAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPVELFIMGELKKMKDDISDESDWSSFQVVLPVGLSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTIIGTVGLLICMMGGVMYQQSTSNKANAVKESKAQEREEEQQKLLEMQSNVEQISDSGERES >CDO99684 pep chromosome:AUK_PRJEB4211_v1:2:9857122:9858792:-1 gene:GSCOC_T00029355001 transcript:CDO99684 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGFKGDVDECYWAPYMSSTCDYDALLPPPASLAYSSYEVNEPRLYSYNFFDSYATQPVQNYSVHSFSEPRLIQYERPPSYGVDSFYSRANFTISYSTLEFNEPEWDEYDPTPYGGGYNQTQTYGKPLPPSDAICYPRSSPQPNGELLGGFSYASTPSAYGEKDGHSTKPDDGRKPTDTKEQEQESLDGGADTVTEGTDDNVKDDDDLGKPLEEKLGDVDGKLPEREPRDFDEIPSSDYGRNGGYGHEWNKQVPYIPHGSGLESLDLCESIFGYWPCLAKIERQQKCPVCDEANRNDPWKSTADYLFGSPYGYGEQQDGGSGNYYDRSGSYYQYQHQYLQQ >CDO97468 pep chromosome:AUK_PRJEB4211_v1:2:19264667:19265955:-1 gene:GSCOC_T00014817001 transcript:CDO97468 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAAVLNGLGSPFLTGGRKSQALLASPAAARVGGAAAVAPKRLVVVAARPPKKSWIPGVRTGPGFLDPEYLDGSLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMTAVVGIFVGQAWSGIPWFQAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSHARLAMVAMLIFYFEAGQGKTPLGAIGLSAV >CDO97612 pep chromosome:AUK_PRJEB4211_v1:2:20372385:20380341:1 gene:GSCOC_T00014999001 transcript:CDO97612 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRKGGKKGKAKKPRTLSTNEVAQSLVTSNTEDNPVMNELDNDEFDSGPEAESPSSTGADLPEKPPIVDEKPKTLNPGHPNDDTKPKLVYGRVKVKIKASKALDLQLTSSDAPTHSDTEKSGQQVEVENQGAPSEKMEDSANSLPESNIGNSGNSSKRSGSIKLKSSRGFSSSSMSPCSNVEMMKGESTQQKEPGVLRPNPQHDKQELDASLEVIKKVMKMEAAEPFNVPVNPVALGVPDYFDVIDTPMDFGTICSNLESGIKYMNSEDVYRDVQYIWDNCYKYNNKGDYILELMKRVKKNFMKHWTAAGLYSDHAEGTNGSDTIQAMDVTPSIHGKMPVKNGALNAASKKRHGLKKHKDGCLCAICVMMRRRQDREETSRMLDDQFDAGDGYMDEEVKPEEASPTGSPGGDYSLANMDNSQEQVADADLEEKGDEMKLQDTENISQQQEDKPEDDKENLLTDEGKEEGEICEQLDQRSKDEHDAQNHPPNLESGDDLSNKASREKTPLQHEDNTAAIEQRKTKELLDKNQRAKMYERLRYLENPMLFELCGTLFDDKNKSVWTGPHSLVHQERFPRKSSILAAITSFMK >CDP15543 pep chromosome:AUK_PRJEB4211_v1:2:46528388:46533410:1 gene:GSCOC_T00015418001 transcript:CDP15543 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADEDLKSRRTVFVTVGTTCFDSLVRAVDTQEVKEELFKKGYTHLLIQMGRGSYIPAKSTGENGSLEVDCFTFSSSITDYLRSASLVISHAGSGSIFETLRLQKPLIVVVNEDLMDNHQSELAEELAERKHLFCARPQTLYQTIADMDLGSLIPYQPGDAAPVAKLLNSFLGFPDD >CDO97246 pep chromosome:AUK_PRJEB4211_v1:2:17570971:17573882:-1 gene:GSCOC_T00014518001 transcript:CDO97246 gene_biotype:protein_coding transcript_biotype:protein_coding MENPQVKEILEKQVLTVAKAVEDKLDDEIAAFERLDTDDLEVLRERRLQQMKKMAEKRSHWIGLGHGDYYEIPNEKEFFSIVKASERVVCHFYRDNWPCKVMDKHLSILAKQHIETRFVKIHAEKSPYLAEKLRIVVLPTLALIKNAKVDDYVVGFDELGGTDGFSTEELEERLAKAQVIKFEGESSLNSSRSRGQTKRSVRQSSNADSSDSE >CDP17213 pep chromosome:AUK_PRJEB4211_v1:2:47859051:47859743:-1 gene:GSCOC_T00000686001 transcript:CDP17213 gene_biotype:protein_coding transcript_biotype:protein_coding MRADDAVHLFEEMQCKGLTPSTVTCNIVLQGLFRVGRSRAARKVFDKMLITPIISDWYTYCVMLDGLCKGGHIEEALNLLHKMEIQRLDLKHITMYNIILDGLCKSGRLDGARDLFNSLFLKGLDPDVITYNTMILGLCSIGLLKEAKGIFIKMKENGCLANGITYNVIVQGLLLGGKYDDALVHLEEMDNRGFLLHSHTFSKLLNSLKKSENDPYLLKKIQKFVPNMKE >CDP00031 pep chromosome:AUK_PRJEB4211_v1:2:6772578:6778337:-1 gene:GSCOC_T00029791001 transcript:CDP00031 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDAPSGGEGGGGCEGGLQRPYQVVGGRKLFWRSASWSSSRTTLPPLNPYSEKDGLDPPENANGNGQGRRVSGPLTPRSQNSFKNRSCLPPLQPLSIARRSLDDWPKAGSDDIGEWPLPSTPSGRDVNNGGERLKLDLSNIQRNSDRNGGLVKREKIAFFDKECSKVADHVYLGGDAYFKADFVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLVRMYRIAPHSPYDPLHLVPKMLNDPSPSALDSRGAFIVHIPSAMYVWIGKKCEMIMERDARGAVCQIVRYEKVQGPVVMIKEGEEPSFFWDAFSSLLPLMDKSSSNVDVVDASIKICPGNRIIDSYSVDFEIFQKAIMGGFVPPFASSETEQETHLPARESSWSVLRRKFISGNMKEFVSAMKSGVSIVYPDSLFIVEEQCRAQQSHSSASSLLSLMPSLSSSSSSSASSSSLSSLSSSSSSSSSSPPYLSPDSSSDASINSTIQSDSPDMSPSAASGPGLTSSLLSNLCNMTLLSSKISPQSISKSSKFIDVNFSSESCLRSASTASKKFPLSIAERRGSLSKSLKLQMLTDDSQGREMPTNSLTNEQDTVTTKHDACSLSKSLETQDSLDSEEQDVMHDELKLQSPLGTVGSCHADAAFRKNSDLHIVNHHLGKEESAISNGTLENGMASSGFIKPIVYRWPSLEKVVTFGSDDFDSQSAFVVITSSSCPTFGKTGAGILYFWVGRLFNCNSWNTKLESNQKFGGLVEFDWKQASSDVISRLGLPNDIKIEIIKEKNEPAEFLSLLKYLSFLRA >CDO97255 pep chromosome:AUK_PRJEB4211_v1:2:17630202:17633794:-1 gene:GSCOC_T00014528001 transcript:CDO97255 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCYRPLERCLGRMSGGGGGGDGLLWHMDLKPHASGDFSIAVVQANSSLEDQSQVYTSPSATYVGVYDGHGGPEASRFVNRHLFPYLHKFAGEQGGLSADVIKKAFSATEEDFTQLVKRSLAVTPQIASVGSCCLVGAISNDQLYVANLGDSRAVLGRRGFDGESGSVVAERLTTDHNVSCEMVRREVEAQHPGDSPIVIYCRGVWRIKGIIQVSRSIGDIYLKKPEFNRDPIFQQFGNPVPLRRPVLTAEPSIICKKIQPQDLFLIFASDGLWEHLTDEAAVEIVFKNPRAGIAKRLVGAALREAAKKREMRYKDMTQIEKGIRRHFHDDITVVVIYLDHQKSKLSPTKRHGTVGCISAPVDIFSSNSDEEMDDAYGRSFLSKDNQIRAVY >CDP17821 pep chromosome:AUK_PRJEB4211_v1:2:27190628:27209382:1 gene:GSCOC_T00009440001 transcript:CDP17821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 5 [Source:Projected from Arabidopsis thaliana (AT5G15920) UniProtKB/Swiss-Prot;Acc:Q9LFS8] MCEAFASGKVVPKKDILEVIQRFNIQINNLTQFLPQDRVCEFAKLTPVQLLEETEKAVGDPRLPIQHRSLITKSQELKKLERTVESNRGSLIQLKGLNAQLERDVERVRQREELLLQVESMRKKLPWLQYDIKKAEYLEAQGWEKEAKKKLDEAANALNQHKIPLEKQKQEKTAHDANCKKLFGLLDSNTKKRLQIEKDDNSLGVQVQGTYNEIEELKLQEESRQRRVNKAKEELQAAELELDNLPVFEHPKDKIEELFAQICELDDAAREIRSAKSQKEGELNQCRQNQRQCVQRLEDMESTNHKRLQALRNSGAEKIFEAYKWVQEHRHEFRKAVYGPVLLEVNVSNRVHADYLEGHVAHYIWKAFITQDPADRDFLFTNLRSFDVPVINHVGDEVCHRRPFQVTEEMRMLGIYSRLDQIFEAPYAVREVLISQFGLEYSYIGSKETDQRADEVLRLDIMDLWTPESHYRWNQSRYGGHISASVDAVQHSHLLLCNVDVREIERLKSKRAELDDRISTLGRDIQAFQSELRHVEDKGANLHREREEINSNYQSQMRKQREVESRINQRRLRLKSMEEKENPDLSLLKLLEKIEELKVKRLQLAIQLKDSIIDAVAYRRDFAEKNLVSIELEDKMKEMEANMKQQEKLSLEASVHCQNCKKKVDDCRRELSAAKKHAESIAKITPELEQEFVKLPATVEDLEAAIHDTISQANSILFHNPNVLEQYESRQVKIDDLTRKLDEDENKLNKLLDEINSLKGSWLPTLRNLVFQINQTFSRNFQEMAVAGEVLLDEHGMDFDQYGILIKVKFRQAGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPVNERKMFQQLVKAASQPNTPQCFLLTPKLLEGLQYSEVCTVLAIMNGPWIEQPSKVWSCGENWGSIVGLLGGSQC >CDP14005 pep chromosome:AUK_PRJEB4211_v1:2:5006634:5010775:-1 gene:GSCOC_T00039164001 transcript:CDP14005 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAHEHHHHLPNPYATTAAPPPTPSTQPNEAADALSCLLHRLPPNLTLSLPTRHSSPPATAVATNPPLISLSDPPESLRSNLLSAGSQFGFFQLTNHSIPSQLATSAESDALSLFNLSPEEKQLHFPQNWPLGYDAGDDDDEESTISGESSVCLDSACSSESTELNLTSLREFTADMAKLGLQLVEELSCAFGFDNPARPDPNKVCSLMWISDSRGTSSNKPALSGRIYPYAIGLQYQIRCQKYSILTDSGWTAVSPNVDTILATLGDIAQVWSNGKIKKARGRAAPNLSGANNSDCVSMTLLITLPVDATVSPLLPKLDSGNGEERDPENDCSSKSAKEERIFNSFSFEDYAWRIYHERLHSKDPLLRYRAQLQRPLLFHTSINDA >CDO97698 pep chromosome:AUK_PRJEB4211_v1:2:21118476:21123484:1 gene:GSCOC_T00015110001 transcript:CDO97698 gene_biotype:protein_coding transcript_biotype:protein_coding MRACSRWRIFLLSVPMIFFLPHILSVLKLQEDLSAQNLHRDKPKKFDHLVLGAAAGVGLPDRLQCEGTRALNRTQFSTFSENSINGDQISFVTVFTTYNSSLDVQIDRKVIDLVTVGNKSYNKVNRSLAILNVFIDFIQATMSHSKVIILTDPSSELPVHRNRVTIHPIQGEYSRDRLMLQRIRSYIVFLETRLEEYSLGKGQINHYIFTDSDIAVIGDLGQFFRDYPNFHLALTFRNNKEQPLNSGFIAVRGTIDGMKRAKAFLEEVLKVYISKYMRASRMLGDQLALAWVVKSQHSFDARMFTKKQPFLHDIDGTSVLFLPCAIYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLEAWDFFSSSNADISDMLCLILKSGRTKYDF >CDP18236 pep chromosome:AUK_PRJEB4211_v1:2:48420949:48422291:-1 gene:GSCOC_T00011797001 transcript:CDP18236 gene_biotype:protein_coding transcript_biotype:protein_coding MRATKCAKLYHYAIYLVKPAIMVIAYTIWLRN >CDP04949 pep chromosome:AUK_PRJEB4211_v1:2:348997:353263:-1 gene:GSCOC_T00019822001 transcript:CDP04949 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVIVVCCVVGFLGLLSAATGFAAEATRIKGDQVKFPSPDVCVYPRSPALGLGLTAAVTLMIAQVIVNVATGCICCRRGPHQANSNWKLALVCFIVSWFTFVVAFLLLLTGAALNDQHGEENMYFNYYYCYVVKPGVFAGAAILSLASVTLGLIYYVMLTSTKNVIDAWGGSSAPVPGGIAMGQPQFPAQNTEAPVFVHEDTYMRRQLA >CDO99887 pep chromosome:AUK_PRJEB4211_v1:2:8041497:8045177:-1 gene:GSCOC_T00029594001 transcript:CDO99887 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ domain-containing protein IQM3 [Source:Projected from Arabidopsis thaliana (AT3G52870) UniProtKB/Swiss-Prot;Acc:Q9LFA4] MELNGQSLSTSESLKENDDSESRTAAVKLQKVYRSYRTRRKLADSAVVAEELWWHAIDYARLNHSTISFFNFSKPETAASRWNRISLIASKASIRMYKLKKLAFQHWIEAVDPRHRYGHNLNIYYDEWFGRDTGQPFFYWLDIGDGTKLDLDECPRSKLRQQCIKYLGPQERLHYEYVIAEGTFLHKQTGDLLNTTSGLKRAKWIFVMSASKRLYAAEKKKGYFHHSSFLAGGATLAAGRLVAEHGKVKSISTHSGHYRPTNENLGIFLAFLKDKGVNLEEVQLTLLSKNLYHNINELKPLPSIAALMVACLTSSYIRQVLPHAKEYEDDKLQIANCPEVTHKELLQRIESNREANSNQLGHQVSLKWSTGAGPRIGCIADYPHNLKERALKFIHLSSKDLQSSPSPQSTSQVLKLRNPCKMM >CDP00116 pep chromosome:AUK_PRJEB4211_v1:2:6106095:6107012:-1 gene:GSCOC_T00029914001 transcript:CDP00116 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKRVTALATWCPKKGCSFFVPRGSPVGRSHELLPPKDWMSQNVLCKELHLAVKLNSFRKKP >CDP13820 pep chromosome:AUK_PRJEB4211_v1:2:32731875:32737776:1 gene:GSCOC_T00038886001 transcript:CDP13820 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVALKPQLLRLLLREYIPDEKHSFRNPSDLSHVVSAVKTHRLLWEWAPSSTDQKLSDGWKSAVDAWVNRVSALASSSMKLAHGLALLPKSRGDEDSWSLMMQVLIFINNQLNVMFQVLEEEARSSEVVRLLLPPGKDPPPPLGGLTASAKNSDQAMKRPEQVLVSRVSTLMTCCCTMLTDAYPVQVSVPVRSLVALVKRVLMVDGSFSQSSPFMTAMRQDLISLELPELHRCSLELLSSTVKGLRSQLLPHVADITRLLTEYFRTCALPELRIKVYSIMKVLLMSMGIGIAIYLIQEVISNAFLDLDPHGRESGGSYSAARSKALQDALQQCFQRKRKHPTSAESVGDQSAKGGLEVETSQNMTAISVRIAALEALEALLSVAGAMRSDGWRSNIDRLLITVATNACKVGWADNNSTVVYGEATPIWADFQLAALRTLLASLLSPGRVRPSHLAQGLELFRRGSRESGTKISEYCCHALLTLEVLIHLRALPFIDLQSAVDHYGSASLNFPDVHFADHRKSTSFHFSTLGKEPSQPESGDDDLYERWLANGDETDVNDLGKYASSDKEPPGTSTHPALEKLPRCGSPSERNKREGAEFGESMAVAADKVPVDGDEIMVDLPTPESYKQTEEHDHIEGRILVATAGGHTATESEDWFQAVLHQLMVIQILL >CDP00104 pep chromosome:AUK_PRJEB4211_v1:2:6204806:6213023:1 gene:GSCOC_T00029899001 transcript:CDP00104 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQFGATAESLSKASTMVFRIGTDAHLYDDPDDVNIAPLLDSKFDSEKCEALKRLLALIAQGFDVSNFFPRVVKNVASQSIEVKKLVYLYLLHYAEKRPNEALLSINYFQKDLGDTNPLVRAWALRTMAGIRLHVIAPLVLVAVSKCARDPSVYVRKCAANALPKVHDLRLEENTAAIEELVGFLLNDNSPGVIGAAAAAFASVCPTNLSLIGRNYRRLCETLPDVEEWGQIVLIGILLRYAIARHGLVKESIMLSSRDLENIVSENGASDTYQKGNEDSNAYFGLKESNADLTNGVCKSEVVDIVSRSYLEGPDKYLSKHRCADVLSSELEGLHFTSAKDNEDIKILLLCTSPLLWSHNSAVVLAAAGVHWIMAPKEDIQKIVKPLLFLMRSSNSSKYVVLCNIQVFSKAVPSLFAPHFEDFFMSPSDSYQVKALKLEILSTIATESSISSIFQEFQDYVKDPDRKFAADTVAAIGLCAQQFPKVANTCLEGLLALASHATDGEAIVLLQAIYSIKAIIGKDPSSNEKVIVHLIRSLESIRVPAARGMIIWMVGEYSDIGNVIPKVLPVVLKYLARCFTVEEVETKLQILNACGKVLLHSKEEELWAYRKVLSYMLDLARCDLNYDIRDRARFIKELLSCYIGSSDTEEGKAQQESRDVSRVLAGRIFGGQIKAPSSEQFSARFYLPGSLSHVVLHAAPGYEPLPRPCSLNFEDPSINSNIVEGAKRPGDGATQSESYTDDPDSVSGSLNEESTSDYSYADSIGGSDGTGGSNVSSSLSEVDVHKEPLIHLSEVGYPNANPDGGSHVDNPYSGSNNLGELISTRSLESWLDENPNSGHNLSEPSSIRKSSARISIGHIHGRVEPKICALLDPANGNGLSVDYAFSSELSSLSPLLVCLQVSFKNCSTEPMMNLQLVEDNKRQDSSDHASAMTESSSHGDVPSLVPMEEIANLGPSETINIMLQVRFRHHLLPLKLVLWCNGKTYPVKLRPDIGYFVRPLPMDIGVFSAKEAQLPGMFEYTRRCIFTDHIGELKKGDKPGTNDQFLVICECLAVKVLSNANFFLVSVDMPVSANLDDASGLRLRFSGELLSNSVPCLVTLVLEGTCFEPLNILVKVNCEETVFGLNLLNRIVNLLAEPARG >CDP07743 pep chromosome:AUK_PRJEB4211_v1:2:25636552:25640683:1 gene:GSCOC_T00025096001 transcript:CDP07743 gene_biotype:protein_coding transcript_biotype:protein_coding MYITFGRCLYFNVQSFIVLLLLPALLIPLWINKINRIETEAKLNSNKVHQGILSGIENTAKLLSPTNHSLAYLQRFLSSSLKTTDPPFSAIETRVAPTLFFALSTIPHLSQISYIALDGLFFSYYIRGDQPFAVYSNTSFSSIPHATATNYTWYNQPVSRDTGKLCGEAMRTPPLLDFNSSWFLEVLESGNGYSSVGTGWSTDQDPLLLMSFSIDQRRALSLGFPVEPFTDFFSNDIKVYGGAFYLATNNGTVLSQGIPNTQIVKAGNSVSVQIWKPNGDQIGKVGDVACQSNDSNLTASVLEILEMKYLFYCSPLEIIGVKLVYVLAIPYGGLVSFLHQNVKFALVLLVLMVLAMAISIFTFICSSVRAARREMYLCAALIKQLEATQQAERKSMNKSLAFARASHDVRASLAAIIGSIRLCSVKVAHGSDLQENLTQAETNAEDLLGMLNSILDTSKIEAGKTQLEEEEFDLQELVEDVVDLYYTVGLKKGIDVVLDPCDGSVVKCSHVKGDRGKLKQILWNLLSNAVKFTSEGHVLVRVWAKKPSFENKILSANQKNSIRCMSCFLFQTDGTKEDLEVVNRIQEDPSCMEFVFEVSDTGRGIPKEKRNMVFENYVQVKETALGQEGTGLGLGIVQSLVRIMGGEIAIVEKDRGERGTCFRFNTFFTPCGYGTDSQEHDHDMESHGSQYSSNFHQQPGLNTTIHSPKIEGSHVVLFLSSDERSKITKKFMESQGIDVSVVKHYEYLPQTLKAIKQKLTSSFMSSNSCSGLKDMPLSAFDGKDSLPASENIISTKKTPNFVLIVIDTSAGPFREISRAVAEFRRDLCSTCNRIVWIDGPGAGSINVQGLEEDKLPAMDLIISKPLHGSRLYQVIGLLPEFGGIMPTAKIMERNNYESKTVSVESRSLSYRDHAQLKSRHPSFQNKKIQEIGSSKGEKPLQGKKVLVVEDNKVLQKVATVLVSELGADTHSCRNGEEALVIVCKNLRDRRESGARQTLPFDYILMDCEMQGMDGYEATRCIREEEKQYGVHTPIIALTAHTQNEEKTKIIEAGMDYYLSKPLRKEQLLDAMSHIHGK >CDP13843 pep chromosome:AUK_PRJEB4211_v1:2:33784943:33793033:1 gene:GSCOC_T00038950001 transcript:CDP13843 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLRKNFDVEPKRPSEEALRRWRSAVWLVKNPRRRFRMVADLAKRAEDARKLENFQEKIRVALYVQKAAFHFIRVSRGEQYRISEEIKQAGYGIDPDELATIMRNHDFKRLEQHGGVEGCAKKLSVSIQNGVSSNEIHRRQKIFGVNQFVEKPARPFWMFVWDALQDMTLIILMVCAVISVGVGIATEGWPGGMYDGLGIILCIFLVVLVTAVSDYKQSLQFKDLDREKKNVIVQVTRNGSRQKVSIHDLVVGDIVHLSIGDLVPADGMFLSGYSLSVDESSLSGESEPVDIGKENPFLLSGTKVQDGSGKMLVTSVGMMTEWGRLMDTLNEGGEDETPLQVKLNGVATIIGKIGLTFAILTFLVLTFRLIATKAIHGQIRKWSTNDALDLLNFFAIAVTILVVAVPEGLPLAVTLSLAFAMKKLMNDRALVRHLSACETMGSANCICTDKTGTLTTNHMIVTRLWICGECKIIGSNSSQNALMSTASEEVLHTLLQSIFQNSSGEVVKGKDGKPSVLGSPTETALLEFGMLFYGNSAPIFRESKILKVEPFNSNKKKMSVTVSLPGGGVRAFCKGASEIVLGMCDKLIDKDGRSVLLTVDQRKSLTDVINGFACEALRTLCFAFKDIEGNSSTDDIPESSYTLIAIVGIKDPVRPGVREAVQTCLDAGIIVRMVTGDNIHTAKAIARECGILTDDGTAIEGPEFREKNLQEMKEIVPKLQVMARSLPLDKHKLVTFLRKELREVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVVIMDDNFATIVNVAKWGRSVYFNIQKFVQFQLTVNVVALITNFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPHDGLMRKPPIGRDVNFITKIMWRNIIGQSVYQLAVLGVLQFQGKKLFNLSGPDSTSTLNTLIFNTFVFCQIFNEINSRDMEKINVLRGIFGSWIFMWIIVSTVAFQVVIVEFLGTFADTVPLSWNLWLASIFFGAAGLLVGVVLKCIPVSLERKTTATASSHHDGYEALPTGPELA >CDP18249 pep chromosome:AUK_PRJEB4211_v1:2:48536421:48540012:1 gene:GSCOC_T00011811001 transcript:CDP18249 gene_biotype:protein_coding transcript_biotype:protein_coding MLILALVNNKKLDLAVDGFRRAGDYGFKLSVISCNPMLGELVKNGKVGTMEFVFRQITKRRVDVNLITFNVVINGFCKAGKLNKAGDVVEDMKVLGIMPNVVTYNTLIDGFCKKGGAGKMYKADALLKEMVEKGVCPNKITYNVLIDGFCKADNVVAALKLFKEMKEQQITPSISTYNSLINGLCGERKIDEALGLRDEMVGLGFEPNIRTYNVILNGFCKNKMLEEAKEMFDDIVKKGVEVNALTFNTLIDAYCKAGNMNKAIALHQLMLTQKVCPSVATYNCLIGGYFQEGNLGAANKLLEEMEEKGLTADLVTYNIRIDELCKRGESRKAVRLLDEIFEKGLIPSHITYNTLMAGYCREGNPTAALVVRRRMEKEGKHPNVVSYNVLIKGFCEKGKLEEANSYLNEMLEKGLVPSRTTYEIIREEMMEKGFVPDIDGHLYTDSVSV >CDO97061 pep chromosome:AUK_PRJEB4211_v1:2:16217310:16225466:-1 gene:GSCOC_T00014285001 transcript:CDO97061 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVKKDDDRDDEMDYSPFMGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGETFTKIEATEVFFAVTKLFQSKDVGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSRTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALGLLHQIRQNDRLAVSKLVTSLTRGTVRSPLAQCLLIRYTSQVIRESGMNNQTGERPFYDYLEGCLRHKAEMVIFEAARAITELSGVTSRELNPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPTAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFDYKKAIVDSIVILIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRAAAVSTLAKFGAMVDSLKPRIFILLKRCLFDNDDEVRDRATLYLNTLGGDGSVVETEGEVKEFLFGSFDVPLSNMETSLKNYIQDPSEESFDINSVPKEVKSQPLAEKKAPGKKPTGLGAPPSAPASAADAYEKLLLSIPEFATFGKLFKSSAPVELTEAETEYAVNVVKHIFDNHVVLQYNCTNTIPEQLLENVIVVVDASDAEEFAEIASKPLRSLPYDSPGQIFVAFEKPGGVPAVGKFSNVLRFIVKEVDPSTGEAEEDGVEDEYQLEDLEVVAADYMLKVGVSNFKNAWESMGSDFERLEEYGLGPRDSLTEAVNAVISLLGMQPCEGTEVVATNSRSHTCLLSGVFIGNVKVLVRLSFGIDAQKEVAMKLVVRSEDESVCVAIHEIVASG >CDP08784 pep chromosome:AUK_PRJEB4211_v1:2:53024418:53033022:-1 gene:GSCOC_T00027880001 transcript:CDP08784 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIWILGSSCRNLLCPFFIGYALICTGAHCFQFGQIPAGDDGGGGRDDGFNSTFTISSFTYAQTRLKPYDWRYIKVNLPPWFSSMSINLESDVDLDLRNIREASTSNLPMICFREGSPPLPDVYNTSLTGLVFDYVSNDSIGGIQGLQIVEQCYPMQKIISLRLTNEQISPGVWYFGLFNGIGPIRTQSKMINRGQSYSFSCNVSVEGCTAPMVTGQFCNQTVNLLTCAEGYVVTDSSSGNKTSDLVAENVVVCRNADDGTCHVDNGPKVFSLNVMGFSEELTITASNIRFNSNSTKNASGIILMSYARHGAMPLDTLHDYSGNISKAPLVIPAPKLGRWYVTVQPVSLPNSGGLRELSTAVCYSLEWQVLQCPVDKAGPNCTWKRYMLQTVLRKNPSAPFESYYLPLSEKVLTDSANFPLEPLLSNSSYGGNNDVAWTFFLVDIPYGAAGGNIHIRLTSDDKISYEMYARYGGFPSLDNWDYFCTNSTSSSNGSMFFKLYDSTEKSIGFYILYPREGIWNFGLRQVNPVGSGYTYQTTMSISVERCPQKCSAHGNCQFLLDTSGLSLYSYCACDRNHGGFDCSVELVSHKGRIWQSITLIASNAAAVLPAYWALRHKAFAEWVLFTSSGISSGLYHACDVGTWCALTFHVLQFMDFWLSFMAVVSTFVYLAAINETSKRTIHTIVAIVTALMAETGPTRSSNIVLVLAIGTLGLIAGWLIELCTHHRSFSCSAQFHLNLVDRSAIKEWIRNLVDTLLRRYRWGFVIAGFVALAMAAISWKLENSQTYWIWHSIWHVSMYTSSFLFLCSKAKDPSDRSYVLTRRNSFAGANGEIADSLSRG >CDO97443 pep chromosome:AUK_PRJEB4211_v1:2:19082735:19089552:-1 gene:GSCOC_T00014789001 transcript:CDO97443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G09420) UniProtKB/Swiss-Prot;Acc:F4KCL7] MSSSSKLNVSNPKVWILIGVGVAGIIVLAETRRRRLKSRNAIKEDFGSFVERFELSPFPQPPPPAARLPLSGLTFAIKDIIDVKGFVTGFGSVDWKKTHEVAAKTAVVVTALLQSGATCVGKTIMDEFGFGITGENSHYGTPTNPNLPSHIPGGSSSGSAVAVAAKLVDFAIGTDTIGCIRIPASFCGILGFRPSHGIVSLVGVLPNSQSLDTVGWFARDPSTLRHVGHVLLQLNPLETRKTRRLLIADDLFQLSKVPKWKTIDVFKRVTGKLSGYQTANHIKFGQYISSNVPSLKGFHEELKNQTLISNVKALASVMSILQRYEFRTNHEEWFVSVKPRLGPRVSDGVLAAINTTPENIKILYKIRSEMRTALQALLKDDGILVIPTVADPPLKLNIKKGAFSEFLDRTFALSSIASMSGCCQVSVPFGEHDDYPVSVSFIASHGADKFLLDTIVDMYSSLQDEVSTVSNSPPLPDINGNMETSELLKEKGNAAYKGKQWNKAVSYYTEAIGLNDKIATYYCNRAAAFLELGCFQQAEEDCTSAISLDKKNVKAYLRRGTARESLLCYKEALLDFKHALVLEPQNKAANLAEKRLRKLIS >CDO97005 pep chromosome:AUK_PRJEB4211_v1:2:15713110:15717387:1 gene:GSCOC_T00014214001 transcript:CDO97005 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVDRLVDKTNEKIESATDVLKAILKPVVDEVEEIPWPPRDPETLKLMEKELKQREQEGHLDEGFLSEVTAQLRQAKEDGDKPGLEAMLQKVLQLYASRILSKRSYSTKGNEVLRDEQFLETIIKAPEGEWNKMLIDGMTVGKGEISPEELDNVIKKRIERTLIRTEAGSYQQRVLVEYLKGIQSRSDEIVQLLQG >CDP07695 pep chromosome:AUK_PRJEB4211_v1:2:24846796:24852856:1 gene:GSCOC_T00025035001 transcript:CDP07695 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQEESAAAPLTNPSPKQPLLCEECKHNPAKYKCPGCSIRSCSLPCVKAHKQRTSCTGKKQYKDVVPLSEFDDNLLLSDYNMLEGVKRVAESARRMRIKMCGYSHFRLPLPLKSLRSAAASRRTKLLFFSTGMSKREKNKTYYNYRKKYISWTIEWRFNSTNVVLLDHGIHENRTLYSVIENHLQLGPWKHQLKRFREESLDSLKFFIRKYPKGSRSPFRQLDINAPIREQLANLVILEYPVIHVFLPSQSYDFEVIKDVIPRKAKVKESVSTDDVNQNGVTFKEEEIEEDGSWDTQVSDLTSNNTLAKFKTHRQKMRRDKKSTKSFGRTCLAKANEGTMSYLTSNACNCNPEEVKNKEKSAICDNAVTGFEQGMVDAPPSDLIAHTKRGDSVEIDGVVNGNGGLLGSCGHADSNPIEEGLEEGEIS >CDP13872 pep chromosome:AUK_PRJEB4211_v1:2:4004060:4005624:1 gene:GSCOC_T00039001001 transcript:CDP13872 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWVEVCLISARGLRRTSSVWKRQWFAVGWIDTNNKYCTRIDASGNANPVWKTKFSTGVDPSEPNFQDMALHVEVYSREPVFLRERLLGTTTVILKEFLEKYLKNSEVPKPVEEVGSFQLRKKNTNKPRGFVDISIRISEEREESSSYQGDEEGFKLMDNSMGINLDIGHRPLHSQFPAPSPLQPGSQPQTSSQYAHPLPFPRNYPNIPTGPSYAPAGGTSYQPPRAPPLPPPPPPSNVGYIHTSLPRTDDLRPSYMNMPSPGPAPGRSSSRGPGIGVGLGAGALAAGAVIFGDDFMSGFDFPRNLQDASLTISTDPPF >CDP09437 pep chromosome:AUK_PRJEB4211_v1:2:22388409:22389032:1 gene:GSCOC_T00028799001 transcript:CDP09437 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEATSMDSDLALLSFFENHLLNDSDFQDIISEINSYDPPICNPSTSLGSSHLFGSGIEMISNTSTSNNSSETEGILDQLYEEVNQKPVPARGRQAPVEWTRYRGVRRRPWGKFAAEIRDLKMKGSRIWLGTYETPEDAALAYDQAAFEMRGAKARLNFPNLFGSNTLQPVRVNPRKRSLEPSVSCCSTEKDVPKKGKFEVSSMMI >CDO99532 pep chromosome:AUK_PRJEB4211_v1:2:11604156:11607066:1 gene:GSCOC_T00029151001 transcript:CDO99532 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEANSWIRRTKFSHTVCHRLDSSRLASIPLTINQRGGIPVAKSRLAVTPSAAYVNPKQDPIVSPLQRNPTTNKQRAVSPSPQTKLLDTFKEAKQARSVKRRHSTPNSRKSEKGLVGKLFHHKDSHKNSHEQKPNGSKSPLNNSRLRHFSAMKFHEKSKGKKDSAWTKYFDHGGGRVTSVEAADEHMVDLSKLFLGLRFAHGAHSQLYHGIYKDEPVAVKIIRLPDDDENGDLAARLEKQFNREVTLLSRLHHPNVIKFVAACRMPPVFCVITEYLAEGSLRSYLHKLEQKPMEEKFLPLTKLITVALDIARGMEYIHSQGVIHRDLKPENILINEDFHLKVADFGIACEEAYCDLLADDPGTYRWMAPEMIKRKSYGRKVDVYGFGLILWEMVAGTIPYEDMTPIQAAFAVVNKNLRPPVPERCPPAMRALIEQCWSLQPDKRPEFWQIVKVLEQFESSLASDGTLNLVNNPICQDHKKGLLHWIQKLGPHSDTSSMPKPRFQ >CDP09403 pep chromosome:AUK_PRJEB4211_v1:2:22806225:22810244:-1 gene:GSCOC_T00028750001 transcript:CDP09403 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSDAANSTSTTTTAASKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVIVDGQTINLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISRPSFENISKKWVPELRHYAPSVPIVLVGTKLDLRDDKQFKLDYPGACTISPEQGEELKKQIGAVAYIDCSAKTQQNVKAVFDAAIKVVLRPPKSKKQKKKRRACKIL >CDP13990 pep chromosome:AUK_PRJEB4211_v1:2:4877798:4878520:1 gene:GSCOC_T00039146001 transcript:CDP13990 gene_biotype:protein_coding transcript_biotype:protein_coding description:LBD21 [Source:Projected from Arabidopsis thaliana (AT3G11090) UniProtKB/TrEMBL;Acc:A0A178V6L2] MKNQEPRSSSSCAACKFLKRRCIPNCQFAPYFRSDEPNKFAKVHKVFGASNVSKILNEVPEEQREDTVNSLVYEAEVRLRDPVYGCIGAIASLQKKMVELQHDLLLAKARLAYCATTKPAPTSAIFLEGPSNVASNIDFPASAGLELEEAFYHNSSVMGQNANGWMNELGQFPLV >CDP14058 pep chromosome:AUK_PRJEB4211_v1:2:5455072:5463003:1 gene:GSCOC_T00039243001 transcript:CDP14058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal nicotinamide adenine dinucleotide carrier [Source:Projected from Arabidopsis thaliana (AT2G39970) UniProtKB/Swiss-Prot;Acc:O04200] MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTERDPKREKRRHGTIEQMIQVARHEGWDRLYGGLTPSLVGTAASQGVYYYFYQIFRSKAEAAALQRRRNGVGDGSVGMFSSLVVAALSGCVNVLLTNPIWVVVTRMQTHTKKTGNCQPDSTSSGDNILAALEPPPFGTCHAIQEVYDEGGVWGFWRGVFPTLIMVSNPSIQFMLYETLLKKLKKRRASSKKGSNDVSALEVFLLGAVAKLGATVVTYPLLVVKSRLQAKQVASGDKRHHYRGTLDAFIKMIRYEGFYGFYKGMGTKIVQSVLAAAVLFMVKEELVRGTRWLLTKDAVKMVNSKPSS >CDP00000 pep chromosome:AUK_PRJEB4211_v1:2:7099867:7104684:-1 gene:GSCOC_T00029752001 transcript:CDP00000 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYFRVHHGLTYSTTPGDEVEDMFILLNEVKQQIPSVAAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLGDMIKAGIVAITVKVAAMGLDPSKHLGKEISWLEPHLHKLKELYGLNVCGEGGEYETLTLDCPLFKNARIVLDEFQIVLHSKDPICPVGILHPLAFHLEKRAETVPLGKSNKNDDEFDLVCEVQGDCQQRCENISMSNHPASKFVDVNSQELQISRTKMDNTFSISCWLQDSSKTSGDLQADLEYVLMKIELQLAECCYSWENVFYIHLYIADMNKFAVANETYIRFITQDKCRFGVPSRSTVELPLSQVGLGMAYIEVLVANDETKRVLHVQSISDWAPSCIGPYSQATLHKDILYMAGQLGLDPPTMLLSSGGPTVELKQALENSEAIAKCFNCSIATSAILFVIYCSASMNESDRIALEKTNLFIKEMKLNDSDNRCSSDVLDPIFLYVLVPDLPKRALVEVKPVLYVLGNKGTLNNKAGEVSYVAQDYCGFQHENWHDECLQKCVVPGRLCAAVLSVTQDIVGKICSKFLLQDGAGCNTLVENQIEGIAKFCVYLLDAFLLENRFSWDDVTTFRLYFATRLHISHAELLLIFTRVFSEFAEQNPKRKTATDPIFNLVPVLGGGRSANSVDGLLSCEVFARKL >CDP17154 pep chromosome:AUK_PRJEB4211_v1:2:50248866:50249855:1 gene:GSCOC_T00006317001 transcript:CDP17154 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPVLSIPRFGSVEKPEPDMIELIRYAGDSGVTFLHTSDVYGPHMNEILIGKCCPGFKKMLYFSFEMAVASGNGFSMGGFFF >CDP15498 pep chromosome:AUK_PRJEB4211_v1:2:45583859:45588709:-1 gene:GSCOC_T00015350001 transcript:CDP15498 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFMTSTHRAKWIFTPQDLKEKYKAANQRAKQALEKYGSTRVDVNIDGSFSYAEHPNDAKENGDNHSRQKPLKVEEEQLMRAFYEFKIQDVCDAFKFPRKIQATALIYFKRFYLQWSVMEHQPKHIMLTCIYAACKAEENHVSAEELGKGIEQDHQVILNNEMLVLQSLGFDLIVYAPYRALDGFLNDVEEFCGAKEEQLAMLGSLHESAKVEVDKIMRTDAPLLFPPGQLALAALRRSNEACGIFDFERYLKSVLSRQHPTLSNSDLAVFLNAVDSSVNKLETPTSKDVKHIDRKLKSCLDPGSHDKSKKRKHRSKESSNDILDMS >CDP15228 pep chromosome:AUK_PRJEB4211_v1:2:49074915:49076634:1 gene:GSCOC_T00042863001 transcript:CDP15228 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKIVSSAVPNGLAKAASLPSRAYVTFLAGNGDYVKGVVGLAKGLRKVKTAYPLVVAVLPDVPEEHRRILVNQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVYENIDHLFDLPDGYFYAVKDCFCEKTWSHTPQYKIGYCQQCPDKVQWPEELGPKPPLYFNAGMFVYEPSLPTYDDLLRTLEITPPSPFAEQDFLNMFFRDVYRPIPPIYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRYTGQEQNMHREDIKMLVKKWWDIYDDETLDYKRSLTSSIGTAAATGIEAEAQTLKPRPARYIAAPSAA >CDO99520 pep chromosome:AUK_PRJEB4211_v1:2:11709496:11712725:-1 gene:GSCOC_T00029131001 transcript:CDO99520 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSLSTFSSLSIHHPPRLSHLPSSHPSLSPLKNPKYSLFLLPKVTKISPVKATSGGAETIYFDNVDPEEVSTFDPPERPEDFVPPPPFDEGPIETEDEIARGYEEIYGPAYSGETFLGNDIYVMDPKVKKTSGFGSQGQREKVKDGFEERVVQVSRVTKVVKGGKQLHFRAVVVVGDKKGQVGVGVGKAKEVAVAVQKSAVNARRNVITVPMTKYLTFPHRSDADYGAAKVMLRPASPGTGVIAGGAVRIVLELAGVENALGKQLGSNNPLNNARATVVAVLKMRQFSEVAQERGIPMEELWK >CDP08569 pep chromosome:AUK_PRJEB4211_v1:2:40614471:40616593:1 gene:GSCOC_T00027544001 transcript:CDP08569 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIY >CDP06799 pep chromosome:AUK_PRJEB4211_v1:2:36545755:36552536:-1 gene:GSCOC_T00023779001 transcript:CDP06799 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHRSDFPPEFLFGAATSSYQIEGGVREGGRGLSNWDFLCNSTPGKAQGQNGNVACYSYGLYKEDVKICKKLGLDSYRFSISWSRVLPGGRLSAGVNREGIQYYNNLIDELLANGIQPFVTLHHFEVPQILEEQYGGFLDKRIIKDYLDLAELCFWEFGDRVKYWTTFNEPWTFIYFGYVTGQFPPCRGSSSEEHAKLSAVQHKTHLRNPLVCEDGDPGVEPYTAARNLLLAHAEAVDLYRKKFKAQGGQIGITLVAHWFEPFHHHSERDIHAAQRVQDFMLGWFMDPITYGRYPKSMTDNVPPERLQRFSEEESIQLRGSYDFLGLNYYTARYVVAASVLHSGPPSYITDQHGTQKNKGPDGNPIGEPTECDWLFSYPKGMHKILHYIKQRYNDPPIFITENGLADKNHPDYTVSEACNDETRIEYLREHLKEIRLAMIGNRVNVKGYFIWSLMDNFEWASGYNYRFGLVYVNFTDRYLSRFPKNSALWYMNFLDKKYRPIPHPLKNNALLEDETISPTSTSPLPYQTNAPNSGEMVVHEGTPTKRHRKT >CDO97497 pep chromosome:AUK_PRJEB4211_v1:2:19510632:19514287:1 gene:GSCOC_T00014857001 transcript:CDO97497 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSKVGDGLKATILMVVVQVIFSGINIMYKLAATDGMDLRVLVAYRFLFGAAFIVPVAFFLERKKRPKLTWKVSLFALLCGLFGGALGQNLMLESLVLTSATFAAAMVNLIPGITFIVAVCLRLENLGWHTLAGKAKVLGTLMGIGGAMLFTFYKGPNITIWETNFNLMKITSHHPSHAAESGNLALGVILAIVSCVFYSLWLIIQAKAAAVYPCPQSFTALVVFFASLLGTVSALCMQRDWSQWKLGWDIRLLTVAYAGIVGSGIMFVVIAQVVGMRGPVFASVFNPLLLIFVAIVGSLVLDEKLYLGSLLGGILIIAALFVVSWGKSKEIKKITQPVPEEDTSIEDQEREKSSDRNNDQLVQEQKNVSIESHADQIEITVTIPKK >CDO96878 pep chromosome:AUK_PRJEB4211_v1:2:14270508:14274515:1 gene:GSCOC_T00014046001 transcript:CDO96878 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASEANGNHGVALDVKDEDLSYRDGKNSASKTVSDTSGCLLTVPFMQKLIAEIAGTYFLIFAGCGAVVINAVRNNTITAPGISIVWGLAVMVVVYSVGHISGAHLNPAVTIAFATCKRFPWKQVPAYISAQVLGSILASGTLRLLFNGHQDHFSGTLPNGSDVQSLVLEFIITFYLMFVISGVATDNRAIGELAGLAVGATVLLNVMFAGPISGASMNPARSLGPAIVSSKYKSLWVYILGPTAGAIGGAWVYNIIRFTDKPLREITKSGSFLKNAARNNSN >CDO97076 pep chromosome:AUK_PRJEB4211_v1:2:16343131:16348791:1 gene:GSCOC_T00014308001 transcript:CDO97076 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNNFQRISHQQSEPTRRGLLKSDLAAKDGEGEAVVIGGMVLDINATPSVPAKPRTTTPGKAAGDVIVFTDWRSSMLLSSLLVRYALGGVARNVAECMSKLGTKPYMISAVGLDMAGNLLLEQWKSAELSTEGIQRHQDIETAVVCNIFDGKGELAAAVASVDAIEKFLTPEWVWKFSWKIRSSPVLMVDANLSSPTLEAACQLAAESKTPVWFEPVSVAKSKRIASVAKYVSFASPNEDELIAMANALSSADVFSPIKREDIHIKLSVRSLFQMLRPAIQVLLEKGIKVIVVTLGSDGVFLCSSEKHEFDGLNFRDVKSHSFSRQLYEIVNSSCHRNGILNVTRSKGSFYALHFPALSASVVRLTGAGDCLVGGTIASLCAGLDVMQSVAVGIASAKAAVEADSNVPAKHCLTNIADGARLAYFGARPVFCPSTL >CDP17536 pep chromosome:AUK_PRJEB4211_v1:2:29515901:29519045:1 gene:GSCOC_T00004498001 transcript:CDP17536 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIVENGALSPWFEPYCQQVVEAKEKIKKKNLRNNSFKSSVSARSTFPLYTRKPQRILTLTLSLVAPALCTKSIDTPCLSGVEDQVFCPSPCKKGYRFNTYCRSRGVLY >CDP17979 pep chromosome:AUK_PRJEB4211_v1:2:43799598:43804127:1 gene:GSCOC_T00001235001 transcript:CDP17979 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIFAWHHCFYSSTHVGFSSPAQSVIMNDLGLSIAEYSLFSSILTIGAMFGAILSGKIADAIGRKYTMWFSELFCTAGYLVLLTSESALWLDIGRISTGFGVGILSYVVPVYIAEITPKNHRGAFTILNQVMICCGHSTMLVIGNFVSWRMLALIGSIPCLLQLLGLFFIPESPRWLAKVNRWKECESSLQRLRGANADISGEAIDIRVYTETLKQFSDCKLTDLFNRKYAHAMIVGLGLMAFQQAGGVNTIAYYASSIFESAGCSGATGTMAMASVQLPMTILGSLLVDKSGRIPLLMVSAAGSCFGWLLVGLSFLLQQDLQLWKASPILALIGALIFVGSFSLGMGGIPWVIMSEVFPIHIKGLAGSLVTVVNWLGSWLISYSFNFLVQWITSEGTFFMYSIIAGLTLIFVKKLVPETKGRTLEEIQASLVLFTSKN >CDO99637 pep chromosome:AUK_PRJEB4211_v1:2:10462335:10468482:-1 gene:GSCOC_T00029288001 transcript:CDO99637 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMAFLERFSRTFRQNRSTSKLLLVVALSGGTSGLVAYSDATPQNSSNIVDSAEPEIKKKKVVVLGTGWAGTSFLKNLKDPSYDVQVISPRNYFAFTPLLPSVTSGTVEPRSIVEPIRNIIRKKKVDIQYSEAECVKIDAANKKVYCQSNANANLNGKEEFVVDFDYLVIGVGARSNTFNIPGVVENTLFLKEVEDAQKIRKSIIDCFERADLPSLSDEERKKILHFVVVGGGPTGVEFAAQLHDFLTEDLAKLYPDIKNLVRITLLEATDHILNMFDKRITAFAEEKFKREGIDLKTGSMVINISDKEISTKEIKTGEISSMPYGMIVWSTGIGTRPVIMDFMKQIGQSNRRVLATDEWLRVERCDNIYAVGDCATINQRKVMEDIAAIFQKADKDYSGTLTVKEFQEVLDDICERYPQLELYFKNKQMHSLVDLLKDSKGDDVKESVEVNIEEFKSALSQVDSQMKNLPATAQVASQQGVYLANCFNRMKEVETNPEGPLRFRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWVSIGQSTQWLWYSVYASKQVSWRTRALVVSDWMRRFIFGRDSSSL >CDP04920 pep chromosome:AUK_PRJEB4211_v1:2:161739:163103:1 gene:GSCOC_T00019789001 transcript:CDP04920 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLLLLLDEDDPDGQPLVVTASDALQVVHAGQCPWGDGVELAGDRVAAAVKIEQEGNSVGPADERELVGGPAEAQEGGISGGHHVL >CDP05316 pep chromosome:AUK_PRJEB4211_v1:2:3259610:3260536:1 gene:GSCOC_T00020313001 transcript:CDP05316 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPILPLLILSLSLSWIPAKSDAAAAATSFIRAKCRTTTYPSLCVESLSTFAATIQRSPNQLAQTALSVSLDRAQSTRAFVAKLSKFRGLKGREYAALRDCLEQMADSVDRLGNSVQEIKNLGRSRGPELFWHISNAQTWVSAALTDDSTCADGFAGRTLNGRVKSSITTRMTNVAQVTSNALALINQMAGGNY >CDP05306 pep chromosome:AUK_PRJEB4211_v1:2:3173476:3175667:1 gene:GSCOC_T00020302001 transcript:CDP05306 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQAVKPAKVGMEEPQEQVHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDTFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >CDO97342 pep chromosome:AUK_PRJEB4211_v1:2:18287411:18293345:1 gene:GSCOC_T00014659001 transcript:CDO97342 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRAIVSGSNTITTSLLRKAPLRGPNSLSLCFNYSNKSGLVLQKRRLFTCSAIYNPQVQIKEEGQPETLDYRVFFHDGSGKKISPWHDIPLHLGDGLFNFIVEIPKESSAKMEVATDEHFTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSFANTEVEGAFGDNDPIDVVEIGDNRAKIGQVLKVKPLAALAMIDEGELDWKIVAISLDDPRASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKPADKEYALKVITEANESWAKLVKRSVSAGELSLV >CDO99700 pep chromosome:AUK_PRJEB4211_v1:2:9732259:9733937:1 gene:GSCOC_T00029373001 transcript:CDO99700 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMASMAGLRGSSQAVLDGSLQLSGSGRLSTSSTTRVALARPGFSVRAQQGSGETETSRRAMLGLVAAGIASGSFVQAALAEARAIKVGGPPPPSGGLPGTLNSDIPRDLDLPLKDRFFIQALPPAGAAARAKDSAKDIINVKGLIDKKAWPYVQNDLRLKAELLRYDLNTVISAKPKDEKKQLKDLTGKLFQTISDLDHAAKIKSTPEAEKYYAATVSALNDVLSKVG >CDP15526 pep chromosome:AUK_PRJEB4211_v1:2:46047716:46048870:1 gene:GSCOC_T00015386001 transcript:CDP15526 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEIAKAGSGIKGPSAYQIGNEYLDEEFEELEKYLGDIYDKFSTFGCTLMCDGWSTRTKHPIINFMVYCDRHMIYHSSVDCTNIKKTAEYIFKLMDEVVEVVGEKNVVQVVTDSESSMKAAGQLLMKKRKNLFWSPCAAHCIDLMLEDIGKMDNVKETIAQGKKITSFIYNSDKVVNLMKTYTKRRELLRPDEWAEFNNTTKRKAEAIKVAELILSEKFWKKVRNVCAIMEPLVKVLKTIDQDNKPTLPIIYEAMDRAKMAIQKSVKSWKTIWEVIDNRWYNQLHRDLHAAGNLKYYIQFKYVIYIFIYLFSNFVILLYLAYFLNPILQYSGTCEFNLDEVRRGLRTSLQSWSQI >CDP17227 pep chromosome:AUK_PRJEB4211_v1:2:48062471:48069670:-1 gene:GSCOC_T00000714001 transcript:CDP17227 gene_biotype:protein_coding transcript_biotype:protein_coding MATEELLDFSVASMVENVLHQHGKRLNDIDLASRKAEEASLRRYEAAGWLRKTVGVVGGKDLPAEPSEEDFRLGLRSGIILCNVLNKVQPGAVQKVVEMPCDSVNVPDGAALSAYQYFENVRNFLVAIEEMGLPTFEASDLEQGGKASRVVNCILALKSYSDWKQGGANGSWKFGNVKAAPSGKQFVLRNSEPFMNSVSRTSSMSDKTLDRSLSEQLSFSDLGLENSEMGKTPSLNMLVRELLLDKKQEDIPIVVENMLSKVMEEFEHRLATQNGQQIQASLRDKAVSGSYESPMIPSPMEMETRIPPEILHKKCCDKKDTDDGISDNQKQPKQTLVRQLKLVEKQHTEIQVLKSTIQSTKADLNLLQMKYNEEVSNLGTHLRSLAHAASGYQRVLEENRKLYNQVQDLKGNIRVYCRVRPVKGQSNKLSSVDHKDDGSITIITPSKYGKEGRKSFTFNKAFGPSASQAEVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTHTMTGPDVITEKTMGVNYRALSDLFMISDQRKDTISYDISVQMIEIYNEQVRDLLVADGVNKRYPFYYMLVSQNGLNVPDANLVPVKSSSDVISLMNLGQKNRAVGSTAMNDRSSRSHSCLTVHVQGKNLSSGTLLRGCMHLVDLAGSERVEKTEAVGERLKEAQHINKSLSALGDVISSLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEHDAIGETISTLKFAERVSSVELGAARANKENSDVKELKEQIASLKAALARKEGEPVRLQYSGSSSPERFRLKSSAGSSPSRSSWQSSSISGDHKQQIEDMDNLEIMNNCASKPKRKSLDLQDLLMNSPPWPPVGSPGLKDDEKERDWVDKVMVIKQEGGRRDNTLGSWEEESRQLPDMFYHKQNSRNQMVTDDSDELEATTSDSSEQDFLWQPNVHKVTNVSNSTGSKLKKPSPKQVKSSPEIRSLIPAPPSRRLSNGINSPITKAGKQALSSEAKRKAGNSK >CDO99471 pep chromosome:AUK_PRJEB4211_v1:2:12187628:12192018:-1 gene:GSCOC_T00029062001 transcript:CDO99471 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSGHEETTLEHTSTWAVAVVCFILIAVSILIEHGLHLLAKYLQRKRKKYVLHALSKIKSDLLLLGFISLMLTVGERPLAKICIPKGVVETFLPCQSLTSEDDEESKCQEQGKMSFMSREGAQQLQMLIFVLAFVHVLSSFLTFSLGMAKMRRWESWEAETRTMEYQFSNDPRRFQLIHQTTFGRRHLRFWSEYRILRLPVVFFRQFFNSVSKVDYLTLRRGFVAAHCKEKSSFDFQKYLRRGLEKDFGVVIGMSLCIWIFSVLFIFFNAYVFHSYIWLPFIPLLFLLAVGTKLQAIITRMCLDTNDKSHVIRGSLLVRPGDHFFWFDRPELLLHLMHFILFQNSFQLAFFSWTWYKFGLRSCFHRQTEDIVIRVTMGVLVQFLCGYVTLPLYALVTQASRISQTLLCYQCNCCTMKH >CDP07687 pep chromosome:AUK_PRJEB4211_v1:2:24730943:24741134:-1 gene:GSCOC_T00025024001 transcript:CDP07687 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAPPPPPPDPAPSSSTTTAVATTSTTTRPPFPPNPRNPPTPPPPPPPPHFHPHPLHPHHYPAPFYPHLINSRLPASPNSNYPPPSHDVTTTANATTTLYPVASSGRGFLQKHPHSSVPVNNSINPNSHLYAAGAARPGVGISYPRPLFGYSHPDPGLVAGTGMGMGMGYVSGRSIPHLQHPAGGGATVMPGVIKGVPISASASSQPQHKVSLSSTPVSDSNGHKEMSFCRERGRDDSFVTIRDRKVRVSESASLYAHCRSWLRNGFPEESQPINMDAARSLPRPLPLPAQGNVSPVKKDNPKEEEEVEGSVDNLTSEELLQTHIKRAKRVRSRLREERLQRIARYKTRLALLLPLMVEQQMRNDSASGN >CDP00083 pep chromosome:AUK_PRJEB4211_v1:2:6316473:6320449:-1 gene:GSCOC_T00029873001 transcript:CDP00083 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEVPAIGIDLGTTYSCVAVWQHDRRLIGDAAKNQVAMNPVNTVFALNGIKTVGPSVDIADAKRLIGRSFSDSCVQDDIKLWPFKVIRGPGDKPMIDAGVIAGLNVLRIIKEPTAAAIAYGLDKKSNCKGKINVLIFDLGGGTFDVSVLTIEEGFFEVKATAGDTHLGGEDFDNRMVDHFVQEFKRRHNKDISGSPRPLRRLRTACERAKRALSSAAQTSIEIDALFDGIDFQSTITRPRFEELNMDLFLKCIDPVKDCLRDAKMDKIEVHDVVLVGGSTRIPKDLVLVDVTPLSLGVQTIGEVMSVVIPRNTPIPARKERVFHTSSDNQTVVAFRVFEGERARSTDNYFLGKFELRGIPPAPKGVPKINVCFDLDANGILHVSAEDKFTGQKNQITITNDKGRLSKEQIERMVQEAMKFKYEDEQFKKKLSPAEKETIEDAIEASVEWLARNQHAETYEFEEKRSELESIWNPIILEIYRSADGGRVPMQDHPPFTGSGSPFTESGSSSGFGFKLEEVD >CDP09444 pep chromosome:AUK_PRJEB4211_v1:2:22306112:22314591:-1 gene:GSCOC_T00028814001 transcript:CDP09444 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSFSIVCMFFVTLTLMPTTPYCIPFVVFHGIADACRHKGVERFTEILANWSGTQGYCVEIGNGVWDSWFMPFPKQISIACEKVKKISELRDGYNIVGLSQGNMVGRGVIEFCDEGPPVKNLISLAGPHAGIASVPLCGSSILCVLVDFLIQLAVYSDYVQDHLAPAGYIKIPTDIDGYRKGCKFLPKLNNEFHKNATYKQRFASLENLVLIMFEQDEVLVPKETSLFGYYPDGSWCTVLPAQETALYKEDWIGLRTLDEAGKVKFVNVSGSHLEISLGDMKKYIVPYLVDNGTAQPPTAQPPTTDESSILQLKSFQHLYQQIDWLEQDNIRLQLIN >CDP00092 pep chromosome:AUK_PRJEB4211_v1:2:6274372:6275802:-1 gene:GSCOC_T00029885001 transcript:CDP00092 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLFFKSKPKATPADLVRQTRDLLSHVNSAPNTFQSQSQNKRQVNMINTIGKLLEDLKFILYGDSDTQPVASACAQLTEEFFREDTLRLLIICLPKLKLETRKDATLLVSNFLRQKVRSRFIACDYLEQNLNLIDVLVGGYENNDLARHVLESKLMMKKFFDYVQVARFEVAADATETFKQLLTRHKSTVAEFLSKNYDWFFVEYNSKLLQSPNYLTRREAVKLLGEMLMHRSNSDVMLQYVSSRDNLRIFMNLLRDSSKNIQVDALRVFKLFAANENKTPEIVGILVANRNKLLQLLFAELKIDNNGDPQLQADKAQVVKDIFALDVKVKA >CDP17170 pep chromosome:AUK_PRJEB4211_v1:2:50701472:50703021:1 gene:GSCOC_T00006343001 transcript:CDP17170 gene_biotype:protein_coding transcript_biotype:protein_coding MKICHLVFLVYLFFHAYCDSDVLVGYHVTLAVPPAYSSGFVGRAFIMETDQTEPNFRTAVSVEALDDKYACSLDIFLGNVKVWSSGHFSRFYTADKCMLELTEDGDLQLKGQKEIIGWRSGTSGQGVQRLHLLETGNLILVDPLNLIKWQTFNFPTDVMLQGQRLSSRTRLTSFISSNSSLFYSFEIQYDKIVLYLNSGKWKYSYWEFKPYPYGEKNITYIELTSKALEIFNGKFQKVAQITSEQLQPVRFLALGNSTGNLGLYYYSAERQMFQASYQALNGTCDLPLACNPYGICTFSNACSCIRLMTKGIGSLPDCSTDGISEGLCGRNQAEMVELQGVTSILRQGIPSEVNISKDACANLCLDNCTCVAALYISSAEADANLQQCFLYGLVRGVKEIQRGSTGSYWVKMPKGSRDQVHGKSSGLKKWSVIVIGVVDGFIIFIVLAGIGYYIIQKRRQTSLNTRHTP >CDO97464 pep chromosome:AUK_PRJEB4211_v1:2:19244320:19245934:1 gene:GSCOC_T00014812001 transcript:CDO97464 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHDCFVQGCDGSILIDGAGTEKTAPPNLLLRGYEVIDDAKRQLEQACPGVVSCADILALAARDSVVLSNGPGWPVPTGRRDGRVSLASDTANLPGFRDSVDLQKQKFAAKGLNTQDLVALVGGHTIGTVACQFAQYRMYNFNSSVTVDPSISPSFLSQFQSLCPANGDASKRVALDTGSENRFDNSFFGNLQSGRGILESDQMLWTDPSTRTLVQRFLGIRGLLGLTFSVEFARSMVKMSSIGVKTGTDGEIRKVCSAIN >CDP17779 pep chromosome:AUK_PRJEB4211_v1:2:51447356:51449398:1 gene:GSCOC_T00003898001 transcript:CDP17779 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSKVEDEIFNHHHHHSHLGPPHHIIVDHHHHHHGQSQPSVSVFDGEQPDDEAFHFEMGLFREDDEDDISQNSSDAEIPQIQPSLNPNLPTPPPPLSPNPNPNNPSGSNTNGVGKVNHHNHNHSRDHHAPPATYISPEPHISSQFYTFNKESHALMLQCLREGRPATPEEIRAATPVPVLSSWRSVWKDRNEDTAYLTAWKRIQDKLNIHVNPTNGNEFLCFKNNSNQFVSHVDQWQDIVMNFHCDADFKHLGLKETVDRIKQTWTVGAKFYGIPESYIRTCVSACPICSDESSGCAPRNKRRRFEYTESFEVPAKEVPARLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPASSKKSRILKREPYASKRCGCGFRIRAIVPISNYNEKDKTFVYEEEGTAVFKLYAKHSGHEPGPLDGNARIMHRVVGHKGGVMMDQDAVYGMGEEAENETFGFLGKDDGDLQQAVFQQAQELRNEVGLLEAKIGKLPAELLSSVSRDLFDIVHKLRNVGDYGPKSLDLLSDKHDSDGVLVGENDLAEWTEHHHQRLYGDGKDVDLLDDDEDSFGRTLGDVVPWDPMRTDCRTEKDLLSETCKAEKWLNPGEFDEKSILDCGDSKLTKPLRHDETIDPDVGLALQVDNFYPENPKWFDSPCGLDSGADCGDGEFRPGGIV >CDO99630 pep chromosome:AUK_PRJEB4211_v1:2:10553592:10557336:-1 gene:GSCOC_T00029277001 transcript:CDO99630 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKPAAIVRGENARICFMKKMKAIRAIQKCVKSQLRSTMLDEKDQAAIFLQASIRGWLAWRHYNSTDKRERQFNEEARNVKNLENKDQIMVPYSVLVDLQRRVLKTEALLDKKKEENATLKLQIKQHERKRQQYETKMKLMEKTWQDQLTSMQMNLATKKSSSKHAVGKLGLLLALPEIQDEDAPDPSPTSRFQLNGRSNSDQLIDHEKGILDEDTGIFIHIEPGRMASSTNPEEELERLKFKFKTWKKDFKNKLMVAKTTIKKLGNSETGKNSHKKWWGR >CDO97493 pep chromosome:AUK_PRJEB4211_v1:2:19459573:19462371:1 gene:GSCOC_T00014849001 transcript:CDO97493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich RNA-binding protein RZ1A [Source:Projected from Arabidopsis thaliana (AT3G26420) UniProtKB/Swiss-Prot;Acc:Q9LIN3] MSDVAEYRCFIGNLSWSTSDRGLKDAFEKFGHLIEAKVVMDKFSGRSRGFGFVTFDEKDAMEEAIHAMNGMDLDGRPITVDKAQPNQGGDRDIDRPRDRDRGRDRDRRDYGGGRGSGGGDCFKCGKPGHFARECPDDGGRGNRYGGRDDRYGGSGGGGRGGGRYGPERNGDRFGSRNRDGGGHGGGDRYNRDRSGPYDRRGSGGFR >CDP17966 pep chromosome:AUK_PRJEB4211_v1:2:43946087:43949103:1 gene:GSCOC_T00001212001 transcript:CDP17966 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRFISNSIRYFIFFFKKKKVIRKHSFMPTSQSIKKRFQKKKKNINQVLLIITTVMILFVLIKQARQAWGNLNLEYRLRASPSADIYVLGFQEIVPLNASNVLGTEDNGPAKKWLALIKKTISSLLGTSGGYYTPP >CDP06805 pep chromosome:AUK_PRJEB4211_v1:2:36874106:36880416:1 gene:GSCOC_T00023792001 transcript:CDP06805 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGLTILDGNQLRAVDLSPPSPDGAVTGAQLLELAESRVSASLFGLALPENLKSAVLRRLEIGDDFSSFSAKELDRENASSFLQNYVSIAADELKDDPMVLSILDGKALRIILEDEDDFAMLAENLFTDLDIEDRGKIRKSEIQNALLHMGIEMGVPPFAEFPRLSDILKKHGAEGEEELGQAQFAQLLQPVLQELADALTENHVVVVQNVKIINGSQLRKVLANEKLLNDVVDKIIQEKNGQADAKTTKELIRYFLQKNGKDLGLPPLRADEMVVLLYDAVFADVEETKNTPGSEENFMVVLNEILVRFAEQLEANPVFHDLGI >CDP18039 pep chromosome:AUK_PRJEB4211_v1:2:47178403:47184703:-1 gene:GSCOC_T00008651001 transcript:CDP18039 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFLQKKARSYNSDDDEVEEEDRNLKWGEKQSGVVKDEVKGGFKSKFSDSDEEGEENGEEKIEVSEDEDGEIQPGVMRFSEGCKAFRLAFKKITKKTASTDHDVLGPVLSAHKKLVAEKLAEEEAERKVKGEAKKEKHLVGEKGHVKPANYLDSHEKHLVGVATRGVVKLFNAVNKAQNSQRGLNPSRSKDEKVIKRQRKEAFFSVLGQTPSQNTGSIEKIGASAGSGSVDAPAWAPLRDNYMLTNSKLKDWDKMPDTAAVDDFGLRPDADSSSDDE >CDP05049 pep chromosome:AUK_PRJEB4211_v1:2:1139552:1140662:1 gene:GSCOC_T00019966001 transcript:CDP05049 gene_biotype:protein_coding transcript_biotype:protein_coding METTSSPAVVRITWKVASPFHCNRTFFHGLVERKTRPEKTTVSKVKAEKTMPQQIYMHQCC >CDP13922 pep chromosome:AUK_PRJEB4211_v1:2:4373312:4378385:1 gene:GSCOC_T00039064001 transcript:CDP13922 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIGKSRIEAFMSWFVRVHPHETSALFCSTSTFFFILGAYFVVLPLRDEGAISLGLGKLPGLFAGSLLLTLLAAPLSTLIFSLPNLPKRKALVFIHRFFSASLVVFFILWLSSSPGSAAFNFKGFLHMSSTIKEELKVQVNQATPPSSDGWSNNGWFYNSVRISLFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSVFATVMAWLGPYLLLFAAILMELAAQSAIGIDKDISRLPEEQFPIRKSDFDHLNTANEQTEPADRRPSPRLSSTAANPQFLAILDGLRLILASNYLLLVALFLWLSAVVSSFFYFQKVTVIATSFTSPVDRRRLFAQINSFIAVFILAGQLSLTGRILTVFGVTTAISSAPFVAIINLIAIAVWPTWIAVAVCETLRKVVTYVVTRPGRELLFTVVSQDEKYKAKVCIDVIVQRLGDASAAGMYKLLFDTLSGKASLASLCALPVCVLWMVTAFHLGSQQRRLAKLPQPSQSLETSPR >CDP07791 pep chromosome:AUK_PRJEB4211_v1:2:26518873:26520324:1 gene:GSCOC_T00025174001 transcript:CDP07791 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGTPRPVSRTALEIENRSDADLFPKKSDESEVTTETPISASQKGTSLLSSLFLLAKLEASGVGGWAHSESSSSPFSSEVNSGAVTVNI >CDP08578 pep chromosome:AUK_PRJEB4211_v1:2:40272906:40274201:1 gene:GSCOC_T00027565001 transcript:CDP08578 gene_biotype:protein_coding transcript_biotype:protein_coding MVANLEILSKEMIKPSSPTPHHLRDHKLSFLDQIAPPVFIPLIFFYQTNQLETFQDRDQISQLLKQSLSNILTQFYPLAGRICSKNFSIDCNDDGALCIEAQVHSNLLQVIEKPVMEELKQYLPLELNSKGPGLTEAKTILLAIQINFFDCGGIAIGVQLSHKIADGTSLVTFMNAWAKSCSEVSEIVPSSFELASLFPPRDMSSFGFKPTTGMTKEKIVTKRFVFDKEKLAKLKQAAASSLVKDPTRVEAVSAFIWRHFIDASKAKVVAAVHAVNMRPRMNPALEDHAFGNIWTHTVAIPMLEGEKGYENLVGDLRKAIRNINSNYVKKLQNGDEYLKILKKSVEFASKGDVELCNFSSWCRFPVYEVDFGWGKPTWVSTTAFPFKNVVILMSTSCGEGIEAWLNMHEDDVPSFQSNHRHLSVEAKDFNA >CDP20929 pep chromosome:AUK_PRJEB4211_v1:2:48760059:48764183:1 gene:GSCOC_T00012344001 transcript:CDP20929 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAVQAKQPSFSVLPSSLSDFNGIRLTTSVQYKRKVLHPRGALHVSASAAKKILIMGGTRFIGIFLSRFLVKEGHQVTLFTRGKAPIAQQLPGESDTDFADFSSKILHLKGDRKDFEFVKSSLAAEGFDVVYDINGREAAEAEPILDALPNLEQYIYCSSAGVYLKSDYLPHFEIDAVDPKSRHKGKLETESLLEARGVNWTSLRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPNSGMQVTQLGHVKDLATAFVKVLGNEKASKEVFNISGEKYVTFDGLAKACAKAAGFPEPEIIHFNPKEFDFGKKKPFPFRDQHFFASIEKAKSVLGWKPEFALVEGLADSYNLDFGRGTYRKEADFSTDDIILGKSLVLA >CDP08670 pep chromosome:AUK_PRJEB4211_v1:2:53947183:53952578:-1 gene:GSCOC_T00027716001 transcript:CDP08670 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSIECITSSDGIFSSSTKSSHNINLINNNNIINNQNAGVLIPSSPSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKVRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKLKHEAVCNFRPYNCPYAGSECSVLGDIPYLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFNCFSHYFCLHFEAFQLGMAPVYMAFLRFMGDEMQARNFSYSLEVGGNGRKLTWEGTPRSIRDSHKKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPENGACIPNLCS >CDP13989 pep chromosome:AUK_PRJEB4211_v1:2:4871106:4873090:-1 gene:GSCOC_T00039145001 transcript:CDP13989 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFSSADLQEDAAWKVCHQAAVEQNSMDLPFLAPQLNNNSTPDEASSFNFDGYPFSLHPWSIPAEGFAEGRAASASRSHSEAEKRRRDRINAQLATLRKLIPKSEKMDKAALLGSVVEHVKEMKSKTTEISNCMMIPTDVDEVTVDYVDDEYCSSIAKDRKILLKACVCCDDRPEFFSELNRALKSLRLTTVEANVISLGGRIKSSFILCPISSSVEGGICGNTLKQSLKLVLSRIAASCTTSTGSNYRIKSRRQRFFLPSH >CDO99536 pep chromosome:AUK_PRJEB4211_v1:2:11520659:11528485:-1 gene:GSCOC_T00029161001 transcript:CDO99536 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSLGLRSSGSYGSLQQHQFQFQNGSVPNQTTPPVNSRKPSKMLKEKERLFPWIFKFAPRKKVGMLLLCAVSAAVFMWVLYVGKGEDSAESTMKNIQFNSTLDYSGFSPLSVENETVKVKIPSKAIMGQGTATSGQLLSPPPPSPPPSPPVYFTGYTLPPGNPCEGFTLPPPPADPKRTGPRPCPVCYLPMEQVLSLKPTAPSFSPVLKNLTYIHEENLTKTEFGGSEFGGYPSLAQRNDSYDIRESMSVHCGFVRGVKPGLGTGFDIDESDLHDMETCQGVVVASAIFGAFDLIRQPKNISDYSKENVCFYMFVDEETEAFLRNFSAVDVNKKNGLWRIVVVRNLPYTDPRRNGKVPKLLLHRLFPSARYSLWIDGKLELVVDPYQVLERFLWRKNATFAISRHYRRFDVFVEAEANKAAGKYDNASIDFQIDFYKKEGLTPYSSAKLRITSDVPEGCVIIREHIPISNLFTCLWFNEVDRFTSRDQISFSSVRDKINSKTNWTINMFLDCERRNYVVQGYHRDVLESRGIHPPIAVVHPPPPMIDEEPKKTVPEFLAENINSSLKKITAKRGRDRKSKRHRKVAVGSKDIAST >CDO97072 pep chromosome:AUK_PRJEB4211_v1:2:16288595:16291392:-1 gene:GSCOC_T00014302001 transcript:CDO97072 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHVDSLNNAYEEFVAAAANVLEAKESSEGQKTAATDAALENFKQRWELFRVACDQAEEFVESVKQRIGSECLVDEATGSVAGKPGQAATSGLPPISAVRLEQMSKAVRWLVIELQHGGTAGCSSHSHSSAPFDARFSEDAAQ >CDO97670 pep chromosome:AUK_PRJEB4211_v1:2:20886950:20895896:1 gene:GSCOC_T00015077001 transcript:CDO97670 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSFVNWSRRGGNRCFKMLYWERISKTAGYVGEDVESILYKLLMVADFNVEAAQKGIVYIDEMDKMTKKAENLSAGRDVSGEGVQQALLKMLEGTIVNVPDNRARRNPHGDSIQIDTKNILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRQAGLTDAAVTSSLLESVESGDLIAYGLIPEFVGRFPILVSLSALDEDQLVQVLTQPKNALCKQYKRMFAMNKAKLHFEDNALRLVAKKAIAKKTGARGLRAILENILTEAMFEIPDAGSGRNLIDLVLVDEDAVGSLDRPGLGAKIIHGNGGLERSPYETELRDGQEGGEMVRVDLDGELEVSSAALSL >CDO99768 pep chromosome:AUK_PRJEB4211_v1:2:9034589:9039145:-1 gene:GSCOC_T00029455001 transcript:CDO99768 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFSFSNTDRKDEPKTTKSISVRSSTSTSTDPEVRRSGSEYNSLNVSDISTGSSVGMSLTSASPRPSNLRVFSFTELKTATRNFSRSLMIGEGGFGGVYRGVIRDVQDLDKKLDIAVKQLSRRGLQGHKEWVTEVNVLGIVEHPNLVKLYGYCAEDDERGIQRLLVYEYLPNRSVQDHLFSRFQTPLPWATRLRIAQDAARGLAYLHEGMEFQIIFRDFKSSNILLDDRWNAKLSDFGLARLGPSDGFSHVSTAVVGTVGYAAPEYIQTGRLTSKSDVWAYGVFLYELITGRRPLDRNRPKNEQKLLEWVRPHLADIKKFEQILDPRLDGKYSLKSAQKLAATANKCLVRHPKHRPRMSEVLEIVNKVVEESVIGSPQGPISSSVKHFEEKSKAQGPKRRFVDNVTGENKWLLWRTWKPKLVKAT >CDP07643 pep chromosome:AUK_PRJEB4211_v1:2:24218735:24222181:1 gene:GSCOC_T00024963001 transcript:CDP07643 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKLEGKRILSESRRNPVKSIKSPDGDIIDCIHIAHQPAFDHLLLKNHTIQMKKSDNIVEKQVKHHTRPIAQLWQLNGKCPKGTIPIRRTKKGDFLRARSILRLPTGRLPRFRFPWRPRTAPVKNSATYEYAYAFVQSKEYLGTKATINLWQPQIDDEDQGGSSLSGVGVVGGPSTRELNSIEAGWIVGFLYNHSLICFFVNPERFGDNRTRLYTYWTVKLFYKKYVFCLPSDGYRSTGCYNLFCSGFVQTSNEIALGASLSPTSVYNGSQYNISIFIWKDPNQTLWWLQYQNKTIGYWPTSLFKYLVHNASAIIWGGVVSNGKGDGLNSTTQMGSGHFPEEGYQRASYFRNLQILNGSNTLVTPDDMITTVANQPNCYGILLGNNTDWGDYFYYGGPGKNPKCP >CDO97267 pep chromosome:AUK_PRJEB4211_v1:2:17700795:17707342:1 gene:GSCOC_T00014544001 transcript:CDO97267 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVSGGGGAASTTGPQNPKTISRDETQTHQRRPPLLPSEKDNNGIDNPKRPKSRVVSSRYMSSSPSTSTSNSSSASSSSVSSRRFPSPLVSRNSTAAWNSPALVPKRSVSVDRRRPVTAARPLTPDLDAKQGNAGAGEPSAATKLLVTATRSLSVSFQGEAFSLPISKTKAAPPSPNLSSVRKNTPERRRSSTPSRVKGDGGGDQVENSRPIDQHRWPARTRQANPLSRSLDCSGGERSKLIGSGNVIRALQQSMIDERRASFDGRLSIDLGNAELLKAVQDAPDGNSVNNESSVQSDLTASDTDSVSSGSTSGVQECTGVSHAKGVPRGIVVSARFWQETNSRLRRLQDPGSPLATSPGSKLIVPPKLKKFPSDGPLSSPRTMSSPIRGPIRASSPSKLMTSVGSSPSRGMPSPSRVRNAVSTINSSFIETPSVLSFAVDVRRGKVGENRIVDAHLLRLLYNRHLQWRFGNARTEATLLAQKHSAEKSLWNAWITISDLRDTVTKKRHRLQLLRQKLKLASILKGQITCLEDWASLDKDHSISLLGAIEALKASTLRLPVGGGAIADIQSLKEAVGSAVDMMQGMASSICSLLPKVDEVNSLVIELAKVTAKERALLEQCKDFLAVLAAMQVKDCSLRTHILQHNRV >CDP07667 pep chromosome:AUK_PRJEB4211_v1:2:24508937:24509755:1 gene:GSCOC_T00024994001 transcript:CDP07667 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIIDGSTVRDFVANEAEFNKSADEKFAALDLNNDGVLSRSELRKSFESFRLLETHFGVDVATPPDELTKLYDSIFEKFDCDNSGTIDREEFRNEMKKIMLAIADGLGTCPIQMALEDDDDSFLKQAADLEASKVNSG >CDP07739 pep chromosome:AUK_PRJEB4211_v1:2:25578185:25584043:1 gene:GSCOC_T00025091001 transcript:CDP07739 gene_biotype:protein_coding transcript_biotype:protein_coding MKILPPCLWRFSISGAHLVKVRLQKFHISSENMSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAIEFLRDPDDPLKTTDHTRRLGLIICRGTAVMLVSPTDGTDEIANPFVQPDGA >CDP08630 pep chromosome:AUK_PRJEB4211_v1:2:54320191:54321326:-1 gene:GSCOC_T00027658001 transcript:CDP08630 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTTSDTRMKQEAQTLHRHHPRRFRTRFATAASIAKPMAYLILLLLCYTLGYLSAVPASSRNSTSPTTLKSPTNLLISTPTSITRPPPPLAPEDHHNFFGSVCAAQPVPPQLVRQTIIDRVFNGTSPWDNFPPPHISRLLHPTKLRGWGSTAPVFDHLIRKVQPKTIIEVGTFLGASALHMARLTGLAGLHEGTQIICVDDFRGWPGFQQEDRHRRWFKDFKMLNGDVTLLYQFMQNVVRANATHLVSFLPFSTGSALEKLCEWGIFGDLIEVDAGHDFHSAWSDINRAYKILSPGGGGVLFGHDYMNAADNRGVRRAVNLFARLHNLRVQVDGQHWVLLHPSKQQQPRLTRH >CDO97250 pep chromosome:AUK_PRJEB4211_v1:2:17607302:17609147:-1 gene:GSCOC_T00014522001 transcript:CDO97250 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGVLLLGFICVIVAGVGGQAPPSPPTTTPSPPTPNAPTTAPPAPPTPTASPPPTTNPPPTPTSSPPPVPSSPPPVVSSPPPVVTSPPPVQASPPPASSPPPVSSPPPASPPPQVSSPPPVTPPPPAPASPPPSQPPPPPATPPPPASTPAPLAAPPASVPSPAPATPTSPAPSPLLRSPPSPPTAAPAPAPSLGTTAPAPSATDQSGAESIQSSAKIISSLAFGWAVLYFLI >CDO97004 pep chromosome:AUK_PRJEB4211_v1:2:15711247:15712999:1 gene:GSCOC_T00014213001 transcript:CDO97004 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVITPPAIPYALHWPSHNRLPCVGSRRQCFARKLALQFSSTNFPQHYRRRTSVWAVNQNAEESFKKTVAVDRLIDMLRDANDKELQKLVAENILAFNESFWIRLAARSETCKSDDDKAWF >CDP13952 pep chromosome:AUK_PRJEB4211_v1:2:4577969:4581457:1 gene:GSCOC_T00039103001 transcript:CDP13952 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNDTCFVDPSAINIHTNGGVWNQGNILSSTLPRLQLQLAIIFVLTQSLHVLLMRMHLPRICSEILAGIILGPTVLGNIPNFTETLFPREGDIYIDILSKIGYSFFIFLSGVKMDPRLVWTGSASLTAWSVGILAPLIPFAGGSAIVLMCKTNASLNVHRYHIPAVRSIISTNILFPFPVIASLLIDLKIINSELGRLTLASTLISDLISNFLATIASNIKMGSGWRDGITASSVILLVAWCFLIVASAEPFAHWIIKQTPEGKPVHRVYIIIISGAVLLAVILTDNAGVNFLYGPFLLGLALPDGPPLGSTLVDKLETLACGMLTPLLVTYCSMKVNLTVLYDLEWVWRVWALIAVCLTLKFAAILFPALVCKVPIKDALALSFVMCTQGVVQMAFYFTFAIAQIFDGETLTTVTASVLLIAAGTQLSVMSLYDYSRIYTGYHKRDIEHIHYNAELRVLTCTHRLDDVLAVRRILESSFPNKESPLAVYAVNLVELVGRASPLLIDHQLGQKASSGGSRSQKIIEFFRSFQQQYSGLVSVQLFTAMSLPRFMHHDVCSLAFDKLASLIILPFHRKWNQQGKLILDNSSLRIINCSVYDMAPCSVGILVDRHKIKRPSPLSLIYSVAVIFIGGADDREALAYGKRMARSPGIHLTVIRFIPWDPMSEIQWDAVLDAELLKDTRLQGTHQDNIVYREEKVKDGAETALQVHAMEEAFDLIMVGRRHREESPQLLGLNEWIELPELGPIGDMLAASDINKPVSVLVVQQQIVRKR >CDO97593 pep chromosome:AUK_PRJEB4211_v1:2:20204900:20211923:-1 gene:GSCOC_T00014976001 transcript:CDO97593 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRAKSKQASLLWLEGLREACCLHRVVIYCLRSRELTIRTGQCFLLNGFIFLGSILTLKAVIIPVLQWILPNQCPDKHSLESCPFGGTLRFYSFLRLGLVQLFYVFWFYPLYILSYILSTLWYNDIAKYGFFAITNYGHAGEESHNELQSPTPQNRSHADKPMDLEGILIGIAEQVYSVLLLSFFFFQVYVTGFVPYIGKAINFLLLSWMYAYYCFDYKWNLSGLSLDKRLDFFESNWAFFAGFGCPCILAIFFFSPLVSYGVMAILYPLFVLTATGSEADKIIALPRRQWEGFGLGRLPIFFAADYASLRVLSFFQCRSSQIMRPLLKSRNL >CDP09393 pep chromosome:AUK_PRJEB4211_v1:2:22887242:22889410:1 gene:GSCOC_T00028740001 transcript:CDP09393 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSAIKEFYSAKDENEVALCIKDLNNPSFYPSMISLWVTDSFERKDMERDLLAKLLITLTKHRDGIISQDHLTKGFDSVLMTLEDAVNDAPRAAEFLGRIFAKVVLENVISFNEVGRLIYEGGEEQGRLVEIGLAAEVLGTILEIIASERGDSVLNEIRSSSNLRLENFRPPSSNKTWRLDKFI >CDO99553 pep chromosome:AUK_PRJEB4211_v1:2:11388421:11390137:-1 gene:GSCOC_T00029182001 transcript:CDO99553 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAMVGANTVLGLAVVMDKAAEVVVAEEVGEVAVAEVLEACLVMVAVAEAEAARVLEACPVTVAAVAQDGRLVTVALGTGMEMEAVVAVVEDLISVCHIQVATIVTLLLYTPIIIIFLPVPVLLGQTTTKQQTNLLLPWLLNPIRLAVNGNALISAT >CDO97143 pep chromosome:AUK_PRJEB4211_v1:2:16793046:16795841:1 gene:GSCOC_T00014391001 transcript:CDO97143 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAHPDEKYQLQGCVTIQDQHYNKDVIACGASGINAFKFNADAPAFVPRAPVPAPPPASVPVSGYFLPCFPIFGGTNGTDWLFLGDQDTISLVSKSNATSPTQQSKHVLTEELQKKIIKQMEYQFSDMSLLANDNLAKQIYKDHEGYVPIANVASTKKIKSFVGSNHQLLAQALRASTKLDVSNDGKRVKRKVPFTDKVKEELQLRTIVAENLPDDHSHQNIEKIFNVAGCVKTIRICHPQDPNTRSKGDFIISTKLHALVEFENSESAERAVEKLNDQKNWRKGLRVRCLLRRSPKSVLKSKKSEFDGLLDDDETPPGSSIVSPELSPRPIISDSPPENNGYESSGASKTKAWNKGRGKPRHRLTSSHSGRGLLSLSPQSNNGIFILGEAPLTRQNAKGPKMPDGTRGFTMGRGKPISVSVPIQTCQAVV >CDP09431 pep chromosome:AUK_PRJEB4211_v1:2:22444500:22455536:1 gene:GSCOC_T00028792001 transcript:CDP09431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VASCULAR ASSOCIATED DEATH 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G02120) UniProtKB/Swiss-Prot;Acc:F4HVW5] MAAVASEKVVEPSLTSSQSLSMSRTPSRQASNATASDPSFAETPDRPSSLDSSPSSSRQLIDSQNQLFLRSEEYRQLFRLPQDEVLIQDFNCALQENFLLQGHMYLFAHYICFYSNLFGFETRKIIPFNEVTSVRRAKAAAIFPTAIEIIAGGKKFFFTSFLSRDEAYKLINDGWSQNNSDIKSIADEQESTTGSNSLENGCAIVEKVESSRQSVDESNLIERDKDGLTPDDVVPQANGEPEILSTSIQQQDIAENNVENVQNIDCSASGKSLAWELVDYDPPQVPEGFTMVADSKFPVTVEEFLEFFFSDQAVDFQDSFHRKCGDRDFKQTEWRPHEKSGYTRDVSFQHPIKIYFGAKFGSCQVVQQYRVYKNCHFILETSQEISDVPYADYFRVEGLWHVERDGDESERGCILRVYVNVNFSKKTMWRGKIVQSTIEESREAYGTWIDLAHQLLKQKNLEVKEGNLIQSNQARGKKEERNVGSLEKSDEAVEANFSRALPISKDVYQHPFVSPGRGHPGSASVAPFSDLLVKFYSALKNQNPVSLFLVIGIAVILLLMQLSILTL >CDP17235 pep chromosome:AUK_PRJEB4211_v1:2:48152001:48156367:-1 gene:GSCOC_T00000724001 transcript:CDP17235 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPGSRAELRRGRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQNAFVVQSDTSSVEKKLENLQAMVAGVWTDDGSLQLEATTQFRKLLSIERSPPIQEVIQAGVVPRFVQFLMREDFPQLQFEAAWALTNIASGTSEHTKVVIDHGAVPIFVQLLSSPSDDVREQAVWALGNVAGDSPKCRDLVLGHGALGPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFDQTRPALPVLQQLVHSSDEEVLTDACWALSYLSDGTNDKIQAVIDSGVCPRLVELLLHPSPSVLVPALRTVGNIVTGDDMQTQCILNHQALGRLLNLLAGNYKKSIKKEACWTISNITAGNRDQIQAVIESGIMSPLIDLLQNGEFEIKKEAAWAISNATSGGSHEQIKYLVSQACIKPLCDLLVCPDPRIVSVCLEGLENILKVGEAEKNLGITGDVNLYAQMIDDAEGLEKIENLQSHDNNDIYEKAVKILETYWLEEDDDTMPPGDASQQSFQLGGSQISVPSGGFNFN >CDP13955 pep chromosome:AUK_PRJEB4211_v1:2:4590967:4593621:1 gene:GSCOC_T00039106001 transcript:CDP13955 gene_biotype:protein_coding transcript_biotype:protein_coding MNASANIVLDSVGNRTLVCHDPHKISSNGLWTNENPLNYSTPLLLMQLSLVSLTSMLIDVIIKPLGQSTIVSQIFGGIIFGPSLLGHVASVGAVFFPPRGQIIFETFSTFGLMFFLFSVAVKMDPKMMIRPGWKPAILGLSAVFTTLVFSLLLAFALKRYASLDPSVAKPLPVIAAAQCLLSFQNVASVLTELKMIQTDLGRLAVSSAMFCDLIGICFMASSFAVLQTSGDYPMISVLAILVFVIFVLVSVYIIRPLILKSLSLVPEGRSIGESHITAIFTVLLVVGFISEMIGQHFMFGPMVIGLVVPEGPPLGAALTAKLDIPVGKILYPAFLTASGLKTDIFCIHFQGAWTVALIVFLSCVVKVGAVMLPACYMDLKIQESFVLGLMMNVRGLSELVLYNLFLDVEVLKPEAFALCVVSVILVTAITTLLIKLLYDPSSRLTPVRRRTIQHCKRGTELRILVCIHNQENVPAMVNLLEASNATEESPVTVIAVLLIELVGRANPMLIAHQSHRLLQPSSSRSGRIINALRQYELCNEACVTFQSFSSISQFDTMHEDVCRVALDQNTNIIILPFHKQWEIDGSIGSVNRAIQNMNAQVIEKAPCSVAVLVDRTTLRGSRTILNGQSSYHVAVIFIGGADDAESLSYAARMVMHPHVSLTVFRFLFLGSDNMRERKIDNNLIDEIRHVNIGNERFAYQEEIVRDSVGLAASATRLGGSFDLILVGRNHQESPLLEGLGAWIGCPELGVIGDMLAEPDSERTASILVVQQQRLKGKVMKRISKPVVIDQEPFHAT >CDO96730 pep chromosome:AUK_PRJEB4211_v1:2:12449210:12450686:1 gene:GSCOC_T00013841001 transcript:CDO96730 gene_biotype:protein_coding transcript_biotype:protein_coding MASKMQVSDGDHIARLIACLFMAIPFFERGASNGKFLNYLNKHIFPVFDKLPEEWKVDLLKDLAESSPYTTPQDSRQILPSVVQLLKASI >CDO99614 pep chromosome:AUK_PRJEB4211_v1:2:10735284:10741466:1 gene:GSCOC_T00029259001 transcript:CDO99614 gene_biotype:protein_coding transcript_biotype:protein_coding MALARFSRSGLRRSGSAICSYADERNVPFERGSVQESPSTYMRNVRGGGNFSYLSNTEKVDYSCMWIRGMRMTPQYHFAHAQSVMEGYDSEYESTRYPTLEATKPGEKPRVVVLGSGWAACRFLKGLDTKIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSQIQKALAKDPNSYFFLASCTGIDTEKHEVYCQSVENGALHHEPYQFKVAYDKLVIASGAEPLTFGIKGVKEHAFFLREVNHAQEIRKKLLLNLMLSQNPGITEEEKERLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYAHVKNYIRVTLIEANEILSSFDVGLRQYATKHLTKCGVRLVRGVVREVHPKKIVLSDGSDVPYGLLVWSTGVGPSEFVKSLDLTKSQGGRIGIDEWLRVPSVDDVFALGDCAGFLEQTGRQVLPALAQVAERQGQHLVELFNKIGTKNGGKALSMKDIPLGEPFVYKHLGSMASVGRYKALVDLRQSKDEKGISMAGFLSWLMWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNSRIG >CDP00026 pep chromosome:AUK_PRJEB4211_v1:2:6827708:6831548:-1 gene:GSCOC_T00029784001 transcript:CDP00026 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKPVEVCVKAAAGAPDILGDCPFCHRILLTLEVKKVPYKLHLINTDDKPQWFLAVNPEGKVPVIKFDDKWISDSDVIVGIIAEKYPEPPLSAPPEASSVASKIFPAFVKFLKSKDPNDGTEQALVEELKALDEHLKAHGPYINGEKISAVDLSLAPKLYHLETTLDHFKGWKIPENLAHLHNYIKLVFSWETFDKTKAAKEHVIAGWKPKVEA >CDP09339 pep chromosome:AUK_PRJEB4211_v1:2:23492059:23495005:1 gene:GSCOC_T00028661001 transcript:CDP09339 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWGFICMLMMAVFGGLMGLTSLLKSVNRWLFEAKLGDRRFSLPPGDLGWPFIGTMWAFLRAFKSSNPDSFISSFVNRFGPVGLYKTMMFGSPSIIVTTPEACKRVLTDDEAFMPGWPSSTLTLMGRKSFIGISAQEHKRLRKLTAAPVNGHEALSIYLKYIEDNVIEALEKWAGMGQIEFLTQLRKLTFRIITHIFLGSESEQVMEALEREYTVLNHGVRAMSINVPGFAYYNALKARKRLVAIFQSVVTERRARRKENSTAEKRDMMDALMDAVDDKGRKLDDEEIIDVLVMYLNAGHESSGHVSMWATLFLQKNPEVLQKAKAEQEAIVKNRPPGQVGLTLKEIRQMDYLSKVIDETLRVVTFSFVVFREAQKDINISGFTIPKGWKALVWFRNVHFDPELYPEPKKFDPDRWDGLTAKAGTFLPFGAGARTCPGNDLAKLEISIFLHYFLLDYELERQNPSCPLIYLPHQRPIDNCLGRVRRVKGYL >CDO97350 pep chromosome:AUK_PRJEB4211_v1:2:18343888:18350361:1 gene:GSCOC_T00014668001 transcript:CDO97350 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAASATSICICITNNKATTIAIGGVGEDSRLVSSSLSASSASFSSSSTSSFRFGLSSSIPSTPLLTIPTCAASRLHPLRLPPPRRCFASMDSGEFPSLYPLHRCKTIYVVRHAQGIHNVEGDKNYKAYMSPEYFDAHLTPLGWQQVDNLRKHVHTSGLLNRIELVVTSPLLRTMQTAVGVFGGDGYTDRMDTLPLMLANAGNSRRAAISSLNCPPIVALELCREHLGVHPCDKRRSISEYQCLFPAIDFSLATSDEDTLWKANVRETKEEVAARGMNFMKWLLSRKEKEIAVVTHSGFLFHTLSAFGNDCHSSVKKEIAQHFANCELRSMVLVDKSMIGSDSSPINYPGKIPSGLDLPSDLAAEKNLENGKT >CDO97699 pep chromosome:AUK_PRJEB4211_v1:2:21127214:21128527:-1 gene:GSCOC_T00015112001 transcript:CDO97699 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMKHIDNIPSTPGKFKMEKSPYNRLRLHSSLAKLTFWSFVFLGLIFVFFFRSPSSSSPESLTADPSRRSLRTSSYGGPDWEKRIKASAKVRSRNGMSVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDQSLKRARQALLERSGVYIVEGDINDVALLKKLFDIVQFTHVMHLAAQAGVRYAMENPSSYVHSNIAGLVSVLEVCKSVNPQPAIVWASSSSVYGLNTKVPFSEKDRTDNPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILKGKAIQIFEAANHGTVARDFTYIDDIVKGCLAALDTAEKSTGSGGKKKGPAQLRVYNLGNTSPVPVSDLVSILERLLKVKAKRTIMKLPRNGDVQFTHANISLAQRELGYKPTTDLQTGLKKFVRWYLSYYGNGKKSSQ >CDO96785 pep chromosome:AUK_PRJEB4211_v1:2:13042832:13044028:-1 gene:GSCOC_T00013915001 transcript:CDO96785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36730 [Source:Projected from Arabidopsis thaliana (AT2G36730) UniProtKB/Swiss-Prot;Acc:Q9ZQA1] MRRSGALPNEYTFPFLFKGCASVLGLYDGRQIHADVKKRGLDTNVYVQNTLIHFYGCCRKIIDAHKVFDEMSYRTVVSWNSILSAFVENSWFREAIEVFLSMRSSGLEPDETTMVILLSTCAGTGNLSLGKWIHSQVIVTAMVVNCQLGTALVDMYGKCGIVDYARLVFNGMSDRNVWTWSAMIMGLAQHGFAFQALQLFKAMKNSLIEPNYVTYLGVLCACSHAGLVDYGRRFFYEMEHIHGIKPMMVHCAVMVDILGRAGHLEEAYNFILGMPTMADATIWRTLLSACNIHDINDRTGLAEKVRQKLLELEPRRSGNLVMVANKYAEVGMWEKAESLRRSMRNVGLKKTAGESCIEIGGSIFRFFSGNDFYVANREILLLIDRLNLHTRMMVNCHK >CDP05197 pep chromosome:AUK_PRJEB4211_v1:2:2349283:2351931:-1 gene:GSCOC_T00020160001 transcript:CDP05197 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNVRISTYKFFFFFLELNWNAGNYPRKSIFSGVTFSKYPLHDPWTKPRRNPIEIPLTCAVTNSTRTCPTTYHPPNLSARDEDAAAPEACPDYFRWIHEDLSPWRETGISLDMVEAAKRRAYFRLVIVNGTVYVETYQRSFQTRDVFTQWGILQLLRRYPGKLPDLDLIFSCADQPGIVKECYPKSNATAPPPLFGYDGDDSTVDIVFPDWSFWGWPEIVIKPWEQLSKELKEGNRRMRWVDREAHAYWKGNARLTASRRDLLKCHVSDKQDWNARVYYQDWHREQRQGFKNSNLADQCIHRFKIYIEGIGWSVSEKYILACDSVSLVVRPRYYDFFTRSLIPLQHYWPIRENDKCRSIKYAVHWGNTHQEEAQAIGKAASDFVQEELQMKYVYDYMFHLLTEYAKLLKYKPSVPPKAIELCSELMACPADGLVKKYMVDSVVTSPSEAAPCTVPPPYDPPTRHSILERKEDLIKQVETWEKQYWDTQTNHN >CDP17985 pep chromosome:AUK_PRJEB4211_v1:2:43606985:43608915:1 gene:GSCOC_T00001244001 transcript:CDP17985 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSILLLMILHGLVQGILCSRRSSLPSSDKFSQRKLENDSFCPLDFEEFGKIVARNPVALQFTGVPNECHSLLEATPWISNVCMNISTQSQFEALVPEAQLQGLIKSCNQSLNDDSSCVPCLAAVSSIRSAYFRGNEISNVSDCSGFPTMYAAAFANQFGPTDLDTIKCLFLFDYSSLIPSRADHHKVLNFVVQVVLIGSVVGLLLIKDDKASAFKLHSGSITFVRFNIEELKQATRNFSRENLVGTGGYGNVYKGILADGSEVALKRFKNCSVAGDANFGHEVEVIASVRHVNLVALRGYCTATSPPLGHQRIIVCDFIQNGSLHDHLFSPRAKELSWPIRKKIALGIARGLAYLHNDLQPAIIHRDIKASNILLDESFEPKLADFGLAKFTPDGFSHFSTRVAGTVGYVSPEYALYGQVTEKSDVYSFGVVLLELLSGKKAVISIDADHQTMLLADWAWSLVREGRALEIIDEKLTEIDPSEVMEKHVLAAILSVHPLQHARPTMNQIVNMLETDIRTVISNTDHSFPTTAEIDEIESLVSTGRSAMLNFADQRPSEIYDFWSR >CDO96863 pep chromosome:AUK_PRJEB4211_v1:2:14067729:14073773:-1 gene:GSCOC_T00014024001 transcript:CDO96863 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDMTHLMSVLVLLLKIYATKSCSGISLKTQELYAVVFLARYLDLLTDFFSLYNSVMKVVFIASSLAIVWCMRYHRAVRRTYDRELDTFRHYFLILGSFVLALIFHEKFTVQEIFWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVFFLGAYRGFYILNWIYRYFTEAHFGRWIASISGLVQTALYADFFYYYFISWKNNAKLKLPA >CDO97567 pep chromosome:AUK_PRJEB4211_v1:2:20007394:20015154:1 gene:GSCOC_T00014948001 transcript:CDO97567 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKCWAYTLSSCSSLNNSGKKSQQDKSEKPHGRDYGEEDGSTGSKDLSLRNLSKLILPPLGASSYDQNQTRFKGRIIFPMDSRYRCWESLMVLLVAYSAWVCPFEIAFLSSHPKKLLYVADNIVDFFFAVDIVLTFFVAYIDPRTQLLVLDSKKIAKRYLSTWFLMDVASTIPFEMIGFLFTGKHQSGLSYSVLGMLRFWRIRRVKKFFKRLEKDIRFNYFWVRCAWLLSVTLFLVHCAGCLYYLLADRYPHEGKTWLGVAIPNFRETSLGFRYVSAMYWSITTMTTVGYGDMHAVNTLEMIFIIFYMLFNLGLTAYIIGNMTNLVVEGTRRTMEFRNSIEAASNFVNRNHLPTRLKEQIIAYMCLRFKAERLNHQELMEQLPRSICKSIRQHLFLPTVEKVYLFKGVSRENILLLVADVTAEYLPPREDVILHNEAPDDVYIIVSGEVEIIASDMEKERVVWTLKPGDMFGEVGAFCCRPQCFTYRTKMLSQLLRLKTSALIEAMKIDREDNIAMIRNFLKHHKNLKDLCLGDLFLEGVEEEGDPNLSINLLTVASTGNAAFLDELLKAGLDPDVGDSKGRTPLHIAATKGHEECVVVLLKHGCNIYLQDIDGNTAIWEAVAAKHQPVFRILYHWASISDPYLAGDLLCTAARKNDAAVMKELLKQGLHIDSKDPHGMTAIQVAVTENQTDMIKLLLMNGAEVDDIIKHKLSSINVNEMLQKREVGHRITVPDTLGQDAFKWNEIKHECDKECSEGTCSTRVSIRVSIYRGHPVIRKDIRCSEPGRLISLPKSLTELKNIAGKKFGFDATNAAVVSEGGAEIDSIEVIRDNDKIFFIEDHSSVMKC >CDP15481 pep chromosome:AUK_PRJEB4211_v1:2:45316722:45320620:-1 gene:GSCOC_T00015322001 transcript:CDP15481 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLSGNALKTFARSITCLARVGNELAIQASPSLLAFHTLNSSRSAYQSITFKPDFFDVYTVPGGAQVQSSVLLKASSSLLRTSISSIDQLNVLLPNTDAPKMQWTLNCCNGMKKAYWITCNVEPDIQQLSLDRRKLPSNFVVRPRDLNRLLGNFQATLQEITVIATEPAVLPPDVANEIGGKAVELRSYIDPTKDNDSSLHTQLWIDPSEEFVQYTHRGDPVDVTFGMKELKAFLSFCEACEVDIHFYFEKAGEPILMAPKFGLDDGSCLNFDATLVLASMLASQLHNGTSAEPQPAEARADGRSKGNTSEHPSDHTRIWSELSGSVARSNNGSEDRQVQREGNQHPNEQREIQRIGAMHISHSGPSVDVRAGLNNRPLSERNQMGEDTGRRELNAQASQRHPSNWVDADEDEDEGEEAELCVQSTPPCYEE >CDP15524 pep chromosome:AUK_PRJEB4211_v1:2:46017952:46019262:1 gene:GSCOC_T00015383001 transcript:CDP15524 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKRCQVGCLCMILLSFLALHQVAVGARYLQDKVDDGEKPDDMWSTSRSTNKSTSNDNDQGFVATVNREVPSCPDPLHNR >CDP07676 pep chromosome:AUK_PRJEB4211_v1:2:24593809:24597560:-1 gene:GSCOC_T00025007001 transcript:CDP07676 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKSSQEAPSPPADAQLFGLLSSLLQQVESLTNQEEVELRAKIEALGSEVTKVPSKSAQDLDEIEIAKELDKLSSKLDDVDKMISSDPEVRSLLSSTADVWMPVITANSNERRNFTATFADDNHEVQGKDSK >CDP17225 pep chromosome:AUK_PRJEB4211_v1:2:48030309:48034375:1 gene:GSCOC_T00000711001 transcript:CDP17225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11060) UniProtKB/Swiss-Prot;Acc:Q84J78] MNSLSSKLVKLLRISPSIKPASPFALGMQGNLKLCYSSGPFDNDHDKEDNSDHDLEIEDDDFHPEKPELQLQGVDPKRGWNYRGVHKAYLCGKVGQAPVQKILRNGRTVTIFTVGTGGLYDQRISEAQDLPKPAQWHRIAVHNEMLGAYAVQQLAKNSSVYVEGEIETRVYNDSINGAVKNIPEICVRRDGRVRLIKPGEGISNISFEELREGLL >CDP05362 pep chromosome:AUK_PRJEB4211_v1:2:3615068:3618019:1 gene:GSCOC_T00020373001 transcript:CDP05362 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMATRSEGGHYNARITWFVVLSCAVAATGALIFGYDLGISGGVTSMDHFLKKFFPEVFKKMKEDKTTSNYCKFDSQLLTFFTSSLYIAALVASFFASQVTRAFGRKSSILLGGAAFIIGSAIGGAAYNVVMLIFGRIFLGVGIGFANQSVPLYLAEMAPPKKRGAFTSGFNFCVGIGILIANLINYGAAKIRGGWGWRISLAMAAAPASILTLGALFLPDTPNSIIQHGKNYEKAKRVLQQIRGVDDVQIELDDLIQASDIAKATKHPFKDIRRRRYRPQLVMSMAIPFFQQLTGINTITFYAPVLFRTIGRGESASLLSAIVVGVVGSSAVILTSLIVDKVGRKVLFFVGGAVMLFCQLTIGGIMAVKLGDHGQLSTTYAYLVLILVCMYVAGFGLSWGPLGWLIPSEIFPLEIRSAAQGIRVAVDFVFIFLGAQTFLAMLCHLKAGIFFFFGGWVTVMTAFVYLLLPETKNVPIERMEKIWREHWFWKRFVLNDEDYNGNKAETT >CDP14010 pep chromosome:AUK_PRJEB4211_v1:2:5051618:5055067:-1 gene:GSCOC_T00039174001 transcript:CDP14010 gene_biotype:protein_coding transcript_biotype:protein_coding MMASGLNVGAQLHPIVLSMHKWGGLQGRRMHSPDITSCLSNRITCLLNQPSIRTPDIDRKESRPLVKMCGVTSARDAALASEAGADFVGMILWPNSKRSISLPVAKEISKVARENGAEPVGVFVDDNADTILRVSEATDLEFVQLHGNGSRAAFPVVVRENRVIFVLHANEDGSLLNSISDEECSLVDLILVDSAKGGSGKGFDWSKFELPPIRSKYGWLLAGGINPENVSEALSALRPHGVDVSSGICGPDGIQKDESLILSFMNAVRSANVLMEE >CDP05014 pep chromosome:AUK_PRJEB4211_v1:2:876391:877792:1 gene:GSCOC_T00019916001 transcript:CDP05014 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEYSQYTTVGIALLAILTIAKFSLSSTFKKSGAQATITFKSDLVINGPLLFNRLGRIYEYGPC >CDP08912 pep chromosome:AUK_PRJEB4211_v1:2:51838982:51843496:-1 gene:GSCOC_T00028046001 transcript:CDP08912 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCIGRLSRRRKPISSFTALHLYSTSAATSTATSSKLHTKYSFIPPPSLKPQQNPSSTQPTKKPPKPRYRPPSSLDRAGLDPVKSNLPFDFRFSYTESSASVRPIGLREPKYSPFGPGRLDRSWTGVCAPAVDPKEASIESEQELAENRRVMRERIQGEPLSNAERKALVETCQRHRTKRQINLGRDGLTHNMLNDIHNHWKHAEAVRIKCMGVPTVDMKNICTQLEDKTFGKIIQRHCGLLVLYRGRNYRPKKRPIIPLMLWKPQDPVYPRLIKTTIDGLSIEETKQMRKRGLAVPALTKLAKNGYYGSLVPMVRDAFITEELVRIDCKGLERSDYKKVGCKLRDLVPCILVTFEKEQIVVWRGKDYKPVQDGFFIADRELFDDLQNDTVQVVDQNEKRYALQEAQELYSGDE >CDP04992 pep chromosome:AUK_PRJEB4211_v1:2:715255:716350:-1 gene:GSCOC_T00019886001 transcript:CDP04992 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGELGCTYASLILHDDGIPVTAEKIATLVKAANLTVESYWPSLFAKLCEKRNIDDLIVNVGCGGGGAAPAAVAASAGAGGAAPAAAAPAAEEKKEEPKEESDDDMGFSLFD >CDP07718 pep chromosome:AUK_PRJEB4211_v1:2:25359561:25364108:-1 gene:GSCOC_T00025068001 transcript:CDP07718 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQSFLARKGPLGTVWCAAHLQHKLKKSHYTSTSIKDTVDLILVPQVPIALRMSGHLLLGVVRIYSKQVDYFYQDCNVALVEILKAFSSVNTNINLPEGATQAPYHSITLPETLALDKIDLEGYSDLERSEDDHRGRREEITLEDQEPTGRDPHEPTRFTEDMGRGSPDLEETTVSGLKPMEEDSRPSVPEDITVVIGDPSPGNHGGLNEKPGKDSTTQELPDIEIMRDAVHDFRMEDAPVWPDQGYDVELDRVLEEQIMKRTEASSPVVEEILVSGGPSMPLPQAEEPQSVASEQAHENFNLDIPFGHASPGLAIRSTPPVEQPRAKQRKKRSRDFYDEQTVLTNKSMKKALEDSSDLLRRRRDCPSSNLDMWKSQKRLKKDRIFLEPLITGLSADLVSIYKKEVISSKPHLVGSEEPHLQPRDAQISTPRGDNEMEIENLRNYEGPSGGNEMFNILPSPNRFISSPTMSMASPIRRGESTPATTNFGSEQDRLETTIGTDVQTTPDLAASSGLFSSDMETPATLLGGALGVENTVLSDIPEMLNSAGDLSFLEQDEKTPAGTPRTPESDHLTRKQMGTPEFDKLSARTRAVAQYLRRQSSVATNSEELSGILSLNSILEGKTRKISARMFFETLVLKNYALVDVNQEEPYSDIALKVTSKLIKEKFPS >CDP17766 pep chromosome:AUK_PRJEB4211_v1:2:51565010:51569741:1 gene:GSCOC_T00003881001 transcript:CDP17766 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSPAKEPLLSSKEDELGCLKKTSLLISSARWIIKGSILIIFIAWATFIFLYPLEAVSDWSRKWSNVTAGSLFGHTGSTFLLFGVPILIIAFLAIAYLIISGEEEFPEFKKKVSKIPRFSLWTFPVLVDGPFGVVSAAEMIGILLFSLYIIWAVSVFTMRNYDLLSWFESQGFPSKDKLALMLELTGLRFGFIGQMCLAFLFLPVARGSVLLRYIHIPFEHATRYHVWLGHLTMLLFTLHGLFYVVGWKMQGRLAEEIRQWRNIGISNFPGVISLLAGLLMWVTSLPGVRRWNFELFFYTHQLYVVFVVFLAMHVGDFLFSMAAAGIFLFMLDRFLRFCQSRRTVDILSATCFPCGTVELVLSKPANLQYNALGWVFLQVRDLSWLQWHPFSVSSSPLDGKHHLAVLIKVLGGWTNKLKEHILSISKDGPDKQQRLQPQSRISASVEGPYGHESPYHLTYENLILVAGGIGISPFLAILSDVLHHIKEGKPCLPKNILIVWAVKKSDELPLLQTVDMESICPFFSEALNLEVQTYVTRESEPPLEEGQIYEPPNFCTFRHSNKSGMSVLVGTGNIIWPGMYIIVSAIGLAVLVGLLNVFYINPFHITCWWYKGLLLVACMIASVLLFGGLVIVLWHLWDRQTSVEEQTKDAGKIGSVQQNGHATHKNVGQEKYVSSILYGQRPDFGEIFGSISESWGSVDIGVIVCGPSTLQTSVARECRTKNLKRRKNEPIFHFNSHSFDL >CDO99499 pep chromosome:AUK_PRJEB4211_v1:2:11931838:11934005:-1 gene:GSCOC_T00029098001 transcript:CDO99499 gene_biotype:protein_coding transcript_biotype:protein_coding METRNGWPLGLGNINLRLRATLNFEAAAQAAPANELSSEMPSPSPSFTSFSSSNLDTESTASFFQDQSVPLGRLIGIKPASRGSLQFPSTNDFRQHRSLSSGRSNAEVSRNPGVETSQGLCVPLLHNVIEKMSRSKSTSRH >CDO96821 pep chromosome:AUK_PRJEB4211_v1:2:13484635:13488875:-1 gene:GSCOC_T00013966001 transcript:CDO96821 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGTIPRQLGNLSFLVTLDLSGNKFQGSLPQEIVYLHRLRVINLSFNNFTGQIPSWFSFLTCLKYLNLKKNNFTGLIPYSLSNLLKLENLILSSNSIQGIIPEEIGNLHSLKYVDIENNQLNGSIPSSAFNISTLETIALGQNSPFGNLPTDVCLNLPKLKKLKIWNLTTLELLYLGDHNLRGRYNFIFISRLDPNNLYLHDNQIMGSIPASIFNISSLTVISLKVNKLNGTIPENMCHRLPNLEGLYLYGNLLRGQIPTGLHECLALQEFTLEENELTGTIPRAIGNLTFLTRIYLGHNKFTGTIPQEVGNLRKLEDFSLVFNSITGPIPVEIFNISTLVFLSLVGNHLSGNLPSSMGGRLPNLETVYVYLNDLDGVIPPSISNASKLAYLNLSGNKFSRSIPTSFGDLKHLKILDFSVNNLLSEPSSSELSFITSLTNCKILTRLGMNDNPLNGSFPLSIGNLSSSLERIYAYNCDIRGNIPQGLGNLSSLVILNLSKNRLTGSFAAAIKGFRDLQGISLNENQLTVAVSEDICALKSLYNLYLSKNQISGSLPSCIGNMTSLRYLSIAKNSLNSSIPTSEWNLDNLLVLDLSSNFFSDILPKEIQNLKAATYISLSANHISGNIRTSIGGLQMLLTLSLAENKLQGFIPDLMGDLSNLVFLDLSNNNLSGLIPKSLVALQFLSYVNLSLNNLWGEIPSDGPFKNFTSQSFMSNGGLCGSTRFLVPPCSTSIGHKSTRKKTSHVIFITSGIGAALMAITLTIVFLMYRNKTEVSRTEGILEIRTVQRISYYELLQATHGYEKSNLLGTGSCGSVYKGILKDGMLVAVKMLNLQVKISPGSFERECEVFRNVRH >CDO97200 pep chromosome:AUK_PRJEB4211_v1:2:17241533:17246887:-1 gene:GSCOC_T00014467001 transcript:CDO97200 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQVPQTVMNVNAAAAGGGGGAVNPQFVPTSLYVGDLDFNVTDSQLYDLFNQVGQVVSVRVCRDLTTRRSLGYGYVNYGNPQDAARALEILNFTPLNGKPIRVMYSHRDPSIRKSGAGNIFIKNLDKAIDHKALHETFSTFGNILSCKLATDGSGQSKGYGFVQYDSDEAAQKAIEKLNGMLLNDKQVYVGPFLRKQEREMAVDKTKFTNVFVKNLSDSTTDEDLKKVFGDFGTITSVAVMRDEDGKSKCFGFVNFENPEDAAKSVEALNGYKFDNKEWFVGKAQKKSEREQELKQRFEQSVKEAADKSQGLNLYIKNLDDSINDDKLRELFSPFGTITSCKVMRDPNGISRGSGFVAFSTPEEASRALSEMNGKMVVSKPLYVALAQRKEERRARLQAQFSQLRPIAMGPSVAPRMPMYSPGGPGLGQQIFYGQPPPAIMPPQPGFGYQQQLVPGMRPGAGPMPNFFVPLVQQGQQGQRPGGRRGAAVPVQQGQQPVPLMQQQMVPRGRAYRYPPGRALPDVSMPGVGGGMLSVPYDMGGMPLRDVVSQPVPIGALASALANASPADQRTMLGENLYPLVEQLEPETAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMEVLRNVSQQQQAANPADQLASLSLNDGLVS >CDP18392 pep chromosome:AUK_PRJEB4211_v1:2:43428436:43429146:-1 gene:GSCOC_T00012981001 transcript:CDP18392 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLGQVNSQTVSSPKLTNQPTFTSSSPFHHCFHHDRAQIVGVDGKRVLETTVKAGNLFIVPRFFVVSKIADADGLEWFSIVTTPDPIFTHMAGRTSVWKALSPEVLQASFKVSPEVEQQFRSKRTAEEIFFPPN >CDO99490 pep chromosome:AUK_PRJEB4211_v1:2:12018270:12019691:1 gene:GSCOC_T00029085001 transcript:CDO99490 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLMMKWPKQITASFVEQLILAEKDLQKAIMIFDAATAEYSHGFKHDNSTFGIMISRLLSSNHFKSAEEMLGRMKNEKCKISEDLFLSLFRAYGRVHKPLEVIRIFEMMKEYDCEPTEKSYFTVFSILVDENQLKTALRFYKYMRKMSIPPTVASLNILIKALCKNIGTIDSALNIFLEMPKRGFTPDSYTYGTLINGFCQLGKISEAKELFDEMNASGCSPNVVTYSCLIRGLCQSKKMDEAMSLLVEMKSKGIEPNLFTYGALMDGHCKSGQSSDAMKLLEMMISKRQAPNMVIYSTLIHGLCKEGKLGEALEIFDRMKLQGLKPDAGLYWKIINGFCDISKFLDAANFLDEMVLGGISPNRVTWSLHTKIHNTVVLGLCKIDLNRAFQMYLSMRSRGISVEAKTFGSLVDSFCMKKDLKKASRVLDEMVVDGCIPEEATWSAILTGFWDKKKVHEAAKLVQTQILGNL >CDO96801 pep chromosome:AUK_PRJEB4211_v1:2:13211676:13223687:-1 gene:GSCOC_T00013937001 transcript:CDO96801 gene_biotype:protein_coding transcript_biotype:protein_coding MELKWRTDFMVFDFYKSPNLEPHNAKGGLEEVCRQLENHGIQMLCQIKHGSCQSRAILFKVLADSVGIDSKLVVGLPREGVMERTDSYKHMSVTVFLDSVEFLVDLVRVPGKLTPCTSEAIFFSHMIASRESESALNSYDSPMEPCSPICGYRGQVDLECTELEEFLQPSYQSKLEASTSFSGPSMRGVLAQSKAIAERRLNLSHSDPNVTNSFWWLNQKNMIVEPKTATLNTATSRFSRPGRSILGGRRYSFRDYSNDVATSSPKCILRSAGASPTEARRRRRQCISMVPEIGDDIVRLEGIFHLLGFGAVRAMNEALKRNRFPKENLYASSSCSRREKDDNSDLEETVSKLHPDHRGERVMGYNFHEKQMNSQKAISLPSSPRYFTHHASGRCEAKESIRGPDMISTMNKMLEIPKILNKKLFPFEEWNIEFSELTIQTRIGIGFFGEVFHGIWNGIQVAVKVFLEQDLTLENIEDFCTEISILRYFTSNLLCHRGTISVAFRLHFLNMVIQVNYRCIWAPHRPFILLILRDTSAMVSNSQSFSTDLYETPFTEKCDIFSFGVIIWELCTLNKPWHGVPSVQVVDAVANDGKRLDVPDGPLGKLILDCWGEPDERPSCQDILSRLLDYESTLC >CDP08868 pep chromosome:AUK_PRJEB4211_v1:2:52330943:52335668:1 gene:GSCOC_T00027986001 transcript:CDP08868 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKKKVLIVGGTGYLGQHLLQGFSENQETSQYALAFTHYTSPPPQPLLDAIPQALPFHVDLRTGDGFDAISQKFGHPDIVVNCAALSVPRACEMDPAGAMSINVPSALVKWMSSFNNSNALLIHLSTDQVYEGTKSFYKEEDETLPVNVYGKSKVAAEQFIRTSCSNFTILRSSIIYGPQTVSPVPKSLPIQWIDSVLAKGETIQFFYDEYRCPVYVKDLVHIIQALSIRWISEGKPMQLVVNIGGPDRVSRVQMAEAVAHVGGHKTSLINPVSALSVDRGVNSPSDISMDITKLIQTVGISPITFTDGVRLTLEC >CDP05147 pep chromosome:AUK_PRJEB4211_v1:2:1978340:1979709:1 gene:GSCOC_T00020096001 transcript:CDP05147 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCKGCGKLGRMARDRSASSYQFSLMLSPIVSVWDCAVRKMRYSFRPEYV >CDO97168 pep chromosome:AUK_PRJEB4211_v1:2:16999783:17007580:-1 gene:GSCOC_T00014424001 transcript:CDO97168 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDDALTDPDPSDTGDDFTCESEDDPDYKSSTSDNDGHDIDAETSNIYPAPANQDRKLQNVDALVRGNLVVKRQSLLPRVYSVTDAAASVRKPFKPPCSNGYCDNNEQLARRLWARKRFVPWGSSRPALLGITNRLNVSETTETDVVEESVELPPGIEPLVLWQPEEVDCNSTTIIVDPLLVKFLRPHQREGVQFMFECVSGSLSSANINGCILADDMGLGKTLQSITLLYTLLRQGFDGKPMVKKAIIVTPTSLVSNWEAEIKKWVGERVKLVALCESTRDDVVSGIDSFVSLQSTLQVLIVSYETFRMHSSKFSQSESCDLLICDEAHRLKNDQTLTNRALAALSCRRRILLSGTPMQNDLEEFYAMVNFTNPGVLGDAAYFRRYYEMPIICGREPTATEEEKKLGSERSAELSARVNQFILRRTNALLSNHLPPKIIEVVCCKLTSLQSELYNHFIHSKNVKRAITEDTKQSKILAYITALKKLCNHPKLIYDTIRSGSPGTSGFEDCLRFFPPEMFSGRSGSWTGGDGTWIELSGKMHVLARLLAHLHQRTNDRIVLVSNYTQTLDLFAQLCRERRYPFLRLDGTTSISKRQKLVNRFNDPSKDEFAFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQSESEGNLLSTEDLRDLFTFHENVRSEIHEKMSCNRCQNYVMQVDAKLETSYGSPSSQSDEEDIGGFASVSGCLHRLKSSEKQVGTPKEEDLANWGHHLFPQSIPDTILQSSAGDEVSFVFSNQVGGKLVPLESAVRSNTEEGHPKNLLNSKENFFHKSTLSSQNQAPFPLLSTNVVQYRSRLSNPFKRLQKPPAKVVRTSEGVTVVALEHKISPRNQLPQKRIFTDDKIDNDFD >CDP05074 pep chromosome:AUK_PRJEB4211_v1:2:1327129:1334073:-1 gene:GSCOC_T00019996001 transcript:CDP05074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor SF3a60 homolog [Source:Projected from Arabidopsis thaliana (AT5G06160) UniProtKB/Swiss-Prot;Acc:Q9FG01] MSSTLLEVTRASHEEVERLERLIVKDLQTEPPTARDRLYQSHRVRNMIEQITETTNKLVEIYEDKDSARKDEIAALGGQTATGTNVFSAFYDRLKEIREYHRRHPAARVIDANDEYEQILREEPQIEFSGEEASGRYLDLHELYNDYLNSKFGERIEYTAYLDVFSQPHKIPQKLKFMRQYREYLQKLLEYLVYFFERTEPLQDLGRIFSKATTEFEEQWTSGNVEGWENESQENGHAPDEHPVIDLGKYSTVEELIRDSEVGPEKLKKALAALGLKTGGTVEERAKRLFLTKDKPLEELDRKNFAKAFHQSDLNGVLSTPLSGKDAKEIALMEAKVSKLCDLLDENIVRTKENVVKKQALTYEEMEAEREEEEVQAESESDDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKQLWERIQQRQGLNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >CDO99697 pep chromosome:AUK_PRJEB4211_v1:2:9750187:9750816:-1 gene:GSCOC_T00029370001 transcript:CDO99697 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMVYYIVGLLIMVMDVVAGILGIQAEVAQNKAITLRLWIFECTHPSYEAFKLGMVATLLLTLAHVAAVMLSGCVCIGSKEELDQSSNIKRLAAASHVLAWYEITFIAFCPHTNYNHRLVNNKELRNCLVSEN >CDP17180 pep chromosome:AUK_PRJEB4211_v1:2:47452060:47453459:-1 gene:GSCOC_T00000645001 transcript:CDP17180 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPLSNDDFNIMDFLNDELSAAPADDFQNSSFPEGNPSFSNIFPSCNSTPALCEPSVMEAHPVGFETPAKQHKTNGYDSLNIHNMPNFGQASSSPMILTFGNPNMPEINPHQVTLGTLNPEDDAVSEVLTSHGSFAKLDEAAKTTVQTKAKKPGGRVRPPSQTYDHIIAERKRREQLSQRFMALSAIVPGLKKMDKSSILGDAIEYLKHLQERVKTLEEKAARQTMESVVLVKRSQLLLEDEGSSDEVAGPNEQPLPEFEAKVCDKNVLLRIHCKNYKGVLIKILSQIDKLNLAVLNTCVSPFGNFALDITIIAEVSFLYIGLGTNLKFDIFLINFLLIDFSTI >CDP04931 pep chromosome:AUK_PRJEB4211_v1:2:218217:222959:1 gene:GSCOC_T00019801001 transcript:CDP04931 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G16880) UniProtKB/Swiss-Prot;Acc:Q9LFL3] MSDNLMDKVNALGERLKIGGSEVGQKISAGVSSMSFKMKEFFQGPNQADKVVEEATSENLDDPDWASNLEICDMINHDRVNSVELVRGIKKRIMLKIPRVQYLALVLLETVVKNCDKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALILIEAWGESSGELRYLPVFEETYKSLKSRGVRFPGRDNESLAPIFTPARSVSGSETNAALAPQLHQDVPAPTFSAEQTKEAFDVARNSVELLATVLSSSPQQDALKDDLTVTLVQQCRESQYTVQRIIERAGDNEALLFEALNVNDEIQKVLSKYDDMKKPLEVKPEPEPAMIPVAVEPDDSPRVGKEDALIRKPAGSRTGAQGGNNDEMMDDLDEMIFGKKPGGTSEGGHNAKKQQGPKDDLISF >CDP13882 pep chromosome:AUK_PRJEB4211_v1:2:4070675:4074332:1 gene:GSCOC_T00039013001 transcript:CDP13882 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHSTFAVLLFLFLQLSSLHQIIADLNSDRQALLDFAKSVPHLRKLNWSSGAQICRSWNGITCNKDRTRVTAIHLPGVGLRGPIPENTIGKLDTLRILSLRSNYLNGSLPSDILSIPSLRSLYLHHNNFSGELPHSFSPRLGVMDLSFNSFTGEIPSTIMNLTRLSVLNLQFNSFSGAIPDLNLPRLKVLNVSHNLLYGPIPGSLQNFSMSSFVGNPHLCGPPLTYCSAVSPSPSPLPDSLPSPPIIPEKQHVANSKKLSTGAIVAIIIGGSSILLLIGVMFLFFCLKKKDSGDSVVMKGKVSNGGKSAKPEDFGSGVQEAEKNKLVFFDGCSYNFDLEDLLRASAEVLGKGTYGTTYKAVLDEGTSVVVKRLREVGIGKKEFEQHMEVLRSVGHHTNIVPLRAYYYSKDEKLLVHEYMPAGSLSASLHGNRGAGITPLDWDSRMKISLGAARGIAHIHSDGGARFSHGNIKSSNVLLNKEQDGCITDFGLNPVMNSLGVKTRGIGYHAPEVIETRKATQKSDVYSFGVLLLEMLTGKSPIHSSGHDDVIDLPRWVRSVVREEWTAEVFDVELMKYQNVEEEMVQMLQIALSCVAKAPDMRPSMDEVVRLMEDIRQSELENRPSSEDNRSKGSNMHTP >CDO97360 pep chromosome:AUK_PRJEB4211_v1:2:18434167:18434622:-1 gene:GSCOC_T00014682001 transcript:CDO97360 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEGNGCTISRTSNRQGHFLMKLSPMALSLFFLPLSPTTTTATMSEFYIVGQNPTCRHLLQLSFSSLFAHLYHSRLNLPCLSLPFYSTIPFCVEDVCIEGLKRVLLS >CDP08632 pep chromosome:AUK_PRJEB4211_v1:2:54298812:54304447:1 gene:GSCOC_T00027661001 transcript:CDP08632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 3 [Source:Projected from Arabidopsis thaliana (AT2G46710) UniProtKB/Swiss-Prot;Acc:Q8GYY5] MSGLFRSKSCTLPPFIPTPIAPPPSHDDILRPTSPPTPSRYPHHHEDEDDEKEEEDDDDDYYQDRNHSPATTPFIGLEERRSSRRHKSCSSTSRHHHRGDPDDGQFPPGGLLAIVVAALRKSLLVTCTGLDLDTDAADDVSSSNHLDIGWPTDVRHVSHVTFDPFHGFLGLPRDLQYHLPRGNIVPSASASVFGVSAQSMQCSYDHRGNSVPTILLMMQNRLYSEGGLRAEGIFRINAENSQAENVRNLLNKGVVPCGIDVHCLAGLIKAWFRELPTGVLDSLTAEQVMHCNTEEECTRLVKLLPQTEAALLDWAINLMADIVDFEHYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKALIIKTQSEREESASRMTLPSSATHSPTHKDAYSSDSGVLIQCHRGLYGDCSEGPGTGELFRSGTFDRAESARADQWNFKSKSDAKQEREAVPSRMSTIMHDLESGLRDDGLENMEV >CDO96721 pep chromosome:AUK_PRJEB4211_v1:2:12390168:12391034:-1 gene:GSCOC_T00013832001 transcript:CDO96721 gene_biotype:protein_coding transcript_biotype:protein_coding MPYQVNRIFASSGMAQDEKSTILENVWANYIAKSELENAHQNPVESSQTWDDLPGLNSRDGSLLERLPSLGTFISMGAEAWEQPLDGANLPNNTESSRTVDSQETCSSKKMDSGADEMKVKKVVTRHYRGVRRRPWGTYAAEIRDSSRKGGRLWLGTFGTAEEAAMAYDKAALKIRGPKAYLNFPLETVAEAMGTSTSCQTNDTATSASVTCGEIMSNSRKRVLREWDENEDSFINNAQSAKISAANWEEAAMSDINLLQFPNLESEYLENLFSLP >CDO97427 pep chromosome:AUK_PRJEB4211_v1:2:18994796:18996467:-1 gene:GSCOC_T00014768001 transcript:CDO97427 gene_biotype:protein_coding transcript_biotype:protein_coding MSFALEAAEEIGVPGVLFRTANACSLMCYKRVEHLVKKGLVPLKDASYLTNGYLDTKMDWLPGMPEIRLRDFPSFIRTTDPDNIMLNFAITESARASQASALMINTFDSLEFDVLNSLSSMCPPIYAIGPLQLLFNQLPQDSHQSIGSNLWKEDAECIQWLNTKEANSVLYVNFGSIAVLTPEQLVEFAWGLANSNKSFLWILRPDLVVGDSAILPPEFAEETKERGMITGWCAQEQVLKHSSTGGFLTHSGWNSILESLCCGVPVICWPFFADQLINCRYSCQEWGIGMEIDTNVKRNEVEKIVRELIEGDKGREMKRKATDWKLKAEEATGLDSSSYLNFNRLVKEVILSKAKLEKGII >CDO99686 pep chromosome:AUK_PRJEB4211_v1:2:9842485:9844033:1 gene:GSCOC_T00029357001 transcript:CDO99686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor DYT1 [Source:Projected from Arabidopsis thaliana (AT4G21330) UniProtKB/Swiss-Prot;Acc:O81900] MGGCPTNSNKKIPSSPSLSPTMMAGLGDSPYDDYCASECEDEHKEFKSKNLEAERKRRRKLSDRLLELRSLMNKATIITDAIDYIEELQNTVRDLSDQLCQMDITLKEDMESQNKYVTDPAKEMKNWGIETEVKVTRLNGAKLWIQVVFQKKIGGFTKLMEAISVIGYDPKDVSVTTVKGALCVTSCVEAIHDGCVEVDQIKNFLLETTRRI >CDP06786 pep chromosome:AUK_PRJEB4211_v1:2:35861433:35863487:-1 gene:GSCOC_T00023754001 transcript:CDP06786 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLGTLLGFAIADIPLIQKNMVVHSQIINDVSTNKDGTSKSSDIPVGFATRMKDHTNGAKAFHPCWSIVYVMNMRAPTIYVNIFSWEAATQFPIATQTARGGANAMGLGKTVMTIALILARQGRGTLRIKNQLPKVRVIQSTSRKRKRNLISNLLEKITKFSEFVCILNRHKDILSSSLNKNLLNEQDELETHSKPDSISVSVFFGGDRGSDPRVIAEHDVILTTYDVLTAACKNDGENSIFHRVDWYRVVLDEAHTIESSKTLGAQAAYKLSSYCRRCLTGTPLQNKLEDLYNLLCFLHVEPWCGQIFFLWQKLIQKPYESGDYRGIKLIKAIWRPLKLYYLQTEVIIISFYVITVSFYFFNSL >CDO97476 pep chromosome:AUK_PRJEB4211_v1:2:19322015:19326721:-1 gene:GSCOC_T00014827001 transcript:CDO97476 gene_biotype:protein_coding transcript_biotype:protein_coding MYKISTSSSIMHCPALLEARSRLKAQMETSVVSAAPDYFTRTRVSRNVNMEKLQNNYLFPEISSRELEHMKKYPHAKVISLGIGDTTEPLPEIVALSMANYARCLSTPEGYKGYGLEQGNKELRKAIAETLYKDLQIKDTEVFISDGSQSEISRLQLLLGSKVTIAVQDPSFPAYIDSGVIMGQAGEFDHASGRYQKIEYMKCGPENNFFPDLHETPRTDIIFFCSPNNPTGQAASREQLEQLVEFAQENGSIIVYDSAYAAFVSDESPRSIYEIPGARKVAIEVSSFSKIAGFTGVRLGWTVVPDELLYSDGFPVLHDFNRIICTCFNGASNVAQAGGLACLSTEGLAAVHSAVDHYKENARILVDSFTSLGLRVYGGVNAPYVWVHFPGSKSWDVFSEILEKTHVTTVPGSGFGPGGEEFIRVTAFGRRDNILEASRRMKSLLS >CDO96861 pep chromosome:AUK_PRJEB4211_v1:2:14060723:14063793:-1 gene:GSCOC_T00014022001 transcript:CDO96861 gene_biotype:protein_coding transcript_biotype:protein_coding MRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSELGVGNAILIIVQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVTNLYFISQLLYRRYSGNFFVSLLGKWKESEYSGQSIPVGGLAYYVTAPSSLADMLAHPFHAVFYIIFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADLMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGF >CDO99638 pep chromosome:AUK_PRJEB4211_v1:2:10456833:10461251:-1 gene:GSCOC_T00029289001 transcript:CDO99638 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNQETSEVTNSIRYELKNGENEGERVNPTIPIGTDVSESSSNAIVTATAISTIPLAKEGYANGASSSSSRVRLPPPFLLKVYDIVKNPETDSIISWSSSGTSFIVWDPHRFAAEVLGKYFRHNNFASFICQLNTYLQIKARKLEYQMNLPTSINIDGFRKINWDRLEFQNAWFQKGKKSWLKKIKRRIQGTQSAHLCKPLEIEGQLSLSVEQKKFESLMQEHDALKVEMMKLKDMEENLVKEIEILEKQAQCITSNRQNMLKYTIHEVLIRKRKLQSNDAANELGSGGRQSIESSVELLDEGGTSHGSRKNSPRAQSGTGKTFSNVDLSTTVQEEEDCTVSMGKFSDFYNAVYSSLEKQLMDDINCKNVPEEEKANLQQNVVIPLEDLIEGPADWNEFAKGIGTSLIVLDHLKFAAEVLPKYFKHSNFSSFIYQLNNYGFRKIGLRQYEYENNWFQRGQEHLLMHIRRRNDEDPTIMKKRGPKKQHVTAARPSMEAELKIFEDHINALKEDITRSKYHMGKLESSIATFKKNVKIMEAKSKVLIKVLARIFNPALVEKIIQHVEEEPELEILQTMKRRRVILPQSSNTTTKSTDNGACGKDQDDQEANTSIVE >CDO99805 pep chromosome:AUK_PRJEB4211_v1:2:8741006:8745025:-1 gene:GSCOC_T00029499001 transcript:CDO99805 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIAVLQTENNFATGAQHKIQKATKAPSGNTSRKMLADISNLPQQNKTLIQDGNSQVVPITTKEYVDQLQKENMALMKIVAERNKLIEVTGIELQKLKVNMQKMQIQNLQLAQSNSQMLMDLNSGKDRLKALQHELGCLNGVLKAKNVEVQKLKVQVRKREIVDDEVKQSNSDAAEESKLCRNDDKLGNANRRPESKSCSLLAQSKDINENKRTCTRRKSARFEREELKPDERLFDMEDVTVSVCQPTQDSLQENVSTAAFNDVHGNEVETKRPCARRQSARFKCEKPKPSDDISERKDAEISSCLLRDDKMEEEGLNSTSSFKNEEKEGISAPVFDAQGSRRSSMNRPLRQAAKKVQTYKEIPVNIKMRRSE >CDP09436 pep chromosome:AUK_PRJEB4211_v1:2:22393825:22394175:1 gene:GSCOC_T00028798001 transcript:CDP09436 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIACSVLFMVASVLIMVQAGRAMSRLTCGQVDTLLAPCIPYLTHGGEPGPECCNGVRSTKALAQNREDKRQVCICVKEAANRYANLEDDAVQALPVKCGVDVDIPVSRKINCDV >CDP04978 pep chromosome:AUK_PRJEB4211_v1:2:542729:545355:1 gene:GSCOC_T00019862001 transcript:CDP04978 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAKQQPEGAKAAEKKEEKTEEENKEDKAEEKKDEADKPPKPFVLSVDLHCMGCAKKIERSILRIRGVEGVVIDMSQNQVTIKGIVEPQAVCTTIEKKTKRRAQVLSPLPAAEGEVVASQVSGLTTVELNVNMHCEACAGQLKRKILKMKGVRTAETELSSGKVTVTGSMDANRLVEYVYRRTKKEAKVVPQPEPAEMPKEEALAKPSEEPIKEEKPPAAEGEEAKAPQVEKNPEEAAEKKGPQEEEEEKKEGGGGESGNNGDAAGGNEAVMMMSNVDELSKQQMMYYYQPLYVMERIPPPQLFSDENPNACCIA >CDO97024 pep chromosome:AUK_PRJEB4211_v1:2:15902967:15907757:-1 gene:GSCOC_T00014239001 transcript:CDO97024 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCCVAAKDRTVTNGSTSEIMHRNIRHSPSWSFRWDNRVRVVGEETSVNWISDGVTRNDPLDVKSGPTIETTHASEEGSPLDSFQSLVWQKSPVSDVNNGATRLTTSDPLTCTNSTDVRESTASPAVSCPSPVKLSPSAPSVSSLSTSPLSSQSHPLPASSTPSRWPRRSPGHQLLRQVSDSLVPTIKSPTLSVSEETSSFMLPGWSHESTRASHGGSSDSWSTPTFPDIMSTSRRERWSFDSETLGFVRDKITRSSGRNSGYSFDLQSCGICAKLLTEKSSWGSQKIIATNELAVVAVLICGHVYHAECLENMTSDINKYDPACPICTFGEKQAQKMSEKALRAEFELKTRKRSRNRIADSDLNSDLVAFDRQKSSGHDGRGPKMSSSSSMKGSLAKPFLRRHFSFGSKGSRSMSENHSSWKRGFFWAKSRKD >CDP05101 pep chromosome:AUK_PRJEB4211_v1:2:1572232:1574733:1 gene:GSCOC_T00020036001 transcript:CDP05101 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWLLIQTKCWCLTSQEYTLVQTLLLAYQSLGVVYGDIGTSPLYVLSSVRLDKPTEEDMIGILSLVFWTLTIIPLIKYVFIVLRADDHGEGGTFALYSYLAIQSKTKQFIENSRAAQNLLTFVVLLGTSMVIGDGALTPATSVLSALAGIQTLSSKITTGYVVFMAVVILLALFLFQSCGTSKVGFSFSPIMFLWFAANVFIGIYNIVAYNPAVLRGLSPHYIFKFFGKQGKSGWEVLGAVFLCTTGGEAMFADLGHFNKRAIQLAFSFFVYPSLVLCYAGEVAFLSKHPDALTNAFYSSIPKTVYWPMFVLATLAATIASQSMISASFSIVKQSLALGCFPRVNIKQTSPKHAGQIYSPEINYILMIICIALVLRFKQGVEIGNAYGVAVIWVMIITTCLVTLVMLVIWDTKFPLILAFFIPFIFIEGAFMTSLLNKIPQGGWVPFAISGFFLVIMLSWTSGRSKKTSFDAERKLSLTEFTSSTNSLREITVIITIRTLPIKTVLAEERLDVGRLGIDGVYRCLIQFGYKDLQSLEEDDIVASIVAKLRDTAETEEEAEKLGKAMKKGVVFVAGRTILKSKESNGWLSHLVIDYLYRFLQKNCRSALTSLKIPPGKFLQVGMHYEI >CDO97363 pep chromosome:AUK_PRJEB4211_v1:2:18443228:18446285:-1 gene:GSCOC_T00014685001 transcript:CDO97363 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGDQEKANAGGASLLPVTVHKTRRRALDYVEYAENDGSSASHSLQRSEHNRSPRRRMNSMTLKCLWPRWSVTLCFFGALILFVLSTIFLKIMLMSSFNPTVDAKTNSYPFLRLTSDFLGFSSQIPDIWKKPRSGRFSKCIGRSKRKLGETATNGYVLVHANGGLNQMTTGISDMVAITKLLNATLVLPSLDHTSFWKDPSEFKDIFNWKHFMEALKDDIEVLESLPPSIAKPRYYRGEILSLLKKKGVIEFPLSDSRLANNGVPSSIQRLRCYTMFEALRFAEEIEELGKKLISRLREDGDRYIALHLRQVKRIYEKDMLAFTGCTHNLNRTESEELRRLRYKTRRWKEKQINGTLRRLEGGCPMTPREAAVFLQALGYPSSTKIYIVAGEIYGDKLTQFKKHYPNTYSHSTLATEQELQPFGRRHNKLAAIDYTVALESDVFVYTYDGNMAKAVRGHRIFEGFRKTINPDKRNFVTLIDKLDKGLMSWDEFSSEVKRLHESRIGGPYYREVKESPKLEENFYANPLPGCVCED >CDP15483 pep chromosome:AUK_PRJEB4211_v1:2:45346721:45347352:-1 gene:GSCOC_T00015324001 transcript:CDP15483 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMPTSHHLLLLRDGNGGGCPRVLITTQLIAGTKKRDELEGRVTTLLKDIKKSGNVIVFIDEVYTLIGSGTVGRGNKGSSLDIANLLKPSLGRGKLQVIFLPCYML >CDP08667 pep chromosome:AUK_PRJEB4211_v1:2:53984380:53988328:-1 gene:GSCOC_T00027710001 transcript:CDP08667 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEVGMGGLIWNDEDKTVVAAVLGTKAFDYLFSSSLSAEGSLMAMGNDENLQNKLSDLVEHPNSSNFSWNYAIFWQISRSKSGDLVLGWGDGCCREPREGEESEVTRILNLRLEDETQQRMRKRVLQKLHTCFGGSDEDSYAFGLDRVTDTEMFFLASMYFSFPRGEGGPGKSFGSGKHLWVSDALKSSADYCVRSFLAKSAGMQTLVLIPTDVGVVELGSVRSIPESLELVQSARSSFSSFSSFIRAKQAAAVVMGADKKDGNAPCPNLGIGERPDGIPKIFGQDLNPGNPQSREKLAIRKPNEEHWDGYPNGNRIAFPSPRNGIHGATWTTFGHVKPGNGAELYSPQTQTSKLQGLVNGSGDEFRLGSFQHQKPTQMQIDFTGATSRPVISRPLCVDSEHSDVEASCKEDVSVLGDEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYITELQKKLKDMESERDKFGSASRDALTSEASPSSDVQSLVPEIDIQGAHDKVIVKVSCPLDTHPASRVIHGLKEAGVNVIESKLAAGNDKVFHTFVVKPQGSEQLTKDKLIAAISRSCESTSLQAL >CDO97615 pep chromosome:AUK_PRJEB4211_v1:2:20388211:20394037:-1 gene:GSCOC_T00015003001 transcript:CDO97615 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERIIENSEQMVQGLKPDSAAVKPEKDMASNKNGLASDTASPLPTLGDVTTGLKGDNQNLDAEQGVYYPPTSCYNYYYPGYNGTFNQLDDQGYLAMGGGYSGIPSDNSSLLYYLPGYNPYNTGFIGADGEQLPYVSSGYFQQPVSYGSEALPPHTWDSMYVGDVKNRVVSKTDDVKAAFGQNESVNSSVINSSKTINSSSTVPLNSKARKFTLPSDFCKSFPHTRPLKPLNKLGPGFPSIENMNGVYPAGKLSAFSNQNRGLYMHYGPMNYQPNGRVWNTYQRSRSRSNFRREGENEASNELSRGPRANGKSEHSKLSADDEQAELTVQRDKYNLEDFQCEYDNGKFYVIKSYSEDDIHKCIKYDVWSSTPNGNKKLDAAFRDAEFKATEKGTKCPIFLFFSVNGSGQFLGVAEMVGQVDFNKNMDFWQLDKWNGFFPVKWHIVKDVPNSLLRHIILENNDNRAVTYSRDTQEIGLKQGLEMLSIFKSYSAKTSLLDDFKFYGGREKSLKAHRSTKPTLQTDVLKEDDSPKPLKEGCKTNGTDLMPSLVNLTKDLSLNPPLPLKSTV >CDP13960 pep chromosome:AUK_PRJEB4211_v1:2:4619666:4625644:1 gene:GSCOC_T00039111001 transcript:CDP13960 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVLRSFHCITSPLKSIIDKPGAVPMTTVGFSGLAKCHIQGLAYNGKLISSTSKMGGVIVSCVKTSEVPVTAKSDDSSQKESGPKNSIRRATFPNGFKALLTEVCDDTEIAELRVKVGDFEMHLKRNIQPPIAPAPVESPTVAPPIPSEPMNQSVPPPAPPKPATEKMSPFTNVPAEKSAKLAALEASGASGYVLAASPTVGSFRRGRTLKGKRQPPILKEGDLIKEGQTIGYLDQFGTELPVKSDVAGEVLKILYNDGEAVGYGDPLIAVLPSFHGIK >CDP13939 pep chromosome:AUK_PRJEB4211_v1:2:4501805:4503755:-1 gene:GSCOC_T00039085001 transcript:CDP13939 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGHKRANLHEKLQLLRSLTNSHAMNKTSIIVDASNYIEELKQKVERLKEDISSARSSSDESSSWPKVSVKTLEKGILVNVYSGKSCPGLLVSILEAFENLGLNVLEARVSCADSFHLQAVGGENEDNEEIIDAQVVKRAVADAIRNWEESDTNEQ >CDP16468 pep chromosome:AUK_PRJEB4211_v1:2:31081548:31090061:1 gene:GSCOC_T00018398001 transcript:CDP16468 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTEAAVPLQNQSEILRPLPDFPDDIWSDHFDFFSQDQQVYDMYAKEIKMLKEEVRNMLLATENTITEKLSLIDIVQRLGISYHYGAEIANLVEKTFKVYANTKEYPDDLLSVALHFRLFRQHGFNISESIFYQFVETDGKFKESLSTDIKGLLSLYEAAQVRTHKDIILEEALAFAEANLKHVASYTSHSLAKQVNYTLKQALHKCIPRIEARHYISVYEEDECRNQLLLKFAKLDYNLLQMLYKQELSEIIRWGNESEIVSKLPYSRARLVESYFYGLGMFFEPQHSLGRIISAKTTALLTAIDDTYDSYGTLDELITFTDAIERWDAKEIDRLSICMRASYLALLNVSDGVDNELTAQQRSYASDKYKDEWKQYARSSYIEALWFIPRELPAFPDYLSNGLVTSLCYLLIPTALLAMECATEDVYDWLSNYPKILVSLAKICRLTNDIGSYEREKQYRGTGIECYMKHYNVSELEAKKKFADIAEAAWKDINEEFLKLSTTIPTEILERFLNLARIVNVFYKQGVDGFTNPHNVVEPHIIDLLRDPINF >CDP17284 pep chromosome:AUK_PRJEB4211_v1:2:31563283:31568408:-1 gene:GSCOC_T00009344001 transcript:CDP17284 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAFLHPLQAALLALSFLFLSLAQGLSLKSCTTPDQGSTLQVYHVYSQCSPFKPKTPLSWEESVLQMQSKDEARLLFLSSLVAGRSVVPVASGRQITQSPTYIVRVKVGTPAQTLLVAMDTSNDAGWVPCNGCVGCGSTVFESTKSTTFKNVGCGSAQCQQVPGPSCLGSICSFNLTYGGSTIAANLSQDTIRLAADPVPNYTFGCIQKATGSSVPPQGLLGLGRGPLSLLSQTQNLYQSTFSYCLPSFRSLNFSGSLRLGPNSQPKNIKYTQLLRNPRRSSLYYVNLIGIKVGRRVVGIPPEALAFNPTTGAGTVIDSGTVFTRLVQPAYIAVRDEFRRRMGNAVVSSLGGFDTCYTVPVTVPTLTFMFSGMNVTLPQDNFLIHSTAGSITCLAMAEAPTNVNSVLNVIANLQQQNHRILFDVPNSRLGVARETCT >CDO99694 pep chromosome:AUK_PRJEB4211_v1:2:9775928:9779555:1 gene:GSCOC_T00029367001 transcript:CDO99694 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSTTIGMNSRIGSFNHHMLIFSSSTSSLTSVEAKAGTNSDKIDQSVQRRTANYKPNIWKHELLQSLTSQFSEKKYKTRAQTLKEDVKCMFVEASDTLSKLELIDSISKLGLDKYFMQEIKEALDATALSNNTSVLKGDLYATALCFRLLRHYGCHASQDMFLDFVDGTDKFIPSPKVSIKGLLELLQASNLGGEGEDLLTEAGLFSIENLSGFGASSDNMLAKQVYEAISLPIQWRVEWYNVKKHVQAHEKNHESTKSKLIELAKLNFNLVQSSHQEDLKEVSRWWMNLGIKERLSFSRDRVVESFLYAAGTAPEPKQASLRKWLSKVINLILITDDVYDVYGTLDELEIFTNAVERWSPEEIKELPEGIQICFWTLLNTTNEMAAEIEQENGWTPVLPYLQKTWTDFLKSLLVEAKWYNKGYTPSLEEYLNNGWISSSGPLLSVLAILGVADRKTQNVAEYLKDCQQIIHHSSLVIRLCNDQGTSAAELERGDAASSILCYMREANVSEEVAREHIKSLIVKAWKQINGYCISCPPFLQEPAKYITNTARVAHFMYQHGDGFGVQDRETQDHVRSNFIEPIPIN >CDO97590 pep chromosome:AUK_PRJEB4211_v1:2:20176840:20182189:-1 gene:GSCOC_T00014972001 transcript:CDO97590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger transcription factor YY1 [Source:Projected from Arabidopsis thaliana (AT4G06634) UniProtKB/Swiss-Prot;Acc:Q2V3L3] METHITHNLFERRHIIKSRAPAVKWTREWVPQDVVATGGKCLLLRWVNEATLKAIKEKKEPEVPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHYENCGKKFLDSSKLKRHFLIHTGERDFVCPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHIATHHEKNMVETPKYALPPEKPVKTPKSSTAAYSSASSDRPYACPYEGCEKAYIHEYKLNLHLRREHPGHFKDETPKNAQSTAENDMDEGSDQDAYAGKRGNDKIQKQSKAKPSLKLPPAKVQRKSSNASPANASVAKKPWPVKEEVYDEEDSEETEEERENVGDGWRYAENEDDDEETEYED >CDP15491 pep chromosome:AUK_PRJEB4211_v1:2:45397924:45401090:-1 gene:GSCOC_T00015336001 transcript:CDP15491 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKKSKALWIFFLFVSEFLLGITLAAEESQKKNLGTVIGIDLGTTYSCVGVYKNGNVEIIANDQGNRITPSWVAFTDTERLIGEAAKNQAAINAERTIFDVKRLIGRKFDDPEVQRDMKMLPYKIVNKDGKPYIQVKIKDGEVKVFSPEEISAMILQKMKQTAESYLGKEIRSAVITVPAYFNDAQRQATKDAGTIAGLNVARIINEPTAAAIAYGLDKKSKEMNILVFDLGGGTFDVSILSLDNGVFEVLATSGDTHLGGEDFDYRIMDYFVKLIKKKYNKDISNDKKALGKLRKECERAKRALSSQHQVRVEIESLFGGIDFSEPLTRARFEELNMDLFKKTMGPVKQALKDAGLEKTDIHEIVLVGGSTRIPKVQQLLKDFFDGKEPSKGINPDEAVAYGAAVQGGILGGEGGEETKGILLLDVAPLSLGIETVGGVMTKLIPRNSVIPTKKSQIFTTYQDQQTTVTIKVFEGERSLTKDCRELGRFELSGIPPAPRGVPQIEVTFELDANGILNVRAEDKAAKKAQSITITNDKGRLSQEEIERMVKEAEEFAEEDKKVSERIDARNKLETYIYNMKSTINDKDKLADKIDSDDKTKIESALKDALEWLDDNQNADKDDYDEKMKEVEAVCNPVIKMAYEKSSGSSSESTEDEPYDEL >CDP08874 pep chromosome:AUK_PRJEB4211_v1:2:52180399:52184588:1 gene:GSCOC_T00027994001 transcript:CDP08874 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARAAGENNNNNNSNESGGGVDTTPLLRDQIFRSRPFLRRPPSLRGAARFLRRASSRRMLREPSMRVREAAAEQIEERQSDWAYSKPIVILDLVWNVAFVIVSISVLILSRYEKPSMPLRLWVVGYALQCLLHMVCVCVEYKKRSQQRYFMGESSWRSGGSSNRGDGMWNSGNSSSGSDDGDYSSDRRHSDEETSVAKHLESANTMFSFIWWIIGFYWVSAGGPNLTHDAPQLYWLCITFLAFDVFFVVICVAVACVIGIAVCCCLPCIIAILYAVADQEGATKEDIEQLPKYKFRRFGDSEKQNGEIQESFGGIMTECDTSTPVEHVLPLEDAECCICLCTYDDGTELRELPCRHHFHSACIEKWLYINATCPLCKLNILKNGNLSGGEEA >CDP09354 pep chromosome:AUK_PRJEB4211_v1:2:23328123:23329370:1 gene:GSCOC_T00028684001 transcript:CDP09354 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSEGSDVVSYPGPSPYLPLRPITSYLKNPELHQELIQLATRALEEKQPGYQFLHIERVTGYSCSSYMYNITFRA >CDP05156 pep chromosome:AUK_PRJEB4211_v1:2:2026413:2033250:-1 gene:GSCOC_T00020105001 transcript:CDP05156 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRPYGYAIWEAIQEYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHTTLEEAEKEALQMIDVYTKFAYEQAAIPVIAGRKSRVETFAGANRTYTIEAMMGDRKALQAGTSHNLGQNFSQAFGTQFTDENGQRQHVWQTSWAISTRFVGGIIMTHGDDTGLMLPPKLAPVQVVIVPIWKKADERTGVFNATSSVKDTLQAAGIKVKVDDSDQRTPGWKYNFWEMKGVPLRIEIGPRDVSSGTVVISRRDIPGKQGKVFGISMDCSILVAYVKGLLDEIQSCLLERATSFRDCNIVDVSSYDELKEAISEGKWARGPWSARDEDELKIKEETGATIRCFPFEQPQGTKSCLMTGSPAEEVAIFAKSY >CDO97374 pep chromosome:AUK_PRJEB4211_v1:2:18534697:18539042:-1 gene:GSCOC_T00014697001 transcript:CDO97374 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKFGVLLCADDPEYVKKIYGGYFGVFVRMLGEEGETWKVFRVANGEFPDDEEIKEFDGFVISGSCSDAHGTDGWICRLLNLLKQLDSMKKKVLGICFGHQILGRALGGKTGRATAGWDIGVTNVQLSSSKLFTSLKMPAYLSVIECHRDEVRELPPKAEVLAWSGRTGVEMFRYGDHIMGIQGHPEYTKDILLHLIDRLLQRNLIEESCADEAKSDLDAREPDREAWKKLCISFLKGSRL >CDP00076 pep chromosome:AUK_PRJEB4211_v1:2:6368686:6370675:-1 gene:GSCOC_T00029863001 transcript:CDP00076 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSSVGLGLSLVFGCLFLALAAELYYLLWWKKRIPNRHNIEDGYSSTGTVAKESFYKLCWKKPSSLRSAALKLNPQHVCSSHETLVHEPQAQHHGNSNKDLWPYEEDSVEAELVRMHNFSGPPRFLFTIKEETREDLESEDSKSRGERSRKGSRSKSLSDLFHIVETPYLTPIASPPYYTPPLTPVKSLYNGQPGFNSFFGSANEAEFNWLRSSPSPMFQLPKDAEGKQLRRKLTVTEEIDQDFVHRNDVPSTSTILMDEENESFITLVVNKKKELKNDQHDQNVNVVQFPSNTSQVLPLASSPPAFKLTSDNKYTMDQMLQQGQ >CDO97270 pep chromosome:AUK_PRJEB4211_v1:2:17748413:17749878:1 gene:GSCOC_T00014549001 transcript:CDO97270 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQALTFHTAVNAQFEFQFGRRHVQVDTESRMARRRATTVGACDAASAGSGGCTHTAVPNSMAADRSFIVAALVPTESLAGGERLVADRANVGPTAGVQSRYIRSVPGGCGGG >CDO99911 pep chromosome:AUK_PRJEB4211_v1:2:7838912:7842990:1 gene:GSCOC_T00029625001 transcript:CDO99911 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKGVQRWIVDISKWNPSPDYFASVMSFLPQHEHSPITRFVKMEDRKRALVSRLLQYALVHQVLGIPYDEIIIRRTVEGKPYLEYDDKTGTFPNFNFNTSHDGNFVAIASEPVCLVGLDIANCSIPMKESVDKFIQNFLSYFSNLEWSDIMKADSSYDKLNRFYRYWCLKEAFVKAIGVGVGKRLDNVEFHHSDWNDIFVIVDGKEFNNWRFWLLEMGENHLVSIARGHPATATTNYRTTLKQTEFGEKEYKLGLNLPSAHFMFRMVEDLLPVSIVACHHYMDRIDIVSEDGG >CDO97349 pep chromosome:AUK_PRJEB4211_v1:2:18340140:18343697:-1 gene:GSCOC_T00014667001 transcript:CDO97349 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLNSRFFTRLILPAFVLLFIYSTTLLYTPSGPANKSQIAISTPHPDPNPCSIFEGKWVTDPNRKPMYDETCPFHRNAWNCLRNQRAHMGRINSWRWEPRDCVLNRVDPEKFLGMMRNKNIGLVGDSLNENFLVSLLCILRVADEGAKKWKRKGAWRGAYFPMFNVTVAYHRAVLLAKYQWQPKDSVLSDGDGVKGTYRVDVDIPADEWANIADFYDVLVFNTGHWWGYDKFPRETPLVFYKAGKPIHPPFEMLDGLKVVLKSMVAHIWEKFPEKTLKFWRLQSPRHFHGGEWNQNGSCVFDEPLEEPQLDLWFNPSNNGVNKEARLLNHLIQEALKGTDIKILDLTHMSEYRSDAHPAIWLGKKDAVAEWGQDCMHWCLPGVPDTWVDILSQLIHYHLGSG >CDO97345 pep chromosome:AUK_PRJEB4211_v1:2:18320655:18323508:-1 gene:GSCOC_T00014663001 transcript:CDO97345 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQIMKISPIASSRTTIFNSTPLLPRTFLPNSTPPVTGLFKAKCRPLSYRPLKESDLAPWFGASVHYQSKRGIRGGVVAMTAAPGSVQKSEEEWRAVLSPEQFRSLRQKGTEYPGTGEYDKFYSEGVYNCAGCGTPLYRSTTKFNSGCGWPAFYEGIPGAINRTPDPDGRRIEITCAACGGHLGHVFKGEGFPTPTDERHCVNSISLKFTPANSDAKI >CDO97278 pep chromosome:AUK_PRJEB4211_v1:2:17803759:17804115:-1 gene:GSCOC_T00014558001 transcript:CDO97278 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIIRSLRKQRPGNSYRSLSDTSNRSYHLLLGGEQSVELEGSSHRRTRSECQQPSSDFSEQRSGGTGLDYISHAKSFTGRATSVLSPLTTRVPPHMNSAANMASYNVPIGIKP >CDP00041 pep chromosome:AUK_PRJEB4211_v1:2:6693536:6694683:-1 gene:GSCOC_T00029801001 transcript:CDP00041 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYRIAIGTPGEASHPDALKAAAAEFFSTLIFVFAGEGSGMALNKLTNNGPQTASGLIAAAISHAYALFVAVSIGANISGGHVNPAVTFGALIGGNITLLRSISYWIAQLLGSTVACLLLKLATGGLETPAFTLSSGVSVGNALVLEAVMTFGLVYTVYATAVDPKKGNIGVVAPLAIGFIVGANILVGGAFDGASMNPAVSFGPAVVSGVWTHQWVYWAGPMLGAAIAALVYDNIFMGDSTHERLPVTDH >CDP20928 pep chromosome:AUK_PRJEB4211_v1:2:48757712:48759938:-1 gene:GSCOC_T00012343001 transcript:CDP20928 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLGQMRPGFTTTVDKMKLLSSPASGFVIPLGPFSWIKRSHAFAVSCRLSSNKDFAVPRRGAIAFIFSSFILSHPDLRDAAFAQSVGFREYVDTFDGYSLKYPQNWIQVRGAGADIFFRDPLVLDENLSVEISSPSSSRYKSVEDLGPPEEAGKKVLQQYLTEFMSTRLGVRRESRILTTASRTADDGKLYYQVEVNIKSYANNNELAVMPQDRVARLEWDRRYLSVLGVENNRLYELRLQTPEKVFAEEENDIREVMDSFRVNKVVA >CDP05065 pep chromosome:AUK_PRJEB4211_v1:2:1253736:1256101:1 gene:GSCOC_T00019985001 transcript:CDP05065 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDYQEEFQLALFRNRNRRNLFADRVFDLFDLKRNGVIEFGEFVRSLGVFHPNAPVADKIAFAFRLYDLRHTGYIEREELKEMVLALLHESDLVLSDDVVEMIVDKTFKDADTKGDGRIDQEEWKEFVSRYPSLMKNMTLPYLKDITLAFPSFVLSSEVEDSEMLVEA >CDP18372 pep chromosome:AUK_PRJEB4211_v1:2:43038934:43039920:-1 gene:GSCOC_T00012951001 transcript:CDP18372 gene_biotype:protein_coding transcript_biotype:protein_coding MADFDISPNICMYNMLVDMLSKEGQIKCAEGIINVMIKRGENPTTITFTTLLDGYCLQGQMGEARKVFDQMNAGGPGIDIHSYSILIYGYFKKKKVDKAMQLFREIPSKGLKADIATYNIVLQGLYGAGRCATAKLVFDDMQAAALKPGFHTYCVMLEGLCKNGHIDEAFLLLQKMESDGVELHIAMFTIIINGYCKSGKLDIALSVFCSLHSKKLHPSVVTYTTLIKGLCEAGKLKEAEGFLVKMKADGYLLDSRAYNTIVHGFLKGGGYAEAKMHLEEMFGKGFSPDASTVSVLLDLVSTEGINLLDTVLKFPPNPHGEVHCKSSE >CDO97303 pep chromosome:AUK_PRJEB4211_v1:2:18005049:18006877:1 gene:GSCOC_T00014610001 transcript:CDO97303 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRIRSFGTKETLRIEVPIPCSLQQLKDIISQTLRPSTSSSPLAPSDSIHLSLNRKEEIQSSSPNEDTLQSLGITSGDLIFYTLDPSRFSSSESLIPNSQEPISSPLVQNYEIPLRIDLTLDSGKPLQKPSSMEPLDPPKNTNSDPSSQLKQAHQPGSANLNEQNEGSTDYMEFDGIDDQEEGNDASALEAVGNGKSFSVPGFLRKVFTEELGGGDDDGSRSHKLLVIAIHAVLLESGFVGFDMNLKTESKGFPFRNDWPSSGFRLSLFYTLPEIVRDVSPSLDCHNCVVGLKFQNVGKFMMVYGSLNAGSAMHSVRLNEDELGSFLNVVWANCGLGDDIMISGDVVLGASPENEVFKFWRNVKDNLALPLLIDLCETVGLVLPPCFMRLPTDLKLKILESLPGVDIAKVSCVSAELRYLASSDDLWKQKCVEQFGHACKTEDKGHWKEKFARFWESRKRRKLASRSLFRRPLHPRRILDPIPRFPHWPGIIGGDYDLYPQIPGGARLRDPGYCSRSHVPRCQFGRNRI >CDP17164 pep chromosome:AUK_PRJEB4211_v1:2:50445212:50447800:-1 gene:GSCOC_T00006332001 transcript:CDP17164 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQKLLHESKSKRPKDEDAADPSFLKESLKPSRPSNIIPPRRGRVKQRIFESLLPDCAWEPFEPSPPKPVIPPTTKRASELVWGLESAREPFEPSPPMPVIPLTMKHASELVWGKVTEPIVQYVKKIPNKPKVEELSRADQSVSDVASQSSKSYTESTTITDPWEMTESSVLDQSVSKVALNSITDHERSPKMSCILFSCLFGPNFEFDKNSMVQLWVAQGIFEPENEEIMEIVGGTVFDSLVEDNLFVPVRYDNLYGQLFAANENMLDSNLFEGEEQLPMEDFMLADEVGLVSIPSTIKHLSLFCENFDLHIVEVLKSFTGMQTLMLHCECATGFNHVSHDLFLHLKRLRTLDLHQLDITELPSSVGDLEYLHYLDVSETSIKYLPETVDSLYLLQTLKLKGCLQLCRLPKNTRHLVRLRHLDLDIIGQLKSMPVGLGSLTSLQTLSGFLVGKKDGCHIGELMNLVNLRGSLCISRLENISSPDEAEQANLSNKKHITKLQLQWSTCHSDSVQVEEQILERLQPHVGLKELEIFFFNGSKLPSWISDPSFAQIVKITLFKCRNCSLLPSLGMLPSLQFLEIYEMNGVRVIDQVFHRKNGVQKLYAFPKLEKLELDTLLNLEVWDGMENGDFPNLLERRFKQCPKLISLPSLSHLHSLKHMEIIACSELQSLPDDGIPASVETIIVKDCPRIIEQLRVSEWPGLV >CDP17755 pep chromosome:AUK_PRJEB4211_v1:2:51675440:51676432:1 gene:GSCOC_T00003864001 transcript:CDP17755 gene_biotype:protein_coding transcript_biotype:protein_coding MAPITTITRCFIISNSSQSSLLKRLFHPRLFHSHPISSLSSAPTTVSKPPLQSQSLLCVSNRKAQLSLTHFCTSSSSASESPVNPTTAPRKRSTLVNFSLSDSESDSESESEDKRSRDRQIDKSKLPPPYDPFNKKPVIEEPEDPKNLQEVFHNMRGDGLMNSAVKMFDGLSKDGLTHEALELFSQMKDKGQMPDVVAHTAVIEAYVNAGQAKEGLKVYMRMLASGVLPNAYTYNVLIKGLVASGDAKLVKEAKTYVEEMAEKRGIKPSAAVCVGVYEGLMKVGLEEEGKEVLEMLKSKGLVPEEEKVRDALKNKRGPAFRTVMNVLYGK >CDO99934 pep chromosome:AUK_PRJEB4211_v1:2:7641831:7643575:-1 gene:GSCOC_T00029656001 transcript:CDO99934 gene_biotype:protein_coding transcript_biotype:protein_coding MISSQTVESWFQRVRQMMDLLCLEAMHLATHLGSCFPDYHAETERKKIVEELYRLSHINQTYDFVKKMREAYKKMDRVEMSIWECCELLNDVVDDSDPDLDEPQMEHLLQTAEAIRRDYPDEDWLHLTGLIHDLGKVLLLPSFGGLPQWAVVGDTFPLGCAFDESIVHHEFFKDSPDYNNPAYNTKHGVYTEGCGLESVLMSWGHDDYMYLVAKENKTTLPPAALFIIRYHSFYALHKADAYKHLMNEEDVENLKWLQIFNKYDLYSKSKVRIDVEKVKPYYQSLIEKYFPSKLKW >CDP16459 pep chromosome:AUK_PRJEB4211_v1:2:30876701:30879109:1 gene:GSCOC_T00018383001 transcript:CDP16459 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVEKVPVPRRFANYHPSVWGDHFLAYASQHKEKFFEGGGELQRLREEVREMLTETPDEFPGKLDMIDTIQRLGVSYHFESEIEASLQKIFDAYHELNHKDGNDLYTIALRFRLLRQKGFHASCDVFNKFKNPKGDFKESLANDMRGMLSLYEAANFGVHGEKVLDEALKFTSDNLESLVPNLSNFLAAQVVQALKLPIQKTLTRLGARQYISLYQQHESHDKLLLKFAKLDFNKLQKLHQKELSGLTKWWKGIDVATNLPFARDRLVECYFWIWGVYFEPKYCFAREVLTKVISITSIIDDIYDVYATLDELIIFTDAIERWHSNELDRLPSYMRHCYRALLDVYKEFEEKLAKEGKPDRVNYSKLEMKKLVKGYLQEAIWLHNGYVPKVEEHMKVALVTGAYMMLATTSMVGMGDSLTTQTFDWVTNEPLIVRAASIICRLMDDMAGHEVGINLLYNDEDWYTHSATKLKDLITTVLIDPIPI >CDP08602 pep chromosome:AUK_PRJEB4211_v1:2:39572211:39572441:-1 gene:GSCOC_T00027604001 transcript:CDP08602 gene_biotype:protein_coding transcript_biotype:protein_coding MSANEGTNDVKLATTRALYNALGFAQAKFSNDMERDYIMRVVCEATLSPDVKIRQAAFECLVMISSTYYEKLAPYI >CDO99808 pep chromosome:AUK_PRJEB4211_v1:2:8712633:8726866:-1 gene:GSCOC_T00029502001 transcript:CDO99808 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTISSSIPVLLPLLCSTPIPSSRSVLHHRIRNIHFNTSCVLPLESNNQSYLTRHPLGVAANIYPTGLRLTPTGLCCCSSSSGAMPLQAPTTSSSPPLPQDSLLYSRAFWVSKSLIAWNVEAGNGPCYLYASVTADLFVADNGIQGYDSKIELQGGDIGLPQCVIEKFPHIRHYKAFNLPPDLDVESLLKCQLAVAIFSSDGKCSSATGLQLPGVLDDLFSYCGPLGAVFSSETISLHLWAPTAQGVHAFIYKDLSLSDPSEIVQLKGQNGVWSAKVPRDWEGYYYVYEVSVYHPSTLQIEKCIVNDPYARGLSADGQRTLLVDLDSDLFKPEGWDNLADEKPHLLSFADISIYELHIRDFSANDFTVHPELRGGYLAFTLQDSAGMLHMKRLASAGLTHVHLLPTFHFGGVDDERHKWKDVGKQLLESLPPDSDQQQAHITAIQDEDGYNWGYNPVLWGVPKGSYASDANGSCRTLEFRKMVQALNRVGLRVVLDVVYNHLHASGPFDKNSVLDKIVPGYYLRSDIDGFIEHSTCTNNTASEHFMVERLILDDLLCWAVHYKVDGFRFDLMGHIMKRSMVKAKSMLQSLSMEKNGVDGSKIYIYGEGWDFGEVAKNGRGINASQFNLSGTGIGSFNDRIRDAMLGGSPFGHPLQQGFVTGLSLEPNGHDHGDKSAAELMLAVSKDHIQVGMAANLRDFVLTTFDGQEVKGSEVLTHDGVPVAYALWPTETINYVSAHDNETLFDIVSLKTPKDISLDDRCRMNHLATSMVALSQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFSYNSNNWGIGLPPREKNERNWPLIQPRLADPDFKPHKSEIVAAVESFLTFLQIRYSSPLFRLRTANAVQERVKFHNTGPSWIPGVIVMSIEDGYEGVPGLTQMDECYSFVVVIFNACPTEVLFSSPVLRARTLQLHPMQLRSSDDIVKKSKYEASSGCFTVPARTSSVFVESRDA >CDO97034 pep chromosome:AUK_PRJEB4211_v1:2:16034589:16036834:-1 gene:GSCOC_T00014250001 transcript:CDO97034 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKILIIGGTGYIGKFIVDTSAKMGHPTFALIRESTLSNPSKSQIVQNFRNSGVTLLYGDIYDHASLVNAIKQVDVVISTVSREQLADQTNIIAAIKEAGNVKRFFPSEFGNDADRVHPVQPAKTMYDAKVRIRRMVEAEGIPFTYVVNNFFAGYFLRTLSQPGATAPPRDKVVIFGDGNPKAIYCKEEDVAIYTIKAVDDPQTLNKVLYVRPPQNTISLNELVSLWEKKIGKTLERTYISEEQLLRNIQEASFPMNAILSILHAAYVKGDHTNFEIDSSLGAEASEHFPDVKYATVDEMLDQLV >CDP09467 pep chromosome:AUK_PRJEB4211_v1:2:21919772:21930283:1 gene:GSCOC_T00028845001 transcript:CDP09467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase CHR10 [Source:Projected from Arabidopsis thaliana (AT2G44980) UniProtKB/Swiss-Prot;Acc:F4IV45] MNYQQRLMAAAKYVYAGDSCARDDPVVNPVDFGITATLKPHQVEGLSWLIKRYLLGVNVILGTTSMGLGKTLQAISFLSYLKVHRRSSGPFLVLCPLSVTDSWVSEVANFAPKIRVLCYVGEKEHRCTLRKKMYEHVKGQLLLSNVPSLAFDMLLTTYDIALIDQDFLSQFPWHYAIIDEAQRLKNPSSVLYHVLKERFIMPRKLLMTGTPIQNNLTELWALMHFCMPLVFGRLEEFLSAFKEAGDPSCCNSEIARNQFIKLKYVLGAFMLRRTKSKLIESGNLVLPPLTEITVMAPLVPLQKKVYVSILRKELPKLLAFASGALNAPSSRNIVVQLRKACSHPYLFPGIEPEPYQEGEHLVQASGKLVILDHILQKLHNSGHRVLLFAQMTKTLDILQDFLEWRKYSYERLDGSVRAEERFVAIRSFSQQSQKGSSTSKADESSEFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQKNHVLSINLVTSKTVEEVITRRAERKLQLSHNVIGDDIVDQEGKEMSGPEDSDLRSVMLGLHKFDPLEMSNENSNQIDKNELTAIAERVVAFRYKLQSEIDDRKFEVNPVDLLHGTDILTRGGPESIKYDPDLDEATYREWVEKFKETSPSNHDSIIGVGNRRGILKDKHLKAQAAREKAEQKKLSKWEALGYVSLAVVDPVIPTEDNILSDSGSVNFVYGDCTDPSRFSASEPTVIFSCIDNSGNWGHGGLFDALQKLSASIPAAYQRAHEFGDLHLGDLHVVEITKDSGEVASGSPVRQWVALAVVQSYNPRRKVPRSNISIPDLECCLAKASFFASQNSASIHMPRIGYQDASDRSEWYTVERLLRKYAAVYGTNIFVYYYRRSG >CDP05211 pep chromosome:AUK_PRJEB4211_v1:2:2445565:2447930:-1 gene:GSCOC_T00020175001 transcript:CDP05211 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKHADKPKQKHKKGLWSPDEDLKLKSYILKHGHGCWSSVAVNAGLQRNGKSCRLRWINYLRPGLKRGMFSVEEEETILTLHGMLGNKWAQMAQHMPGRTDNEIKNYWHSYLKKKVAKIGETEAQAKAEKSSSASCLESSPSSMKSNPPTSTIESTEQLESSLMSDAEQSVSQCQMDLPREACRSNLPRVLFAEWLSLDQFRDQNCESSGNPVVATNNLVYYNSDFQDSFMHDLLLNEGTFGGGNHQGLSNGAVDDILPPALKFEDQILENSFVDFINGRFSLTSDDLYL >CDP13907 pep chromosome:AUK_PRJEB4211_v1:2:4258730:4261355:-1 gene:GSCOC_T00039045001 transcript:CDP13907 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVEPPLILAFILLFIIIKWGHNSFKKSRLGPKLPPGPSPWPIVGNIPELYKNKPPFYWIDGIMKKLNTDVACFRLGSVHVIVVNSPQVAREFLHNHDDKLASRPFTMATELSSRGFLSMAVSPWGPHSGRDGAVVDVRTALRHYAINVVRKVVFNTRYFGEGGKDGGPGEEEKEHVKAIFTILRHLYAFCVSDYLPWLRVLDIDNHEKIVKEALGVMNKYHDPIIEERITKRRINGEKQGPQDLLDVMISLKDATGQPLLSEEEIKAQCIELFFGVDSPTTSLEWAVAQMINKPEIQQKAVDEIDRVVGKGRLVQESDIPQLNYVKACVKESLRLHPITPFNLPHVSMSNVVVGGYFIPKGSHILLGRRGLGRNPKVWQEPLEFKPERYFNDDQSSKIELMEPELRLVTFSSGKRACPGIALGTALSVIALGRILQGFAWSVPENEGKIDLTEAPNEFEMAKPLHAHASPRLPATTYPITS >CDP05053 pep chromosome:AUK_PRJEB4211_v1:2:1153635:1154988:-1 gene:GSCOC_T00019970001 transcript:CDP05053 gene_biotype:protein_coding transcript_biotype:protein_coding MISDPNLVYYACVAKGTTIIAEFNSKDADLGSLAIKCLEKTPPLHSVFTHTVRNRTYTFFIEDPFAYFAIFDENLENSEGLAFLKSVKDAFNEVAGSSSAKKRLQKLSSHCFQGEFSPVFHHLLTAYADMGGIHSPNSGLKLSLGWNGSMDSSRGGPVGRPLLGTAKSLMKKKKKFWLRDCMGGSAANAKDASPDGGAAAGLSREFTVVMHKNGLHSGELGQQKAKVVWKKHVWVVLSMDLIICTILFVVWLCICGGFKCVDA >CDO97003 pep chromosome:AUK_PRJEB4211_v1:2:15705697:15706486:-1 gene:GSCOC_T00014212001 transcript:CDO97003 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCNTEEASVFCSADEAALCQACDHRVHHANKLASKHHRFSLLHHPQKDSPICDICQERRAVLFCQQDRALLCRECDIPIHKANQHTQKHNRFLLTGVRLSASSSANQTPSSSSVSYAAGSTRTAKTESKSASSVNDPHNLRANSTAKTSKSTSNHLSFEIESHWTNQEGSVATSSISEYLTETLPGWHVEDFLDPPSSFPYGFCKVCSTLAFSFSFFFFIISFLGGGME >CDP08841 pep chromosome:AUK_PRJEB4211_v1:2:52564208:52570647:1 gene:GSCOC_T00027951001 transcript:CDP08841 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRARYPPGIGGPGAGRGGGNMNPNASPNFQPRNPQQYLPRNPMQFQNQPPPQQQQQWLRRTQLGSDSAVEEVEKTIQSEGVDSSSQDWKAKLKIPPADTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLNIEVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCAMLIMDEADKLLSPEFQPSIEQLIRFLPGNRQILMFSATFPVTVKDFKDRYLKKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >CDO96989 pep chromosome:AUK_PRJEB4211_v1:2:15555250:15556675:-1 gene:GSCOC_T00014195001 transcript:CDO96989 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRTIPSSQLPPLSELLASQLERSPFSSETSAVAAVSLKTTQGSTYCENTEPLQSSIKKKTRKGKRRNNLFLGIQALLGPH >CDP05222 pep chromosome:AUK_PRJEB4211_v1:2:2522494:2526328:-1 gene:GSCOC_T00020188001 transcript:CDP05222 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g52210 [Source:Projected from Arabidopsis thaliana (AT5G52210) UniProtKB/TrEMBL;Acc:Q38921] MFSLFYGLWKYMFSKTEFHVLILGIDKAGKTTLLEKLKSQYSNLEGLPPDRIVPTVGLNIGRVEVSNTKLVFWDLGGQPGLRSIWEKYYEEAHAVVYVVDAACPSRFEDSKSALEKVLRHEDLQGAPLLILANKQDLAQAVTAEEVARYLDLKKLDERVYTFQAVSAYDGTGIKESVDWLVDVMERSKRTEMLRARASVGISGPA >CDO99764 pep chromosome:AUK_PRJEB4211_v1:2:9077258:9082966:-1 gene:GSCOC_T00029451001 transcript:CDO99764 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVVGSYPHSLKSLSSPLYLLKFNPSFSSGFVSFPSTRNQPRKSLVVRSVAAPAEAASGFDEMVSGTQRKYYMLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQDLTGGTLIPVEGPYSPLFALEINPEKAREEFRSAGQKSGGTGIKDFMDGMGLGVLADQLGELKLGELLDTPPPGLDEAIAISKACFRVMQFLESQEYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKIASATSAIKSVFGQEDSHREDAADKLERLRERMIKVRELFRDTSSTEFVIVTIPTVMAISESSRLCASLKKESVPVKRLIVNQVIPPSASDCKFCAMKRKDQMRSLNMIQSDPELSSLMVIQAPLVDVEIRGVPALQFLGDIVWK >CDP08818 pep chromosome:AUK_PRJEB4211_v1:2:52734975:52739374:-1 gene:GSCOC_T00027924001 transcript:CDP08818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00490) UniProtKB/Swiss-Prot;Acc:O65258] MPEMALQQSFNLMIRPPSSSSSSTMASQVVVGRRQSLFLASSKFDFRLPYSVRKLKCVFANSATRALVRDAGESVEDHHPIAGDNDSSSPDGISSTNINDYNQVVDGELRLPERDFTGTAYVPIYVMLPLGVINMECELVNPDDLINHLKILKSIKVDGVMVDCWWGIVEAHVPQQYNWNGYKRLFQIVRDLDLKLQVVMSFHECGGNVGDDVHIPLPQWVTEIGCGNPDIFFTDRDGRRNHECLTWGIDKERVLRGRTALEVYFDYMRSFRVEFDEFFEDGAISDIEIGLGPCGELRYPSYPAKHGWKYPGIGAFQCHDKYLMKSLKKAAEVRGHTFWGKGPDNAGSYNSRPHETRFFCDGGDYDGYYGRFFLNWYSQILVDHGDRVLSLANLAFEGTAIAAKLSGIHWWYKTASHAAELTAGFYNPCNRDGYAPIASMLKKHDTTLNFTCVELRTLDQHEDFPEALADPEGLVWQVLNAAWDVSIAVASENALPCYDREGYNKILENAKPLSNPDGRHLSAFTYLRLGPVLMEKHNFVEFERFVKRMHGEPVSD >CDP00012 pep chromosome:AUK_PRJEB4211_v1:2:6998829:7009317:-1 gene:GSCOC_T00029766001 transcript:CDP00012 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein A [Source:Projected from Arabidopsis thaliana (AT2G39340) UniProtKB/Swiss-Prot;Acc:F4IUY8] MNQGGTTDTLTTLGTNSVEKYPVIDPSQAHASSYYASTSSAPISWTTHGANNYPRENGTVSTSTYHHDQQAELTSRSVQDGLNGAPVVSTSTSGAVNVQHDYNSYSTYQNTDPYGYGNTGYASYYNNYQQQTSQPYQPPVGAYQNSGAPYQPLSSFQNTGSYAGSASYSSTYYNPADYQTAGGYQSGVYSNQTNYWQEGQYATYSSQYPNYTPDSTTLYSSTPSAASSQYAHHYKQWADYYNQTQTEVSCAPGTENVSVSGAPSLSSVPGGYPAANSQASAPYITSWRPESTSSELPAAQSGTVNGGVHDGHWKPAPAVFQNQNLNSVQHVQMPLETSSTYESFQNQQNHTHSQGHNLLYTATHQVPQSYQSSLQTVSQIAPQLDSRRASGKLQIPTNPRIASNLGLGFPKVEKDISASTAASKPAYISVSLTKPNEKVPSEDAADSILKPGMFPKSLCGYVERALARCKGDAQMVASQGVMKEIIMKATADGTLHTRDWDTEPLFPLPNADSANQEHILFSTPISSLPKSRSPSRRSKSRWEPISEEKVADKPPAAAAREPVKYGFWNKQHYTVGKTENKADNWSNTKFSLPEQKSSNKNSFRPAKKQRLVDGLSAADNGDESSESDKEQTLTAYYSAAVALADSPEERKRRESRSKRFEKGHGNRAEINHFRPKSAGAGAGNLYTRRASALVHSRNFEDSGTKAVEDIDWDALTVKGTSQEIEKRYLRLTSAPDPATVRPEEVLEKALLMVQNSEKNYLYKCDQLKSIRQDLTVQHICNELTVKVYETHARLAIEVGDLPEFNQCQSQLKTLYAEGIRGCHLEFAAYNLLCVILHSNNNRDLLSVMSRLSADARKNDAVKHALAVRSAVTSGNYVLFFRLYKTAPNLSTLLMDLYVEKMRYAAVKCMSRSYRPTIPVAYISQVLGFGNASPTTEASDEKERDGVEECAEWLKAHGACLSNDNAGEMLLDTKVSMSSLYMPEPEDAVSHGDANLAVDDFLARNPV >CDO97198 pep chromosome:AUK_PRJEB4211_v1:2:17231771:17236862:-1 gene:GSCOC_T00014465001 transcript:CDO97198 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQVPQSVMNVNATTTAAAGGANPQFVPTSLYVGDLDLHVTDSQLYDLFNQVGQVVSVRVCRDLTTRRSLGYGYVNYGNPQDAARALEILNFTPLNGKPIRVMYSHRDPSIRKSGSGNIFIKNLDKAIDHKALHDTFSVFGNILSCKVATDVSGQSKGYGFVQYDTDEAAQKAIEKLNGMLLNDKQVYVGPFLRKQEREIAVDKTKFTNVFVKNLSESTTDEDLKKVFGDYGTITSVVVMRDEDGKSKCFGFVNFENPEDAAKSVEAVNGYKFDNKEWFVGKAQKKSEREQELKQRFEQSIKEAADKSQGLNLYIKNLDDSINDDKLRELFSPFGVITSCKVMRDPNGISRGSGFVAFSIPEEASRALSEMNGKMIVSKPLYVALAQRKEERRARLQAQFSQLRPIAMGPSVAPRMPMYPPGGPGLGQQIFYGQPPPAIIPPQPGFGYQQQLVPGMRPGGAPMPNFFVPMVQQGQQGQRPGGRRGGTVPVQQGQQPVPMMQQQMIPRGRGYRYPPGRALPDVSMPGVVGGMLSVPYDMGGMPLRDVVSQPVPIGALASALANASPADQRTMLGENLYPLVEQLEPETAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMEVLRNVSQQQQATNPADQLASLSLNDGLVS >CDP18040 pep chromosome:AUK_PRJEB4211_v1:2:47204803:47208534:-1 gene:GSCOC_T00008652001 transcript:CDP18040 gene_biotype:protein_coding transcript_biotype:protein_coding MEICCSSSPSCFESALDYLDWIGKTFHYLRDVIYELKTEVRLLQSFDLYLTKCTWRRRNHKTCLEQDEEEKDVISSRIQDLIIRRMQGLEFVCSEYLIHSSSPDSSQIGREVTRFREAIKLFFETHIKEWCINFLLEYYWLRDPELVIDFIDSVAETLAKMKRFRLGRLGKKLMLLKSFVRFAMLRGVLGQQLIDFLIHAEVVAINALHLASIWYFHTDNEVRNETELQISRLIDKKINPGDPQVRETYIHVLTAAYSARSSDTSALEKNKHLVADFMECHVHNIKELLISCTDILVPIMNQMLKLLEGLRFLTILLRHQKMFKELCHEMKNLIGVVACDAAVVIFSLSVNQIKEGLAEETDLALFHLLKVLKFVRAEVTDPVTSFSPFGFPRTNELGSMDFLLQNLKELESCNEADDSIAFPKDQIHTVLEDLVFLRSFLVKIADQHNWDGKLQALWSRVTEVAHRAEFVIDSIVVGDKHEYLERVARDIQLLRTEALETYDSTRHDCGAQRTNQKSFHINSKRSIPVLNEVLVGLDDEVKTIIHSLTRGSKLLDFVSIVGMAGLGKTTLANRVCNDQLILSHFHILARCTVSQVYSMHSLLVQLLCSISSRSPDEYLEMDENDLAYKLYKLLKGNRYLIFLDDVWEIKAWNLVKSSLPDDANGSRILFTSRIQLQFKPDSMAQHLRHLTDEESWKLLQKKLFGKEGFPPTLGKVGSQIAKLCRGLPLTIVLVAGILANTAEDSWEEVAKSLTSSIVLDDENCRKTLELSYSHLSDDLKSCLLYFGAFKENEDVPVRRLSWLWISEGFVRKTEGKILEDAADDYLKDLVDRSLVMVSDKRTMGGAKACRLHDLVHEFCVKKAKEENFLHVLHSRNDCFILTGTSNPLRICDRSARNLMIRESMLEFPNIRSLLLFQEDDLGFWLPKLLRVLDLGELEFGAYFPMEVFLLAHLRYLALRLNLIDSIPTAIANLSRLQTFLLRGGYFTYCLLPKTIWNIKTLRHLWTTNPATGFIFPVEYLEVSPGLDHLDTLSLAIDSSSQSLQKILTKLPNIRRLSCNMRKSREEATRIGERILVFDCLSQLESLTLRFLYGYGFKFPLNLKKLTLFYNKQPWSEISTIGKLPKLEVLKLLDDSVVGEEWEMKEGEFPSLRVLKLSNLWNLRSWTASSDNFPCLEKLVVRNCENLEEVPSCLGECPTLEMIEVKRCRESVASSVKQVQQEQIDMGNEVLKILIEMCANARRS >CDO96866 pep chromosome:AUK_PRJEB4211_v1:2:14107908:14111429:1 gene:GSCOC_T00014027001 transcript:CDO96866 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTMLCGITSNWRLEPRSRSLLCWKSSRVTRRKLSRLKVRGRKPPTLLKFVSCGRCLSGRSPR >CDO96998 pep chromosome:AUK_PRJEB4211_v1:2:15659849:15666095:1 gene:GSCOC_T00014205001 transcript:CDO96998 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIVKFSGPAVGLWLCGPLMSLIDTAVVGQGSSIELAALGPGTVFIDNTSYVFMFLSIATSNLVATSLARRDKEEVQHQISILLFIGLVCGVSMLIFMRLFGPWALTVFTGARNADIIAAANTYVQIRGLAWPAILVGWVAQSASLGMKDSWGPLKALAAASVINGIGDIVLCRFFQYGIAGAAWATMVSQVVAGYMMIKALNDKGYNGFSMSIPSPNELVQILVLAAPVFVTMMSKVLFYSLLVYFAASMSTQTVAAHQVMIQLYCMCAVWGEPLSQTAQSFMPELIYGANRSLLKARMLFKSLVIIGALSGFILGSIGTSIPWLFPKLFSHDPLVIKEMHKALIPYFLALSVTPSTHSLEGTLLAGRDLKFISSSMSTIFCLGSLLLLVFSSRGYGLPGCWFALVAFQWSRFSIALRRLTSPNGLLHSEDLTGYQLEKQKAA >CDP08802 pep chromosome:AUK_PRJEB4211_v1:2:52873809:52876334:1 gene:GSCOC_T00027905001 transcript:CDP08802 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARSNNKCASVGLQGIAWSFGGMIFALVYCTAGVSGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQPSPYESKNGGANFVSHGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWDGHWIFWVGPFVGAALAALYHQVVIRAIPFKSGN >CDO97047 pep chromosome:AUK_PRJEB4211_v1:2:16120142:16121473:1 gene:GSCOC_T00014269001 transcript:CDO97047 gene_biotype:protein_coding transcript_biotype:protein_coding MYWQKPSCTSTGSEPTVGKAHVNFSLASAPAQVLPVKSGSEGVWSRWARPGHAHSVTLLSSNCNQDEGPERISLANSALCYFNLLY >CDO97153 pep chromosome:AUK_PRJEB4211_v1:2:16857196:16858359:-1 gene:GSCOC_T00014405001 transcript:CDO97153 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVMMPSAPVDFNFDSTCTSPYISAPSSPQRFGTFFFSAPTSPTRASSFYADFNNFSLHQDDHETSHESLSAFAFDFSGQLELSSLSADELFDGGKIKPLKPPPRLQSAVADSLSPKSPRSPKKKIKEALSPRHKMKDFDPFAAALEQTQRTQKPPSIRQKGTRSLSPFRVSDLLLDHRENNQQNAKNNSASSFSSSFSWFWYRKWKLKDLLLFRSASEGRATSKDHLNKYSTLKKNNTEQEDVKNSSFRSTDSVGSSVSSSKRRGQASAHELHYTANRAVSEELKKKTFLPYKQGLLGCLGFNPGIPIHEISKGLGSMSRVHG >CDP08898 pep chromosome:AUK_PRJEB4211_v1:2:51944214:51951914:1 gene:GSCOC_T00028031001 transcript:CDP08898 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEEPKLQYFLQWLQVNGAQLRGCNIKYCDSTKGFGIFSADNVSDGILLVVPLNLAITPTRVLQDPLFGPECRAMCDEEAVDDRFLVILFLTVERLRRNSSWKPYFDLLPTTFTSSLWFSDEELLELKGTPLYQATELQKKNLQSLFHEKVKNLAEKLLTADGYPESEVTFDDFLWANSVFWTRAQNIPLPQSYVSPEAEEKQDGAISSSSREDEPSTNYILKPEKSGADSEVDGVVSTKQLGETTWVEGLVPGIDFCNHDLKALATWEVDVTGLETGIPFSMYLLSARQNSFQRETEISISYGNKGNEELLYLYGFVTRDNPDDYLMINYPVEAIQDVTFSEQKAQLLEAQKAEWRCLLPRSLLNHGFFPPHTPQGENNEKHLRSQVCSHSWSGQRKSPSYVNTLVFPEDFLTALRTIAMKENELYQVISLLEELVGAEGERQPSDTEVRAAIWEACGDSGAMQLLVDLLNMKLMDLEEGSGTEDSDTELLEKSHLAEVPEDCIRIEKNGSELLSRNRWCGIVYRRGQKQLTRLFLKEAEQALHLALSEGN >CDP13944 pep chromosome:AUK_PRJEB4211_v1:2:4533254:4535487:-1 gene:GSCOC_T00039091001 transcript:CDP13944 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVKDDAGARVPLLPDLDSPPEKGPSIPGAVFNVSTSIIGAGIMSIPATLKVLGVVPAFVMIVVIGVIVDISVEFLLRFTYNGESRTYAGLMYESFGRVGSVAVQICVMLTNLGCLIIYLIIIGDVLSGKGAEHLGVLQEWFGVHWWNERAVAILFIVLFVMLPLVLYRRVESLWLSSAVAVFLAVVFVGICSVMAIYALSRGETRSIRIVPELDNGASFFNLFTACPVIITAFTFHFNVHPIGVELGKPAAMISAVRISLVLCGALYFTIGIFGYLLFGDSVMDDILVNFDQGSGSTISSLLNDIVRLSYALHLMLVFPLVNFSLRANIDELLFPKRAVLATDNKRFVILTLVLLGFSYVAAIAIPSIWYFFQFMGSTSAVCLAFIFPGVIALRDIHGISTRRDRIIAAAMVILAVVTSIIAISTNIYKLVGNKS >CDO97712 pep chromosome:AUK_PRJEB4211_v1:2:21207945:21208571:1 gene:GSCOC_T00015130001 transcript:CDO97712 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g00080 [Source:Projected from Arabidopsis thaliana (AT4G00080) UniProtKB/TrEMBL;Acc:O81309] MAKISFSFLLLLSAISIAAAIELTSNRGSGFRAFIEQECRGTQYFQLCVHCLSIFAHSTTQTPEQLAQVALKVSLVRARYARAYITKVAQDLKLKKTKDYQAVHDCLEQINDGVDQLTNSVKELGRMSIATETDFFWHQSNVNSWMSTAVTEALTCMDGISGHAIGSKVKSTIRAKVLNVAQVTTNALDFFNRYVSRHRASQSHNPNP >CDP17194 pep chromosome:AUK_PRJEB4211_v1:2:47631597:47631998:-1 gene:GSCOC_T00000661001 transcript:CDP17194 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKKKRCRHSYDLNCSALQFNSSGNGFCSNSWDRLQCLSLWIGVGELLTPLTAAGLEDCPNLEEIQIKVEGDCREWSKHAQYPFWVEHSGAVSSLNQGAFGLWRHHRLCTDCTVWADGFEPMGTILPDGNEC >CDP08560 pep chromosome:AUK_PRJEB4211_v1:2:40791176:40797251:1 gene:GSCOC_T00027522001 transcript:CDP08560 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFAAFVLVSGFLSDRSEGGVLTATGKTSVLKLQVGLLGLGRTLQRDLDRIAETADTSSPEGLSYVLTETTLALLRHPDYCISAYSSADVKRSMDEGEKRFNKLSIEERGKFDEETLVNVNNIKKRSSTGQRASGFSNEYIVVTILVAADGVHKLPTINSSSDLKEALQKLASIPSSKTLAVEVLWTPQNEDDALSERELLQDYPLLRPL >CDP08645 pep chromosome:AUK_PRJEB4211_v1:2:54155035:54158157:-1 gene:GSCOC_T00027680001 transcript:CDP08645 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNFEYETRQSDLERLFSKYGRVERVDMKSGFAFVYFEDERDAEDAIRGLDNAPFGHDRRRLSVEWAKGERGRHRDSSRSMVNQRPTKTLFVINFDPIRTRIRDIERHFEPYGKVLNVRIRRNFAFVQFETQEDATKALECTHMSKILDRVVSVEYALRDDGERGDRYDSPRRDYGGRGGSPYRRSPSPVYRRGRASPDYGHVRSPVYDKYNGPYERRRSPEYDRHRSRSPVRRSRT >CDP17166 pep chromosome:AUK_PRJEB4211_v1:2:50496287:50497604:1 gene:GSCOC_T00006334001 transcript:CDP17166 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKMNTFFMNEMVSKFGHFNVLQRTNYTGHTSIIHSSSLVDEHLIVGRKDEKRDILHMILYDQTNKHAVIPIIGMPGIGKTTLAQVVYNSEEVEAAQFSFKFWGKLQNVFRQKKFLLVIDNFWSEKHEDWEIISLPFRYGEPGSRVIVTTRSTLASSIVCSGPEYNLQHLSDEDCWKVMKQRALSELNIQAREKLEQIGKEIAKKCKGLPSAAKTLGSVLHSKCDEKHWYSILESAFWDLEQDENDVIPSLPLSYYHLPAHLKICFAYCSIFPQNHEFEVDDLILL >CDP13964 pep chromosome:AUK_PRJEB4211_v1:2:4639864:4643594:-1 gene:GSCOC_T00039116001 transcript:CDP13964 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYESHQGSEQARLYASKEEMESLVLNDDAAVPPHPLSSSPPLAQIPTNHDSDADPLRSSPSPLLHPQSQTQNPDPHSFILEPPSYADAVFRSFDASSEINGHDLSTSSPSASSPSYSSSDFLKISVSDPQKEQDLATSLVPGGTSYFTYLIITRTNMPEFNGTEFSVRRRFRDVVTLSDRLSEAYRGFIIPIRPDKSVVESQVMQTQDFVEQRRAALEKYLRRLAKHTAIRRSEELRLFLESQGKLPLMKPTDVASRMLDGAVKLPKQLFGGEVVAGVVDVNEVAQPAKGGKDLLRLFKELKQSVTNDWGATKPALVEEDKEFMERKDKLQELEQQLSNVSQQAEELVKAQQDIGETVGQLGLALVKLTKFETEEAVYDSQRVRAADMKNVATAAVKASRLYRELNSQTVKHLDKLHEYLGVMLAVNNAFSDRSNALLTVQTLLSELSSLNSRIEKLEVASSKIFGGDRARIRKIEELRETVRATEDAKTCAIAEYKRIKENNRNELDRLDRERREDFLSMLKGFIVNQAGYAEKMASVWETVAEETSGYVKCTS >CDP07754 pep chromosome:AUK_PRJEB4211_v1:2:25798341:25798526:-1 gene:GSCOC_T00025116001 transcript:CDP07754 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKNIACAVLVAAASMSAVLAESQAPAPAPAAANNAYAALPAVGTIVGASLVSFFAYYMH >CDO97312 pep chromosome:AUK_PRJEB4211_v1:2:18044499:18068068:-1 gene:GSCOC_T00014620001 transcript:CDO97312 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRYREVADIVLRYLEDRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILHVLKIPAERASGFIALGEMAGALDGELIDYLPTITSHLRDAIAPRRGRPSPEALVCVGNLAKAMGPRMESHVRSLLDVMFSAGLSVTLIEALDQISISIPSLLPTIQGRLLECISVVLTRSHHTQARTSVAMSRGNITTTTQQVSELSGSALVQLALQTLARFNFRGHDLLEFARESVVVYLEDDDGGTRKDAALCCCRLVANSFTGTPMQFSSSRTSRAGGRRRRLVEEIVQRLLIAAVADADVSVRHSIFVSLHGNRGFDEFLAQADSLTAIFSALNDEDFDVRELAISLAGRLSEKNPAYVLPALRRHLIQLLTYLEQSADSKCREESAKLLGCLIRNCERLILPYIAPVHKALIAKLCEGTGVNANNGIISGVLVTVGDLARVGGFAMRQYIPELMPLIVETLLDGAAATKREVAVATLGQVVQSTGYVIAPYNEYPQLLGLLLKLLNGELAWSTRKEVLKVLGIMGALDPHAHKRNQQSLPGSHGEVSRAANDPGQHIRSMDELPMDLWPSFATSDDYYSTVAINSLMRILRDPSLSSYHQKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHTVRTCEDGLKEFITWKLGTLVSIVRQHIRKYLPELLSLISELWSSFSLPATNRPVHGSPILHLVEQLCLALNDEFRTYLPVILPCCIQVLSDAERFNDYTFVPDILHTLEVFGGTLDEHMHLVLPALIRLFKVDASVDVRRAAIKTLTRLIPRVQVTGHISALVHHLKLVLDGKNDELRKDAVDALCCLAHAVGEDFTIFISSIYKLLLKHRLQHREFEEIKDRLQKREPLIMGSTATQRLSRRLPVDVVSDPLSEMENDYDDGADTHRQLRSHQVNEVRLRSAGEASQRSTKEDWAEWMRHFSIELLKESPIPALRTCARLAQLQPFVGRELFAAGFVSCWSELNEASQRQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDERPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSNRMDANPIAVVEALIHINNQLHQHEAAVGILTYAQQHLGVQLKESWYEKLQRWDDALKAYTAKASQTSNPHLVLDATLGRMRCLAALARWEELNNLCKDFWTPAEPAARLEMAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRTLGNTAATGDGSSNGTFFRAVLFVRRGKYDEAREYIERARKCLATELAALVLESYDRAYSNMVRVQQLSELEEVIEYCTLPLGNPVAEGRRALIRNMWNERIKGAKRNVEVWQVLLAVRALVLPPTEDSETWIKFASLCRKSGRISQAKSTLTKLLQFDPETTTETVRVHGPPQVVLAYLKYQWSLGEDHKRKEAFARLQELAMDLTRTPNLQPASQTSSISNSNVSLLARVYLKLGSWQWALSPGLDDDSIQEILSAFRNATHCATKWAKGWHTWALFNTAVMSHYTLRGFPSIAAQFVVAAVNGYFHSIACAAHAKGVDDSLQDILRLLTLWFNHGATSEVQMALQKGFTHVNINTWLVVLPQIIARIHSNNHAVRELIQTLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHEMWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGATRNDTTAKEKAFIQAYRHELLEAYECCVKYRRTGKDAELIQAWDLYYHVFRRIDKQLQTLTTLDLQARNRLYYAYDFSILYLLISVSPELLECRNLELAVPGTYRADSPVVTIGSFVDQLVVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTAEKDLSIQRYDVIPLSPNSGLIGWVANCDTLHHLIREYRDARKITLNQEHKLMLSFAPDYDHLPLIAKVEVFEYALQNTEGNDLSRVLWLKSRTSEVWLDRRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRSSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSALASAHLPAVVNSDESAANRELQHPLRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSTCSPVSNSSIQHALDHSTLISGDTREPEHGLSVKLQVQKLILQATSHENLCQNYVGWCPFW >CDO97164 pep chromosome:AUK_PRJEB4211_v1:2:16954356:16959131:1 gene:GSCOC_T00014419001 transcript:CDO97164 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKLIGEQQNQKEHRAQNCIENEQTSVKRRKRKKKDEMPMEKKKKVIAGNPKAPKSKSKKVASDTGKNSRCIKRKRTGMNEIYDDVESKFTVMERAAKVLASMEDGAPYFLKCMLPSNVTYSFWLIIPRKFGSLHLPSRDSTVILVDEWGKEYKTTYLIDRNGLSAGWRGFSMSHRLLKGDILIFRLIGHCKLKVHIVRVHGLDVVNAAACLMNLDAFGTMDSDPVKQDYRKRKRTKKYADCSVFDAPRIPDKVQEKGKMVLKSDLVPVEDQSENTSDGFGSEVLEGSGMTDHLLSGDHHHTEASFLHEHPCEGVVCR >CDP13887 pep chromosome:AUK_PRJEB4211_v1:2:4103440:4106308:1 gene:GSCOC_T00039020001 transcript:CDP13887 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVESKSSDLVWCNGEIRPFHPHCLAKNVEGVDQKIKQMAEIIEQVGDLPADKPEIKYQNRLQLIALLEEISQGHQLLAQQYDHLLENRVASLAHQDSSMLTPDHKLGKQKTEQQPVAFTYPLSSGSSSSNVSGKEGSQFSSLSSDSDSESSNASPKKCSSMNLRNSGQMQKGEYVVKESGISEYGLINARDTDEYNMLLSTISRYEEELRASNGKLLSSEDEIMRLNSELENALVTTDKWKAQLETTKNEVKMMEVDLDVEKRKASELQMQVAMLESKVFDSSSQIETLMEELQLTGKKLAEREMQIKTLENEIRKCEGLYEAQEIRWQGDIEGFKTQLNEKLELVEFLNKSQDGLKLKYDMLMAEKDGLNAKVQALTAELCSRENNIRQLEGHLHKLQSQNGELIAASETALKFRDELGLKVENLEKEVARQAVMISDRAEEKREAIRQLCFSLEHYRSGYQQLRQAYVGQRRHAVIASLSR >CDP00139 pep chromosome:AUK_PRJEB4211_v1:2:5856610:5860636:-1 gene:GSCOC_T00029948001 transcript:CDP00139 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIFNLLIHIVVIHQAELQKFTQPSPRCPNPFISPSLASLSMAISSAPRHHFHFLLATCTLLLVTFSKPALSAQRCPDCGATPVPYPLSTGPTCGDRLYKLRCSAGSLLFDTLNNTYPVTSVSPQLQRLTIQPSPFLPSTCITADISTQGVQLNSSLPFNITSSNTILYLNCTQTLLSSPLDCTSSSLCHTFINGTRNVSDGGACENSEICCTFRAGGSSTSYSIRVRDAGCRAYRAFVNLDYSLPVSRWPQPGLELQWVSPSEPICRNQTDCDSESTCGPDPNSNSGVRRCFCNSGLHWDAIAGLCSKDVTCQDPDGCGSSRTGLIAGLSTGLGAGLILAVIGFLLYKRHQRVKEAKDRLAREREEILNSGGGKYAKIFSGKEIKKATNNFSRDRLLGVGGYGEVYRGILADGTVVAIKCAKLGNTKGTDQVLNEVRILCQVNHKSLVGLLGCCVELEQPLMVYEYVPNGTLLDHLQGLNRKPLAWTARLTIAHATAEGLAYLHFSAVPPIYHRDVKSSNILLDDKLNAKVSDFGLSRLAHTDLSHISTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSQKAIDFNRPEDDVNLAVYVQRLVEEDKIMDAVDPILKQGASTLELDTMKALGFLATGCLEQRRQDRPSMKEVAEEIEYIISIAKSKSIPEE >CDO97472 pep chromosome:AUK_PRJEB4211_v1:2:19280714:19287820:-1 gene:GSCOC_T00014822001 transcript:CDO97472 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRITDALPVTDMELPADAHSHEHPENLPYVHKVGVPPKQNLFTEFKTTFKETFMHDDPLRSFKDQSNSKKLLLGLQAVFPILDWGRSYSLSKFRGDLIAGLTIASLCIPQDIGYSKLANLAPQYGLYSSFVPPLIYAAMGSSRDIAIGPVAVVSLLLGTLIQDEFDPVKQKLDYQRLAFTATFFAGLTQFALGFFRLGFLIDFLSHAAVVGFMGGTAITIALQQLKGLLGIKKFTKKTDIVSVMRSVWTSVHHGWNWETVVIGVAFLAFLLLAKYIGKKNKKLFWVSAIAPLISVIISTFFVYITHAEKKGVQIVNKIEKGINPSSVHEIFFTGENLGKGFRIGVVAGMIALTEAVAIARTFAAMKDYHIDGNREMVALGTMNVIGSMTSCYVATGSFSRSAVNYMAGCNTAVSNIVMSLVVLLTLEVITPLFKYTPNAILASIIISAVVGLIDINAMLLIWKIDKFDFIACMGAFFGVVFVSVEIGLLIAVAISFAKILLQVTRPRTAVLGKVPRTNVYRNIQQYPEAAKVPGILIVRVDSAIYFSNSNYIRERILRWLSDEEEQLKENGELKSKIHCLIVEMSPVTDIDTSGIHALEELHNSLRKKDIQLVLANPGPVVADKLHASDFTSLIGEDNIFLTVADAVITFAPKMEP >CDO99781 pep chromosome:AUK_PRJEB4211_v1:2:8928575:8933699:1 gene:GSCOC_T00029471001 transcript:CDO99781 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAPINLTSIRDQSQKELLTILKNIRGKKCLAIDPKLGGSLSLILVRLSELWEHGAELRHLTAEPIQTDCTKVVYLVHSQLDLMKLISSQIRDDTSKGLQREYFVYFVPRRTVVCEKILEEEKVYDLLTIGEYPLYLMPIDEDVISFELDLAYKDHLVDGDTTSLWHIAKALHKLESTFGVIPNIRAKGKASARVADILNRMQIEEPVNASDAGMPEINTLILLDREVDMITPLCSQLTYEGLLDEFLGVNNGAVEVDSSIMGVQQEGKKMKVPLNSTDKLFKEIRDLNFEVVVQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEITRHINLAQHLSTFTSKPSFLGRLDMEHTIVEAESYDICFEYIEEMIHKQEPLVNVLRLLILFSITNSGLPKRNFDYLRQVEGRMH >CDP08553 pep chromosome:AUK_PRJEB4211_v1:2:40888259:40888549:1 gene:GSCOC_T00027513001 transcript:CDP08553 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHSKPATRCWHFVGTLCSIICLIYSMLFNWWFVIPVPLLGYDLAHFFVEGNVPATFGHHFWSLLCDFKMFRLMLTGQMDREIKRLSKRLVLQAY >CDP09334 pep chromosome:AUK_PRJEB4211_v1:2:23574907:23576142:1 gene:GSCOC_T00028656001 transcript:CDP09334 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPQHFRCPISMELMKDPVTICTGVTYERKNIEKWLNSYKKNTCPATMQCIDSFEMTPNHTLKRLIQAWQQNGKSKSCIPSSPAQAAKHEELISLLKTIESTPFKVSPLKKLKSVVEIGDEIKLDFKKSGGVEVLVKIVVQILVECCSDFVAFRACEEAIGVLDQLPLSDEEEDCQTLQLLMKPECIKSFTIMLQRGSAEARFCIVSIFQKMARADFHWNHAIKDEGIDFFKSLLEIVSDEMCTRASSCALQVLIDILDASKKSRLQAIEAGALCTLIDLLPDSNKSKCEKIMLLIKLLCECAEGRLAFIEHGLGIAAISKKMLNVSTAATKIGVKILWLICISHPTENVLEEMLMFGSVKKLVALLHIGGSSTTKARVIKIFKYHGNTWKRYPCFPSDIKDYLGLGYDI >CDO99796 pep chromosome:AUK_PRJEB4211_v1:2:8812522:8817626:-1 gene:GSCOC_T00029488001 transcript:CDO99796 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIYEDAVLIKEAESAVDHTVITVNCPDKTGLGCDLCRVILLFGLSIARGDVQTDGKWCYLVFWVVGKPMTRWSLLKTRLQEVCPTCTPAASGIYYYRPEFQQPRPLDIFLLKFWCSYDRKGLLHDVTQVLCELELTIKRVKVSIAPDGGVMDLFFVTDTRELLHTKKRQEEAIDHLKAVLGDAMLNCEIELAIPEVTAYSQSFLPSSVTEDMFRLEMLGVLASSSVSIEVDNSLRPSHTLVRVLCQDHKGLIYDIMRTLKDYNIQIAYGRFFANPKRNCEVDLFITQADGKQMSDPNKKNALCSRLRMELAHPLRVDVISRGPDTELLVANPVELSGRGRPLVFYDITLALKNMNISIFSVEIGRHRIHDREWEVYRILLDECDGFSLPRNEIKECVRKKLMGWE >CDP07815 pep chromosome:AUK_PRJEB4211_v1:2:26883978:26891975:1 gene:GSCOC_T00025211001 transcript:CDP07815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol-C-24-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G13710) UniProtKB/Swiss-Prot;Acc:Q9LM02] MSKPSALDLATGLGGKIAKDDVVSAVDKYEKYHGYYGGDEEERKANYSDMVNKYYDLVTSFYEYGWGESFHFAARLKGESLKESIKRHEHFLALQLGLKPGQKVLDVGCGIGGPLREIAQFSLTSVTGLNNNEYQISRGKVLNHAAGVERTCDFVKADFMKMTFPDNHFDAVYAIEATCHAPDVVGCYKEIYRVLKPGQCFAAYEWCMTDSFDPNNREHKKIKAEIELGNGLPDIRLTGQCLEAVKKAGFEVIWEKDLAADSPVPWYLPLDKSHFSLSSFRLTAVGRLITKNLVKALEYVGLAPNGSQRVQDFLEKAAEGLVAGGKKDIFTPMYFFLARKPLSDGQ >CDO96913 pep chromosome:AUK_PRJEB4211_v1:2:14949751:14952976:-1 gene:GSCOC_T00014094001 transcript:CDO96913 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMGAEFKKFLVGFVVMVVAVPNGVLAQPQVPCYFIFGDSLVDNGNNNNINSLAKANYLPYGIDFPGGPTGRFCNGKTTVDVITELLGFNGYIQPFTRAQGQDILKGVNYASAAAGIREETGQQLGARTSFTGQVNNYNSTVSQIVSLLGDQNRAAAYLSKCIYSVGLGSNDYLNNYFMPLFYSSSRQFTPEQFANALIGQYSQQIRRLYNLGARKLVLIGVGQIGCSPNELAQNSPDGRTCVARINSANQMFNSKLKSLVDTLNNQFTDARFIYIDAYGIFQDLISSPSAFGFTVTNRGCCGVGRNNGQITCLPFQTPCPNRNQHLFWDAFHPTEAANIIVGRRSYRAQKSSDAYPIDISRLAQL >CDP09328 pep chromosome:AUK_PRJEB4211_v1:2:23634014:23635393:-1 gene:GSCOC_T00028648001 transcript:CDP09328 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKIKLAFIRNETERKTCFKKRKNGLIKKVQELSTLCGIDACAIIYGPHDQEPETWPPSPPAVLDLLERYKSLPERFRRLENQQSYTRSRVDKVHEQIRRKHNGIRENEVTNIMYRCLRGEEVQNLRWADLNELEWMVSRNLREVSRRISVLKETVPQPSAPKAPLETGGYGGNDEGMVAPELPAHMVAPEMDRDELQIYAVDMDMLNVQPWLSDFVWNDSFFEPINNNGAGPSNRAP >CDP08800 pep chromosome:AUK_PRJEB4211_v1:2:52891028:52897652:1 gene:GSCOC_T00027902001 transcript:CDP08800 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAVVSSRNFGSFIGSGKVYQAEQSAARHRGQCLAFDASQLAPKNVFYNKLYMQRLRCSFFGCLYSSPLHPFGCLGTRNPGSTFYVEADHHLLVCCQIGDKYNIRRTKGICQCWLSSTFSQSNLTQPRKRDKLGFFDRQYQQSKHATATSIRADYKSEDYEITEAKLESLVSSEGAGEAVLMEEVVQTNSWWERFPKRWVIVLLCFASFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQIVGGIWADKIGGKLVLGFGVVWWSVATVLTPIAARIGLPFLLAMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVTGLALSPVLIHSFGWPSVFYSFGSLGIIWIALWLSKGHSSPSEDPDISAEERKLILGGSALKEPVSVIPWKLILSKPPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAFFANIGGWIADTLVSKGLSITSVRKIMQSIGFLGPAFFLTQLSHVKTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDSVFKVAVALYILGTLVWNFFSTGEKVLE >CDP00107 pep chromosome:AUK_PRJEB4211_v1:2:6189586:6190922:-1 gene:GSCOC_T00029902001 transcript:CDP00107 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNGKCQEVIDEQDSKLKELRSQWGEGVYNAVANALLELNEYNPSGRYAVSELWNFKEGRKASLKEVIQCLAQLLKTLNSAKRRRRVST >CDP05194 pep chromosome:AUK_PRJEB4211_v1:2:2336069:2339733:1 gene:GSCOC_T00020157001 transcript:CDP05194 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRQKLARKRFKEEHPGLFPKPDPTPPKDPTKKKKKLSKFKRKKRVSNDPSNPKKSLKGKKHPLRIPGMKPGDSCFICKAPDHIAKDCPQKAQWEKNKICLLCRQRGHSLKNCSKQKDDSTDNKFCYNCGQTGHSLAKCPQPLQDGGTRFANCFICNEQGHLSKNCPQNSRGIYPKGGSCKICGGVTHLAKDCPNKSSKASNAVGVAGKAPEIEERPRGQITRFISGDDLEDDFMAGDTFSGNKDTSSEPMTPAPDSKQNVKLKTRQGTKVVNFVG >CDP08623 pep chromosome:AUK_PRJEB4211_v1:2:54390852:54394197:-1 gene:GSCOC_T00027643001 transcript:CDP08623 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVGSSWLTAVKRAFRSPSKDSEKKNCRRKDGDNEDEPEEEEEKRRGKRRWLFRKPTNHEGLTQQMPALKPPNAVDIAAASGSMTAQAAAAEQRHAIAVAVATAAAAKAAAATAQAAAEVARLARPPPSNYAREHHAATLIQRAFRGYLARRAFRALKGLVRLQALVRGHNVRKQAKMTLRCMQALVRVQARVLDQRIRQSSDGSRRSTTFSDTNSIWSSRHPQDISDRKSMSRDGSSIPDDWDDRPHTITIEEVKAMLQGRKEAALKRERTLSHAFSQQMWRAGRNSSFGSENELIRENHQRLDRWMAAKPWESSRGRASTDQRDSVRTVEIDTASNSRRPSQHQRPGSPLHRSSQQAQSLHSPITPSPSKTRPLQVRSASPRYAAVEERCGHTAQTPTLRSNYHHYSGGGLHQQSRGMTSAVPNYMAATESAKARIRSQSAPRQRPATPERERAGSVKKRLSFPVPDPYGAGTGYGDYMRSPSFKSACGVHLGFEQQSNYSSCYTESLTAGEISPSSTGDLRRWLR >CDP09463 pep chromosome:AUK_PRJEB4211_v1:2:22047692:22064763:1 gene:GSCOC_T00028839001 transcript:CDP09463 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 1 [Source:Projected from Arabidopsis thaliana (AT2G44950) UniProtKB/Swiss-Prot;Acc:Q8RXD6] MGSTGEADRKRRQFSSISPTGAAAKKHPFMPLSEDKKLDAAVLKFQNQKLVEKLEAQKIEIIDFKEKIGKLTVKQLPYENVVAVVSNSWQETVKDLESHSIHTNDCAKCERGVKDLLVRDGANPLPYNGGGSSPSDTFSSRDASPDDALLSRLLVTGATESSSTCNVTNSTEEGNHEDSKKIRNTLHTILAAVDHQWKLKDNLCSTALSAFSEDGSHRQRTSLDLQAEVENVRMSVGNLHSKHKSLAFELQKHKDSEAKSKAELKHLREELESTIAELEESNHQLAVLKAEKDAGKGPIFPILNLGNKAVAVDKSRDKEKDLQDMESALSNLLDQSSCRLLELKRLHEERIDVLKHLSTLQNTLKNIKSICSSQAYLLLKDQATKVKADIVHYQALYEKLQVEKDNLAWREKEMHLRVELLDINHRSASVADLRITELEKGIQKYVNEKNLIEVKLEEALREPSRKEIIAKFKALVSSFPVEMGHMQSQLSKFKETATDIHTLRADVKSLSSILEQKAKHLGKLSARSAEQAASILKLQALVHDLKESDKELKLILQMYRRESITSRDVLEARDSEYKAWAHVQSLKTSLDEHNLELRVKTAIEAEATSQQRLAATEAEIAELRQKQEASKREESKLSEVVKSKHEETEAYLSEIETIGQAYDDMQTQNQQLLQQITERDDYNIKLVIGGVRTRQLGDGLLMEKQAIERAIQQANTSVDFQNLKVARFEDQLKMCSDHVQRLAENRVKLTVSLENNQKKVIDIRKSAQQLRETIEDSQPKVDSNRVDLAEVQIETERERFKRKREEEDLEFARSKVSRLKSQVEGSSVVDKLRQEVREYREILKCSICLDRRKEVVIAKCYHLFCNSCVQKIIETRHRRCPVCSVSFGANDVKPVYI >CDP08863 pep chromosome:AUK_PRJEB4211_v1:2:52375346:52377921:1 gene:GSCOC_T00027980001 transcript:CDP08863 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAKRYAIVTGANKGIGFEVCRHLASKGITVVLTARDEKRGLDALHKLKFSDGLSADRLLFHQLDVADSSSVASLAQFIKTQFGRLDILVNNAGIIGADIDSDAFKAAIAAGAVEEERANKVDWSSSINDTHELAVQCFQTNYYGAKRMIEAFVPLLQLSQSPRVVNVSSGAGKLKNIPSEWARGIFTDVDNLTDERVDEVLNQYLKDLKEGSKEAKGWPSFLSAYTVSKAAMNAYTIVVAKKHPNIKINSVCPGFVKTDINFESGTLTVEEGADSIVRLALLPDDGPSGLFFIRSEISPLG >CDP05170 pep chromosome:AUK_PRJEB4211_v1:2:2134393:2138435:-1 gene:GSCOC_T00020125001 transcript:CDP05170 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFKRKGPSGFSSSSTAEEVTQGIDGSGLTAIVTGASSGIGAETARVLALRGVRVVMGVRNMAAGQEVKDAIVKETPEAKVDVSELDLSSLASVRNFAKEFKSSGRPLNILINNAGVMATPFKLSKDNIELQFATNHLGHFLLTNLLLDKMKKTCHQTKREGRIVIVASEAHRYPYREGVRFDKINDQEGYSSFFAYGQSKLSNVLHANELARRLKEGDADITANSLHPGTITTNLFRHAGILGGLASTVGKYVLKNIPQGASTTCYAALHPQVKGISGEYFGDNNIAKASSMAMDADLGRRLWDFSVSLTNPK >CDO97262 pep chromosome:AUK_PRJEB4211_v1:2:17680292:17682344:-1 gene:GSCOC_T00014538001 transcript:CDO97262 gene_biotype:protein_coding transcript_biotype:protein_coding MDNALYPVLFLDYLKHSLPIFNQLIARIPALLGITVSLTYLNYRGLHIVGFSAVLLAFFSLFPFVVMGILSIPRIRPQRWVVVDFKKVDWRGYFNSMFWNLNYWDKASTLAGEIQKPSRTFPKALSGAVVLVVCSYLIPLLAGTGALKSDPSEWTDGYFAEVGMLIGGSWLRWWIQAAAAMSNLGLFEAEMSADAFQLLGMSEMGLLPSIFASRSKYGTPTISILCSATGVIFLSWMSFQEILEFLNFLYSVGMLLEFAAYIRLRIKKPDLHRPYKVPLQTFGATMLCLPPALLLALVMCLASLKTYLVSGGVIIFGFLLYPALLFIKYQNWARFKAKEPVVLSDNDPEEQPIESQHPQEIADEASVGLLSHLQSSKVEQAPQMSSEEVSKLV >CDO96838 pep chromosome:AUK_PRJEB4211_v1:2:13756429:13759727:1 gene:GSCOC_T00013988001 transcript:CDO96838 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKTSSENSISSTSTCQNSRVSVDQETRITIREDESSESGGEKSGDCGEKSEGLTVNKGLNNDLGLEEDKYSCGIEVKRGNIDGENQRLCRICHLSKPEDEKNSMDLIELGCGCRGELGFAHSHCAEAWFKLRGNRLCEICGETAKNVTGVSDNRFLEEWNEQGITNGAAFSSGRRRGCLHSQPLCNFLMACLVIAFVLPWFFRVNMF >CDO96967 pep chromosome:AUK_PRJEB4211_v1:2:15399582:15399902:-1 gene:GSCOC_T00014165001 transcript:CDO96967 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLIAAMVHAKQVFRSRAGVHGGHASPTAVSSNADVPKGHLAVYVGESYKYRFVVPLSYLKHPLFLDLLRRAEEENGFDHPMGGLTIPCSRNAFLDMASRLNDC >CDO97224 pep chromosome:AUK_PRJEB4211_v1:2:17412573:17416842:-1 gene:GSCOC_T00014493001 transcript:CDO97224 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSLLRRVILRSTPLATLFVLGKSRVLTCEEGRHLYSSTACEKKLEPENEKTTISKKGNWYALPPFTPSVDGAALGKTIAHRQTEKKHKASDTTSTSTMTALKWVTRCCPELPRSLVQKLFRLRQVRRDSYNVEVRQRQLKRVAAKDLMNSGDRIVLPVSIQKVPSAKTDSTFSEEEMKFLHSMVLFKDPAVIVVNKPPGMPVQGGIGIKRSLDELAAKYLRFDDSESPRLVHRLDRDCSGILVMGRTQLSATTLHSIFREKTLEASEYDLDSKRRIVRKTYWALVIGSPRRSKGIISKPLGKVVVDNGKSDRITVLDDVQSSLAQYAVTEYEVIGSSCHGYSWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYGWQAHRNLKNPGPSALTRDHNEGLPEEGLDPFSLNLGNGSILDKQPRLHLHCREMVLPNISLALQRAKSITDLDLEDVKTIKFDAPLPSHMQKSWNALGS >CDP07711 pep chromosome:AUK_PRJEB4211_v1:2:25281898:25288908:1 gene:GSCOC_T00025058001 transcript:CDP07711 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSKIFAGVHFVLVGFDSISHQQVRSRMVEAGGVYVGRYSPDCTHVIVDKAVYDDPICVAARSDGKTLVTALWVYHSFDVGMPVDPALIMYRPLRDLTGIPGAKSLVVCLTGYQGHERDDIMVMVDLMGANFSKPLVANKVTHLICYKFEGMKYDLARQIKRIKLVNHRWLEDCLRTWQILPEDDYDKSGYELDMMEAQAKDSEDEAQDMDTEQTRGKGMVSTSGLLSVSKMEAKPDQVSREGTPVRLLEVPGSFVPGTAGKICTELNSMGRTPLPENVISDLTPASKVDEKSPSPNASKFTTLSYSRKTPRKAILPVESVQTESKAQISVIRDFDNKVHVSDSFSMSSCNMDVDGTTSNDKRSPLKEILSCPDDGRSYSLSEKRKVAIFVGSSKLQRTDNNLDVSSDGVVVNRTKERPPEPSMNELVKVSGHSPGKKSGYADTTTDLNPLKSSPAKVCSPITSEIEQVCSKIGPQISSEKRNITCMDSNPEVKDLHSNRPENAVNDSTMVQNGLQDEAPPPETKVHEVERCNPMVGLDVPGGEASTRSKPLKRKLLAKKTLGSRPSFGRGKALNQKGSIHIKEKGSAKNHSMSPLGQNETEEPGRFISTERVKVVHPTFDAEMDEDANMANVLESRNEEAYKTRFVDDETEATENVEDKELDAIIDNDKPGDIEVPNSVPTRTGEKVGVQIKQTADDIPGVEEQVVDSGDDKLMSEAENAAGKKNEQSESLLGDNAKGERITSGNKFPSTKTRKKNIPVENSGKGGQRKEAKDELSGKKAKTRNAKGFEVKVDKDIIPAQVDMADNSMGMEKENTPLEIGSINVNNTSKKMVGMSTRKSNIKPQKDDGEDSGSKSVAQIIVKTEPIWFILSGHKLQRKDFRQVIRHLKGRVCRDSHQWSYQATHFIAPDPLRRTEKFFAAAASGRWILKTDYLSASNEAGKFLAEEPYEWHKKGLSEDGAINLEAPRNWRLLRERTGHGAFHGMRIIIYGECIAPPLDTLKRVVKAGDGTILATSPPYTRFLQSGVDFAIVSSGMPRVDIWVQEFLRNEIPCVLADYLVDYVCKPGYSLDRHVQYNTLAWAEKSLKNLVTRMEEVVENPTSEENDDDITCQVCGSRDRGEVMLICGDENGSSGCGIGTHIDCCDPPLEEIPQEDWFCPNCRNKNGQNAQKNSRKPISRLKRK >CDP17156 pep chromosome:AUK_PRJEB4211_v1:2:50253695:50254522:1 gene:GSCOC_T00006319001 transcript:CDP17156 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTAGLGLGSSSRRWHGSSSWCHQEGELQPKCQSSISEINTSRWLNLSPMLPLMWLRVSKHLRRYHAYIFPTSYHCPFEAISIYYQIFANPSSSFIFSRGHNNKGAGHLQSL >CDO97581 pep chromosome:AUK_PRJEB4211_v1:2:20128578:20137682:1 gene:GSCOC_T00014963001 transcript:CDO97581 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLSSFSLTPSAPSRFLSSISFHHSHQFLSTIDFLRLFLWQVVEMKRAAYYNTVSVPQVQEQWPIKKALTLSDVDITHPFLTLSRQQVDNHIIVHMTQQQQDHLRAVGQVDFNARDDDTGEMYVMKLKWRGSYYNLIGKWGKVVRGKGLDVGQEIYIRWANACLYFSVPQQQIVAVPPIRMVAAPPVQDQWPIKKVLTLSDVDTNHPFLPLPRRLVEDHILYYWSQEQREVLRREEQVNVNARDYDTGEIYLMKLKWRGNYYNLIGKWGTIIRQKGLGVGKEINLRWLNDCLYFSVPQQRYVATASGQDNWPIKKALTLSDVDTNHPFLTLPGKAVEDHILFYWQPQAREQLRNEHQISVNARDEDTGDLYLMKLKWRGSYYNLIGKWGKIVRGKRLQFLSDAVQWLLLEFSGWPNRDGAYILDERFYKKLCGSCFYNKVFYIQ >CDP09480 pep chromosome:AUK_PRJEB4211_v1:2:21791123:21793980:-1 gene:GSCOC_T00028860001 transcript:CDP09480 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQPGNSTGRLCAPQISSTFKLRPPPPPLPPGEKIYENPNPNPSFYTFIINNPLPEKMSSPERNRTTPLKKKNRHTHTASLPEFWFTKDPKSHPLKNAVLKMRLHALSNSASASETEPESESDSQARPPQRLSSAARSCPDFTARLSDELLMNIFSKLQNSQLVSNTLVCKRWCRLNGKLVRSVKILDWDFIESGRVIFRFPNLIDVDIVQACVKSCRNSGILLSNKLVSVHLDSARVSGRGFLKKGDFLDPQVIDRGVRALAEGCGNLRRVVLINVSEEGLNYVAEECETLQEMELHNCDDFALKGVSGCKNLQILRLIGCVDGFYESLISDIGLTILAQGCRRLVKLELVGCEGSYDGIKAIGQCCFMMEGGWLAALSYCGNLKTLKLQSFKSIDASPGPDEHLGSCPTLEELHLKKGQLRDKQGARALFLVCQAVRELVFEDCWGLDDSTFAATSICRSIRFLSLEGCSLLTTEGLESIILSWKHLTRLRVVSCNNIKDSDITPELATLFSVLKELKWRPDSRSLLASGLAGTGVGQNGGRSFRL >CDP18227 pep chromosome:AUK_PRJEB4211_v1:2:48351758:48357784:1 gene:GSCOC_T00011786001 transcript:CDP18227 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKRSSKGGFLQLFDWNVKSRKKLFSAKSELSDNPQQGRETFDDTTISRLRKAHDNGCGPSTRGNDGSSYASSVNGDEIYGARAPGVVARLMGLDTLPTSNVSEPCYTQFFDSHSFRDSHYSRGMPDFRSEEHIIIYDSMRNKLDGFTKNPVEVMLQKVQNRPIEKFQTEVLPPKSAKPISITHHKLLSPIKSPGFIPSMNAAYLVEAAAKIIEQSPRSTARHKLPSLGSSSVPLRIQDLKEKMEVAQKSSRTLQASQKAKEQSSSKQVKRQTRDRVQGQGEAPDMFREVSDTSRNAGSQSIKNKEKSVSLAVQAKANVQKKEGCTAIGNRSSVNHKEPNDVRHSSSGRNQSKIQKNVERRTSTDKSSDVLRQNNRKQNFASAKEMEGSRPPFSHQKDRKQLSSNDVPRPNKTVNKIVVSTPVMPGKTSIHHVGKEQLPSAVKRSSGKKQPINGNNFSHGNDNQSVSRGERSIKCNYEIDRCSKWDAAERKNGTDVVSFTFTSPIKKSLSASSSPVKVTDKKRGSFLVPTTSENQSSFGLNVDGGDALSILLEQKLKELTSKVDSSNQDHFHSEFPCSSAGSCEDSVSTLTMTHTTSSEHHKNSQLDVPQDKLRIQPKSDSSSIDELHLKAMQKWQGVQEMEELGFIRYDRDPKYQSCGPVSSLEPAYSESSCNSLDSNRSITSEASKMFLAVEAYEMTQWSSTRKSQPVEADMEVSDSASSASLGATSVTDTSSSFTSMITNESAKWELEYIRCILVNTDLLLEEFALGEAHKILAPNLFGQWGEVKLGSSKNVEKNFKLVQKVLFDYVEESLELKCAQHFSGSWKSWTKLAALIQKKDWLAEELGREISGWTSMEDLMVDELVDKDMSTKLGKWVDFETEAFEEGVEIEKRILSNLVDELVDDFLLS >CDP09342 pep chromosome:AUK_PRJEB4211_v1:2:23432792:23433103:1 gene:GSCOC_T00028666001 transcript:CDP09342 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTFSNELISRDEGLHCDFACLLYGLLRAKLSEEILISEMEGFTVTSLREAMAEAMEDSEMVSMGEETNGRERERLWERGEERETASVKKSMKWGRKMRSS >CDO97503 pep chromosome:AUK_PRJEB4211_v1:2:19563163:19564417:1 gene:GSCOC_T00014865001 transcript:CDO97503 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDKQVSPMPFVSFRRSILTTRGDNAHSVGSSHDFDSQDSEMESFQKLVFNRFHELSVANDDELLSISWIQRLLDAFACCQEEFRVILCNKKAFISKPPLDKFLSEFFDRSIKALDICNATRDGIEKIRQWKNHLEIVLCALDSDQKMISEGHIRRARKALMDLAIFMLDDKETGSVFSHRNRSFGRLQKNKDHHNRRGSGHSRSLSWSVPNSWSASKQLQSMANNLVAPRGTELAAANGLSVGVFTMSFILMFVFWTLVVAFPCQDRGHQVHFTIPRQFPWSTPLFLLHSRIIDESKKRGRRDSNGLLKEIYQMEKCINRITDLVDSAQSPLTEEQRKDARDGMQELSLFCEVCKAELDPLERHLREVFRKIMSCRTEGLDLLGKAAQT >CDP15840 pep chromosome:AUK_PRJEB4211_v1:2:44563574:44569545:-1 gene:GSCOC_T00016719001 transcript:CDP15840 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAIRAKEIAEKKMRNNDFVGAQRIALKAQQLFPELENITQLLAVCNVHCSAETRILGSEKDWYSILQVERLADEVTIKKQYRKLALVLHPDKNKYPGAEAAFKLIGEAHVVLSDRGKRSIFDRKFASSITSAQAKPPTRQANVNPAAKKQFGVQKNTPNGFAAQVSGLNHHQTKQANSTPVKSFWTCCPYCDVKYLHYTEYVNKVLRCQKCLKPFVAYDIAASHVFLGSNQSQPAAQGVFTGFNQSQAAAQGTFPGLNQSHAATQGVFPGSNQSHTTAQSVPRQYNWSQPAVSKPKDFLAHETYRTGVDRTAGSATSRLGPQGNTNGRTVGSVSGTETMSSMNAGLGNRNVDNANPSRGVNESSAIPNEEVMKSGEAAEVRNENRRRGRKQELESSDSCDTSSSSDVVDMTFEEIASNPAGEQSSGFNAFHAPRRSSRHKHQVSYNESGSDNDDFESPPKKPRKGKSSSNGEGLQQGTANDTPNFANPADQKEDVPVDGGLPAGDAKVCQNQAAGQAAGASHGGAEKVEIIDDSESDDDSCPEFFQLPDPEFNDFDKGREESCFAANQFWACYDDVDGMPRFYAKIKKVHSPGFKIHINWLEPDPDYPEQIDWAEQFPVGCGKFRLGNPEVILSRLTFSHQVLCDKYMGKGPFMIYPRKDETWALFNGWDIKWSTDPGNHKKYKYEMVQIISDFVEGAGTKVAFLEKVRGFVSLFQQRSRNPARSVLIPSSDLFRFSHRVPSFKMSGTEKEGVPEGCFELDPACLPANPDDICYPLGVEVDEKIFSAKADGSCSEASQKNKSKLSESEITPKNPADRKGGFVGETCKVRRSPRGLKIAEKEKIHASASNVSVQTATAIHNHGKGGQIQSEFSPLKAAVSVSKSDKELEVQMQELSPCKSNSIHQSLSPSGKVSEDFHDFKEDRSEGKFKLDQIWALYTPEHKLPKMYGQIKKIGRSPFTLYVAPLESSLVPKSATQPACGTFVVRIGKVQPLETCSFSHLLKADSIVKNRVEIFPKVGEVWALYGKWDAESSLSELENCECHVVEILDCTDGRTKVLPLVPLKPYSKSLFRSPRRQRSGIETMHIEKHELARFSHQIPAFQLTSEKGGSLAGCWQLDRASVPRKLVPGG >CDP15499 pep chromosome:AUK_PRJEB4211_v1:2:45602460:45603057:1 gene:GSCOC_T00015352001 transcript:CDP15499 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVKVFASKLALFQSSTRNRKHETVRLKRHVITHIFATSRIKCTTSSDSKPHNPVFHSSPLKPSCQKNFFSVNPVTQSQSPKLGRKLSSRTMQGTMPVSLPPPATSLNKNGGISKHVSGKNGRTATSFPASRPEIINHENASPNIPY >CDO99482 pep chromosome:AUK_PRJEB4211_v1:2:12101100:12102138:-1 gene:GSCOC_T00029076001 transcript:CDO99482 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVASLTIVAGGGKAKKEQEDPHFKLLPDGLIVSHIFNKISEAKSLCRCSLVSKRFSSLVYQTKNVSVENPLRIPRQELDKKTTPACFPGKQVHCFIAPLGMFPFLQKSQRLTFHFEHLDFEFLSHLIAKFLAKFSRMESLHVKILYPPEDSEATGGIRFIKEPVMKWKLDFESDTFIYLYASRSCLDDANNIYAREIGLLTVEYHCHLAMGFYTFSIMETLLPFLPESLLRVTITDSKNRSKQDLGKTGLADQMRRICDNPRNTEYLQRVTSAKSRVKFPFSGPIGQSFTLFLANESEDYLKVQSAFKNKKR >CDP21191 pep chromosome:AUK_PRJEB4211_v1:2:21307844:21308988:-1 gene:GSCOC_T00002271001 transcript:CDP21191 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSQLNWFFFSEYLTKVRVNGADNEAEAARIARSVASSSLTKAAVYGRDPNWGRIAAAAGKADILFDQNKLRIMLGNFLLMDGGQPLPFDRPAASNYLRKAGETRGKVEIQISIGKL >CDP18667 pep chromosome:AUK_PRJEB4211_v1:2:34598747:34599088:-1 gene:GSCOC_T00006404001 transcript:CDP18667 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPSSFFINIKNIFLPYPRCVLTKEQTLDSARNIQFSISSVYIRVAQETHQDGFPHLHYLIQFTSKFCIESARFFDIKSPNSNSMFHPNVQGARSSSAVRDYISKYGDFVE >CDO97679 pep chromosome:AUK_PRJEB4211_v1:2:20975918:20983929:1 gene:GSCOC_T00015087001 transcript:CDO97679 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRSEHMAATKLINTKEPVCVTGANGFIGSWVIKTLLDQGYTTVHASIFPGSDPSHLFSLPGAAGDRLVVHEADLLDAEAVRKAIEGCAGGGVFHVASPCTLEDPVDPQKELVDPAVKGTLNVLAAAKMYNVRRVVLTSSISAMVPNPGWPRNEVFDESSWTDVDYCIARQKWYPVSKTLAEKAAWEFAEMNKLDVVAILPGTCLGRLLQPCLNASCAVLQQLLQGSKDTQEYHWLGVVHVKDVAKAQTLLFQTPNASGRYLCTNGIYQFSDFADRVSRLFPQFPIHRFDGETDPGLVACKDAARRLINLGIVFTPVEDAVRDTVDSLKAKGFLGQEN >CDP06802 pep chromosome:AUK_PRJEB4211_v1:2:36703780:36706350:1 gene:GSCOC_T00023788001 transcript:CDP06802 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADPIVSQADNFLTMAWSITICFIEYARVSVTAKGKPSGIATTRIVTAAAMIRMMEFMISPVLVCLALNSLCPLSSLVCPVK >CDP08749 pep chromosome:AUK_PRJEB4211_v1:2:53326426:53327088:1 gene:GSCOC_T00027834001 transcript:CDP08749 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDQVRPLAPASERQISDDEEAAWYFKGASKKRCIKCCGFISALLLLQAVVVIVLIFTVFKVKDPVIRLNGVTIDKLELVPNGTTPKPGSNMTLTADVSVKNPNYATFKYQNASTSLFYHGMLVGEAHTLPGKSKARRTLRMNVTIDFIMDKLLSSPMLKSDVGSGLLPMTTYTRVGGRVNMLNIFKKHVVVRMNCTVAANITSRTIQSQDCKRKVKL >CDP13826 pep chromosome:AUK_PRJEB4211_v1:2:32903963:32906341:1 gene:GSCOC_T00038899001 transcript:CDP13826 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVGGSFLSAFLQVLFDRMATPVFVNLFCNRKADDDLLKKLKGELRTVGAVLDDAENKEIRNQYVKEWLEELHDTFYQAEDLLDRISTEALRIKVETEYQSSTSTCTYSGDEFLRRITPEIETIVERLEGYNKKIIPLGLQVLHSRIQSHQKFETPVVDESTFFGRNADKEKIIQMLLFEDADRDNITVIPIVGLCGLGKTTLARMVYEDSRVEMSFPTRAWVCVSEEYDPTRITKEILRELGISFGESENLLSLQVKLRGGLTKKKFLLVLDDVWNSNYNQWENLRSPFNGGSRGSKIIVTTWNQQVARMMAKERSIHHLNSMLEEDCRSLFKKHAFENRDGNENAELEETGNKIVTKCRGLPLAVKTVAGILRSKTTPEEWEEILLSEEWTQMDIPDGPMPALRLSYVHLPSHLKGKNPFFISKL >CDP08575 pep chromosome:AUK_PRJEB4211_v1:2:40471349:40472078:-1 gene:GSCOC_T00027556001 transcript:CDP08575 gene_biotype:protein_coding transcript_biotype:protein_coding MECEVVKGLAFLFCKFRPVTEYNEIANHFADCIYVHCYNTRLRKLQENTSTSVNVPNLGFNTPVKGYQPSLSNQFSGQYTVDRLEGVEKLILDYLQQPALL >CDO96973 pep chromosome:AUK_PRJEB4211_v1:2:15420866:15422641:1 gene:GSCOC_T00014172001 transcript:CDO96973 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLPITQFLNHCSQTRNLKAVKKFHAHLLRTGILFFSPNLQTKVIFTYTSCLNTNSTQTLTNLFKFLNPRSPLPFNSIVSHFSQNGCHSLALHAFSFMHFNGVHVDSYALCSALKSSSCDNNLRSGKNIHAHVQKSGWFCSVFVASALIDLYGKMLFTVDAAMVFDEIRVKNTVCVNALLSGYADAKMWSEGVELVRQMPAFYLDCDNFTFSAALRACAGLSATGLGRQIHASVIRKVLNVGDDVFLQSLLIEMYGKCGLVEKAKRIFSMAGFRQEGKRKRDVVLWTSLLGVYGKHGHYKEVIMLFRDMLINGIRPDGVAFLAVISACGYTGQVDLAFKYFASMARDFGLKQSPEHYSCLVDALCRAGELEKAWKLINGMPCEGNSRYTVSMWGTLLNACSECGNVDLGKLAGQRALELEPHNTGIYVLLSNMYASNGMWDEIGQLRESMKGRKLKKDIGCSWIEVGR >CDO99598 pep chromosome:AUK_PRJEB4211_v1:2:10962357:10968950:-1 gene:GSCOC_T00029236001 transcript:CDO99598 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLSSILSLVAEVKKGMFSNQDIYTLITPSAYDTAWLAMIPDPTNSKQPMFKSCLDWILNNQNDAGFWGESHAEGRSTIDTLPATLACLVALKTWNVGEGNIGKGLEFFQSKVEIILKLDYHHLPRWFVIVFPAMVELAQATGLDLALTQGSETVLADVLCRRQQLLDMEDVEDDSHQYYPPLISYLESLPSAYHADQQKILKNLGGDGSLFQSPSATASAYIATGNLKCLNYLKSLVQRCHTGVPAEYPVDEEFTQLLMVDHVQRLGLSEHFNEEIEHILKQVYGDFRYQDSQPTETYLLVKKLSKDALAFRLLRMQGYDLKPRCFCWFLQDINMLDYMEQNCEHLTSVLYTVYRAADIAFTGEYELEEARSFSKKLLEKATSAVRIRNDNLVIFPGLQKVIKHELTLPWITRLDHLEHRMWIEENKISPLWLGKASFYRLSCFNNEKLIQLASENYKFRQSIYAKELEELKRWSTESGLADMGFGREKTTYCYFAVSACCCLPHDSIIRLIVAKAAILITVADDFYDMEGSITELEALTEAVQRWDGQNLRSHSKTIFDTLDDLVTKTAATYHLQQEQTRFLKEFRDIWRETFLSWMTEKTWSDTGYLPSMEEYLETGMVSIAAHTLVLPASRFLCQKLPVEEFKPGKYRDITKLLMASTRLVNDTQSYQKEQADGKMNMVTLHLNENPEADIDDSVAYVKHILDEKTKEFLQIVLEDSSSDMPKSFRHLHLSCMKVFQMFFNSTNLYDSKEDLIDNIKKAIHIPPDYTQTPDHRVKPLPMPSSAPPEKKNVVKIAARFEPNIKVKYRGGKAMFMGQQLLIKNPISVCGSGAVCVPPRF >CDP15519 pep chromosome:AUK_PRJEB4211_v1:2:45962671:45964193:1 gene:GSCOC_T00015377001 transcript:CDP15519 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQAHNGRSFDPKVCSSVGGGEEYDNGTLEPPRILAILASTLEKSILKNEKLLKATNVKDVITIFHGLRAPELSIRRYIERIFKYANCSPSCFVVAYIYMERFLHQTNGYLTSLNAHRLLITCFMLAAKFVEDECYNNAYYAKVGGISTTEMNRLETKLVVAIDFRLHVSVETFDDYSFRLEDEASREQYRIERPFRLCGLGKGSNSKDGSGFAPKAAGCTCRAT >CDP07669 pep chromosome:AUK_PRJEB4211_v1:2:24519106:24521193:1 gene:GSCOC_T00024998001 transcript:CDP07669 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQVELLAANQVLIPFYLLQFRHLYLVSLDIFFMTLLVKFHELRVSSTLHWQQVLCLFTASLSCGEGLNALALVPAPHHTFVATLGCIDASANMEDSCDDQLLKRSNYSLVLVVYVDAFMFT >CDP15488 pep chromosome:AUK_PRJEB4211_v1:2:45382438:45383415:-1 gene:GSCOC_T00015331001 transcript:CDP15488 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNCQIDVLFFCWLSHMKVQCHCILQSDGLRNLYILRVELHFSNLYREVLLLGCLSSLHQLD >CDP08752 pep chromosome:AUK_PRJEB4211_v1:2:53316603:53318454:1 gene:GSCOC_T00027839001 transcript:CDP08752 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDKAKNFVAEKVANMPKPEASVTDVDLKGISRECITYQAKVSVKNPYSVPIPICEISYVLKSATRVIASGNIPDPGSLKGDETTLLEVPVKVPHSVLLSLARDIGRDWDIDYELDLGLIIDLPVIGNFTIPLSQKGEIKLPTFSDLFGGGGKSKDDETAAAEC >CDP09378 pep chromosome:AUK_PRJEB4211_v1:2:23023812:23027110:-1 gene:GSCOC_T00028721001 transcript:CDP09378 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRSSILRRIRIPVSQTLTLNGSTKLGSVRFMSSHDDHLDKEEVTARVLDVVKSFPKVDPAKVTPDVHFQKDLGLDSLDTVEVVMALEEEFKLEIPDKEADKIDSCPLAIEYVYNHPMAG >CDP07731 pep chromosome:AUK_PRJEB4211_v1:2:25489655:25494288:-1 gene:GSCOC_T00025081001 transcript:CDP07731 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMG1 [Source:Projected from Arabidopsis thaliana (AT5G47960) UniProtKB/TrEMBL;Acc:A0A178UQI5] MSNLYGGGGGANLNQKIDYVFKIVLIGDSSVGKSQLLARFSRNEFSLDSKATIGVEFQTRTVVIDHKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLEELRGHADKNIVIMLVGNKTDLESLRDVSTDDAKEFAQKENLYFMETSALEATNVEPAFITVLTEIYRIVSKKSLVANEEAESEGKSALLTGTKIVVPSQEPVPGGTKYSCCGSS >CDO97129 pep chromosome:AUK_PRJEB4211_v1:2:16685246:16687182:1 gene:GSCOC_T00014373001 transcript:CDO97129 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAVDPAFVQDIEHRPKPTRIEAEGIPLIDLSALNSPHSDADLARLVSEIGDASQKWGFFQVINHGVPLECREKMELASRKFFALPKEEKLKVGRDEANPYGYYDTEHTKNVRDWKEVFDFSVKNPTFIPASHEPDDQEVRELINQWPQNPPELREVCEEYAHEVEKLAFKLLELIALSLGLPKNRFNGFFEDETTFIRLNHYPPCPSPHLALGVGRHKDAGALTILAQDDVGGLEVKSKTDGEWILVKPTPNAYIINVGDIIQVWSNEKYESVEHRVSVNSEKERFSIPFFFNPAHHTWVQPSEELINEQNPLKYKPYNWGKFFTTRKRSNFKKLNVENLQIYHFKIDN >CDO99843 pep chromosome:AUK_PRJEB4211_v1:2:8372856:8378394:-1 gene:GSCOC_T00029539001 transcript:CDO99843 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGSYPGQRILSESTHHRLIEVVKTALIKIFVSPYATVCELYCGKVADEDKWDDAQIGHYIGIDVGTSGVGEVREAWESQRKSYTSDFFELEPCTEDISLHLQDKEIQADVICCLHHLQLCFETEDKAKRLLHNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNKSSGMKPNIVPNCIRSESYMITFEVEEEKFPFFGKKYQLKFANEISAETHCLVHFPSLIRLAREAGLEYVEIQNLTDFYDDNRAQFAGMLQDSGHNFVDPRGRLLPRSYDVLGLFTTFIFQKPDPDIGPPLMTPVLEDGSHNNDEASLYNIGKCHLNDDKSVQTETSQGLGKITEQKGILGPGPAELRFAEAI >CDP07786 pep chromosome:AUK_PRJEB4211_v1:2:26426568:26434186:1 gene:GSCOC_T00025167001 transcript:CDP07786 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIARNEGRQLETEVHNITSSRVYTQPWWRGYESTCLPSPGESVNGSVSESVTKERDTSVAPPSESNGSGGQGPQQVKHAPSTIPMSGHLDSPSQMELVGHSIMLTPYPYPDPAYGGVITYGAPVHPQYFGIHQTRMPLPLQMEEEPVYVNAKQYHGILRRRQSRAKAEMEKKIMKGRKPYLHESRHQHAMRRARGSGGRFLNTKKLENNESKSSKSASKEQTKCGDAVPTQSGNSSGSEHLSTDCNGHSDQLREKKQMLSNGNGRGISSFYYQQSSGSERGRQHFNQESWSLLVNQAPRGAASSN >CDO97016 pep chromosome:AUK_PRJEB4211_v1:2:15847552:15857441:-1 gene:GSCOC_T00014228001 transcript:CDO97016 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTVGWQRGERMPVSRKRFLPYGPSDINLKTQKRMKIGGMKSGSACWREELDVKKQKLSAKVIDYSDPFTTSSVLEELGSGKYGSVTKDMEDLICRRRLLLGIYCAVDPTLANLDLENYSSEKPFETKGSTSVDVIDVEDDCDASTVAPLQSVPGVQHLPLAGPLVILDSDDEDLRNEGSAYPYQEIVLPDPGRNLLLKDFENQRSRAGVVSSISQMEDKNDKGVYVGLEDDMDDNEHSSANDDGLDDIWKEMTFAMESSKETAVEPSCDELAAEDADECDHSFILKDDIGYVCRVCGIIKRSIETIIEYQYAKARSTRTYRYEGRSAKDPDQTEYTPGGVKLCAHDFTAAEISAHPRHRKQMKPHQIEGFNFLLSNLMTDNPGGCIMAHAPGSGKTFMIISFLQSFMAKYPFSRPLVVLPRGILATWKKEFQRWQVEDIPLFDFYSVKADSRTQQLEVLRKWAEEMSILFLGYKQFSSIVCDTNCSKAAASCQEILLTCPSILILDEGHTPRNQDTDVLTSLEKVQTPRKIVLSGTLYQNHVKEVFTILNLVRPKFLRLGTSKGIKRRILSRVSISSRRDILRKGSDNEFYEVVEHTLLKDKDFKRKVTVIQDLREMTSKVLHYYKGDFLDELPGLVDFTLLLKLCPKQQKEVAELKKLSRKFKISSEGSALYVHPQLKCLSKNSVVKDRVDEEKIDMILEKLEERDGVKTKFYLNLLQLCESSGEKLLVFSQFLLPLKFLERLTVKAKGYSVGKEIFMITGDSDNDTREISMERFNTSSDARVFFGSIRACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQERKVYVYRLVASGSPEEEDHSTCFRKESIAKMWFEWNEFYGHHDFEMEAVDVRDCGDLFLEAPRLREDLISVYKR >CDP07683 pep chromosome:AUK_PRJEB4211_v1:2:24693817:24695016:1 gene:GSCOC_T00025019001 transcript:CDP07683 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGSQPQFDQSSTTPGTDQSSLASINHFSHPHPLQLSNCQSQQGFSANLCSGCKLEASGIVYSCTMCNYFLHQKCSQMPQKITHPFDQNNHVLSLLPKPAYPGGIFNCDACSQQGNGFCYHCQTCGIDLHILCASMPMAFTHQSHHHQLNLTFSPPYPNKAFSCDICKMNGHNHWLYHCSICQFDVHLTCVNALPINRTFTQPPSVLNQIQSMITRSVSMPPAQPTMPNNFANNVNPVGFNSFRPTGGMAAPIHLQSQPQSFVATPYAAPTPTQQTFIPPNNYMTHGGYGQPVMPAGYAQQQNNMTTQIVGGIANGLASGASQSATQALIQGIFGGGGGGNGGGGNDVTGFSTTGADVIPADQGTYFVSDGSFNQIDGGYGGDVTTTY >CDO97628 pep chromosome:AUK_PRJEB4211_v1:2:20491623:20500417:-1 gene:GSCOC_T00015023001 transcript:CDO97628 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPLDRTKVVLRHLPPSISQSSLMDQVETRFSGRYNWVSFRPGKTSQKRQSYSRAYIDFKRPEDVIEFAEFFDGHVFVNEKGTQFKTVVEYGPSQRVPKQWSKKDGREGTILKDPEYLEFLEFLAKPVENLPSAEIQLERKEAERAGVTKEAAIVTPLMDFVRQKRAAKSGTRRSASNGKSNRRVSGASIGGPSSSSSKRGSEKRRMSTTMYILRDNAKATSGKDKSTYILVPKQDDQHLSDKSVTSAAACGNGELEEESGDSGPTDSGKKKILLLKGKEKEIPHMSGGSPVQQSTASPFKNPPSSALKQNQRREASGKIIRSILLKDNRQNQPSSVRSDQQNQTVNLERDKRPPRPPSVQLLQKDANVASDDKVPNDIHNIHSEKQEKRTRNKDRPDRVVWTPLRRSDGSHTSDESLSSSASQSAVDATEGTQLEMKSDMSSARGGEFRHGGSGRGSQSSTDNGSYRHGGRRGSAHVKDSDGSSLVDGKPLRRGGSSGFGSHEKQVWVQKSSSGS >CDP21033 pep chromosome:AUK_PRJEB4211_v1:2:48226890:48229712:-1 gene:GSCOC_T00004426001 transcript:CDP21033 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYNTRYPFFFQLSEPSGLVEAEDGRLFIADTNNSLIRYLDLKTKKPELLTLELKGVQPPAPKSRSLKRLRRRSGADKETIVINGGSSSEGNLILKISVPEGYHFSKEARSKYSIDVEPENGAFIDPLEGNLSSEGSAVLHFKRASPSSSIGRINCKVYYCKEDEVCLYQSLTFEVPFQDLNPNSVPADITLPYTVKPKTTRSSLDVPVAR >CDO97264 pep chromosome:AUK_PRJEB4211_v1:2:17686609:17689678:1 gene:GSCOC_T00014540001 transcript:CDO97264 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNPFQSLFGALLNVSNCIQTHLSQFISFPHNNNPTTRTNHYSFPLFSLSSSLPGISEPKQSYLNPANTLLLRPAGQPPEKDKAAGPVTKEELGRATWTLLHTLAAQVLHNLSSCVPILVPIFGVVFSTISRASTIQLLFHELYLHCLYIPVLVIVVDLCYPEKPTRQQKKDVKELMAILSRMYPCKECADHFKEVLRVNPVQAGNQHEFSQWLCHVHNVVNRSLGKLVFPCERVDARWGKLDCEQRACDLQGDENPWE >CDP08522 pep chromosome:AUK_PRJEB4211_v1:2:41892091:41905073:-1 gene:GSCOC_T00027461001 transcript:CDP08522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase [Source:Projected from Arabidopsis thaliana (AT5G49570) UniProtKB/TrEMBL;Acc:A5PHD1] MVVRKFAVKHDDSTFDVDYDTDNGFEVFKFQLFSLTSVPPDQQKILGGEDDRVVSDDSDLSSVPSDKLRLVSVDDHEEKGRSDTEFAISDEEFARMLQAEEDALMMQQLVVSENKDQFEQQIRPYVDQVRMYEDPLRQEAARKTVPVDKLEEKALVALAREGNFEPTKGEQDHAFLLQLLFWFKQSFRWVNAPPCDKCGNETVGQGGDVADPSETRYGASRVELYRCNLCKGITRFPRYNDPLKLLETRKGRCGEWANCFTLYCRAFNYESRLILDFTDHVWTECFSPSLGRWMHLDPCEGIYDNPLLYEKGWKKNLNYVIAIARDGVYDVTKRYTRKWHEVLARRNLATESAVATILSNINKECRQKFSSQFRVALEERDRIEAEALERDLYSKENASVSLPGRQSGDKEWRISRSEFGSESLTSSSCPVRKCVDDHVTKIYNAFSPIISQLIGQSSSKSQATEVLRLLKKILVDLKNMPFKTRRMYIDHVPSHALFIRMIPSFTQLLDALSLKIESNVNGRIGISLASDPVKTSIALPVVFHALDDLIYNVHHCKQFDKNSLSWPLLKLNRICCGLVQASGEELPFGISTSAFDGSRMSKWEEPNGATGCWIIYKVSDNQVYELVSYELMSANDAPERDPLDWVLEGSQDGGLNWHILDEKTSQMFEERFQRKVFEVKSPGILANAFRLRFLAVRDPKATSRFQIGSIDFYSKAESACQK >CDO97719 pep chromosome:AUK_PRJEB4211_v1:2:21287762:21288298:-1 gene:GSCOC_T00015140001 transcript:CDO97719 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIRGFLLRHRVNTIFRSVFRRHSSPMRYHRLDPHPFYRANAISRLISWTHNLGSKAKDICCGSSKNLVPGRAMSRGCMQTGQEPVGAKPQPVEVPKGHMAIYVGQKGGNFQRVLVPVIYFNHPLFGQLLREAEEEFGYNHPGAITIPCRISEFEHVQTRIKQGRSTRKLLTWKRQA >CDO96732 pep chromosome:AUK_PRJEB4211_v1:2:12454374:12457655:-1 gene:GSCOC_T00013843001 transcript:CDO96732 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLLINSTNIKCSNVLFLVMKLCFLIICFLQSAIGAGTPLETINIGAIIDVDSRAGKEQKTAMLVAVQSFNSISTNHKLTIHFRNTSNYPIHAVSAAEELITQKQVQVIIGMQTWEQAVLVADVGKKAQVPVLSLAPAPNRHLFIQHRWPFLVPTVSDGFEQISCIASIIRSYHWRKVVAVYEDNTYGGDSEMLAILSEALQPVDAEIEAHLVLPPMSSVSDPEGIVREEVEKLLMTQSRVFVVLRSSLSLANHLFREARKLGLMGRDSVWIIADTLSNLLDSVDTNFISSAQGALGTKLYYSEEATPFLDFRRQFQKVFRLEFPSEHNLEPGIYALQAYDGITAISKVVMELGSKSNTSTSMLLPTIIRSNNFTGLTGDIHFHNESLSSPPMFRIVNVVGKSYKELGFWSSSFNFADSLELENGKINFSAVDGVQTMQNMTARVNWPGELDRIPKGWAMPSNANPMKIGVPGRTVFQKFVKVDWVDNDKNGERKYDGFCIDLFEEILKLLEQEYALPYEFYPYNGSYDQLVDHFIKLLVYMKPPLNSFDAVVGDVTILAERSNDVDFTQPYAESGLSMLVPVKNEAQMPWMFVKPFTRNMWIATFSIMFYTMIVVWYIEHQTNEQFKGPRKDQLVTAIWFTFSTLFFAHRENVRSNSSKAVVMMWLFLVFVVTSSYQAALTSILTVRRLEPRTTDVQWVRKTNATVGCDSDSFVRDYLQNVLQLRNIKTIDTEEAYPAEFESGNITAAFLELPYQKVFLGEYCNEYTAVGPTYRNGGLGFVFQKGSPIARDFSKAILTLQENGKLRSLVEEWLESSMNCSSVDESGNPESLRFESFWVLYLISGSTSTFCFVYFITKRCWKRQEAYQNGAIVGDKGIKGIVDMVVELGPYLRRRSMGRAGTFAQRWSSSRWGLVSPTDPSEHFEAAP >CDO97393 pep chromosome:AUK_PRJEB4211_v1:2:18702113:18705557:1 gene:GSCOC_T00014722001 transcript:CDO97393 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta carbonic anhydrase 5 [Source:Projected from Arabidopsis thaliana (AT4G33580) TAIR;Acc:AT4G33580] MALPVPQSTSVTTATSSSSNFPSTSPRKIKISCSQLRFSETKQAHLRLMDAFKGNSALQVKALRESQGLTQEIINNEQKCVQIMDNESELFKEMKHRFLTFKKDKYLENLEHFQNLAKVQKPKFMVIACADSRVCPSSILGFQPGEAFIVRNVANLVPPYENGPSETNAALEFSVNSLEVENILVVGHSCCGGIRALMSMEDNKNSSSFIENWVRIGKPAKLISTKATATASDLNFDQQCRHCEKVSINQSLLNLLTYPWIEEKVANGKLSVHGGYYDFVDCTFEKWSLNYKGSESKEDGEYSIKDREFWC >CDP04939 pep chromosome:AUK_PRJEB4211_v1:2:256154:260491:-1 gene:GSCOC_T00019809001 transcript:CDP04939 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVRSAVKAIKEKGFGSFLRELRDEGYLTALLDGNLMQTKIHNIGATLVGVDKFGNKYYEKLGDTQYGRHRWVEYAAKDRYNASQVPPEWHGWLHFITDHTGDELLLLKPTRYGLEHKENLSGEGDQYIYHSKGHSLNPGQRDWTRYQPWQPTKA >CDO97107 pep chromosome:AUK_PRJEB4211_v1:2:16545665:16547837:-1 gene:GSCOC_T00014346001 transcript:CDO97107 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCOR413-like protein [Source:Projected from Arabidopsis thaliana (AT2G15970) UniProtKB/TrEMBL;Acc:A0A178VY40] MAKISYLAMKTDPAASELIASDFKDLGNAAKKLANHAILLVGSGLGFGTSFFKWLAFVAAVYLLILDRTNWKTNILTSLLIPYIFFSLPNVLFNLLRGEVGKWIAIIAVVLRLFFPRRFPDWLELPAALILVIVVAPSLFADTIRGGWVGIIICLIIACYLLQEHIRASGGFRNSFTKTHGISNTVGIILLLVYPVWAFIIKLL >CDP00019 pep chromosome:AUK_PRJEB4211_v1:2:6940427:6942901:1 gene:GSCOC_T00029775001 transcript:CDP00019 gene_biotype:protein_coding transcript_biotype:protein_coding MRISTYQFLIRVLLPFCLVLTSLEFDPEDKYLIDCGSLDDTSVGDRVFLADSLNSSTLSTPEKIFLNASSNSIPSTYGRALYRTARIYNGTSRYSFTMKKTGRHWIRLYFFPFVNQYLNLSTSKFSVSAQNFTLLKDFQPSGAPTVKEYSLNLTDNILVLTFTPSTNSFAFLNALEVISLPNELIPSGAKTVDSNGENRNLERQALETVARINMGNETVPPQNDTLWRLWTSDSTYLASSALVVFVSNIGFVNYSSGRVSENIGPFSVYGTATKLNTASDERYMINATWLFHVDHGFDYFVRFHFCNILNPVPDNLYFNVFLNYDFAAKDLNLSTSGVPHYMDVVTRADSVDQLSVSIGTSSVQNALPNGILNGLEIMKISNSKDSLDASDAESQFKATTSKSKVWVYVGSALGLSVIVIVLVLVFALLCRGRRRKHMVHSTLDQYAMTGVSTEEKEHSIESSIISQSKRGYRFPFAAVQVATDNFSESQLIGVGGFGKVYKGALSDGTKVAVKRGFPQSRQGVAEFKTEIEMLSQFRHRHLVSLIGYCDERNEMIIIYEYMENGTLKDHLYGSDQPKLNWRHRLQICIGSARGLHYLHTGSNKAIIHRDVKSANILLDENLMANVADFGISKTGPEFDQTHVSTAVKGSFGYLDPEYLTTQQLTDKSDVYSFGVVMIEILCGRPVIDPSQPRERVNLVEWAIKCFSIGEMETLVDPHIEGQAKPESLMKFKETALKCLADLGANRPPIGDVLWNLEAALQLQGSDQREGNEQSANLYSETRVSTAQFSMGSVDGLAGVSMRRVFSQMVRAENAVDQSQKDTNI >CDO97013 pep chromosome:AUK_PRJEB4211_v1:2:15806852:15813590:-1 gene:GSCOC_T00014223001 transcript:CDO97013 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSASSKWFLHCMLLILAAQVAHCSVTYDRKALIIDGQRRILFSGSIHYPRSTPDMWEGLIQKAKDGGLDAIDTYVFWNIHEPSPGNFNFEGRYDLVRFIKLVQKAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKMAMQRFTEKIVRMMKYEKLFQSQGGPIILSQIENEYGIESKAYGAGGRAYMNWAANMAVETNTGVPWVMCKEDDAPDPVINTCNGFYCDYFSPNKPYKPTIWTEAWSGWFEDFGGPVHHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRAAGGPFITTSYDYDAPIDEYGLIRQPKYEHLKELHKVIKSCEHVLVTADPTLTPLGNYEQAHVFSSSSGCAAFLTNYHLNEAAHVTFNKMHYELPPWSISILPDCKTVAFNTAKVGVRPSTAQMIITNVKMLSWETFSEDISTINDDSSLTYVGLLEQLNVTRDTSDYLWYTTSVEISSSESFLRQGHYPILTVQSAGHELHVFINGHLSGSAFGTSENKIFTFTGGINLHAGVNKISLLSVAVGLPNAGARFETWSIGVVGPVALHGLDEGQKDLSWQKWSYKVGLKGEVMNLVSPNAISSAEWVGGSLAAKKQQPLTWYKAYFDAPEGNDPLALDLSSMGKGQVWINGQSIGRYWTADAKGSCGQCNYSGTYRQWKCQSGCGQPTQRWYHVPRSWLKPTGNLLIVFEEIGGDASRISLVKRLVSGV >CDP17532 pep chromosome:AUK_PRJEB4211_v1:2:29289161:29306512:1 gene:GSCOC_T00004490001 transcript:CDP17532 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYGTGVFDFRRHRVAEYPVEGPLPAGAAESAVPDKSLESKPGTNLSTSITLTEIQRDRLTKIAAANWAKTGDSTSAKKPFSPQLVKEIYDNELTVKGGRKPVPLQRVMILEVSQYLENYLWPNFDPETATFEHVMSMILMVNEKFRENVAAWVCFHDNKVMFMAFLERVLCLKEGRNFSVAEKINYLLFMINGFQSLEDEIVSEKVLRVASLQSWHSLSYGRFQIELCLNPDLIKKWKKITRRAKEAAKRGESLEASSMMEVRFLRNLIEEFLEVLDSNVFHHQQQDDESDQSVNIGDPEQVDDACVLYCERFMEFLIDLLSQLPTRRYIRPLIADVAVVSKCHLSALYRHRKGKLFSQLVDLLQFYENFEIDDHLGRQMADDEVLQAHYERLQAFQLLVFKKIPKLRELSLANIGAINKRADLSKKLAVLSPEELRDLVCVKLKLLSKSDPWSERVDFLIEVMVSFFEKQQSQKEAINALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAHINNEGETAFRGWSRMAVPIKEFKITEVKQPNIGEVKPSAVTAEVTFSISSYKAQIRSEWNALKEHDVLFLLSICPSFEPLTADEAAKATVPQKLGLQYVRGCEVIEMRDEEGTLMNDFTGRIKRDEWKPPKGELRTVTVALDTAQYHMDVSDIAEKGAEDVYGTFNVLMRRKPKENNFKAILESIRDLMNETCIVPDWLHDIFLGYGNPSAAQWINMPDLLEVVDFKDTFLDADHVRECFADYQVCFTNSDGTENANPSPPFRIKLPRSLKGDAHALPGNKKSISALGDAANATDVHSNGEKLVVEAYTPPDHGPYPQDQPKQNSVKFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVFSRWEQFLAASEKNQDKATFVQDRFPFKEFFSNAPQPIFTGQSFESDMRAAKGCFRHLKTMFKELEECRAFELLKSTVDRSNYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSLARLYNWRYRELGDLPFVKDNQIFHKANAGFCYDYQLVDVPDYNGRGETAPSPWFYQNEGEAEYIVSVYMYMRLLGYPANKISILTTYNGQKLLIRDVINRRCAPYDFIGPPNKVTTVDKFQGQQNDYILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLRRPDQLALNLHEVIPYTDRHVEDTGIVHLISGIEEMAGIVNYKMHQIYQARAMSHQLAAYSGHVPMAVDASDENSLSSSTVRGALESDPHRDNGINGEVSPENESNESTAKDLLANGNNDMPPGSKSNGNVDLKVQGDDLDGMEISGSAEEESKMEE >CDO99609 pep chromosome:AUK_PRJEB4211_v1:2:10772238:10778777:-1 gene:GSCOC_T00029251001 transcript:CDO99609 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDRNPFDEEEEVNPFADGGKSKFSGGAFYTTSGSVPAATHSRLSPLPPEPADFYNSTASIDIPLDNAADLKKKEKELQAKEAELRRREQDVKRKEEAAARAGIVLEEKNWPPFFPIIHHDIGNDIPIHLQRMQYVAFTTFLGLVACLFWNVIAVTTAWIKEGDPKIWFLAIIYFISGVPGAYVLWYRPLYNAFRTDSAMKFGWFFLFYLLHIIFVIFAAVAPPVVFKGKSLAGILPAVDLISKQVLVGVFYFIGFGLFCLESVLSVWVIQQVYMYFRGSGKAAEMKREAARGALRSAV >CDP19510 pep chromosome:AUK_PRJEB4211_v1:2:21371201:21371419:1 gene:GSCOC_T00002338001 transcript:CDP19510 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRSCFKYMAGGVIGVYIAQNYDVPNLRKLASCGFLMAKKVEQTYRKPQQQQSKKKMDDDAGPDGPQNKI >CDO99995 pep chromosome:AUK_PRJEB4211_v1:2:7131165:7133989:-1 gene:GSCOC_T00029746001 transcript:CDO99995 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLVPQKSMVEFPNLQGSHSVISQKDIISGTNHCFPIRNISYSVKCTCKTRSHLQWVRFVGSRRSASDIICRSQLLGVCVDRVASSNQSNEKMDNHEMSSSKKYLKSLKVSFDGPLIENDEKSNNEILQNLCGYGRLLDASKLVELMARRNQIPNFSSCINLIRGLVNLDRLDKAVKVLELMVMSGGIPDIITYNMLIGGMCRKGQLKSALDVLADMSMSGCCPDVITYNTLVRAMFDCGRFDQAIQFWKEQLRRGCPPYLITYTVLIEQVCKYCGVVRAIEVMEDLAVEGCYPDLVTYNSMISFTCKQGNFGDAALVIYNLLSRGMEPNAVTYNTLLHSLCSNGRWVEVDEILMLMNDTSHPPTLITYNILINGLCKHGLLDHAIDFLDQMVSKDCSPDIITYNTLIRALFREEMLDEAIQVLYCLVQTTTSPSLITFNIMIDGLAKKGLMEKAMELYGHMIEQGIVPDDITYRCLIWGFCRADLLEEAVELLKVMGNIKHRIRDNCYRFIIHRLCKKKKVDTAIQVLEMMMSNKRKHHATLYSSIIEGVAATGLIEEAEMLRQKLRERKFLRV >CDP09349 pep chromosome:AUK_PRJEB4211_v1:2:23356328:23357061:1 gene:GSCOC_T00028678001 transcript:CDP09349 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWNPIPVIPNTALEVKEKLYVRRFSLMHFNKSLLQVIKEQPIVGLVEGYPSFDNYKRRYKFTRGLLLLNS >CDO96944 pep chromosome:AUK_PRJEB4211_v1:2:15235125:15256033:-1 gene:GSCOC_T00014140001 transcript:CDO96944 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANASEREAPNAVRALDASLWWDSFSHLLTELEDVSLSSDLPPSLEKKLKDNHAWFLETVRMFKPPDQKSREALALDSKQLKLGSHQLTIQPALRDAALKISSLLCLNEVQSYVLVERSVEHNSLSIDSMLGDLLHLVMVQYYIERQCLLKCTRQILMHALFVRSRSKEAHAVWTEAQKLVSDGLEDRSLSILEDHLCSNYPEHVDVDLYTLWAEETLIEDNLVLDILFLAYYECFSACNGKQWKKLLLLYEGAISGSFNFAKLAISAEAARLIYYAKVQLLLILIETLDLENLLQMIHDEIPFRFDSVDFSLDDIQEIDAIVSGIDVSQTREAGPLILAWAVFLCLISSLPPKEDNNVLMEMDHVNYVRQAIEAASLSYFVQILDSDIWKELDGPVAGYRSVLRTFISAFVASYEINLQLEDNNLRLILDILCKIYRGEESLSNQFWDRDSFVDGPIRCLLCNLEGEFPVRTVEFLRLLSALSEGAWPAECVYNFLEKSVGLSSLFEISSDSLVDGTSKTVETHLPLRVPGVEGLIIPNGTRGQVIRMIDRNVALVRWEYAQSGVVVLLYHMAQELFIQNSEEVLVILDLLSRLVTFSLSVCNALVLSGKPSCEPTPLEEQQEHYECLNVVEMLCTLVKNLPPTCYGAALMSMSINILAKMLKCSPYCVATMTAKANIFDVASRTNPFHTISSGLSSEPWLLSGKLAKMLLIDCEQNDCSLTLSGYLLCFLLVLEALQLHFKLLDFTIQLVETGFESDVVLALVVFSLQYVLINHDIWTYKMKHTRWKVTLKVLEVVKKCLLSISSSKQLGVVIHNILLSDSSIHNALFQIVCTTTQGLEKLYISRLYDLIDVEGLKLAISSGLDILFNMLSLLSEQTRSEVRISLIFLQSALVLKVFPWVLKRKDLLSGKVFTKYDDVTNLSLFHQAILSPLTKPVPVVTAAVSLMSSFQTFNIQVGAARLLSLLFITGDSSRSSAFGNACLGLDDKQICEFRSSICHILSGQSPWNEELVIATFRLLTSAACYQPAFLAAVITSKENSNSQLSDVLSEKHPNEANHRLESNGKNFLDAILQCVRKSDELMKGKPNMLSNVLNFLKALWQGAPQFTNFLEQLKNSENFWKQLSDSVMLIPHKPDNPSENLTDKELQNLVYSYYCQSDLLEIIAYEIFLHKKLLHSELALKTTSELSKDQVDKVDGSRLAKDGSICGLKNLLSTWFKSSLLGDLIKSYASCTYDFEMHLHAKVSASLFSVHSMLKLKDGEMGSLSVSLIEKLLVLSRKLVNFPAFSELLTRYTQHGYSLGKDLQRLILSDLFYHLHGELEGRPINDRSFKEMLQYLLQSIFLQTYLCKCNEDLQLHVKDVNLYDLSRLQSDMGLDLWDLLPEWKASKSVAEKMLNCLDNVNKMVLLKNSKLSALKALVTMLSLYGDDSGENGGALAGTIPEQLTLSCINHACQCLHATIESLAPDLDVIQDVLDILLAQAELLLHLIKSIGENLSLHSCILVLKASGLGLKVLSSLRPLDTSLGEAMKLLLMLVLFSVNLSWLKTHLAAGSATDSVVDAAEASTLILGLLPIICHCTQSADHYKLSLTTIDVILRGFSTSATWIPIVEKHLQLHSVVQKLEDETSVATIPVILQFLLTLSRVREGAMMLVNAGFFASLRGLLAKLSDDQHSSVIYGERSLSYEFDRSEKAKHIWGLSLAVVTAIVHFLGDASSSTGIVDYVMAHLLVEKAFLISYYLSVPDFPSEDHDKKRARSLNRHTTLSTLKETEQTLMLICVLARYRNSWNKAMKEMDSQLREKCIHLLAFISRGNQQLGELPRRVAPLICHPVLKEEFEWYKKPSFVNSRNGWFVLTPLGCGLDPKFSSMSLRTTSVVSKDQLDDIEFPASQTYFSDITAIQIYKVTFLLLKFLCIQAEGAAKRAEEVGFVDLSHFPELPMPDILHGLQDQGIIILTELCEANKSTLVTPAMQDICLLLLQITVMALHLELCVIQICGIRPVLGRAEDFLKNFRLFIRVSEGQAFLKQTVKSLKQIVSFVYPELQAQAFS >CDO97434 pep chromosome:AUK_PRJEB4211_v1:2:19027075:19034525:1 gene:GSCOC_T00014779001 transcript:CDO97434 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVTTPPPPSSSSSSCGPKLESLANIDITKLSQSELYTLSKCSNSAFDLHRSDDVVVPNIDRSLFNESSGSRRQTYSRLRQSHHHHHHLHPRTRLPGLLPGPKHSPSADPENHAILHYLKHYLNHNSATNCPPPPPPPPLPPRPPPPPPQPVGLGLQEKMAIAVNVVEKKRKRGGKVRWNPGLLENGVGMELERVNKNGLEVDFAALESNGDEFYSAELGRRTMGLETEEGVLEFLRGLEGQWCSRRKKRKYVDAGDFGDALPIGWKLLLGLRRRDGRVWVYCRRIISPTGEQFLSCKEASSFLRSYFEAKSSNQPVDQGTSIVEQAGAVASRRNAGSITNAYQTSHEIVPYLTASGGHDNDVGLMDIDNLPEVQVQDLFECYKCNLTFDEKNLYLQHLMSFHQRTTRRYKFGDAVADGVIIKDGKFECQFCHKVFLERRSYNGHVGVHVRNHVKGSELPTPDSVQKSVDSPSWEGLCQRTSKNALIEIAHNFTSAASSAGPSSAAVEISHPSVMNLNETLAGNTHVGATLVSEPSELEGLNAGRTLNLDLNQHKSDSFMDDGRMSMCNNRQAIEINLKEVLTKDAEQSDAEELKEYGNKIVEIGFGPRSSKQSDDVANSEGPMGEETVHHRGISSSSTPLVQAYDYFSSVPDKGDDEFFLESQKLGNLPSFEELELDDMGPFKYDFADGQESSLPEVSMNLASDTGKGEELNASVGFDSQADQLDMTGTHQFTTVCVWCRSEFKIEAFDSELQSDSIGYMCTTCKDKISGHFSRICH >CDP08839 pep chromosome:AUK_PRJEB4211_v1:2:52573541:52576125:1 gene:GSCOC_T00027948001 transcript:CDP08839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB41 [Source:Projected from Arabidopsis thaliana (AT3G61250) UniProtKB/Swiss-Prot;Acc:Q9M2D9] MGRAPCCDKRMGLKKGPWTPEEDEKLIEYINKNGHGSWRSLPRNAGLLRCGKSCRLRWTNYLRPDIKRGPFSPDEEKLVIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLICLGIDPQTHEPCSNLSGLLQRLPASPSTRHMAQWESARLEAEARLSKESLLFVPSSTGKSDSDHILRIWNSEVGEAFRNFNKSQKIACESSISQASSSTKCGSAASGTIAERSLCLAGPSVAGVNQIEDTKCQSSRSAFEDIPPRSDSASSNDLEDSSESTLQLLLDFPGTNDMSFLATTDDYMMYSANNELNVL >CDP05264 pep chromosome:AUK_PRJEB4211_v1:2:2828462:2832047:1 gene:GSCOC_T00020245001 transcript:CDP05264 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEWLSWGGGHRSKSSRKGKSSGGGGSGAEREAPTGCMCAVLQLFDLHHLPLASFRPAPFLQDQPTICGGLEAPRNSLELEEPSTDKEAGSSLASTMKRQENLNIPVNIQIRTSCESKSSPRVSISKARTDDLLSDCSSSSPGAKTPTLVARLMGLDQLPETESTSNAASTLNSLTSSHMHPQAQSQRREQSLVYRRRSCSANNSSRSFPENDFTAGTLSLPETPRISSARRSDAEHRLSLQISNKESIMGEELEFSAYTARKLARRISESRREDENCRRSGHYAGQIVKQVKDRVSRKVGLDITNRVMSRVEQPRDDDEHVVLLKPNKPFNNNNKAALTRALVGDDSSQVKQSTPSCSPRLSEYYSYYYYYPKNKPPVVNSTQSAQHSPRLSPLSKPIPQVAPSLQEHLPNHQKNSPAVKKCKKDAVASQKYTSRLKKPLQVSDGIRNKKEEPFIRSATTNKGNVADKKCKKTPLSHDLLNCGTAAPTLLPVKKDIPFPATKVVCDKQQEKEATHILTAITQENIQDDRCRRNGSSTILTSTSPGIGDKAEASVAEYQCYIQRILKRTGIDKSTPVALAKWYSPSRPLDPSIFHYLELFHPTTLTAPVSTSTSPSNLTLRSNRRLVFQLVDELLAEILKPYLCMKPSCHLRPRGQMYGSELIDTLCSRINKFPAANCQVLEDIDALVDADFRLGRESFEGEEEEGGGGEEIVSEIERGIVESLVHETAMEAAAAYG >CDO97404 pep chromosome:AUK_PRJEB4211_v1:2:18809340:18809516:-1 gene:GSCOC_T00014743001 transcript:CDO97404 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWSKAPDSSSGPRERAWVQIPLLTDCCFASFILSIFLEQVVHYYFSPTRAQPNQRK >CDP17813 pep chromosome:AUK_PRJEB4211_v1:2:27032622:27034501:-1 gene:GSCOC_T00009426001 transcript:CDP17813 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVSKMLPKPRLTVSPTDNSAPPLAPPPLPIPDSSSTLIPTTQTQLNHLTHIVKSHLKPSFTPQDLVSFLKSRIHHHPGVTHLDFHLFRYAASLDTFRHDHSTFEWIVRSLATSHRFDSLNLLVQFIASNPCPCSDGIFSCPKTEPIFRFAINAYCRAGRFDDALFAFDSMKRLIDGKPNVAIYNIIIHGFVKFRQYDKALEFYGRMIRDHVKPDVITFNTLISGYCRNLQFGLALEMFKEMKNKGCVPNVVSFNTLIKGFFREGKVDEGIALAHEMIELGCELSSVTCEILVDELCRGGRVMEACDLLISFSRKGVFPSDFDYFELTERLCVERNVGRAVELVDELWGKGNAPSVIACIILIEGLRCTRQIGEACKFVEKMLKEGIVPDSVTFNCLLGDMCAAGRAVEANKLRLLAAGKGLDPDGTTYRILISGFSKEAKEEEGEALVEEMLDSGLIPDIATYNKLKLGLSKRKSR >CDP05195 pep chromosome:AUK_PRJEB4211_v1:2:2341043:2341660:1 gene:GSCOC_T00020158001 transcript:CDP05195 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDECKLKFLELKAKRNYRFIIFKIEGQQVVVEKLGSPEESYDDFTASLPADECRYAVFDFDFITDENCQKSKIFFIAWSPDTSKVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKGRAL >CDP17836 pep chromosome:AUK_PRJEB4211_v1:2:27559199:27560608:-1 gene:GSCOC_T00009463001 transcript:CDP17836 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDSEAFGAIRAVPGVSNAIQIASLLAKKQTSDLSLLGIVLPTITEAEEWLITKRANLQWEEFRRRLDKASAVDDHWQVDAVCASVFSQLLGDDVMDTIGVIYVDSGGYIASGSS >CDO99996 pep chromosome:AUK_PRJEB4211_v1:2:7127480:7129520:1 gene:GSCOC_T00029747001 transcript:CDO99996 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFSSELLPQLFPYVTQAKLTSNCHNIFVVRCRGHIPNESKSRSPQKVMVSTEARSTQLQPHDSTEPNFLKLLSRSCKAAKYNEALYFLECMVNRGLKPDVILCTKLIKGLFSLKNAEKAVRVMHILEQYGEPDVFVYNAVISGFCKVNKTNSANEVLSRMRVRGFSADVVTYNIMIGSLCNRGKLGLALKVFDQLLQDNCKPTVVTYTILIEATALEGGIHEAMKLLDEMLRKGLQPDMYTYNAIIRGMCRGGLIDQAFHFVRSLPAKGCKPDVISYNVLLRALLNQGKWKDGEKLVAEMFSRDCEPNVVTYSILISCLCRDGKLDESLNLLRVMKDKGLNPDTYTYDPLISAFCREGRTDLALEFMDYMICNGCLPDIVNYNTILSAMCKNGNANQALELFGDLPQMGCPPDGGKLWLWRQQTLF >CDP17775 pep chromosome:AUK_PRJEB4211_v1:2:51489428:51492871:1 gene:GSCOC_T00003891001 transcript:CDP17775 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQQANWSPYDNNGGTCVAIAGADYCVIAADTRMSTGYNILTRDYSKIIQLADKSVMASSGFQADVRALQKVLEARHLVYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYSFNVLGGLDSEGKGCVFTYDAVGSYERVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAKDAVTPLSESEAVDLVKTCFASATERDIYTGDKLEILVLNAEGIRREYMELRKD >CDP05047 pep chromosome:AUK_PRJEB4211_v1:2:1135391:1136622:1 gene:GSCOC_T00019964001 transcript:CDP05047 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPALSVVYQLENLLASDGSLYFEEQPPSGAWEQSYLAFPESLGQCTAGICNCKEPLHAWKWKNNSIPCLEMEFKDFEDICGDFGWHSPPDVKEPFFEGDVNVVDAKPLNAAGEIRDQALLENGETVFGEASGGNAVCSRSSEPLVSDDGLVSGRYKSSALEVDEIQKYFDVPITKAAKELKVGLTVLKKRCRELNIRRWPHRKIKSLKSLIDSAKELGLTSEIEMLEEHKRMLKVLPEMELTERTKKLRQACFKANYKKRRAMAAAAAAMA >CDP05191 pep chromosome:AUK_PRJEB4211_v1:2:2308837:2310072:1 gene:GSCOC_T00020154001 transcript:CDP05191 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSLIVFAFIALACALCVQSTSGNIACEDLKEDSCAYAVSSSGKRCVLEKHVRRSGEEKYTCRASDIEAEKLKDWIETDKCIEACGVDRHALGISSDSLLEPQFTHKLCSSACYRSCPNIVDLYFNLAAGEGVYLPKLCEAQRAHGRREMAEIKSSGMVAPGPESDGGKPVSFYSVAPAPPPY >CDP07623 pep chromosome:AUK_PRJEB4211_v1:2:24021281:24023092:1 gene:GSCOC_T00024937001 transcript:CDP07623 gene_biotype:protein_coding transcript_biotype:protein_coding MLFACAIIALIVILFSHWVYRWRNPKCNGVLPPGSMGLPIIGETIQYFTPYASDDVPPFVQKRVARYGPIFRTSIVGQPIVVSTDADVNYRVFQQEGNAFQIWYTESLFQIIGKQSVVAHHGDFHKYLKSLTFKFVSPEALRERLIYEMDASTQESLSSWSKLGKLDARDGTAELVFKYAARKMLGYDESRDRQKLRDSYKAFMDGLISFPLNIPGTPFHACLQGRKKAMKVIHDIFEKKRSGNNGATNDYDFADHLLEEIKKEDTFLNEEIARDLVFLFLFAAHETTSTSLTVALRYLDTHPRVMAELKVHDFPKQFLPLLSVFTLQFSNSCPSSILKGLILYIY >CDP17538 pep chromosome:AUK_PRJEB4211_v1:2:29527968:29528897:-1 gene:GSCOC_T00004501001 transcript:CDP17538 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFTLTTSWAAYAVAWLATMVIALLCRRFHQKKLNLPPGPKPWPIVGNLNLIGTLPHRSLHQLSLTYGPLMHLRFGSFPVVVGSSMEMAKVFLKTMDVTFADRPKTAAGKYTSYNFSDIIWSPYGPYWRQARKMCLMELFSAKRLESYEHIRVEEMNSLLLGLFQSLGKPVLLKDYLTTMTLNVISRMVLGKRYLDESDQNSIITPKEFVKMMDELLLLNGVLNIGDSIPWLNFLDLQGYIKRLKALSKKFDWFLEHVLDEHNAARMRNDEQNHVSKDMVDVLLDLAENQTLEVKLERHGVKAFTLV >CDO97473 pep chromosome:AUK_PRJEB4211_v1:2:19301639:19303920:-1 gene:GSCOC_T00014823001 transcript:CDO97473 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFMCQSPAATAVCMASEPLSVIVRRGPESDRTLAEHARLIDSTKHSRMVEPRARSRGLASATLRSAIVPSFGVENQPEKASRSQVVSSLAERDQVFQVVVMRVSLHCQGCAGKVKKHLSKMEGVTSFSIDLESKRVTVMGHVSPTGVVESISKVKRAELWPSAPC >CDP09504 pep chromosome:AUK_PRJEB4211_v1:2:21407727:21410177:1 gene:GSCOC_T00028891001 transcript:CDP09504 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMPLPFDFQGKGVLDLDLQFVSSSSSSSSSSSFWNYKNASNCKANCLLNRNTSISSDSEPTSVLDNIRSQSPPTSSSTLSSSLGGGGGGGGPNKNSGGAVAGGGGGASTDTTGVAAVSGNSSIKWHQDAPSTTTSSNVAAESELQPVPPSLEMGGGGTTAAEEWESVLPESVAASPSQEQSILRWIMGDVDDPSMANLNKVLQIGGGPAAADDEFNPGFGVVDQGFGADPVGQVTNFLPPMNPSLPMASSASLSSNRVNGEKIGLLANSSGSPAVNKLSNPQNSIFPALSSNLGVPIAFNQGQQHVIQQTPTAAFECAEMKPPIFNPQMLINQHQAQHPQNPSFFMPLAYGQQEQNLLMPPQSKRHNPGHIGGTDPGFQISKAPFSDTGQELFAGRQQPHQQQQAMPQGLSHQLQLLPHYLQQRPAATSPKQKMIGDEMGHSHQQQQQQQQQAIIDQLYKAAELVQTGNPLLAQGILARLNHQLSPIGKPFQRAAFYCKEALQLLLHTNNLNPCTANSSPFSLIFKIGAYKSFSEISPVVQFANFTCIQALLEVLEGFDRIHIIDFDIGYGGQWASFMQELALRSGGAPSLRITAFASPSTHDQLELGLMRENLMHFASEINMAFEFEIVSIDSLNSASWSLPLHVSENEAIAVNLPVSSFSSCQLPLSLILRFVKQLSPKIVVSVDRGCNRTDLPFPNHVIHALQSYSNLLESLDAVNVNLDSLQKIERFLLQPGIERILLGRYHSPEKTQHWRTLFLSSGFSPLTFSNFTESQAECVVKRTPVRGFHVEKRQSALVLCWQRKELISASAWRC >CDP08577 pep chromosome:AUK_PRJEB4211_v1:2:40365597:40367443:1 gene:GSCOC_T00027564001 transcript:CDP08577 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPQRKLHLFSPLLSFSSTVVLLFFIPLDLISIIACILGPEKSFLPISAQFAWSYARFYSSYSHKSFNEEFKYDYLDREAEVPSNLSIINSAFHQKVKQIREASLPEPSISKVSSSAFNKRYNKLERLETMLSKARYSIREAAKNGSMISTHEDADYVPHGPMYINANGFHRSYLEMEKSFKVYVYQEGEPPMFHDGLCKSIYSTEGRFIHEMEKGNFYRTKNPDDALVYFLPFSVVAMVQYLYEPGGHDRQAIGHTLADYIGLISGKHPFWNRSLGADHFMLSCHDWGPYSTSYVPQLFNNSIRVLCNANTSEGFNPLKDVSLPEIHLRTGEITGLIGCPSPSRRPILAFFAGRLHGHIRYLLLEQWKEKDQGIQVYDSLPAGVSYESMLRKSKFCLCPSGYEVASPRVVEAIYAECIPILISDSYAPPFSDVLNWKSFSVEVAVKDILNIKKILTSISQTQYRRMHRRLKQVQRHFVINGPPKRFDLFHTIMHSIWLQRLNIQIKD >CDP08859 pep chromosome:AUK_PRJEB4211_v1:2:52397854:52398623:-1 gene:GSCOC_T00027975001 transcript:CDP08859 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGRTKLAKTIFIFDRYAVVIEANKGIGFEICRQLASQEITVVLNARDERRGLDSFHKLKGTDALSSDLLFHQLDVAEPSSVASIAEFIKARFGRLDILVNNAGVGGSIVDSEAFKKAVVSFLCKLKLAKIEFAIGFKIAFFF >CDO99666 pep chromosome:AUK_PRJEB4211_v1:2:10080330:10085508:-1 gene:GSCOC_T00029331001 transcript:CDO99666 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYKDIFSLSFLVLFNILFILPACCASNDTINPSQPLKVGQTLISAGQIFELGFFSPDNSSGLYVGIWYKIGPDRRIVWVANRGNALLASDLASRLIISSDGNLKVEDGKQNSVWSTNASVSSNSFIAVLQDDGNFILKDNLLGATLWESFSDPSDTLVATMPLGCCSRSGQKLFLTAWQSENDPAPGKFVVGLSDDKPAQLFTWNGTKPYWRSGPWNGWKFIGAEPYISNLVISPTGVMKVMHKEGQNVPWKVFWAAIQTPCDVYGACGPFSACSSSGSPTCECLKGFFPLSNEEWSKGNWTSGCLRRTELMCSTNSSNLTSKASKPDGFWKLIQMKLPDHHLFLYNEDAQGCSQWCLSNCSCLAYAYPDGIGCMVWVTDLVDIRQSSYGGEDLYLRVANSELGVKRRYTKVIISCVAIAVGFLLVVSICRVQRWKAKRRVMHTDTHLNEQNSETRNWCTIKGFRKNCRVVGLLLSGNRGTLRETTREDIKEGPASARGSSSELSMIDINMIKIATNNFSEANKLGEGGFGTVYKGKLEDGQQIAVKRLSRHAGQGMEEFKNEVILVSKLQHRNLVRLLGCCIQGEEKIVILEYLKNRSLDTFLFDRTKRLELDWAKRFSIIQGIARGLLYLHRDSCLRIIHRDLKASNILLDDDMNPKISDFGLARTFRVTQELANTRRVVGTFGYMSPEYAMSGQFSEKSDVYSFGVLLLEIVSSKKNTGFGYHEKYLNLLGCAWQLWNECKALELLDQSLADSCTPTEVKRCIQIGLLCVQDHAADRPTMSNVVLMLSGSESEMELPQPRQPTFTFQNLQESDRFQSAIRLCNGSINEISISMVQGR >CDO99890 pep chromosome:AUK_PRJEB4211_v1:2:7977762:7980313:1 gene:GSCOC_T00029599001 transcript:CDO99890 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYLSETVTPAPKADQRLPFFKAAYKIIQEQYNSMRYAANVVTSSPTLKCISLALFCYELGMSGVNSTLLYYLKAAFGFDKNQFSEILMVVGVGSIISQLLVLPIITPLVGEHVVLCAACISSAVYALLYGLAWAPWVPYFTASLGVVNVLVKPSSFALISKAASSTDQGKAQGFILAVQSIAILLSPLAMTPLTNLFLSKNAPFDCKGFSFVCASFAVAISLCFASMLKPNTSNQPSEVDAENAEAPFLS >CDO97388 pep chromosome:AUK_PRJEB4211_v1:2:18629762:18632204:-1 gene:GSCOC_T00014716001 transcript:CDO97388 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKVKNEAMEIMGLFQVLPRLIVFDLDYTIWPFYCECRSKREMPSLYSHARGILYALKDKGVDVAVASRSPTADIANTYLDKLGIKSMFVAKEIFSSWTHKTDHFQRINQRTGVPYNAMLFFDDEDRNIKAVSKMGVTSILVRNGVNLAALREGLSKFSQNLAKIDRNKQKWLKFSQKPGSSERDKE >CDP09324 pep chromosome:AUK_PRJEB4211_v1:2:23678420:23681914:-1 gene:GSCOC_T00028641001 transcript:CDP09324 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSLFTAPPTLTPTKTTIKKNYSPPSPDNLAFFIDKSKTINHIRQIHAFLIRHGFENHPVLNFKLQRSYSSFGYLQQTVTLFKKSHNPNVFFYTTIIHSHAMNNLHNEALVYYIQMLMENVEPNAFTFSAILKACPFDLGRALHCQALKFRFDCDTYVRTALVDIYARSGDVVSARQLFDTMPERSLVSLTAMITGYAKSGDVDEARALFNEMDDRDVVCWNVMIDGYTQHGRPNEALVLFRQMLLAKVKPNEVTMLAILSACGQLGSLESALWVHSYLKNNGIKINVHVGTALVDMYSKCGSLEDARAVFDGMILKDVVAWNSMILGYAMHGLSREALELFNEMRRMCVEPTDITFLGILNACANAGLISEGWAFFNLMKDEYGFQPKVEHYGCMVNLLGRAGHLEDAYQLIKNMKIDPDPILWGTLLAACRLHGNLNLAEVIAGSLVEQKIANSGTFVLLSNIYAATGNWDGVARVRSMMKQSGVQKEPGCSSIEVDNKVHEFLSGDWKHPNSKEIYMMLEEMNRRLKAHGYVPKIDTVLHDIGDTEKERSLEVHSEKLAIAFGLISTSQGTTIKIVKNLRVCLDCHTVTKLISKITGRKIIVRDRNRFHHFVDGSCSCGDYW >CDP17762 pep chromosome:AUK_PRJEB4211_v1:2:51627292:51631193:-1 gene:GSCOC_T00003873001 transcript:CDP17762 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEPRSFEYTPTWVVTVVCFIIVLISLGAERGLHRLGKLFKHRKLHSLYEALEKVKGELMLLGFISLLLTVFQALISHLCIPHDSANIMLPCKLKTGASSGGSEHLDIAKHGRRLLAEDVSLETCARQGKLPLLSLEALHQLHIFIFVLAVVHVVFCVTTMLLGGAKIRLWKHWENSIRSETSQPHRAHAIHIHHHRIFKERAGRYWRKFAVVSWVVSFFKQFYGSVTKSDYIALRRGFIRAHCPSMPHFDFHTYMMRTLEQDFKKIVGISWYLWVFVMVFLLMDLAGWHSYFWLSFLPLALLLLVGAKLEHIITELAQEVAEKRNGEADAAPVKPSDELFWFHSPNLFLYLIHFILFQNSFEIAFLFWVWTTYGFKSCIMEELGFIIPRLAIGILVQVLCSYSTLPLYALVTQMGSMFKQTMFDEYIHQLILKWARRTPSSAAGESHRLASQSTESIHASEHPTLDDAIATSVIELNHHNESQTPFS >CDO97083 pep chromosome:AUK_PRJEB4211_v1:2:16394072:16395281:1 gene:GSCOC_T00014316001 transcript:CDO97083 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGGIFNKNKVIFIMGATGTGKSRLSIDLATQIPAEIINSDKIQVYKGLDIVTNKVTEKEREGVPHHLLGEVEPDSDFTTPLVEDPLYKFKSRFACCFIWIDVSPPVLFSYVSKRVDQMVDADVLYCDKRMRKIIKQFFTSDYFLTEIEITLRISHVRLLVSWVLFLDLLSGSNKGLVEEVGEIFDPEADYGRGIRRAIGVPEMDEYFRAEAKMDETSKETLLASAIEEIKSNTCKLACRQVEKIQRLKNELRWPINRIDATVVFEKTSAEEADNAWNMCVFDPCMKILSPFLNA >CDO99786 pep chromosome:AUK_PRJEB4211_v1:2:8892573:8893311:1 gene:GSCOC_T00029476001 transcript:CDO99786 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCFFYISPCTFIAFQIRRRPIVFMCAVLEKQSYMLIGRHDVENLMRIDPASACLPNLPGQSNLVGNASDAETVEFEMQMSDLLFNLRPIKQENLIRDDNKLILLASLSDSLEYIADSIERQVFCICAAIHVW >CDP15848 pep chromosome:AUK_PRJEB4211_v1:2:44809271:44812127:1 gene:GSCOC_T00016735001 transcript:CDP15848 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQNQQVQNKWRKGLTVFRDPVYIFFVVALEFSSDVGSSTVKRIADVLKLRIYQYPQDAGPMAHPLRPHFYIKVLILLFLSDSHLHV >CDP09364 pep chromosome:AUK_PRJEB4211_v1:2:23153115:23159008:-1 gene:GSCOC_T00028697001 transcript:CDP09364 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPGLLTDWPWTPLGRFKYVVLAPWVAHSIYSNATKGESERDLTNLLILPFLLWRMLHDQIWISISRHRTANGKNRIVDKTIEFEQVDRESNWDDQIILNGILFYIFNNLLSNASRLPLWRTDGVILTSLLHSGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFGEHIAYFLLFAIPILATWYTGTASLASMFGYITYIDLMNNMGHCNFELIPKRLFSIFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPFYDYIYGTMDKSTDSLHETSLQREEDAPDVVHLTHLTTPQSIYHIRLGFASVASKPEGSTWYILLMWPVTLWSAIINSIYGRTFILERNKLEKLKLQSWAIPRYNVQYLKKWRSRAINGLIEKAILDAEAKGTKRKELNKNGEFFMRRYPKLKLRVVDGSSLAVATVLNNIPKGTTEVLLVGNLTKVARSVALALCERGIQVNISSQYEFEKLKQSVASQSNLVVLSKSVAAYKTWLVGDGLTEKQQLNAPKGALFIPFSTFPPKKVRSDCSYLHTPAMLAPASLQNLDSCENWLPRRALSAVRAAGIVHALEGWTEHECGENMLNVEEVWQAALKHGFRPLGSPSNWSLSF >CDP05013 pep chromosome:AUK_PRJEB4211_v1:2:874881:876080:1 gene:GSCOC_T00019915001 transcript:CDP05013 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPTVRFLSLLDQPEPDPHNGHHNPLELDESDVVWSSSSACSTDTESHSPPLPSSNLPTTHLRRHRNHVNPPNYGLSAALSQDHHPPLVRRKSTLNPSLSAASAARMVPPVVRSENSNLISAGRFHQSAPVNVPVWPRKKKLGEFDNLERLEEVDNEREKEDEEEMVPPHVIVARSHVTFSVFEGVGRTLKGRDLRSVRNAVFQKTGFID >CDO99559 pep chromosome:AUK_PRJEB4211_v1:2:11360082:11363605:-1 gene:GSCOC_T00029189001 transcript:CDO99559 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMKRCLQVTLKVLNAAMGLLGIAMIVYGIWMIRVWERDIDYSSSVADDDDDAYALPWLIHAFVGVGIALCAITFLGHMAADTANSWCLSCYSFVVFVLLILDTALLADVLLNSDWEEDLPDDPSGRFDDFKDFVSSNMQLCQWVSFSIFLAQGCSILIATIIKSVDADERINYDTDSDVEHGNFRAPFLNPPAAQTIPTYAIGEPQFVYYKYEDRRVID >CDP00067 pep chromosome:AUK_PRJEB4211_v1:2:6443660:6444032:1 gene:GSCOC_T00029846001 transcript:CDP00067 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFDPWPVFFRREWNRCWPFLVGFAVTGTIITKMSLSLTEEDAKNSPFVQRHKKH >CDP07801 pep chromosome:AUK_PRJEB4211_v1:2:26692893:26694259:1 gene:GSCOC_T00025191001 transcript:CDP07801 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTYNLIPLLQDDPMLFEYLPISTLHPDLEPAIPHQDLQNQVVASSLAARQEKTEEIIIRNNINTARKRQTKASSAIRADNHDRGKNPDESKQKKANHRDIERRRRQEMAKLYASLGNLLPLECKKTKRSISDHLLDAVNHIKHMKKNIRELEVKRDKQINLTAGSSNLDVKIVEETNSSAIKFTLRQCSGGGIEILMKNYLADTKWFPLSKILDVLLDEGLAVVSCVCTKVNEEFLYTIQTEVNADVDLICLQEKLTKNAMTGKDLKVLEQYRN >CDP07630 pep chromosome:AUK_PRJEB4211_v1:2:24089700:24093504:1 gene:GSCOC_T00024946001 transcript:CDP07630 gene_biotype:protein_coding transcript_biotype:protein_coding description:like heterochromatin protein (LHP1) [Source:Projected from Arabidopsis thaliana (AT5G17690) TAIR;Acc:AT5G17690] MGNDQNPPRGPPSSLDAPADDGRQKQPEKGAGAGGAEKDSSVAAVAAAEAEEEEEEEEEEEGEKANDQFDEGQMEEGEGEEGEGEGEKAKLAEGYYEIEAVRRKRVRKGEVQYLIKWRGWPETANTWEPLENLLSCSDVIEAFEDSLRSGKRSTRRRKRKYGSAQSQSKKKQQQRSPAAATYNVPAVKVRILEKPLPFPPLNEPGSTNGEAGVNGVDNENGVNPATFRTDLLEEPNELNLKISELRGAAVNNEDCVDKYTIDAQEAPLSEQSGRANGLQNADCPEPVQSGRCTGAKRRKSGSVKRFKQDSPSGMAENLQNMAATNGSCGMSANSGSQSSDHMANDLRFKSKVDSSKHICDITEIVKPVSYLSAAANSNGQDISVTFMAKRSDGSEVTVDNKFLKANHPVLLINFYEKHLRYSPA >CDP17757 pep chromosome:AUK_PRJEB4211_v1:2:51668843:51671864:1 gene:GSCOC_T00003866001 transcript:CDP17757 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFSTIELENLLEPRVRDSYTKPPLSDRIAAPPPPPKRDESYALQGREISVSKAPPAPRHIYISPALYATPKQAPIPDTASEPSSPSPYVVNHKRRGGGVGVVGNRNGGGFEIPAEEKGGELVVVEEEAGEVMQDNFGGGAEDELFGEEEDGFLDPRCESESLGSRNEVTGQFDCRSFVSNQGEFFDAIEDFSSDTSNSNAPSYDPNLESELRSLRLSLLEEIERRKQAEEDLSLMRGQWLRIIDLLSEVGIALPSPSNSSGSMQQNNAAFEDLAQEVVVARFVAHAIGIGEAQAEAEIAAEAILESKDQEISRLRDKLQYYEAVNHEMAQRNQGIIELARQQRQRRKIRRRWFWSCIGVSIAIGISAVAYSYLPQASKHQEGSSCSDASTGSCISSFDTA >CDP15505 pep chromosome:AUK_PRJEB4211_v1:2:45762956:45766442:1 gene:GSCOC_T00015361001 transcript:CDP15505 gene_biotype:protein_coding transcript_biotype:protein_coding MASHEEQQKETIFSSSSSSSSSSSSSDQSSILELRDKHQKELENLTLMIQPLKTFKFFVLAVVNCLQQPILYISAKRSWFVLISILAVGGGVLIRTLWRPDEEYVLEIGKYLEYGIWWLALGVASSIGLGSGLHTFVLYLGPHIALFTMKSVQCGRFDIKSALYDTIQFRRGPSWLDTDCSTYGPSLFPLPGSRVPLTSILHQVELEAMLWGVGTALGELPPYFISRAGK >CDP06804 pep chromosome:AUK_PRJEB4211_v1:2:36867951:36871423:1 gene:GSCOC_T00023791001 transcript:CDP06804 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTNNSSVLEDMHANEDQIYKILVGRFTNIPKWKVAFVVFPHLKRSSRRRNLGAAQLNLPSSTLHSLDSSDFTLKASLVRNFKTFNMEDQNTASSNPLVKATFKLGSETHSVDAKKGVLSEQLVSMKEQSMIVLKDYITKHNVPNDIPDEPEEVSSDDEGEIPPVKSKKRK >CDP17840 pep chromosome:AUK_PRJEB4211_v1:2:27662466:27667626:-1 gene:GSCOC_T00009469001 transcript:CDP17840 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEVFLGAIIKVVFDKLASVDLKKFARSEGLDTQLKRWIQALSLIQAVLDDAEDKQNMRIAVKHWLDDLQDLAYDMDDSMKEQIKILHLVETVAKRPNKTRDRLPSTSLVESYVFGRENDKEELLKLLLSNESKDDRVVLIPIVGMGGVGKTTLAQMVYNDDRVNEFFDSKAWACRFLIVLDDVWNEKYEDWDILRRPFLVGSSGSKIIVTTRNQRVASVVSSTSGYSLEVLTDDESLWLLARHALGRTNFDRYPNLEGIGRSIVRKCKNLPLAVKTLGGLLRDRSTPDEWTDILNSEIWEIKEDQSDILPALRLSYYHLPAHLKPCFAYCSIFPKDYEFDKYELVLLWMAEGFLEESKASDLMEDIGDNYFKELLMRSFFQQSSSTSTSSRFVMHDLINDLARYVAGDFCSRLTDGLEENIKCTILDKVRYASFTCSWYEATQKFKTLQKAKHLRSFLPLPMYESTTSYVAEKVVAELLLELRYSRVLSFSGYAISDLPNSIGELIHLSKVLQQDLLEALRPHTNLTSLEIEFYKGDKFSSWVEDSSFTKLVKVSLRGCTHCKCLPSLGQLPALKDLSIQSMLEVKAIGTDLTFDDMPEWEEWTCHFSSAGENECHFPLLRKLCISGCPKLKSIPVLQLPSLSELNLKKCSVGIAKCFHNLTSLNQLHNLCNLQTLRLRNCRKLINLPVGIRKLINLRHLETFNPSQLHEMPSGIDQLTNLQTLSKVVVGKHGGFRLNDLGNLSLLAGSLAILELQNVTNVQEARDANLKNKRDLDKIVLAWNSEYEGSLSKVLQQDLLEALRPQTNLTSLEIEFYKGDKFSSWVGDSSFTKLVKLCGKDCSWEFSFPSLESLTFDDMPEWEEWTCLSSAGENECHFSSLQKLCISRCPKLKSIPVLHLPSLSELNLKKCSDVFMQFPSGLGDITLRSCHQLKNLWGTSNTMNFGQLKSLVVSNCSQLSSLEELGVLPMLKYLEIKSCSALQSLPTLSGLNRLRISRCSAISCLPMDKSLLLSSCSSPLSFPQGDFPPSLERLDIEAGENLRLKPLSEWGLNRLTFLERFYIRGLTALRYLHIFHCPKLGSLPKESLRNPLQTLQIDECPLLEKRCLMDRGDYWPMIEDIPFVRSENRQ >CDP13930 pep chromosome:AUK_PRJEB4211_v1:2:4438156:4439314:1 gene:GSCOC_T00039074001 transcript:CDP13930 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRGQDLPPPRFLQIKQDDKFFSRLLSKESSKREEASFRVLYYGGNSGSIPFMWESQPGTPKHTFSDNSLPPLTPPPSYQLSTPRMKTMQKHSKPNFFYSIFPRASSKKSAGKSASFSPPSSLAYSSSSPHSSSCSLPSTPKHTSAIHFGLDEDDDPDHMPTSPTSTLCFGAGIKCSGQSGSGHHPMKNVMKKAFLSIVGHGTRA >CDO99590 pep chromosome:AUK_PRJEB4211_v1:2:11154814:11157905:1 gene:GSCOC_T00029226001 transcript:CDO99590 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFQNRRLAHAVKESTLDSLITELLLWLLDERVPRMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPAINESLAIRNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRKRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDMEPQPIILAYIDLNLQTLAAARMLSPSGPVGQTHWGDSTANNPAPATHSADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKTTAAGRTPSSVPLSTPPPAALNLSSPKFGALSPVNTNPLSEAKSMNTKLEPTNFNLPPSYAEDDRGSDPALSRGPRFDQSDSRHQSGDQRNDRLPSGVTSGALDAIRERMKSIQLAAAAGNQEPANKPVIAVNGNLGHPLPNQFPLATEHASIENSMPGGVLPMDEKALSGLQARMERLKSGSIEPL >CDO97435 pep chromosome:AUK_PRJEB4211_v1:2:19035385:19038449:1 gene:GSCOC_T00014780001 transcript:CDO97435 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVTCKTCHRISSVTKHATKIRCHGCQGTILIGNSQVSVPSDKSKWPSHDQGNIITERKRFHDFSKKVSGISPRSSPSFSQFPAARLSDTPPRGKRALLCGVSYNQNKKFKLRGPTPDVMNMAKLLVQQFGFPTNAILVLGDFMSFEPPTRINIIRAFDWLVKDSQSGDSLVFYFSGHGTQQLDHDGDEIDGFDEAICPLDFETAGIIIDNEINKMIVEPLKQGVTLHAIIDACHSGTVLDLPRVYDHNRGRWKDNYAASGAYKGTSGGKAICFSACEDDQQASDTSAFSPEIAGAMTFTFIKAVVENKEITYHGILASIHNAIQDANNSKRGCGMLKRMCHQKMLQDPMLSSSESFNVNSLFKL >CDO97199 pep chromosome:AUK_PRJEB4211_v1:2:17236987:17237328:1 gene:GSCOC_T00014466001 transcript:CDO97199 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEKDKSEIRRRVWEYRRRQRRRRRCGMREEGWGSKEQVHWARAEAAVFLYLAGSIAVRAVTLGGGQACAQNLRRWIRRGVHWRLVDTGGGLYICAILKGLGSMLGGKKGIN >CDO97382 pep chromosome:AUK_PRJEB4211_v1:2:18599054:18601766:1 gene:GSCOC_T00014708001 transcript:CDO97382 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQHHLMMFPWLAFGHLLPFLEFSKKLATKGVKISFVSTPKNLCRLPPIPADLSDRIKLLAVPLPLVDGLPENCEATIDVQPEQTQFLKKAYDRLAEPMEKLLQQESPDLILVDFAAGWIPETAAKFGISVAFFSAYTAATLAFLGPPGELISGTLRKTAEHFTRPPDWFTFPSLVAHRPHYAPTAFKNLHIPDLSGLSSGQRIAKVVRGCSFVAVKSCKEFEGEYINLVEELYQRPVLPIGVLPPPPETIQESHSDNDSSWSTTFQWLDKQKPKSVVFVGFGSEYKMPIEQIHELAFAVELSGLAFIWILRKPLADTVNLLPPGFLDRTSNQGIVCLGWVPQIEILAHPAIGGCLFHSGWGSIIECLGFGHPLILMPMVYDQTLNAKLLAEKEVGYEVPRDIDGSFSRECVAASIRRVMVEAEGEQIRVKAAQMKNVFGNQDLHDNYINKFIQHLERFKNQV >CDO97328 pep chromosome:AUK_PRJEB4211_v1:2:18161970:18168272:-1 gene:GSCOC_T00014644001 transcript:CDO97328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MATREHQQRHPQKTTSSFSSSRLFIFLLCLGTLFLGLSLFNTSPREQRLQPEQKTETTSSETNGGASTTNANSACDYSDGRWIYDPKADKSLRYDQTCKEIFKGWNCIGSNKFNAFEVVKWRWKPYHCELPQFDPLLFLKRFANANIGFVGDSLNRNMFVSLFCTLRRTSSEVKKWRPAGADRGFTFLNYNLTISYHRTNLLARYGRWSANTNGGLLESLGYKEGYRIDVDIPEGTWEEALSFHDILIFNTGHWWWAPAKFDPVRSPMLFFQKGTPVMPPVTPDVGLDMVLKNMIPLVQKRIQPGATLFFRTQSPRHFEGGDWDQGGSCQRLQPLSPQEVEEFFSVKKKGTNVEGRLVNEHLYNALKGSDFHILDITHMSEYRADAHPSTAALFSLAVAQNSPQAFVAAHNKVRASLGLPLVKWNATVAAYAGHYAAVRSADCNLEHSQGDYGENLAKASWDLTAAEAVKMWADEKKFYQYRSNSCAEGEMCGHYTQVVWRDSSNIGCAAARCRNNGWTFVTCNYYPPGNYIGERPY >CDP08546 pep chromosome:AUK_PRJEB4211_v1:2:41245977:41252754:1 gene:GSCOC_T00027499001 transcript:CDP08546 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSASICYPGTSATGGGGNNRSFLTGSGPAGVLISGRRLGVSTQLRCRSSIVRSDLDSNVSDMRNNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESHSFIGGKVGSFVDKRGNHIEMGLHVFFGCYNNLFRLMKKVGADKNLLVKDHTHTFVNKGGEIGELDFRFPVGAPLHGINAFLSTNQLKIYDKARNAVALALSPVVRALVDPDGALREIRDLDRISFSDWFLSKGGTRASIQRMWDPVAYALGFIDCDNISARCMLTIFALFATKTEASLLRMLKGSPDVYLSGPIKKYIMDKGGRFHLRWGCREVLYEKSPDGDTYVTGLLISKATERKVVKADVYVAACDVPGIKRLVPQKWREWEFFDNIYKLVGVPVVTVQLRYNGWVTELQDLERSRQLRRAAGLDNLLYTPDADFSCFADLALASPEDYYLEGQGSLLQCVLTPGDPYMPLPNDEIINRVSKQVLALFPSSQGLEVTWSSVVKIGQSLYREGPGRDPFRPDQKTPVKNFFLAGSYTKQDYIDSMEGATLSGRQASAYICDAGEELVTLRKKIVAAESSETTEAAITSDELSLV >CDO97560 pep chromosome:AUK_PRJEB4211_v1:2:19952179:19953958:-1 gene:GSCOC_T00014941001 transcript:CDO97560 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVARSPPANLYSILGISKAASLADISKAYKSLVMKWHPDRNTSNKAEAEAKFSTINEAYRVLSSKKREEINGTSHDDPKTPENSYHHRSSSDDDGQFVISSPTLLSSTSTRITPTGTPRSSDGSSHRGYYSGAPSPRNFYGHSRSPNGTDTPSTPTTPEPPLVSLSKITSKRATNPIIYSQTTARRKAQPIQKKLECTLEELCHGCVKKVKITRDVISNAGIIVQEEEILRIKVKPGWKKGTKITFEGKGDERPGMHPADIIFIIDEKRHPLFKREGDDLELGVEIPLVQALTGCTISVPLLGGDQMDLSIGDIIFPGYEKIIPDQGMPISKQHGRRGDLRLRFLVEFPTDLSKQQRSAVVRILEDCC >CDP05115 pep chromosome:AUK_PRJEB4211_v1:2:1664165:1664971:-1 gene:GSCOC_T00020054001 transcript:CDP05115 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLQSCLFKCLDQSHTHETSCSFPAIPSRTAWLSGFWALCILYISTAPPFFFLSPLFFSACDVNTGQSRMADFGFIENSSGTIPKPTIQNIVSTVNLNCMLDLKAIAMHARNAEYNPRRFAAVIMRIREPKTTALIFATGKINIVGSTDMKFPIRLEHLACAHAAFVTYDPEVFPGLIYRMKHPNVALLIFASGKIILTGAKVRDDTYKAFDNIYPVLTQFKRKSQLC >CDO97543 pep chromosome:AUK_PRJEB4211_v1:2:19825493:19828300:-1 gene:GSCOC_T00014918001 transcript:CDO97543 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRANVHRGLVLSALLSLLCLSEKVGAVWLSLPASGTKCVSEEIHNNVVVLADYVVISDDHSHPTPTISTKVTSPYGNTVHHLENVTHGQFAFTTTEAGNYLACFWLVEHNSVKGDVSINIDWKTGIAAKDWESVARKEKIEGVELELRKLEGAVEAIHENLIYLKSREAEMRSVSETTNARVAWFSIMSLGVCISVSVAQIMYLKRFFQKKKLI >CDP00055 pep chromosome:AUK_PRJEB4211_v1:2:6551279:6552216:-1 gene:GSCOC_T00029830001 transcript:CDP00055 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPVRRSQKLADKITALQKLVSPYGKTDTASVLHEASVFIKVLQDQIENTFNRVRSTSMDHQYSQSKITGESQFDLRSMGFCLVPVSLTRNLLREDAFNPYSTANTSLHRIL >CDP05279 pep chromosome:AUK_PRJEB4211_v1:2:2963412:2967374:-1 gene:GSCOC_T00020266001 transcript:CDP05279 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYRRSKLVVDAFKTFTTKIVPKSPITNSLPKPYQPQYASYTSTRNTSRANGFGLCAPIFRNSGFQLGLKQNQCRPSLGGARRYYYVDRHQIYHFRPRGYKKWFQNPRNVFIVILVGSGVVITVYFGNLETVPYTKRTHFVLLSKGLERQLGEHQFQDMKKAFKGKILPPIHPESVRVRLIAKDLIEALQRGLSKEHMWTDPGYASDHAYEPDENSGHETLMALSDNMSTTEGKWNREDEILDDKWVQQSRKSGQEKGKSPATGHLEGLNWEVLVVNEPVVNAFCLPGGKIVVFTGLLEHFRTDAEIATILGHEVAHAVARHAAEGITKNLWFAIVQLILYQFVMPDVVNTMSNLFLRLPFSRRMEMEADYIGLLLMASAGYDPRVAPRVFEKLGKVTGESALRDYLSTHPSGKKRAQLLAQAKVMEEALLIYREVQAGRGIEGFL >CDP09449 pep chromosome:AUK_PRJEB4211_v1:2:22264733:22265391:-1 gene:GSCOC_T00028821001 transcript:CDP09449 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVERKKWESGMKIRLEMQNIPLSMSTNCSLSGPFTVQEAYASDLVPWSEWCHLLVHANQGNQTITKHNLGNTMPPGADDEETS >CDO96968 pep chromosome:AUK_PRJEB4211_v1:2:15402564:15402863:-1 gene:GSCOC_T00014166001 transcript:CDO96968 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLAGIAQAKEKLRQTISPKKGSISKTNDVPKGHFAVYVGETYRRFVIPISYLNHPLFQDLLHWAEEEFGYSHPTGGLTFPCSEDYFINLTSVLNSL >CDP18373 pep chromosome:AUK_PRJEB4211_v1:2:43066609:43082344:1 gene:GSCOC_T00012953001 transcript:CDP18373 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) UniProtKB/TrEMBL;Acc:A0A1I9LRW7] MEDSGMVNNVAAALAAALDWRSSGDARKAAYSYLESVKAGDIRHLANASFTLVKKDWSSEIRLQAFKMLQHLVRLRWDELNPTEKRNFAKMAVDLMSEMANPSEEWALKSQTAALVAEVVRREGVSLWQELLPSLISLSNQGPTQAEIVSMMLRWLPEDVMVHNEDLEGDRRRLLLRGLTESLPDILPLLYSLLERHFGAALAEASRQQSDIVKQHAATVTATLNAVNAYAEWAPLPDLAKYGIIHACGFLLSSPDFRLHACEFFKFVSPRKRPIDGTSEFDSAMGQIFQVLMHVSRDFLAKSSSSIAMDESEFEFAEYITESMVFLGSSNLQSVAGDAGIVSSYLQQMLGFFQHCKLALHCQSLLFWLALMRDLVSKSKIFPTNNTVEKSNSSSGQADIEKKKILAFVNDDIFNAILDASFQRMLKKEKVHPHSAFLVGTLELWSDDFEGKGDFGQYRSRLLELIRFVASEKPLIAATKISERINAIVKSLLLAPTPAQELATMESMQLPLENVAIAVFDGSNDFGRMPSEVQLALCRIFEGLLQQLLSLKWIEPALVQVLGRYLDALGPFLKHHPDAAGTVINKLFELLTSLPLIVKDPSVSAARHARLQICTSFIRIAKAADKSLLPHMKGIADTMAYLQKEGTLLRGEHNLLAEAFLIMAASAGVQQQQQVLAWLLEPLSKQWTQVEWQEAYLSEPAGLVRLCAETSFMWSLFHTVTFFERALKRSGVRKGNFNLQNISTALHPMASHISWMLPPLLKLLRAVHSLWSPSVAQALPAEVKGAMIMSDVERTSLLGEGSLRLPRSALTFSDGSQLDMHKEGHSEPTEIDIRNWLKGIRDSGYNVLGLSATVEDSFFKYLDSDSIALALMENIQSMEFRHIRQLVHSSIIPLVRCCPSDLWEGWMEKLLHPLLFHSQRALSSSWSSLLQEGHAKVPDLHGIPAGSDLKVEVMEEKLLRDLTREICSLLSVLASSGLNSGLPSLEQSGQVARVDVSTLKGLDAFVSSSMVGFLLNHKSLALPALQISLEAFRWTDAEAVTKVAFFCGAVVLLSIVTNNADIQEFVCKHLFSAIIQGLALESNAIINADLVGLCREIFIYLSDRDPAPREILLSLPCITQQDFLAFQEALSKTSSPKEQKQHMKSFLLLATGNQLKALASQKSATVISNVSGRPRNLGPAPESNLTDGGDAIGLAAIM >CDP08686 pep chromosome:AUK_PRJEB4211_v1:2:53834080:53835810:-1 gene:GSCOC_T00027740001 transcript:CDP08686 gene_biotype:protein_coding transcript_biotype:protein_coding MGILIVTWVLFLLFLVIYARNDCPASDCGYNSFSIRFPFNVGQQPQNCGYPGFDLSCTWQGIPILSLPYSGDFYVRDINYLTQEIQLYDPNGCLPRRLFNLNLSSSPFMPAYSRNYTFLSCSSDLVTSNLTAIDCLSNSTTSIVAISPTLARAMNMCAVLVTLPIPISWPNENEVGFQSRLDGDLLLSWDLPSCSGCEAKGEFCGFANSTSREIICFDPVTGSRGLEIFRIIALSVVIPAVSCSVSLYCYKCVADMRARRLQGENNTAATIAPQPTTAVAVAGLDDSTIETYEKVVLGESRRLPGFADVTCPICLQDYRPKDAIRCIPDCRHCFHADCIDEWLRSNGSCPVCRNSPSPATLNS >CDO96877 pep chromosome:AUK_PRJEB4211_v1:2:14256278:14257159:-1 gene:GSCOC_T00014044001 transcript:CDO96877 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKIVKKTPTKSIKNPRHRHHSHQRRKKSPVKNASAAASVVVASINKSIYTCHRRLIKIFSKLARIATPIKKKSPRKRGYQLLQKGSADPESSIRRALFDDEKGSALPPPVSPEKKTVFLDLDETLVHSQPSPAPEKYDFIVRPMIDGERVDFFVLKRPFVEEFLEFLSNKFEIVVFTAGIEEYASQVLDRIDGKGLVSHRLYRDSCKELDGKFVKDLSELGRDLKRVVIVDDNPNSYVFQPDNALPILPFIDDLGDGELKNLIQFFEKLDEVEDTRDAVKNYVSQFAGGTT >CDO97402 pep chromosome:AUK_PRJEB4211_v1:2:18803618:18804456:-1 gene:GSCOC_T00014740001 transcript:CDO97402 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKLDWTHVVDQSRQPVVAWQNHEPQNQQIPANYNNGNWRKKSSRVAVSLCILYTQQHKYAVQFTGSFLFPHQIAESERGKRGLLFLITPHQYFFSMKIFSVKRSSISMSVVHELLFGQMVRKKKDKRLITFTDFDVKISTFSLGLMFD >CDP19642 pep chromosome:AUK_PRJEB4211_v1:2:5635828:5636310:-1 gene:GSCOC_T00009480001 transcript:CDP19642 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSIFGGRRSNIFDPFSLDIWDPFEGFPFSRTLANFPSGTDRETAVFTNARVDWRETPEAHIVQADLPGLKKEEVKVEVEDGRILKISGERSREQEEKSDTWHRVERSSGKFIRSFRMPENAKTEEIKASMENGVLTVTVPKVEEKKPEVKAINISG >CDO99652 pep chromosome:AUK_PRJEB4211_v1:2:10316841:10320499:1 gene:GSCOC_T00029308001 transcript:CDO99652 gene_biotype:protein_coding transcript_biotype:protein_coding MADMNGSPTPARLEGKLTAIVVCWVLGLGTLVAWNTMLTVGDYYYALFPRYHPARVLTLVYEPFALGTIAILAYKEAKIDTRKRNLAGFTLFFLSTFALLILDLATSGKGGIGNYIGICIIVAVFGIADAHIEGGMVGDLSLMCPEFIQSFFAGLAASGALTSALRIMTKAAFDKTNHGLRKGVILFLAISTFIELLCIFLYAFVFPKLPIVKHFRAKAASEGSKTVTADLAAAGIQTQAKNLLLSVKGDNDDKQLNRLSNKQLFFQNIDYALGVFLTYVLTLSIFPGFLYENTGNHKLGSWYAIVLIAMYNVWDLIARYIPLIECLKIESRKGLMVAILSRLLFIPAFYFTAKYGDQGWMIMLTSLLGLTNGYLTVCVFTAAPKGYKGPEQNALGNLLVLFLLGGIFAGVALDWLWLIGNGSF >CDO99738 pep chromosome:AUK_PRJEB4211_v1:2:9359549:9364978:-1 gene:GSCOC_T00029417001 transcript:CDO99738 gene_biotype:protein_coding transcript_biotype:protein_coding MARTALLHLVRSQTHQHLKSTNFHSGCNLSRLGTLLQTSRPSSGIQPTAIQRRWFSTEDDNKLSIGPQKGGESGKDEKDSGVVYYGPISNTIKKVKLLSLSTCCLSVSLGPVITFMTSPEMNVILKGAVASSVIFLSASTTAALHWFVSPYVHKLRWQPGSDSFEVEVMSWLATYIPKTIKFADIRPPETNRPFVTFKANGKFYFIDAEHCHNKALLAKLTPQKPTRDSAFKNL >CDP05229 pep chromosome:AUK_PRJEB4211_v1:2:2571577:2575482:-1 gene:GSCOC_T00020199001 transcript:CDP05229 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAFRLLGSVSLLLHLSFLGSRAIVQQTELDPDTLSLISFKDSLENPQLLTSWTLATSHCRWQGVYCQNGRVISLVLPGCSLRGPLSLSIFNLTSLIRLKVLDFGGNLLFGELPSQLGELTRLEVLTLGPNLFTGVIPPELGNLAKLRSLDLSGNALTGNVPTQIANLSRLQVLAIGNNLLSGSLSPTLFSNLQSLTSLDVSNNSLSGHIPPEIGRLTNLTDLYLGINHFSGELPPEIGELSNLQIFLSPSCSFSGPLPETFSKLRSLSKLDLSYNPLKSSIPKSIGKLLNLSIINLVYTELNGSIPPELGNCRNLKTLMLSFNSLSGPLPEELAELSMVSFAAEKNQLSGPLPSWLGRWTQIDALLLSNNHFSGKIPAEIGNCTMLTHISLSNNLLTGRIPGELCNAVALLDIDLDSNFLTGTIEDAFVKCTNLTQLILVDNQIVGSIPDYLSRLPLMVLELDSNNLTGPIPVSLWNSMNLMEFSAANNFLEGTLPGEIGNAISLQRLVLANNQLKGFIPREIGNLTALSVLNLNSNLLDGRIPSEIGNCTALTTLDLGRNRINGSIPEDLADLPQLQCLVLSYNDLSGIIPMKKSKYFHHVSIPDSSFVQHHGVYDLSNNMLSGSIPAELGNCVVLVDLLLSNNILSGEIPRSLAGLTNLTTLDLSGNLLTGGIPEEFGESLKLQGFYLGNNQLTGEIPESLGQLSGLVKLNLTGNKLSGSVPSSFGNLNGLTHLDLSSNELNGELPSSISEMVDLVGLYAQKNSLTGHLDSLLSNSMQWRIEILNLSDNHLDGLLPRSIGSMSYLTSLDLHRNDFTGEIPSELGNLLQLEDLDLSSNKLSGQIPERICGLGNLISSNFTDNRLVGPVPENGICQNRSKLLLDGNKDLCGGTASLECHIKRFGRRWPLLNIWGLVTVIVIAMLIGLSVAVVRQAWINRSTRKNDPEYAEDSKLNSSTDQHLCFLSSSRSKEPLSINIAMFEQPLLKLTIVDILEATNNFCKTNIIGDGGFGTVYKATLPCGKIVAVKKLNENKTQGQREFLAEMETLGKVKHRNLVPLLGYCSYGEEKVLVYEYMSNGSLDLWLRNRSGTLELLDWKKRYKIAVGAARGIAFLHHGFTPHIIHRDIKASNILLNEDFEPKVADFGLARLISAYESHVSTDVAGTFGYIPPEYGQSWKSTTRGDVYSFGVILLELVTGKEPTGPDFKDIEGGNLVGWVFMKIRSGQAAEVLDPVALDADSKQMMLQTLQIAASCLSDNPTKRPTMLHVVKFLKGIKEE >CDP08840 pep chromosome:AUK_PRJEB4211_v1:2:52570699:52571279:-1 gene:GSCOC_T00027950001 transcript:CDP08840 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSPCSNYNFLHASTASDHALESFQKQRRGLEGTGSRTKYAYSFRLDRIHREFSFQEKFQMKFYI >CDP17968 pep chromosome:AUK_PRJEB4211_v1:2:43931344:43937301:-1 gene:GSCOC_T00001214001 transcript:CDP17968 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTLSSMANAILDQPTVIAVTVFVALLCACIVIGHLVEENRWANESIAALLLGLASGAVVLLVRKGQSSQVLVFNEDLFFIYLLPPIIFNAGFQVKKKRFFKNFSVILLFGIFGTIISFCLITLGAFLLFKKIGITSLTMKDCLAIGAILSATDSVCTLQVLSQEQTPFLYSAVFGEGVVNDATSIVLFNAVQSIDISDIDGLTALKLLGNFLYLFFTSTILGIAVGLLSAFGIKTLYFGRHSTDREVAIMMLLAYLSYMVAELLSLSGILTIFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFIFIYVGMDALDITKWKESNASAGTSIIVSLALFALVLVGRAAFVYPISCILNCTKQGSEEIEIKKQFIIWWAGLIRGAVTIALAYNQFSSSGTKSANVDSMMITCIIIVVLFSTVVFGSVTKPLIQAVLLNNANPLTSRENDFVSLEDLRLLSLENGGPVDNGSNQSAPRRSKLQLFIRHPTSTVHHLWRKFDDKFMRPVFGGRGFVPFVPGSPRSTAGETSDLNNSN >CDO99753 pep chromosome:AUK_PRJEB4211_v1:2:9173054:9178095:1 gene:GSCOC_T00029437001 transcript:CDO99753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-3 [Source:Projected from Arabidopsis thaliana (AT5G04220) UniProtKB/Swiss-Prot;Acc:Q7XA06] MGFLSTFFGIFGFLVGSLIGIALGFYLFIYAEPEHVEDPKGKALDKLDTTEMQDLMPEIPWWVKNPDYERVDWLNKFIHDMWPFIDKASHGTIRSIAEPIFADYIGMCKIESIEFEKISLGTLSPTIHGLKVYETNESELVMEPAIKWTGNPDILVTVKLASLRILIQLVDIQIEAAPRITLKPLVPTFPCFTNIVVSLMEKPQVDFGLKVLGGDIMSIPGLYRYVQETIKKQVSSLYLWPRFLEIPILDASTVAAKKPVGILHVRVVRAMKLLKMDLLGLSDPYVKLSLSGDRLPTKKTTIKKKTLYPEWNENFKLIVKEPQSQLLLIDVYDWDKVGAHDRLGMQVYPLKLLNANETKELTLDLLKSTDITDLHNKKQRGQIVLELRYAPFREDSASFSGPLDRFSRKASRIDTKSSSESYSGAGLLSVTVYGAEDVEGSRHNNPYALIIFRGEKRKSKMIRRTRDPAWNEEFQFTLDEPPVSEKIRVEVMSKRTRFGLQSKESLGYVEINLADVVNNGRMNEKYHLIDSKYGQIHVELRWKTV >CDP09489 pep chromosome:AUK_PRJEB4211_v1:2:21577987:21580776:1 gene:GSCOC_T00028871001 transcript:CDP09489 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYEWGNPSAVMFTGDDSTQDADQNRQLFDPYGTQNFGEATAALVHQNAHYSAAAHHQHTGNPFHHPHDPQGQSSNAHFSSLFDPRAAYGASSFNPHHQASMLSLEPAGSTGFMVIPKSEPVVGGADFTAAGRIGLNLGGRTYFSSSEDDFVSRLYRRSRVVEPGSVNSPRCQAEGCNADLTNAKHYHRRHKVCEFHSKAATVIASGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKSQQQQQQPNQEHCNTNSKKSPNDNTRSPPDSGAHSSSVTVAVSPPRISLDCFRQRASYQGGGAAATNSSASTSSLFFSDG >CDP13876 pep chromosome:AUK_PRJEB4211_v1:2:4027739:4030708:-1 gene:GSCOC_T00039005001 transcript:CDP13876 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHTIDFAKNGWGLVRLQIPCSGKCTLLPLPLYYSLRTLIWYVNVYIYIYRTPLSLSLEPFFFFFTEKNQ >CDO97002 pep chromosome:AUK_PRJEB4211_v1:2:15692587:15703102:1 gene:GSCOC_T00014210001 transcript:CDO97002 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRGRSSSPFHYRKQSSPYSSSSSSSSLMNGRLMPRSCSSSAASYHGSANGYAARSMTPGRSRSDSAYSRGYNSRSPVDFPSADELMAEPVDASRSGDSISVTIRFRPLSEREYQRGDEIAWYADGDKIVRNEYNPVTAYAFDRVFGQNTSTQEVYEVAARPVVKAAMEGINGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSIIQDTPGCEFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDDYDGVIFSQLNLIDLAGSESSKTETTGIRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFANRAKCVEIYASRNKIIDEKSLIKKYQKEISCLKEELDQLRRGMLVGVSHEEIISLRQQLEEGQVKMQSRLEEEEEAKAALLSRIQRLTKLILVSSKNTIPGCLSDASSHQRAQSVCEDEKLDVLRDGSLLIDGENQKDSLSSKWNDDMSQAGSTITESTHAGEVINGSSCNLKLPTDGMTMSDQMDLLVEQVKLLAGEIAFSSSTLKRLVEQSANDPESSKDQIQNLEHDILEKKKQMRILEQRIVESGEASIANASIVEMQQTLMKLMTQCSEKGFELEIKSADNRILQEQLQNKCAENLELLEKISFLELQLASATGDKSSPSAPFPEHCASDEYVDELKKKVQVQEIENEKLKLEHVQFLEENSGLRVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELLASRELINSRSLNVQSGTGGNRKNNEGPRPGRRGRVSSRVNEVSGLVHDDFDVWNLDHEDLKMELQARKQREAAMEAALAEKEVLEDEYRKRVEEAKKREAALENDLANMWVLVAQLKKEGGAIQEPKHNDIQNDSGEHPNDSKIDVVCEDQIVVEVKPPDPITLSADIHREEPLVARLKARMQEMKEKELRYLGNGDINSHVCKICFESPTAAMLLPCRHFCLCKSCSLACSECPICRTKIADRIFAFT >CDO97242 pep chromosome:AUK_PRJEB4211_v1:2:17549063:17550429:1 gene:GSCOC_T00014514001 transcript:CDO97242 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSVLSSPLGPPACEKDAKALRFIEEMTRNADVVQEKVLAEILTRNGQTEYLQRFKLGGFTDRLTFKSEIPVITYEDLQPEIQRIANGDRSHILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETKTPGGLLARPVLTSYYKSDHFKTRPYDPYNVYTSPNEAILCPDSFQSMYAQMLCGLYEREQVLRVGAVFASGLLRAIRFLNLNWKQLTHDIRTGSLNPRVTDPLVRECT >CDP08785 pep chromosome:AUK_PRJEB4211_v1:2:53021238:53024331:1 gene:GSCOC_T00027881001 transcript:CDP08785 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPTAHHPRRRRDLSSSGSAGSGTPDLPSISPTSTYSSSSNSSNSKSNSDKNPVKVAARTFAGAFIACFAPPEKVETNNSLGSFDASSGSGSETRRRGIYATSNNSTHARGSGRVKFTVDEIYKATKNFSPTLKIGQGGFGTVYKGRLEDGNLVAIKRAKSGVHDKNSGAEFRSEVRTLEKVEHLNLVKFYGYLEHEEERIIVVEYVPNGTLREHLDCMQGNVLEFAARLDVAIDVAHAVTYLHMYIDHPIIHRDIKSSNILLTENLRAKVADFGFARLAADSEAGETHVSTQVKGTAGYLDPEYLKTFQLTEKSDVYSFGVLLVELVTGRRPIEPKRELKERITARWAMKKFTEGDAILTLDPRLERCAAANLAIEKILELALQCLAPNRRSRPSMRKCAEILWSIRKDYRELLDSDRSSDSQSSYTIRGD >CDO99561 pep chromosome:AUK_PRJEB4211_v1:2:11349793:11353287:-1 gene:GSCOC_T00029192001 transcript:CDO99561 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEMGKEGTSRKRFRKRTEIKEDADSDSQDERLVRSQICKDRSDDSDMEEEERIRDRRERELEKNYKERDAARTRELAAVHKSTRKEGNGAVQGSNAVKMDHIMMLREVSRQKYLKKREQDKVEELGDDIQDEHVKEYRMPESYDQEGSINQDKRFSAASKCHRDPNAENTGKPFAEQEAWEQNQRGKAILKFGSRDRKPRGDEYEFVFDNQIEFIKAEVMDSMNDEQESVIEPTEKSGGRSAFDVLQEERKALPIYQYREELLQAVNDHQVLVIVGETGSGKTTQIPQYLHEAGFTKVGKVGCTQPRRVAAMSVAARVSQEMGVKVGHEVGYAIRFEDCTSKKTVLKYMTDGMLLRELLGEPALASYSVLMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDFAPIFKIPGRRYPVDIHFTKAPEADYLDAAVVTALQIHLTQPPGDGDILIFLTGQEEIETVQEILKHRLQGFGAKIAELIICPIYANLRTELQAKIFKPTPQGARKCVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGVESLLVAPISKASADQRAGRSGRTGPGKCFRLYTAHSYYNDLEDNTIPEIKRSNLSNVVLTLKSLGIQNLLNFDFMDPPSTEALLKALELLYALGALNKHGELTKEIQSFIVQTTKKFSLIMQGCISM >CDP08722 pep chromosome:AUK_PRJEB4211_v1:2:53547144:53550199:1 gene:GSCOC_T00027790001 transcript:CDP08722 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAAVWDPRAAIEITKGWNGVDQIVLRNPQGASARVSLHGGQVTSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGSLELARNKVWTIDDNLPPFHPNDTYGKSFVDLLLKSSEDDLKCWPHSFEFRLRVSLSSDGNLSLISRIRNVNGKPYSFSFAYHTYFSVSDISEVRIEGLETLDYLDNLCQRERFTEQGDAITFESEVDRIYLSSPNCIAILDHERKRTYVIRKEGLPDMVVWNPWEKKSKAMVDLGDEEYKQMLCVDAAAVEKPITLKPGEEWTGRLELAVVPSSFCSDD >CDO96894 pep chromosome:AUK_PRJEB4211_v1:2:14608058:14610967:-1 gene:GSCOC_T00014070001 transcript:CDO96894 gene_biotype:protein_coding transcript_biotype:protein_coding MILTVMCITDDHLYINCGGKATSVDGRDYEADTQSYGGSTFFLSANKTWAYSSMGTFLEASEDKYILDKTYISNSDASLYSNARIAPISLKYYGFCLKNDNYTVKLDFAEIGWDTDTSSTIRKRVFDVEVQGGQHYLRDFDIQKEAGYVNRVFTKKFNVSVNDSRLEIHLYWTGKGSTYTPTKYYGPSISAITVKPAWELESEPVPRKKISSSVIAGIVGSAVVLILVLSWALVRIRHRKLKDGDPGFKNFDFSKLNAATNGFDRRNMIDVAGNVYRGELDGIQVAVKQLSAKSEEGAHEFITAIGTISALKHPNLATLVGSCAEQNLLVYKYMENVSLQHALFGPAEVKSELNWETRYKICLGVAEGLACLHESKQVIHCNIKPTNILLDKDFTVKISDFEYSQFHDSKHVDARPNKDETGLGSMPKPKMTGHMAPEQEKGNRLTPKADVFSFGIITLEIVSGQEICPLGSKDSNDYLPIKAYKHQVEGNLTALVDPDLKSSNYEPDEAHTMLCLAMTCVNPTADLRPTMSSVVKTLKDSEKFGGKKKTCCNF >CDO99607 pep chromosome:AUK_PRJEB4211_v1:2:10800505:10806989:-1 gene:GSCOC_T00029249001 transcript:CDO99607 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g61215 [Source:Projected from Arabidopsis thaliana (AT1G61215) UniProtKB/TrEMBL;Acc:Q6AWX2] MGAEAMVVVPDKRWGTWEELILGGAVLRHGTQDWNVVASELRARTLYPYCFTPQACKAKYEDLRRRYSGSNAWFDELRKRRVAELKRELEKSEDSIGSLETKIEILKAEKRHSSKADCGSNGTESPAPLLRSDGNESFGKETSKDGLSASSFTQDTSISWSLYRQIPVLVSCAGTDIKQEVSVSLEEQKDLHTNKLVETGNREGGTPRKRRGMRKRKDCNIEAKEGSIGESDNHGSTNVVSSSRCKETSTSDCDQTIRLPGRDGNTKGACQIRSDCLIGIFNSIAEYKVAFVFRHRLDSQKRARYKKIIRQHMDFDMIRSRLVSCSIHSARELFRDLLLLANNALVFYSKRTREYKSAVALRDIVLKAYRQHFKGSYNKATSASLPMRSLYNPPVKPRSARRRPSQPKQSAKLDKAEKFVVNAPKVHPKPSDPDANVPLQSLLPAKKGVKRPLKVKTASAKPQSKTPLPKDKKAARQR >CDO97403 pep chromosome:AUK_PRJEB4211_v1:2:18806571:18809278:-1 gene:GSCOC_T00014742001 transcript:CDO97403 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSIFLTMARKLRRRTTAMRYFSTPSPSTSEVEVVACDQADEEKGSDSYSETTTNDDLKSRITRLRLPKRSATNVLHRWVSEGNRITISDLRQISKDLRKSQRYKHALEISEWMVAHDEFELSDSDYAVCIDLMTKVFGIDAAERYFEGLPPSAKTCETYTALLHSYAASKLTEKAEDLFDRIKESNLSLSALTYNELMTLYMSVGQLEKIPFIAEEMKRQKVAPDLFTYNLLVSSSAAGLNIDEARHILDEMGIQSGFSESWLRYVNLVKVYISSGHLVNCDFNSLVESEKGITQREWITYDFLIILYGGLGNKDKLDQIWKSLILTKQKMIGRNYACIISSYLILGHLKEVRDIIDQWKQSTTTDFDSTICNRILGGFVEVGLTERAATLHMLLTEKGCDNLMEL >CDP08811 pep chromosome:AUK_PRJEB4211_v1:2:52781827:52786150:1 gene:GSCOC_T00027916001 transcript:CDP08811 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVELEYSDTRARLDSITSLGCGLIEGASFLSPDSSSEQPVVQQNPDLWCSGALFGGALRTKIGGEDSMKSDEASKKASLPPLVKFNKAFKLAEQWVNSMSKLEDEKFAKVEVRPSRLGVGAAVGRESKVIQSNDPIERRLRAKLESDKKKVVKNAEEPGVPTLNGSSQEDSDDEELGSRTKAFVKKRPADWTLSLQQGKKKLK >CDP08903 pep chromosome:AUK_PRJEB4211_v1:2:51901530:51910777:-1 gene:GSCOC_T00028036001 transcript:CDP08903 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFGKTLRQKQIQEWQGYYVDYKLLKRKVKRYVEQIEVSAENPENVMKDFSRILDKQIEKIVLFLMEQQGELARRLSGLGEQHDSLIQQADGIKASELLEAYRNVANDLLRLLFFVEMNATALRKILKKFDKRFGYQFTNYYVKTRANHPYSQLRQVFKHVGISAVVGTISRNIADLQDLHGCYTSIYDQPDLLPLDPVIKSIKAAVDRLMDSTDFLHYLGKHALIIPDELSSSSEDHVADDRYHFLSLLLNLANTFLYMVNTYIIVPTADNYSMNLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYVKPLLFSTIVLLIGNTLYALAFDLNSIYVLLVGRLFCGLGSARAINRRYISDCVPRNLRMKASAAFVCASALGMACGPALACLLQTNFKMFKLTFNEVTLPGWVMAFAWLLYLFWLWIFFQEPSNENKENKSTAQQTMRTENIFIENSVAQPLILSLEETQEDEDREFDVSEEAAEESRKPVTSLMSAYKLLTPSVKVQLFIYFMLKYAMEILLAESSVVTTYYFIWSSSKVAIFLACLGLTVLPVNIFVGSYLSNILEERQVLLASEVMVCLGILFSFNVTNPYSIPQYVSSALVTFVSAEVLEGVNLSLLSRVMSSRLSKGTYNGGLLSTEAGTLARVIADGTITLAGYWGMSRLLNITLLPSLAICICSIIGTCFTYNSLY >CDP09317 pep chromosome:AUK_PRJEB4211_v1:2:23807989:23824420:1 gene:GSCOC_T00028631001 transcript:CDP09317 gene_biotype:protein_coding transcript_biotype:protein_coding MPPELKLPICCVSHLFQNAATNSPDKIAVIHASVDSKTAPPLLSKQPPVYGGDECFTYSEILSAVNSLSSRLRRILDGADDPHIIKPQSGYKLGGQQANSDKNSESMGFFSLSGEQVTKLHDKCMPRRIGIYMEPSVEYIVAVLSVLRCGESFMPLDPSWPEERILSVVFSSRADLIIGCESLVDDGCFHQLDNVHWLASRGYCPVLCISIRQCIQRQSHLCSLVWPCESESSRLFCYLMYTSGSTGKPKGVCGTEAGLLNRFLWMQDLYPMHGQDYLVFKTSISFIDHLQEFLSGILTTSTLVVPPFNVLKENILCMVDFLQIYSVNRLVAVPSLLRAVLLPLQGPHYMGLRSSLKLLVLTGEVLHLSLCESLLKLLPHTAILNLYGSTEVAGDCTYFDCKQLPLILENEIVSSVPIGLPLSNCDVVLIGENAPKYGEIYVRGLCNGVGYFDHLSITPFGPAKLPQTSFINHTSRDPRLQGYFKTGDLARQLQSGDFIFIGREDRTIKFNGQRIALEEIENTLREHPHIVDAAVTCLKKHGEISSIEAYLVPKKVQDCNENFKSSIRSWMVKKLPQALIPSCIFFSESFPTTSSGKVDYNMLDDSSSSRAHGRSSVGEIWDNDDLKFIQKVFSEALMVDNISIHDNFFHSGGNSVSAAYVAYNLGINMKLLYAFPTPLKLQMALERKIGSSHYDSRNEDNVNVDSGVTDEILLPAFSKTGSPHGIKPRRRMFGAVDNSNTEHPTKLLKKDSSLCIIPKDGNLKDNGFWSSHSFNAACSVSRCNKIMHCNGGHNNLCHTISSQQISRERKGSVQKLWKVNMESCVDASPLIVCKGSEVYLFVGSHAKKFVCVDARSGFVLWEVRLEGRIESSAAVLDDFSQVVVGCYQGNIYFLHLSDGSICWRFQTGGEVKSQPVVDKLRHLVWCGSYDHNLYGLDYKGYSCSYKLYCGGSIFGAPALDEMREKLFVASTSGILTAYSLKDLSCSKSWIQDLEAPVFGSVLINFNNGNVICCLVDGNIVSLDADGSILWKALCGGPIFAGPCISETLPSQVLVCSRDGSIYSFKLENGDLLWQQALGYPITSSAYIDENLELTSDASHLADRLVCVCTSSGSISVLRINLDAAGGASQPPENDMVQEIARLELGGDIFSSPVMIGGKIFVGCRDDYVYCLEFEADREANLSV >CDP18384 pep chromosome:AUK_PRJEB4211_v1:2:43258974:43263373:1 gene:GSCOC_T00012965001 transcript:CDP18384 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVAESCVESLLTEIVSSYCNGFYASKPELAARRIEAIGFQVGHQLSERYTMDRPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNKFRWLARMSLDPSLETPGSIQDPVAMAENKVAQAIGMHLYFPCGIIRGALSNLGIPCAVSADISNLPACSFVIRIKA >CDP05364 pep chromosome:AUK_PRJEB4211_v1:2:3622866:3627704:1 gene:GSCOC_T00020375001 transcript:CDP05364 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVSPQWQEKASDFLQSSSVKLKEAGHTAGTFVEEVAKDAKGNVADAVGKVGSMVKSRWSLLQQPSTRHAMQERLITAAATTSMFLRKGFSETKDKVTVGKTKAEEVAKKTAQKSKTLLTDLERWQKGVASTDVFGVPIEVTVQRQHSTRPIPYILVKCADYLVLSGMSSQELFKSEGDRKVIQHLVSLYNLDLNASLPEGVNAIDVASLMKCYLASLPEPLTTFELYNEIRSARSSIHTMRNILKRLPTVNYMTLELITAVLLRVSQKQCAYNMDARSIAMEMAPILMWQQGQRPEHYKQFWNHSSKSHSKTDRIAAQDYDSTWDMLAEEGEDADASSPIPLDDGLPVDYGAIEVIQCLIEQHNAIFTDANETVW >CDO96964 pep chromosome:AUK_PRJEB4211_v1:2:15373187:15374449:-1 gene:GSCOC_T00014162001 transcript:CDO96964 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSLLTRPLLMVFGYVYPAYECFKTLEKDEPDIDQLLFWCQYWILLAAFTVFERVGDLFMSWLPLYGEAKFALCVYLWYPGTRGTTNVYSCFLKPCIAKHEKEIDRNLLRMKNRLMMLGMLLWQKAACYGQTKFFEILQFASSQPGSRSPPDTAHNSRGKEN >CDP06837 pep chromosome:AUK_PRJEB4211_v1:2:38290525:38296502:-1 gene:GSCOC_T00023849001 transcript:CDP06837 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDVTGLRIDKKPDNAPKSNGIDHMSVYVAPKIAVERDPSEVEDHSAKGTVVQDGHEKQDVLGVKSINFNPGLPEVKTQKAESLKALEKKLSSPIKLTSVSAARGTLQTSPPAPHSPSLVNEKQNYSASDADGAGSTNTGINGAVETNDSHSLMTTQKSELTSPLASTKIVDTDNQKYHAEDDNLSLASSAATSIRTIRSKITVPSAPTFRCVERLERRKEFYTKLDEKHKALEAEKAEYAARTKEEDEAAIKQLRKSMVVKANPVPSFYREGPPPKVELKKLPVTRAKSPNLSRRKSCSDAAKSCKSSTDEKGACARTKRHSFGVCKEGSTKPTTPKNKDVIGGRNVAAGISREGSSTSTKYKNMQSRQSLNGANRIKDQAKQVKQTSKTSDVVQQNNTDISVVEEMTKVPDHIADITVVEETTKTSYSVPGQMSADNAIVEDARKDSHIQKVASDIAVET >CDO96772 pep chromosome:AUK_PRJEB4211_v1:2:12931900:12932688:1 gene:GSCOC_T00013896001 transcript:CDO96772 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLERWLYSQNHFLDFLQRLNIMIDVATALDSLHNGYSSTIVHCDLKPGNALLDEDMTGHVGDFDISKLLSGGDGMAQTKTLATIGYIAPEYGSEGKVSISCDVSSFGILMMGTFSRKSSTDDMFSMNSTRMVQCISKIMELALTFTAESSEERIDVKDALNELKRSKFDFFQSG >CDP13905 pep chromosome:AUK_PRJEB4211_v1:2:4244843:4251616:1 gene:GSCOC_T00039043001 transcript:CDP13905 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDMGLVQAQQRLDNGLSHPHPHLVFPDNSFSCGPPPPQPPRRVSAAGLSSKTTATRELTSGFIDHHHYFHQPPSADFRRATFSSPSSAAAAAASHPDNSHNWNLGSRASATTSGGDGSEDDDDDDDEEEEDDDDDHNNDDDDENEVEGIAASNENINKNSRGKMASQKLKQLSSFGLKEGNVVGVAGRSGNEINNNCEMRNAVTIADADGEMYYSQYLQGTAEVGPHARQKDVLVVENGCGFSGRKEGSYLAESGDSLREILSDPLTGALMDDAMILPCGHSFGSGGIQHVLRMKSCYTCSQAVSEDSVSPNLSLRLAVQAFRREEELQVHRASKRRRERHEQDKGTYGDSVQTDHPRGRGVQFPFAVTDRVIIKGNKRTPPRFVGREAIVTTQCLNGWYVVKTLDNAESVKLQYRSLARVPDNPSPKPIATSKITPNWL >CDO97176 pep chromosome:AUK_PRJEB4211_v1:2:17048203:17054868:-1 gene:GSCOC_T00014435001 transcript:CDO97176 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSAALDSMLKADVVPSAWHFLLAVYFAVGFAVARFLFDKHLFQRVATWLLSNGSIPLKINKARRMKIAKCSESLWKLTYYATVELSVLLVIYGEPWFTDIQEYFRGWPNHHLKPSIKLIYMCQCGFYIYSISALLTWETRRKDFAVMMSHHVVTVILISYSYITRFFRIGSIILALHDASDVFMEAAKVFKYSEKEFGASVCFGLFALSWLVLRLIYFPFWVIRSSSYYILLCLNLSDTYHASLYYVFNTMLLTLLVFHIYWWFLICAMIMRQLKNRGKVGEDIRSDSEDDD >CDP08650 pep chromosome:AUK_PRJEB4211_v1:2:54122373:54127117:-1 gene:GSCOC_T00027688001 transcript:CDP08650 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVKAVPPADLNRNTEWFTYPGVWTTYILILFFSWLLVLSIFGCTPGMAWTIVNLSHFVVTYQFFHWKKGTPFADDQGIYNALTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQNPMLFFNTVAVLVLVVAKFPNMHKVRIFGINGEQ >CDO97425 pep chromosome:AUK_PRJEB4211_v1:2:18977624:18982065:1 gene:GSCOC_T00014766001 transcript:CDO97425 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRGILGFEYGIVQAPLGPDISGPELVAAVANAGGLGLLRAPDWEAPDYLKELIRKTRTLTDKPFGVGVVLAFPHKENIRAILDEKVAVLQLSWGECTSDIVLEAHKVGVKVVPQVGSFEEAKKAADVGVDAIIVQGREAGGHVIGQGALISLLPRVVELVQGRDIPVIAAGGIVDERGYVASLALGARGIAMGTRFLATDESYAHPAYKQKLIKFDETEYTDIFGRARWPGAPQRVLRTPFLVEWRTLPSDENETNQPTIGHSTIHGMEKEIRRFAGTVPNLTTSGDVESMAMYAGEGIGLIKDILPAGEVIRRIVEGAKHLINQQFACDMQA >CDO99800 pep chromosome:AUK_PRJEB4211_v1:2:8794761:8797198:-1 gene:GSCOC_T00029492001 transcript:CDO99800 gene_biotype:protein_coding transcript_biotype:protein_coding MQICCSADSSIHVMLERDTGRPRGFGFLTFADRRAMDDAIREMHGREFGDRVISVNKAQPKMGGDDSDHGYGGGYSSGGRGSYGGGDRSVGQDDCFKCGRPGHWARDCPSAVGGRGLRPMSPPRSRFGGRGDRYGGDRDRFIDDRYDRGRHGDRERFDSRDDRYASRGRYVGDRYPPGGDRFVADRFGGSDRFPQNGYGKDRGYDRDEGPRGSSDRYGVGGPARYDGRSYRDRPGPYDRPRRGGRPPSFDRY >CDP13853 pep chromosome:AUK_PRJEB4211_v1:2:34104824:34109662:-1 gene:GSCOC_T00038971001 transcript:CDP13853 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLGFTEPPANDVVLDQSHSFKLVPWISWDEWDFVRISLFSSSPPSVASALQRITAWRSRGCLPVVVEVTASIVEIQQKDPFFRHDLPGEAVDSEEMLAMLYCMAIMRLVNGVIEKTRKKTEVSIAEAAGAIGIPRMLIDIRHEGSHRDLPSLHLVRLASMKALDWLKEYYWEPQKNAIPNEADVISNARVEIKHRLYELASCLRAKCGLRSGSSLVKGKRFKHLECLHGPNKFLSLMAMKHTNSKSSVSKKQVNKLLKNVRRLYSSFSGEVVDVLLELLLKAMDLSNMVELCENQETSHRSETTPTVFNAWKPVVIKLFKKEPELLWSLLKAVLIKIETKGGNNNEIGR >CDP08873 pep chromosome:AUK_PRJEB4211_v1:2:52187643:52188431:-1 gene:GSCOC_T00027992001 transcript:CDP08873 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNDLRVLEITVISGEDLRINRKQPVKNNAFVTIKTDSCKEQTTKMDKDGGGYPAWDEKFVMDMTMHARYFTAEVRCKTAAGSRIVGTAVIPASDFLGDYVPENYLHFLSYRLWDSHGERNGILNLSVRVKSSSSVRNAYGGGCSSHSAGCSRPWSGIAVGGQQVSNGEGVVTGIPVWS >CDP15188 pep chromosome:AUK_PRJEB4211_v1:2:49749215:49755379:1 gene:GSCOC_T00042801001 transcript:CDP15188 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVADDHEVEPAVPVRVDRFGFVKQEQNSAEGLCRSRSASEYEREERRIRKWRKMIGVGGSDWKHYIRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFRQRHGPGQRSLYNVLKAYSVFDRDVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYLAGLPLVQQYLYQFDHLVKEHLPKLGEHFAQEMINPSMYASQWFITVFSYSFPFHLAIRIWDVFLYEGVRIVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPEDALNPDVLLPLAYSTKVSKGLDVLKQEYEKQNGKQAEANRKQG >CDO97193 pep chromosome:AUK_PRJEB4211_v1:2:17197893:17199898:1 gene:GSCOC_T00014459001 transcript:CDO97193 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGEGKDSQNLRHQEVGHKSLLQSDALYQYILETSVYPREPEPMKELRELTAKHPWNIMTTSADEGQFLNMIIKLINAKKTMEIGVYTGYSLLATALALPEDGKILAMDINRENYELGLPVIERAGVSHKIDFREGPALPVLDELIEDDKNHGSFDFIFVDADKDNYLNYHKRIIELVKVGGMIGYDNTLWNGSVVAPPDAPMRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITLCRRVS >CDO97015 pep chromosome:AUK_PRJEB4211_v1:2:15842956:15847318:1 gene:GSCOC_T00014227001 transcript:CDO97015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYFPGSDNERDMSTMLHLRESLSSSYSNAPVLPGNLMMYMNYSSSSGSYSGALAGNSQNHGSSITDLLVASSSKASEHENLVDLGGQRIGEHDLTEWKNSRNSMLLMYPGGDAAGVLQNPQNMQIQGLSLTLSTHVPSGFQVSSLQCSSPNPGYSSLLSANPSIPAVGTGRNGYFENLDSSQSKQSRHIEYVSSGFPGSNSDTARADVSPYGMPSIARAVPNSKYLKAAQQLLDEVVNVRKALKEHNPKKGAMKDSKESHGDPKNGESDYPICGSSTNVQESSSNSASELSAAEKQDLQSKMSKLLAMMDEVDKRYKQYYHQMQIVVSSFDVIAGSEAARPYTALALQTISRHFRCLRDAINVQVQVTRRSLGEQDASTSSKAIGISRLRYVDQQIKQQRALQQLGMMQQHAWRPQRGLPESSVTVLRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEEAVDAEMDSNSSSDIAGKTVVGDVKESEGRGEDILQSTTSTVTERCSAEQLIESKSDYFPDVEMMETNIGRPFQNDSNVLPDTAVVQSIEGNGRYMVASAYHMSELERFENGSGVSLTLGLQHSDGGTVRMPIQTYQNYIPMRGDDKCSVAAPSMGVQAADFDCMDSGNQQNRFASSQTLHDFVA >CDO97564 pep chromosome:AUK_PRJEB4211_v1:2:19974801:19980659:-1 gene:GSCOC_T00014945001 transcript:CDO97564 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSEGINKVVVASAAEEEQQCRIIYIPDKPPVPPHLQPTVNVHAAVIEPKHANTLVRKLNQIAPLEKLRHVKRVRKKSQDGGKNELSVILCLASESDSELDGAPNDVLELVKQYQLSTFVAKVCRYAASTKEEWDEQCKFWPTSYHPPTYNISGITGFSKEDTKSVFGFMKYAVDLARSCSNLVVNAAVIVDPSTKQVISSACDQDLSRTGCKFKTNMETNCFKHEPFTPTSTSESENHQTLFASHVSNLKGLHICVSCLHPWGWTEQQLPESSISWHPLRHAAMVAIEHSAARDRLLYPDSGHSGAEMIEEGYLVPSSTGLPSKRQKINFTQDGNDENLDWKTNALQSDSAKPYLCTGYDIYLVWEPCTMCAMALVHQRVKRIFYALPNPNAGALGSVHRLQGERSLNHHYAVFRVLLPEEMVKDETLVSIISNNYQIKT >CDP15165 pep chromosome:AUK_PRJEB4211_v1:2:50014054:50014476:1 gene:GSCOC_T00042765001 transcript:CDP15165 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAKRGSILFTSSICSVTSGDASHAYTASKHAVIGLTKNLCVELGQYGIRVNCISPFTVATPALLNTMGMKEKKEAEEFMCGIANLKETTLEADDIAEAALYLACDESKYVSGLNLVVDGGYSTTNTAMKEGIKKLIVS >CDP17204 pep chromosome:AUK_PRJEB4211_v1:2:47719225:47722668:-1 gene:GSCOC_T00000674001 transcript:CDP17204 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVILGIIGNVISILMFLSPVKTFRRIVKKKSIEDFKGVPYITTLLSTSLWSFYGILKPGGLLVLTVNGAGAILHIIYVTLFLIYAPKDVKVKSLKLVAIVDVGFFGVVVAVTLLALHGSLRLTVVGLLCTGMTIGMYASPLSVMRTVIKMKSVEYMPFFLSFFQFLNGGVWAAYAVLVKDIYLGVPNGIGFILGLAQLLLYVFYKNKYASKSKEAMEDGGGGSAHPIKGVIQMEDFDNNEKMKTISLNQGAFGSTGSKDLELGVKDNL >CDP13852 pep chromosome:AUK_PRJEB4211_v1:2:34080100:34082944:-1 gene:GSCOC_T00038967001 transcript:CDP13852 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPLLNIAPLNSTGSILPHKIDPVPGPCNFTNITQKPQGALISQNLYSPLSLTLRSPAKALFTTPPAIDAMVGLSVGEKHFIKGGIEQDLRTDGRKRYTYRPIYVETGVIPQARANGSARVKLGATDVIASVKAELGKPSPSYPDKGKVLINVDCSPTAEPAFEGRGGEELSSELSVALQRCLLGGKSGAGAGIDLSSLSIVEGKICWDLYIEGLVVSSDGNLLDALGAAVKAALSNTALPKVQVASAASDEQPEVDLSDEEFLQFDTSGIPAIITLTKVGKHYIVDGTSEEESQMSSAVSVSVNRQGRICGLTKRGGAGLNPSVILDMISVSKHLSDQLINKLDSEIAAAEACEEDEF >CDP04969 pep chromosome:AUK_PRJEB4211_v1:2:484240:485421:1 gene:GSCOC_T00019852001 transcript:CDP04969 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVLDYVLVPVGLGGMLVYHVWLFHQIVKLPTSTVIGINAINRRFWVQAMMKDASKNGVLAVQTLRNNIMASTLLASTAIMLSSVIAVLMTGMSRQWGGEHDHEPDSSSSSSPTGMWFLDVVGDKSRLAFSIKYFSILVCFLVAFLFNVQSIRYYSHASILINVPTDHHPRQAHHHYCVTPDYVAATVNRGSYFWSLGLRAFYFSFPLFFWIFGPIPMFLCCVLLVFLLYFLDLTLDLGWVGATVTQHEIENQPFRDENDRSN >CDP17769 pep chromosome:AUK_PRJEB4211_v1:2:51527974:51530757:-1 gene:GSCOC_T00003885001 transcript:CDP17769 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAYKRNFPTLTINNVSTYYLLAAEAMSIHPFPLCFIMATGGHGAREEAEPKKLKLYSYWLSSCSCRVRIGLDLKGLEYEYVAVNFPEGEQQTPEFLKLNPMGYVPVLVDGDIVLADSFAILLYLDEKFPQHPLLPKDSVRRAINFQAANVISSSIQPRQLMLQHMREKLGPQEAIACAQDDLRTGFAALEKLLKDYAGRYATGNEVFLVDLFLAPQIHAAITRFKVEMDEFPLLSRLFEAYMELPAFQDNMPGKQPDTPAEHRV >CDO99587 pep chromosome:AUK_PRJEB4211_v1:2:11174327:11183499:-1 gene:GSCOC_T00029223001 transcript:CDO99587 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEVANGVAGGLSYPNGDLKTQNPSATAKKSRESERRRRRRKQKKNKAASGTNNTGDESDNAAAASEDANGTTDNDSAKENSDPQKVLEQVEVEYVPEKAELDGDLDDELRKVFEKFSFKDAVGSEENDKKDETAPDATSNKKVDSDSDGEEQDPQQKEKGLSNKKKKLQRRMKIAELKQISSRPDVVEVWDATAADPKLLVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTHGDLYFEGKEFEVKLREMKPGMLSQELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGAKFGFQPGGWGKPPVDEHGNPIYGDVFGVLQQEQPNYEEEPVDKSKHWGDFEEEEEEEEEEEEEEEEQIEEEEMEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPEKPLYQVLEEKEERIAPGTLLGTTHTYVINTGTQDKTAAKRVDLLRGQKADRVDVTLAPEELEVMDNVLPAKYEEAREEEKLRSQREDFSDMVAENENKRKRKMQEKEGKSKKKKDFKF >CDO97569 pep chromosome:AUK_PRJEB4211_v1:2:20027683:20032952:-1 gene:GSCOC_T00014951001 transcript:CDO97569 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHSQSSNLSLGFLTHASSSPAPASNHRSQIVDDSISFQIDSNFRDPEDEKEEEFRILGHSMCFKRKRDSGDSQSSSSSSLKSSRGSSNDLESRRNAVRAWGNQTLRVADPDVFEILEKEKQRQYKGIELIASENFVCKAVMEALGSHLTNKYSEGYSGARYYGGNQYIDEIETLCCERALAAFGLDSENWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNSSHGYYLPNGRKVSGASIFFESLAYKVYPQTGYIDFDKLEERALDFRPKILICGGSSYPREWDYSRFRQIADKCGAVLLCDMAQISGLVAAKESVSPFDYCDIVTSTTHKSLRGPRGGIIFYRKGSKPRKRGMLLNQGDGSDRYDFEEKINFAVFPALQGGPHNNHIAALAIALKQVATPEYKAYMQQVKKNAQALASALLRRNCRLVTGGTDNHLLLWDLRTLGLTGKNFEKVCELCHVTLNKVTIFDDNGTITPGGVRIGTPAMTSRGCLEADFETIADFLFRAAQIASSVQREHGKLPKAFLKGLENNKDIIELRTWVESFASQFAMPGFDV >CDO97289 pep chromosome:AUK_PRJEB4211_v1:2:17883370:17885019:-1 gene:GSCOC_T00014573001 transcript:CDO97289 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQPPVGVPPAQGYPPEGYAKDAYPPAGYPPQGYPQGYPPQGYPPQYAPQYAAPPPQQQSSGSSGFMEGCLAALCCCCLLEACF >CDP18225 pep chromosome:AUK_PRJEB4211_v1:2:48330851:48331195:1 gene:GSCOC_T00011784001 transcript:CDP18225 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFEASHRRTAGQTLEIINGKAYGANNVCVTRSNNHFANQAGRYQVTEPTSTPAPPPPPPTSSSRSWIDYRQLNRRKRIATYKYYAYEGKVKNSIKKGFRWIKRTCRKIVHGY >CDO99581 pep chromosome:AUK_PRJEB4211_v1:2:11212159:11213650:-1 gene:GSCOC_T00029216001 transcript:CDO99581 gene_biotype:protein_coding transcript_biotype:protein_coding MMHCPACYGRVTCGSCGPIKCVTCMGGGSLLTRKVAVMRWKTLSTRKVSATSRAATVLDDVFHRVQGIQLCNTQAYQCTPTFFADSFFLNKLSSEVTTERALVPHTARVIVRDISSLLSQSLM >CDO99984 pep chromosome:AUK_PRJEB4211_v1:2:7230742:7233285:1 gene:GSCOC_T00029728001 transcript:CDO99984 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNRFLSKSAVRGSPFFRALRRGPQFEWTPECQRAFDDLKTHIARLPALTSPAQGEVLFIYLAVGEEAISAVLVREEGKIQKPIYYVSRALQGAELRYTSIERYILALVHATRKLRSYLQTHPVVVMTDQPLKQILSKPDASGMMVRWAVELSEYDLNYQPRTAIKAQALADFIAEGVSFGQEQVQAELTRGAAKAEQAAETKSTQDVVEAGQVGEAAEVVKGTQTSMAEQTIGAVEAKQAEGLAGVGQATKEAKAEQVKKAVEAEQATGQVDQTIPTWTLFVDGSSSKDGCGAGLLLTSPLGDELAYALRFDFRASNNESEYEALIAGMMIARKLGAESIEVYSDSQLIVNQVGGSYEVKEEPLRRYVAKVRELETQFRIFTLKQVPRSQNRRADALSKLASTSVGTLNKEVLVEVVRNRAYDQIGAAVIQVMGSWMDPIVRYLASGELPSSRAEARRVLLRSRGYELSNGVLYKKSYLRPWLKCITPEEGNYILRELHEGICGSHVGPRVLAKKGMLSGYYWPTIFLDSADLVARCKSCQLHAPIHHAPTQEMIPLQSPWPFFQWGIDLLGPFSRAPGGYEHIVVAVDYFTKWVEAEPLTTISSRSVQKFLWRNIVCRFGIPHVLVSDNGRQFADSTLQGWCSELGIRQHFTSVGHPQANRQVENVNRTILHGLKTRVELARTGWLDELPTILWAYRTTPRTATQETPFALTYGAEAVIPAEIGVPSCRVQNFIAWDNEEELRLNLDLLEARREEAVIRMAKYKGQIARHYNARVRPLSFKPGDLVLRKNSVSRLQGTGKLDPNWEGPYVVKEADRAGYCKLAHLGGEEVPRTWHNSNLRIFR >CDP05021 pep chromosome:AUK_PRJEB4211_v1:2:914771:917018:-1 gene:GSCOC_T00019924001 transcript:CDP05021 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPVEVCLKILSLLDHQNLATAQLVCRKWKILASDDTLWSYLFTQRWGVDHATFFAPEGSKLWKDVYAVQDRGDRVGLGLKIIREGDDYYLVHQGEIQRHLGSRRPKTGEIIHSPATVGEEEFSNMVEPSSGILDKILFFIGDLESASVHAKRSRVL >CDO99773 pep chromosome:AUK_PRJEB4211_v1:2:9004458:9005479:1 gene:GSCOC_T00029463001 transcript:CDO99773 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVALCLEELIPANHMKSHIYSILIRTVLVVSTLIVGLSVPFFGLVMALIGSLLTMLVTFILPCVCYLRILKGKTNQFQVAACVSVIIVGSVSSAFGTYSAVSQIVENLIS >CDP07651 pep chromosome:AUK_PRJEB4211_v1:2:24357048:24360540:-1 gene:GSCOC_T00024973001 transcript:CDP07651 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIRSPISFLILLALLFPLTLQISAVNYPINVWPKPTTFSWPKPQIALLSPKFEIHFPSHPYLHRAAKRYRQLLIQEQYHPLVAPPLNLPESPALQSLIITVTDKSAQLTHGVDESYTLVIPSPSQSTEAAISAQTTWGAMRGLETLSQLVYGKPSRVACDLYIYDEPLFPHRGVMLDTSRNFYWVADLLRLIKAMSMNKLNVLHWHITDSQSFPLVLPSEPELAEKGAYGEVMKYSPEDVKKVVEFGMEYGVRIVPEIDMPGHTASWAEAHPDIVACTNMFWWPAGADLSSRIAHEPATGQLNPLASNTYKVVQNIIKDVATMFPDSFFHGGADEVTTNCWKSDKSIQAFLAKNGTLSQLLEMFITSTLPYVISQNRTVVYWEDVLLDANVSVAASLLPQENVILQTWNNGPNNTKKLAQAGYRVIVSSAEFYYLDCGHGGWVGNDSRYNQPPGTDLGKGGSWCGPFKTWQTIYNYDITYGLNEDEAKLVIGGEVALWSEQADPTVMDPRIWPRASAMAETLWSGNRDETGMKRYAEATDRLNEWRNRMVTRGIGAEPIQPLWCIRNPGMCNTVQPYVPN >CDO99776 pep chromosome:AUK_PRJEB4211_v1:2:8975656:8976824:-1 gene:GSCOC_T00029466001 transcript:CDO99776 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTITIRGESIVTKHNSTRPYSYRGEWQRLSGENAAGAKGGKSLQEEGNYLKIYQWTIYKMTMNSAKDN >CDP00079 pep chromosome:AUK_PRJEB4211_v1:2:6350619:6353257:1 gene:GSCOC_T00029868001 transcript:CDP00079 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRKREVPQLAPAVGIDLGTTYSCVGVWQHGRVEIIANDQGNRTTPSYVAFTDTGRLIGDAAKNQVDTNPTNTVFGAKRLIGRKYIDPSVQSDMKHWPFKVIPGSGNKPIIVVTYKGEEKQFATEEISATVLAKMKETAEAYLGSTVKDAVVTVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAASIGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNTMVNHFVQEFKRKNNMDISGNPRALWRLKTACERAKRILSFSPKTEIEIDSLFQGNDFYSTISRAKFEELNKDFFTKCMELVQKCLNDAKMDKSCIDDVVLVGGSTRIPKVQQLLQAFFHGKDLCKSINPDEAVAYGAADFVLVDITPLSLSIEVVGEIVAVVVPRNTTIPTKLEKVFATSKDNQTVVRFAVFEGESASSKDNNLLGSFNLSGIPPAPKGAEKFDVCFDIDANGILNVSAVHKTTRQKNHIIITNDRGRLSKEEIEKMVLAAEKYKKTIKLEKITAKLLLADRKKIENAIDQAIQWLDGQPHAEAVEFEEKMEELERICKPIPKMYKGAGRPDMACAMDNNTPSARSGSGRAPPKIETID >CDO99677 pep chromosome:AUK_PRJEB4211_v1:2:9949859:9954551:-1 gene:GSCOC_T00029344001 transcript:CDO99677 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGVNRTSGFKTVLTSWKSQDDPGTGDFRFNMELNGSPQFFLYKRSDRLWRTGPWNGIQLSGVPDMTSKFIFTVSYVDNNDGVSLSYSIHDPNIFSRLVLNESGTMERLTWQGGEHSWVKFWSAPRDQCDYYSHCGTFSDCDPYNLGEFECKCLPGFVPKVKSEWYLRDAINGCTRKQGEKTCGNSTDGFIKLTFVKVPDTSNARVNEGVGSKECQDLCLRNCSCTAYASANISRGGSGCITWYGNLIDIRQFSNGGQDVYIRLTAAELAEFLKSSKDSRSKKLVIIVVASAAGVLILLFLSWVVLKNRKGKRQYIKRLLTFNISPRSSYGASSTTKEIDENTDLSVFDLRTISSATNNFSLANKLGEGGFGTVYMGRLHTGREIAIKRLSKSSGQGIEEFKNEVTLIARLQHRNLVKLLGCCIQQDEKMLVYEYLPNKGLDNFIFDKEKAALLNWRKRFEIILGIARGLVYLHRDSRLRIIHRDLKASNVLLDAGMEPKISDFGMARIFGAGQMEANTNRVVGTYGYMSPEYAMQGLFSDKSDVFSYGVLLLEIISGRKNSSYFHDNAINLIGYVWDLWKEDKALEIVDPSMRNSYNQDEVLRCIQIGLLCVQEQASDRPTMSQVLSMLSNGTALPSVSPKQPAFVIKKVNNGRAVSVDEMTITLMQAR >CDO97188 pep chromosome:AUK_PRJEB4211_v1:2:17152485:17157410:1 gene:GSCOC_T00014452001 transcript:CDO97188 gene_biotype:protein_coding transcript_biotype:protein_coding MISYLSPNSQLPPNPISSSSSSSLNPIHLSYCYYAFNLEKLELTMNFCAAAPLLFFATLLVVFSNSCTALKVGETCLLSKNCDDAGLHCETCLAEGQGRPRCTRIQPINPFSKVKGLPFNRYSWLTTHNAFARLGEKSSTGAVILSPTNQQDSITSQLNNGVRGLMLDMYDFENDIWLCHSFGGKCYNFTAFQPAINVLKEIQVFLEANPSEIVTIIIEDYVTSPNGLTKVFDAAGLRKYWFPVSQMPKTGGEWPTVDDMIQHNQRLVVFTSKSSKESSEGIAYEWRYLVENQYGNGGMKPGLCPNRAESPAMNTTTRSLVLMNYFPDTPDLTQACKHNSAPLISMMNTCYAAAGKRWPNFIAVDFYKRSDGGGAPQAVDTANSQLMCGCPDISSCKENVTFGSCDVPEAGATTPSATIGNGTNFAILGTRPIQAHWSIGASLVAILLSF >CDO99589 pep chromosome:AUK_PRJEB4211_v1:2:11159055:11163221:1 gene:GSCOC_T00029225001 transcript:CDO99589 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTLLLLVVFIIDLIAFALAVAAEQRRASATTTTNATSNYCVYESDVATGLGVGSLLCLLASQLLVMFASRCLCCGRALRPGRPRTWAIVLFITCWLTFFIAEVCLLAGSVKNAYHTKYRTIVSQNPPSCETLRKGVFGAAAAFIVFTGVLSELYYVSYSRADDGYLPNVRDAGIRMGAL >CDP09405 pep chromosome:AUK_PRJEB4211_v1:2:22783185:22788978:-1 gene:GSCOC_T00028753001 transcript:CDP09405 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAAKKLPPGPGSKRGGRGGRGAGRAQAKAQEPAPAPAEVDEPIKVEEVRAVEVQPEEPVEYKRSPPPPFTVAESKKEARLEKEPEVKSVDNGVASRRKDDEVKESGDEFERGERLDLEDNDPEYEPEEYGSVDYDEKEIEQEDAREELDEIEEDPVEEEADIVEEEIEDVQEELEGEEDDEHDVEERAEMVDNEEEEDHHELVKERRKRKEFEIFVGGLDKDATEDDLRKVFSEVGEVTEVRLMMNPQTKKNKGFAFLRFATVGQAKRACSELKNPVVHGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKQYGIENIEDLTLVEDSNNDGLNRGFAFLEFSSRSDAMDAFKRLQKRDVVFGVDRPAKVSFADSFIDPGDEIMAQVKTVFVDGIPSSWDEDQVRKLLKTYGDIEKVELARNMPSAKRKDFGFVTFTTHDAAVTCAKSINNEELGEGDSKAKVRARLSRPLQRGKGKHIARGDYRPGRGPVRAVRGPWSRPVPHAIPVRGTRGVPPRMPPVVDRGFRRPAGFRDRRPVMDIPPPRARAVAPPPRSYERRPPVEPYPKSSLKRDYGRREELPPRSRAAAEYSSRVPADRRASYREDYSSRGSGYPDLSRVPSRPVPRRDYVDDGYGQRYDRPPPAYRESRGREYDSMPGSKRPYSALDDVPQRYVEAGVRQSRARLDYDLSGSGSASQYGDAYGDRLGRSSVGYGGSRSSMSSQDSHGLYGGRQAMGYGGGSYSSSDVSGMYSSGFSGDYMPRGSDVGGSSYSSLYSGRGMGGSSYMGSGGSGSYY >CDP13940 pep chromosome:AUK_PRJEB4211_v1:2:4512454:4517958:1 gene:GSCOC_T00039087001 transcript:CDP13940 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKSSRKGKKAWRANISTQDIEDFFEKSTKDALSGGSLADVPSDSLFYVDKSRDLSVKRKIEKHREKVLHSDSLLQRNPFVQTIPSSKQKKSKKKNKEIQTAKAAAQDCEKDSGVSESGMVDIWDDKGESNGRIKKKPKPSIIPAVEVEAPGCSFNPSFESHQDSLACAVADEMQKVYRNELGPAPVPLTVTGEVLNEEDMLFLEADTGSDEENQSESVIEDGDMDPDKRALKAKRVTRVELNRRARRKEQLRAEAEAKKVEKLSSEIDSLPDIIEEIEKEDAEKQKRHLRRAVAKQERLKSRPPRLGKHKFEPAPVQVLLSEEITGSLRKLKACCTLARDRFKSLEKRGLIVPSKKSSRK >CDP15201 pep chromosome:AUK_PRJEB4211_v1:2:49520882:49523450:-1 gene:GSCOC_T00042823001 transcript:CDP15201 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVDQKHEAVLVPIYGIMVPFHVATVKTVSSQQDTNRNCYIRIIFNVPGTPFNPHDANTLKNQGAIYLKEVSFRSKDPRHISEVVQQIKTLRRNVVARESERAERATLVTQEKLVLAGNKFKPLRLSELWIRPVFGGRGRKLTGTLEAHVNGFRYSTSRQDEKVDIMYGNIKHAFFQPAEKEMITLVHFHLHNHIMVGNKKTKDVQFYTEVMDVVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQNFVNRVNDLWGQPQFKVLDLEFDQPLRELGFYGVHNKSSTFIIPTSSCLVELIETPFFVVTLSEIEIVNLERVGLGQKNFDMAIVFKDFKRAVAKIDSIPCTALDGIKEWLDTTDLKYYESKLNLNWPNILKNIIDDPQGFIDNGGWEFLNLEVSDSESDQSEESDVGYEPSDVEPESESEDEDSGSESLVESDDDEEEEEEESEEERGKTWEDDDERRRRKMKGYGKSRAGPSSSAPKRGRFR >CDP15547 pep chromosome:AUK_PRJEB4211_v1:2:46560300:46561541:-1 gene:GSCOC_T00015424001 transcript:CDP15547 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMHNPRSQHGEIHVFFIMVFFILLSSSAVPRAEAQSNNNNNNGFQGPYSSFSPSMAIIIVVLVAALFFMGFFSIYIRHCSDPNSNNSVRNVLSMHPRRANPASRGLDQAVLETFPTFTYAEVKDHKVGKGALECAVCLNEFEEHETLRLIPKCDHVFHPECIDAWLESHVTCPVCRANLTPQPGDESSFHLPEMTTSENQESNGEGNVSVDPVQVVCEDPPERQETVRPQVSRNYSFDMRSSRAGSIRRPGRGVFGFGRFRSHSTGHSLVQPGENTDRFTLRLPEEKRKEMMNRALLNRTKSYAVPLPRDRSSRKGYGIGGGEGSSRGARSYRRVEPLDRDAQSDRWVFARVPSFFSRALSIRSPRVTADNGEGSSKTEKTTVKMPSFKCLEPKEVDETSLVASASARPPV >CDO99961 pep chromosome:AUK_PRJEB4211_v1:2:7449878:7452100:-1 gene:GSCOC_T00029693001 transcript:CDO99961 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLYSPAAVAGLASFLIFPGGGIRFLMLKLAITIHFSKRVLESLFVHKYSGGMMLDSVVIISSSYLFAAAGMIYIHHMTLEATEPLVDLKYLGLLLFLIGISGNFYHHYLLSKLRKKNEKDYKIPTGGLFDLVICPHYLFEILGLLGISFISQTLFSYSCAIGCAFYLIVRSYATRQWYLSKFENFPKNVKALIPFVF >CDP07680 pep chromosome:AUK_PRJEB4211_v1:2:24655690:24657261:-1 gene:GSCOC_T00025013001 transcript:CDP07680 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKHFSHVHGLVYQQLPQGTEVHCSGCKFPGSGCLYSCWQCSYFLHEQCFQASRSLKHPSHPAHPLTLVPYPTYPSNSFYCNTCNLVGNGFSYCCSECDFDLHVHCAFKPNATPLQATLSPAPNYHSYPNVGYGTQNFSPHPSSPVDQTAGYSFHNANFPSSYPASSETGSYYESIQHNASSNPPLHNSPMPMPQPSEPVRISLLSNPSKVQEVKHFSHQHALQLNDIKDTSPKVCSGCEDNLTGSAYSCVESQCNFHLHKSCFELPREIRHKSHLDHPLTLLAKPVSHYTDGQFACNACLQSGAAFVYNCESCSFDLHVECVSLPESIIRPDHKHPLKLFYSNPVPKEEGQQVTFVCDVCQKPVHEIAWIYYCHECDFGTHLECAVYETQPVQKTEEELVREAELKLAMLQLLMNGVRDTANVVNSSNVVYRATDKN >CDP16457 pep chromosome:AUK_PRJEB4211_v1:2:30825788:30829969:-1 gene:GSCOC_T00018379001 transcript:CDP16457 gene_biotype:protein_coding transcript_biotype:protein_coding MRITWVSGNDKPQEVQYGDGQSQTSQVTTFTQHDMCSSILKSPASDFGWHDPGYIHSAVMTGLNPSSNFTYRYGSDSAGWSGRITFRTPPAGGSDELMFIAFGDMGKAPRDPSLEHFIQPGSISVIEATANEVSSGYVDSIFHIGDISYATGFLVEWDFFLHLIYPVASATSYMTAIGNHERDYVSSGSVYITPDSGGECGVPYETYFPMPTAEKDKPWYSIEQGSVHFTVISTEHDWTEKSEQYNWMNNDMAAVDRSRTPWLISIG >CDP00137 pep chromosome:AUK_PRJEB4211_v1:2:5875780:5879881:1 gene:GSCOC_T00029946001 transcript:CDP00137 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G39760) UniProtKB/Swiss-Prot;Acc:O22286] MYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRTSLETSDYLKDDCLAMHCTVGVVRTRVEGPKQYTISVPPSDMGQNLKYLLESEIGSDITFQVGDEAFKAHKLILAARSPVFRAQFFGLIGDPNKEIVELADIEPSIFKVMLHFIYSDDLPDLHEITGSTSMCTSTIMLQHLLAAADRYGLDRLKQLCEARLCEEVSADTVATTLSLAEQHHCLQLKAICLKFAATNLGVVMQSEGFRHLELSCPSLLSELLETIASVDEKPNLMSSKKRSGSSIFGIDLVADGAAAESVNPNVRRIRRRL >CDP09320 pep chromosome:AUK_PRJEB4211_v1:2:23719323:23720865:-1 gene:GSCOC_T00028637001 transcript:CDP09320 gene_biotype:protein_coding transcript_biotype:protein_coding MHCHTWTSEVGTWREVKKNKIIKALRQWNQILFCPRFRTINQR >CDO97295 pep chromosome:AUK_PRJEB4211_v1:2:17922656:17923813:1 gene:GSCOC_T00014579001 transcript:CDO97295 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDVSSDHYKVASRKDFNLPNNHGSAEMVLDFSQGYSTTHFEEESSAYHLQDQYGFFHSSLSGNYLPENQWYGSRGWQR >CDO97419 pep chromosome:AUK_PRJEB4211_v1:2:18889566:18892787:-1 gene:GSCOC_T00014760001 transcript:CDO97419 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRLRYKFWKALELKPTSYFLVKSQPLVAIHYLASPLQSPTTEKHKKFETFLTREEFYSKSSGNIVLNPHIVQKTLIDCRSDVVALSFFLWCARQPDYFHDRAAFSHMVNVVSRLIQRFSTLGGLLEELAHVGCATKPQTLLLLLRIFWYGKMYELVFEAFEEMLRHGYTPNTFARNIVVDVLFKIGKVGAALKVLEETEVPNFLSFSIAVCNLCKLNDLINMRNVLRNMLRNGYSLNPETFLSVLNCYCKLGRLEEALQLLSLMITLGVPTSVSVWTVLIDGFCKPGQLDVASFLLDKMVQSGCSPNIVTCTSLIKGFLEAKMPNKAFKILSAMESRGCYPDLFLCNVLIDHLSKMGRYDEALDFFFNLQKQGLIPDPYTICSILSAICSSQEFLLLPLLISGLVIEPDLVMCNSLLNYFCKAGHPTGAIEFYNDMIDRGFKPDKYSYAGLLSGLCRSGRIHEAVNVYNGIVQEHLGLDSHLHTIIIDGLIKTGNFHSAIKFFRKAALQNFPLDVVSYTVAINGLLKGGRAGEAYNLFFQMKDLGITPNIYTYNLVLSGFCKDRDVKMIKGILQEMSDVGVEIDNSTLNLMKSFLYKWHCYRPVFELFVELWDSGLLPKKMYALLTDELGHGLLADDAPKSNLAKVPDAGIVGSDELCGLAASVG >CDP13880 pep chromosome:AUK_PRJEB4211_v1:2:4057537:4061332:1 gene:GSCOC_T00039010001 transcript:CDP13880 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIANTFVGLSEGMAKYGFLALSTAIVSFAILYLRSRFTVNPDKVYRMAMRRLNTSAGILEVMGAPLTGTDLRAYVMSGGGITLKTFKPRFRNKRCFLIFPIRGSERKGLVSVEVKNKNGQYDMKLLAVDIPMATGPDQRLFLIGDEEEYRVGGGLISELRDPVVKAMAASKEFEDRDDQEDEEDAERELQEAERKQREEIEKLEKVES >CDO97537 pep chromosome:AUK_PRJEB4211_v1:2:19789242:19790048:-1 gene:GSCOC_T00014912001 transcript:CDO97537 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYASIHYWLVDQPLVSQFEWKQGHTFASTPLFLTLTVLTYLTLTYALNRFPLLPTLSPNTLRLVTAAHNLILCLASLVMAVGCTLSTLHQMPHNDWTWAVCFPANHTPPRGPTFFWAQVFYLSKILEFIDTVLIILSGSRSRRLSFLHVYHHAVVVIMCHLWLSTSQTLFPVALVTNASVHVLMYAYYLLAALGQRPRWKRLVTDCQIIQFVFSFGISGLMLYHHFTGSGCSGLLGWCFNAVFNASLLYLFVDFHSKNYANKKKQ >CDP08830 pep chromosome:AUK_PRJEB4211_v1:2:52659278:52667245:1 gene:GSCOC_T00027938001 transcript:CDP08830 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCVFFFFNFSKKIRVSHKLNVEYAGVEEISAQSQPNAKSAAQDQPSAPSNALALPGPESIRDQPKGGGQNELVIGPSVQPKGGTEVAFSGKSSALVASHVSSERNLSTAAIMERIPSKWPRPIWHSPWKNYRVISGHLGWVRSIAVDPSNTWFCTGSADRTIKIWDLASGRLKLTLTGHIEQIRGLAISNRHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTLDIFMTGGRDSVCRVWDVRTKAHIYALSGHDNTVCSVFTRSTDPQVVTGSHDSTIKFWDLRNGKTMATLTHHKKSVRAMALHPKEESFASASADNIKKFNLPRGEFVHNMLSQQKTIINAMAVNEEGILATAGDNGSLWFWDWRSGHNFQQAQTIVQPGSLESEAGIYALTYDMTGSRLITCEADKTIKMWKEDETATPETFPLHFKPPKDIRRF >CDO99917 pep chromosome:AUK_PRJEB4211_v1:2:7794421:7796874:1 gene:GSCOC_T00029636001 transcript:CDO99917 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSGTQKKSTAEIIPSELKTPPVVKLYGPPNSTATFYIRFAILYKPVSLQYTPSEIVESPVLYCDSDVVSGSAEEIFRYLDGKFPEPALLVGRDGKHVNSFGWFDKTTPLVVWVVNLQHRSVMWHLERMVRWAEDLAARGGRTKGDAAMGSPRMEMNKFGRNYGQLMEVMLEHARMEERVVFPILDKADRGLSKAANEEHARDLPIMNGIKEDIKSIGVLDLGSPVYQEALFNLANRFKMLKNNCQQHFEEEERELLPYMEAADIGKVQQGKLLEQCLEAMRETHTHQFRFFLEGLLPQDAVHYLDMIIKSSDNNRVSLMLHLIVE >CDO96862 pep chromosome:AUK_PRJEB4211_v1:2:14063889:14065525:-1 gene:GSCOC_T00014023001 transcript:CDO96862 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSFVISFSISLPSIEPKFRPVYPLLKIIGSNLGKWVEDLECCIWLGHFFHFYQKFRTLTGRFPSERR >CDO97180 pep chromosome:AUK_PRJEB4211_v1:2:17091994:17097057:-1 gene:GSCOC_T00014441001 transcript:CDO97180 gene_biotype:protein_coding transcript_biotype:protein_coding MVESNRSETAPASANLVMEEFCSCELTRSNSEAASSLTVESSGDILFPSANARPDKCEAWTNEQHNKYLDYLEASFAQQLQRSRGFTAWCTEQNRSGKDSSKRLPVCVSKASEQFSVLQDGQWQKIIFDMDQPLSYISTVKIPRIHCERRAGKDSSHVSSDPKDYIKLRYEEEHLEGKRPFSSGSASNSEQNHIKTCYSNSFIKDSAEGSGQNFADEDCEHDPHTVSLAKRLKTVADDTSSEDQIVPSGKLFALGKSIINNKTLGGQAINEDSSKDFENWSLLNLT >CDO97323 pep chromosome:AUK_PRJEB4211_v1:2:18132704:18133195:-1 gene:GSCOC_T00014635001 transcript:CDO97323 gene_biotype:protein_coding transcript_biotype:protein_coding MESCRISLSLLCFLSSAFLARVLPTHAQNSPQDYLNVHNSARSQVGVGPMTWDTTVAAYAQNYANQRISDCNLVHSQGPYGENLAKGTGTFTGTAAANLWVAEKPYYNYTSNSCVGGECLHYTQVVWRDSVRLGCARVQCTNSWWFVICSYDPPGNYIGQRPY >CDP05184 pep chromosome:AUK_PRJEB4211_v1:2:2223333:2231035:-1 gene:GSCOC_T00020145001 transcript:CDP05184 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPTTTTTKWSPTSFQLRLAFTTRKSPPSVPFFRTRILKLDRRVRMFSVFADASAARNHNNKKAERGRSGDSWAVNPNSTADGFAGWTDGEQSQDSHPKHSLKGIVGAGVAGVVIVAGLTFAALSMGKRNTSGVKPQMEPLTATQQEVSLASDNHNDDNEEDTNDSKNETVDASSQESMTSVDKNVFSSSGKTEVTENKDGYDTNVGEPSSNGAFANKMPVQPDLQNESSINVTSVASEDLPNPPETKISGGSFATLGSESDSVNPVTEKPKPPSELQPEGEKSITNSSEKEIENSNSSSELSQDGYTTLGASLDSQLEGVSETKIMHDMGGETRSSPAYQDVGLEKTKEISAGGEKSSLALHDVTVTESSAALISDVSYPFSNEQLGNIYQNNTETKSSIELNGLGITFTSAGIPAPIVVSTSLKEAPGKVLVPAAVDQVQGQALAALQALKVIEADVQPGDLCTRREYARWLLSASSALSRSTVSKVYPAMYIENVTELAFDDITAEDPDFQSIQGLAEAGLISSKLSRRDMQSSLDDDPQPIFFSPESPLTRQDLVSWRMALEKKQLPVVDKKTVQQLSGFIDIDKINPDAWPAVVADLAAGEHGIMALSFGYTRLFQPDKPVTKAQAAIALATGEASDIVSEELARIEAESMAEKVVAAQNALVAQVEQDINATYEKELQQERERSGAVEKLAVAAKQELEKLRAEREEETLGLMKERAAVDSEMEILSRLRREAEEQLQSLMSNKVEITYEKERLSKLRTDAETENQEIARLQYELEVERKALSMARAWAEDEARRAREQAKSLEEARERWERQGIKVVVDDDLREEADVGVTWVAAGKESSLEGTIDRAENLVDRLKAMADVVRGKSRYTIEKIIQVVISMIDSLKEVIAKGGRQAGELKDIAVSKFGSSLQEAQQVSVQFTSAVKEGIKRVAGECKDGVEKITQKFKT >CDP08694 pep chromosome:AUK_PRJEB4211_v1:2:53774067:53774688:-1 gene:GSCOC_T00027749001 transcript:CDP08694 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMSGPLRRVMRMKMIPCLLLVSFHHARNKKCFMCSQPTLGLFNTAFEICRKSQLKGNDVTKPFALYIMLL >CDP05077 pep chromosome:AUK_PRJEB4211_v1:2:1375254:1378801:1 gene:GSCOC_T00020001001 transcript:CDP05077 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKLIALLLISASSLIVLVVSSPEICTVSACYPNEPTIRFPFRLQSVQPKECGYPGFDLTCDSTILITSLQLQNVNASPPQRFSVQAIDYASQEIWLNDPGDCLPQRLLNLNLSGSPFTAVSHQDFTLFNCSIHHRLHNFNPIACLGGSGYNIVATSSERASRILASSCSVVSTIPVPVQLPYHEEVWSSDLSEDIRLTWDSPHCGKCESRGGRCGLKSNSTDHIECKTQHAGLPRGARYAISVGAGVPTLLFVIGLVCFVCGRLKACRRQPYPVIEFSSTVARQPTLLSGLDGAIIESYPKTVLGESRRLPKPNDTVCPICLSDYKPKDTLRTIPACQHCFHADCIDEWLRLNAACPVCRNNPKQSPPALEPASAPASDNNV >CDP13903 pep chromosome:AUK_PRJEB4211_v1:2:4229754:4234434:1 gene:GSCOC_T00039040001 transcript:CDP13903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase IRK [Source:Projected from Arabidopsis thaliana (AT3G56370) UniProtKB/Swiss-Prot;Acc:Q9LY03] MNDDVLGLIVFKADVQDPQGKLASWNEDDESPCIWNGVQCNPRSNRVSELVLDGLSLADNNLTGSLTLSLGQLPNLRTLDLSGNSLSGPISSDFFQQCGSLRSLSLAKNKFSGQIPASLSSCSMLTSLNISSNQFSGQLPAGVWSMRALRTLDLSDNMLEGEIPKGIEGLTALRALNLRKNRFVGEIPDGIGGCIMLRSIDLSENSLSGALPGSMQKLTLCNDLSLQINAFTGNMPDWIGEMRSLEALDLSENNFSGGLPTSIGQLRSLKQLNISTNTFSGILPDSMSGCVNLLVLDVSHNSLSGNIPSWIFRLSLQQLIFSENRLSGTMDNAFAASMENSRQKVVALDISHNNLSGEIPPAIGVFSSLQVLNLSKNSLIGGIPSNIGELKLLDILDLSENQLNGSIPLEIGRATSLNKLILEKNFLAGNIPTSIGNCSMLTSLSLSQNNLTGPVPAAVAKLAYLQYVDLSFNKLIGTLPKQLADLGRLLSFNISHNQLQGELPAGAFFNTISPSSVSDNPGLCGASVNRTCPTVLPKPIVLNPNSSDSPPGSIPEKFGHEKKILSISALIAIGAAVVIVVGVIAITVLNLRVRSSASRSAAALTFSGGDEFSHSPSTDANSGKLVMFSGDPDFSTGTHALLNKDCELGRGGFGAVYRTVLKDGRSVAIKKLTVSSLVKSQDDFEREVKKLGKARHSNLVTLEGYYWTSSLQLLIYEFVSGGNLYKRLHEGSGGDYLSWNESNILIDGLGEPKVADYGLARLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLILEIVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEECVDGRLQGKFPAEEAIPVMKLGLICTSQVPSNRPDMAEVVNILELIRCPSESQDELG >CDP13828 pep chromosome:AUK_PRJEB4211_v1:2:33202256:33213750:1 gene:GSCOC_T00038914001 transcript:CDP13828 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVGGSFLSAFLQVLFDRMARPEFLNLFRNQKADVALLDKLKGALHPIGAVLDDVENKEIRSQHVKKWLEELHDAFYQAEDLLDKINTEALRIKVETEYQSSTSNWTKRRRKQIAILGLQVVHSGIQSHQQFEKPLVDETTIFGRVVDKEKIIQMLLSKDADRDNITVIPIVGLGGLGKTTLARMIYKDSKVTKSFPTRAWVRSDKLDSLQVKLQGGLTKKKFLLVLDDVWNSNYIQWDELRIPFKGRSRGSKIIVTTWNQQVARTMAKERSIHYLDSMLEKDCRSLFKKHAFENRDGNEHAELEEIGNKIVKKCGGLPLAVKTVAGILRSRKTPKEWEDILASEEWTQMHIPGGPMPALRLSYIHLPSHLKRCFAFCAVFPKDYQIRKEEIIQLWQANDLLGYPGENKRIENEGEKCFHELRMRSLFHQSTDHTFSMHDLVNDLARFVFGKYCLRLEDHQKGNATISGARHFSYHPTWYDTFHKFNLLRENKNIRTFLQLRTDPMKRLSNRFLEDTLPQFMSLRFLSLSCYENIVKLPNSYSGFKQLRFLNLSATGIKELPDWICSFYNLQTLLLSNCRQLEELPKNLGKLINLCCLDISGTPLKKMPPQMGRLINLQVLTAFVIGKDSGSTIMELGKLPMLRGKLILSGLENVSAGMDASMANMEGKEHLENVSATKPFPSLKNLTIWWLPEWESWHIPEGEVFNGLERLSIIGCPKLIGQLPQQLPSLQSLEISGCDNLFPLHPNQLSRLEELTVDACGSLSPSHVSRPPASLKSLEYKGSCNLELEISGGEGGGTLEGLILENCDTVKVKAEWLASFPMLKHVQIDNCKSVEMLSVAAAPAPGIGNQSGMTTTTTTTTTTTPSLTRLIISNCKKFMPLPQRMESVLPSLRSLSVFNCPEIECFSEGGFPSTLQSLDIDNCKMLMSRRREWGLEKLTYLTHLTIRGPCDEVESFPEEDWRLPCTLQDLCLDSLQILKVLNYSALQHLTSVQTLNIYSCPRLQSLPEEGLPASLTELHIANCPLLKPRLEWKKGQDWTKVTHIHRLVVDGQPIP >CDP05046 pep chromosome:AUK_PRJEB4211_v1:2:1124510:1129611:-1 gene:GSCOC_T00019963001 transcript:CDP05046 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTSTASVTLPREPAIYDEASMQQSMVFSDSLKDLKNLRKQLYSAAEYFELSYTSDDQKQIVVNTLKDYAIKALVNTVDHLGSMSYKVNDLLDEKVDEVSGTELRVSCIEQRLRTCQDYIDREGLSQQSLVINTPKYHKRYILTAAESMNGVNHLKLHQQRCSLDDEDDWHQFRNAVRATIREIPSSAVRNGRSPSPSPRLSQQPGNFSFSGTFPGKESEKRTVSPHRFPLLRTGSLASRQTTPKKSRPTSPNPTRPTTPNPSIGRQFPAEARKSASMRFHAERGSPKDPRDTDHNSSKSKRLLKALLSRRKSKKDDMLYTYLDEY >CDO99496 pep chromosome:AUK_PRJEB4211_v1:2:11965827:11967367:-1 gene:GSCOC_T00029094001 transcript:CDO99496 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDTTWEQRIHALTHIITDPTTTPPLHSQFFIATQVPCYIHWDYPPILCTKPSSTFPSLHLKWAISLFLNKVSRLGLPRTSWRSKCPFQLPPPIILAKGVEEAQWGDAEKREYVRKRLRRKRLGSNVHPLIPILVPNLLLFALLFWDPVPEHTL >CDO97283 pep chromosome:AUK_PRJEB4211_v1:2:17828190:17834099:-1 gene:GSCOC_T00014563001 transcript:CDO97283 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISKSSESSLVSASDACCSELKPWESYHTAYTNAKAGMEGVDKERVQRIVYEMSKGSKYFENEERKEACMMQKIENMRARSAKLTAADLSHYQKVADKKILELETHRDLSRIWLHVDMDAFYAAVETLCNPALQGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPQLIFVPVDFKKYTHYSELTRKVFYEYDPTFLGASLDEAYLDITNVCQERGITGAEVAEELRKNVYNETGLTCSAGVAPNRLLAKVCSDINKPNGQFVLQNDHMAVMTFISSLPIRKIGGIGKVTEQILKNVFGITTCEEMLQKNFFFSVALGLGGTDTPQIRFRKSISNERTFSATGDEELLHKKIVDLAEMLSADMRKEGISGKTLTLKLKTASFEVRTRAVTLPNFISSSEDILKHASKLLKAELPVSLRLIGLRMSQFSDDKNDVPSDPTQRTLSNYVIPGGPSRSNINDSMQLGSEISDSAFSIDTDGDFPIDSHERCSESVDASNYDQMTNVPVGNCILGQKTEEVWSNVELTTNEVTENDNEPSIVGKSVKPFANGDSLPVKRDPFLGGFDGSRGHRSENRIHHEDLNAGFSSHQRVSFFWAEDYKCSICGVELPPSFVEERQEHSDFHLAERLQEEESGDNVTSLTVKPSAYWSSVLKSSMCARLVQREHTIKDRKTRKKQKPSPAGDKHVPIDVYFAKTSQNF >CDP08825 pep chromosome:AUK_PRJEB4211_v1:2:52685890:52691452:-1 gene:GSCOC_T00027932001 transcript:CDP08825 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESSFVHGISRFLLKQTRRIDSESPYRLLHCSSKGDKKGVVQELEKGVEPTLADYDKRTALHLASCEGYIEVVVLLLERGADVNSTDRWGRTPLSDARSFGHADICKILEKHGGIDPVISKLISGPVALDSQMRGYEIEYTEVDMTEAPLLGEGAYGEVYLVKWRGTEVAAKTIRSSIASNETVKKTFLKELALWQKLRHPNIVQFLGVVKHSDRLTFLTEYLQNGSLYDILRKKGRLDPPTAVAYALDIARGMNYLHQHKPHPIIHRDLTPRNILQNEARHLKVTDFGLSKIVQEKNALGYKMTGETGSYRYMAPEVYRRETYGISVDVFSFALVVHEMFQGGPSSREEAPEEVADKRAYEDLRPPLSSFVYPEPIRRLLRDCWHKNPDCRPTFEEIILQLEIIQENMQGGKPLGSCYKCVIL >CDO96896 pep chromosome:AUK_PRJEB4211_v1:2:14654903:14666075:-1 gene:GSCOC_T00014074001 transcript:CDO96896 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFDDQLPQSFQKWTKLKAFNIRGNAISKSIPPYIFEWYKLERLVLMGNNFEGHLPNKILGLPKLTYLSINNLPGGKTGVLFPDIHIMESLSFLTLRNCSLTGPIPDYIWRFTNLFYLDLSFNNLTGIIPPDLNQFQQQFVFLRSNNLSGTVPGWLTSLSNSNSYVDVSENSFTNVNLTNGNISSNLNLFECCSQYNDTTVTMTHKLSKWQQAGYHCDNDTQIYDHLYINCGGNATSVDDRDYEADTQSYGGSTFFLSTNKTWAYSSMGTFLETDDDEFILNKTCNISNSDASLYSNARIAPISLKYYGFCLKNDTYTVKLHFAEIGWDTNTSSTIRKRVFDVEVQGGQYYLRDFDIQKEAGDVNKFNVSVNDSRLEIHLYWTGKGSTYNPTKYYGPSISAISVYPVPREPEPVPSNHKISSSVIAGIVGSALVFGILILALSWALFRIRLRKLRDPGFKNFDFNKLNAATNGFDRCKLIDVAGNVYRGELDGIQVAVKRLSEKSEEGAHEFITAIGTISALKHPNLATLVGSCAEQNLLVYKYMENVSLQHALFGPAEVKSELNWETRYKICLGVAEGLACLHESKQVIHCNIKPTNILLDKDFTVKISDFEYSQFHDSKHVDARPNKDETGLGSMPKPKMTGHMAPEQEKGNRLTPKADVFSFGIITLEIVSGQEICPLGSKDSNDYLPVKAYKHQVEGNLTALVDPDLKSSNYEPDEAHTMLCLAMTCVNPTADDRPTMSSVVKTLKDSEKFGGKKKNLL >CDO96995 pep chromosome:AUK_PRJEB4211_v1:2:15604210:15614385:1 gene:GSCOC_T00014202001 transcript:CDO96995 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIEAEMVTTRVSDVESNAKIDDSVSVPQDEEDEDMVAEEGSALAETELETETDVGESSKAAGEKRKRGKNSKNLTNSKIGGRAQKLMDEDVCFICFDGGDLVLCDRRGCPKAYHPSCVNRDEAFFRAKGKWNCGWHICSTCQKNAYYMCLTCPFALCKGCVKDAVFLCVRGNKGFCETCMRIVKLIESDDKDTNNVQIDFDDKSSWEYLFKDYYTDLKSKLCISSAEIAKAKNPWKGSDVSAVKEGSPEAAVVTCNAGGSGTEYSGENPEASKPKRKKGKKRLRSVANEEESAGAVVRGGGEDISSPGKTEWASEELLEFVVHMKNGDKSVLSQFDVQALLLEYIRRNKLRDPRKKSQIICDTRLENLFGKPRVGHFEMLKLLESHFLIKEDAYTEDNQGSVVDTEVNQLDVDENAETPTKGNKERKRKIRKKVDNRGTLANRYDYAAIDIHNINLIYLRRKLMEDLIEDVDEFQHKVIGTFVRIRISGNTQKQDLYRLVQVVGTSKAAEPYKVGKRTTDMMLEILNLNKTEIVSIDTISNQDFTEEECKRLRQSIKCGLLNRMTVGDILDKAMEIQAARVNDWLESETLRLSHLRDRASDMGRRKEYPLLFQKTSWGLELGYMRECVEKLQILKAPEERLRRLEEIPKIHSDPKMDPNHESEEDDSDTDDNRREVFQKTSNSGFTRRGRAPISPRSDYSPKDSHNGGNFSDKNREPNRTIFSKNLSIASEDASDNQSGSMVNEDIWNQGREKNREELNNLVNLSQHTKSDIAGFNSMASVNLSVSLPAKEAETVVKINETQKAWHYQDPSGKVQGPFSMAQLRKWSNTGYFPADLRIWRAIEKQDESILLTDALAGKLHKETSGDSKFVAASGKTSETSLLLSRENSFGERSNGEQHREAQTFHLDISKGLIAPPAEVPKLSTEKWTRTNLSNLPSPTPKHGNTVETGEHGGTLIGGTSYAGGIQSPAAALPQLGNLPSVHGSVLNSREQLMNSLENDSVVSGIRFGQATNSEQNIVGSVNSSQIPSLAATGEPRTLEEHGHHPAQTNGSHPIQSGNNQTPRIESHGWLGPPTQKVEPSNFVPMPGQSHGYGPWGVISPQAQNPTGNFATTGAPALPQPEFWGPPAQSNQPNMQTPAMPNLAWGTGLIENNSSASVLRSENSSTGWAPVQTNPNMGWTGVAPGTTNISWGATVQVPAPGSANHGWASASGNVGSSVQGQMPSNVISGWVAAPGNSGVQGMVLGGANPGWIAPGGNVGSAVQVPVPGNGWAMQTGNQGAPVQVAPSGNTSQGWGAPPGNQGTWGSSGQKDKGSQVGDSGFGDSRPKGPQRTSTRSLQCSQRRTVSIQYEWEV >CDP09308 pep chromosome:AUK_PRJEB4211_v1:2:23959326:23964252:-1 gene:GSCOC_T00028618001 transcript:CDP09308 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFHFDDIIEVQDVNVEGKKYDKVSRVDAKSEDGEIYMQLDVNSELYPMHPKEKYRMVLSETLNLDGTAVTSHSEAKQKSLADKFEYVMHGLLYKISEEKAKAGVKVVVYISFGGLQLMVRGAPIKMHRYKVDQRLFLLLRKI >CDO97136 pep chromosome:AUK_PRJEB4211_v1:2:16714870:16726121:-1 gene:GSCOC_T00014381001 transcript:CDO97136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 77 [Source:Projected from Arabidopsis thaliana (AT1G17760) UniProtKB/Swiss-Prot;Acc:Q8GUP1] MTTTDKYNVEAAELLANEALHLPISEAVPVYEQLLATFPTAAKYWKQYVEAHMAVNNDDAVKHIFSRCLLNCLHIPLWRCYIRFIRKVNDKKGIEGQEETRKAFDFMLNYVGADIASGPLWMEYTAFLKSLPTQNLAEETQRMTSVRKAYQKAIITPTHHIEQLWREYENFENSVSRALAKGLLSEYQPKYNSARAVYRERKKFADEIDWNMLAVPPSGTSKEEMQWIAWKRFLAFENGNPQRIDSASANKRIAFTYEQCLMYLYHYPDIWYDYATWHAKSGSVDSAIKIFQRALKALPDSEMLKYAYAELEESRGSIQASKKVYESLLGDDGNATALSHIQFIRFLRRTEGVEAARKYFMDARKSPNCTYHVYVAYAMMAFCLDKDAKFAHNVFEAGLKRFMHEPSYILEYADFLSRLNDDRNIRALFERALSSLPPEESVEIWKRFTQFEQTYGDLSSILKVEQRRKEALSRAGDEGESALNSSLQDVISRYSFMDLWPCSSKDMDHLTRQEWLSKNISKKIEKPTPANGVSSVDKRSSAVSTTSNSVKVVYPDTSKMVVYDPRQKIGLTGPLQPGVAVALPPNDTMGAAGAPNVLKDILKTLPPALATFVANLPAVEGPSPDVDFVLAICLQSNIPLMPVRAANSTKLQTGPAPSTSDLSGSSKFKPTRDRHAGKRKDVDKQDDEDSTTVQSQPLPRDAFKLRQLRKARGASSQTGSASYGSAFSGEMSGSTG >CDP13870 pep chromosome:AUK_PRJEB4211_v1:2:3996888:4001043:1 gene:GSCOC_T00038999001 transcript:CDP13870 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLFSFLLLLSVLVSLKNLSSWVLLGMLLIGLASYFLNVLWLKPKRLLWKLQRQGIRGPCPSFLYGNVQEMQKIQAKAMKAANFGEFVAHDYTSTLFPYFEQWRKEYGPIYTYSTGNKRHLYVNHPELVKEMNLSTSLDLGKPSYVTKRLAPMLGTGILRSNGHAWAQQRKIVAPEFFSDKVKGMVGLMLQSAEPLMAKWEASIEAQGGTTAEIRVEGDLRGVSADVISRACFGSSYFEGKMIFSKLRTLQKVIANQSVLFGIPTLGFFPSKQQKEIQSLEKEIESLIWETIAQRGEECSQEFPSEKDLLQLILEGAINDVGKNSSKKFIVDNCKNIYFAGHESTAVAISWCLMLLALHPEWQDRIRDEIAQVCPDGSIDADSLPKMKMVKMVIHEVMRLYPPAAFVSREALEDAQIGHLLVPKGVCIWTLIPTLHRDPEIWGSDANEFKPERFANGISGACKLPQVYVPFGVGPRLCLGRNFALVQMKVVISLIISKFTFSLSPKYRHSPAYRMIVEPGQGVQILIQRYERASSM >CDO99541 pep chromosome:AUK_PRJEB4211_v1:2:11470256:11470588:-1 gene:GSCOC_T00029168001 transcript:CDO99541 gene_biotype:protein_coding transcript_biotype:protein_coding MITETPKIPHCTAYLSTDSSHCNLQTPRNSGTTLGTPCVLARASLAVAHIIPAPAAKC >CDP05337 pep chromosome:AUK_PRJEB4211_v1:2:3418743:3419733:1 gene:GSCOC_T00020342001 transcript:CDP05337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11B [Source:Projected from Arabidopsis thaliana (AT3G47430) UniProtKB/Swiss-Prot;Acc:Q9STY0] MNDTVDKLVIFLAKRDGIDKLVKTFQYVSKLVHWHVEATRPEIAQRAKQWEVASGLSRKAFRSGRFLTGFNALRRNPGSTPTLKFLAVLANGGEMVYFFFDHFLWLARIGVLDGKLARRMSFISAFGESFGYIFFIVSDFILITEGIRAERKLRVVKEEGSKDAKENTSSGIMKIRVDRVMRLMAVAANVADLVIALADIEPNPFCNHAVSLGISGLVSAWAGWYRNWPS >CDP18375 pep chromosome:AUK_PRJEB4211_v1:2:43146533:43164653:-1 gene:GSCOC_T00012955001 transcript:CDP18375 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKQSGRNRGGGGGGTAAATEVSVEELARVPLQAILLADSFATKFRPITLERPKVLLPLVNVPMIDYTLAWLESAGVEEVFVFCCAHAKQVAEHLENSRWFAQPNFSVTAIESNNAVGAGDALRLIYEQNVIRGDFVLVSGDTVSNMSLAVALGEHKERRRKDSNAIMTMVITQSKTSPTTRQSRLGTDELFMAIDPDTKQLLYYEDKSDKGTVSLDKTLLSDHPSIYLHNDKQDCYIDICSPEVLSTFADNFDYQHLRRHFLKGLLDDDIMGYKIFTHEIQSNYAARIDNFRSYDTVSKDIIQRWTYPLVPDVQFFGNSSTKLDRQGMYRASVVGQSRSAQVGPFTVIGSGTTIGSQSQISNSVVGEGCKIGANVSIEGCYIWHNVTIEDGCQLKHAIVCDGVVIKSGVILEPGVVLSYKVVVGQQFIVPAYSKVSLYQQPIKQDSDEELEYADSSTGFMDASSLSGLPDKLKEESTIQLSESQLQAASEVGSGGVGFIWSTSEGSHEEEWRHSVAPIPPAKLLEIMHTSNNELEVVQQDGNLPPSGELERDSVTANSNDYDDDDVDVKDDYDFFENEVEATFKRALEENIKEDDVILEVNSLRLSYNLATADCSGALFYSMMKSALSAPHPSPSDLVKNAATVITKWEKLLKYYLPSIDEEIEVILKFEEMCLESAKEYSTVFVQILHLLYDKDIIQEEAILNWASEKEGADETDKLFVKQAEKFIQWLNEASEEED >CDP08701 pep chromosome:AUK_PRJEB4211_v1:2:53714550:53715794:-1 gene:GSCOC_T00027760001 transcript:CDP08701 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-like transcription factor TCL2 [Source:Projected from Arabidopsis thaliana (AT2G30424) UniProtKB/Swiss-Prot;Acc:B3H4X8] MTEQEEDLIYRMHKLVGDRWALIAGRIPGRKPEEIERFWLMKHSEGFANKQREEPKDHSKINSSSV >CDP07689 pep chromosome:AUK_PRJEB4211_v1:2:24765243:24769177:-1 gene:GSCOC_T00025027001 transcript:CDP07689 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGRKRERPDGAFTGNGGYKKSRDELESFTTGVGSKSKPCMKFFSTSGCQFGEGCHFLHYVPGYSAHSQLANLGANPAVPGGRNVPFSDGPTLTVKTKLCTKFNTPEGCRFGDKCHFAHGDMELGKSLAPGYQDPRSMGPMGRLSGHFEPSPPGLGAAASFGTSATAKISIDASLAGAIIGKNGVNSKHICRATGVKLSIKDHETDSNQRNIELEGSFDQIKQASGMVRELIANIGGPTSRTSNTSGSFGRGVPPARQYKSKMCENFPKGSCTFGDRCHFAHSASELRKPPT >CDP05204 pep chromosome:AUK_PRJEB4211_v1:2:2396121:2397347:1 gene:GSCOC_T00020168001 transcript:CDP05204 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVVRVMTMHDDKTKQKAIEAAADIHGVDSIAADLKDQKLIVIGQMDAVAVVKKLKKLGKVDLISVGPAKEEKKEEKKEEKKEEKKEEKKEEPPKEEKKEPKKEAKKEESK >CDP05037 pep chromosome:AUK_PRJEB4211_v1:2:1052243:1057469:1 gene:GSCOC_T00019950001 transcript:CDP05037 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCFSLLKFKLAFLEPTQCSIYPLCFLAFFFFSFKICFVVQTANLYNVFRDSMAMEVTQVLLNAQSVDSTVRKHAEESLRQFQEQNLSVFLLSLSGELAGEDKPVDSRKLAGLILKNALDAKEQHRKYELVQKWLALDVGVKTQIKTCLLQILSSPVPDARSTASQVIAKIAAIELPQKQWPELIGSLLSNIHQVPAHVKQATLETLGYLCEEVSPDVVDQDQVNKILTAVVQGMSANEGNNDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLSPDVKIRQAAFECLVSISSTYYEKLAPYIQDIFNITAKAVREDEEPVALQAIELWSSICDEEIDILEEYGGDFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKSEWRQREAATYAFGSILEGPSPDKLTSIVNVALNFMLTALTNDPNSHVKDTTAWTLGRIFEFLHGSTVETPIITPANCPQIIRVLLQSMNDAPNVAEKACGALYFLAQGYEDIGSASPITPYFQEIVKSLLSVTDKEDAGESRLRTAAYETLNEVVRCSTDETAAMVLQLVPIIMTKLHQTLEAEKLSSDEREKQNELQGLLCGCLQVITQKLGASEPTQYAFMQFADQIMNLFLRVFACRSATVHEEAMLAIGAVAHATGPDFAKYMPELYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEDTVLPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLMYAMPMLQSAAELSAHTSGADDEMIEYTNLLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDSIYMEKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQLSLSCKEFLNECLSSDDHLIKESAEWAKMAISRAISV >CDP08789 pep chromosome:AUK_PRJEB4211_v1:2:52995346:52999574:-1 gene:GSCOC_T00027887001 transcript:CDP08789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 34 [Source:Projected from Arabidopsis thaliana (AT4G00350) UniProtKB/Swiss-Prot;Acc:F4JH46] MHVLDIESPILPKGPSSQEAVLHEAPSAVLVNGETGGDYPPVRRFEDAMNICYVESLKLWSIAGPIVFNILCNYGINSFTNIFVGHIGDVELSAIAISLSVIANLSFGFLFGMSSALETLCGQAFGAGQVEMLGIYMQRSWIILIGACFSLMPLYIYSTPILKLLGQRDDIADMAGTFSMQIIPQMFSLAINFSTQKFLQAQSKVGILAWIGCVALIIHIGALYLFISVFKWGTAGAAVAYDVSGWIISLAQVVYIVGWCQDAWKGLSWLAFKEIWSFVKLSVASAVMLCLEIWYFMSIIVLTGHLGDPILAVGSLSICMNVNGWEGMLFIGINAAISVRVSNELGSGHPRGAKYSVCVTVAQSLLIGILCMVAIMAAKNHFAVLFTSSEKMQKAVADLAYLLGATLVLNSVQPVISGVAVGGGWQGLVAYINMSCYYVIGLPLGYLLGYNAKLGVQGIWMGMIFGTFLQTLVLCFVVWKTDWNEEVAHASERMRKWGGIVEECESR >CDP05304 pep chromosome:AUK_PRJEB4211_v1:2:3166320:3168862:1 gene:GSCOC_T00020300001 transcript:CDP05304 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLSDDQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKAIINEEKLNAAFDFNRFLDLMSKHLKREPFDRQLRDAFKVLDKDGTGFVVVSDLRHILTSIGEKLEPAEFDEWIREVDVGSDGKIRYEDFIARMVAK >CDO97280 pep chromosome:AUK_PRJEB4211_v1:2:17819898:17820494:-1 gene:GSCOC_T00014560001 transcript:CDO97280 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGNQVQAVDYDHSYTPEASSSLAKPLKPQHNGGQVINAELNNGLKGWSSYGGAKIETREYGGTKFIVALSESGYSMHNFLHISDIGRCLIVAWLQLSNRSSNVAAVVSKHQMIPIMLVGLLLN >CDO97490 pep chromosome:AUK_PRJEB4211_v1:2:19434306:19439440:1 gene:GSCOC_T00014844001 transcript:CDO97490 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHVICALSLTCINFYLALIYLDGSELTIMIFLISYHGSGLAFNITLWKPDQLKLDEAKYPLEHFKTFNEFFIRELKPGARPIAHMECDDIAVCAADCRLMAFKTADDSLRFWIKGRKFSIRGLLGNEACSSAFIEGTLVIFRLAPQDYHRFHSPVSGTIEMFVNIPGCLYTVNPIAVNSKYCNVFTENKRVVCIISTADFGKVAFVAIGATMVGSITFSKKLGEYVQKGDELGYFSFGGSTVICVFEKVRIKIDEDLLENSERSLETLVSVGLQLGISTKKKSDVGSSNMEKLVLQA >CDP08776 pep chromosome:AUK_PRJEB4211_v1:2:53075016:53080548:-1 gene:GSCOC_T00027871001 transcript:CDP08776 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKMLSASHGGGAKATNIIRGAIVGLCIIVFLGYIMMWCIMPTDTYYNKWVPHIMASTNSTYFGLQGPIMLDFTFPILFIAVMGCIYTHLGKGMESSDKKKDPFKKLRRPMIIKGLGIVSWIELFFFAMFILLCLWYFSSFMHFWYEKINMEAMSRGDKLWQAKLERFALVIGLAGNVCLTFLFFPVTRGSSILAFLGLTSEASIKYHIWLGHLSMTMFTAHGFSYLIYWALTHRLSEALKWDEMWDQTYVNNIAGELCLLSGLVMWSTTFPSIRRRMFEVFYYTHNLYILFVIFYILHKGLFFICIMLPGMYLFVIDRFLRFLQSRQKVRLVSARVLPCETVELNFSKSRALNYTPTSTMFVNIPIISKLQWHPFTVTSNSHLEPDTLSVVIKCEGSWTKKLYDLISSPSSVDRLQASIEGPYGPPTTHFLRHDMLVMASGGSGITPFISIIRDLMFMSSARKCKTPKLLLISTFRNSSHLSMLDLLLPVSGASAGSCCNLDLQIEAYVTREKVQPLEKAEPARIIRFRPLPSDSPISPNLGKNSWLWLAAIISSSFVIFLLILGIITRYYIYPIDHNTNKVNLMTQRTVLTTLIICFSIAITATAAFLWNKNQNVKETKQIQDLEDSTTGGSSGSMHDAADRELESLPHQSLVKSMKVHYGARPDLKRILLQSKGSSVGALVCGPKQMRHDVAAICSSGLAENLHFESISFSW >CDP08875 pep chromosome:AUK_PRJEB4211_v1:2:52172075:52177828:1 gene:GSCOC_T00027995001 transcript:CDP08875 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLKSIASGRSSVSDPSGDSGIKRVKIEQEADWRVGLESESVEKCTTASEQNMASTSAEPAASTSKVVARPVKSGYDELPREMHDMKIRDDKSDTHEDTMKDLEPAIVSGNGTETGQIIVTTAGGRNGEEKQTLSYMAERVVGTGSFGVVFQAKCLETGHSVAIKKVLQDRRYKNRELQIMRLLDNPNVVQMKHCFYSTTEKNEVYLNLVLEYVSETVYRVSRHYSRMNHHMPTIYVQLYTYQICRALNYLHSVIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVMAELLLGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYSEFKFPQIKAHPWYKVFHKRMPAEAVDLVSRLLQYSPSLRCTALEACAHPFFDSLRDPNACLPNGRALPPLFDFTPQELSGASTELRDRLIPSHARQ >CDO97214 pep chromosome:AUK_PRJEB4211_v1:2:17351215:17353834:-1 gene:GSCOC_T00014482001 transcript:CDO97214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress enhanced protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34190) UniProtKB/Swiss-Prot;Acc:Q9M7I9] MALAQVSNCLYTSVRDVCVSNPVRISSAARIPISGVAKFGTTFASGSPLPVQRSSYSTKAASKATSVSIKCEQGAKGGNSVDVWLGRFAMVGFAAAISVEIGTGKGLLENFGLTTPLPTVALAVTALVGVLTAVFIFQSASKS >CDP09450 pep chromosome:AUK_PRJEB4211_v1:2:22261088:22264619:1 gene:GSCOC_T00028822001 transcript:CDP09450 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEELKEGESDREEGQGCGNYREGRIVVLDAKRALVGAGARALFYPTLLYNVVRNKIESEFHWWDKVDEFILLGAVPFPADVPRLKELGVCGVVTLNEPYETLVPTSLYHDHDIDHLVIPTRDYLFAPTNDDICQAVDFIHGNASRGKTTYVHCKAGRGRSTTIVLCYMVKHKDMTPKVAYEHVRSIRPRVLLASSQRQAVENFYIHLKRTENDISVVDRSLISILGFPATLDFSAFDDGSVVLVTKSDLDGYDESHESGVVGKSIMAESNLACRFQFVSQAAVARLSCLWVRSQTGEKVSKKLGSSMTSSQLQSIGVDIHVY >CDP15164 pep chromosome:AUK_PRJEB4211_v1:2:50015161:50016733:-1 gene:GSCOC_T00042764001 transcript:CDP15164 gene_biotype:protein_coding transcript_biotype:protein_coding MHLITSASLSMVLYTAVKLKLFEIIAKAGPGAKLSPSKIASVLLKTKNPDASSMLDRMLQLLSSHSLLSCDVVEVADGGAGGKNDVGYERVYGLSPVGEYFVPDEEGNSLAPTLELVQDKVLMDCWYELGNAVLEGGIPFSRVHGTHVFDYCSRDPRFTDLFNKGMVGPTVITMKELLHQYKGFENLQTLVDVGGGLGMSLHKIV >CDP09316 pep chromosome:AUK_PRJEB4211_v1:2:23831793:23834959:1 gene:GSCOC_T00028630001 transcript:CDP09316 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFHLVLLNFDQVLLSSGFKSSDLYSHGFFSAKMKLPSDYTAGIVVAFYTTNGDVFKRTHDELDFEFLGNIRGKAWRFQTNMYGNGSTSRGREERYYLWFDPSREFHRYSILWTTKKIIFYIDDVPIREIIRNQEMGADYPSKPMALYATIWDASDWATSGGRYRTNYKYAPFIAEFTDLALHGCAADPLEEIFSSSCTEQDDQLDSASYASISPQQRMAMKNFRHKHMYYSYCYDTLRYSVPPPECLIDPSEKLRFKETGRLKFEGRHRHRRSKRSRSQDIGARNYGNEDED >CDP09318 pep chromosome:AUK_PRJEB4211_v1:2:23804325:23805824:-1 gene:GSCOC_T00028632001 transcript:CDP09318 gene_biotype:protein_coding transcript_biotype:protein_coding METPAVQYLSECFIRPKYTPEESKQPVYLAPWDVVAVFMHYMQKGFVFAKSLAFDTDENQIQEFVQKLKESLSITLVHFYPLAGRLATQKKEENPSDLAFYIDCSKGPGARFVHASLDLTIDDITSPTDIPRIVGSLFDHGRSVNYAGHTKSLLTIQITELIDGIFIGCSMNHMVGDGTSLWHFLDSWSEIFKAEGRTNNHTIRERIFHFSSESVAKIKAKANAERNTNEISSLQALSAHLWRCITRARNFPLDRQTAFFTIMNVRSRLVPPMSQDCFGNYLEPAMATTGCGELLEQGLGWAAWLLHQAVINHSNEWINSWLQTPILPRRADEPDPNTVLLGGSPRFNMYGTEFGLGKPVAIRNGSGNKFDGKLIVSPGAEGGGSMDFEICLVPHAMTSLECDREFMETVS >CDP08589 pep chromosome:AUK_PRJEB4211_v1:2:39752872:39753393:1 gene:GSCOC_T00027584001 transcript:CDP08589 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRITLRPFRLSDADDLLLWAGDDRVTRSIRWKTLASKEEALTFIGEVCIPHPWRRSICVDDLSKGFVSVFPGSGNERCRADIGYALAVEYWGQGITTEALKLAVPQVFKDFPEVLRLQAYAAAENIASQRVLEKAGFLKEGTLRKYSYLKGNLCDLVVYSFLSTDINPSV >CDP08655 pep chromosome:AUK_PRJEB4211_v1:2:54095168:54098428:1 gene:GSCOC_T00027695001 transcript:CDP08655 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSTSTTSTPLLSDDVTQPDIKQLNFTQTNAAMSFEDAVTDAIDDVTSAPDEQPLSAAVVFGCQAEEKVKKKVKMQGGNSPENVTAGGDANQFTSTVVMMSAGNGRPTSGLKPSPSVGTSLESLAKSEADQNDNVSPWIMGEAWERFYKSFVYYKDKPVGTLAALDPSADALNYNQVFVRDFIPSGLACLMKPSKEGLDMVKNFLLKTLHLQGNWEKMIDNFTLGEGVMPASFKVQYKPGLQKDVLEPDFGGTAIGRVAPVDSGFWWIILLKSYTKCTGNHSLAESPAAQRGMKLILNLCLADGFDTFPTLLCADGCCMIDRRMGMYGYPIEIQALFFFALRCARQLLIPEHGNKELIERIDKRITALSYHIQKYYWLDFIQLNNIYRYKTEEYSHTAVNKFNVIPESIPDWVFDFMPLRGGYFIGNLSPSRMDFRWFLVGNCIAILSSLATPAQATAIMDLIEERWSELIGDMPLKISYPALEGHQWKIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIAKKAIELAEQRLARDGWPEYYDGKTGHFVGKQARKYQTWSISGYLVAKLMIENPSNLRFISLEEDKKIAQPRLNRSASWSS >CDO97522 pep chromosome:AUK_PRJEB4211_v1:2:19683848:19699789:-1 gene:GSCOC_T00014893001 transcript:CDO97522 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MNSAAESSLLSKLQSSADLADIYTLFSSYLHPFKPHLAPKKPSSRPPKTLETTTIRSLAKQFLPFLNNSISFLPKRLSSEAQATNPNKISRDSAFKLFDVYRLCLNCLHLVSSQLECKTSAIYLQQLRLVHCLEAWELYKEAETECFSVLDGLRGINSGKSGAKFKKLLLPRLDEEDADQLFAGLVVETVVRLTKCALVNQSKDGNDYKRVIALIHEATPWFDVLDGNAYEKWHKVLLGHISKIAVKLVEELASFDLELVLEFCDVTFSVCKRSQDQVYKLALRICCCLFSKKSCQLSYITGILNFVLSTLASDCKLNVDYTIMEFLEIVHYCAQRCQNASPVVCSAVAEQLNRLAGSQAIPLIGSTMALYSAGLLIFSFDCQSRIDESTTRRSTGFFLDDEEMLQQLPIYLDLMKEFFDIGGSRNGLSKKQISHVLCYCNVLKFLCQPLASFIVKERKEIFAVRNHAIFITNLKIIQDVFNQFCYICRHNVSVNCSSDDNTKVITHVSVATFALSLKTGYHIKESENLVKYVASSDWIEADGFKYLFASLYNVAVVLYRNKQMKEASKALTLSCKASWNHVVYHCKSSEFRGDQSGHCFRAFVDGAMNLVNDASEKTAFLLDLLFENNQCKINRQLKDSLEKWCIAEYMFKSLPPPLALVRRWVKIQNKLCKNLSEHSAPTLYCLLSSSVNVSERALGVLLEQELVAYKAKSAVDPRFCLKMRMKIIGILLEKIYVSSDTYVQRSRILITKGEEYRELGIESLDECIQCLCDAILLLRDFCDRNSKYDGQALHLMACAYCLRALSAQEKIEANSKVYFEDIHAAVNLWLHRHQSIASNHCNTLEDSMLKLLYHVLDFLAIKGYMEIHADIYEIIIQLFKWKKLPLEEVLIMLWRSRRVGHAICASPISETLVSKLSQHYGKVSEFIGYWIKCMQPSHALLVGFKQRFFSTLPVSAQNSFELESLEITDDEIKSVASDPTFHVPESSTGCFLSAHLYYDLSERLIMQGQVVEALSYAREAYQCRTKLLREKFLYSVKNQAETNHENGDGTQKHCYSLENFQMHNLVATEAWNYGAPCDFESFVLTSWNILQCYLESTLQVANIHEILGEALEAEALLLWGKDIAIRQCLPLFVISFTSMLGKLYHKRMLWELAERELKAASQLMADNCNMISCSKCRMLLECTIYQQLGDLYKSRLCSNMTNPSFEMLSYAVEKHRSALHKLNNFEWDYSTSCFPDATSEQDEYRTRSSFFSCSIDPLDTIELPSKNELPDRKTQMKRPRKTKKDDKASSLHGQCLVAGHHLRMTRSRCRSLQKSGGSLSSSDQCGPPIKSNNDHLFASNVAPNQRGLLSEAKLSLDDFACEITRFCNKIKCWHCLALEALKSKSLTDFVHMNWDLVHRRSSLTLLISIGQCLGTYADDHNAHKIVLMSVSLLGNPSCPKYSSFSSMSLIDSINKDIQGDVFAVEHAMLLYNICRIAVKHYSFGFARENGCQLSCIGIPRIFSWLKLAFMLCCQVPLLSQKVSRLLTVLYVLSTSVEAFSISPDEAVSQCHWATFFHQASIGSHFNQQLLSSMMRKPKVEGVMDLEDCSFSSSNFVSFSAISFLRLAPDSLEGLGKYVMRFFQELPCTTIICISVIGGADAILLRELLCCTSARAWILLSRLNSKSHPIFLVLPIESLLEEASFDISLQGEDFVGQWRCPWVYTVVDEIAPAFRSILRENYLSSASPKEDSTSNRVLWWSQRKRLDDCFGKFLQYLEDSWLGPWKYLLLGDCLDSKHVKSLGKNLMDSLLHKCKVNVDKDLAEVILGGALYSSEIRECGLQLIINKGCYIGGCCEALSNASTEVRRLSLEVFETILETGLALAETESLGRKPVTLVLDSAVQMLPWESLPILRNEEVYRMPSVGSICTTLARCHHYQDQLPEQGEAFPVIDPLDSFYVLNPSGDLSRTQVEFENWFRDQKFEGKTGTVPKSEELVRALKIYDLFIYIGHGSGSQYVNEHEIKKLNRCAATLLMGCCSGSLSMSGCYAPHGTPLCYLLAGSPVTVANLWEVTDKDIDRFGKAMLDAWLRERSVVSERCTRCDMLLDKFKSINIGETRGNGKERTRNKSPDSCVSVCSTCNRRPKIGSFMGQARKACNLPFLIGASPVCYGVPTGIAEKKRVVVLSP >CDP05066 pep chromosome:AUK_PRJEB4211_v1:2:1259456:1264708:1 gene:GSCOC_T00019987001 transcript:CDP05066 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDPATVQPLDDCFVFAVEEIVQYPLPGFGAPTLVSFSPDDSLVAYLFCPDQSLNRNLFVFDLKSRKQELFFSPPDGGLDENNLSAEEKLRRERSRERGLGVTRYEWVKTSSKKKAVMVPLPVGIYIQDFHLQPELKIPGVASSPIIDPHISPDGTMLAYVRDSELHVLNLLYNDSKQLTSGADGINLMHGLAEYIAQEEMERKNGYWWSLDSKFLAFTQVDSSEIPLFRIMHQGKSSVGSDAQEDHAYPFAGGPNVKVRVGVVSVVGGPVVWMDLLCGEKVEADGDEEYLARVNWMHGNVLTAQVLSRSHSKLKILRFDIKTGCRKVLVEEEHETWITLHDCFTPLDKGLNKYHGGFIWASEKTGFRHLYLHDSNGVCLGPITQGDWMVEQVAGVNEAAGLVYFTGTLDGPLESHLYCAKLFPDASCPLEAPLRLTREKGKHIVVLDHQLHSFIDIHDSLDTPPRISLCSLHDGSLVMPLYEQPLSIPRFKKLQIEPPQIVQIPAKDGTALYGVLYKPDPSRFGPPPYKTMIQVYGGPSIQLVCDSWVNTVDMRAQYLRSKGILVWKMDNRGTARRGLKFEGALKYNCGHIDAEDQLSGAEWLIKNGLAHQGHIGLYGWSYGGYLSAMSLARFPEVFRCAVSGAPVTSWDGYDTFYTEKYMGLPAENPSGYLYSSVMHHVEKIKGKLLLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHRDRIYMEERIWDFIERNL >CDO99807 pep chromosome:AUK_PRJEB4211_v1:2:8728221:8729357:-1 gene:GSCOC_T00029501001 transcript:CDO99807 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDPATTTPSSISDDNTDATATTATATATDTAVADDSSYSTKPSRPHITWCDSYTKAQSAVDSLSSILPHVPPSLSSSETPATSLLHDPEIAKEFSRLLRQPDSGLGDNNLCRWLYDTFQTGQPALQLVVLRFLPILAGVYLSRASLHKPLAGFEAVLLALYAHETTVRNGQAITVSIPDLSHSSIYHETTKTPKNTATELNIAVLSPTLEPHGTVRSTRRARIVGVALELYYTKISQIPVDSKIEFCEFCRIWAGEDGDKGKGKEGRINLPWELLQPMLRILGHCLMGPDKSKELHEAACCACRSLYARSLHDINPKAILATGSLLRLVNMTSDLDNFDHTDIPQTNLIAL >CDO97594 pep chromosome:AUK_PRJEB4211_v1:2:20212555:20218918:-1 gene:GSCOC_T00014977001 transcript:CDO97594 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDNIKGLVLALSSSLFIGASFIVKKKGLKKAGASGIRAGVGGYSYLYEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHTFGILGCALCVVGSTTIVLHAPQERAIESVAEVWQLATEPAFLFYAAVVIIAVLILIFHYIPEYGQTHILFYIGVCSLVGSLSVMSVKALGIALKLTLSGMNQLVYPQTWFFTLVVMVCVITQMNYLNKALDTFNTAVVSPIYYVMFTSFTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMADSSTPTSLRLSKHTDEEDGFGQEGIPLRRQDSLRT >CDO96936 pep chromosome:AUK_PRJEB4211_v1:2:15177415:15178553:-1 gene:GSCOC_T00014125001 transcript:CDO96936 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEGGEQRQTERAKGHVKWFNDQKGFGFITPTDGGEDLFVHHSGIKAGGGGFRSLGEGEAVEFEVEQGGDGRTKAVNVTGPDGGPVQGGSRGGGGGGGYNGGGGGRSRGGGGYGGGGGYGGGGGGYGGGGGGYGGSGGGYGGSGGGGCFKCGESGHLARDCYQGGGGGGRYGGGGSGCFKCGEDGHFARECPNSNR >CDP18666 pep chromosome:AUK_PRJEB4211_v1:2:34521697:34550944:-1 gene:GSCOC_T00006396001 transcript:CDP18666 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLSQIVCTPNNNCKVWEDPSFFKWRKRAAHVPFHCHESVEGSLRYWYERNKVDFLVSKSAVWDDRAVTEALECAAFWVKGLPFVKSLSGYWKFLLAQSPETSPVNFHDSAFEDSTWSTIPVPSNWQMHGFDRPIYTNVMYPFPLNPPKVPEENPCGCYRTYFLLPREWEGRRIFLHFEAVDSAFFAWVNGVPVGYSQDSRLPGEFEITDFCHPCGSGKRNSLAAQVMRWSDGSYLEDQDHWWLSGIHRDVLLLAKPKVFIADYFFKSSLAEGFSYADIQVEVQIDSSNQIPKEDILGYFTIEGALFDTESWYCGNHNARADLLSSSVAHLQLDSSLNHYIGFMGYMLKGKLLSPKLWSAEQPNLYTLVVTLKDASGNVIDCESCQVGIREISKAPKQLLVNGHPVVIRGVNRHEHHPRLGKTNLESCMVKDLVLMKQNNINAVRNSHYPQHQRWYELCDLFGMYMIDEANIETHGFHDFTNVKHPTQEPSWASCMLDRVIGMVERDKNHACIISWSLGNESAYGPNHAALAGWVREKDASRVLHYEGGGARTSSTDIVCPMYMRVWEMVKIAEDPTETRPLILCEYSHAMGNSNGNLHEYWEAIDTTFGLQGGFIWDWVDQGLLKEGVDGTKHWAYGGDFGDTPNDLNFCLNGLMWPDRSPHPAVHEVKFLYQPIKVSLTEGVVKIRNVHFFDTTEAVEFNWMLHGDGHELGSGLLPLPIIEPQRSLEIDFKSGPWYSVWSSSDAVEFYLTVTSKHVCPTRWAEPGHVISSTQVQLPAREETVPRTIKSENIKFGCEVVDNIIVLSQQDLQEINFNKQTGAIESWKVHGVSVLQEGIVPCFWRAPTDNDKGGGSVSYLSKWTAANIDKLIFLAESCSIQNKTDFLVEIAVAFLGLPRCVDKTSSELDKSTALFKVNMLYSFYGSGDVVLECNVKPSSHLPPLPRVGVEFHLDTTMEVIRWYGRGPFECYPDRKAAAHVGLYEQNVNDMHVPYIVPGECSGRADVRWVTFQNNDGYGIYASINGGSPPMQINASYYSTAELERATHNEELIKGENIEVHLDHKHMGLGGDDSWSPSVHKNYLVPAVPYSFSIRFRSLTGSTSGYEIYRGQFS >CDO99733 pep chromosome:AUK_PRJEB4211_v1:2:9395008:9398537:1 gene:GSCOC_T00029411001 transcript:CDO99733 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFVRDTNEESLPFHQGIVRCPFLRNINEPTNFSFSASMAHPLPCPNQERGGKGPIFEDGPNFDMAFRLFHGQNGVVPLSGRFFVPHEKPESEPAPAHFNPLAAKAATISLSAFGPGGPFGFDAFSKKWKNQKKNSQSSKRDSSSKGGELKHESMSNEWLQNGNCPIAKSYRAVSGVLPIVAKALQPPPGMKLKCPPAIVAARAALARTAFAKNLRPQPLPEKMLVIGVLGMAANVPLGVWREHTEKFSPSWFAAVHAAVPFIGMLRKSVLMPKTAMAFTIAASILGQVIGSRAERYRLKAVATRKSVSLETSVNGPIHGAVIGVNSGHCGEIVDWNKVPLSIAVPSSSANVYC >CDP06807 pep chromosome:AUK_PRJEB4211_v1:2:36915486:36916998:-1 gene:GSCOC_T00023794001 transcript:CDP06807 gene_biotype:protein_coding transcript_biotype:protein_coding MILGIRIRKFGLIRSASSYFLPAAEYSFCAQPYAFFTCSNTDSLEASSLRFKNWQKSRGGEISG >CDO99889 pep chromosome:AUK_PRJEB4211_v1:2:8036344:8038405:1 gene:GSCOC_T00029597001 transcript:CDO99889 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVVLKVGMSCQGCVGAVNRVLSKMEGVESFDIDLKEQKVTVKGNVQPEAVLQTVSKTGKKTSFWEEGASAAPESKPAETVAAA >CDO97274 pep chromosome:AUK_PRJEB4211_v1:2:17779669:17782079:1 gene:GSCOC_T00014553001 transcript:CDO97274 gene_biotype:protein_coding transcript_biotype:protein_coding MESFGAMGCGDWSSCNAIYSSTTEEADFMARLLGNISLPNDVPNSSNAKFSSTYWPASESDVNKAVFQEDSSINSSDDTSVDADVCYSFSQGSSFSGEGSSILCPLSQIYFCNSNSIISQPIVTRNDSSVCADYAMMECKNKMDSCDHEIIRINNILMEEGRDFLNQDVSSDCSMESGENDMPEAFSRGMILQQGKDQHEINSLASTKSLEDESDNLLESSKKRPSTPLDVHKNKRMINAKTNHQKLHPYDSKIAEGGHPVLCRQSSSSCLSEDDSNVFHESNAGVLPIPASCSDSKGAITAFNLNGKTRASRGSATDHQSLYARKRIEKINERLRILQKLIPNGTKVDISTMLEEAVQYVKSLQLQIKTKTIYFCLSVTKGYHSVCSLLSSDDLWMYAPIAYKGKNLGLLL >CDP09365 pep chromosome:AUK_PRJEB4211_v1:2:23143667:23145676:-1 gene:GSCOC_T00028699001 transcript:CDP09365 gene_biotype:protein_coding transcript_biotype:protein_coding MSALISSKPTLSFTLASQFISTGKENPASLSWSSSLPKLNLSITTTSPSSPLNLLHKQTFVVEAAWTRRSRSEAAKKPNRKSWKQRTDMYMRPFLLNVFFSKRFIHAKVMHRGTSKVISVATTNAKDLRNTLPSLTDNNACRVIGKLIAERSKEADVFAIAYEPDKNQRIEGRLAIILDTIQENGIIFV >CDP08876 pep chromosome:AUK_PRJEB4211_v1:2:52140957:52148210:1 gene:GSCOC_T00027998001 transcript:CDP08876 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGGFLDNNSVGGGGAKIVADIPYSDSNNVNSSNTNMPASAAIAQPRLATQSLSKSMFSSPGLSLALQTSLEGQEVRRMSENYESNMNFGRRSRDEEHESRSGSDNMEGGSGDDQEAADKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSILRQENDKLRAENMSIREAMRNPICTNCGGPAIIGEVSLEEQHLRIENARLKDELDRVCTLAGKFLGRPISSSAASMAPPLPNSSLELGVGGNGFASLSTVPATLPLGPPDFGVGIGNPLSVMAGPTKAAATTGGTGIERSLEKSMYLELALAAMNELVKLAQTDEPLWLRSLEGGREILNHEEYMRTFTPCIGVKPTGFVTEASRETGMVIINSLALVETLMDANKWAEMFPCMIARTSTTDVISGGMGGTRNGALQLMHGELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSVDSIRETSGGGASPTFPRSRRLPSGCLVEDMPNGYSKVTWVEHADYDESMIHQLYRPLIGAGMGFGSQRWIATLQRQCECLAILMSSSVAPRDHTAITASGRRSMLKLAQRMTDNFCAGVCASTVHKWNKLCAGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGPLPNGLTNGPTSHRVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHCES >CDO97366 pep chromosome:AUK_PRJEB4211_v1:2:18465246:18468984:-1 gene:GSCOC_T00014688001 transcript:CDO97366 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 44 [Source:Projected from Arabidopsis thaliana (AT2G14210) TAIR;Acc:AT2G14210] MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVIIFSGTGKLYDYASTSMKSIIERYNRMKEEHHRLLNPASEIKVTFTKHSSFMINSIS >CDP09335 pep chromosome:AUK_PRJEB4211_v1:2:23539669:23544989:1 gene:GSCOC_T00028657001 transcript:CDP09335 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MGSTATRSIVQKLGSAVKEVARTKCSSWWYTPHMAAASRAIAERIPLVDFVLEVRDARIPLSSECSQLGDLLSSSRRIIVLNKIDLAKQSAMKEWTKYFNQEKSPAFGVNAHNKENIREFLNFLQARARELLKRGHSGQTITLMLVGIPNVGKSALANALHQVGRISALEKGKLKHATVSPQPGETKNIRSLKIASHPNIYVLDTPGILPPEIFGDELCSKLALIGTIRDCIVGKNDLAHYFLAVLNLSQEYKKWSRFSAMENVRSSIYQEGELSESSHVDKRQYATDHTQDFIVNDVRRTLFEAVSTFSGDLENDKYLEQLIEVESRMLRNAFRITQSEVDGHTKVAIKLLDLYRTGRLGHYVLDDIPRIS >CDP09361 pep chromosome:AUK_PRJEB4211_v1:2:23248423:23252526:-1 gene:GSCOC_T00028693001 transcript:CDP09361 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAVLRYLEKNEEISDSGKFAEDRGLSHDDILNIIKSLNGFRLVDAQDIKKERWVLTDEGKKYAEAGSPEVQLFLAIPAEGIAREDLQKKLESSIFKIGSQQAIKNKWIEMGKSQVSRKVQQVEDKIKILLLQIQNGEAVSSEDIDALKRRKLITQQIWKGYSVRKGPKYAPKRKKAATDLTRENIQRGDWKELEFKEYNFSAKGQPLEGGHLHPLLKAGIPCFCLSR >CDO96912 pep chromosome:AUK_PRJEB4211_v1:2:14932588:14941291:-1 gene:GSCOC_T00014093001 transcript:CDO96912 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARQWFLLSIIVVVVCFLKPFALGEQQVPCYFIFGDSQDDNGNNNHLNTTARANYPPYGIDFPEGPTGRFTNGRNPADFIGELLGFDSYIPPFANTEGRDITKGINYASGASGILDQTGRHLGDLFSFNEQLHNHERVISRIVRLIGNRSATKEYLAKCLYTAALGNNDYINNYLLPEYYPTSHLYTPTEFASLLIRHYSQQLRTLYRLGARKIAVFGLGLLGCIPAELSADGNCVDSINDEVLLFNDKLKPLVEELNTELSDAQFLYVDVRAINLNSLSTPAEITIGNAPCCNVSATVARGQCIPGQIPCSNRNQYYFWDDFHPSEVVNEAYSRLAYSALSSLLDADPLAIGGLQAKPVMIQ >CDP08636 pep chromosome:AUK_PRJEB4211_v1:2:54235266:54237960:-1 gene:GSCOC_T00027668001 transcript:CDP08636 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDIERQLWLLAPLASKSKSVTPANAICFLFLVVLVYLVINLIYWAHPGGPAWGRHKWSRRRNASSSRSTRTATTRPKGLPFIGSMDLMAGLAHHKIAAAAAACQAKRLMAFSLGETRVIVTCNPEVAREILNSSDFGDRPMKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIKASQSQRLEIASQMVEMMTRRGGKESVVPVRDVLKLASLNHMMCSVFGRKYSLVPREGEEDGSHSETDELRKLVDEGYNLLGVFNWSDHLPWLADFDLQKVRFRCSNLVPKVNRFVSRIIDQHKKAQHRHHGDQHTQFHRDFVDVLLNLEGPDKLSDSDMIAVLWEMIFRGTDTVAVLVEWVLARMVLHPEVQTRVQQELDQLSGGGTRALAEPDIADAAYLPAVVKEVLRLHPPGPLLSWARLAVTDTTVDGHSVPRGTTAMVNMWAITRDPQVWSDPLEFNPDRFLTKPECGGGGNAAGLCVLGSDLRLAPFGSGRRSCPGKALGLTTVTFWVASLLHEFEFEFGGEGAPDLCEVLRLSCEMAEPLRVRVRPRRRLA >CDP17798 pep chromosome:AUK_PRJEB4211_v1:2:51259670:51262822:-1 gene:GSCOC_T00003923001 transcript:CDP17798 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLYSIFITVLTLTLVLLIPVAALVLKFFIEKFIRNKKYPPVVGTVFHELFYLDRLYDYQTELAKRQPTVRFLGPDQSEIYTTDSRNVEHILKTNFYKYSIGKINQDIVTDLFGEGIFAVDGEKWRQQRKLASFEFSTRVLRDFSCTVFRRNAAKLVAKVGELSQASQVFDIHELLMRCTLDSIFKVGFGVDLNCLEGSGDKVTKFIRAFDDANELIYWRYVDPFWKLKRYLNIGCEASLKQNIKVIYHFVDELIKTKRKLLELRNDFNDREDILSRFLVESRKDPEKMTDQYLRDIILNFMIAGKDATANTLSWFFYMLCENPLIQERVAEQVKDVTGNQCSENSIDDFMASITDEILEKMHYLHATLTETLRLYPAVPLDGRCADADDILPDGSQVKKGDGVYYMSYAMGRMPYIWGEDAEDFRPERWLKNGIFQPESPYKFVAFHAGPRTCLGKDFAYRQMKILSAALLYYYKFRLGDDAGRVTYRTMFTLHIKGGLHIQAITRTGLRKT >CDP07773 pep chromosome:AUK_PRJEB4211_v1:2:26260157:26263364:1 gene:GSCOC_T00025150001 transcript:CDP07773 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMLTNGQGCWSDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIVHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNNNAITSTSSPNTSDSSDPRAIMLGGLMQPMQAEQDVFSICVDSSSSSPPCMQAILSITQGNPFNPFPPLHDSAAYLDINGSASAAGAAGLYNLSAAHVGGGGGGSAGSLGDYGLVEPYVMGLESDLSLPALEGRAFDNINAANDQSVLDKRLVHNNHHFNGNENIKVVDDFVGIGNHWNGENLRMGELDWEGLLANVSSLPYLDFQVE >CDP05260 pep chromosome:AUK_PRJEB4211_v1:2:2796915:2801231:1 gene:GSCOC_T00020241001 transcript:CDP05260 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKGFGATLANTSPSSPSQLFISTDGVINHRARVLGGGTALNAGFYSRASTDYVSRVGWDQRAVNESYEWVENKVVFEPEVGAWQSAVRSGLLEAGQFPYNGFTYDHVKGTKVGGTIFDGSGYRHTAADLLEYAHPPNITIYLHAIVEQILFKTEKLPRPRAHGVLFLDPRGNRHLAFLNTGSANEIILSAGALGSPQLLMLSGIGPTGHLRTHGIKVLLDQPMVGQGMADNPMNAIVVPSRRPVETSLIQIVGITQFGSYVESASGPVAFNWVNGLNQQYHKLSNETSWPSSVPANQSTSSSRIDDFMDASQPAGIILEKISGPYSSGHLELQNRDPNDNPRVTFNYFHDRRDLQRCVQGMELIRRVIDSRSLSRFRYPLTPVQTLINWMLTFPVNLRPRRVSAAFSMEHFCEDTVMTIWHYHGGCQVGKVVDRNYRVVGVDALRVIDGSTFSESPGTNPQATVMMLGRYMGQRILNERDSQCNEAVED >CDP07692 pep chromosome:AUK_PRJEB4211_v1:2:24828004:24831871:-1 gene:GSCOC_T00025032001 transcript:CDP07692 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLHHLGLIPLIAMLCVTVAQTNYQKISLGRSLVASDDSDSWPSPSGEFAFGFHRLENQNLYLLSIWFDNIPNKTLVWYANGDNPVPKGSKVELTSDGLLNLKDPGGNIVWHPNPIPSGVAYADMLDTGNFVLVGTNTSNVWQSFDNPVDTLLPTQTLGVDISVSARTAAENFTRSRFELRLIRDGNLVLNTIAWPKENKYEAYYWSNTVDSPDGITGNKLIFNESGYLYIIKTEGDVVNVNSASTIPSFPIRDYYHRVTVDYDGVLRQYAHPKTPKNGEWTAIWFAPNDICSSMNGERGGGTCGFNSYCSPGSTDGSPNCQCLPGFRFSDPGNKFNGCKRDAIQNCDLGSLRPEDIYDMQELNVNWPNSTNYDSLESLSDVECSKSCLYDCQCVVAVNVDGACRKKKFPVSNGKMLQPNDGKAFVKVPISNTSSSDSYTRIDWPKRSPATFSMVAKLLLGSSVFLNLLLVVAILLIVLRSYDGRTKLHRPPKIICSRKSIDMERQNEAEQILVDWVSDCYKARKLDKLVEDDEEARSDLKLLEKLVMVALWCIQEDPTVRPSMKMVLHMLEGVCIVSAPPSPFPDGSISWNRQLSWHPVRTSSSLSGPKSIKRKKNKTYSFMFFTTATDHMLSYYLQISLEWSLIASDDSDSWPSPTADFAFGFPRLKNQYLHLLSIWFDKIPNKTLVWYPNGDNLVPKRSEVELTRYGVLVLTDPGGNTVWSRTANESREIFKIMMNFKKNSTRRGILSVRFRPRGLREFIKCEVRVLHVVLRDHTIHDLMVAYCCRVFCTGVASVIRDVVIRDVMVAYCCRVFFFAGADCNKLSPIRDLVVTYCGRVFQFSAVSAGIHAQPIF >CDP08561 pep chromosome:AUK_PRJEB4211_v1:2:40755972:40758704:1 gene:GSCOC_T00027528001 transcript:CDP08561 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVVNSIQLMPILGAPDPKRIYRLAIACRLRFWVFPDLNADQLQTPQNLYIIPSSSSLLPLIHRSLFKSSLKFVNQKPSLLFCFFSHKMQIFVKTLTGKTITLEVESSDTADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >CDP18131 pep chromosome:AUK_PRJEB4211_v1:2:32208498:32213927:1 gene:GSCOC_T00010174001 transcript:CDP18131 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP5 [Source:Projected from Arabidopsis thaliana (AT3G54480) UniProtKB/Swiss-Prot;Acc:Q94FT2] MEDGQTRKWKRYGRDTTYSPINNLDDGCLMHIFSFLCPKPDRYNTALVCHRWHFLACHPRLWLRVDRSVKNLSKPGVFPSIETAVAAARPGDTILIASGGSHLASNIQIKKPLCLIGAGELPDDTTLVCSRGFDSALEFSSTCKLANLTVRAELGCCLLHRSGRLIIDSCILQCESNPLDHLSYAIVSTASATEYEVVPSAVKSCSDSVSVSQTRIEGGAKAVLASGALSLQQVRVIYARASVFFWFDMEHQQQ >CDP17973 pep chromosome:AUK_PRJEB4211_v1:2:43854934:43859465:1 gene:GSCOC_T00001224001 transcript:CDP17973 gene_biotype:protein_coding transcript_biotype:protein_coding MINRNSTGNHVHQHQQQQSHSHSHHRNLPKRIILVRHGESQGNKDDSAYVATPDYKIPLTSTGISQARQAGLGIRQVVSDQGSSQNWRIYFYVSPYERTRSTLREIGRAFSRRRLIGVREECRIREQDFGNFQVAERMKIIKQTREKFGRFFYRFPEGESAADVYDRVSSFLESLWRDIDMNRLQQNAADDLNLVIVSHGLAIRVFLMKWFKWTVEQFEYLNNLGNCEFRVMQLGIGGEYSLAVNHSDEEMQAWGLSSDMIADQRWRAHAKKGDWNEKCPWYLDAFFDSLAETDESSEEDDESKEFLDCV >CDO97000 pep chromosome:AUK_PRJEB4211_v1:2:15672031:15674103:-1 gene:GSCOC_T00014207001 transcript:CDO97000 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPKRLNPQAPEYMPLFNASSITAHLNNLSLIHGPSSSPIPPPPAFPTSCIPFLHPNQPAFHLPPYIHNEPFYTDSFLLHQSSYFPLPPPPPPQDDADIDVSPISPPAVSSPLATSEPVRRGPRVITHKQYYEPKFSRARAGRGGSWLNGRGRGGGSRRRGSSREWLRRRGSSPSKLEERRGYQSRIYVEKHGTLPLRSYEKKTTVMIKNIPNEYTYYRWMLVEFMDKHCMLENRKTEQGITSIEAGQEPLSAYDFLYLPIDFGTGKNKGYAFVNFTELQAVWKFFKECDSTKWDFKDSPKTRKIVCASIQGKEALVRHFQKTDFECESDEFLPLCFSPPRDGSGDSVKLTPIGNVGGRYLSNGSGRYHQTKHRFLV >CDP17818 pep chromosome:AUK_PRJEB4211_v1:2:27138842:27142246:-1 gene:GSCOC_T00009437001 transcript:CDP17818 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MAISGCSSTNHSSTLPTISFSYYSPTKSCHQVFNNLHLPPSHSSSKHALKSTPEATFATSTATHEGVTLTIDAAKAARAAVASAFEIENFLDFREWKKDDEEKTWRNGVLMRRKRRRKRRKAFSESLENEKVIMNVAIKPTNSGQYLTPKQEAEYTLCLKEEARVEAVRKRIEETTENEVTFAQWAKAAGMSKNSLDKVLCDGREAQERITRCYRRLVISVASSYQGKGLSLQDLIQEGNLGLLHGAKKFNPEKGYKLSTYVYWWIRQAITKAIAKKSKITRLPGSVSELVPRICEANAVLSRRLRKLPTCQEIAEAVKRDMSTVWLALERNREPISLDQPIVAGASMSLQEIVAGPDETTPEAIVKKQFMKRDIEKLLKGLCDREVNILRLYYGLNGNTPQSFEEIGRQLELSRERVRQISCTALTKLRQTSMVNDLITYILHS >CDP05325 pep chromosome:AUK_PRJEB4211_v1:2:3324654:3327434:-1 gene:GSCOC_T00020324001 transcript:CDP05325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:Projected from Arabidopsis thaliana (AT5G51460) UniProtKB/TrEMBL;Acc:A0A178UCG0] MDLKSSHTSPVLADPALINESMLGIHSGLLPYSHTGTAFSPALFLTIPRRKPGILDDVRSSSWLDAMKSSSPTHNKVNKDSSTGQSSNDSDNAYRTWMLKYPSAIASFEQLINCAKNKRVALFLDYDGTLSPIVDNPDHAVMSCSMRAAVRNAAKCFPTAIISGRSRDKVSEFVGLTELYYAGSHGMDIMGPAQPNSKDQTKCNMSIDKQCKDVNLFQPASEFLPMIDEVFRSLVEITKDIVGTKVENNKFCVSVHYRNVEEKSWTIIAESVGEILKHYPRLRLTHGRKVLEVRPVLNWDKGKAVEFLLESLGLSHCDNVLPIYIGDDRTDEDAFKVLKKGSRGYGILVSSVPKESNASYSLRDPSEVMEFLKCLVSWKKSTAL >CDP05265 pep chromosome:AUK_PRJEB4211_v1:2:2835365:2840313:-1 gene:GSCOC_T00020246001 transcript:CDP05265 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVNPNPTPEANAVAIPEIGPDGLRRESPVIAYTEQKIEEEQLQLQKYIQENYSKIRDVERELANLSMEMKLTSGPKKAALEHMRKKIEISNEKIRLARQKEELARKAWEEASKAVKDEEAFKQKLCEDLNNLVQESSNAQLARLEELKRRLEALNPSRSSASVPIDGVPLEHSPSNRTQDAALGTASVQVANGSSGQISCQGNAGHGPITNGNQGLPAYNEGRGKKKTVLQGRGKGIGAVPKGRGSAAPGWTGAGFDVDGRS >CDP05118 pep chromosome:AUK_PRJEB4211_v1:2:1673522:1685678:1 gene:GSCOC_T00020058001 transcript:CDP05118 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MEGLSTICAGIGILEEDDHGNRIGYSKSQYCLDNLKDLLRFMRRDDPQTREVFKQVCKWNTVGKDLIPIIEYCQDDRNLVLNAVKVLVFLTMPIDPTSDDIPQQIEYLWGVKSSVTFSQIVPVILSLLEKPLENLESEMFMEDDWKLVQLVLTLFRNLLAIQEISTQQKAGGSATQFLSLRDRFLELLFQENMMEIILVLSQHVGGSCGYLRQDNLLLLETFYYIFMGQEPELIAKAYLENSKEDENVESSLKGLSCIMQAEEEKRKLNKLRNLGCYSQFSGTFTRLTMDGSKTLFKGNPCSASHDALLKAHKNHRGPSKRTVWDHGKLPSTKTKILQLLHDFIDQFLSGGYNVLMRSIREDIDKECHAIQNNDVVTFFQVAWFVTSFQYHKFLNSKPCVQVDGKSSIDQNADKTLFGGTMCGPIAESLNESMFLVLISKWRFAFDGLKETNDYKMLSAAGSLLKIMIRILDLVLKQSLEDSTEPKTARILLYKLFYDQTEEGMTQFLLNMIKLFDTHKQAKSDFADLVETLYVIIRLLENLQTRGALRVSKRSRKRKVKKDLFNESVGKDVTAQNEVIGPSYEQSEAVGILGKEKAVVHDSVGTTNEDLSQVSPHTEFGAEIVNSKSKPEVEQNVCHETYQGIDDSSGDEQPVTDEVDFNISTVVSALSNQTIIKNLCWLLKFYKSNSLGTNHYIISLLRRVCEDLELSPMLYQLSLLTTFYDILEEQKSKPCKEHENIVLFLTNLVRRMLRKMKTRPLLFVEILFWKTRGECHLINAECMLKVVGNLRKEIRKSGLNEETGLPYGQGWVRRSIADALGDDEADIMTFHEEVGEKSKRRSADGKESIVSFSNDEASEKEHDSRDGHSFEKVSKGLQRRRKPLILNDELEEKFRDLYEKYKNHENCYHLIANALDEYGARISPIQVPRTLKKLGLQLLRKRRMSVASSADELRDGEITHLSGDGSSSLRKPLHTRKRVRALSEDQEQRIKELYEQFKDHKRCNYMIASALDTEGSVSTAQVSRKLKQLGLFVPRKKRSDTNFHLRDDNSSELSAGSADDSDNATLMSLKRRSKSETKYASRQNQKSARQPSLDNSDDEMLRSFLPKSQQTKIEITERQNQENGGKLDISGHDELLRDATEKLAEVRTLDMGSGTSEHAGFAETEGLWGVNQSVSALTNEANNRQPQKLHDELADFEGEVSPVESQKSIVSRRHLRMIMDLDDDE >CDP00051 pep chromosome:AUK_PRJEB4211_v1:2:6570055:6571023:-1 gene:GSCOC_T00029824001 transcript:CDP00051 gene_biotype:protein_coding transcript_biotype:protein_coding MNINCCEFCPGRLERALLTIDGVLSVAVYSEKNLVAVKGKVDPNKLIASIKAWGKTAKFLGYDGGPMNFSNQADKEKPQSSKPVRDKCPEHKNFPKNGKERNRGYPKDESRKKEESSHEPEAYVAPQIDREVCRDPYCKLHKCRPIFHNKVPSIDCADHPHHTGGYFPKGGSGSHFLNHGNASPYDYPRMAPHPMMEQPGYGFYSGSYYGPRFDDYSYHDDAPYPRHWPYM >CDO99501 pep chromosome:AUK_PRJEB4211_v1:2:11918113:11920377:-1 gene:GSCOC_T00029100001 transcript:CDO99501 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAARTMLRSATTSARTAAAKVVTGAKPKPAFSPFSIPTQKPLSARIFRSPVEMSCVSLESMLPYHTATASALLTSMLSVSPRSYDWALDGKERTS >CDP18382 pep chromosome:AUK_PRJEB4211_v1:2:43245241:43250762:1 gene:GSCOC_T00012962001 transcript:CDP18382 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLSGNECVSGTIFLTQEGDGPTTVTGTITGLKPGLHGFHVHALGDTTNGCMSTGPHFNPKGKEHGAPCDENRHAGDLGNVTAGQDGTANVLVVDNQIPLTGPHSVVGRAVVVHADPDDLGRGGHELSKTTGNAGGRVACGVIGLQG >CDP09459 pep chromosome:AUK_PRJEB4211_v1:2:22117654:22120233:-1 gene:GSCOC_T00028834001 transcript:CDP09459 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRERLTCDEMGEIKKGPWKAEEDEVLINHVKKYGPRDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKNGVKFSAEEERIVIDLQAQFGNKWAKIATYLPGRTDNDVKNFWSSRQKRLARILQTPASSSSSSSNRSQRNKHVPAIHDVPPFEASKFSSSSEEDSLPKSQSCLSSYTVKSLTVEMEMVPLPELMHPNTLNYEQSFLPPEFDLIEKKPCIETLSQLPFPQIPNFQSEFALSLEHNEVVTRLGDPNYLEAFGSSISSELGNVQLPIMPTCFESERRSTQIAAKCEIGNPVTPDSFVDDFPIDIFDHIEPLPSPLDW >CDP04957 pep chromosome:AUK_PRJEB4211_v1:2:402247:404569:1 gene:GSCOC_T00019834001 transcript:CDP04957 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPESPISAMEFLCRPWSPSASNFLQLFPSSNLSFAQYDRARAEDHENQPAAALKHTPERNQVLVINEKFSRMNLNHVKGWPKGKSLSGFFRSCKEKKKDEIRCHTAKLHAALSLTELAAAIAGFATSTSTEAQNINRKGNGETRAIYEEMGGAVATAAALMTTVCAEAAESLGADRAQLASAVNSGLAISNPIDMMAVTATTSTCLRGAAILKSRTMADSLRIQGLLRACTEICIITPSGRREHKWVTIHSKPKQITLSFKKKYFGGTLSISKECMALFILFLILSLIYFLSSFSGYEGWKALNIKPNTFSFSRQAYLYRGSNGRRGILLPKFEDKQWHHQATIQRSDAIEHLDISHFQSPKDGTTPAISQLRSHQHRTIHDSVPRETKHKSISL >CDP14041 pep chromosome:AUK_PRJEB4211_v1:2:5313719:5314479:-1 gene:GSCOC_T00039215001 transcript:CDP14041 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S31, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38140) UniProtKB/Swiss-Prot;Acc:O80439] MASLLAGAVPMAASQTALCFPLSPSLSRPQSLPSSSSSSLSTSLSLSSPASSTLPFIYCGRGDKKTAKGKRFNHSFGNARPKNKKKGRGPPRVAVPPSPPRKDRYDDGEVVKIEIDESLG >CDO99822 pep chromosome:AUK_PRJEB4211_v1:2:8610282:8617140:-1 gene:GSCOC_T00029518001 transcript:CDO99822 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVRQQLQQKPESTTTADDARAEFERGLEELMRGHLDDCMSFASCSSPRNTEDEDDEGEQLVRRRRRSELEGDDLAESSAARRRHSRILSRWAARQAQEMITTIERRNRESELIALAGLHTVSMLDSSFLRESQSPTSRRQGNVERPSTQASSILQMWRELEDEHVLDRARERVRERLRQQRSVGPNTNVSSTNMSESRESENQGSLEDASESENEYGTWSHDAIGQQNGNRDEDNSSREQSPDLGDTERERVRHIVRGWMETGIRDHSSGVSQRNGGSRGEWLGETERERVRVVREWVQMTSQQRGARGAHREDETTAIGAQVDQTREGSMGDQEEGQPEHIRRDMLRLRGRQALLDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPPSVAASELVQLRQRHTVSGLREGFRSRLETIVRGHEGEHESLEESHSGSMESSLHHLPGRTGNLDGSAAVTGMDQQAVPNQGRDIQEQVTEDERHIQPEMTFNESNEWRESISENMDANWPGNSRTNWSLRTAGNEGGDNRRFQEANEVWREDGSREAVENWSEGPSDPPRMRRAVPYRRLSRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQGRSPIDWDLHRNLPIPASPEQNLEQQDDERNDDQNDVIGRPSIVLPSPPVPPPQPLWHQDLHHSSWPRQSVHRTELEWEVINDLRADMARLQQGMNHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGGQGLTATSEDGSKWGHVRKGTCCVCCDNQIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >CDO96842 pep chromosome:AUK_PRJEB4211_v1:2:13816208:13818804:1 gene:GSCOC_T00013993001 transcript:CDO96842 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMRPLPKLIHFNQLLNRIGKMKHYSSAVSVFKDMCASGNILVTEYAIGITSNCYCLLGRVDFGFSLLGSCFKRGLVPSVALYGTLLKGLFKQNRISQAQELFKKIIDEKLCEADVVMFGTVIDGLCKVGNTSTAIKFLRVMGNGRDVNVQPNNVIYTTIIDSLCKEKKVDDALALLHEMIEKGVAPCIVTYNCLFHGLCNLGRIKDVTNLVNQMADFCIAPDVFTYNTLIAAFSTEGSMQDVEDILQIMIQKGENPDIVTYNAMMDGYCLHGQMDKARNVFETMLSRGIGPNILSYSILMNGYFKKNENDEAMHLLREIPQRGLRPNVSIYNVVLQGLFRMGRYGSARKVFIEMQAADVNPDFHTYCIMLDGLCKGGNMDEALQFLHDMEVDGSALHVCMYNIILQGLGKSGSLDCARDLFNILPLKGIEPNIVTYNTMITTLCLKGRLEEAKEIFVKMEENGCSANGITFSFIAQGLLRRGDYEDALRFLEEMDTRGFSMDPYTMSIIIGLLQVKEKDPYLLKIIQKFGPDGL >CDO96985 pep chromosome:AUK_PRJEB4211_v1:2:15536809:15540691:-1 gene:GSCOC_T00014191001 transcript:CDO96985 gene_biotype:protein_coding transcript_biotype:protein_coding METRGAIAAAAAAAFTWSNSISSAHHLSQQPFSVYGCRTPKIRCLYDSAPSQKRADQMIVSVTGATGFIGKRLVQRLHADSHYVRVLTRSKSNAQAIFPAKQFPGIVFAEESEWKDCIQGSTAVVNLAGMPISTRWSPEIKKEIKQSRIRVTSKVVDLINDSTSDVRPEVLISATAVGFYGTSETQVFDEKSPSGNDYLAEVCREWEGNALKVQKDVRLALIRIGVVLGKDGGALAKMIPLFMMFAGGPLGSGRQWFSWIHVDDLVNLICEALSNPSYKGKSLLTYPI >CDP07806 pep chromosome:AUK_PRJEB4211_v1:2:26755428:26755973:-1 gene:GSCOC_T00025196001 transcript:CDP07806 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPDSAQIKEAQLEKDMKNDYSSKIKDAQLGKSEEAVVKKPKVLITPDESLLELKNKPTSFDPKKAAYWGKEQRVPFMFVVKALDAISKESGRIAITAIVCNMLRTVMETTPEDLVAVVYLLANQIAPTHEGLELGIGDASIIKALSEACGTKEAQIKKQYKVKLYYIPVTRVYQILGVV >CDP08783 pep chromosome:AUK_PRJEB4211_v1:2:53038899:53039989:1 gene:GSCOC_T00027878001 transcript:CDP08783 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGCGLIHLPEHQGKFNNEYLRETEEANKFRQDCEMRRMRQACMFALGRIELDRVKNMAPDMNEFSSFSFSYFFRKSSSLLTGQPQTLETLTNAKLKDTVTRLRSTRKCCIDIILMCIVLGIAAYLCKYPLNPPFFSMFY >CDP14061 pep chromosome:AUK_PRJEB4211_v1:2:5472983:5479094:1 gene:GSCOC_T00039246001 transcript:CDP14061 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGKSQSMNDRKMNDAEDRTDRSNGGSGCSDDSISTLNASSSVLRPSSSISRGSEVTTEISAEEGGNLLLQQSDRVDNLVQWLRALDVQVIGACRADERLKPLLKLNASTGAAEDRLLAKLTQHFEPSEVGMLARCLCAPLVSVRVGRINKIGSLFCPTATRGNLNLTLLPTSDLRISFIGDDGLLERLVTLTSETQCSAVEIEEILADKSGRSFCVKIPSDEIFYFWCSEKPRLLGIELLRKMKDLLKRKPCLAELTGISESRLESFAIHLRAYLVGPTLTNACGIPAVSLTSSLDTSVETSELNVQSPVGSSKPSRSRQSLGQGSKTCPLYQGSLSPRPSSFKEGVARNLASLRSVSRERLRRRGDSYLSCIDNLAAMPSASGPIASSLNHAEDKLEEATEASLFPPSTPLESVPLREDNVLGSSNQVSSMGSSLSSPLYCWCPPVASTRQCAPQSLQCSTTSSDLVSLPTLLQVTRSSGLLASKPPLNLADVVPLDFPPLLPEPLVRLPLSIPASQQIPTFTPLMCDPIVHIPVIDVCSSGQGYLVSAGPAISTAIPPMCPNLASPLVPEADSMVEKSARETLRLLINSSNQPNPSLMGVLPSVLTDGNQMENILSVGSRGLYSGTRDIDAITSSVAAIGFVSSSDRFVLKRCIDRDNLEEEMEKADGSCGSSSDEGFPRSRDGRFD >CDO99977 pep chromosome:AUK_PRJEB4211_v1:2:7299096:7301387:1 gene:GSCOC_T00029717001 transcript:CDO99977 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMQILILLFVISFLSIAAKGLHEQESTVQTYIVHVELPTDTQLSSASASPNNDDLENWYKSFLPTTTISSSSNEAPRMLYSYHNVFRGFAAKLSAEDVKEMEKKPGFLSASPQEMLSLHTTHTPSFLGLHPGMGFWKDSNYGNGVIIGVMDTGIRPDHPSFSDEGMPPPPAKWKGKCEFNSSACNNKLIGARNFNQEFSDSALDEVGHGTHTASTAAGNFVQGANVLRNANGTAAGIAPLAHLAMYKVCIIVCQGVVCLNICPESAILAAMDAAIHDGVDILSLSLGGSSKPFYTDSVALGAYTAMEKGILVSCSAGNGGPFNQSLENEAPWILTVGASTIDRKIVATALLGNKEEFDGESLYNPKHFLSTPFPLYYAGWNASDILSAYCFSSALNSSKVQGKIVVCDYGVGISDVQKGENVKAAGGVGMIIINGQNQGYTTFADAHVLPATHLNDHAPVVASFSSRGPSMASPGILKPDIIGPGVNILAAWPQSVENNTNTKSTFNMLSGTSMSCPHLSGVAALLKSAHPDWSPAAIKSAIMTTADLVNLAKNPIEDERLLPANIFAIGSGHVNPSRANNPGLIYDIEPKDYVPYLCGLNYTRRGLLYILQRRVNCTEESSIPEAQLNYPSFSIQFGSPIQRYTRTVTNVGEAKSVYTVKVVPPEGVEVIVKPKTLRFSEVKQKLTYQVIFSQLPTAANNTASQGSITWASAKVSVRSPIAAIIGEMPMP >CDP07696 pep chromosome:AUK_PRJEB4211_v1:2:24864057:24871358:1 gene:GSCOC_T00025036001 transcript:CDP07696 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSLLFVFLLAHYLGHSYAATPSHYSRPPFNRSSFPDDFLFGAATAAYQIEGGAHEGGKGPSVWDNFTHTHPEKIWDHSNGDVAIDFYHRYKEDIRLVKNMGMDAFRFSLAWTRIVPTGKISEAVNQEGIKFYNNVINEVIALGLKPFVTLFHWDTPQGLEDEYKGWLHPHIVEDYKDYVDICFKEFGDRVKHWITLNEPISFSMYAYTTGTYAPGRCSVYAGNCTNGNSGKEPYIVAHHLLLAHATAAKLYKENYQKSQKGQIGVTYATHWFLPKIKTPEGLKAPYRALDFMLGWFLHPITYGNYPPSMRTIIGNRLPTFTAAQSKMLIESIDFLGMNYYTSNYASPALTFNRVNLSYMTDNHLIFSTDIDGVPIGQPTGLNWLFICPKGIRSLVLYIKEKYKNPPIFITENGLAESRNDSIPREVALKDVIRIKYHESHLWYLQKAIKEGANVKGYFAWSFIDDYEWDAGLTLRFGLNYVDYKEGMKRFPKLSALWFKKFLRKPSK >CDP05393 pep chromosome:AUK_PRJEB4211_v1:2:3850822:3852562:-1 gene:GSCOC_T00020417001 transcript:CDP05393 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATSAYGSCIPANFLHSPPKIANQISSPPPLYISTNPSHVNPSHLQHLYAICNHSCHRFPNLDSYGRVELIDVGKLRTALSNSSVVVSVFTKPELANDLLSTAEVGTRSMGIGGNWIQRVMPVTPDNGKLVGFGRAVSDLGLTASIYDVMVIPSLQGRGIGRRIVQRIIRMLTGKGVYDISALCSDKEGLFFKACGFGEDILGSTTMMYTGTSSCPDAHQIAIYAGRKLILSPPSRQQ >CDO99665 pep chromosome:AUK_PRJEB4211_v1:2:10090413:10091613:-1 gene:GSCOC_T00029330001 transcript:CDO99665 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGDIPAIWAAVFQFPAVASQNIHTGRKILDIFSSIFAPIIFFCPNMFDPIGCKIGYDVGFGKRAKTYFCLDLHMGYDA >CDO99493 pep chromosome:AUK_PRJEB4211_v1:2:11989175:11991731:1 gene:GSCOC_T00029089001 transcript:CDO99493 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILQVDKSAKDEDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDPEKRAIYDQYGEEGLKGQVPPPGAGGPGRATFFQTGDGPNVFRFNPRNANDIFDEFFGFSTPFGGMGGAGGMNGGGTRFPSSMFGDDIFSSFGEGRTMNSVPRKAPPIEQNLPCSLEELSKGTTKKMKISREIADASGKTLPVQEILTIDIKPGWKKGTKITFPEKGNEQPNVIPSDLVFIIDEKPHSVFKRDGNDLVVTQKISLAEALTGCTVHLTTLDGRKLTVPINAPIHPDYEEVVPREGMPIPKEPSKRGNLRIKFNIKFPTGLTAEQKSGIKKLLSP >CDO96814 pep chromosome:AUK_PRJEB4211_v1:2:13349917:13354159:1 gene:GSCOC_T00013959001 transcript:CDO96814 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE62 [Source:Projected from Arabidopsis thaliana (AT5G45800) UniProtKB/TrEMBL;Acc:A0A178UKF2] MQFKFEKSGPFSFETESGTSWVADIKEPSSAPVIMFEKPLLNLTFKDLIAATSHFGKESLLSEGRCGPVYRAVLPGELHVAIKVLQHARELTLDGSVAMFEELSRLKHPNLLPISGYCIAGNQKLVLYEFMANGDLHRWLHELPTGEPNVEDWSSDTWEIQNVSQITSPEKLVWHTRHRIAVGIARGLAYLHHAQSKPIVHGHLVPSNILLSDNFEPRIADFGLSENRGSGSTGEDVYNFGLILIELLTGQSGSTEMVNSVRRLVREGRGDNALDQRLKLGSDSVSEMVECLRIGYLCTAETPGKRPGMQQVLGLLKDIHPPLPS >CDP07795 pep chromosome:AUK_PRJEB4211_v1:2:26569870:26576517:1 gene:GSCOC_T00025179001 transcript:CDP07795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G21640) UniProtKB/TrEMBL;Acc:A0A178V6X3] MVEVEEQQQQSQSTDAEAETGSEIVTEGSSVVHGDPPQDGSGPPRVDSEVEVLQEKVTKQIIKEGHGQKPSKYSTCFLHYRAWTESTTHKFEDTWQEQQPLELILGKEKKEMTGLAVGVASMKCGERSLLHVGWELGYGKQGNFSFPNVPPMADIIYEVELIGFDETKEGKARSDMTVEERIGAADRRKMDGNDLFKEDKLAEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAASLIKLKRFDEAIMHCSIVLSEEENNVKALFRRGKARAELGQTDAAREDFQKARKFAPEDKAIAKELRLLAEHDKAVYQKQKELYKGLFGPRPEAQPNQSNWLVIIWHWLVSIFCHLFRRERHKID >CDP07640 pep chromosome:AUK_PRJEB4211_v1:2:24193607:24196494:1 gene:GSCOC_T00024960001 transcript:CDP07640 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGFYCFMILLIVSFSDASRLSKTPVKSIKSEDGDVIDCVHISRQPAFDNPLLRNHVIQTRPKFSLEGLPANKLSNSKNLPIAQLWQLSGSCPEETIPIRRTKQVDALTASSIHRNLHSTVARHTEQIRQEGRPKLQLAAALVEGEYYGAKATINIWGPLVQQSNELTTSLLSISRGSSLSNLSSIEVGWQVNPSLYNDNRTRLFTFWWNRFKGCYDLLCSGFIQITNKIALGGALSPLSIYHGEQFDIDVFVLKDASQDVWWLQVGKETLGYWPTSLLPNLANSASTILWGGIVFDSESDGQHTTTQMGSGHPPEEGFEGASYMKNLQVVVESDNLSPLSNPVAIAQQPNCYNVTLGKSSYSGDYIFYGGPGRNPNCP >CDP08757 pep chromosome:AUK_PRJEB4211_v1:2:53288791:53294364:1 gene:GSCOC_T00027846001 transcript:CDP08757 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPPSLLNTANTIQSILRPRLPFRFILIPPPRYFLPHLNSLFPPSRTSPSKPNPLFRTPNLTAMYSTAKAKAISADSSPDHVVGNWYSVPDLRLRDHRFSVPLDYSRNQSYTTTTPKISIFAREVVAAGKEDQSLPYLLYLQGGPGFESPRPTEASGWIGKACEEYRRGTGLSTPLTPSSMLQLKSAEEQASYLCHFRADNIVQDAEFIRKCIVPDGGPWTVLGQSYGGFCIVTYLSFAPHGLKQALITGGIPPIRSGCSAEAVYRACFEQIVHQNEKFYERFPLDIEIVQDVVKHLAETGGVRLPSGGILTPRGLQILGLTALGSSTGFERLHYMLERVWDPVIVPGERKRISYNFLNAYENWLSFDTNPLYALLHESIYCQGAASRWAAHRTWFEHESKFDAIKAAKEGRPVLFTGEMIFPWMFDEIHALSHFKDAAEILAEKENWPPLYDTDALKNNQVPVAASVYYEDVYVNFKLAMETASQIAGIRLWITNEYMHSGLRDGGGVVLDHLFGLLNGKKPLF >CDO97066 pep chromosome:AUK_PRJEB4211_v1:2:16256466:16258149:1 gene:GSCOC_T00014292001 transcript:CDO97066 gene_biotype:protein_coding transcript_biotype:protein_coding MYMDLGSFACRIGHLFSLLILLGVHLQQGRPTEVHGEKWNKLTVSHDQNDASKQMDMHFSIVDSTTPKDPNVLRLHSMDVDDQEHNKKDDAQERETRTRDAQPHAHTSSHMMMNHMDLSTTVFFLLDDLKLGKTMPIYFPDRDLTSSSSPPLLSETEADDIPFSSQQLPNLLRLFSFSPGSPQAIAMEDTLRQCEIAPIKGDTKFCATSYESMINFAREILGSEANIQVLSTIHLTRSVAGSESRVYEVSLYGENGERVEAIAVCHLDTSRWSPNHVSFRVLGIKPGTSPVCHFFPADNFVLVASTSSI >CDP04916 pep chromosome:AUK_PRJEB4211_v1:2:114572:126832:1 gene:GSCOC_T00019785001 transcript:CDP04916 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGMVICEGVVAVGSLVLLGWAGLWFLNRRLYKEYEEKRALVQIIFSVVFAFSCNLFQLVLFEIIPLLSREARWINWKVDLFCLIMLLVFMLPYYHCYLMLCNNGLRKERAALGAILFLFAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEESEIKALERQLMQSIETCIAKKKKIILCQMEMERIQGSEEKLKARSILKRIVGTVVRSVQEDQTEQDIKGMEAEVQALEELSKQLFLEIYELRQAKEAAAYSRTWKGHMQNLLGYALSVYCVYKMIKSLQSVVFKEAGSIDPVTLTISIFLQYFDIGINATLLSQASSFFGFFFLSKLLPLDVKSLVTLKFHHDL >CDP07672 pep chromosome:AUK_PRJEB4211_v1:2:24533499:24534373:1 gene:GSCOC_T00025001001 transcript:CDP07672 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVENLGDILFKVGLFVLVQALVYLVLSQSSNVFSKTQRSFSFKPARSISIQRMAAALADIPAGGESSPTVNDGRSLSRHGSTAQDSG >CDP07719 pep chromosome:AUK_PRJEB4211_v1:2:25365427:25368867:-1 gene:GSCOC_T00025069001 transcript:CDP07719 gene_biotype:protein_coding transcript_biotype:protein_coding MSSACVFSPAPNLLQIPSSKLIKTHFSRLNFNGLKPLKTPLKFTFHSPQTTREQQPTRLNAVAEEETETASVATAADPSSEAARRLYVGNIPRTVTNDELKRIVEEHGAVEKAEVMYDKWSGRSRRFAFVTMKTVEDANAAIVKLNETEIGGRKIKVNITEKPLQTLDSSLLQAEESQFIDSPHKVYVGNLAKDVTTDKLKNLFAEKGKVLSAKVSRVPGTSKSSGYGFVTFSSEEEVEAAISSLNNEILEGQRIRVNKA >CDP13958 pep chromosome:AUK_PRJEB4211_v1:2:4609082:4614867:1 gene:GSCOC_T00039109001 transcript:CDP13958 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYISMGAGRKTKTLPLKEKPEDKWTVNCSVSARNLRKADLGAVIFGCKHYTIKECQLKQLFGLPPAHFSYVKNVTPGLTLFLFNYSDRKLHGIFEAASTGQLNINPYGWTDEGTDHTPYPAQVQVRVRKQCRPLLEEEFAPIIADNYYESMHFWFELDRTQTSKLTDLFLSSAPRPPRNALRSQNTAKWSTLFNGLPSSDAREVDNGVRAPISEETAYNSQVRTECNSWESTVVDPKLESERSYASVLSSKSTTLEQKPWISLFKPSAASSGLHKKESFPAQSSKTLPPSDNSNMEWELSSVSSSLHREYQHLETCSNDWGSEGYEEPLDLKPSSMDSNLLSKVTDSITLSTSNISLATVGLREEIGHMKSIASGLHLHKPDEPDAEWESCVPRVLIRDGTALKTSIANDAKGMHNYSVDPVKIHGQDPDQLFLTGGEYPGEGEASVACTELKSCDVPSAVTQLMREIEELKGSHLKQNLKINSLEQELVQSRIEIQQLRSQCKMLASSSTSYSRGHLEGVDTEASKPFQGFDNSLLIVGGYNGSSWISDLSLYSPTHDIVKSLSPMTFMRSYASAAQLNGELYLVGGVHGNHWYDTVESYNLKHNQWAKRPSLNQRKGSLAGVSVLEKLFAIGGGNGVECYSEVEMFDANIGKWIFTQPMQQKRFAPAVADINGAVYVVGGYDGAQYLKSLERFDPREPKWTLLSSMSTKRGCHSAVALDEKLYAFGGYNGEKMVSTVEVFDPRVCSWMMREPMKHARGYFGAVVIGGKIYAIGGLKDKEEILDTIELFEEGYGWQVMKLKALGKRCFISALVL >CDO96820 pep chromosome:AUK_PRJEB4211_v1:2:13479166:13481228:1 gene:GSCOC_T00013965001 transcript:CDO96820 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLANLQVLYLDSNQIRDVLDIFCGLHSLGLLDLSQNHIFGSIPECFGNMTNLRELHLDTNRLTSMIPATLLSMKDLQILNLSSNFLSGSLPLEIRNLKATYSLDLSSNQLSGIIPTTIGMLQVLQNLSLARNNLQGSIPESFSHMVSLEFLDLSHNNLSGVIPKSMEALKSLKECNVSFNRLSGEIPGDGPFRNFTGQLFMNNEGLCGDPRLSVPPCQSNSIRRSSKRKVLLLIISVSGIAAILIIAIGALLNLWWLKKPKSGSELMSMAKYDRFSYYDLLRSTDNYNESNLLGEGSYGSVYKGILSDGTVVAIKVFNLLVEDSLKSFDRECEALKSLRHRNLTKVVSCCSNPDFKALVLKYMPNGSLEKWLYSHNHFLDMFQRVNIMIDVACALEYLHYGCDTPVVHCDLKPSNILLDEDMAAHVSDFGIAKMFGQGESILYTNTLATLGYIAPEYGSEGIVSTRIDVYSFGIVLIEIFSRMKPSDEMFSGDLSLKSWVEDCLPDALQVVDANLIRPEDEHFTHKLKCVLLIMNLALNCCRESPGERMNMKDVLANLKKIKHQLLMAPTLRP >CDO97194 pep chromosome:AUK_PRJEB4211_v1:2:17204058:17204923:1 gene:GSCOC_T00014460001 transcript:CDO97194 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVESVQCFGRKKTAVAVTHCKRGRGLIKINGVPIELVQPEILRYKAFEPILLLGRHRFAAVDMRIRVKGGGHTSQIYAIRQSIAKALVAYYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >CDP09432 pep chromosome:AUK_PRJEB4211_v1:2:22427135:22432563:-1 gene:GSCOC_T00028793001 transcript:CDP09432 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFPGSRPLPRQLPIVAEVTNTHITLVREESSAQPSLRYVQDSNLRASVRTRKGKDSPQEIEEPMHDVVSIKSSVDSYEEPGSTSFHGVSHPPEPIDTDLMKPVYVPIGQNKADGKCLVKSLSVKGPFIEDLSIRVPGIKPSISVLSPSESLVEEPIDLPAVSSPFAIPRPSQNTEVSLPPDSEEKECVWDASLPPSGNVSPHSSIDSTGVVTAMSIGNSCTSTYRSDGIMSDGMLSVDRHYESTKMSTRGDSLESAKTSLSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRARDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTERDILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTSSMDDPSKRGAAFCVQPSCIEPTSVCIQPACFIPRIFPQKSKKKSPKLRAENALLAGMLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQPLRFPDSPATSYASRDLIRGLLVKEPQHRLGTKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPIEAELPVKFGKVDHPIGVGSSSKRIVGTDAMKSGGKYLDFEFF >CDP15239 pep chromosome:AUK_PRJEB4211_v1:2:48913223:48915277:-1 gene:GSCOC_T00042879001 transcript:CDP15239 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNMLTPKALFSCMLTIEVLFFLFKFLLRSLSNVHSSVQKRFWFTHDLQPKLHKYSSLSIKADYLLNETLVFHMEEALLKSSSLFPYFMLVAFEAGGLLRAILLFVAYPLCCFFSKEMRTKIMVFICFFGIKRDRFRVGRSVLPKFFLEDVGYEGFEIIMRHKRKVGVSDLPIVMVEGFLKDYLGVDFVMGREMKVAYGYFVGFMEERKNSNSFLNEITGEEKINSLVGYHSLGTTFSQHFFSHCKEIYLVTRAEKRKWHILPRDKYPRRLIFHDGRLAFRPTFSATFLMFLWFPFGLFLCIIRATAALFLPYGIALPILAFTGIKGTMSMYHTPSDKKSRGTLFVCNHRTLLDPIYVSMALMKPVTAVTYSLSRISEMLSPIRTVRLMRDRKNDLRRMNEMLCQGDVVVCAEGTTCREPYLLRFSPLFAELTDDIVPVALDMQVSMFYGTTASGLKCLDPLFFLMNPFPTCMIKFLERLPASYTCGSGGKSRYEVANYVQCEIGKALGYECTSLTRKDKYMILAGNEGVI >CDP04994 pep chromosome:AUK_PRJEB4211_v1:2:723362:723661:-1 gene:GSCOC_T00019889001 transcript:CDP04994 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGIIHRDVDWEWEESGWTCVYLYKIGGSCSISEELYNCKNFREDEETNFIWNILLHWSILEHMSNGACGAPLTARIALLVCFIVFCSDFLFFYCEVD >CDO99888 pep chromosome:AUK_PRJEB4211_v1:2:8039464:8041377:1 gene:GSCOC_T00029595001 transcript:CDO99888 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRNVITWTTLICSFSQMGLSEKALSCLRSMVLEGFLPNEHTYVGAISACVNTRAVSIGKEIHGRIYRTQDSLNSFVSNSLVNFYGKCGLLKSARLAFAAIFEPNLVAWASLISCCFQCGQNEEGLKLFLRSLRVGMTVNEFTCSSVLGACTMLENLELGKQIHCLVVKCCILMDQFVITGLVNFYAKCGQLEAAHQAFLEANEPHLSAWTALIGGCVQQGKGRDAILLFHRMLSSGMKPSEKTFASVFGAIHDGMDVRVGKQLHSLIIKLGFDSFTVVCNTTLAFYIKRGLVEEALKTFYEMDEYDIVTWNAMITGFVGSGHYEGAIQFLRDMLFEGFDPNLYTYSSLLSICGDLPAVQWGKQIHSRILKPGFDSNVVVGSALIDMYAKCGHMDAARKVFDTFPSRNLISWNTMLVGYAQNGFAKEALEIYDMMQMNGVKPNDITFIGVLSACGHVGLLQEGLCHFNSMIGDYRITPKADHLACMVSLFARHGQTQEAFDFIRRFPGEADKVVWRCLLSGCKTNKDVVLGKYAAERVLSIDPDDTSVHIMLSNIYAGLRMWNELAETRKLMKEKTLKKDTGFSWTELKNRIVLFSASQNPHLEQNSLHEVLSGLAAQLVDEKYVPEIMFSLQCGE >CDP05079 pep chromosome:AUK_PRJEB4211_v1:2:1383262:1387130:1 gene:GSCOC_T00020003001 transcript:CDP05079 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQILCISFLLLFCCLIIKAQSTSNKNSDHGCVPSSCGNIKNIAYPFRLKGDPKNCGDLDFELDCQNNRTILTLNSKKFHVQAITYDKFTIRAVDPGVDNNDSCSFPTYASIRYDDLPTLVYNKFFDYNIPVAYINCLKPVNASRYLDNTACRSGSAAAGSSSSAFSNSSRVHSYIAVGEDFKVSDLEESCGVEMFTSVSSRGPMKDITTSLASVHELLAYGFELSWFRVLCQECEATHGSCSLQDNTVTCRHYCPEDVPLSQLGFRCQFEFWGGIIALISAIALGGLVGLRFLCGITCLIALVVYKWRRRHLSADETIEEFLQSQTGLAPIKYSYSEIKKMTENFREKLGEGACGSVYKGKLRSGPFVAVKIIENSITSELEYVSEVATIGRIHHVNVVQLIGFCTEGSKRALIYDFMPNGSLDKYIFPRGQSVSLSCEKMFEIALGVARGIDYLHRGSARGTMGYIAPELFYKNIGGVSYKADVYSFGMLLMEMASRRENRNPGAEHVSQIYFPSWAYDHLHAGKDIDMGCATAEERKLVKKMILVALWCIQMKPADRPPMNKLVEMLEGDANLVHMPPKPFLAPREMAEEYGTNTSGGSSSLVLSIH >CDO97645 pep chromosome:AUK_PRJEB4211_v1:2:20649620:20659244:1 gene:GSCOC_T00015047001 transcript:CDO97645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-c [Source:Projected from Arabidopsis thaliana (AT5G49890) UniProtKB/Swiss-Prot;Acc:Q96282] MEYLRQREREDGGDIENEAGGEGGGEEVVYENYERNEIERKASFFSENQRDHDVFGAGGQSANRLLLREPLLKSKSRINTTSQIAIVGANVSPIESLDYEIIENELFKQDWRSRKKVEIYQYVFLKWTLALLIGLCTGLVGFFNNLAVENIAGFKFLLTNNLMLKHQYFLAFAAYAGCNVVLAVCAAVLCAFIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSIFGVAAGFVVGKEGPMVHTGACIANLLGQGGSRKYHLTWKWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLMIYCGSGKCGLFGKGGLIMFDVSSAVPAYSTPDVLAVILIGVLGGIFGSLYNFLVDKVLKTYSIINERGPGFKVLLVIFITLLTSCCSYGLPWFAKCTPCPTGIEEDCPTVGRSGDYKSFQCGPGQYNDLASLLLNTNDDAIRNLFSSKNIHEFHLSTLVVFFVAVYFLGIVTYGIAVPSGLFIPVILAGASYGRLVGRLVEMVLGSSSGLGVGLFSVLGAASFLGGTMRMTVSLCVILLELTNGLLMLPLMMLVLLISKTVADSFNKGVYDQIVKMKGLPYLEAHAEPYMRQLVAGDVCSGPLITFSGVEKVGNILHALRLTRHNGFPVIDEPPLSDAPELCGLVLRSHLLVLLKGKNFLKHPVSTGLEILKRFHAFDFAKPGLGKGLKVEDLEITAEETEMYVDLRPITNTSPYTVVETMSLAKAALLFRELGLRHLCVVPKKPGRPPIVGILTRHDFMPEHVLGLYPHLTRAHKVM >CDP08787 pep chromosome:AUK_PRJEB4211_v1:2:53006820:53011093:-1 gene:GSCOC_T00027885001 transcript:CDP08787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase RHB1A [Source:Projected from Arabidopsis thaliana (AT4G00335) UniProtKB/Swiss-Prot;Acc:Q2HIJ8] MGGCCCSSRKPQFHGTPVFYYCPSVSEEHESLTSNDSVATALTAGFLVDLNLDTSIPDTFRSPPTPIPFDVVLGRPPTDSNSAGEPGNASSFETDIPVENKESDLKAEPGFLLATTKKIGNELLKSSPHLNSLTPDEEADVCPTCLEDYDAENPRIVTKCNHHFHLSCILEWMERSDTCPICDQEMIYEAL >CDO99839 pep chromosome:AUK_PRJEB4211_v1:2:8405609:8408760:-1 gene:GSCOC_T00029535001 transcript:CDO99839 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLRNPVISRAPSLLRARFFSSTCRSLPRRYHIGTIGSNDVIIVGCGTGLVNAAATTQVLLDFFKISAVIHFGTAGGADSSLSVGDVVIPMQFSQTGLWDWLKSETAAQPDNGVADLEFRRYHIPADGYNLLGRVAYMKEYFFSPTGEPDVPERKFWFEASEDLLQIASTLEGIELNQCLNKVCLPQKPKLVLGVNGTTANFFVDNAAYRDFLHKTFDVASIDMESAAVIMTSLANGHSVIAIRGLANLAGSEEEGEDSSESYDAIVAANVEKTVVALVNNIPSTRLGRICGHRKCSA >CDO96994 pep chromosome:AUK_PRJEB4211_v1:2:15586956:15591525:-1 gene:GSCOC_T00014200001 transcript:CDO96994 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSRSRSRSRSPMDRKIRTQRYSYRDAPYRRESRRGFSQSSLCKNCKRPGHFARDCTNVAICHNCGLPGHIASECTTKSLCWNCREPGHMAGNCPNEGICHTCGKAGHRARDCTAPPMPPGDLRLCNNCFKQGHMAADCTNDKACKNCRKTGHLARDCQNDPVCNLCNISGHVARDCPKGNIFDERGGGPRIGGGVGGGYREIVCRNCQQVGHMSRDCMALMICHNCGGRGHLAYECPSGRFMDRFPRRY >CDO96986 pep chromosome:AUK_PRJEB4211_v1:2:15541895:15543025:1 gene:GSCOC_T00014192001 transcript:CDO96986 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIQWCGSAARRMLKATEQRLSFSPSSSSSSLSSLSSSSMTSSPILCGRGDKRTKKGKIFKGSYGNARPKREKKIERIKDRVEVPRSTPWPLPFKLI >CDO99552 pep chromosome:AUK_PRJEB4211_v1:2:11391465:11395229:1 gene:GSCOC_T00029181001 transcript:CDO99552 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSVKELKSKAELEKTLVEKTTVILHFWASWCEASKQMDQVFSHLSTDFPHARFFRVEAEEQPEISEAYSVAAVPYFVFFKDGKSVDTLEGADPSALANKVAKVAGSVTADEPAAPASLGMAAGPAILETVKDFAKDNSKVESHSPGTGNGLKLRLEQLVNSHPVMLFMKGSPEEPRCGFSRTVVDILKKEKIKFGSFDILTDDEVREGLKKFSNWPTYPQLYCKGELLGGCDIVTAMHESGELKEVLLDHGTEASDSSKAEATEPGMGKGGISEASGLSADLNSRLDSLINSSPVMLFMKGEPDAPRCGFSRKVVDILRQEKIDFKSFDILTDDEVRQGLKVYSNWSSYPQLYIKGELIGGSDIVLDMQKSGELSKVLAEKGIPNGITLEVRLKQLITSSPVMLFMKGTPDASRCGFSSKVVNALKEEEVEFGSFDILTDEEVRQGLKTLSNWPTFPQLYYKGELIGGCDIILELKSNGELKSTLSE >CDP08838 pep chromosome:AUK_PRJEB4211_v1:2:52577118:52580812:-1 gene:GSCOC_T00027947001 transcript:CDP08838 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVGVAKAVEPAAPLAAEPPLASAVPPNDVVEEKAIVKAEKASDPSVQKSSKGSVDKDVALAEVENEKRLSFIKAWEESEKTKAENKAQKKLSEVSSWENTKKAAIEAKLKKIEEQLEKKKADYGETIKNKIAEIHKQAEEKKAMVEARRREEILQADEMSAKYRATNQAPKQVGCFGC >CDP08681 pep chromosome:AUK_PRJEB4211_v1:2:53881095:53891646:1 gene:GSCOC_T00027730001 transcript:CDP08681 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNVRGKTKGDKKKKEEKVLPVVMDIRVNLPDETHVVLKGISTDRIIDVRRLLTVNAMTCNITNYSLSHEVRGPQLKDVVDVAALKPCVLTLVEEDYDEESATAHVRRVLDIVACTTSFGPSANRDSKADKNAPDTTKASKKSSKSNGGNNKQQHSSPPPTPSSPAAAAAAKDAASIDGEGEMSSACPKLGSFYEFFSLSHLTPPLQFTRKATKQQDDESLGTDHIFSLEVKLCNGKLVAVEASRKGFSSNGKQQILCHNLVDLLRQLSRNFDKAYNDLMKAFAERNKFGNLPYGFRANTWLIPPVAALPPSVFPPLPTEDERWGGNGGGLGRDGKSDLLPYANEFSFLASMPCKTAEERQIRDRKAFLLHSLFVDVAIFRAISAVQLVMRMPELAHSAVSTQTIYTERVGDLCVSVTKDVSDASCKVDTKIDGAQATDVDTEKLIERNLLKGITADENTAAHDTATLGFVNIRFCGHIVTVKVQGREDENLGPPLQSLELLAQPEGGANALNINSLRFLLHDKAALEQNKCIPHSQTLESEDLSSSQAFVESILGESLIKLQEEEELRETYVRWELGACWIQHLQDQKKTEKDKKLSNEKTKNEMKVEGLGIPLKSLKNKKKNSDGSTVESQSGNLKPVADDMSVEAEKSVSLSTESHAETDANDNELILKTLVSDSAYTRLKESETGLHRKSMQELIGLSQKYYNEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGQVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIASVVKTENLSAVIAAALNMMLGVHENEESSKCCGVHFLVWRWLEVFLKKRYEWDLSSSNYKDVRKFAILRGLCHKVGIELVPRDFDMNSPNPFRKVDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVSYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKLDC >CDP05215 pep chromosome:AUK_PRJEB4211_v1:2:2481327:2483123:1 gene:GSCOC_T00020181001 transcript:CDP05215 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPPSPELDVQPIHGLTTELSLGKDNIVGEEPEWDTKDEFCNRTETKSLGQSFVPLVSCHDKDVTELIEDQSENASQQHRLKSFSNLYGDDHGCLNQKKRALNVEALKPSDQLQQKFRSQGDIKCESDFTDAIRDSEIGNGALKSPPNSSESNLLEERAGSSRTGALKSTRNGSESNLLEERAGSSGKWRRTRLASEQSCEIGESDGTNKRRKTRWDVGDAQIKLLGPMHLSEFYNQFKESELDPEINDLKMQLVEINSKLQSSDVQDDRPEEERSPSPEPMYNNLGIRINTREVRLRRRLSDERTLIISKLVEKNPTFKTPLKPKLTKLFKKLYVPVKEYPTYNFIGLIIGPRGNTQKKMEKETGAKIYLRGKGALKALEKRGQAGNEDLHVRVEADNKRSLDAAVAMIEKLLIPFADGVNVHKRAQLAELARLEGTYKDKNTCNTCKEQGHREYACPFRKSTFRGITCDSCGSFCHPTSNCPMSSQLYKPSWNSFGLGSVLVPNRESNLHKETDFTNLYVGHLPQIFGSNKLKELFLPFGKISDAKVIKNRITGLNKGYGFIAFENSSDAARAVEYMNGYEFNGKKLAVRLAEQ >CDO97202 pep chromosome:AUK_PRJEB4211_v1:2:17252316:17255577:1 gene:GSCOC_T00014469001 transcript:CDO97202 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISCANYFTISSTYVVDHQFPPCLSPSSYRSTLGCSRLPPPTEHYRRRHFAKALRPADVAPSYSDTTNSMPLENLYLQPKGPTNTVGEFMTGRDDLHVVKACTTVEDALETLAKKRITGFPVVDDDWKLVGVVSDYDLLALDSISGRSQADTNLFPAVDSTWKTFSEIQKLLGKTNGKVVGDLMTPSPLVVQESTNLEEAARLLLETKYRRLPVVDADGKLVGIITRGDIVRAALRIRRASEQIG >CDP09353 pep chromosome:AUK_PRJEB4211_v1:2:23331543:23332511:1 gene:GSCOC_T00028683001 transcript:CDP09353 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKGSAPKRAAALTKRASPWRASVAPTRRALAAAAAKREAAATRRAEEYQKAKVWKEWWDRKKKEAKEFDLEDWKERNRDLSHKSREQILQECWKSVPSFPLNLGAIGGLDDVVKFCFVHDVDPKLWEKYVAECRESEGSDVVSYPGPSPYLPLRPITSYLKNPELHQELIQLATRALEEVHSICNFC >CDP08591 pep chromosome:AUK_PRJEB4211_v1:2:39732288:39733934:1 gene:GSCOC_T00027587001 transcript:CDP08591 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIVTLVLLPFFFFLHLVLATTRIPATSLATAIQGEWRLLQECIGISAMHMQLLHNNRVIMFDRTDFGNSNISLPGGRCRRDPHDVALKTDCTAHSVLYDIGTNNFRPLMVQTDTWCSSGAVLEDGTLVQTGGYNDGDHAVRKFSPCGGEDCDWVEFPNALMRRRWYATNQILPDGRIIIVGGRGQFNYEFYSQPATVSYDLEFLKETKDEGENNLYPFLHLLPDGNLFIFANTRSILFDYRQSKVVREFPQIPGDASRNYPSSGSSVLLPINENGPIEAEIMVCGGAPHGAFTSARQGYFIRASSTCGRLKVTDQNPAWEMETMPMARVMGDMLLLPTGDVIIINGASSGTAGWENARNPVTRPVIYKPRAMQHNRFSVMETAQRPRMYHSSATLLIDGRVLVGGSNPHVYYKFTGVQFPTDLSLEAFSPPYLAQLHDPVRPRIVGMAENITYQRKFSVTFSVNNFLKVASLSVRIIAPSFTTHSFSMNQRMLILKFVEAVNVASSTYRITAIGPSSPEIAPKGYYMLFVVHEDIPSTGMWVNVV >CDO97639 pep chromosome:AUK_PRJEB4211_v1:2:20581841:20592616:1 gene:GSCOC_T00015038001 transcript:CDO97639 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNSDFECSREIYPVLFFLHFLSLELGSWDSFEPLRYLPDQSSSLPLESSKQCTFLFFASPKKSNKPSNNLFPKAETNKTSLLSSLPLLFSIEESCWKEKRTAKSRWVLGKNTVEMKSKSKKAPKKEDTAEDWCFVCKDGGNLFLCDHKQCPKAYHSHCVEKNESFMTSEECWNCNWHSCFMCGRKAHFHCYCCPNALCRFCISAAEFCRVRGMNGFCNSCLKLAILIEENMDVDSDGEKVDFKDRETYEGLFMEYYKIVQEKERFKIDDIRAATDRKKTGNSDSDEFGNDEVVSEYEKEEDLKQHLSKKAFKGKLSWKHKNARSKIMQFTGWGSTSLIQFLQSIGKDTTEKLSERTVEAIIHRYIAEHKLFQPEKKRKIICDENLRSIFGRKFVNKNRIYELLEAHYSDNLEDSEEDEWEEEEMYFAVYKDKDASVACGTRRELKKKRESQKHDTELEKEKESEKHGTLLTAPQSHFASITAENIKLVYLRKSLVIELLKQPESFKDKVTGSIIRVKSDPDDYRWTKNFHQLVQVTGIKETPTGENNNDMILCGSNMPLGIQISMLSDGDFSQEECNDLRQKVKDGLVQKLSVVELQEKARSLHEDIANHEISRELKILDGRIIQAIEKGRRQEYPYTELT >CDO96724 pep chromosome:AUK_PRJEB4211_v1:2:12408142:12410884:-1 gene:GSCOC_T00013835001 transcript:CDO96724 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFLAMAAFGLTEAVDISQLRLLAAKNNMTCVLVFGDSSVDPGNNNRLDTTNKGNFPPYGKDFINGRPSGRFTNGRLATDFIAEALGYKKIIRGFLDPHLNKIDMLHGISFASAASGYDDLTANLSSVISLSKQMEYLKHYMIHLRRLVGATKAEELINNAVFVLSMGTNDFLQNYFLEPVRSKQFSVAQYEDFLITSMSSAIKEMHSIGARRVVVVGVPPLGCLPLVLTFRGETKCDEALNKLALSFGTKLRQELTSLKGSLGLKTSFLDIYAIIGTVIQNPKSYGFTEALKGCCGTGTYEYGTTCRGLATCSDRTKYVFWDAVHFTESLYGILADEALKTISVDLLN >CDO99669 pep chromosome:AUK_PRJEB4211_v1:2:10030078:10038230:-1 gene:GSCOC_T00029334001 transcript:CDO99669 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHKDIASISLLAIFNIHFFLPTCYASNDTLTPSQPLKVGQALISAGQIFELGFFSPGNSSRLYIGIWHKTDIDHRVVWVANRENAPLEGDLASLIISSHGNLMLIDGKQNTVWSSNASVQSNSTIAVLQDDGDFILKDNVSGETLWESFYYPTDTILAGMQIGFNSRTGRESFLTAWKNENDPAPGNFVYGLTDERPAQSVAWNGTKPHWRSGPWNGWKFTGIQDIAEGYSNGIFVTPNNQMGAASMTFNNFDNSDVSIGVISTTGMVEVWYKEREQNKWNFKLAASLDPCDAYGTCGPFSVCSRNHAPTCECPKGFLPRSNEEWSKGNWTSGCVRRTELMCNENRSNLSFNASKLDGFWKVGQMKLPDHYVYLYDKTDQGGCSQWCLSNCSCLAYAYPDGIGCLVWVTDLIDMQQLVKSGEDLYLRLANSDLGVKSRQTAIIVSFVSISVGFLLGVKWFTIKSIRKRGKVKGHLADKKGTLIDTSQDNIQENPASSKGSSELPIVDFNRIKIATNNFSEANKLGEGGFGTVYKGSLEDGQQIAVKRLSSHSGQGMEEFKNEVILISKLQHRNLVRLLGCCIQGEEKIVILEYMKNKSLDTFLFGKYYRTKRLELDWAKRFSIIQGIARGLLYLHRDSCLRIIHRDMKASNILLDDEMNPKISDFGLARTFRVTQELANTGRVVGTFGYMSPEYAMSGQFSEKSDVYSFGVLLLEIVSSKKNTGFGYHEKYLNLLGRAWQLWNECKAPELLDQSLADSCTPTEVKRCIQIGLLCVQDHAADRPTMSNVVLMLSSSEGEMC >CDO99825 pep chromosome:AUK_PRJEB4211_v1:2:8579207:8581083:1 gene:GSCOC_T00029521001 transcript:CDO99825 gene_biotype:protein_coding transcript_biotype:protein_coding MALTEKQEALVKESWELLKQDIPRHGFRLFALILEIAPGAKDLFSFLRDTDEIPENNPKLKAHAARVFKLTCESAVQLREKGEVVVADTTLKWLGSVHLRKGVLQPHFEVVKEALLKTIGEGVGEKWSEEMKNAWGEAYDHLAAAIMGEMQVEAAASSKPIA >CDO97102 pep chromosome:AUK_PRJEB4211_v1:2:16512250:16512966:-1 gene:GSCOC_T00014339001 transcript:CDO97102 gene_biotype:protein_coding transcript_biotype:protein_coding MRYNEIPHFSHPQHKLKFEYTEVPFKCDGCKEVGIGSRYKCSICDYDLHMHCALPSSSITHPFYTKCSFQFLPRPPGNIPRYCNACEKDVTGFVYHCKSCGFDLHPCCAKLPMALDDGEVKLYLYRKVGASCHRCGRKGRSWNYRSTCKKYNLHVACVKEMLVESWHEIYFGARNGTRKLETRIPSLRGTLQSYHRRSKGKVQKCCEIAGLALQFVISAVLGDPTTLIAGVVGSLISK >CDP06832 pep chromosome:AUK_PRJEB4211_v1:2:38159932:38160483:-1 gene:GSCOC_T00023841001 transcript:CDP06832 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRKSSLISASPSSSSSYSSPSPTSASSNCSSSSITANSLASSIDSSSSSTPPNFCPISSGISASSSSKRCQGLDLLVKAIHLVTAGSVVGVPYIQRRVVRRRKAAVKFNHGLILSKFQVLNREPEEEEMMNQVKSRTRSMSRRQSRAVGFPSKHQDSVLQTWMLKNRRQRSIKIGDEMCS >CDP18240 pep chromosome:AUK_PRJEB4211_v1:2:48447552:48451389:-1 gene:GSCOC_T00011801001 transcript:CDP18240 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQRRINPSFLSLCLALGLLFACATAKVFFEERFDDGWEKRWVKSDWKKEDNTAGEWNYTAGKWHGDPNDKGIQTSEDYRFYAISAEFPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYTTKKVHAILNYNETNHLIKKDVPCETDQLSHVYTFILRPDATYSILIDNVEKQTGSLYSDWDLLPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKAKKIKNPNYKGKWKAPLIDNPEFKDDADLYVYPNLKYVGIELWQVKSGTLFDNVLVSDDPEYAKKLAEETWGKHKDAEKAAFDEAEKKREEEEAKDDPVDSDAEEGDDDDAADEADSDDADAKSETKEDATAAAEENVKDEL >CDO97718 pep chromosome:AUK_PRJEB4211_v1:2:21271889:21274808:-1 gene:GSCOC_T00015138001 transcript:CDO97718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 8 [Source:Projected from Arabidopsis thaliana (AT3G60720) UniProtKB/TrEMBL;Acc:A0A1I9LQV4] MPRSLQQHKPQFTTLALRLSSFFLLLVLSNQSHHVKADIFIYSDCSEGKYQPNSPYVTYLNTLLSSAVNSASQSLYNSFALGNDSSAPAEASIYGLYQCRGDLETRDCATCMASAVNQINLVCPYTYGAILQLDGCFLRYEHIDFLGKPDTSLRYKKCGKRASSDAEFLRGRDAVLANMQGSISFRVSTSGLVEGYAQCIGDLSEADCSSCLADAVVQLKSLCGSSEAADAFLAQCYARYWASGYYDSSDSSHGNDDEVGKTVAIIVGSVAGFAVLIVLISFCRTAVRSWGCFLVPLELVSPRPFILKDQGSNLGEAPLQTLPRPSLRRYRDGQIHN >CDO97661 pep chromosome:AUK_PRJEB4211_v1:2:20792026:20795057:-1 gene:GSCOC_T00015067001 transcript:CDO97661 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGKKRKRRLEQLPGTGKKSGWNWIDGQQSEFETAAVTIVVNFPILELPVNLIYDILSRLPLRSICCCRLVCKTFLELLAQPYFAQLHLAKTSLTTVSLALQKNIFQQGLLCFHLLDIDEVSKGAPCSTACHHDICRAGSPSHPCRSLTTQNADFYFSAREAVIVGSCNGLLCLYYALQNAYVILNPILGEYVVSGCLPSSTPAYTYMNHSGFGFCPGTRQYKIVRFMCVTYVVGSLVFTPETEVMVEIHTLGSTSWRKIYNVPCPKIQGSFDPLLNGCFHWITTSCKPSDLICSLDLEKECLKHLPTPRHFSQSYVNKISWITVGILGGCLCLCYVYEDNLFEAWVMKDYGVKESWTKDFSITINFYSGLRLEHLNRPIKFLNNGDLWLVSDNSVVSFSPRAGTFKDFKVLEPWVTEVVVHTPSFISLKHALQGNNLEVKYLGRARPAKISVM >CDP08906 pep chromosome:AUK_PRJEB4211_v1:2:51886142:51890660:-1 gene:GSCOC_T00028039001 transcript:CDP08906 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKRWVRRNKDYVHSMESLANGLTWLLPERFSDSEIGPEAVTSFFGIITAINEHIIETTPTQRVTGQAETSSFPYSLCITLLKDLETLVEVAAQHFYGDDRKWNFIAATEVIKVLVRLAMIKNSGYKMLLRGGETVYVEKGLDAVNYSKQRHGELVDPRSHNLKNHFQHTPWNLEGKALSALSRFGENARMVSERTWLSRVQHQQAIMEPPTLMVEKPSLSTFLSEKGVPGGLFVMGEVMFVVRPLLYVLLIRKYGTRSWFPWVMSLGVDLIGNGILSAVAVSRNSRKGPLFYLSNDERDELKRRKLLWALYLMRDPFFTKYTRKRLDHTQKLLEPVPVIGFFAEKLIELLVGAQTRYTYMSGS >CDP18374 pep chromosome:AUK_PRJEB4211_v1:2:43144695:43146336:1 gene:GSCOC_T00012954001 transcript:CDP18374 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNLECASNLIGVKDSLFKYLVLLLVAARLLWWSNAAAWTNRTTASALIISCFFNLADASCVYMIYDLPGIPNHVVLLF >CDP15540 pep chromosome:AUK_PRJEB4211_v1:2:46425568:46426322:-1 gene:GSCOC_T00015409001 transcript:CDP15540 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVKYLLMEILTGKRSVDAEFGDGNSTAGWLRTKIKSKNGFLDILDQNAGASCDPLQHEMMLLQGIALICTSRNPADCPTMGDVSINLARGQAKEETTRRWCNWKRPRQWHRLWCSIPLAQKPAPIIEC >CDP05224 pep chromosome:AUK_PRJEB4211_v1:2:2532086:2533589:-1 gene:GSCOC_T00020190001 transcript:CDP05224 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSNTATRKNNGSSGGGGSSPSFYCWTDERHVRFLNSIEASFVRAMFEHNNNNASNRRQCHSLRLDRPLPDCFDSTLDVGKDRRRRHSTSDAAEYSSSVVRTDKKSRRRLSSPDQVVPQLEGDDDDGIKDEKEKEV >CDP05332 pep chromosome:AUK_PRJEB4211_v1:2:3401315:3402788:1 gene:GSCOC_T00020336001 transcript:CDP05332 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSKAMKRIRNRGNRMLVNSPMISLPPELVTEVLARVASSSATDLFTAKLRHGFSQIAEESYVYKRVSLDKFPVVAWHHRRQIAAFFNKCKCSKNPEALYRQGVVDFFGGEEPNSAIECLKEAMESGHEAASYAMAIILIFFGGDMKQKGITYLRGMKKSRILQGRIGYCRESLRRIIRRIWVKNPLVLNERPKCCTMQHKKAKGWTRYQFDRDDNTCEACKCDEEIAYICDALPQINI >CDP00018 pep chromosome:AUK_PRJEB4211_v1:2:6956736:6957476:-1 gene:GSCOC_T00029774001 transcript:CDP00018 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVALGRAKPWGLGYQLVLKCKLGFLGALKNLSLPFNNFIGSLRESLSKMVSLETLDVSSNNLSGVLSNCSMLESLDLSFNYLTGTIPSRLESLSQLRDLIAWLNQLYGEIPQELMYLRRLENLILDFNDLNGSIPASLSNCTNLNWISLSNNQFSGQILLF >CDP08794 pep chromosome:AUK_PRJEB4211_v1:2:52946457:52950464:1 gene:GSCOC_T00027894001 transcript:CDP08794 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSLFKRKSSIPFNGLIRSSRLFSTETLLDNCPSFAQRLRDLPKDFPGTNIRKEASQLIGRTPIVYLNRVTEGCGAYIAAKQEMFQPTASIKDRQPATFAMIADAEKKGLISPGETTLIEPTSGNMGISMAFMAALKGYKMVLTMPSYTSLERRVTMRTFGAELILTDPTKGMGGTVKKADQLLEKTPNGFMLQQFSNPANTQVHFETTGPEIWEDTNGKVDIFVMGIGSGGTVSGVGQYLKSKNPDVKIYGVEPTESNVLNGGKPGPHHITGNGVGFKPDILDMDVMEQVLMVSSEEAVNMARELALKEGLMVGISSGANTVAALQLAQKPENKGKLIVTVHPSFGERYLSSVLFEELRKEAENMQPVPVD >CDP00037 pep chromosome:AUK_PRJEB4211_v1:2:6719016:6721968:-1 gene:GSCOC_T00029797001 transcript:CDP00037 gene_biotype:protein_coding transcript_biotype:protein_coding MQIINLNKITNSNKPLHQHSAPPVDTGLLPSGKTFVWQFTAMAPKADTTKKVDTKAQAAKVAKFVKSGTTFKKKAKKIRTKVTFHRPKTLKKDRNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >CDP17787 pep chromosome:AUK_PRJEB4211_v1:2:51343890:51354990:1 gene:GSCOC_T00003908001 transcript:CDP17787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT1G01950) UniProtKB/TrEMBL;Acc:F4HU83] MASGRNGFPRGPNLRNSSSFKSKLPPSSAVRRSSPGALGAVSGRVRVAVRLRPQNAEELEADADFADCVELQPELKRLKLRKNNWDSDTYEFDEVLTEYASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTYTLGRLGDEDTSARGIMVRSLEDIFSNISQETDTVTVSYLQLYMETIQDLLNPANDNISIVEDQKTGDVSLPGATVVEIRDQQSFVELLRIGEAHRFAANTKLNTESSRSHAILMVQVRRSVLGRENDFTSEHDVSSNGVNSFKPPIIRKGKLVVVDLAGSERIHKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLKDSFGGTSRTSLVITIGPSPRHRAETASTILFGQRAMKVENMLKIKEEFDYKSLSKRLEIQIEKLTAENERLQKACEDEVERIKLEAQKQISEAERDCAEALKEEKMKCQMDYMESIKQLEEKWMLNQTKHASNGFIGTSHAAEGGMPSGNDEVSELKKLLQNEVQQRKAAELEIKNLKDCLLKSMKPELAGGNADIFNLQKMLEEESQQRKKLEEEVIVLRHQLSQLTLEASQTTSCPDRSRTGNGFVGLDSVSSLRHLQFKDASDGERPSISNLHEKVGLHKILSLLESDDPNVRIHAVKVVANLAAEEANQAKIVEAGGLSSLLMLLRSYEDETIRRIAAGAVANLAMNEFNQELIMAQGGICLLAMTASDAEDPQTLRMVAGAVANLCGNDKLQTRLRSEGGIKALLGMVRSRHPDVLSQVARGIANFAKCESRAATQGTKHGRSLLIEDGALPWIVQNANNEASLIRRHVELALCHLAQHEVNANDLISGGALWELVRISRDCSRDDIRALACRTLTSSPTFVAELRRLRIEV >CDO96974 pep chromosome:AUK_PRJEB4211_v1:2:15423166:15427306:1 gene:GSCOC_T00014173001 transcript:CDO96974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14b [Source:Projected from Arabidopsis thaliana (AT5G45600) UniProtKB/Swiss-Prot;Acc:Q9FH40] MPQSSSSSKKHGTDQPDSGGPASKSQRTKMVKSSDDTEKKNLAKKLKELEISVPIVYGNIAFWLGKKASEYQSHKWTVYVRGGTNEDISVVVKRVVFQLHSSFNNPTRVVDGPPFELSESGWGEFEIVITLHFHNDVCEKPLHLYHHLKLYPEEDSGPMSTKKPVVVESYDEIVFTEPSEGFFSRVQNHPAVVVPRLPAGFALPPPVPLEDADKRRRGDTKDHTLSQWFSNFSEADELLKLAAARQQVQAHIATLRRQLSLIDGQHQQLKSASDM >CDO97616 pep chromosome:AUK_PRJEB4211_v1:2:20396412:20400453:-1 gene:GSCOC_T00015005001 transcript:CDO97616 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFLYLTLFSIVGTAVSSSSLLTDFHALVTLKEGFEFSNPAIDTWNTSDQNSLCSWTGIKCFHGRVVALNISNMNLYGSVSPVISSLDKLMELNLDGNNFTGEIRIENMSSLRSLNISNNQFIGHLDWNYSTLANLQVFDTYNNNFSASLPLGVLSLKNLRHLDLGGNYFSGEIPARYGEMIGLEYLELAGNNLQGRIPGELGNLTNLKELYLGYFNAFEGGIPKELGKLQNLVTLDLTNCELDGSIPPQLGNLQSLETLFLDINALSGPIPKQLGNLTSLVTLDLSRNALVGEIPYELIDLRRLRLLNLFMNRLHGSIPDFVADYPNLEVLYLWKNNFTGTIPDNLGQNRRLQELDLSSNKLTGIIPQNLCASKQLRILILFKNFLFGSIPEGLGTCSSLVRVRLGQNYFNGSIPNGLIYLPDLNLLELQNNLLSGTLIEKGENASNPTKLAQLNLANNQLSGLLPFSFASFSSLQILSLGGNEFSGPVPPAIGGLQEVVKLDLSANALSGEIPVEIGNCLHLTYLDLSQNNLSGSIPAQISSIRILNYLNVSRNHLTEAIPKSIGSMKSLTTADFSFNDLSGKLPESGQFAFFNASSFAGNPQLCGSLLNNPCNATPVASPTGKSHGEFKLIFALGLLMCSLVFATAAIIKAKSFKKNGSNSWKMTAFQTLDFTVADVLECVKDGNVIGRGGAGIVYHGKMPNGVEIAVKKLLSLNNNTHDHGFRAEIRTLGNIRHRYIVRLLAFCSNKETNLLVYEYMRNGSLGEALHGKKGGFLGWQLRYKIALEAAKGLCYLHHDCFPLIVHRDVKSNNILLNSSFEAHVADFGLAKFLVDGGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGDFGEGVDIVQWARRATNCRREEVDGIVDPRLSTAVPKDEAMHLFFIAMLCVQENSVERPTMREVVQMLSEFPRQFSSTPPDYRTQSSSSSSSSSLITFPQRQKNPAQNFDRRL >CDO97058 pep chromosome:AUK_PRJEB4211_v1:2:16199386:16203698:1 gene:GSCOC_T00014282001 transcript:CDO97058 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFNHNSPLFICAAAALCPLLFQFLHFACSESFIGVNYGQVADNLPPPAATAKLLQSTSIEKVRLYGADPAIIRALADTGIGIVIGAANGDIPALASDPNFAGQWVATNVLPYYPASKILVITVGNEVMTSTDQNLISQLLPAMQNVQNALNAASLGGKIKVSTVHSMAVLTQSDPPSSGTFNPGFGGTMKALLQFHQANGSPFMINPYPYFAYQSDPRAETLAFCLFQPNAGRVDSGTSIKYMNMFDAQVDAVHSALNALGFKDVEIVVAETGWPYKGDPTEVGPSLDNAKAFNGNLISHLRSLVGTPLMPGKSVDTYIFALFDEDLKPGPSSERSFGLFKPDLSVTYDVDLSKNSQTPATPATPAPVSPTTPITPITPVPKPAAGWCVPKPGVSDAQLQSNLDYACGQGILGTAISSRPPLLLTLIQAMVSAAILVAIHEEKGILFG >CDP17283 pep chromosome:AUK_PRJEB4211_v1:2:31392636:31398238:1 gene:GSCOC_T00009326001 transcript:CDP17283 gene_biotype:protein_coding transcript_biotype:protein_coding MSKELHGIVKGWESKVRKAQAAAKKKRAGVSIFGTMSVAHVDDDLDNPGEVYHAEKVFSNGDMYTGQWADNCPNGHGKYLWSDGCMYVGEWVRGKTNGKGKFSWPSGATYEGQFKNGYMDGEGTYIGCSNDTYRGSWVFNMKHGRGTKNYTNGDHYDGNWRRGRPDGQGRYQWNNGNQYIGQWRNGKMNGSGTMIWASGNRYDGCWEDGLPKGNGTYRWLDGSFYVGVWSQDPKEMTGTYYPSNSQIGHFDWDPQEVYINYLKDCRISRGEKISVFPSQKMVNWPCEGEFLQKQPTLKNSRVNDARTRRASADGRLSSAGGTSWGSESDFGSEMNGQMGRGREDDEGFGSLRSDDGDTSKRKRHHHIRIQPTKRQGRTITKGHRNYELMLNLQLGIRHSVGRPAPAQSLDLRTTAFDTREKLWTKFPPEGSKYTPPHQSCDFRWKDYCPLVFRTLRKLFKVDPADYMISICGNDALRELSSPGKSGSFFYLTNDDKYMIKTMKKAEVKVLLRMLPAYYNHVRAFENTLIAKFYGLHCVKLTGSAQKKVRFVIMGNLFCTEYAIHRRFDLKGSSHGRLTDKPESEIDSTTTLKDLDLNFIFRLQKIWFQEFCRQVDRDCDFLEQERIMDYSLLVGVHFREVSQSGEPLTCEARSSRAGNTPGATPRLSKADMDLLFDPSRCASIRLGISMPARAEATVRKNDFELIGEPTGEFYDIVLIFGIIDILQDYDISKKLEHAYKSIQFDPTSISAVDPRQYSRRFRDFVFKAFTEDS >CDP08862 pep chromosome:AUK_PRJEB4211_v1:2:52380519:52380885:-1 gene:GSCOC_T00027979001 transcript:CDP08862 gene_biotype:protein_coding transcript_biotype:protein_coding MEIICNQRERRTQFNSLAQTTGAAAVLLHKQASKQAAEREEWMIIIGNQRDYTDQIIKGIILVMLIVGTDTSSVTIEWALSLLLNHPEVLEKA >CDP14048 pep chromosome:AUK_PRJEB4211_v1:2:5374402:5376182:1 gene:GSCOC_T00039229001 transcript:CDP14048 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLDWKTKMISSDIPTTSPRLSNMLQISIPSSTTPTVRVADLSPASESACSAYEHYLRLPELKKLWSSQDVPTWRNESILKPALQGLEITFRFISAVLSDSRPYANRREWRRRLESLATGQVEIIALLCQEGEEDYRTRGTAPIVDLTSNSGVWVRESSSAEVWKVTGGDNKTVVSRASEASLLPRLATWQKSEDVAQKILYSIECEMRSCPYTLGLGEPNLSGKPSLDYDRVCKPAELHALKRSPSDHMNLQNSENQTLYSVHQILESWIYTSGQILKRISDQIEEREFESACSNCWLLEKIWNLLSQIEDLHLLMDPDDFLRLKNQLSIKATSENDLFCFRSRELVDITKFSKDLRHKVPFILEVEVDPKGGPRIQEAAMELYRRKNGFERIHLLQGLQAVEMAVKRFYYSYKQLLVVVMGSVEAKGNKGFVGVDAGDTLAQIFLEPTYFPSLDAAKTFLGDYWSHERRWCSPERRRQ >CDP15161 pep chromosome:AUK_PRJEB4211_v1:2:50049294:50055190:-1 gene:GSCOC_T00042761001 transcript:CDP15161 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLTLIRGAAAQGAVCLDGTRPGYHIHRGFGSGANSWLIQLEGGGWCNSIRSCVYRKTTRRGSSTHMEKQIPFTGILSNKPEENPDFFNWNRIKVRYCDGASFAGEGEDKVAQLQFRGQRIWRAAMEDLMSKGMHSADRALLSGCSAGGLASILHCDEFRELFPSSTKVKCLSDAGLFMDSIDVSGGHSMRNFFAGVVNLQGVAKNLPSMCTRYRDPTSCFFPQNLIDNVKTPLFILNAAYDSWQVQASLAPPAADPHGTWHDCKLNHAKCSASQIRFLQAFRIRMLNSVRSFAMSGQNGLFINSCFAHCQSERQDTWFADDSPAIGNKGIALAVGDWYFDRTGIKAIDCAYPCDKTCHNLVFK >CDP16353 pep chromosome:AUK_PRJEB4211_v1:2:28384992:28385447:-1 gene:GSCOC_T00018183001 transcript:CDP16353 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTLIFISSLLAFLLYSILKKAGNPSKSLPPGPKPWPIVGNLPHLGTKPHHSLAAMAKTYGPLMHLRLGFVHVVVAASASVAAQFLKTHDANFSSRPPNSGAKHIAYNYQDLVFAPYGPRWRLLRKICSIHLFSAKALDDFHLVRQVKI >CDP07804 pep chromosome:AUK_PRJEB4211_v1:2:26727358:26734174:1 gene:GSCOC_T00025194001 transcript:CDP07804 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGLGAVPFFFVELDPQWSGICNGMAAGVMLAASFDLIQEGQDHGSGSWVVLGILAGGIFIWVCKKILEQYEEVSMLDIKGADAAKAILVVGIMTLHSFGEGSGVGVSFAGSKGLSQGILVTLAIAVHNIPEGLAVSMVLASRGVSPQKALMWSIITSLPQPIVAVPSFIFADAFNKFLPFATGFAAGCMIWMVVAEVLPDGFKEASACHVASAATLSVGFMEALGAIFQNFSNNYSSEDASGFLVSLLFGLGPLLGGVALVAFALALRLQHALLTGVASGVAFILGAWRPVQLLLSSKMGIFPLMLLLAVGSAFVHISTSSALKIGGRKRTSADTLSAATGIQVSALTLQSILCCMAVALHALPEGLALGVAAPKAYGLGQYMVLPVSLHGLPRGAAVASCIFGATDSWHGSLLAAALIGFVGPLSAIGAILGGIDYSGLDHLMVLACGGLLPCFGSIVKRAVKLDKRRTVSGLIVGVGFASICLTFTKLVCLHTPYCNSAPEAVR >CDP05008 pep chromosome:AUK_PRJEB4211_v1:2:846836:850936:1 gene:GSCOC_T00019910001 transcript:CDP05008 gene_biotype:protein_coding transcript_biotype:protein_coding MPASISISAPPTWYVKCSGAKASTADSFIPSSLLNSLKTLKFPRFWPWQRVKMGPLTVSPMGFGTWAWGNQLLWGYQESMDSQLQDTFNLALENGINFFDTADSYGTGKLNGQSERLLGKFIREFQGRKAFQDDVVIATKFAAYPWRLTPGQFVNACKSSLHRMQIEQIGIGQLHWSTANYAPLQERALWDGLVAMHEKGLVRAVGVSNYGPKQLLKIHDYLKARGVPLCSAQVQFSLLSMGRDQMEIKKLCDSLGIRLISYSPLGLGMLTGKYSPSNLPQGPRGLLFRQILPGLEPLLSSLKEIAEKRRKTMSQVAINWCICKGTIPIPGVKSVKQAEENLGALGWQLSADELSQLEYGALESPSKMIQNIFQTS >CDP15168 pep chromosome:AUK_PRJEB4211_v1:2:49984575:49985503:-1 gene:GSCOC_T00042770001 transcript:CDP15168 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQIQAVMLCLLLVGLDGPMDVHGVAQGREGVDEWFHKLDRTKEKVTRLHFYFQDTVSGKSPTAVTVAGAKLASPTMFGLINTADDPMTVGPELNSTLLGRAQGIYASADQNEVGLAMYMNYYFTAGKYRGSTLSLLGRNAGLHSIREMSIVGGTGVFRLARGIATAKTYFVNATTADDIVEYNVVVVHY >CDP14046 pep chromosome:AUK_PRJEB4211_v1:2:5347815:5351610:-1 gene:GSCOC_T00039224001 transcript:CDP14046 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQKQAEEAIVAGDVSVNDGTDGGEEGRVDHEPIHRFKSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVILQVFYGLLGSWTAYLISVLYVEYRTRKEKENVSFKNHVIQWYEVLDGLLGPQWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALIHGQVDGVKHSGPTKLVLYFTGATNVLYTFGGHAVTVEIMHAMWKPRKFKYVYLFATIYVFTLTIPSAAAVYWAFGDQLLDHANAFSLLPRTGFRDAAVILMLIHQFITFGFACTPLYFVWEKVVRMHDTKSICLRALVRVPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKASARQNAAEKPPFFLPSWTAMFVLNTFVVGWVFVVGFGFGGWASMSNFISQVDSFGLFAKCYQCKPPAHHH >CDP06847 pep chromosome:AUK_PRJEB4211_v1:2:38713544:38714725:1 gene:GSCOC_T00023865001 transcript:CDP06847 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIYDQYTGCSSPKIIETKENLGDLILKMSFVLAYNTRILDLWERRVIYSSMAARKYNSHSVKVSIFYSVFYTFHSAAPSGPYRILGWDSPNFMADAAAAQTQTATHADQGYNVYAASNTPYAPAPPNPGLGGQSGGYGSVYGTNYGY >CDO96867 pep chromosome:AUK_PRJEB4211_v1:2:14144924:14147459:1 gene:GSCOC_T00014028001 transcript:CDO96867 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVFSSLRRRRTPSLEALLAPVELSEEKLLVILTGISSELISTFSLNNKTMSFQRKNSRNLVRKIEVFAVLLECLMDSTSGISSILPSTAVLCFKELYLVLYRSKILLEYCLQSSKLWLLLQNHSISGHFHDLSQEISTLLDVLPLDGLNLPDDVVEQVQLIKKQSRKSKLFIDRSDETLRLKLYSFLNEFECGYIPDRFQLYSFFVEKLGIRDARSGRMEIEFLEEQIANHDGDIEPTASVLNGFVALTRYCRFLLFGFEEDEVQMRIRKHKKLKKGLLTQEIAETFITIPKDFCCPISLDLMRDPVIISTGQTYDRSSISRWMEEGHCTCPKTGQMLVHTKLVPNRALRNLIMQWCSAHGFPCDPPEHGDPCCDIIAATSPSKATVEANKATARILIQELANGSEGAKTIAARELRLLAKNGKENRAYVAEAGAIPHLKKLLSSPDAVAQENSVTAMLNLSIYDKNKSRIIDEDGCLESIVRVLKFGCTTEARENAAATLFSLSAVHDYKKRIALEDGAVEALAGLLREGTPRGKKDAVTALFNLSTHTDNCVRMIDSGAVTALVGALGCEGVAEEAAGALALIVRQPIGAEAVGNEEKAVAGLIGMMRCGTPRAKENAVAALLELCRSGGAAAAEKVLKAPALAGLLQTLLFTGTKRARRKAASLARVFQRCENASLHYGGLGVGYAFAGNSATSREISFSSEYVMPVPVSVPVL >CDP13987 pep chromosome:AUK_PRJEB4211_v1:2:4848588:4860315:1 gene:GSCOC_T00039143001 transcript:CDP13987 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVHQISVYKYQIMVLELLAHSTCEEKAVNWSQDNKSSLQCQFIEIHPPKVLDLSQDTEYASQAALWGIEGLPELGEIYPFGGSADRLGLVDPDTGECLPAAMLAYCGRTLLEGLIRDLQAREFLFFKLYGKQCITPVAIMTSSAKKNHERILSLCERLEWFGRGRSNFRLFEQPLVPAIRAEDGQWIVRGQFMPVCKPGGHGVIWKLAYDKGVFQWFGNYGRKGATVRQVSNVVAATDLTLLALTGIGLRQRKKLGFASCRRNTGATEGINVLIEKRTLDDKWAYGLSCIEYTEFDKFGIINGPLSSKSLEADFPANTNILYVDLPSAELVGSSKNETSLPGMVLNVKKPVRYVDQFGLTHSVSGGRLECTMQNIADNFFNTYSSRHYEDVEEGLDTFIVYNERRKVTSSAKKKRMHGNKSLNQTPEGSILDIMRNAADLLSHCQISIPKILGNEDYVDSGPPFLILLHPALGPLWEVTRQKFHGGSISMGSELQVEVAEFVWRDVQLHGSLIILAENIMGSMIINENGETVLQYGKRCGRCKLENVKVVNKGIDWNSGDNLYWKHDVDRIEAVKVILHGNAEFEAMDVTLQGNHLFEVPDGYRMRVTSGKSGLQVQLRAIEEKWVESGSWFWSYKIEGTHIQLELVEL >CDP17542 pep chromosome:AUK_PRJEB4211_v1:2:29634974:29635195:-1 gene:GSCOC_T00004509001 transcript:CDP17542 gene_biotype:protein_coding transcript_biotype:protein_coding MDCWVLSIIPGLAIAPCEAVLLAHLRQDQRKTISVSKRRKEIYVLVRNLRFTAYRLALATIVLEAQEEDLEFM >CDP17202 pep chromosome:AUK_PRJEB4211_v1:2:47690205:47693904:-1 gene:GSCOC_T00000671001 transcript:CDP17202 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMMAKGVLDDIIRRLLEGKGGKQVQLSEAEIRQLCVNARQIFLSQPSLLQLHAPIRICGDIHGQYQDLLRLFEYGGYPPSANYLFLGDYVDRGKQSLETICLLLAYKIRYPDKIYLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKIFCMHGGLSPELQNLDQINEIQRPTEIPDNGLLCDLLWSDPDPRIKGWSDSDRGVSCTFGADTVAEFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDESLICSFEILKPASSSSKVALKKPPKIGAA >CDO97530 pep chromosome:AUK_PRJEB4211_v1:2:19757350:19757556:1 gene:GSCOC_T00014903001 transcript:CDO97530 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSDIKLIRTDTTLDLSQKAEKGMRFSVLGCAFYAPEDLAVGFRRPMWEPRIDRYYEGCSFHPFDL >CDP17206 pep chromosome:AUK_PRJEB4211_v1:2:47747699:47752973:-1 gene:GSCOC_T00000676001 transcript:CDP17206 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEHKIVDLNGINMHVAEKGEGPVVLFLHGFPELWYTWRHQITAFATLGYRAVAPDLRGYGDTDAPSSISSYTCFHIVGDLVALIQSLGVDHVFLVAHDWGAMIAWYLCLFRPDMVKAFVSLTLPFRPRNPKMKPIAGLRAFFGEEYYMCRFQEPGEIEAEIAKYGSKAVLKKILTDRKPGPPCLPKGNPFGISPDTDIKLPAWLSEEDLKYYANKYAQKGFTGGLNYYRALDLNWELTAAWTGAQVKVPVKFIVGDLDMVYTTPGTQEYVHGGGFKRDVPFLEEPVIMKGTGHFLHQERAEEINHHIHHFIKMF >CDP07777 pep chromosome:AUK_PRJEB4211_v1:2:26328566:26330584:-1 gene:GSCOC_T00025155001 transcript:CDP07777 gene_biotype:protein_coding transcript_biotype:protein_coding MATMEEPVLSRLDRLDNILKQLEEIRGSGYHSPKSSTASTRSSGTLTSEDFSPRSLQKHCRPIEDVISEIGIKGTLFERIVHVEDRLLKLCLQLEEEEEAETEEKRKKKEGISSAEKSSPRKGLKQLVKSCVKGKGSHKSRQ >CDO99751 pep chromosome:AUK_PRJEB4211_v1:2:9193815:9195992:-1 gene:GSCOC_T00029435001 transcript:CDO99751 gene_biotype:protein_coding transcript_biotype:protein_coding MISNLCYIGKPSLLNFCLNSEAVLVLEAMTTVQPTTGQPKKAKLQNPPAGFKRMGRASPFIRYGLPMISLTVLGTLGLGYLLQGSKDIAKVKDDQEWEIIETRKALSRTGPVNAYNPKKISLEEELKALQEKVDINSYEYKRIPKPKEST >CDP13883 pep chromosome:AUK_PRJEB4211_v1:2:4076341:4077462:1 gene:GSCOC_T00039014001 transcript:CDP13883 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNTGNDAHSQLPTAELAYRNVHLVTTVDKWEEKLSDANRDGKIVVVNFSASWSNPCRSIAPAYNELADKYPFMLFLTVDVDELAELSNSWEIKATPTIFFLRDGKMVDKLVGDNKQDLQKKTMAVAESCSDRTTP >CDO97258 pep chromosome:AUK_PRJEB4211_v1:2:17666170:17669498:1 gene:GSCOC_T00014533001 transcript:CDO97258 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSALFIFVLPLFLVISPTLSTNSEGNALHALRSRLFDPKNVLQSWDPTLVNPCTWFHVTCDSNNHVVRLDLGNSNISGSLGRELGELKHLQYLELYRNNIIGKIPKELGNLESLVSMDLYGNKFEGKIPKSFAKLKSLRFLRLNDNKLTGSIPRELTKLSNLKVFDVSNNDLCGTIPVDGPFGSFPMESYANNKLKGPELKGLVPYDFGC >CDP15485 pep chromosome:AUK_PRJEB4211_v1:2:45362993:45367613:-1 gene:GSCOC_T00015327001 transcript:CDP15485 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASDQGSETESINSGRIGFSGPLVSNKKKSSKKSARFKDEDSYVEITLDVRDDAISLQNIRGTTDQKATSLASQLEKRNSSFGSQLSFNLRNVSQELRRITSSKSFNKVDRTKSGAARALRGLQFINKNVRNEGWSEVESRFHELAANGMLPKSQFGKCIGMHESTEFADGLFDALARKRCITSPSITKAELHEFWEQITDTSFDARLQTFFDMVDKDADGRITEEEVQEIIMLSAAANKLLKIQEHANEYAALIMEELDPHNLGYIELHNLETLLLEAPHHSTNVSTNSRVLSQLLSQKLKPTKEANPIKRCYRRLSYFIGDNWKRIWVMTLWLSICAGLFTWKFIQYKHRAVFDVMGYCVTTAKGGAETLKFNMALILLPVCRNTITWLRSRTKLGVIVPFNDNINFHKVIALGIAIGVGLHAGAHLTCDFPRLLHATDEEYEPLKPFFGDKRPNNYWWFVKGTEGWTGVVMVVLMAIAFTLAQPWFRRNRLKLPTTIKKLTGFNAFWYSHHLFVIVYILFIIHGYFLYLSKKWYKKTTWMYLAVPMVLYAFERLIRAFRSGYKTVQISKVAVYPGNVMALYMYKPQGFKYTSGQYIFVNCSDISPFQWHPFSITSAPGDDYLSIHIRTLGDWTSQLKAVFSKVCQPPTNDKSGLRRADIAPEMNKPRLPRLLIDGPYGAPAQDYKKYDILLLVGLGIGATPLISIVKDVLNNIKQQKEIEEGLAENGLKDIKKKPFAARRAYFYWVTRDQGSFEWFRGVMNDVAEHDKEGLIELHNYCTSVYEEGDARSALITMLQAIQHAKSGIDIVSGTRVKTHFARPNWRQVFKHVAVNHADQKIGVFYCGPPSLVADMRQLAQDFSRKTTTKFEFHKENF >CDP05324 pep chromosome:AUK_PRJEB4211_v1:2:3313467:3318049:-1 gene:GSCOC_T00020322001 transcript:CDP05324 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGQHATCAFVHLAFCRFDFIHPGRSPLFHMGWYHLFYQYNPDSAIWGNITWGHAVSRDLIHWLYLPFAMVPDRPFDINGVWTGSATILPGGQIAILYTGDTADLVQVQNLAYPANLSDPLLLDWIKYPGNPVMIPPPGIGKKDFRDPTTAWLAPDGTKWLVTLGSKVNKTGIALVYETSDFKGYRLLDGVLHAVPRTGMWECVDFYPVSTTGDNGLDTSANGPGTKHVLKASLDENKHDYYALGTYDPKNNKWTPDDPELDVGIGLRLDYGKYYASKTFYDQNKKRRILWGWIGETDSEAADLMKGWASVQTIPRTVVFDKKTGTNILQWPVEEAESLRFNATEFDTVKLEPGSIAPLNIGSATQLDIIASFEVDSEALEATVEADVGYNCTTSGGAASRGKLGPFGLLVLADGSLSELTPVYFYISKSTDGSAETHFCSDESRSSKAPDVGKLVYGSTVPVLEGEKLSARLLVDHSVVESFAQGGRRVITSRVYPTKAIYGAARLFLFNNATGVSVTASAKIWHMRSADIRTFPDL >CDP17229 pep chromosome:AUK_PRJEB4211_v1:2:48105087:48109025:-1 gene:GSCOC_T00000716001 transcript:CDP17229 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKRKSRKGGSHSTLSMLRRELREGNLQSLFGGSSCIVSSNSAAPDPLLSSFILPMAEDYGSHQSHSSTDTVTVKKSSSEVAAERKAQQPPLSIKDQEEKTKRSQFVQGLLLSTILDDNL >CDP04940 pep chromosome:AUK_PRJEB4211_v1:2:260874:266128:1 gene:GSCOC_T00019810001 transcript:CDP04940 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLSTSKISLVLLLNMGLVIMCILWQLTKKIFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVSFLAMVTTLLLIKALHWLAQKRVEYIETTPTVPAWSHLRIVSFMAFLLLVDTLFLYNSVKYLILTRQASVSLFFSFEYMILATTTVSTFIKYVFYVSDMLMEGQWEGKAVYTFYLELIRDLLHLSMYLCFFLVIFVNYGVPLHLIRELYETFRNFKVRVADYIRYRKITSNMNDRFPDATPEELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRALVVPPENGTITAGSRPDSHQQGTNMAGTSSHASASNDMANGNINQHQARLQAAAAAASIYGKSFVYPSASTPMWSQIYRSMGSIPADSGGEVDVTEQSQLRHFNNFPFAQLPPFTFAATSLNGERIHSNLSVSESQIDAQKKFLEHQIEVLQAQLQLIQKLYAEKTNATEATCASKGKTISSLSSSSSDCNQHVKTEDSDS >CDO97105 pep chromosome:AUK_PRJEB4211_v1:2:16530006:16532632:1 gene:GSCOC_T00014344001 transcript:CDO97105 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPLAPSPAPAPVPSPHSKVPSSHTAAFPPPSSTSSSSSLSATTKTPPSSSSSPSKLPVEFSPPLIAMVVIIATAFVIITYSRVISRHFLRLHRLYRRRRRRRRYVPSSSASNIDSPPYPFDPSDAFHVFSPYGLDDSAIKTIPLSVHARKSSVHDCAVCLLEFEENDYVRTLPVCSHAFHVDCIDIWLKSHANCPLCRAGIFRPESPFVPVMAARIRPSLDDMMMESTILEPLAEIQPEESESTTVEEITQEPSPRINNTQSSEDQFNGRDFLLKRSYSFGFERNLGSERLVLEPVTASPWRYRRGGVGSFWSKRPSPFSSLTKSRVFSFRYYRGMNMKSPFFRRRGGGGGGGGGGVGGSFYPLSESSVRFGGSAASGGGGGSSRRSSKSFASPMFLRTSGGAAGGFFSSSRQRSGDPEALLSPDRYNKR >CDO96725 pep chromosome:AUK_PRJEB4211_v1:2:12414015:12420721:1 gene:GSCOC_T00013836001 transcript:CDO96725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Negative regulator of systemic acquired resistance SNI1 [Source:Projected from Arabidopsis thaliana (AT4G18470) UniProtKB/Swiss-Prot;Acc:Q9SWA6] METRKRGRGVGANREGGRGNQNKYQKNESNFNRGRGGRVGLEENTLAILDTSSSASFHHLLDDRLAFLDAVRSASLVPENDNPPTKKMFVAVFQILKDESSLDLIIASYQLLFELNKRYPWVYLPKMEKSESSTPSKVHCGLIVAEEAWSPFGCNERDEATDTSGGSINPLAFHSLMQDIAKGATEERRNTIEIKSLQNMLLFQYLVNVLEGDLFPRIHAFKESLNWILLRECILNKILGSRKLSYKDLIKDCMSLIGDLSCDKTKITCDQKGKRTSLAELQKDCHGPLELALPEVEKNTCLALKKLLQMIMELDSSRNIADMTGLITRADGARIPAAEIILNELSYSSDLLFSFFLLFDEPEWKLKTIVQYFQKYIPKSSIRTRRSNGSSSDATFDGILKCFSNENSAKGIIKKMRPDVTQLLLAHGFQAFLSLSSKHSVEDASDSKQDVRGSSIMEICENVVSAFACFRKEDKQFTFSPFSREALFTAASVLSTGARS >CDP00145 pep chromosome:AUK_PRJEB4211_v1:2:5807425:5808468:1 gene:GSCOC_T00029955001 transcript:CDP00145 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSSVLFLCLLSLAQPLHSLSASPYLFPSTLFDNYEKMLTTFRIYMYTPQKPFTFTTPPLSLFHTSLLNSPFLTQNADEAHLFYIPFPPDLSTRSRARLVRHLRISYPYWNRTLGADHFFMAPGGVASSSDRNAVELKKNSVQISIFPTASGDFIPHKDITLPPVNPSPLALVQGPGNDTASHLGFMVWDGKLLEYNYLVNEMKRDPEFRIESEPSDHVDLVKDSKFCLFLYGGEMTWMVEAMALGCVPVVLVDRPVQDFPLTDVLRWSDMGLLVRTRGGLKRLKAVLNGVGGDRYQQMRELGVAASRHLVWNLEPQPYDAFHMVMYQLWLRRHTIRYARREWV >CDP09434 pep chromosome:AUK_PRJEB4211_v1:2:22403415:22410602:1 gene:GSCOC_T00028796001 transcript:CDP09434 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPGAGPETRSNRAAVQATNDDASASKLSCVNKGYMKDDYVHFFVRRPVRRSPIINRGYFARWAVFRKLLYQFLDCVDTRKQILSLGAGFDTTYFQLKDEGRAPDLYVELDFKEVTSKKAALIETCSPLRDKVGETASISQENGEVLDDHYKLLPVDLRDIQKLDDIISLANIDPSLPTFIIAECVLIYLDPDSSCEIVGWASKTFSSAIFFLYEQIHPNDPFGQQMIRNLESRGCGLLGIYATPTLHAKEKLFLDQGWQRAVAWDMLKVYSDYIEPQERRRIERLELFDEFEEWHMMQAKVFILARLTEKERVMMQFKHLFMSTTVWLMGSTMPWDCSRNLVSPTTSMMPQAPLHNRCPSKTENISTSQWPDNL >CDP00157 pep chromosome:AUK_PRJEB4211_v1:2:5683450:5692004:-1 gene:GSCOC_T00029975001 transcript:CDP00157 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g05930 [Source:Projected from Arabidopsis thaliana (AT5G05930) UniProtKB/TrEMBL;Acc:Q8L870] MWPLYLLFNKFLKAEEENNQGPPGTYLNLVESYPFKLLLSRERSKNDTLSHSGSVEVPHINQQYTWDCGLACVLMVLRTLGINSGDIQELEGLCCTTSIWTVDLAYLLQKFSVKFSYFTVTLGANPNFLGETFYKDQLPSDLLRVDMLFKKARDAGINIECRSISGEEIASMVLSGNYIVIALIDQYILSRSWIKDVCISGIYDDCPGYTGHYVIICGYDAATDEFEIRDPASSRKHERMTSRRLEEARKAFGTDEDLLLISLEKEQKGSNPFGSLFRHNC >CDO97272 pep chromosome:AUK_PRJEB4211_v1:2:17761069:17766701:-1 gene:GSCOC_T00014551001 transcript:CDO97272 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPQVTTGRELSSPPADGITNLRFSTHSDHLLVSSWDKSVRLYDASANAVRGEFMHGGAVLDCCFHDDSSGFSAGADNTVRRLVFNYGREDILGRHDAPVRCVEYSSATGQVITGSWDKTLKCWDPRGASGQDRTLLGTYTQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEAGQSKKYAFKCHRKSEAGRDIVYPVNSIAFHPIYGTFATGGCDGYVNVWDGNNKKRLYQYSKYPTSIAALSFSRDGKLLAVASSYTYEEGDKPHEPDAIFVRSVNEVEIKPKPKVLPNPST >CDO97021 pep chromosome:AUK_PRJEB4211_v1:2:15891696:15892574:-1 gene:GSCOC_T00014234001 transcript:CDO97021 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPQKILWSMAMYLVSYKANVFSFFQVLGIIDQPILRERWVGLNGRKTTLNGQDISTRGCAKLSQAYLYTTSPHLFNGDAEVAFARVRDKVHPLCPRNNQIDAYKFL >CDP07715 pep chromosome:AUK_PRJEB4211_v1:2:25321464:25322251:-1 gene:GSCOC_T00025065001 transcript:CDP07715 gene_biotype:protein_coding transcript_biotype:protein_coding MLLATVLGLKPMEEDSHPLVAEDNTVVIADPPPSNQGGLNEKPGRDSTIKERPDIEIMRDAVHDFTMEDAPIWPDQGYDVEPHRVLEEQIMKHIEASSPVVEEILVSGGPSIPLPHAEEPQSVASEKAHANFNLDIPFGYASSGLAIRSTPPDEQPRAKQRKRRRRDFYDEQIVLTNKS >CDP05247 pep chromosome:AUK_PRJEB4211_v1:2:2729211:2732000:-1 gene:GSCOC_T00020225001 transcript:CDP05247 gene_biotype:protein_coding transcript_biotype:protein_coding MANPFPTFITAAKHHYSDGLRLENKCINTHVTKVSVKPPPPEFDFRTEILSGSQTAIAETHPELLDLANNGSLVLVTKNQYGPVPSWRTEFAEPEAIWLFGTTHISKESADDVGRVIRAVKPDNVVVELCRSRQVVAHHGSTFRAGIMYTCSDGKLKKQLRSSMFSLSGTGFFGAVGRSLGLGGQTALALRLLLAAFSSKLSSEINRPFGDEFRAARKAAEEIGAQMVLGDRPIEITLERAWNSLIWKEKLSLLTSVIGGLTSLSDLSMKTLKESSAREENCFELYEQLSFSYPSLLQPLIHERDTYLAWSLKRSKAVNNSKQVVGVIGKGHMNGVIYSLISDQGNLRFRDLAGQRASGDGSTWLVRALRSLIRDTALGILLWLLYEKIKTG >CDP08842 pep chromosome:AUK_PRJEB4211_v1:2:52557480:52562394:1 gene:GSCOC_T00027952001 transcript:CDP08842 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFFSLCSSCFNSDCKSGFEMTSLSITAANYGRGRSEENSILGHWPSFSFRNELFSNSITVGGLSGCSEGVWFRAVQESRVLSEKKFRVAAKIKRGKKYDYPWPDDIDPNTDNPLAYLSYFKPLDEKPKPVTLAFEKPLVDLEKKIMEVSRMADETGLDFSNQINALEAKYEQALKDLYTHLTPIQRLTIARHPNRPTVLDHIVNITDKWVELHGDRAGYDDPAIVTILGSIEGRSYMFIGHQKGRNTKENIMRNFAMPTPHGYRKALRMMKYADHHGFPIVTFVDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVTVVTGEGGSGGALAIACANKLFMLENSAFYVASPEACAAILWKSSQAAPKAAEKLRITAQEHYRLRIADGIIPEPLGGAHADPLWSSQQIKFAIIQAVEELSKMSTEELLRHRMLKFRSIGVGGYKEDGLVEPERKRNMKPSEVNAPKNADIESELEDLRNKIFEAKGPSDPITIGAVGKLEEDLDREMTKAFISMGLKDKIESLKLDIARARKPNQPLSRPLQEKADKIVKEFKQKLSWPGAYLGLKQKLQTLAMARRLIKLKEQSSRLETEVNQKITQEIRAKMDHFKKALEKSSRGDSLDSNLAEELQMVKKELEDVLRSANLDIVRITKRKDEFKQPDIKEKAKQINKEISKEIESAVDRAGVRNKIEELKVEFAKDSSSEKVKKLEAEIKQRVASALSVGQLKEEVEKLRQELASSTRADVGAAVGADSGRL >CDP14018 pep chromosome:AUK_PRJEB4211_v1:2:5144588:5147610:1 gene:GSCOC_T00039183001 transcript:CDP14018 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPSAATIATAIIITTSLIFTVTNPPPSAYALGGSATTVAVSYGSATTICTLLADQPTQQIQCWRDGQVFLSIYAPTVSFDSIAGGLDTVCAVRSGGYSLLCWNVPNFTPKRLYLGSSTLLTSVTIGDTQICALTNGTTNVSCWRGESYSASSPNGTSSFSSISSGLGFSCGVVGNNSRVACWGSNTNTAILASKIETQFANMSMKNIFAGGQHACGMNTGGVVICRGNNDSGQLNVPANFGYEYNALALGANHTCAIRSLNHTVVCWGGGGEFSSSVTEDTSFESIVAGLDFTCGLVTSNFSVICWGPGWPNNLNPAGVGLPLQRTLPGPCVRSICRCGIYPQSQTLCSGNGNICGPCDIKNLAPQPQPLGPSPSPPPPSIGPSPSSPSKALRRGLLAFAIVGSVGCFAGICTVVYCWWTGVCFGKKKIHNSVQPTISAAGAPQQSNSSPPSRSSTLRRQGSRLMRRQRSGTSSKHADRAEEFLFQDLAAATNNFSMENKIGAGSFGVVYKGKLLDGREVAIKRSETGPRTKKFQEKESAFESELAFLSRLHHKHLVRLVGFCEERDERLLVYEYMKNGALYDHLHDRDNVEKSSSVVNSWKMRIKISLDAARGIEYLHNYAVPPIIHRDIKSSNILIDANWTARVSDFGLSLMGPESEQHFRPTKAAGTVGYIDPEYFGLNVLTAKSDVYGLGVVLLELLTGKRAIFKAGENDGAPMSVVDYAVPAIMAGELTKIVDPRVGPPELNEAEAVELVAYTAMHCVNLEGKDRPTMTDIVANLERALALCDDSHGSISSGPISIVSD >CDP05277 pep chromosome:AUK_PRJEB4211_v1:2:2954702:2956108:-1 gene:GSCOC_T00020264001 transcript:CDP05277 gene_biotype:protein_coding transcript_biotype:protein_coding MELMKFVVLLVLSCTEMLFLFQEWGTLFHFIALCCLLLFCSSQVYPSSHSPVYLVDFSCLKPPSFCRVPFSTYLEHAQMFDFLDHQSRAFMAKILIQSGQGERTYLPPALHYIPPRSSHQDATGEVHMALFPIFDDLLSKTRLSPRDIDVLIVNCSGFCPAPSLSSIIINKYRMREDIKSFNVSGMGCSASALAIDMAQSVLKTHKNWNAVILSTEILSTGWYPGKERPMIVLNCLFRMGGAAILLTNRREAKRASKYKLLLSQRTQRAFDDKGYYSAIREEDSRGHTGITLRRDLLHVAGETLRSNISILGARILPYTEQIWYAVSVFRKKFMDKATEIYVPNFKTVIQHFCLPTSGKPVIGEIGKGLKLGERDMEPALMTMHRFGNQSSSSLWYELAYMEAKGRVKKGDRVWQLGMGSGPKCSSLVWECIRPMSSDAEIGPWADSLEGHPISAANERHTGGQNSTK >CDP17965 pep chromosome:AUK_PRJEB4211_v1:2:43951309:43955881:-1 gene:GSCOC_T00001211001 transcript:CDP17965 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFLLSLFPKPPQNPISRKPISPLKHPSILISRRLGIIFTTTSLSSVLSLVLQCPQIPPSSAAEASSTSFLSGIANTKSWFQFYGDGFAIRVPPQFEDILEPEDYNAGLSLYGDKAKPKTFAARFASPDGSEVLTVVIRPSNQLKITFLEVGKWNCSAYSELISVTPETDLLLSLLLLYCRI >CDP08901 pep chromosome:AUK_PRJEB4211_v1:2:51917449:51921851:-1 gene:GSCOC_T00028034001 transcript:CDP08901 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKTESRPIEKPRKMVFNRSGSLHYGTNQVCGFWLAGKCNRNPCRFRHVDSLKPRQDQPPQRQTQPKRPPRTSSNGVGAEGKMVHVTKNEVLTSRRACSVGGSCQKSQKKLCPYWVSGNCVDGEKCKDLHSLFSGSGFSLLTKLQKHSKAVTGIALPSGSDKLFSSSKDNSVCVWDCHTGQCVVTAELGGEIGCLISEGPWVFAGLQNAAQAWNIESQTELVLSGPNGLVHAMDVGEDMLFGGVQDGSILVWKFTSVSCSPEPVAFLMGHRFAVLSLVVGANCLYSGSEDESIRVWDLKTLQCLQILNGHKNFVTSVLCWDKFLLSGSLDNRLKVWAANEIGDLEVVNEVEEDNGILALCGIEDSQSKHILLVSCRDNTVRLYDLPSFAERGRIYAQEEVQTIAVGCGPLFFTGGAAGELSVWKLHGKAIGSVGSS >CDP17816 pep chromosome:AUK_PRJEB4211_v1:2:27095468:27100168:1 gene:GSCOC_T00009429001 transcript:CDP17816 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTAIPVTSSSLSLCRTTLQCPRIYRKRLPFSPLASLQSSSSSHHESSSSSSAAAAAQIKVESAKATSSEKGFDYALANTNGGPVVRFVESTESNIERAIFDFRFLALLAVGGSLAGSMLCFLNGCIYIFEAYKVYWTSCVKGIHTGNMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNVDPNVSPASDRALKGSSLFGMFALKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIVTGMDLLSYSVSIFLSSASLYILHNLHKSE >CDP18377 pep chromosome:AUK_PRJEB4211_v1:2:43184133:43192674:1 gene:GSCOC_T00012957001 transcript:CDP18377 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMELTTTSDHASIVSINLFVALLCGCIVIGHLLEDNRWMNESTTALIIGLCTGVVILLLSKGRSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFITIMLFGAVGTLVSWAIISLGAIQIFSRMDFGDFDIGDYLAIGAIFAATDSVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDMSKIDPKIALHFIGNFLYLFFTSTVLGVIAGLLCAYIIKKLYFGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRITTKHTFATLSFVAETFIFLYVGMDALDIEKWRFISDSPGTSVAVSSILLGLVLLGRAAFVFPLSFLSNLAKKSTSEKISFKQQVIIWWAGLIRGAVSMALAYNKFTSLGHTNLRGNAIMITSTITLVLFSTVVFGLLTKPLIRLLLPPLKHATSAASSDPNSPNSLGVPLISHSQDSDSFLSGHDIPRPSSIRMLLTTPTYTVHRLWRRFDDRFMRPVFGGRGFVPVVPGSPTEGNLS >CDO96783 pep chromosome:AUK_PRJEB4211_v1:2:13033723:13034133:-1 gene:GSCOC_T00013913001 transcript:CDO96783 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEGGVVKKGHEEGLKMAVSLLEEFELPLGLLPIADVIEVGFVRSNGYMWIAQKKKVEHNFKMISKLVSYDTEITGYIQKKKIKKLKGVKAKEFMLWPPIGEITTDDPPTGKIHFKSLAGITKTFPVEAFAAGQ >CDO97389 pep chromosome:AUK_PRJEB4211_v1:2:18646492:18649797:-1 gene:GSCOC_T00014718001 transcript:CDO97389 gene_biotype:protein_coding transcript_biotype:protein_coding MAIINLPVPANSSTRLWEVNKHNHLRSCLPSGRATFTTLSAAAMRSANMAAANEAPLWEFDYIQSLKNEYAGDIYVSRANELKEQVKMMLDEGDMKLLDCMELVDGLERLGLAYHFEGRINRLLISAYKAIHEGNHKRNKEDLYAAALEFRIFRQNGFNVPQDTFNDFITEDGEFDESLSEDIMGMLSLYEASFLSLEGEGTLDLAREFTTKHLNNYLGKENTDQNLRILVYHALELPLRWRVPRIEARWYIDAYERSPNMNPTLLELAKIDFNIVQAIHQQDLKHVSWWWNNIRIAEKLTFIRDRIAENFFWTIGVVFEPQYGSCRRMLTKALVLITMIDDIYDVYGTLDELELFTDAVDRWDVKAIDQLPDYMRWADVCKAYLREAKWYYAGYTPTVEEYLENAWVSISVPVMLMHVYAVITNPMNKEAMDVLDTHDIVRWSSYILRFADDLGTSPGEMKRGDVPKLVQCYMKEAGCSEEESREHVWFLLRETWKKMNKDSVAESCVI >CDO97574 pep chromosome:AUK_PRJEB4211_v1:2:20075203:20081237:1 gene:GSCOC_T00014956001 transcript:CDO97574 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHCTRVFSTPKAPSLSLLKPDLISTKVHQLNKVRGFEVKAAMPPEDQVRIPHVLTVAGSDSGAGAGIQADLKACAARGVYCSTVITAVTAQNTVGVQGVNVLPEDFVLEQLHSVLSDMRPDVVKTGMLPSTGIVKVLCRYLQEFPVRALVVDPVMVSTSGDTLAGPSILSSFREELLPMAEIVTPNLKEASALLGGMPLESLADMHSAAKYIHDFGPKNVLVKGGDLPASLDAVDVLYDGENYFEFRTSRIKTPNTHGTGCSLASSIAAELAKGSSVISAVKVAKRFIESALGYSKNILIGNGPHGPFDPFLKLKKYAHGSSRQSLFDPSNLFLYAVTDSRMNQKWDRSITDAVKAAIQGGATIVQLREKEADTRDFLESAKACLEICRQHGVPLLINDRVDIALACDADGVHVGQSDMPAHVARVLLGPDKIIGVSCKTPEQAQEAWVDGADYIGCGGVYPTNTKENNPTLGLDGLKTVCLASKLPVVAIGGIGISNAHSVMKLQAPNLKGVAVVSALFDRESVLIETRKLHKVLVESNTEIR >CDO99938 pep chromosome:AUK_PRJEB4211_v1:2:7632474:7636423:1 gene:GSCOC_T00029660001 transcript:CDO99938 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAVVEGLKLEQRHGKERVRVGRVWRDVDGTHHFVEWNVSIGLLSDCIPAYVTGDNSNIVATDTMKNTVYVKAKECSERIPVEVFAIKLANHFSTFYHPVTTAIVNIAEKQWERVSVDGQSHKHGFKLGSEEHTTEVVVKKCGALQVASGIGKLKLLKTTQSGFEGFIRDKYTMLPDTQERLLGTEVTASWRYAFDSLENIPVQPLYFFNSYTGVKKVLVDTFFGTPKMGVYSPSVQYTLYEMAKAVLGRFHDLSSVDLKMPNIHFLPVNLSSKDNPVIVKFEDDVYLPTDEPHGAIEASLSRIRSKM >CDP09433 pep chromosome:AUK_PRJEB4211_v1:2:22415814:22421749:1 gene:GSCOC_T00028794001 transcript:CDP09433 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASKLDNEDTVRRCKDRRHHMKAAVYARHHLAAAHSDYCRSLIRTGSALSTFAAGEPLAVSDRTAPVFLRSPSSSSTTTATIKPPRPPPPRRHIPSPSPSASLRHHPQPPPQPEYSPSISSSKLPHILSSSSHQQRRKKPIKLPHILSDSSFTSSPTPKAPHDFNGNPFFTYNAKANSSYTNTPSQTSSVWNWENFYPPSPPDSEYFEQLNNKRSNRPAAHRDNGSDMDEVHDDEDEKGSRYSTEEEEEEEEEDRETERETEREEVQCSEWGDHDHYSTTTSSSSDHEESRSGIGIGTRSNMGSKNGAGNGVAADANVNSGGKMAFDSGAELGHAVFQGKAEKFSTASWGNNGKGDREIVSDRRIAVRHKDLAEIGAAIKMYFDQAAAAGGQVSAMLETERAQLDRSFTKLKKTVYHSSGVFSNLSSSWTSKPPIAVKYKFEPGSLEESGCSNSICSTLERLLAWEKKLYQEVKAREGVKIEHEKKLSALQSQEYRGDEEAKLDKTKAAIKRLQSLILVTSQAVSTTSTAIVGLRDSELVPQLVGLCHGFMYMWKSMNQYHEVQYDIVQQVQGLVNRTTQGESTSDLHKQATRDLESAVSAWHSSFCRLMKFQREFIRSLHEWFKLTFLPVSSEQTNGNREHSEVFAFCDEWKLALDRIPDTVASEAVKSFINVVNSISLKQREELKIKGRAESVSRELEKKASSLRNIEKKYYNSYSMVGIGLPDTGPDNGHALDARDPLAEKKLELAACQRRVADEMLKHSKAVEVTRSHTLNNIQTGLPGVFQAMTSFSAMIAGALEAVCTHSYSIR >CDP09372 pep chromosome:AUK_PRJEB4211_v1:2:23096832:23098511:1 gene:GSCOC_T00028709001 transcript:CDP09372 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNNVVTWNCMVSGYIRNGMIGEARQVFNTMPWRNVVSYTAMLTGYAKMGNLDEARKLFDEVGDKNVVCWNSMISGYLSNGRIEEGRALFDVMPAKNSVSWATMIEGYFKYGDVNEARRLFVEAPEKNLLVYNTMLAGYAELGYVEDSSELFRTMVEKDVASWTNMIRSLMRAGEVERGRQLFDEMPQKDVVAWTAMIQGYLDANQIDEAEKLFNEMAYCDIVAWNSIIGGYIRSNRFQDALDLFVKMPRKDIVSWNLILEGYVKQGDMVSAHKFFMEMPKRDKTTWNIIISGYESKEALILYVQMLQEGFKPDQGTFTVVLSICGALALEIWGRLVHTYLIKTGFEIDTMVVSALISMYSRCGLIEDATSVFDRTKVHDTVVWNAMIVSQAYHGSAAEAFSLLSLMIKAGCEPDSVTFVGLLIACAHSGLVGQGWKYFNSMQKWKLIPKPEHYAVMVDLLSRSGLVVEAYELVKQLPLNLPVYAWETLLSSCRVHENFELADIVAKKLSSLERQNVGMHVLLSNTYAARGMWKNAAHVRELLEDQKLKKELACSWIG >CDP18244 pep chromosome:AUK_PRJEB4211_v1:2:48496112:48501062:1 gene:GSCOC_T00011806001 transcript:CDP18244 gene_biotype:protein_coding transcript_biotype:protein_coding MISLILKRSAFLEQNHLFKPQFCFQLLSSLTQSQSYNSSPSSQNHSVLPSGYGYLGKKNSGCSSSSLQRLEIPPGILCNGRFYGSQSQTAIEPSTSDGLTVDGIIANNWTILDEDESDWKSHASAIAQSIHLIKKRLKWKNLLVRVRMLSFQLDKPDLWDDPIQASKISREHGLLTGKMNEVKKFEQELLEHIDMIKLSHEENDPELELEAVKALIQMRRSVKEKELEALLVEEHDSCSCFIEVQAGAGGTESMDWASMVMQMYKKWAQRRGYRVTVVDEMPGEIAGIKRATIRVDGENAFGYAKAEDGAHRLVRCSPFDSANRRHTSFAAVAVIPILGEGLSHYHIKESDLRIERFRSGGAGGQHANTTDSAVRITHIPTGITATCQNERSQHSNKASAMAVLQSRLDKLEMARQAQMNAQHTQSLAENTFGNQIRSYVLHPYRMVKDHRTNYKVSNADSVLEGDIDDFILSYLSASLDKDEDEL >CDO96991 pep chromosome:AUK_PRJEB4211_v1:2:15571578:15573931:-1 gene:GSCOC_T00014197001 transcript:CDO96991 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLLKSSPVLDKSEFVKGSQTLRQPSVSVVRCHPTAPSSLTIRASSYADELVKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSTTDGKKMVDVLVGQNIVPGIKVDKGLVPLVGSNNESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVALKVWAEVFFYLAENNVLFEGILLKPSMVTPGAECKEKATPEQVAAYTLSLLKRRIPPAVPGIMARI >CDO97517 pep chromosome:AUK_PRJEB4211_v1:2:19652475:19653057:1 gene:GSCOC_T00014888001 transcript:CDO97517 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSWGKLKPNEDNSKNNQTLGTNMIKRNKYPLFKTLRTITVQLYQEKCIRKFQIIVPKFVNKVTAGLLTIKEKTDVYTPKESGKRTILRRFGSGQTPPLLFSAVLRLWEFLEG >CDP09326 pep chromosome:AUK_PRJEB4211_v1:2:23660236:23663984:-1 gene:GSCOC_T00028643001 transcript:CDP09326 gene_biotype:protein_coding transcript_biotype:protein_coding MWKAVHENVLKDDFLVDDEGLQSYEHHLNFHDQEYIGKIKELQFYLDTVEKVVKPGCSQELLNVALTSLSSLVKTLSIISSGPCLLASL >CDP17750 pep chromosome:AUK_PRJEB4211_v1:2:51727393:51734859:1 gene:GSCOC_T00003854001 transcript:CDP17750 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome A [Source:Projected from Arabidopsis thaliana (AT1G09570) TAIR;Acc:AT1G09570] MSASRPSQSSTSSARSKHSARIIAQTSIDAKLNADFEESGSSFDYSSSVRVTPPGEHRPITTAYLHQIQKGKFIQPFGCLLALDEKTFKVIAYSENAPEMLTMVSHAVPSVGDHPVIDIGTDIRTIFTNPSAAALYKALGFGEVSLLNPILVHCKTSGKPFYAIVHRVTGSLIIDFEPVKPHEVPMTAAGALQSYKLAAKAITRLQSLPSGSLDRLCDTMVQEVFELTGYDRVMTYKFHDDDHGEVLSEVTKPGLEPYVGLHYPATDIPQAARFLFMKNKVRMICDCRAKHVKVIQDEKLPFDLTLCGSTLRAPHTCHLQYMENMTSIASLVMAVVINDGDDEGDSSDPADPQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVSKELELENQIVEKNILKTQTLLCDMLLSDAPLGIVSQSPNIMDLVKCDGAVLMYKNKIHRMGLTPTDFQLRDIISWLSEYHMDSTGLSTDSLHDAGFPGALALGDAVCGMAAVRISDKDWLFWFRSHTAAEIRWGGAKHEPGEKDDGRKMHPRSSFKAFLEAVKTRSLPWKDYEMDAIHSLQLILRNSSKEDEGTKSDTQDIHSKLNDLRIDGLQELEAVTSEMVRLIETASVPILAVDIDGVVNGWNTKISDLTGLDVDEAIGRKLLTLVEDSSAETVNKMLELALLGKEEQNVQFEIKTHGSKADAGPVSLIVNACASRDVRGTVVGVCFVAQDITGQKAIMDKFTRIEGDYRAIVQNPNPLIPPIFGTDEFGWCSEWNSAMTKVSGWRREEVMDKMLLGEVFGIHTACCRLRNQEAFVNLGILLNIAISGQASEKIPFGFFARNGKYIECLLCVSKKLDREGAVTGVFCFLQLASYELQQALHIQRLSEETALKRLKVLAYIRMQIRNPLSGIIFSRKMLEDTELGEDQKNLLQTSAQCQRQLNKILDDTDLDSIIDGYLDLEMVEFKLHEVLVASISQVMIKSSAKGVKIVNNLAESLMNETLYGDGLRLQQVLADFLLTSVNFTPNGGQLGLGGKLTKDRLGESVQLAHLELRMTHSGGGVPEDLLNQMFGTNGEASDEGISLLISRKLVKLMNGDVQYLREAGRSTFIISVELAVANQPAASCSRDLVGLTRSQ >CDP08809 pep chromosome:AUK_PRJEB4211_v1:2:52800003:52804638:-1 gene:GSCOC_T00027912001 transcript:CDP08809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative recombination initiation defects 3 [Source:Projected from Arabidopsis thaliana (AT1G01690) UniProtKB/TrEMBL;Acc:F4HU48] MKLKINKASDLSSISVLPPHSSQASATVSQFRSQAPISQQSAFSQGVSSQHGLFSQISQNSLEDVITHEQRLSSQERETSAKRNSFLAPINCPREESQMQISRSSANQMRKWSLPEHKCQINEELEHRIGMMETSLSRFGMILDSVQSDIMQVNKGTKELVLEVESIRQKMVVHDDVLQLMNKGQEDIKSSLNGGFKAFGITRIYMHRMRTNFCFWVRVLIIVFSSPVRFSFSFRSSAQLHPKVSQEILVPKTECGGWKSVKHQEAPFKDRNPNKSSHQGGIPSIKQERKCKVVVESDEDTDGGIFCLFKEMQTGNNSTQEANEETERILRKARRQKRKRCNPIVLD >CDP09390 pep chromosome:AUK_PRJEB4211_v1:2:22908801:22912026:-1 gene:GSCOC_T00028736001 transcript:CDP09390 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQDQDSVLKGFSSRFCPYLLVEILKLFENREVSFAFFKLVFCDASEFIVQSCCIVAHVLAAEGLRLMAQDVLSCVISRIGECRSNEVVEFMWREHSKYESDFSVLDSLMRAFVNADMGSQALKIWDRMREVRIRPSLSAVSIFFALLIRVGDYGSVWKLFRDMIQRGPCPNIFVYNVMILGFCRKGCVRTGESLLFLMRKYGCEPDVIAHNLLISAYCVRGWTSHALNWAHFMAESGCEPSTATFVTIINAFCKEGNIVEARKMFEEMQEMGVSPGTVTYNALMDGYVKAREIGEANMLYEEMRNMRVAPDGITFNILVAGNYKYGREDDGNRFLRELSMMALIPDCSISDMSISGLCWAGRLVEALHLLKTMLEKGIPVSIIAINSLICAYSRAGLHEKAFEVYNIMTKFGLTPSASTSTCLLIGLTKVGMLQSARNLMDKMMQKEFPTNQVAFTVLLDGHFRKGDIMGAFSLWEEMGRRGMAPDAVAFSAFINGLSKANFVEDAYDWFIEMKRKGLVPNNYTYNSLIAGFCNCGKLDEALNLEKEMRQSGLLPDVFTMNIIINGFCRQGRMKSAIDTYMAMHHRGIIPDIVTYNTLISGYSKVFDMVNVDNLVNMMHASGWDPDITTYNIWIHGSCSSRRMNRAVMILDELVSSGIAPNTVTYNTLMNGVCNDILDRAMILTGKLLKMGFVPNIVTTNLLLSHLCKQGLPQRAWMWGQKLRQIEFEFDEITYKLLDRAYHDIHGDAKYVKGTAGKILFLDFLMYITYDYLCRNKLCSEKSDESFELLDYGTAGYSKTTCRVAL >CDO96714 pep chromosome:AUK_PRJEB4211_v1:2:12309823:12315149:-1 gene:GSCOC_T00013820001 transcript:CDO96714 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRQFSTPEEMIAGTSASRPRTFRQEEAEVEEDVRSEEESEEESEDEEKRKGTQGVIQIENPNLAKPKNVKARDIDMEKTTELSRREREEIERQKAHERYMKLQEQGKTEQARKDLERLALIRQQRAEAAKKREEEKAAKEQKKVEARK >CDP13878 pep chromosome:AUK_PRJEB4211_v1:2:4051044:4051705:-1 gene:GSCOC_T00039008001 transcript:CDP13878 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSELEKKKNVHFVVAGNLCEDMAVLIKKDKKRKNYLREFEPDNANIRQKLIWARHQRLLGLATVPSTIEEEWETNPFMPVDIPEIQSSFLVVGRKSAVEALREIRQRKDNWEA >CDP08620 pep chromosome:AUK_PRJEB4211_v1:2:54428332:54432591:-1 gene:GSCOC_T00027639001 transcript:CDP08620 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFSASKFTQSFSLNSTRSVDRPPLFPASHPLKTTPSSFLGSTRKLSLSSPSSSTSRHRRRPCRSPAVVAVSEVVKEKKSKSNVSLSNPLITKEEGLELYEDMVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKFLKQEDCVVSTYRDHVHALSKGVPARAVMSELFGKTTGCCRGQGGSMHMFSKEHNLLGGFAFIGEGIPVATGAAFTSKYRREVLKEADCDHVTLAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHLRATSDPQIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKARYASRDPISALKKYMFENNLASEAELKAIDKKIDELVEDAVEFADESPLPARSQLLENVFADPRGFGIGPDGRYRCEDPKFTEGTAQV >CDP00151 pep chromosome:AUK_PRJEB4211_v1:2:5735931:5738884:1 gene:GSCOC_T00029967001 transcript:CDP00151 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARARVVVEGGGGRGGQRVMNTGHGAVVVDGGASGAAHKLMEQHKERAFHHNHQSHIGTVSQLLAGGIAGAVGKTCTAPLARLTILFQLQGMQSDAASLKKASIWHEARRIMREEGFRAFWKGNLVTIAHRLPYSSVSFYTFERYKNLLQLIWGVESPGENVRKDLCIRLVAGGLAGITAASVTYPLDLVRTRLAAQTNVAYYHGIWHALCTISREEGAFGLYKGLGATLLGVSPNLAISFSVYDTARTLWHFHRPDDSTVLVSLSCGSLSGIASSTGRRKDNGITSLLAKVFEGWPCTNITRIPKHDQQLSLFYLEKL >CDP16546 pep chromosome:AUK_PRJEB4211_v1:2:42696053:42705290:1 gene:GSCOC_T00018929001 transcript:CDP16546 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRLLCFNKSSGLFCTSNFGLFDAHRSRITKPTFYLPKSGSFFHTVKCSMRSYRLSDLNQSEVDNLKARPRIDFSSIFSVVQPIVDDVRGRGDAAVKEYTARFDKVELDNIVEDINELPDPELEEAVRAAFDVAYNNIFAFHAAQKPGEKIIENMTGVRCKRVARSIASVGLYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPARDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIYGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVVADKHTSPVHIASDLLSQAEHGPDSQVVLVLAGDGINLDVIHEEIYKQCQSLPRGEFASKALSHSFTVLARNMVEAINFSNMYAPEHLIINVEDAEKWESFIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYITVQSLTEEGLRNLGPYVATMADVEGLEAHKRAVTLRLQDIEARQTSNWR >CDP15513 pep chromosome:AUK_PRJEB4211_v1:2:45839700:45840688:1 gene:GSCOC_T00015370001 transcript:CDP15513 gene_biotype:protein_coding transcript_biotype:protein_coding MHIWEKNHHSRLEIGQEDHLEEDVQLNDKLLNNFCSLFVNLFVDHWAGKCNRFLLPFCGSQTYLLSTYCNTTNSDPMQ >CDP05086 pep chromosome:AUK_PRJEB4211_v1:2:1450739:1453936:1 gene:GSCOC_T00020015001 transcript:CDP05086 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAENGYSGAEREYIRKHHSHQPADNQCSSILIKRIKAPVHLVWSLVRRFDQPQKYKPFVSRCVVRGNLEIGSLREVDVKSGLPATTSTERLELLDDEEHVLSVRFIGGDHRLKNYSSIISVHPEVIEGRPGTLVIESFVVDVPEGNTNDETCYFVEAVIKCNLKSLADVSERLAVQDRSEPIDRE >CDO97561 pep chromosome:AUK_PRJEB4211_v1:2:19955016:19956968:-1 gene:GSCOC_T00014942001 transcript:CDO97561 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHIVIIVAVSILLIVDTNLVSVSGSCPINLSYVLTVPWDSSACQNHRHNTKTNGHETRDGSSSASSICCQTLTSLYGIGLAQNLKQTNHFRLPDLNTSISCLSDFQSKLDSLSLPSHLTSMCFEPVHFVKGTNLCANIHTKQDWLDVVGPSTSLDPACWPDVSDLTFCDACVQAGFKVHSQLLAVDRNSSHARGCFYYTVLYAAGIVNQLGPESLGAVSCILGLPMSSNRRSDSDSTGKLALVSGAAAAGSTIAVICILVVFYTFWKSRRKRKRYPEDERALEADHDVDDVDDDDDGSWRNWSPMAGSLWFKIKELEEATDYFSPKNFIGRGQFGIVYKGILRDGTVVAVKKITDPEFEGDAEFLNEVEIIGNLRHRNLVSLRGCCVSKRNQSHRYLVYDYMPNGNLNDHLFASRERHKRIRRKPLTWPQRKNIILDVAKALAYLHYGVKPSIYHRDIKATNILLDAHMRARVADFGLARQGRDSGSHLTTRVAGTHGYLAPEYALYGQLTDKSDVYSFGIVVLEIMTGKKALDLSSSADSRDIFFIADWVWSLVKVGKMEEVLDPSLQRNAESTSRNPVGVMERFLMVGILCSHLMVALRPNILDALKMLEGDIEVPAASDRPSYADRSTLYSVYTSGRHQIKQSVL >CDO97387 pep chromosome:AUK_PRJEB4211_v1:2:18625068:18628952:1 gene:GSCOC_T00014714001 transcript:CDO97387 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVHEGEHPAPRRGSNSGSSSTSSATRTVRAGTDPFLVVCRCFSFITAFAALLCIAVNILSAIRSFKNGSDVFDGIFRCYAVVIAIFAVLAEMEWGFIFKFWKVLEYWAGRGMLQIFVAVMTRAFPEYSGERQELVLLQKIACYLLLSCGLIYIVSGILCIGALKRARQKKEVSRDQAVKDLEELERRREELEALLVEDRA >CDP08536 pep chromosome:AUK_PRJEB4211_v1:2:41503010:41505692:1 gene:GSCOC_T00027482001 transcript:CDP08536 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGVPADQFHQFLAASSRTSLPIPLSFPLHHHGVSIPSSAASVSLASPPLPPTSATPPAPAFLGCFDPYSSPLTLDVQVQPHHHHQQSGLHHQLHHQSPPPTSKNGEEKEEREGSISAAIPLDPWSNDEVLALLRIRSSMENWFPEITWEHVSRKLTGLGYHRSAEKCKEKFEEESRHFNSMNYNKNYRFFSDLDELYNDENPQVSTEKSQDLVKEKDKQEGDNKMDASTLQEEEDTGNTVAVANPSDQENAELVKESTKSRKRKRNHKFEMFKGFCEAVVKKIVEQQEVLHNKLIEDMVRRDRESIARDEAWKCQEMDRINKEIEMRAQEQAIACDRQGKIIDLLKKFTSGSEADQSLVRRIEDLLKVTNSSNSVTSSSEILPPSSLNSDQTKLEAVTSASMAISHQNPTLKMVSAPNERGERIAGKRWPRDEVQALINLKCRLTNNSTSDDSIKEGAKGPLWERISQGMLELGYKRSSKRCKEKWENINKYFRKTKDNNKKRSLDSRTCPYFHQLSTLYGQGTLVAPSNLPENHQTSPENH >CDO97575 pep chromosome:AUK_PRJEB4211_v1:2:20082601:20086750:-1 gene:GSCOC_T00014957001 transcript:CDO97575 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYELVKDIGSGNFGVARLMRNKETKELVAMKYIERGLKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVNYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTIQRIMAVQYKVPDYVHISQECRHLLSRIFVANPARRITIKDIKTHPWFLKNLPRELTDAAQAAYYRKENPTFSLQTVEEITKIVAEAKTPPPASRSIGGFGWGGEEDEEKEEDVEGEVEEEEEEEEEDEYDKQVKEAQASGEFQLS >CDP05042 pep chromosome:AUK_PRJEB4211_v1:2:1094895:1097938:-1 gene:GSCOC_T00019957001 transcript:CDP05042 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active7 [Source:Projected from Arabidopsis thaliana (AT5G24314) TAIR;Acc:AT5G24314] MAMAALSSFFIAAPVSTPCKLPRIDLQAQVNPCRWNLEIRSQRISSSKSDGPGRRRVWRRRKLTKKDEFLEPKLERVPFLEEQVRKIRDGGKLLTMDIHRLLLSEDNRFDFVNEVAAEAKMYVENNRDEYGAKKAILHVLSNRMNDAGTSRPEAYLESDPFNPGPGYLREEL >CDO97220 pep chromosome:AUK_PRJEB4211_v1:2:17385218:17387423:-1 gene:GSCOC_T00014488001 transcript:CDO97220 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEVNRCQIQEWYPKFKSVSIRTLIHELPESFIEYLNDSGPFVLPVSIADDDALPNRIRKPEDEEDYEVHEGSDNEAESPPTPPSFPELEIMIKESIQSLGGAVFPKLNWSAPKDSSWISSTGNLKCSSFSEVVLLLRSSDSLIHDLCHAYDSCSDKTASRPQKFFLALRKWYASLRPEMEFRCFVCNGLLVGISQREVTGFYPALLDKKDEIKQMIQDFYSDKLQGKFESESYAFDVYVTADGRVKLLDFNPWGGSTLPLLFDWEELEENLKEEGKVLEFRMIESQCGVRPGMRTAVPYDYLDTSPGSGWDQFFNKANEEFRQQTMSAEAGA >CDP07691 pep chromosome:AUK_PRJEB4211_v1:2:24791142:24797551:-1 gene:GSCOC_T00025029001 transcript:CDP07691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EMSY-LIKE 4 [Source:Projected from Arabidopsis thaliana (AT2G44440) UniProtKB/Swiss-Prot;Acc:Q08A72] MDYPPYDSSGTDDDLPPSHQNRMPRGGARVAGNGRPPVMGSVPYPRMMGETDMEAQIHQLEQEAYSSVLRAFKAQADAISWEKESLITELRKELRLSNEEHRELLGRVNADDVIRRIREWRQSGGHQPAMLGAGQAVHDPVPSPSVSASRKKQKIAPSLPSQSFGGPSPSFHPQAVAGTNQPSSSAGKRGPMVGTKGKKHKSGQMLPNASSMKMQYPPSGPTGRSQFGNRVSSGGHASEPAEAGSFNQLIGRKVRTRWPDDNNFYEAIVADYNAIEGLHALVYDMGTANETWEWVNLAEISPEDIHWESEDPGISHHGGYGGSGRGMNRTVGRDGGPGAGRGRGMLKGQSRKDFPPSQNGIGKKGSDDIQLLHTDTLIKEVERVFSANHPDPQEIEKAKKVLKEHEQALVDAIARLGDVSDGESDGGHFLHGQSMVRE >CDO99766 pep chromosome:AUK_PRJEB4211_v1:2:9069255:9070525:1 gene:GSCOC_T00029453001 transcript:CDO99766 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTDILISGLASNDYLTVFYFLSNFFNPRSSFTDNYIVLLCLVYCVTGIAYALAIVLDPGPSQLCSAFLSVVLTLIATTTKDNKVYKLIANLCYPKWALEAFVAQNAERYYGVWIMTRCTVLILVGIASRVIALLGMLVFQRK >CDO97454 pep chromosome:AUK_PRJEB4211_v1:2:19169156:19172381:-1 gene:GSCOC_T00014801001 transcript:CDO97454 gene_biotype:protein_coding transcript_biotype:protein_coding MDISDLFTDMLRAVDSFFFFFLFFSRKTDLYRPIERRRMAALIAVCELTEHIERGKTTQTRMREFKKKKKGGGGRDTTSFPVSCAFAGWHGGGEKTRKIMSRIVQHLFNDFLIITEQIVLLCSFTPPASVRNFHAGFWVSVRKVESEFPIGRTGVVSPVDSPGYSKMFLQSQLSWNVIIPPESLDSNGLALQKAIIIRLMDDFAAKKATKDLGYFLAVTTLDKIGEGKIRERTGDVLFPVEFSCITFKIFKGEVLEGVVHKILKHGVFLRCGPMDKIYLSHQKMPDYRYVPGENPIFISETSKIEKDVTVRFMVIGEQYVEAEADFRAVVGLNGDFLGPV >CDP09323 pep chromosome:AUK_PRJEB4211_v1:2:23682786:23689662:-1 gene:GSCOC_T00028640001 transcript:CDP09323 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQHLYNSSSSSNVNSNVGNFNLPVSEVYWSLVAKADKKFSKIRDLPYYQRNRYDTYFSKVFKIYTQLWKFQQENRQKLVEAGLKRWEIGDIASRIGQLYFGQYMRTSEASYLSESYIFYEAILTREYFKDGMFQEINIAFKQLRFLARFLTVCLVLSRRDVVYQLVNQFKMLLDDCKRTYQETDFKEWKLVVQEIVKFLKGDTAFMNLRPLRYSVVLDLHPDSIPNAAEAKRKLKLKDALLCSYHPNEVKFSELTLDTFRMLQCLEWEPSGSFYQTGAAPPSGNSIGQNGAPGHSHINYSQDITDPMLPPNPRKAILYRPSVTHFVAVLSTICEELPPDGVFLIYMSASGDKGISGQSLSSSSHAENDRSNPTSVHSDVGCLDNRTGCLNIGGRGSGGSNCIYPCDLIPFTRTPLFLIVDSDISRAFKAIDGAEKGEPVAMLLSPTASLPISAVDSSRQPGGSPFTNFLTAPLQAFILMLGFTSSDIETDLYNKAEKLLSSSLNEWGSLLAVSDNLNPVWAQILADPFIRRLLLRFIFCRAVLGLYTRTSIKIEFLPECVPSLPDTFLPMTATCQTTVLRLADVFGATNRFINSEGIVLPASRDSED >CDP13845 pep chromosome:AUK_PRJEB4211_v1:2:33828574:33834261:-1 gene:GSCOC_T00038952001 transcript:CDP13845 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTAEGMSNNGYLNGATNGTTEEKVDELRRLFGKADGDPLRIVGVGAGAWGSVFAAMLQDAYGNLREKVHIRIWRRAGKAVDRATAEHLFEVINSREDILRRLIRRCAYLKYVEGRLGDRTLYADEILKDGFCLNMIDTPLCPMKVVTNLQEAVWDADIVINGLPSTETHVVFEEIRRYWKERLTVPVIISLAKGIEAELEPEPRIITPTQMINRATGVPTENILYLGGPNIASEIYNKEYANARICGAEKWRKPLARFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGDLSLDMGDSIKGKGMIQGVSAVKAFYELLSQSRLNLLNPNENKHVAPVELCPILKTLHKILILRELSCEAILQALRDETMNDPRERIEMAQSHAFYRPTLLGQ >CDP05094 pep chromosome:AUK_PRJEB4211_v1:2:1519839:1521700:-1 gene:GSCOC_T00020025001 transcript:CDP05094 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSQLVLGGPFLPHIERILRDKACSMSSPVVSASDTGNRSTLKSLDRMRGRPFQYCDLVLQIEKDLQLFIELFNVKLSMLGHHQLKNAATATCAALCLRGQGWELSDESIRAGLENAFLIGRSQILTQNEAERLGLSGATILLDGAHTKESARALANTIEMAFPKARLVLVVAMANDKDHLGFAKELLSARYLEAVFFAEASIAGEKSRATSASSLRGSWTQASRELGIDIGDYVNEPEVKDLNLNHAERSERQPILFAEGSLMASIKAGGQMLGPGSGCQTGVIVVTGSLHVVAALLGSRQG >CDP08703 pep chromosome:AUK_PRJEB4211_v1:2:53699010:53702838:1 gene:GSCOC_T00027762001 transcript:CDP08703 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKVCVTGAAGYLGSALVHKLLEKGYAVHATLRNLGDASKTGLLKALPHADTRLLLFQADIYNPDEFGPAIQGCQVVFHVATPLQHDASSSQYKNTCEAAVAGVKAIVASCIKSGTVKQLIYTASAVASSALKDDGSGYKDTIDESCWTPLNVSFRCATDFLTAYVRSKTLAEKEVLRYNDEKKLEVVSLVCGLVGGYKFQSLIGESMRVLVSQATKDKMRYQSLRCLEEVIGKIPVLHIEDVIDAHIFCVENPGFTGRFLCASDLLKSEEIATLIQSCGSESKVPDEAAGGLGLSKYLPNANCGCVCAGRRSSLRIRTEILDGAPQSWRNWAFATNMTLG >CDP18239 pep chromosome:AUK_PRJEB4211_v1:2:48441708:48447412:1 gene:GSCOC_T00011800001 transcript:CDP18239 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEVCLKINQFEHIIVLQADEARNKTIRDVENATSMLHPLNSSALNLATVLSAKLNGTALTFPIIQANIAPSLFQALTTIPRVTEVEFMGLDSWEFSYYRNDEQTFAFFSNSSAPSTSYTQPVNRDTGKLFGEAVESNYTIAFNSTRFVEGPRGILPYPSLETGKNQDLLFKSNVPMVGMGLISIGFPADEVKNQFSHLDFHGSHLHLASNDGQVLFEKELADTQIFVYNGTYSLKLKKQNGAYEDVVSNVSCKLEDDADLEHLDVKINEKKHKFYCSTVDVAGIDSVYVLAFPEDGNEAAAHKSSMLAIMLLVLTLVGSVVSLCLFFFLILKAARREIVLCDALIKQKESTQQAERKSMSKTNAFATYSHDLRASLTAITCLIQLCREDVVPNSQLAANLSQLDTYVHDLLGLLNTVLDKSKTEAGKTELVEEEFNLEQVLEDVVDMYYPVGVKKGIDVIFDQCDFSIIKFRHVRGDRRRLKEILENLLSNAIKFTTEGHVVVRCIARKTIHENPNCMEFVFEVDDTGCGIPKERRKSVFENYVQITVAAAGQQGWGLGLGIVQSLVRLMGGEIRIVDKENGEKGTCFRFSVFLTVCNPVSTVMDEDGNHMQNGVSSSDLLHYFDLHVRSPNTRLEGSHVVLLLASKERRKISKRAIENIGIKVTVAETDKDLRRILYKIKEKMDHFQLNLHEKSESSPPDCLSASSNSNSGLNEGHSGATVQNFILIVIDAAIGPSLEASAALSSFKKETRNLQCKVVYWDNPIIPRRNSRDVKEQRPLIPCDYILKKPLHGSGLYGVLRLLPVFHGAFPPESSIVKAEALQKGKISTDEKQELQEIVIHDPAADQSSPNPLKGKSVLVVDDLEVLRRVASTRISKLGARVEVCENGKEAFDKVYKVLNDEKEINQETLPYDFIIMDCEMPVMDGYEATRLIRKEEKIHGIHIPIFALTAHAMPEERRKIVDAGMDFHLCKPFDADKLMDAIRDIERKFKH >CDO97095 pep chromosome:AUK_PRJEB4211_v1:2:16476089:16481039:1 gene:GSCOC_T00014331001 transcript:CDO97095 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWMSCEEDYDSSDRESPDGFENEDSDSHWMPFKGPSSKVITKESLLAAQKEDLRRVMEVLSLQEHHARTLLIHYRWDVEKVLAILVEKGRALLFAEAGVTVGENKDSDMPGSSSTVLCNICIEEFPGNEVTSMDCGHCFCNNCWTEHFIVKINEGQSKRIRCMAHKCFAICDETVIRNLVSKRHPDLAEKFDRFLLESYIEDNKMVKWCPSVPHCGNAIRVEDDEFCEVECSCSLQFCFNCLSEAHSPCSCLMWELWTKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSSIAGHSCGRYKEDREKKAERAKRDLYRYMHYHNRYKAHTDSFKQESRLRETIREKISNLEARDSRLRDFSWVTNGLYRLFRSRRALSFSYPFAFYMFGDELFKDEMTKEEREIKQHLFEDQQQQLEANVEKLSKYIEEPFDEYEEKKIMDIRMLVINISVITDTLCKKMYECIENDLLGPLQFSVHNIAPYQSKGIEKAVELAIGRSSKTNVNQHPESDSQTNGGNTESDQPSASGTSDESGCPSRKRARKGSFGCSLIDLNLPAEAIDRN >CDO99862 pep chromosome:AUK_PRJEB4211_v1:2:8234277:8244561:1 gene:GSCOC_T00029560001 transcript:CDO99862 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCCLQKWYLSDTEDEDILEHIEKLIAVDKKDDLVDFIRSQVDKLIEDFNRNGSRLAYSILYKCVHSSALNCAAALLEEETDLTQYFKLPGLNLLHTAADALSLKMTRYFLKFGMRADEKATGIHTHGCQMLLPIDFAVQSVRRRVFWTQEQSLYRLIFRLVPQGMYGPRQTMKLLMHEISSSEASEIICRYATEGKIIELASLLIASSEGHLTVDNLYMPELMESNKLAECREMQNSMMSILMLLEIFLRTGHRIAWEASESSYFYSPTRNAVANLLASSGFELTGEDASYLRGRPRLFDELCSRVKNFFPDGMPLLDSFERVADIGWCETDSEYDELLPLHKAVDRLRSDIITSHWKQNESIFELIIVLCLPEMKERLEAVDLFAQKVDKINQLACFYAKEGKLIELAIIFIISWEKAMDPIKLKINGDSSERSMTFRQFINSEMAQAIDLGYRLIGRITKEEEELSKFCKQRKEAMISALPLVGIFEKAGVNLRAYFQLEKNMVRKEQVVEDVMELLAKVGYKVKSEDIKIGDEVDSSMELPLEGKGGAVQELKKIAVTTTISGFQRQDFTRPAYVLPCGFVEGAYGRADDIRKFLFTRYTRECFCLSSGVQSFRAFWTSNPKAGYCSGFPLALKVQKRDVVRGNWLMQKFSASKQLSSVALAAKRGIKYL >CDO96935 pep chromosome:AUK_PRJEB4211_v1:2:15170057:15174268:1 gene:GSCOC_T00014124001 transcript:CDO96935 gene_biotype:protein_coding transcript_biotype:protein_coding MATDKAVETVIVGNYVEMETEGKPTDVKTKISKLFWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMMSGILFQLFYGLMGSWTAYLISILYIEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLAVASLLHGQVDGVKHSGPSKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATLYVLTLTLPSAAAVYWAFGDMLLNHSNAFALLPRSPFRDMAVILMLIHQAGHHYFTFITFGFACTPLYFVWEKAIGMHECKSLCKRAAARLPVVIPIWFLAIVFPFFGPINSTVGSLLVSFTVYIIPALAHIFTFKSAAARENAVEQPPRFVGRWIGAYVINIFVVVWVLIVGFGFGGWASMVNFIHQIDTFGLFTKCYQCPPQQFPPPPVMNATAPLPPPPGNLTLPHHIIRKP >CDP07822 pep chromosome:AUK_PRJEB4211_v1:2:26973087:26985811:-1 gene:GSCOC_T00025220001 transcript:CDP07822 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDPRVWYLIDTERRWSNFAITTPNRNGCEGPQDHIPTESRCGQPLGLSFNRKSGKLYIADAYKGLLVVGPNGGLATPLANEAEGVPFKFTNDVVVDQNSGILYFTDSSTIYPRRDFDLVISTRDKSGRLLKFEPKTNQVAVLLKNLTFPNGVALSKNGGFLLVAETTNCRILKYSLEPSKAGTVGRFTKLPGRPDNIKRNQEGEFWVAVNSPDNKINPFGLIVKLSQNGDVLKILEAGKGEAWRYSSDVNEVNENLWIGSVTEPYVAKLEFYSYARSEQFQIVNATGPESAVFDINGGGPYTGLSDGRIMKWLENDRRWTNFAITTPNSLVRNKDRQEVLVFVWSTRSCFHGVNKLCYNGKSGELYIADAYMGFLVVGPDGGLAAPLAKEAGGVPFKFTNDVVVDQNSGIVYFTDSSAIYSKR >CDP08897 pep chromosome:AUK_PRJEB4211_v1:2:51955616:51958805:1 gene:GSCOC_T00028029001 transcript:CDP08897 gene_biotype:protein_coding transcript_biotype:protein_coding MKHEEQARFLFGISLTEWPKWKQFLICSSGFFFGYLINGICEEYVYNRLQFSYGWYFTFVQGWVYLLLIYLQGFTPKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMIMGAFIPGLRRKYPPHEYVSAILLVVGLILFTLADAQTSPNFSVVGVIMVSGALIMDSFLGNLQEAIFTMSPETTQMEMLFCSTVVGLPLLIPPMLLTGELFRAWSSCSQHLYVYGVLIFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHGTGLLLISMGIILKLLPDTKPRKPSVAQAPASRAGKPSSSNGSNSQMEIEEEEEKRPLV >CDP05078 pep chromosome:AUK_PRJEB4211_v1:2:1380043:1381876:-1 gene:GSCOC_T00020002001 transcript:CDP05078 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVFGFICLSFLARAEIAAGQDDCLPASNPLRVKLGINDIDYKSQVLSSDRMNVHDCLPRQLPHVNSPASPFGVVTVFSTGGGFSLFNCSTSANNGSDSRNGWPIPCLSTPDFQVRYLDSGFEQYNQTYNYVLLNWSKPSCANCEANGKHCRLKINGANNETECVDIPRQPGDGTYLRHTFPYVVIQIKIRIRHQFSSPSVVCHFNIEKKKERGAVYKALRPIRFYYADIKKITDQFKEKLRLWNSGNGEEFINEAGTIGRIHHINVVRLVGFWADGCTRALVYEFLPNDSLEKFISRGKQLLRWEKLEQIAIGIAKGLDYLHQGCNQRILHFDIEPHNILLDQNFSPKVADFGLAKLIYSFGMSLLDMVGARKNFRDGADDSSQIYYPEWMDNQLEKGENIAIQTDDEQHNTLTYSRN >CDP09352 pep chromosome:AUK_PRJEB4211_v1:2:23335537:23336832:1 gene:GSCOC_T00028682001 transcript:CDP09352 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSDDEDMTPKHLLEHPGIESELLSHEYQKAKVWKEWWDCKKKEAKEFDLEDWKERNRDLSHKSREQILQECWKSVPSFPLNLGAIGGLDDVVKFCFVHDVDPKLWEKYVAECRESEGSDVVPYPGPSPYLPLRPITSYLKNPELHQELIQLATRALEEKQPGYQFLHIELVTGYSCSGYMYNTTFRA >CDO99812 pep chromosome:AUK_PRJEB4211_v1:2:8691409:8695294:1 gene:GSCOC_T00029506001 transcript:CDO99812 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKNYSRSNSSNSYDYSFKILLIGDSGVGKSSLLLSFISQQYLQDLSPTIGVDFKIKLLTFGGKRMKLTIWDTAGQERFGTLTSSYYRGAHGIILVYDVTRRETFTNLSEIWAKEVELYSTNHDCIRILVGNKVDRDCERAVTVEEGMALAQEHKCLFFECSAKTRANVQQCFKELISKIFEAPSLLEKGSTAVKKQILENKQLYQAESRSNCCS >CDO99941 pep chromosome:AUK_PRJEB4211_v1:2:7609322:7614677:1 gene:GSCOC_T00029663001 transcript:CDO99941 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQAHLPPRCPFQKKTISRHDPALPTLDNHIYLHTRHHKSVSQSSIGEEKPAWLDDLLDDSDSNSGYILHRRSASDSLTLLDDHVPLASINQLSGSDTPASCESDGSLESASIYGPNSPRAKGKISFPENAIVSALSEYVSHEHLWHLNDSICASGAANLESVEDTRGSAGEMIAETKPVKRYPGQRSRVRKLQYIAELERTVNILQKVEMDMASKVASLVRQRLALSLENNKLKQRALRLQHEKQIVDGQYKSLRKEVERLKTYLAHAHGSKVSTNFSLSSHAELASAEATWQMLDMGKLDLS >CDO97257 pep chromosome:AUK_PRJEB4211_v1:2:17639339:17643472:-1 gene:GSCOC_T00014530001 transcript:CDO97257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase RHY1A [Source:Projected from Arabidopsis thaliana (AT1G49850) UniProtKB/Swiss-Prot;Acc:Q852U6] MTSASELFHARRSRFGRSSSSLLDLGDGGGEEPPLDSSSSSSHLHRIPHPNSRRHRYHVTHHHGTTPTTSLNSRRHHHNRLDIEGCDPLPRRPPHPRHHLPHRHLLPDHESGWLDQGSSPSALGEVNNSESVDALRGGLRSAGNDRLPGTVLLARERLLQRLRGVSLSANRQRHRPSSSIHHNDFAVEDDFRLADVGDWDNGISREWLAESVSFTDILPTEKSERPPGLTQEALDSLKVEIFSKSDNSDGEVTARRLEDCSICLESFLEGDKLIFLTCGHRFHFCCLDPWVRICGDCPNCRKAVVITGRRAKERV >CDP08657 pep chromosome:AUK_PRJEB4211_v1:2:54063059:54068111:-1 gene:GSCOC_T00027697001 transcript:CDP08657 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEARAAWQRTVNRCLVQEDAKRAPKLAYCPLASPFVREVEVGPANAAEAQDISSVAFPPFNQSTSFSNLSPNSKWWLQLPSNYRHQRGLTDQQLNCTDSEMETFHDRTSSALKMPESEDGSALFYDSIETESFVDSDLRILSTGLKKDTEVGDKDLTPMNKLNPQCSPKLEDVGDLYERAEIGTYGCTVSKKKNELFPDSESPWIGDEKIGPWWRTADQDELALLVSRGSFGLIENCDLPQPQNTCVEREAFVDLCCFDHDRACISPTDPKHPGCHHDLIVHKQSSIASQSDCQKQRLSVDEQLQSSTITSLRDSSNQDAILRSFASDSDSSKAQLLEALRHSQTRAREAEKAAKQAYAEKEHVVKLVFRQASQLFAYKQWFQLLQLENLCYQIKNNKGQPISTLFPVMLPWVPQKTRKLRKNWQKAARGKRAKRGRPRSDISRYAVVFALGLSLVGAGLLLGWTVGWMLPTF >CDO97054 pep chromosome:AUK_PRJEB4211_v1:2:16175263:16177366:1 gene:GSCOC_T00014277001 transcript:CDO97054 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFHGKQNGNGVAEDGCHPASPRHYKHLSGGIRTSLSWLDLRVFYVRVSKCEIDDSTPQYLTLNHVPLDCNTLLEVNGVRTGIYSDGVSTLLRRDRIDKKSEEVTFVSTDSIRTTGSVKLEVFDKDVLVLSGALELCNSDGFAGADSRNHDQCWSLNCDSDLPVGFVLLEGNQYMNLESGSPSIEVYVAGCFSGRPIILTKALQLGHKRKQMRKAMLDSIPEYETTANQKDDSSSIHGMQVTEQQNRDPEMEDYSRHHPGTEYIEGGDGELSWFNAGVRVGVGIGLSICVGVGIGVGLLVKTYQGTTRNFRRRLF >CDP08666 pep chromosome:AUK_PRJEB4211_v1:2:54003180:54004553:-1 gene:GSCOC_T00027709001 transcript:CDP08666 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIITVQVFSRRTPYIKFSLCQTYSIQSIYSCHLQLPSARATERNSTFHQKRCIHRGIRFPRLIRTEEEDHLPRPPCSFRRTSSYRVSQIIHY >CDP05344 pep chromosome:AUK_PRJEB4211_v1:2:3454051:3456903:-1 gene:GSCOC_T00020349001 transcript:CDP05344 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPEKPNGNSPLKLWIKNKKVLNNVLFTMRLQTLTKQPQTPSLMTPRPHKTEGFTFPELVSDKTSLLPDEILLQILSKLPDSQRNSNSLVSKRWLNLQGRLVKSVKLLDWNFLVSSRLFVRFPNLVHVDLVEGSLTSARNSGILCTHKVASFYVDTDTEPKGSLACERFLLHADEIDLGLRNLANGCPNLRRLVVLNASEMGLLSVAEECPTLQELELHRCSDQVLRGIAAFQNLQILRLIADIDGFYSSVVSDVGLTILAQGCKRLVKLELNGCQGSYDGIRAIGQCCQMLEELTFCDHKMEDGWLAALSYCENLKTLRFLSCKTIDLNPGPHEHLGSCPTLERLHFEKCQLRDKQSVRALFVVCQGVKDIIFKNCWGLNNDIFSITTDLRSVKLLSLEGCPVLTTAGLELVVISWMEIQSLRVISCNKIKDTEISPVLSYLFSTLKDLQWRPDTKSLLSANLVGSRMGKRGAKFFKKTCDWKALTGA >CDO97653 pep chromosome:AUK_PRJEB4211_v1:2:20706992:20710504:-1 gene:GSCOC_T00015057001 transcript:CDO97653 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQSNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFIVSVNKYLEAQSHKLSVGMRFKMTFEGEEVPERRFSGTIVGVGENTSSRWPDSQWRSLKVQWDEPSSIVRPDRVSPWEIEPLVAATPPNPLPPQRNKRARPPVLPLAMQDLSSLGVWKSSVEPLYCDTSRSHDFYPSPKLCAATKASNLGYSDSKSMFWSNQVETATESFAPVTEKRQVNGYRLFGIELLERATVEDTSAVVASGAVEGDQPLLSRDSESDQLSEPSHPNRSDVPSVSCDPEKSCLRSPHESQSRQIRSCTKVHMQGIAVGRAVDLTRFEGYDDLLTKLEEMFEIDGELFGSTKKWQVVYTDDEDDMMMVGDDPWHEFCGMVRKIYIYTSEEAKKLSPKIKLPVGEVKSSKAATDVVALGTEEQSSNAGSGGHSC >CDP17223 pep chromosome:AUK_PRJEB4211_v1:2:47979952:47989863:1 gene:GSCOC_T00000705001 transcript:CDP17223 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 3 [Source:Projected from Arabidopsis thaliana (AT5G27030) UniProtKB/TrEMBL;Acc:F4K2T3] MSSLSRELVFLILQFLEEEKFKESVHKLEQESGFFFNMKYFEEKVHAGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVNDLKVFSTFNEDLYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGALAPTPVNMPPAAAVAKPAAYTSLGTHGPFPPTAAAANANALAGWMANAAASSSVQAAVVTASSLPVPPNQVSILKRPITPPATLGMVDYQNAEHEQLMKRLRPAQSVEEVTYPTVRQQPSWSLDDLPRNVAFTMHQGSTVTTMDFHPSHHTLLLVGSNNGDITLWEVGMREKLVTKPFKIWEIQACTLPFQASVAKEGPFSVSRVTWSPDGTFIGAAFSKHLVHLYAYAGPNDLRQHLEIDAHTGGVNDLAFAHPNKQLCVVTCGDDKLIKVWDLTGRKLFNFEGHEAPVFSICPHQKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTGKEGDSFLVEWNESEGAIKRTYTGFRKKSNGVVQFDTTQNHFLAVGEDSQIKFWDMDNNNILTFTDAEGGLSSLPRLRFNKEGNLLAVTTADNGIKILANAAGMRSLRAAENPGFEALRSPMEAAAIKASGSSVANVPPVNCKVERSSPVRPSPILNGVDSMSRSMEKPRTLDDVNDKMKPWQLAEIVDPVHCRMVTMPESTDAGNKVARLLYTNSGVGLLALGSNGVQKLWKWVRNEQNPSGKATANLVPQHWQPNSGLLMTNDVSGVNLEEAVPCIALSKNDSYVMSAAGGKVSLFNMMTFKVMTTFMAPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKSHQKRITGLAFSTTLNILVSSGADAQLCVWSIDTWDKRKSVPIQLPAGKAPTGDTRVQFHSDQIRLLVSHETQLALYDAAKIDRIRQWVPQDVLSAPISYAAYSCNSQLVYASFCDGNIGVFDADTLRLRCRVAPSAYLSQAVLNGSQAVYPLVIAAHPQDPNQFAIGLTDGSVKVIEPQESEGKWGVTPPVDNGILNGRAASSSTTSNHGGPDSVQR >CDP08755 pep chromosome:AUK_PRJEB4211_v1:2:53295361:53298940:1 gene:GSCOC_T00027844001 transcript:CDP08755 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g14620/T5E21_15 [Source:Projected from Arabidopsis thaliana (AT1G14620) UniProtKB/TrEMBL;Acc:Q8L7U3] MQRSCTSLVGRRQLRTRGFCSSSSRAANTGGDDKIIASVVFERLPVVIPKIDPVVYAFQEFSFRWQQQYRREYPEKFLKKSDARGKGDYQIDYVPSPRVTEADKTNDKKSLERALDRRLYLLLYGTAYGSPSGKPTWHFPEKVYESEGTLRKCAESALQSVVGDLSHTYFVGNAPMGHIVAQPSDSNQDLPSFKRFFFKSQVIATNKFNIGRGDDFVWVTKDELLEYFPEQSDYLKKMIIS >CDP05151 pep chromosome:AUK_PRJEB4211_v1:2:2004660:2007298:1 gene:GSCOC_T00020100001 transcript:CDP05151 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIGLLVHAAFCSLQNCYACELQDLGHSFDDKCESRLYIGNLDLRITEAALIKMFSPFGKIVSEDFLWHTRGPKRGEPRGYAFVQFNTKEEAKLAKEKMHGKLVCGRPLVVRLASEKYLMDAASNSPNAIGDSSKSSLAGSSSGQVNRSAKIAAIKNKLKAMEEEGGNPKKQKQADSISCTE >CDP17972 pep chromosome:AUK_PRJEB4211_v1:2:43886315:43892019:-1 gene:GSCOC_T00001220001 transcript:CDP17972 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGYSSCSSLSLSFPSSTCSFSYCPTTSNSKTTCTFSDKSHSNHLLSKPTSKFLQTHIRKLAQKTIGKAPSSAPFFLRCGRVVEQMETQKGNDFLQKPSFDPHSIDQELVQKIAYDALVWSSLHGLVAGDRNSQRSGKVPGVGMVHAPIALLPMSFPESHWKQACEVAPIFNELVDRVSLDGNFLQESLSRTKKVDTFTSRLLDIHSKMLEINKKEDIRLGLHRSDYMLDEQTKLLLQIELNTISSSFPGLSCLVSELHRLLLLQFREHLLLDPERVPRNNAVNGFAEALAKAWTEYNNPRAVIMVVVQPEERNMKSLAEIDATGELQADGTLLVGGEAVAVVYFRAGYAPTDYPSEFEWRARLLMEQSSAIKCPSIAYHLAGTKKIQQELAKPGVLERFLENKDDISKLRRCFAGLWSLDDLQIIKDAIERPGLYVMKPQREGGGNNIYGDDVKETLLRLHMTGNQEDAAYILMQRIFPAVSPAILMRDGISHRDQTISELGVYGAYLRNKTKVIMNDNCGYLMRTKVSSSNEGGVAAGFAVLDSIYLV >CDP06815 pep chromosome:AUK_PRJEB4211_v1:2:37294618:37309882:1 gene:GSCOC_T00023810001 transcript:CDP06815 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGQQTVDFSTLVARAAEESYVSLKELVEKSKGSDISDSEKKIGILKYVVKTQQRMLRLNVLAKWCQQVPLVQYCQQLESTLSSHDTCFSQAADSMFFMHEGLQQARAPIYDVPSAVEVLLNGTYHRLPKCIEDVGTQSTLNVDQQKPALMKLDALVRSKLLEVSLPKDITEVKISDGTVLLRVDGEFKVLVTLGYRGHLSLWRILHLELLVGERSGPVKLEELQRHALGDDLERRMAAAENAFMTLYSILHELCVALVMDTVIRQVQALRQGRWKDAIKFELISDGSMGQGGNAGGTQITQDGEADAAGLRTPGLKILYWLDFDKTSSTPDVGSCPFIKIEPGPDLQIKCLHSSFVIDPVTGKEAEFSLDQSCIDVEKLLLRAICCNRYTCLLEIFKELEKNNQIVRAPGDVRLETQMDKFDNDGKKDISKFDSRKDEGQEVLLVRAYGLSFFTLGINLRNGHFLLHSSKNTVSPSELLECEEALNQRTMTAAQVFISLRSKSISHLFACVGRSLGLEVFERGFASLKLPKNISNGSSVLLMSFPECGSSYYLLMQLDKDFKPLFNLMETQPDPSGKAESFSDLNNIIRVKDVDIGQMQMCEDDLNLSLLDCGKLLSVLPSLSPNRTSEQSLLSEFTLEGSALASSFPSKFSSIVDEVFELEKGSSAANLSGHGPSLGSTYGTSPASHFGAGGMNLHSTKVGTPSPKWDGGSQVPTRLSGMPPSYSGSIYTGSHYRGLIQSGSTGSISVGPGRSQVKNLSSSKSDQDLTSLRSPQSGGLGSYSLIDEHQVTTPGIRTAGHLSPSPQLGLPASGASAKPIGARNSSGNAIPGNLRVSGFNSLVASPVSQTPDSNYMNSNLDTVPRQEKMSRKRTLTDLLSSLPSLQHPEANDKSYKRRRIVEWRPQQLTSQMLITSELFRKTEGYSYGDLISEANKGNLPSSIYVSALLHVVRHCSLCIKHARLTSQMDALDIPYVEEVGLRSASSNLWFRLPFARGDTWQHICLRLGRPGSMYWDVKICDQHFRDLWELQKGMSNSPWGPGVRIANTSDVDAHIRYDAEGVVLSYHSVEADSIRKLVADIERLSNARTFALGMQSLLGVRTDEKFEENATASDVKAPGGVKTVLDMADKFSEQMRRAFKIEAVGLMSLWFSFGSGVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFINGAEVASLLDCIRLTAGPLQALAAATRPARAAPVSGVSGVAAPISSMSRQSGYVPSQGQLPSIATPNASQAASVPAGNASASVTSGPLASQNPHTTAMLAAAAAAAAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKNFAVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQELNGIDSSFAGGQQTVVLANGSSSNPSTVSHLSASNGNRTNLANSAGISRSANAVSGLNRMGNVVPAGSNLAAANSGLPLRRSPGTGVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFFIGGYVFAVSVHRVQLLLQVISVTRFHHSQRQQQNSATAQDELTPSEISEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLAPSPGGDLAPAQKSRIELCLENHAGFTMDGKNESSSVSKSNIHYDRAHNAVDFALTVVLDPAHIPHINAAGGAAWLPYCVSVRLRYSFGDNPNVSFLGMEGSHGGRACWLRVDEWEKCKQRVTRTVEVNGSSAGDGNQGKTGCN >CDO99572 pep chromosome:AUK_PRJEB4211_v1:2:11272640:11276477:1 gene:GSCOC_T00029206001 transcript:CDO99572 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDTFSIDGEEQATTRQFEDGGYGGYDSYTAFSSADTPPYQSGGGGGFPAGYEEEEVTVEHVSHSVNSSDPFGFEADQEAAPFEGPGAPIPNGNGRPYDLGEDTEGIFRSDGPVLPPPTEMQEEGFALREWRRLNAIRLEEKEKREKEIRNQIILEGEEYKQAFYEKRKVNIETNKNNNREKEKLCLASQEKFHKEVDKHYWKAIGELIPHEVPNIEKRRGKKEQEKKPSITVIQGPKPGKPTDLSRMRQILVKLKHNPPPHMMPPPPAPSKDDKAGKDAKDGKNAKAKTGKDAEANAKGSAVGEVAAALTKEKEPVANGSAPTSAEETEAVASEQPAN >CDP05193 pep chromosome:AUK_PRJEB4211_v1:2:2328012:2330639:-1 gene:GSCOC_T00020156001 transcript:CDP05193 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYKGDNDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGYDYEEEDEEGQEA >CDP04985 pep chromosome:AUK_PRJEB4211_v1:2:646404:647240:1 gene:GSCOC_T00019875001 transcript:CDP04985 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLNVVMTMSEYASGVNIQFRCRLGFVKYLLKAFFSHLLLLCKLSHSQQQTPDSLFEEEEGEGETHPSPAMVPFPVSVESITAAAIIKRQLSVDEYNRVAVRMKNEDDDNHDDEDPRCAVCLDNLEGSHEARELLNCRHVYHRHCLDAWVEKGHLTCPLCRAKLLAAEDYMEEEVVPRDPWRSERMMYLFGEDVLF >CDP05386 pep chromosome:AUK_PRJEB4211_v1:2:3804568:3805989:1 gene:GSCOC_T00020402001 transcript:CDP05386 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASTLRKCSHCGHNGNYSRTCNGKGIKLFGVKINVVEDDYANRQNESIRKSKSMGNLETCNGECNVPDDADGYVSDGLIHESSGAKTARERRKGKPWSEEEHRSFLLGLEKLGKGDWKGISKNFVHSRTPTQVASHAQKYFLRLMTATERKKRRSSVFDIPLDEMVGLHPVPEFSSFPPLFFFFFFLGLLGWGYVTIIIHTIDR >CDP07636 pep chromosome:AUK_PRJEB4211_v1:2:24131263:24133528:-1 gene:GSCOC_T00024953001 transcript:CDP07636 gene_biotype:protein_coding transcript_biotype:protein_coding MCFWIFFAGNLKSKENLKFTDTVWVSMNSIESLMHSSLVESSAISEFFDQASAISVPKSRYLPVEATSDLLLYQSDLYSFDEGIPTRSSARTNPADPLIELGPEFADVTEFHGRFKSMPSIIELDALEVTGDVWFGSDVTLKGKVSIHARPDVKMVIPDGAVLENRIITRPRDVEGPL >CDO97147 pep chromosome:AUK_PRJEB4211_v1:2:16821860:16825012:-1 gene:GSCOC_T00014397001 transcript:CDO97147 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKAVRTISQEAFDEMVKENIEDLGMDPTEALEDAVQTLSLQGVDLSGIVHCVPGESSVDDNPVVQALSRLKGLSSDSSDSENEIMELLGNLNELCSSKGSGNAAIATKNGGVELVTSVLPKLKKSGPHRGLDLALSTLTSLIHDLQSTETFKESGGPEIVVGILNDESQHVSILNSCFSVVAVAASGNEVVKESFMDLKIDQLVIKILKEHSGWAFPSVYDAVRVLLTADDNRVVASQVYGYARRFAKMGIVETLVHTLHEGLSSPCVVSACIALKAVAVNDEVCRSVADNGGIDAILHCIDDCGEQGNKAAARTCCSLLSKLAGSDINKSLIIEKGGLQRLIKLAGRFSEDPSVLQEVMSHISILCLRSPDNAAQAIEVGAGDLAIQAMQRFPDSEQLQRIACLMIRNLVVRNAKNRQDLKILLSHGIENLVRKAKGSHKICKDAATDALRDLGLDNYNS >CDO99741 pep chromosome:AUK_PRJEB4211_v1:2:9329915:9334629:-1 gene:GSCOC_T00029420001 transcript:CDO99741 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGETFESPHYCSTLRQAEHSAAEMALNALAGRGPSNSLAARILPATPTFCHEVLPFPIQNKCKQLSAVLCLAISVLGKLLFSYAPLSNV >CDP13917 pep chromosome:AUK_PRJEB4211_v1:2:4329355:4337640:1 gene:GSCOC_T00039058001 transcript:CDP13917 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTSVGSSSSFSSMSDKNLLHSEAECWLKAEKAAQDVLFVVQPTVLSYKKRLEIVSYVRELIRDIVGDEVRPSFVPKFWFGWTLEALLSMAVSFFWSVLPLTSLEHIHMGGHYALALQVMAFGSTPLKTYLPDGDIDLTVITSQNDVAYLVSSVCSILEEQICDSSPIKNVQVVDARVKIVKCWVQHMCVDISFNQVAGLHALCFLEQMDQYIGRGNLFKQSLILIKAWCFYESRLLGSHCNLMSTYALEILVLYIINIFHCSLSGPLAVLYKFLDYYSTLDWDKYCISVYGPVDINFLAKADVETSATRGTNLLLGKDFLESCIKSFSTSKGMFETERQEFRIKHLNIIDPLNHKNNLGRSISKGNLCRMKIAFSYGYEKLRDVLKGPLESIGEGVMGFLVNTIKWSGRLQTLDAEVSVVDNQSSIFHLKGDYNCPIQCLNYSQWYINSDVFRQSSLQTLPSVVQSQSTEDEFTQNIYFAGGADCFVPSSIVHPNAWQLLDSVLSVDETENYLGKCTSVSPASSKGKFKLSASAPPFISSPVSERAKCEGTGLFIPKVDIEREPKSKERDLRSPEAQCHDWRINSSQMKRYSVGSSLKTSTSNRMAGGIPFKSSRVRESSRFNVPREGHWLLSSRKTSAESDYDAGSSTFVLSLDDFPVLSSCKKPVTSRKAQPDSANK >CDP00121 pep chromosome:AUK_PRJEB4211_v1:2:6037612:6039213:1 gene:GSCOC_T00029921001 transcript:CDP00121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase RHC2A [Source:Projected from Arabidopsis thaliana (AT2G39720) UniProtKB/Swiss-Prot;Acc:O22283] MPSSYWCYRCNRFVRVWTQDSITCPDCNGGFVEEIETPTRSSLSESRRRRFPAAAMYMMRNSDSGQGPPGSSSGSGSGSSPALRRSRRNGGDRSPFNPVIVLRGPADGGGGAAGGGGGGGGFELYYDDGAGSGLRPLPASMSEFLLGSGFDRLLDQLSQIEANGIGRIDNPPASKAAIESMPTIEINQSHTTTESHCAVCKEPFELGCEAREMPCKHIYHSDCILPWLSLRNSCPVCRHELPTDARDSGESNRASNEQTGVGNDDDTVGLTIWRLPGGGFAVGRFSGGRRNGERELPVVYTEMDGGFNNNGVPRRISWGSRGGVSRQSGGLRRAFRNLFACFGGVGDTSSGSNSSSDSRVTRRNRSFSSVFSSGTTGRRYWAFDINGGNRRW >CDO96717 pep chromosome:AUK_PRJEB4211_v1:2:12353144:12354518:-1 gene:GSCOC_T00013824001 transcript:CDO96717 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADEDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSQESKSTIGVEFATRSIQVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALIVYDVTRHVTFENVERWLKELRDHTDVNIVIMLVGNKADLRHLRAVPTGDATAFAEREKTFFMETSALEALNVENAFTEVLTQIYHVVSRKALDIGDDPAALPRGQTINIGTKDDVSAVKKAGCCSG >CDO99958 pep chromosome:AUK_PRJEB4211_v1:2:7460357:7462282:1 gene:GSCOC_T00029690001 transcript:CDO99958 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSIALPTKLTVITTVTATSTPELQRKNHKPLNSTFKSLSKSGKLDEALQLIEFQQFRNLEVESCTTFLHACISTKSLQHGQRLYKHLPSTLLSSPIVKSKFITLFSVCGQLDEARRIFQHGFRIDDLPDSVWVAMGIGYSRNGLSKEALLLYCEMVSRGIKPGNFALSVAVKACSDLLELRVGRAVHGQIIKAKNEPDQVVYNSLLRLYTKCGCFDEVLKVFEGMPQRNVVSWNSIIMGFVKRENVFEALETFRKMQRVGVGFSWVSLTTILPVCARVTSTYCGKEIHAQIVKSSKMPDVLVLNSLIDMYAKCGLVEYCRRVFDRIEYKDLTSWNTMLNGYAINGDMEKAITLFNEMIGRGICPDEVTWVALLSGCSHRGLVDQGKVLFERMVTEFHIHPNLEHYACLVDLLGRAGRIEEALEIVKSMPVKPSGSIWGSLLNACRIHGKISPVDFIAKELLEIEPDNPGNYVMLSNIYANAGMWKGVNKMREMMEKRGIKKEAGCSWMYVKNRIHTFVAGGGFEFRNSEVYKKVHDELVKALEDVGYRPDTRVVLHDVGEDLKAELVCGHSEKIATIFGLINCGSAMPIRITKNLRVCADCHSWMKYVSKATSRKIILRDTNRFHHFDKGTCSCNDYW >CDO97673 pep chromosome:AUK_PRJEB4211_v1:2:20913927:20915008:1 gene:GSCOC_T00015080001 transcript:CDO97673 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLGITILISDYLIPYISTTFLKTNDSASLGSCFYMHLIVLQRKHHGICNYRYQHQRNKQMSTPAMAQLLLNTLYYKRFFAYYTFMFWWCLMTTLGRGCAFGSTLIMPFLDNQLKSPSPCLLLAKLSICCYDLAKICFTSVTERDMYTIS >CDP17773 pep chromosome:AUK_PRJEB4211_v1:2:51496625:51503786:1 gene:GSCOC_T00003889001 transcript:CDP17773 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKEALVLVLDVGPTMHAILPQIEKVCSMLIEKKLIYSKSDEVGVVLFGTEATENELTKEVGGYEHITVLRHIKVVDGDLLQALQNLPRESVDGDFLDAIVVGMDMLIKKFGPTNKGKKRLCLITNALHPIKDPYEGTKEDQVSTIASQMMAQGMKMDCVIARLTQNMEANKRIMEENDLLLRVFSNKSSTKTVYVENPTKLLGALRTRNISPVTIYRGDLELSPKLNIKVWVYKKTSEEKFPTLKRYSNKAPPTDKFATHEVKVDYEYKSIEDPTRVVPPEQRIKGYRYGPQVVPISSAELEAVKFKPDKSVKLLGFANASNIMRHYYMKDVNIFIAEPGNAKAILAVSALARAMKEMMKVAIVRCVWRQGQGNVVVGVLTPNVSDNENIPDSFCFNVLPFAEDVREFQFPSFSNLPSSMQPNQQQQEAADRLVRMLDLALLGKEEILQPDFTPNPILERFYRYLELKSKDKDAAVPPLDETLKKITEPDPEILFQNKSVIEDFRRCFELKENPKLKKSTRRLLKEKPSGSDDEREVLGNGSDAQPMDAIEYPSKIKTEKIGDANPVQDFEAMMSRRDSPEWVSKAIQYMKDKVFELVENSCEGDSYQKALECLTALRKGCILEQEPKQFNDFILHLYKFCQQKDLKSFCEYLASHGITLISKTEAADSEVTEDEAKTFIVKSEQGF >CDP13818 pep chromosome:AUK_PRJEB4211_v1:2:32716758:32722975:1 gene:GSCOC_T00038883001 transcript:CDP13818 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSGSRTSAEPRVSPFRLAAHLTSVFVIYNGIFWTALSVVMPEPPAESVAWLKGAAKVKRLALPVSILVGITGVSGAFVAGNDAGRAFNTFPKMGDTWVPADIFSMKPLLRNFFENTSIAQLDHRVLGTTTLAAIGGLWFSTQKLDLHPAIRSLIGSIVGMAALQRLALPVSILVGITVPVSLGTAHQDGVLTLLSLMLLLNHTVRRPSVSLLKTLPPVVKIVT >CDP05033 pep chromosome:AUK_PRJEB4211_v1:2:1016202:1018360:1 gene:GSCOC_T00019943001 transcript:CDP05033 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLVHVISALLLVASVNIQGIEGTVGVNYGTVADNLPPPAEVAHFLLENTIINRVRLFDANPEILEAFAHTGIAITLTVPNNEIPQLTNLSFAQQWVTANITPHFPATNIVRILVGNEVISSASKLLITSLVPAMQTLHTALVAESLDHRIKVSTPHSLGILSSSSPPSSGEFRQGYDTHVLKPLLSFLRATDSPFLVNPYPFFGCSAETLDYALFRPNSGAFDDDTKFTYTNMLDGQLDAVFSALKLLDFSDLEIVIAETGWPSKGDAGQVGVDADTAAEYNRKLMQHVTSGVGTPLMPNRTFETYIFALFNEDLKPGPTCERNFGLFQPDMTPVYDIGILRPTAKANFPSYPTPQAVPVNPSPIPASGAKRWCLPKTGAKEDTLQKNLDYVCGLGMDCKPIQEGGACYLPNTVRGHAAYAMNAYYQATGRNDYACDFGGTGAITKLDPSYGSCKY >CDP09367 pep chromosome:AUK_PRJEB4211_v1:2:23129311:23131397:1 gene:GSCOC_T00028703001 transcript:CDP09367 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNRNGREGGAEVVLSLQPHSSISIAYHPLFGPHDDLVLLELDEKLIPDILQERVTLRGQPNEDAVLCTSSKTYAVKFVGTSNSLFLMPPSDQFAPRENVQDCDEKDSGKMMVASVLKVAPGNMELVEVAPRLDKLKLLLSENPYSFYEASQIEDSEGTEKIDFGLYRWEDLVDRIQASDSELRSELEALFAVEVNGFWRILDKDYKDGLLNMLLHNSVLNDWSFDALSEDDVVAVLVADGFPCNIARHCLQIYGHRVDGGIGGSCTWRLDETRVCVHFARRILRGGKMRLENFMEEWMKKVPEGMHPRFDMLEGEVLTEKLAIETWIHAFSVSSLPSTPAERFSILFQERPKWEWKDLDPYVRDLKVPGLSSESLLLKYTRRTQPTLDAEPIFTAR >CDP17759 pep chromosome:AUK_PRJEB4211_v1:2:51657837:51662252:1 gene:GSCOC_T00003868001 transcript:CDP17759 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEPRSLEYTPTWVVTVVCFIIVLISLGAERGLRRLGKFFKHEKQESLFEALQEVKGELMLLGFISLLLTVFQGPISRICIPPHAANIMLPCKLKTEASSGGSEHLDIIKHGRRLLAEDVSLETCARQGKLPLLSLEALHQLHIFIFVLAVVHVIFCATIVLLGRAKIKQWKPWENSIRSEMQQPHDRAYEIFVHRIFEERAGRHWEKFTVVSWMVSFFRQFHGSVTKSDYIVLREGFIRAHCPSMPNFDFHTYMMRTLEQDFKKIVGIRWYLWVFVLVFLLMDLAGMSNSSLLLYLILLLLLVGAKFEHIITELAKGVAEQRNGEAEAPPVKPSDELFWFHSPALFLYLIHFILFQNSFGIAFLFWVWVGELIIFANALFLIIWNNFQEC >CDO99716 pep chromosome:AUK_PRJEB4211_v1:2:9547964:9550042:-1 gene:GSCOC_T00029389001 transcript:CDO99716 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFSCNFPSLLCPSLFLIFFLFCLNNQNHFFSLSTFSTLSNHHHAGSGVARIEDSLARARVAIYNAARTNRTCAFHKHHRFVPAGSAYKNPHAFHQSYLEMEKRFKVWVYKEGEPPIFHAAPGTNIYSIDGQFISELENGAINNSTSTTFTSFLSRDPDEAVVFFIPIGITNIVQYLFEPRDYHSMMRQIQTIAVDYVGLISSKYYYWNRSNGADHFYLSCHDWGPWVSKSNPLFRNVIRVLCNANVSEGFKPTRDVSLPEIKIPYEGLGPPVLGKPAEQRSILAFFAGGHHGHVRGSLIQYWKDKDADIQVYEYLPENVDYFEFMERSKFCLCPSGYEVASPRIVESISSGCVPVIISDGYVPPFSDVLDWSQFSVSVPVAKIPELKSILQAIPMDEYLKKQKMVMQVQRHFILHRPAQPYDLLHMVLHSIWLRRLNVRLPP >CDO99556 pep chromosome:AUK_PRJEB4211_v1:2:11380628:11381891:1 gene:GSCOC_T00029186001 transcript:CDO99556 gene_biotype:protein_coding transcript_biotype:protein_coding MKESMLLRVVPQSLQHYKEIVPLVVGVLLRGTHIAARVAQLRILHCTCELTGGGALAEGVILKHVNLGFSIMFILH >CDP06827 pep chromosome:AUK_PRJEB4211_v1:2:37965998:37969514:-1 gene:GSCOC_T00023832001 transcript:CDP06827 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFFHFVLVASSALPISSLFPFLYFMIRDLHIAKREEDINFYAGYVGASYMLGRTLTSMFWGVVADRYGRKPVIFIGIITVVVFNTIFGLSVNYWMAICTRFLLGALNGLLGPVKAYASEIFRHEYQAVALSSISTSWAIGLIIGPALGGFLAQPAEKYPAIFSPNSIFGRFPYFLPCLFISLFALIGAVCCFWLPVSLQFIH >CDO97495 pep chromosome:AUK_PRJEB4211_v1:2:19467743:19471330:-1 gene:GSCOC_T00014852001 transcript:CDO97495 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSARPPPPLTSTHSPSQKRLLATQKPKHTPLPNTAPVHLPQNDKKTRDLPNLSDCHGCGLRIDYSNPKQRLQPLDSFWRIVLLCKKCIKQVTSGQICLYCFKDIANPSSDCFDCRDCDRLIHKDCVSKFGNSTPWSYCTRDLGFSVCIDCWVPELLKNSVRVRKKKNENGDSGSSGRAQNADRSLEETVKDAHCSVEKKVALAVKAKEKALRKAVVAKNAVELANNALDLAVKKDGIGKTISEGLLADDAELAFRLHRAMNSSPRISKHTCSVNLSCSDILKKNGLNGISPNWMDSGSCEGGKAGGCTNSKLDAVHERSISETSAYVESKEGGSVLASGSLKPVLKTYSRNNLKRNECFRNGEAGDTMSVGVVKPILKTYRRNNLKRRECLENGEIGDAVTSAVVKPVLRTYTRNIFKRKDCLGNGKVGDLGTCTIKDDTRIVSESQCCLQDEVKMELPVDSAWNFSPEQSSGDIIQQEGSANAKPEECLLEYNKRIRGSSQGLQTDASSYCIAFNKENQASAPRLAYCSAECSGFWFISRRDLNCTGILI >CDP09304 pep chromosome:AUK_PRJEB4211_v1:2:23974702:23977145:-1 gene:GSCOC_T00028614001 transcript:CDP09304 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKQHKSCIVLTIWALVFLLSSIDVAAKSRQPISESEIRERRNQCYADIESGLWGQQCKSSKIAKENCALNCLSPTCYQLVYESDPLEEGEKDYIRSQEYKYCMHKVSLGESLDDIRGAFD >CDP17173 pep chromosome:AUK_PRJEB4211_v1:2:50803376:50805322:-1 gene:GSCOC_T00006348001 transcript:CDP17173 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLQIQVFLKESLEPSPPRTVIPLIRKHVTEVMGEKIGKKTVKEMIIKKILEINLKHFKNCEPKVEEPSRADQPVSDVASQSSKSSMYSKKRIPDKPKVEELSRADLSVSDVASQSCKSYTESTTIINLLEMTESSALDQSMSKVALNSITDHERSPKMSCILFSRLFGANFEFDKDFMVQLSVAQGIFEPENEEIMEIVGSTIFDSMVEDNLFVPVRFDNLYGQLFAVNENMLDSNLFEGEEQLPMEDFMLADEVGLVSIPSTIKHLSLFRENFDLHTVEVLKSFTGMQTLMLHCECATGFNHVSHDLFLHLKRLRTLDLHQLDITELPSSVGDLEYLHYLDVSETSIKYLPETVDSLYLLQTLKLKGCLQLCRLPKNTRRLVRLCHLDLDIIRQLKSMPIGLGSLTSLQTLSGFLVGKKDGCYIGELKNLVNLRGSLCISRLENISSPDKAEQANLSNKKHITKLQLQWSTLSL >CDO97028 pep chromosome:AUK_PRJEB4211_v1:2:15988315:15989079:-1 gene:GSCOC_T00014243001 transcript:CDO97028 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFHFHKIKMEKANAKLRHRRLHKITAMFRLMEFCILLIIVSRFTVQLPVAFKLSGEYFRGFSVTAVSPGLVFILGNAIVLVLFLKSGHPSAKNGDTTVNSKLDLYDEYVKINSEKNPSVYKEENTACKKQRKQSGSTCVGREVAVSSDAHNSRSDNTKERKVKIQRSQSENLKRAVQQQQQECAGRELRRLATVKCRGHVDNEEKPAVLGSSYKEDEMSNEEFRRTVEAFIARQQRALREEEEFAQCFLRNL >CDP09337 pep chromosome:AUK_PRJEB4211_v1:2:23529522:23530529:1 gene:GSCOC_T00028659001 transcript:CDP09337 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGHRKKIRLNNNLKEISKLENNIRRGSRRSLCTLVQNELHDVKLILLVSFKGLVISTATRSIVQKLGSAVKEVARTKGSSWWHTPHMAAASLAIAERIPLVDFVLEVRDSRIPLSSECSQLGDLLSSLRRVIALNKADLAKQSDMKVSIYPNSKIWW >CDP05069 pep chromosome:AUK_PRJEB4211_v1:2:1283730:1285931:-1 gene:GSCOC_T00019990001 transcript:CDP05069 gene_biotype:protein_coding transcript_biotype:protein_coding MASDREIFSLSGPLKLTVIDWTNAHHRRSIAASLVQGVYILERDRQENRQSANALASPWWEFFNFQLVHVLVDPDDLSFFGSIYELRLSHSSCNYLAQTPPRYVVAFRGTITKPGSRSQDLKLDLQFMKNKLQHSSRFHLGLQAVQNIVSKAGGASIWLAGHSLGSAIALLVGRNMVKLGYHLETYLFNPPFASLPLEKIKNEKLKHGARIAVSVITAGLAAAVKLNSQKPHQDDEFRVLSAWIPYLFVNASDPICSEYLGYFTHREKMMTIAGGKIGRIAAQNSIASIISTARGNKSEACHLLPSAYVTTNLGPCQDFKQAHGIHQWWRPDLHLEYKLHQYR >CDO99749 pep chromosome:AUK_PRJEB4211_v1:2:9229463:9239350:1 gene:GSCOC_T00029432001 transcript:CDO99749 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQSEERMEGWLYLIRFNRFGLQYSRKRYFILEDYCLKSFKSVPTSEREEPVRRAIIDSCIRVTDNGRESHHGRVFFIFTLYNTSNHNDQLKLGASSSEEAANWIRSLQDVALNPVNGVVASSKRKWQPFRLSVSKRTASKNFMDGTYVTHMPVDAMTSDVIAPSPWKIFGCQNGLRLFKESNNQDPGGKAWDDHPAIMAVGVVEGTSEAIFRTIMSVGPSRSEWDFCIYKGNVVEHLDGHTDILHIKLYSHWLSWGMKRRDLLVRRYWRREDDGTYVILYHSVVHRKCPPQPGYVRACLKSGGFVISPLNQGKESVVKHMLAVDWKFWKLYRRKASARSITIRLLGRIAALRELFRAKAGKFSYEFSLGESTRDIGLPQNEFEEIKKEVDLKMIQEDKIENEVENPRSGSSSLVELNDAADEFFDVPEPSDDDLEHGWGMNTSPDLRYLQETYPPKLSSAANFVKIFHDLAVQKKGYMDLHETIWEDNISCHYGATLAKDSNCSMPCSWAAGDPSSFLIRGSTYLEDHQKIKAKSTLMQMVAADWIRSDKREDDLGGRPGGIVQKYAAQGGPEFFFIINIQVPGTTQYSLALYYMLTSPLEEAPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEVHYFRGKNYLELGIDVGSSTVARGVVSLVLGYLNNLVIEMAFLIQADTEEELPEFLLGTCRLNHLDASKSVSTDSITG >CDP16536 pep chromosome:AUK_PRJEB4211_v1:2:42169630:42170557:-1 gene:GSCOC_T00018910001 transcript:CDP16536 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSHYSVIFLSSSDKYLFVLPLYYGFQYGSLVKLFLVVQLEVRFRDTKLPLLVYLHGGGFLIKSAFSLTYHAHFNVVVAEAGIWHYVHPKSTGVDDPLLNSRMESNLLRLGFVVAKKVGYFHQQECCVDAFLSG >CDP13896 pep chromosome:AUK_PRJEB4211_v1:2:4167924:4171196:1 gene:GSCOC_T00039030001 transcript:CDP13896 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPNPQQLRTIQETVIGKLLKGKESATQLVALLKKPRSSGDRGGSVSAEELALQISRSFSESISAFGSLEGNGICQIVAADGVVRVSSVDRGSSKTSDNGRKRLGVKDRRGCYRRRNVAQSSIIVSSTVEDGHAWRKYGQKEILNTTYPRCYFRCTHKHDQGCKATKQVQRIKEEPVVYQTTYFGHHTCSDTLMRAPQIISDTDPMDSCLLSFETKAPTRQNLLLYPNRVMTTATATGNQEFKDETQSDVSDNKSCLDSSTMLQNPTTNSETSGPRSSNVNGAYHEEVVSGMHSCSSTPLQGLDMEDFSTKFDDLDDHLLFGDMAGLLPALS >CDP05152 pep chromosome:AUK_PRJEB4211_v1:2:2007828:2011972:1 gene:GSCOC_T00020101001 transcript:CDP05152 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQGLLLSTPVLQRGSCLGSRQNISTISSAYSGIANPAPQLPKSHSRFPGNHQKSLFFPLYSPGLNRHVAPNSIRSQLSYPIISPQDHWGTWTALFATGAFGIWSERTKIGSTLSGALVSILVGLAASNLGIIPCDAPAYKIVLQILLPMAVPLLLFRADLRRVIQSTGTLLLAFLLGSVATTLGTAVAFLLVPMRSLGQDGWKIAAALMGRHIGGAVNYVAISEALGVTPSVLAAGLAADNVICAIYFTTLFALASGIPPEASTATTDADAGYDISESGNKLPVLPTATALAVSFAICKAGSSFAKYFGISGGSLPAITAIVVILATVFPRLFAHLAPSGEAMALILMQVFFTVVGASGSMWNVINTAPSILLFALVQIAVHLAVILGLGKLFRFDLKLLLLASNANVGGPTTACGMATAKGWSSLVVPGILAGIFGIAIATFVGIAFGLTVLRFM >CDO97529 pep chromosome:AUK_PRJEB4211_v1:2:19752196:19753427:1 gene:GSCOC_T00014902001 transcript:CDO97529 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGRCSATFATAMMLCLLVLHSGVAEAAIYRVGGAGGWNFNTVSWPSGKRFRAGDTLVFSYNPAIHNVVAVNKAGYDSCTAPRGSKVYHTGNDQIKLVKGANYFICSYQGHCQSGMKIAVFG >CDO99761 pep chromosome:AUK_PRJEB4211_v1:2:9097489:9098805:-1 gene:GSCOC_T00029447001 transcript:CDO99761 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSKKQLSQDVIEPSTMPRNSSSQRSNKSSHKSSSSSFAEPSSSLSNYNKSIPSTGSSFYNRDSWRTTSNSSSGNPPLTRLRDNLPERTNVYDISEISAATNNFKLKPFNSSSSSTSWRCTIRGQNVILIQRKFRRPMNVSELVDRLALICRSHHSSLVRLKGASISGNYIYLVYDYIQGGNLADCLRNPKNPHFTVLSDWLSRVKIAGDIAHGLDYIHHSTGLGFNFIHNHIKASSIIITPTLDAKICHFGTAELCGEITMDRDDEDNDKLGSTKSKGKMEYKRSGSGRMKLEGTRGYMAPEYRDTGVATEKSDVYAFGVVILELLSGQEALKYRFDEEMRGYVRDSVIEAAKEALEEGGGGVRKWVDKRMKDSYPVEVVEKLTRLALECVADDPNKRPDMGRVFVCISQLYLDSQTWVEKMGGLPVDFSLSLAPR >CDP08542 pep chromosome:AUK_PRJEB4211_v1:2:41304374:41320603:1 gene:GSCOC_T00027492001 transcript:CDP08542 gene_biotype:protein_coding transcript_biotype:protein_coding MESSCERRPIDVFKPSEEGKKSEITAGNADEVREKENEGQGNRSNRVLSGASIAERTAEWGLVVRTDVGEGSFHAIGMNENNSFGDGERSKGSSDKFLADSRRTSDESEAPVPRVSQELKDALATLQQTFVVSDATKPDCPIMYASSGFFSMTGYSSKEVIGRNCRFLQGPDTDPKEVEKIRTAVRTGSSYCGRLLNYKKNGTPFWNLLTITPIKDDSGRAIKFIGMQVEVSKYTEGIADSAVRPNGLPQSLIRYDARQKENALGSITEVVQTIKHPRSLIRSLSHDITAKVESEKFNIDYMLPGPAVTENVATSGRQTPHHDFSKKSRKSARISLMGRFKLRSASYAGREEPIIEPEILMTRDIERTDSWERAERDRDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSRIRDAIREQKEITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSETTEQKSSKLVKATAENVDEAVRELPDANLRPEDLWAVHSQPVYPRPHKKYNAYWEAIQKITATGERIGLHHFKPIRPLGCGDTGSVHLVELKGSGQLYAMKAMDKSIMMNRNKVHRACIEREIISLLDHPFLLTLYASFQTRTHVCLITDFCPGGELFALLDKQPMKTFREDSARFYAAEVVIGLEYLHCLGIIYRDLKPENILLEKDGHVVLTDFDLSFKTTCKPQIIKHSPPKRRRSRSEQPPLFVAEPVSQSNSFVGTEEYIAPEIITGEGHSSAIDWWAVGILLYEMLYGRTPFRGKNRQKTFANILYKDLTFPSSIPVSLAGRQLINALLHRDPASRLGSNGGANQIKEHPFFREINWPLIRHMSPPPLDAPLKLIGKHSNAKDVQWDDEGVLADSMEVF >CDP05341 pep chromosome:AUK_PRJEB4211_v1:2:3433401:3439688:1 gene:GSCOC_T00020346001 transcript:CDP05341 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MAPKPLPISSSSSPLSTSLFPFRHHLVVNPNPSLLKFPPPKPTFFVTICTFGMESQFKTRRQEPNPSSSQMQSYPDTEPEPHGSGAAAPTRGERFLERHQSLSASTMLLPESKKNKKKKKKDKDKVSKVSSVLPSCYGCGAPLQTSETDAPGYVDPDTYELKKKHRQLRTVLCGRCRLLSHGHMITAVGGNGGYSGGEQFISAEELREKLSHLRNEKALIIKLVDIADFNGSFVARVRDLTGANPIILVVTKVDLLPKGTDLNCVGDWVVEATMKKKLNVLSVHLTSSKSLVGIAGVVSEIQKEKKGRDVYILGSANVGKSAFINALLRMLSYKDPVAAAARKYKPIQSAVPGTTLGPIQIDAFLGGGKLYDTPGVHLHHRQAAVVHAEDLPSLAPQSQLRGQTLPSISNPVGSNGLNGFSIFWGGLVRIDVIKGLPETCLTFYGPKALKLHIVATEEADEFYRKEVGGLLIPPSGKQKANDWMGLETRRELQIQHEDVNRPACDVAISGLGWISVEPFNKSLSTADKVVEKIGEQLVLAVHVPKPVEIFVRSPIPVGKAGAGWYEFRELTEKEEGSRPKWYF >CDP00144 pep chromosome:AUK_PRJEB4211_v1:2:5812845:5816929:1 gene:GSCOC_T00029954001 transcript:CDP00144 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDSLTNLPTSHLLGSVPAVVTEAKSPSSQGAPGANLQIFPPNNGGNNWRGYQTLGGQSEADGEQSVNESANNWKGFFSISSYTQYFNVDTDIVLNRILSSFYPSSGDFFSKIDANPDLYGLIWISTTLIFVIASLGNCATYLMHKRTDSTTAWSFDVSYVNVATFAIYGYALLVPLGFYFLLQYMGSNASLVRFWCLWGYSLFIFILSSFLLIIPNEFLRWVVILLSGAASAGFVALNLKSYTPTNDMTFVSVAAFGLQIGLAIFLKMWFFP >CDP07762 pep chromosome:AUK_PRJEB4211_v1:2:25878109:25881689:-1 gene:GSCOC_T00025132001 transcript:CDP07762 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPCYYNFFPGWCNFIPHHPHFSSSNSNAVNSISSSEIEFSLSPPSPPRKEALPLLNNLSCRPDEQQDFSGYALEDDRCTSTKTESSLSGTPPLHDSVTVALNIGLPSPSSDLSSSRLPSCNWDKREKESGNVLSELPLNDKLNKGQYWIPSPSQILIGPTQFSCPVCFKTFNRYNNLQMHMWGHGSQYRKGPESLRGTQPTAMLKLPCYCCAPGCKHNIDHPRTRPLKDFRTLQTHYKRKHGIKPFMCRKCGKPFAVKGDWRTHEKNCGKIWYCICGSDFKHKRSLKDHIRAFGRGHGALGIHVSEEEDDSASDIDQERESPA >CDP16364 pep chromosome:AUK_PRJEB4211_v1:2:28669290:28669886:-1 gene:GSCOC_T00018198001 transcript:CDP16364 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAELEHLLPSSGHSAPNYIDYYPVEKANMPAKGLTAHPDKALTSVENEDSDTNLRLGLSSGTFEKRKAPEAADSGVVGAQKRKRRTRSSTYGRQIN >CDP08664 pep chromosome:AUK_PRJEB4211_v1:2:54012847:54016759:1 gene:GSCOC_T00027707001 transcript:CDP08664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-2 [Source:Projected from Arabidopsis thaliana (AT2G46520) UniProtKB/Swiss-Prot;Acc:Q9ZPY7] MEWSPQTLQFLSECFLNTLSPLPEPRRRAESALSDAADKPNYGLAVLRLVAEPSVDDQIRQAAAVTFKNHLKSRWSPPPPSDRDAQILTPIPDPEKEQIKTLVVSLMVNSSPRIQSQLSEALAVIGKHDFPKAWPTLLPELVASVDKLSLANDYVSVNGVLATLNSLFKKFRFQYKTNDLLLDLKYCLDNFAKPLLDLFQRTASLIDHAVASGAANAATLRPYIESQRLCCRIFYSLNFQELPEFFEDHMSEWMVEFKKYLTVTYPALEDSSGDGLALVDALRSAVCENISLYMEKEEELFQGYLSGFVEAVWGLLLVASASSSREQLTVTAIKFLTTVSTSVHHTLFARDDILQQICQSIVLPNVMLRDEDEELFEMNFVEFIRRDMEGSDLDTRRRIACELLKGIALHYKEKVTEKVSLQINSCLGLFNENPAANWKQKDCAIYLVTSLANRKAGGTSFSTDLVNVESFFSSVIVPELQSQDVNAFPMLKAGALKFFTMFRNQISKPIVLALLPDVVRFLNAEANVVHSYAASCIEKLLLVKDEGARPRYTSSDISPFLLVLMTNVFSALQKPESEENQYVMKCIMRVLGVAEISREVALPCINGLTTVLNRVCENPKNPVFNHYLFESVAVLIRRASEKDPSLISAFEASLFPCLQFILARDINEFFPYAFQLLAQLVELTLVPDNYVEIFKILLLPESWKKSANVPALVRLLQAFLRKSPLEMIQKERLESVLGIFSRLVSSPSTDDQGFYVLNTVIENVAYDVIFPFVQQIWVILFNRLSSSKTVKFVKNLIIFMSLFLVKYGSQTLVDTINAVQPDIFRTILEQFWVPNLKLITGSLELKLTSVASTKLICQSPDNLDSKTWGKLLDSIVTLLSRPEEDRVDDEPDIPDFGETTGYNATFVHLYNVGKKEDDPLKEIKDPKQFLVGSLANLCGASPGMYPPVIGQFLEQSNQAALLQLCNTYNVSLV >CDP13822 pep chromosome:AUK_PRJEB4211_v1:2:32741181:32742883:-1 gene:GSCOC_T00038889001 transcript:CDP13822 gene_biotype:protein_coding transcript_biotype:protein_coding MTAISVRIAALEALEALLNGWRSNIDRLLITVATNACKVGWANNNSTVVYGEATPVWADFQLAALRALLASLLSPGCVRPPHLAQGLELFRRGNRESGTKISEYCCHALLTLEVLIHPRALPFIDIQSAVDHYGSASLNLPDVHFAVHRKNTSFHFSTLGKEPSQP >CDP18023 pep chromosome:AUK_PRJEB4211_v1:2:46750396:46752066:1 gene:GSCOC_T00008623001 transcript:CDP18023 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIFQDFRQLLDEKLELSLNKSGSQDLSLTQGVQGEKVLPGIVLLFAQLSLFIGRSAIPRITEVTFCSVFYCVSSSFSSGGARGYEYGPAFIPAVICRTFWVAGEKCLDHYVRLRTQKISVLLRTRFTTPNWVKHKEPREVHMFVDLLLQEFEAIRGEVKQILPPELSRKHRRTDSNGSTTTSRSTPLRDDRMNRSNTQRATSQLLESHLAKLFKQKMEIFTKIEFTQVW >CDO97078 pep chromosome:AUK_PRJEB4211_v1:2:16352415:16356743:1 gene:GSCOC_T00014310001 transcript:CDO97078 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFGSNMAGEVGLRLLLCPLGSNVVTRTACCSVGMVLPVYSTFKAIETRDQNEQQKWLLYWAAYGSFSIVEMFTDKFLYWFPLYYQMKFAFLVWLQLPSVEGARQLYVHHLRPFLVRHQARLDQIVGCLYGEMAKFVSAHQAEFQFAKTLLRRILLSARDIIRPGQQINGAVEGPREHVETSESEDEE >CDP05010 pep chromosome:AUK_PRJEB4211_v1:2:855643:859230:1 gene:GSCOC_T00019912001 transcript:CDP05010 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKAKKTILKFDTEDDVAVALAKYTADLSQRFIQEKGSFNVVLSGGTLIDTMRYLTQAPHKESVDWSKWNIFWLDERVVPLEHKDSNYKLAYDGFLSKVSIPGGQIFPINDKKSPEGAAEDYEALHRDLVERKILPLSDATGFPKFDLMLLGMGPDGHVASLFPNHPQRDEKERWVTFITNSPKPPPPRITYTFPVINSSSEIAMVVTGAELATAVKDVLGNPNSKLPAAEVSPQGLLTWFLDKDAASKL >CDP08754 pep chromosome:AUK_PRJEB4211_v1:2:53300948:53302472:1 gene:GSCOC_T00027842001 transcript:CDP08754 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRSSPLLFMEGQDQETPPLPLPVPSPPTNPSFLFAQPHLPSPNSSLIFPNISHSQPLVLQDNLHDLVGLFSAPPPTPSGIPNIAHDNTNDQIMMPSLETAPLTISDNAVAPSPEEVAIKDKIRRAASSSSKNRKNTVPKVVFQTRSPDDILDDGYRWRKYGQKSVKNSKYPRSYYRCSHHTCNVKKQVQRLSKDTSIVVTTYEGIHNHPCEKLMETLSPLLEQIQFLTRPVLM >CDP13913 pep chromosome:AUK_PRJEB4211_v1:2:4297577:4301447:-1 gene:GSCOC_T00039052001 transcript:CDP13913 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDDPCDVVVNGDENNRHDSVPSTSTSSSYKLFGRQRSVHQMMGGGKAADVILWRRRRVSCGLMMVATVAWFLFERSGLSFLSVCCDILLILIVLRFLRANYAVLRERQLQSLPELVLSEEMVNNAAASFRAKVNYMLLMAHDITLGKDFRVFFKVVIALWLLSVIGSLISFCTLAYIGTIIFITLPALYDKFEDRVDRYAGVIHQKFSKHYKIVDESVISRIPRNLRRHKDL >CDO99531 pep chromosome:AUK_PRJEB4211_v1:2:11622499:11623623:1 gene:GSCOC_T00029149001 transcript:CDO99531 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNPSSSKADRKTIERDRRNRMKTLYSKLTSLIPPQSREASSLPDQLEEATNYIKKMQIKLEKLKERRDFTNGTRLSSDTDTASAGLRLPHIDIQERGSALEVVLITKSDCQFMFTETIRLLHEEGAEVVNASFSVLGETIFHTVHCKIGESAPCSAAARISEKLKKFVYGDN >CDO99882 pep chromosome:AUK_PRJEB4211_v1:2:8081523:8090594:1 gene:GSCOC_T00029585001 transcript:CDO99882 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGRDDLTRSISRGSTSRRLSLSISSRSWASASVKEVFAGPGGDVFQRSRREDDEEELKWAAIERLPTYDRLRKGMLKQVLDDGTVVREEVDVANLGMQDRKQLMESILKVVEEDNERFLQRLRDRTDRVGIDIPKIEVRYEHLSIEGDAYVGSRALPTLPNASFNVVEGILEKLRVFPSKKRVVKILHDVSGVVKPSRMTLLLGPPGSGKTTFLKALAGALDKDLRVTGKITYCGHEMSEFIPQRTCAYISQHDLHHGELTVRETLDFSGRCLGVGTRFDLLAELSRREKDAGIKPDPEIDAFMKATAVAGQESSLVTDYVLKILGLDICADIMVGNEMRRGISGGQKKRLTTGEMLVGPAKVFFMDEISTGLDSSTTYQIVKFMRQMVHIMDVTMIISLLQPAPETYDLFDDIILLSEGQIVYQGPTEHVLEFFESVGFRCPERKGVADFLQEVTSMKDQEQYWFRKNEPYHYVSVPEFVERFSSFHIGQKIFDEIAIPYDKAKTHPAALVTEKYGISSMELLKACLAREWLLMKRNSFLYIFKTTQITVMSIIAFTVFFRTEMKVGQLQDGSKFYGALFFSLVNVMFNGTAELALTIFRLPVFFKQRNSLFFPAWAFAMPIWILRIPLSLMESLIWIVLTYYTIGFAPAASRFFRQLLAFFALHQMALSLFRFIAALGRVQVVANTLGTFTLLMVFVLGGFIIAKDDIKPWMIWGYYVSPMSYGQNAIVINEFLDKRWSTPYHGTDVLEPTVGKVLLKSRGMYTTESMYWICVIALFAFSLLFNVCFILALTYLNPFGDSKSIIADVNDKQDKKKHSSNLRIPTESTSASTAPVFEGIDMAVRPAPQRSNLSVEENGNKKRGMVLPFQPLSLAFNHINYYVDMPDEMKKQGIEDTRLQLLRDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQSTFARVSGYCEQNDIHSPHVTVYESVVYSAWLRLSPDIDKQTRKMFVDEVMDLIELNSLGNTLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYGGPLGQRSHLMIEYFESVPGVTKIREGYNPATWMLDISTPSVEAQLNVDFAEIYANSDVYRRNQELIKQLSTPPPGSQDLYFPTKYSQSFFNQCKACFWKHQLSYWRNPKYNAIRFFMTTIIGIIFGVIFWRKGHKMYKQQDLLNLVGAMYAAVMFLGGTNTSAVQSVVAVERTVFYREKAAGMYSALPYAFAQVATEVIYVALQTFIYSLLLYSMIGFHWQVDKFLWFYYFVFMCFVYFGLYGMMLVALTPNYQIAAIGMSFFLNFWNLFSGFMIPRTQIPVWWRWYYWGSPVAWTIYGLVTSQVGDMTEPVSIPGSGELPMKEYLKQYLGYKHDFLGVVAVVHLGWVVLFCFVFAYAIKFLNFQKR >CDP15243 pep chromosome:AUK_PRJEB4211_v1:2:48821494:48823536:1 gene:GSCOC_T00042888001 transcript:CDP15243 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQPIQDSKQEMGRATTAELPYHLAPESHLGQKHLPTFNLSQKTILDLLNTRCSNSYEHLKQVHALVVKTGHLQDHFVAGTLVKCYANPQFGSLDCSIKVVQQVPNPNVFLWNSMIKGCLDNEEHRKALSFYYRMVVLSCRTNNYTYAPLFKACSMEQAVEEGLQIHAHVVKNSFAEDGHVRCSGIQMYASFGRVEDARKLLDAGGETDVVCCNAMIDGYMKCGDVDAARGFFEEMVNKNIGSWNAMINGFMINGMIDKAKDYFNEMPEKDEISWSVMLDGYNRGGQFKEALEVFTEMQRENVKLRKFILSSALATCTNLGALGQGKWIHTYIRRNSIPLDAVLGTSLLDMYAKCGRIDLAWDIFENMKQKKVFSWNAMIGALAMHGRAEDALDLFFQMQRERFKPNDITFVAILNACAHAGLVDEGMKYLNDMKEVYGVEPTVEHYGCAADILGRAGLLGEALELINSMPMKPNAAVWGALLGACRIHKNIELAEKVGTILLEMEPENSGRYALLSNIYAKAGRWDDATKIRMLMKERGVRTIPGRSMIDLDGVVHEFRIGEQTHPQSKDVYLMLGQIMRRLQLEGHVPNTSQVLFDIGEEEKQTALRYHTERLAIAFGLLKTAPGSTIHVTNNLRVCEDCHSVVKLISKIYSRQIILRDRVRYHHFRDGHCSCKDFW >CDP09345 pep chromosome:AUK_PRJEB4211_v1:2:23402602:23407668:-1 gene:GSCOC_T00028671001 transcript:CDP09345 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLNIAKVVSAEVSHHQMEKNNAMDQRKECTFDGSVDRHGRPAVREGTGKWFAGNLLLVNQGLATLAFFGVGVNLVLFLTRVMGQNNADAANSVSKWTGTVYVFSLLGAFLSDAYWGRYKACAVFQAIFVIGLVSLSISSNIFLVKPSGCGNEQTPCASHSTLQVALFYVSIYLVALGYGGYQPNIATFGADQFDEDHPKESHSKVAFFSYFYLALNLGSLFSNTVLGYFEDEGKWAIGFWASAGSALLGLLLFLVGTPRYRHFMAQGNPLSRICQVVVASAKKWKVKIPDDSDELYEVEGKKEADNADRKLLHTEGFRFLDRAAVITSKDFEEDDICNPWRLTTVTKVEEVKCILRLLPIWLCTILYSVVFTQMASLFVEQGAAMRTTVSGFHIPPASMSSFDILSVAAFIFIYRRVLDPLAARLRKKYNPGGLTELQRMGIGLIIAIMAMVAAGIVEHFRLKHKLTNCSDCDNSSSLSIFWQVPQYVLIGASEVFMYVGQLEFFNGQAPDGLKSFGSALCMTSISLGNYVSSLLVTMVMKISTSDEMPGWIPGNLNKGHLDRFYFLLAALTSADFVVYLVCAKWYKYVQFEGRNLNNDENQADMKV >CDO97044 pep chromosome:AUK_PRJEB4211_v1:2:16099238:16100794:-1 gene:GSCOC_T00014266001 transcript:CDO97044 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHNEAADGNLCCSGADPVVRIKRARKGLPDFVQSVNLKYVKLGYHHLMSHFLTLCLLPLIAITLLQASQMNYQDFQTLYLQLQLNLITVLLATAIMVFGSTVYIMTRPRSVYLVDYACYRPPHHLQVKFNKFMDHSRLTGDFDESSLEFQRKILERSGLGVETYLPEAMHCVPPRPSMSTAREEAEQVMFGALDNLFKSTNVKPKDIGILVVNCSLFNPTPSLSAMIVNRYGLRGNIQSFNLGGMGCSAGVIAIDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGCAATLLSNKASEKRRAKYKLVHVVRTHKGAQDTAFRCVYQEQDDHGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFVTLAARKLCNDAKIKPYIPDFKLAFDHFCIHAGGRGVIDELEKNLQLSPIHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMKKGHRVWQIAFGSGFKCNSAVWQALRNVKPSPNSPWQDCVDRYPVEMAN >CDO96806 pep chromosome:AUK_PRJEB4211_v1:2:13248848:13251830:-1 gene:GSCOC_T00013944001 transcript:CDO96806 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEDGGITGENDQKPSEPGGYHLLHHQNHRNFSTKSIIKIALLTIAALVACLVLYHSPYHVQYLLPASYNPFPSSSASQESTKANQSSLPSSDQGKSSDAGNLSPPPTDILQGNVPATANNDSTSQANLSPPMTTNNTVQENLPSPDSVENEATKLEKVLSKAAMEDKTVILTTLNAAWTAPNSIFDLFLESFKIGNGTQWLLNHVVVLALDQKAYSHCMEVHPHCYSVTTEGVDFSGEAHFMSQDYLKMMWRRIDFLHTVLKMGYNFIFTDADVMWFRDPLSHFYSDADFQIACDHYWYASTDLNNSPNGGFNYVKSNNRTVQFYKFWYTSKDRFPGQHDQDVLNGIKFDPSINEIGLKIRFLDTAFYGGFCEPSKDLNLVCTMHANCCIGLDNKIHDLRMIIEDWKKYMALPNEEKTVTPQTWTVPRICG >CDO99946 pep chromosome:AUK_PRJEB4211_v1:2:7566404:7570602:-1 gene:GSCOC_T00029671001 transcript:CDO99946 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKRSDNTKYYEVLGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGVHDPFDIFQSFFGGSPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVLCPKCKGKGSKSGASMKCSGCQGSGMKVSIRQLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKHDDLFYEHTLSLTEALCGFQFILTHLDGRQLLIKSQPGEVLKPDQFKAINDEGMVVYQRPFMRGKLYIHFNVEFPDYLDPEQCKSLEAVLPPKTTKQITDMELDECEETTLHDVNIEDEMRRKQQAQEAYEEDEDMHGGAQRVQCAQQ >CDP14036 pep chromosome:AUK_PRJEB4211_v1:2:5292509:5295408:-1 gene:GSCOC_T00039210001 transcript:CDP14036 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVEHIRPTFATSEGPNASPLNRENFIRSSVMDSPNFSTLSCPPYKDSGNLQPNAFSISANSEPEGRETMSSSFTDSYGQSGGDDSPAGSSRPFDDGYLGYDPRLSSQRFDSFSNFVESESVKDSAADDSPVLTSHTIAESSSPTPIHVSGGGFSSDFAELSPESNGKPFEDGHAESKGPILPPPAEMQAEEGYALREWRRQNAIRLEENEKREKQLLSQIIDEADLYKLEFYKKRQITCESNKSTNRDREKVFLASQEKFHAEADKNYWKSIAELIPNEVPTIEKKGKKDQEKKPSLIVIQGPKPGKPTDLARLRQILVKLKHNTPNHLKHSPPPAPATTKDSKSAIAAAADATSATVSPAPVIVA >CDP07712 pep chromosome:AUK_PRJEB4211_v1:2:25289052:25293276:-1 gene:GSCOC_T00025059001 transcript:CDP07712 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSRLPSLSSSRTHLRKPKISSVNPVSYIQCSTSPFTEKHSIERYQRDNWLYKNITSTKLQQQSSFCPLPSDSSSIRDYDIALQLPELKKLLQVLKEKRENEVGIGKRGPGNVFLVGTGPGDPELLTIKALRVIQSADLLLYDRLVSNDVLDLVSPDARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQRQGIAVKIIPGITSASGIAAELGIPLTHRGVANSVRFLTGHSKNGGTDPLFVAESAADPDSTLVIYMGLSTLPSLASKLMCHGLPPNTPAVAVERGTTPQQRTVFAELKDLSKEISLHQLVSPTLIMIGKVVALSPLWKPSTFGDLAFAEKKTF >CDO96802 pep chromosome:AUK_PRJEB4211_v1:2:13234074:13234877:1 gene:GSCOC_T00013939001 transcript:CDO96802 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQYHAHDDLFPNQCTSSLVQEIDAPLSLVWSLVRQFDNPQAYKRFIKNCTMIAGDGGIGSVREVMVVSGLPAETSRERLDKLDDDLHVMEFSIIGGDHRLVNYQSTTTLHEDDGGRSDQTVVIESYVVDIPPDSSEMDTCLFADTIVACNLRSLAKISEKMARKVQVPPAA >CDO97341 pep chromosome:AUK_PRJEB4211_v1:2:18281376:18286035:1 gene:GSCOC_T00014658001 transcript:CDO97341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble inorganic pyrophosphatase 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G09650) UniProtKB/Swiss-Prot;Acc:Q9LXC9] MVAAGVMVSLIPGIGSTWPLTKSPFFRRSSTISLRFISENTKRLEQQGHAIRCNFNHPGYQIQEEGQVDSHDYRVFLLDNTGKKISPWHDIPLHVGNGVFNFVAEISKDSNLRMELATDELYTPLKQDKIRGRIRCNMKWNYGLLPQTWEDPSSANPEVDGAFGDNDPVDVVEIGSTCAKVGEVLRVKPLATLALIDEGQLDWKIIAVSLDDPRCSLVDDVHDIEKYFPATLTAISEFFRDYKVYDGIPGNKFGLGNKPANKDYAVKVIRETNEAWTKLVTRSIPAGELSLA >CDP08766 pep chromosome:AUK_PRJEB4211_v1:2:53212146:53212610:1 gene:GSCOC_T00027857001 transcript:CDP08766 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFFFLFFIVCVCVCVCVCVCLCVCVCVCVCVCGVVTGKRVREGGCVW >CDP00134 pep chromosome:AUK_PRJEB4211_v1:2:5909549:5911826:-1 gene:GSCOC_T00029942001 transcript:CDP00134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 37 [Source:Projected from Arabidopsis thaliana (AT3G11410) UniProtKB/Swiss-Prot;Acc:P49598] MAGMRCGVNIGGETETAKPVEPSSQSARRRRMEIHQFRFVASDVAVAPSQVENGRKRQKVEAVGPASPSRMCDNAVDQNSKEDDLDESKVAGNKEMKCLQSAGPANSVSSSSSTSTVDQAAAGLPAEVPKFGMTSVCGRRRDMEDAVAIHPCFSQGNSATPSNLHFYGVYDGHGCSHVATKCKERMHEIVKDELENGDCSWREMLTRSFSRMDKEISDWCSAVGVSSSPCRCELRTPQCDAVGSTAVVAVVTPDKIIVSNCGDSRAVLCRNGSDIPLSVDHKPDRPDELERIQEAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVISEPEVTIIDRSGEDDCLILATDGLWDVVSNDTACGVARMCLQSGMPPSPVRSPGSDATLNSAGESSDKACSDASILLTKLALARRSTDNVSVVVVDLRRDL >CDP09395 pep chromosome:AUK_PRJEB4211_v1:2:22880987:22885537:1 gene:GSCOC_T00028742001 transcript:CDP09395 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNQSRAERTESSQYRKSGRSNHHRNFSGGGGTKGPTGTGGGATGPPPSATSSSHSSNNHYNQNHNQPSIPSSRSFKKHHNVQGGMPRASAPTSGLGVSSDSSTHSAPRAVQNGAHSQQPPHGGSDAPAISATVKATDVATPKATRAPKPPSSNVPPASSDPKHLPMPVTRAKAPAEASRTFPFQFGSISPGLMNGVQIPARTSSAPPNLDEQKRDQARNDLVRAAPTTLPIPSIPRQQLPKKDASTVDQSNAAEGHPPSKPKRDVQVSAPSSVSQTQKPPVHSMPGMSMQMPFHQSQVPVQFGGPNPQIQSQAMSATSLPMPMPMPMPLQIGNPSVQQQVFVSGLQPHPMQTQGMLHQGQNLNFTSQMGPQIPQQLGNLGLNMGPQFAQQPAGKFGAPRKTIKITHPETHEELRLDGSPVQRSHPSVPPQSQPISSFTPAHPPNYYPNSYNANSFFLPAPSSLSLSTTHPPPQTQRCYNQVTVKPAISSHGEKDAAPSVSSPRASKGESVKLSRPSQKDTETSSQSSGQQSKTGLEPTPKSVLEASKAAVPSGSGSVQSTASSSLSPGVPVEKSLAAPKSSDGLGPEVAGGLVFTKDQQKKVDERSTAAAVLTHQEPELVEVKTTTSATGVDLENPKETLSTASISESDSFDLKHEESKVSDSSKICVVKTLEDSQPKVEMRGEKEQGEVKSSEGCEQDISSLETSLESIALEPAKVAGHIESSTVRGVARSSEFPAQESPPDVLGKLDESATCQVENDSGTDNSVTSSSVLDGEVSHSATGVTTQDNNVSSLGGCSLGRPANMDTDDTVVTTSAIIDESLPVVDPFSEEILKHDEDGPEDKTKRGKKYCTKGKEEEKGIIEKIRQQWTNFRSIFGIQGT >CDP16345 pep chromosome:AUK_PRJEB4211_v1:2:27752847:27755548:1 gene:GSCOC_T00018167001 transcript:CDP16345 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKKPSHLNCPEKAHQASKGKSKDFLDWEENSNYSASSIESKLLFYKKDDFAQVQIAKPKSIRIPEKKPDICVVPESNVLGKVKDFLGVISEANQGLHFDTKNSAEKYDIEVLHGNESEYIEMDLMLGVADLHTPEALAAAESAMAGSQPTISLAASVSSDDDDDHESKNNEEVGSDGSDDEERMFEATGENSCSDPWRMQPSNKRPKIVELS >CDP05292 pep chromosome:AUK_PRJEB4211_v1:2:3055137:3073294:-1 gene:GSCOC_T00020287001 transcript:CDP05292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G51660) UniProtKB/Swiss-Prot;Acc:Q9FGR0] MSFAACKMMHPPTGIDNCASGFITHSVADFTPKFPPIQTDDLDSDWPSTPKPIGPLPNLILTSANVLELYTLRLLEEAASRDSKTPIEAQRGGVLAGISGASLELVCHYRLHGNVESMGILSSGGIDGGKRRDSIILTFQDAKMSVLEFDDSIHGLRTSSLHCFEGPDWHHLKRGRESFARGPLVKVDPQGRCAGVLVYGLQMVVLKAAEHSLSSGPCMCDNIMMFQELHGYIIVRFWDMLYVSGLSQWPSYFMKVLDATSGLVSEDNASSAGGAVSARIESSYIISLRDLDMKHIKDFIFINGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLRQHPLIWSATNLPHDAYKLLAVPSPIGGVLVLCANTIHYHSQSTSCVLALNNYAVPMDSSQEMPRSNFIVELDAANATWLTNDVAMLSAKTGELLLLTLIHDGRIVQRLELSKSRASVLTSGLTTVGSSFVFLGSRLGDSILVQFTCGVGVSALPLGAKEEVGDIEGDLPSAKRLRRSSSDALQDMVNGEELSLYGSHPNNAQSAQKAFSFAVRDSLTNVGPLKDFSYGLRINADPNATGIAKQSNYELVCCSGHGKNGALCVLQKSIRPEMITHESIPGCKGVWTVYHKNARSHVVDSSKVTADDDEYHAYLIISLETRTMVLQSANNLEEVTENVDYYTQGCTIAAGNLFGRRLVIQIYAYGARLLDGGFMVQELNFRPPNSEIGPSSESQKVASVSIADPYVLLRMIDGSIYLLLGDPSSCTLTTTNPEVFESSKNLITACTLYHDKGPEPWLRKTSTDAWLSTGIGEAIDGSDGASHDLGDVYCIVCYQSGGLEIFDVPNFTCVFSVENFASGKAILMDTFSPHPAKSNQEVVQMIEDVNAQERKDNSQKIGVVELAMHKWAGQHSRPFLFGILSDGTILCYHAFVFENSETGSRDEKPVISQNSGNLSSMNGSRLRNLRFIRISLDTYARDEIPSGTPSKRLTIFKNVGGFQGLFLSGSRPTWFMMFRERLRTHPQLCDGPIVAFTVLHNVNCNHGFIYVTSQGTLKICQLPSSLLYDNYWPVQKTTLKGTPHQVTYFAEKNLYPLIVSYPVLKPLNQVLSSLVDQEVGHQLENETMNFEGMYPVEEFEIRIMEPENSRPWQTRATIPMQSSENALTVRAVTLFNCTTRENETLLAVGTAYVQGEDVAARGRILLFSIERSADNSQILVSEVYAKELKGAISALASLQGHLLIASGPKIILHEWTGSELNGVAFYDVPPLYVVSLNIVKNFILLGDIHKSIYFLSWKEQGSQLNLLAKDFGSLDCLATEFLIDGNTLSLMVSDDQKNVQVFSYSPKLSESWKGQKLLSRAEFHIGAHVTKFLRLHLLPTSPDRTNTPGSDKTNRFGLLFGTLDGSIGCVAPLDELTFRRLQSLQKKLVDAVSHVAGLNPRSFRQFRSNGRAHRPGPDSIVDCELLCHYEMLPLEEQLEIAHQIGTTRMQIISNLNELTLGTSFL >CDP16541 pep chromosome:AUK_PRJEB4211_v1:2:42319163:42326911:-1 gene:GSCOC_T00018918001 transcript:CDP16541 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFFNHFPFQPNANANPPSAAQQQVLGNNPGNFAPNPVQIQPQMGLINPQASIPFSNPSAHFGPNQIMNFPVAMNQMNNRSSSQSWPQIFGQNLNLGFPNQMHPDMNRIFQLQMSNFSAQAVPVNLSLAQNSAFIANTQPSDLNLSQNLAFVGNTRPAGIGLPQNIAFAANSQLGFVGSGGVVQQMANSNNGTHNATQQLQGISGSVQGFGRTPPSQNQTIFHSQPSKFQGDLKNGMGTCMMRKNGKSPLHKNCKRNSKHESPRNGFLKPKFDRMQNAKRNFNCPDMWLRRGNGNAGERHISAVNSSIQAQVNKKRPMSLTYSEKEIQDWREERKKNYPSKANTEKKLMEKLTKPEVSDKVAKLRRQQLKEILAKQAELGCEVAEIPSSYLSDSEQQVHESKESTRTFSKKRNFQNKFNKRGRFNQNDCFSKMEAVANHDSFNANNHNGRLVKQRLANDNLISHRSASKREPTLLRKLLGSEIRRDRHHLFQVFRFMVINSFFDDWPEKPLEFPVVMVREIADEDELYEGNLVKERKDGSEGILGKTEESTSSDDLNDDNPTGKGKPTGLLEVVNSGQVTGCLGGGEAQEEEGEIID >CDO99546 pep chromosome:AUK_PRJEB4211_v1:2:11434280:11442844:-1 gene:GSCOC_T00029173001 transcript:CDO99546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOL2 [Source:Projected from Arabidopsis thaliana (AT4G21610) UniProtKB/Swiss-Prot;Acc:O65426] MGTEEKDLVKGGGGGEEEDDDDDDDGPPPGFHCIATQIEENLVKEEKDAEINENEDVDGPPPGWSSIPPATFQPVHPSDVEMSDKQKEDENEEGPPPGWHSVPLASQSSEAVLADTQTGNHEDRTKYIDEGSQPGQKSVPPLKLLPPTPPQPLPAVSSPSEKGQMVCGSCRLLLAYPPGVKYVQCSCCQTVNLVLEDHQVGQVKCGGCAVLLMYPYGAPSVRCCSCRSVTEIGAHNRRPPLSVQQARRPLSVNRVH >CDO97716 pep chromosome:AUK_PRJEB4211_v1:2:21248389:21250370:1 gene:GSCOC_T00015134001 transcript:CDO97716 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSIVMLLLLFFAFPAISSYSLPTIKSWCAQTPYPQPCEYYLTKNPKYGIPIKGKSDFLKLSLQLALDHSTQSKTNAISLGSKCRNEREKAAWSDCVTLYEDIPLKLNKTVDPSGKFTSEDVQTWLSAASTNLETCKQGFVDLGASDNAMPSLYDGVLGNLISNALATNKGYYGGAGNKDGFPGWVSPGDRKLLQSSAQAAQANIVVAQDGSGNYRTVNDAVAAASKRSGNGRFVIYVKAGTYSETVSIGSKNIMLVGDGIGKTIITGRKSVGGGGSTTYSSATVGITGDGFLARGITFRNTAGAKSQQAVAFRSASDLSAYYQCSFEGYQDTLYVFSQRQFYSECDIYGTVDFIFGNAAVVFQNCSLFARNTPTHTNTLTAQGRTDPNQNTGISIYNCKVTADSDLKPVQSSVKTYLGRPWKQYSRTVFMKTFLDSLIAPAGWLPWSGSFALTTLYYGEYMNTGPGSSTANRVKWPGYHVMSTADASKFTVRNFISGNSWIPATNVPFTSNL >CDP13925 pep chromosome:AUK_PRJEB4211_v1:2:4406760:4409795:-1 gene:GSCOC_T00039068001 transcript:CDP13925 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKTNILMQRYDLGRLLGQGNFAKVYYGRNLATGQSVAIKIIDKEKILKVGLINQTKREISVMALIKHPNVLQLYEVMATKTKIYFVLEYAKGGELFNKVAKGKLKEDIARKYFQQLITAVDFCHSRGVYHRDLKPENLLLDENGNLKVSDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGAKADIWSCGVILFVLLAGYLPFHESNLMDMYRKISKAEYKCPNWFPPEVRRLLSRILDPSPYTRISIAKIMENTWFRKGLDQRDLRASIEDKGKNPLNADIAFDLNECCSGPSSETKLEVPKPTNFNAFDIISLSTGFDLSGLFVRNDQKEEVQFISKKSAPSLISKIEEIARRLKLKVMKNEGGVMKLEQPNDCRNGTVSIDVEIFEITLSFHLVEVRKSSGDTLDYLKVLQQNLKPALTEIVWAWQGEQQQ >CDO97557 pep chromosome:AUK_PRJEB4211_v1:2:19929399:19932033:-1 gene:GSCOC_T00014936001 transcript:CDO97557 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRTLLVWGVAILCFIVLMVVTPKIPQSEQYHDFADQREFFGIPNMLNVVSNFPFFVIGAIGLILCYYRNYFRLRSQGELLGWTCFFIGVTAVAFGSAYYHLKPNDARLVWDRLPMTIAFTSIISIFIIERVDEKWGTLSILPLLLTGIVSILYWWFFDDLRPYALVQFVPCIAIPLMTILLPPMYTHSLYWLWAAGFYVIAKVEEAADKPIYKWTHHIVSGHTLKHLFAAMVPVFLTLMLMKRVIETERRSLFQTWRISWTKVKGNGEKVENYSCTYTNVPVEESRC >CDO99809 pep chromosome:AUK_PRJEB4211_v1:2:8707028:8708149:-1 gene:GSCOC_T00029503001 transcript:CDO99809 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVEEVAGPQDLPHIVKGKRTKRQRPQSPIPFTITAQYSSSGEGGYVSNGDNCVNNVNNNTSNNNNHNNSSSSSAEEARPPDDHASNEEDEYTAKCLILLAQGGDVIKEPPAINKFDQAQEFGTEKFNSKRYIEAPISGNGKAGMYVYECKTCGRTFPSFQALGGHRASHKKPKNMAAAEEKKLYFDMSDDEEETIFKNGKNKSNYNNSSPLSLELINNNNRGTSSNSNLYKSASFPKIHECSHCGAEFPSGQALGGHMRRHRGAPAMTSTTLSLGQLSPEETTDQDSDEAEANKRTSNGLSLDLNLPAPEDDHHNKGPNKFSLASKHQQIPYQQHQQQNQKEEEEEKEAEAEAKPALVLSSTPKLVRCHY >CDO97091 pep chromosome:AUK_PRJEB4211_v1:2:16452297:16453030:1 gene:GSCOC_T00014326001 transcript:CDO97091 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSVSQFSIDFVCTAFAGHLGALRLAAVTEAENVIICFAYGFGMGSALETLSSQAVVAEKFNMLGIYLQRSWIIALITPLEFTFLHHQY >CDO97527 pep chromosome:AUK_PRJEB4211_v1:2:19737532:19740548:1 gene:GSCOC_T00014900001 transcript:CDO97527 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLISQNAVPKDRVDKSKRKAYDEPSGIDGSEPIDDGLVSDLQKVASHRKRKKERKEDNKKVEIEQEREMNKLENFLFGSLYSPTEFGKDEEVRQEVDDSAAMFFVDRSANSALSVYEEHVDSAETSDEEDAKQKKPVWIDEEEERTSVNIAKVNRLRKLRKEEDESVISGSAYVSRLRAHHAKLNPGTEWANLERNYRSDDEDSDDEEGYSGVEGITDILRTDEDLVVKSGTKLLPGLLEYSRLVDANAEEPSGGPINSVQFHRNSQLLLTGGLDRRLRFFQIDGKRNTKIQSIFIDDCPIRKASFLPDGSAVIVSGRRKFFYSFDLVKAEVDKIGPLTGREEKSLEVFEISPDSDTIAFLGNEGYILLVSTKTKELIGTLKMNGTVRSLAFTNDGRQLLSSGGDGQIYHWDLRTRACVHKGVDEGCINGTSLCTSPSGNLFAAGSDSGIVNVYNRDDFLGGKRKPTKTIENLTTKVDYMKFNHDAQILAICSSMKKNSLKLVHVPSFTVFSNWPPSNRTLHYPRCIDFSPHGGFMAMGNAGGKVLLYKLHHYHNS >CDO99830 pep chromosome:AUK_PRJEB4211_v1:2:8532115:8539779:1 gene:GSCOC_T00029526001 transcript:CDO99830 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQNLLRFSALPLQSFNRGHTGKSSCSVSITMVPTAPQFRHNIVGKAISGSTSSAEMDGAKVDEEKSDVYTHNMTKAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPEDVDKLRSIGVKTIFCLQQDPDLEYFGVDIKAIREYASSCVDIEHLRAQIRDFDGFDLRMRLPAVVSKLHKAINSNGGVTYIHCTAGMGRAPAVALAYMFWVQGYKLGEAYQLLMNKRSCFPKLDAIKSATADLLTGFKKKLVTLRWYSSNCSTVEISGLDIGWGQRMPLNFDEEQGSWILQRELPEGRYEYKYIVDGEWMCNKYELVTSPNKDGHVNNYIRVADSNPNSISSRIRKRLSGEDPNLTKNERTIIRQFLEACPDEE >CDO97087 pep chromosome:AUK_PRJEB4211_v1:2:16434322:16435477:1 gene:GSCOC_T00014322001 transcript:CDO97087 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGTSESVDTSHSTDENDEAGDVGDFECNICFDLAQNPVVTLCGHLYCWPCLYQWLQGHSYSHECPVCKAIIAEEKLVPIYGRGKGRSDSKPFQISGVNIPNRPVGQRPPSAPPVNMNHFTQDQLDPMSNARFGNLTLSALFGIIPAVFSFQMHAMHDATVYGATTGVPYVFSSSFHGGYSHGFHHHSTQVEGKLVFWKIIALLAGFLILLRLIF >CDO97165 pep chromosome:AUK_PRJEB4211_v1:2:16968251:16977032:-1 gene:GSCOC_T00014421001 transcript:CDO97165 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPRLSAIITGGASGIGKALSLALAQKGMFVTIIDFSEDKGKEVASLAEKESAKFHANLDFPLATFIKCDVTNSGDLAAAFEKHVTTYGGLDVCINSAGIANPVPFNKDQTDGSKSWKLTINVNLAAVIDCTHFAIKTMRAARKPGVIINIGSASGLYPAYHDPIYSASKGGVVLFSRSLAPYKREGIRVNVLCPEFVLTDLAAKVDPKFIDLVGGYVPMQMVVKGVFELINDESKSGACLWITNRRGMEYWPSPQEEAKFLVSSSKSRRSSSSVVLSNVQVPQNFEKIVVHALSHKFRTATSIVRTSLSLPIKSDHVLLKVIYAGVNASDVNFSSGSYFSGSKKDIASRLPFDAGFEAVGIVAAVGDSVKNLKVGTPAAIMTFGSYAEYTMVHSKHILPVPRPDPEVVAMLTSGLTASIALEKAAQMDSGKVVLVTAAAGGTGQFAVQLAKLAGNTVVATCGGKEKATLLRELGVDRVIDYKKEDIKTVLKNEFPKGVDIVYESVGGQMFDLCLNALAIYGKLVVIGMISQYQGEDGWKPLNYTGLCEKLLAKSQTVAGFFLVQYAHFWQEHLDRLFHLFSVGKLKVAIDPKRFLGLQSVADAVEYLHSGKSTGKVVVCVDPKYCEQVSKL >CDP05388 pep chromosome:AUK_PRJEB4211_v1:2:3815763:3816992:-1 gene:GSCOC_T00020404001 transcript:CDP05388 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSASTNRVTDDHFNYLSPAASSKVSTALRALSLETNELPVYEPLSEASKKERSRAKFAENAVHIIPLVLLLCAFILWVFSNPDINLQTKGNSGAAKIEGLTIQGDVDSDGTQTANLPVELADLDSTKQDGLRKASIFGKA >CDP17174 pep chromosome:AUK_PRJEB4211_v1:2:47337655:47339146:1 gene:GSCOC_T00000635001 transcript:CDP17174 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTFGNCQQVTLGSFSPEGDTIYSKEKEKENCPSREASIQTYDHIVAERKRREQISQRFVALSALVPGLKKMDKNSVLGDAINYLKHLQERVQTLEEQAANQRRESSMGCTDEQILPEIEAKVCNKNILLRIHCKNHRGLLVKILSEIEKRNLSVVNTNVAPFGSLALDISIIAEMDKEFNLTMQELVRRLRSALRQVQQSD >CDP04948 pep chromosome:AUK_PRJEB4211_v1:2:341492:344348:1 gene:GSCOC_T00019819001 transcript:CDP04948 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGSVNLSSSLSSSASSSASKTRSRFPLQEQHLLPRRTSRDNLDRFIPNRSAMDFDYAHYMLTEGRKGKENPAVSSPSREAYRKQLAETFNMNRTRILAFKNKPPTPVDPIPADFSTAANNSKPTKSRRYIPQTSERTLDAPDILDDYYLNLLDWGSSNVLSIALGSTVYLWDATDGATSELVTVDEENGPVTSVKWAPDGRHVAVGLNNSDVQLWDSTSNRLLRTLKGGHRSRVGALDWNSHILTTGGMDGQIINNDVRVRAHIVETYRGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNAPTQWLHRIEDHTAAVKALAWCPFQGNLLASGGGGGDRSIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKPAPKANTEPFANLNRIR >CDP08665 pep chromosome:AUK_PRJEB4211_v1:2:54009654:54010505:1 gene:GSCOC_T00027708001 transcript:CDP08665 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGVFVRFKKKMSGKTRCKHKYRAAMDFMYWLHAQLAKLWFNCWLIMEMLLQQPPAPPCINEDDLAPSSSGSTTTTTTPTAASSSRSDTSSSSAARGGTRHPVFRGVRKRRWGKWVSEIREPRKKSRIWLGSFPSPEMAARAYDVAAYCLKGPKAQLNFPDDIQLLPRPLTTTARDIQAAASLAARVAMAEGKKTATIGSDDFWRDIELPELIEAWPGENDNSTSVAVRRDYVWELRYWSASSSLSSCSGMFSGDIATSPME >CDP09315 pep chromosome:AUK_PRJEB4211_v1:2:23846394:23851971:1 gene:GSCOC_T00028629001 transcript:CDP09315 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPIPRSKSAPRISTTPLSPSHSDVTSQWTSTSENSSRTWQKKVGNFIARKTGSSSSSKKSILTEESLKEFNRLNEILEQKRHFSSSPYYKGLTDSSLVISRQRPSLPSPDTQSNAGSFKSSSSKTSLVYKMQEWGNSCFTSKDKDANISQPKSSSSNSKNSRDTITPIQSLSSSTFTTSTDAAIAILNKGKPLRERTSSRPTITPSQTSPTEKIVQEKETNKPENERKFMWADKYRPFALKDFICNHSKALELQATVKSENCSHFIFEGQPGVGKRTMIWAFLREAFGEDRVEAREESKKFILKGEAVSSINVNIKHLSKHNDFPFFIHTYAAIILYKADKLPTEALLYIKWLLERYKGCNKLFFCCSDASKIHPLTSLCTLVQLLPPSTEEIIKVLEFIAQQEGITLPHQLAAKIAKSSKNNLRQAIRSFEATWQFNSVLKENQEIMIGWEDDIAKIARNIIDEQSPKQLYNIRGKLQNLIEHNVSPEFLFETLVKELKKNLDEQLQKQIDNLHEKYNHYAPAPNRQEEMGKRLNDPVRKTVQQFMRIEEFIAKFMSWYKGLVVKSKQMQAHAI >CDP05361 pep chromosome:AUK_PRJEB4211_v1:2:3610489:3613920:1 gene:GSCOC_T00020372001 transcript:CDP05361 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIGITSEEGQLYNARITWLLVFSCAIASTGGLIFGYDIGTSGGVTSMDHFLKKFFPDVYKKMKEDSMTSNYCKFDSQLLTSFTSSLYIAGLVASLCASPVTRAFGRKSSILLAGASFLAGSAIGGAAYNVFMLILARVLLGVGIGFANQSVPMYLSEMAPPKSRGAFGIGFDLCIAIGVLLANLVNYGAEKVKGGWGWRISLALAGAPASFLILGALFLPETPNSLIEHDKNHEKAKRLLQQVRGVDDVQIELDDLIRASNVSKITKHPFKHIIKRKYRPQLVMSIAIPFFQQITGINVITFYAPILFRTIGLGESASLMSAVVTGAVVSTTIFFTLLIADKVGRRVLFMVGGAVMLVCQFLIGGIMAIKLGDHGRLSNSYGILVLILVCTYVAGFGLSWGPLGWLVPSEISPLEIRSAALSIRVAVDFLLLFLVAQAFLAMLCHFKAGIFFFFGGWVALMTAFGALVLEEICAR >CDP13977 pep chromosome:AUK_PRJEB4211_v1:2:4781865:4785290:-1 gene:GSCOC_T00039132001 transcript:CDP13977 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGRATRADAMGLINLITTAARNAITHKNNCQQLADHVRMIGNLLEKLKSTDLMKLAATAEPLAQLEEALKKALDLVESCRDKSYLYMISLGWNVVYQFRQVQDEIDRYLKLVPLISLVHEFRLQNVKESLEAIEEDHKEYTLDEEDVEAQNVILKPDRSKKDASVLEKSLSRRYPDLQIHEALQEEKDKLLFELHRLRENNDPKQYRVIEHLIDVTENVVNVPAEKNLALNLQPYIGPGWESTKLRTDADGSEWQADLFECCSEPCLSMKACIYPCGIFSRIANVVSCGKITREEALNNLMAYSLFCGCCCYTCCIRGKVRKLFGIEGGSCDDFLTHLMCCCCALVQEWRELELRDFEGCQRRKNIAPPYQCMNP >CDP05187 pep chromosome:AUK_PRJEB4211_v1:2:2241750:2245479:-1 gene:GSCOC_T00020149001 transcript:CDP05187 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSFSISIRETSTPGPFLARWLFIDQIRRKHLNLQERTGFSNSLLKSKRSPLAKTLPQIQRTDAPKIATDCLILTIGGKLVITKMESLWKLFYLLEPAPLTLILTAIAVTYGSAFRALNYGKEMERNRDLSEASITLDRSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTAVASASALYFCLSPYAAHVKSQFGLPDPFVSRCCSKSFTRIQGLLVLLCSGVVVAWLVSGHWILNNLLGISLCIAFVSHVRLPNIKICAMLLICLFVYDIFWVFYSERFFGSNVMVSVATQQASNPVHTVANSLSLPGLQLIAKKLELPVKIVFPRNLLGGVVPGNSATDFMMLGLGDMAIPSMLLALVLCFDYRKNRDLSNSLDSSKGFKYIWYALSGYSVGLVTALAAGILTHSPQPALLYLVPSTLGPIIVMSWVRKEFAELWDGSTLNINEKIHTPEP >CDO99641 pep chromosome:AUK_PRJEB4211_v1:2:10416301:10419569:-1 gene:GSCOC_T00029292001 transcript:CDO99641 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFVGPDGGKTYPGNLTLFVTVTCVVAAMGGLIFGYDIGISGGVTSMDSFLHKFFRSVYDKKNDHKSTNQYCKFDSETLTMFTSSLYLAALASSLVASTVTRKMGRKLSMLFGGVLFLAGALVNGFARKVWMLIVGRILLGFGIGFANQSVPLYLSEMAPYKYRGALNIGFQLSITIGILVANVLNYFFAKIKGGWGWRLSLGGAMVPALIITVGSLILPETPNSMIERGQTNEAKARLIRIRGIDNVDEEFNDLVAASEASKMVEHPWRNILQRKYRPQLTMAIAIPFFQQFTGINVIMFYAPVLFQTIGFKSNASLMSAVITGIVNVVATMVSIYGVDKWGRRALFLEGGVQMLICQIIVSICIGVKFGVNGDPGDLPKWYAVVVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSVNVSVNMFFTFLVAQVFLNMLCHMKFGLFLFFGGFVLIMTIFIYFFLPETKNIPIEEMHMVWKQHWFWSRYVTEADYPNGGIRDVELGPTKRV >CDO99507 pep chromosome:AUK_PRJEB4211_v1:2:11853987:11855381:-1 gene:GSCOC_T00029111001 transcript:CDO99507 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDKAASANPGIAKEMHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFPLPEEHNGNLSQNIPSSQSSTVESSSREAFSPVVAVADSSSSPLDLSLGGSMNVKFAFPNQNQQQFRLSPFAGRFAGVMQPPAVNASRAFFFDALARHAMVKPDHSVLNPHRVTVAVDFLGVGAAAAAAGAIGVQSESDSSSVEVDLNRADVKPLKTTYELNLDLDLNLPPPSENA >CDP13889 pep chromosome:AUK_PRJEB4211_v1:2:4117491:4123490:-1 gene:GSCOC_T00039022001 transcript:CDP13889 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSLMRGMVNDGCLSIFHHQSRQLAPVTVRVSSRSRSTAARKLQFLSKRSSFYARYSQSRDIFTSRVQDRIEKLPKLVEDIVQTSLSTGPRGALRLAQGIQAVLGVGSEWLADLSKTANSSTTLPTEMQLGLLSPLYLRRLFERMGATYIKLGQFIASSPTLFPPQYVQEFQYCFDRVPAVPFADIQAILREELGQPIDAIFEYVDPTPLASASIAQVHGARIRGTQEDVVIKVLKPGIEDTLVADLNFVYIVARILEFLSPELNRASLVAIVKDIRESMLDEVDFKKEAANIESFRRYLEAMGLTRQATAPKVYPQYSTRRVLTMERLYGVPLTDLDSISALVPNPEASLITALNVWFGSLLACETFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEIFLQSLATEDYNSMASALIDMDATNKGVDSMAFARDLEKIFSSIQDLDTEIVVATARGPNTSTTAVAANVIVDERQMNALFLDLVRVSESYGLRFPREFALLMKQLLYFDRYTRLLAPNLDMLRDQRITIVPNQRSRRIY >CDP09470 pep chromosome:AUK_PRJEB4211_v1:2:21895728:21897328:1 gene:GSCOC_T00028848001 transcript:CDP09470 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVASISCDKAVWDAILNNRAVQDLGGSISAEQRTQASSEQADIASLIFKWILEFTISKIMDVVEKIGLMMAELFIPGDKEKPTSELTDLVEEKIRSSLLLSVVILLIVVVTRNSGA >CDP00108 pep chromosome:AUK_PRJEB4211_v1:2:6183501:6188797:-1 gene:GSCOC_T00029903001 transcript:CDP00108 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRDIGWEHAEPVGGDKKIVQCRYCGKIIHGGITRLKQHIAHIAGQVGACPGSPPDVTLELKKHLNDGKSERATMKKRKAAALNAFYNRSLCGYMHATDDENDDESECDTLEDELLSLEKMQLMLAMEESRQTALIEELHHKSHVSRSRPVTVGCGRSLSTEANVINCNDDDDGQDIDQKGLSDMEVKQLKQALKESRYTAFLEEEQRNCSTSSSFSGKDGEKPGTDSTSCIFPAEEKSIKKLRKMQQISLQIKILRRELDHQRRKMHLAEQGNERLMGDLVHIQKELNHQIKDREKSQAELEHKTLRIEKEQLIAQIDVLKKTLAEKDDELQDMEALNQTLILKERSSNLELQDARKELTSVLSNLVDRTTIGVKRMGEVDQKPFQDVCAKKFSRSDWEVRSVESISLWQEKVSNPGWQPFKNTLKDGKWQEIIDEDDGELKRLRHDWGEDPYAAVVNALLELNEYNPSGRYVVQELWNFKEGRKASLQEVIQCMAQELKNTEAYKRRM >CDP15495 pep chromosome:AUK_PRJEB4211_v1:2:45564393:45565799:1 gene:GSCOC_T00015346001 transcript:CDP15495 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCCPKPRLGRIIGWLQIILGGLVILVSLSSLFRFYSAGFFYHSEDICRHFYAIKDSYVNFDVRALTARMDEVLNKMDSLQIKLEMAVQQMERNKGDLRESNISKLEYKRYLEEEVIRPLYSAHIALRQIRLPRIEGNDTSNVKEDPLINTFVTEEIRKYITPKDNRVGKVNIYGTGKIYNTIGHACVSMKKELQEYMDYDIGSYCKDDWNLAQKLMVNGCDPLPRRRCLTRASKLYQKPYPINESLWKIPDGRNVRWSNYQCRNFECLSSKNPKRGYSKCTGCFEMEKERLKWVTNTSLPIDFLIKDVLAIKPGEIRIGLDFGVGTGTFAARMREQNVTIVSTALNLGAPFNEMIALRGLVPLYVTLNQRLPFFDNTLDLIHTTGFMDGWIDLQLLDFILFDWDRILRPGGLLWIDRFFCNRKDLDDYMYMFLQFRYKKHKWAISPKSKDEVYLSALLEKPPRAL >CDP15212 pep chromosome:AUK_PRJEB4211_v1:2:49198293:49200848:1 gene:GSCOC_T00042839001 transcript:CDP15212 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNSPNSSPKSRWSPIGDTSIEETYSSVCRNSVVSDKTINFTSEYNFETPRTILQTPIYPGSSTPDIRQTIQNASPGTAKSIAFNMYQSLKQHGLRDEIGRAFTRDRSAEEDSRRSEELLGMEYILRDGFVSYLRDVAKITPPIPQQVIKFSGISYAKKFEIPSNKYETFGNKVVGWFTGPFKKIFQSKNSTWINILKGIDGYIMPGSMTLLLGPPGCGKSTLLEILAGRARGDKNSHLQGVVMYNDKYASEVHLSRLVAYVSGQLNKYAASLLTSMTISSQTKKAFTRIYLKLIEPYLLLVHEL >CDO97528 pep chromosome:AUK_PRJEB4211_v1:2:19741504:19751586:1 gene:GSCOC_T00014901001 transcript:CDO97528 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTPIAVFMAFGTKGDVYPIAAIAAAFAVDMEQYNVFFITHSAHEGLKVHLEAKTVVYLPVSSPPALAPHDDSAPPAGSKDLSFSLQKREITRDHRRECVSIVERIFGDGLSLQSDLMVINFFALEGWSLAELFHLRCVVAAPYVVPYSAPSSYEGKFRKELPDLYKYLKEAPDDKVGWKDVIHWMWPLFTDEWGSWRNVELRLSACPFTDPVTGLPAWHDRPSSPLILYGFSKEVVECPGYWPSRVQVSGFWFLPSEWQFSCNKCAEISALVSSMPLNTKDELCSTHVKLQSFMSAPAPELPIFIGLSSIGSMGLMRNPQAFLQVIENVIGISSHRFLLFSAGYGPLDTAINSLAQNGLVGSERRQSSRDGVSIFGGRLFCYSGIIPYNWLFPRCAAVIHHGGSGSTAAALRSGTPQVICPFLMDQFYWAERMFWIGVAPEPLKRHQLLPDKDEDLCIREVAEVVARAIGSALSVEVRARALDIAKRISSEDGVSQAVQALKEELGCLGSRKGYH >CDP15528 pep chromosome:AUK_PRJEB4211_v1:2:46107702:46109542:-1 gene:GSCOC_T00015390001 transcript:CDP15528 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTVVKPKPLSGSPWYGPYRLLYLGPLSGSPLSYVIGEFPGDYRWDTTGLLANPETFAKNRELVVIHSRWAMLGTLGCVFPKLLSRNGVKFREAVWFKTGSQIFSEGGLDYLGNPSLIHAQSVLAIWVSQVVLVGLWRATDCRRTAWGVLTHTNLLEGALVLLDWQMIVRLLLSLKSRKSRIVGL >CDO99988 pep chromosome:AUK_PRJEB4211_v1:2:7197605:7201396:-1 gene:GSCOC_T00029734001 transcript:CDO99988 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAMANAEVDDDVLSHDPTARRLETEMARITGKEAALFVPSGTMGNLICVLTHCPIRGSEVILGDSCHIHIYENGGISTIGGVHPRTVKNNEDGTMDINQMEAAIRDPRFEICYPTTRLICLENSHAHSGGRCLSPEYIDQVGELAKKYGLKLHIDGARIFNASVALGVPVDRLVQAADSVSICLSKGLGAPVGTVIVGSETFIARARILRKTLGGGMRQVGVLCAAALIALQENVGKLEGDHKKAKTLAVGLNKIKGLNVDIDSVETNIVYCDILKSVNITETKLCKILEGHGILILPEGPFRIRMVLHHQISESDVHYTLSCMQQAVNGVHGKQDGK >CDO99516 pep chromosome:AUK_PRJEB4211_v1:2:11754630:11756147:-1 gene:GSCOC_T00029125001 transcript:CDO99516 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQGTDSLISYSSRYNSPLDCAIKTVKAEGPTGIFRGGYTTLLRESLGNASFFTTYEYVRYYMHLQLKDASPHQTHLIDVGIGIMSGGLGGIACWCVVLPFDVAKTIIQTTPDKISTRNPFQVLTSIYRRSGLRGCYAGLGPTVVRAFPANAAAIVTWELAVKMLGIKRD >CDO99667 pep chromosome:AUK_PRJEB4211_v1:2:10064137:10070423:-1 gene:GSCOC_T00029332001 transcript:CDO99667 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSDIFSSSFLVLFNILFILPACCASNDTITPSQPLKVGQTLISAGQIFELGFFSPDNSSGLYVGIWYKIGPDRRIVWVANRGNALSASDLASRLIISSDGNLKVEDGKQNSVWSTNASVPLNSSIAVLQDDGDFILKDNLLGATLWESFSDPSDTLIATMPLGCCSRSGQKLFLTAWQSENDPAPGKFVVGLSDDKPAQLFTWNGTKPYWRSGPWNGWKFIGAEVKDSGYGNEASLTQNNQRGTPSLTFTLFNKPYISNLVISPTGVMKVMHKEGQNVPWKVFWAAIQTPCDVYGACGPFSACSSSGSPTCECLKGFFPLSNEEWSKGNWTSGCLRRTELMCSTNSSNLTSKASKPDGFWKLIQMKLPDHHLFLYNEDAQGCSQWCLSNCSCLAYAYPDGIGCMVWVTDLVDIRQSSYGGEDLYLRVANSELGVKRRYTKVIISCVAIAVGFLLVVSICRVQRWKAKRRVMHTDTHLNEQNSETRNWCTIKGFRKNCRVVGLLLSGNRGTLRETTREDIKEGPASARGSSSELSMIDINMIKIATNNFSEANKLGEGGFGTVYKGKLEDGQQIAVKRLSRHAGQGMEEFKNEVILVSKLQHRNLVRLLGCCIQGEEKIVILEYLKNRSLDTFLFDRTRRLELDWGKRFHIIQGIARGLLYLHRDSCLRIIHRDLKASNILLDDDMNPKISDFGLARPFRVTQEQANTRRVVGTMGYMSPEYAMGGLFSEKSDVYSFGVLLLEIVSSKKNTGLGFHEKYLNLLGYAWQLWNECKAAELLDQSLADSCTPSEVMRCIQIGLLCAQDHAKDRPTMSNVVLMLSGSESEMGLPLPRQPKFTFQSLLEYNHFQAGVSAFNGSINDVTISVVEGR >CDP05043 pep chromosome:AUK_PRJEB4211_v1:2:1098230:1102820:1 gene:GSCOC_T00019958001 transcript:CDP05043 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASLLPSSSTSSLITPTNNLCKMHSAAWLDSRLYQLLKGFITSAVYCLPCKKCNVKLYMVSTPGAPFSRHCRRLATNGTVEVDGTNQEDRLITSGDHSPSNLESIYNLERQLDGLFDEVKTLIRLGKENDAFDLLQANYVAVKQQMDTGARGIEEAAILDVIALGYMALSETRMVASVLAVVKQVVEELKDDEPLLDSILLHMGNMYEKVKKFEMALYQYRRALRIMEQKYGNSSTFLVTPMLGIAKVLGSTGRATESIETYHLVIKILESGRGVVCEELLLPLTSLGNLLLKEGRSSEAENVFKRILDQYIKLYGEKDGRIGMAMCSLAHVKCATGDVHEAIDLYKDAFQVLKHSKSIALDDELMEKMRIDVAELLHAVGRGEEGRVLLEECLLITEKYQGKDHPSCVIHLMNLAASYSQFKNFAEAERLLRKSLQIMMKTVPPDDQSITFPMLHLAVVLYNLNQDEEAEKFALNALHIREKAFGEESLPVGEALDCVVSIQTRLGRDDKEILKRLQRVLKIQELALGHDSKEVVETLKKVIYYLDKLEMRSEKLPLQRRLSRLRQKLKEMV >CDP08759 pep chromosome:AUK_PRJEB4211_v1:2:53282638:53284824:1 gene:GSCOC_T00027848001 transcript:CDP08759 gene_biotype:protein_coding transcript_biotype:protein_coding MADDWDLQAVVRGCTATTTTTSGSSATTATCTGNSFRPLCGFEPHQDGNFLCFQDLFFEPRSQSSISAVVDQDLHDLYKPFFPKSSPPLSPQSIPISPLSVLGGLQDLSQQPPQQQQQQQQPKKQLQQQQQQIYQPKHGSTLLPIGASSRSSGANGSSSTSNHRSKRRKNQLKRVCQVPAEASSSDMWSWRKYGQKPIKGSPYPRGYYRCSTSKGCLARKQVERNRSDPGIYIVTYTGEHNHPMPTHRNSLAGSTRNKPATPQSTSSGDPSKPSSSPPVSPSASVSPAPEKLEVETSKEEILEDEEDDVSGFEMAMDDDFFEGLEEFAGKSAVTDNFSSDHFPQSMQQLPWLANNANSTTGTAAGGC >CDO99711 pep chromosome:AUK_PRJEB4211_v1:2:9571195:9573165:1 gene:GSCOC_T00029384001 transcript:CDO99711 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRASSMANRFLIAAVLFVLSCSSIQETAAQTSSFDDNFSKTCPETHFTASADGQTWYLSLDKESGCGFQTKERYRFGWFSMKLKLVSGDSAGVVTAYYMCSDCTHLGAGPERDEIDFEFLGNRTGQPYLIQTNVYKNGTGNREMRHVLWFDPTKDYHSYSILWNPLQLVFYVDRVPIRVYRNANYTNNFFPIDKPMNLISSIWNADDWATRGGLEKTNWTLAPFVSSYKDFSVDACKWEDPYPACVSTTTEHWWDQYPAWHLSDSEKLDYAWVQRNLVIYDYCKDTERFPKVPEECWISPWA >CDP07722 pep chromosome:AUK_PRJEB4211_v1:2:25387390:25388103:1 gene:GSCOC_T00025072001 transcript:CDP07722 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CDP15822 pep chromosome:AUK_PRJEB4211_v1:2:44212112:44214704:1 gene:GSCOC_T00016689001 transcript:CDP15822 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLTHNSEKETQKTHHEESAAFANIAAMDDASEKLESLKSLNARLLKETVERRREVAALVQSKGSLESELTRSNSEKERLRSELTRLSEGVVELDVERSVVFAFVAQQAEEVIERERDEIERKMKGFEREIGEILREKSEIEKVTGEKEREIELLNEKINELVVKIDNERSFSNGVCVERDAMKATLDAQIKEGSELGGKLIEAEKKEKLVQEEAEKLRGEYDKLVRAKREKEKQIEGVMRDKELVEKSLIEVNKAIEKMKKEIEGVVMEKEGIEEERKVEMRKRSELQEVVNGLNETVGTMQKEEERLRVCVAELEKRCIEGEDKEREMESEIDELVKEKSEREKRLLGLIEENGVVEKDLDDALKQLDELKQKMEQIVNENREIAGAKIRKEKEILELEKHVTELRDAVSGMEESCRVQKEKIYSLESEVGNYKDSLKRVLVERDEARMELLDERENGISLKQKIVAMEKNVEETVELVEILKAENANVKGEKENLESCCIRLKKDIASAENELTVARKELDATKAELEVADAKSEQVLKVLRRTVELVCPNGEMNITGDKEMNGEIEPYVAELVAIKHAFKSREDKLEDMKRQVEILENSVAEAHKKKSFWTIMSSATTVFAAILLAYVTRGH >CDP00082 pep chromosome:AUK_PRJEB4211_v1:2:6322633:6323900:-1 gene:GSCOC_T00029871001 transcript:CDP00082 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSSAGSPPPSTPPPPPSTNTASPTSPTANPPQSSARPAPFTVTCTAGLPVIALVLRLLTFVFLLISLIITAIDTVTYVDDSGLEIKVDFSDLYAYRYMLSTTVIGITYTLLQTAFAIFQVSTGKRFGGAALCYLDFYGDKVISYMLATGAAAGFGITVDFNRLLLKGTDASDFLNKANAAAGLLIVAFLFSAISSVFSSLALPKRA >CDO97035 pep chromosome:AUK_PRJEB4211_v1:2:16038840:16041211:-1 gene:GSCOC_T00014251001 transcript:CDO97035 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNSSKKSKILIIGVTGSLGFDLAKASVGASHPTFGLVRDSAFSDPNKSEKLQFLSNAGVTLLKGSLQDEDSLIEAIKKVDVVICSIPSQHVLDQKLLIQAIKLAGCIKRFIPSEFGLDPDKTQVADLDYSFYSKKAEIRRLIEAEHIPYTYICCNFFMRFLLPSLVQPGLKSPPRDKATIYGDGTIKGVFVKESDVAAFTVCTVDDPRSLNKALYLRPPGNVYSMNELMEIWETKIGKELQKTCITEQELLEKIKETPYPDCMEMVFIYSAFVKGDQTHYDIESSNGVEGSQLYPQVTYTTISDYLDTLL >CDP15179 pep chromosome:AUK_PRJEB4211_v1:2:49837096:49838513:-1 gene:GSCOC_T00042784001 transcript:CDP15179 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCAEDRQSPSKRLEGKVALITGSARGIGGATAKLFAKNGAYVVIADILDELGSKLADSIGGRYIHCDVSKEEDVESAVEFALAWKGKLDIMFNNAGVGGPGGSISSLNMEDVRALLSINLFGIIHGIKHASRAMIAGKNGGSIICSSSSAAIMGGLAAHAYTASKTAILGLAKTTACELGAYGIRVNCISPHGVASEMLLSAYRTCLGRDDLQAEDVTKIVGERGSLLRGRGGSMEDVAKAVLFLASDESGFITAHNLVIDGGYTSACSHMSPIYTSKV >CDP08750 pep chromosome:AUK_PRJEB4211_v1:2:53321165:53323227:1 gene:GSCOC_T00027836001 transcript:CDP08750 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHDSRNDDGIKSFFQEVHELYIKTLLNPLYLPGSRITSSHFDTKVRALARKYL >CDO99555 pep chromosome:AUK_PRJEB4211_v1:2:11382166:11383596:1 gene:GSCOC_T00029185001 transcript:CDO99555 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCSNFIALNKPPPYLTLFPCNYPKSVRRLRISVSADSTQRLQLAQSLQSEALRILEWPAVCAQLAAFTSTSMGLAAAQSARIPLGRSPEESRTLLAQTSAALAIPRPLDFSGIEDVSVLVDSAVAGNLLTIQELCLFKRTLASARHLVEQVEEFSVDSNSSESLTSSRECMYILWMDCHHGLGRALK >CDP06811 pep chromosome:AUK_PRJEB4211_v1:2:37112348:37117449:-1 gene:GSCOC_T00023802001 transcript:CDP06811 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVFSVDDIADQFWSPPPMQIRLGGDEEESGSVSSSANNNNTASSAKMINRSSSEWAFQRFLQEASATNHSPSPPQPQPQPASSNPRPQSDVVEIKDNISNRDSNAATSDNKNKILNNSSDNQEVKVASTTSFGGPVPPNIPVDSEEYQAFLKSKLDLACAAVALSRANYMKPRESAAMLPDSASQGSSTSTTPVSQVVSKGVGPDPVKGQDNDVGGPLGIPSLPALQKKSGIQMRSTTSGSSREEESDEDEGEEEIEAAENMDPADAKRMRRMLSNRESARRSRRRKQAHLTELETQACYCHCFFVSQLRVENSSLLKRLTDISTKYNEAAVDNRVLKADVETLRAKVKMAEETVKRVTGLSPLFQAMSEISTISLPSFAASPSDTSTDAAVPVQDDSQRHYYQAPSESCNNSSFREQDRENILNATRG >CDP17974 pep chromosome:AUK_PRJEB4211_v1:2:43831787:43851475:-1 gene:GSCOC_T00001225001 transcript:CDP17974 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSPECDSDTRAALFPGSCLPLVIPAASLLNWSVIGLADLFTSLLILSTGVEGSYCWKHVIVLWHVIMLSGAVIISHFLFHLTVAIEGDHWGVADAWWAKLLGFVRDQSQSPHAVIYSLLIHIIVGVVALTKIYMSRTFPDSLGQSCLLDLGLSSESQGQLTIHIKFKVLCYLFLPAVQLLLGISHPSWVSLPFFLCSCIGLVKWSMTSKFLGLFWCWRYLLFYAGVNIILLYAYQLPLEFSNRLEQFADLTGLYKFSLKSDWPEICSGISLLLFYSMLSYVRHDLIEINEIFSTKQTDLTEQLLPKTHSFLIHELRCNVMRTSILHSEAIMWRFTINFFTYGFPVSLFALSFWSFQFTSLCSFGLLAYVGYVIYAFPSLFHLHRLNSLLLVFILLWAACTYIFNIIFTLLNKKLEKDMALWEAIGLWHYSKPGLYLLAQFFPAILLTMGNLVNSTVFSYLIDGDGQPKYRNLAAQEKEEKEVFVIATIAWGFRKVSRAIVLVLLFFIALRPGFVHAVYMIFFMIYLLSHTISRKLRQSLILLCEAHFAALFILQLNVISRVLEEKGSWAAEIFSQSGLLDGTSSMELATIAGLASFCAIQNHGFDILCSFSTIVQFTPLPPFGWRFWKAGLNKSVLLSVYALISRGIEYDNSLPGIIAMQSIHRKRISLTMLYLSAIGQKFLHAYRACGTYIVCLTVLLTVYHVKPNYISFGYLFFLLLWMNGRQLREKTSRHWWFPLKVYAILVCILIYSLNVFISLKRWLSSLTDLYHVFGFNPDASSIENIWMSLAVLVVMVLYSYERRRSRSLNLADKETEENVKFSFMRRLLIWHCEKILSLSLFYASVSPISAFGFVYLIGLVIFSTFPKSSQIPSKIFLAYSGFHLAMDYLFQMWGEKAKMLPGQTNFNLSLLLGLRLFEHGFFGLESGMRCKVLVIAACLLQYNIFHWLKKMPSNYGHHDKWEEPCALFNLTEEQPIAGPSYAKESEPSAETSAFLRKHKGPMSNSWPSLETSTGVLENRNRNDTFRRIWGSSKDSFKWNKKWILLLRKERLEMQMTTIKIYLAYWMENIFNLFGLEINMIGLLLASFAVLNAISLLYVTSLAACILMTRHSIRKLWPIFVLSFGSVLILEYLTIWVGMVDSKQQFPKIAKRPCHECWKNSALFFEHCKKCWLGIIVDDPRMLISYYMVFMLSCLKLRADYMSNASGMHTYWQVIMQSRMAAAFSDLSFETKSMWTFLDYLRLYGYCHLLDLVLALILITGTLEYDILHLGYLGFALAFFRLRLKILKERNKIFKFLRIYNFSLIILSLAYQSPFVGDSNEGKCKTTDYIHEVIGFYKYDYGFRITSRSAVVEIIIFMLVSLQSHIFSSPEFDFVSKYLEVEQIDALIREQEKRASWKTAQLQHIRKSVEEKRLRNLQVENIKSEMLNVQINLHSLSTNATLSSASLGSQGQQGRLNSFSYHQSSNLQNDEANVKKNGQDSYSLFPFDTSSLKGLRRGSLGVDSMNASMGSSQEISELNETSSYLFPGLEEDYTEYNKSKHNLLISAFHLVGDGVSHARSFGKKAVRNLVNFFNIEDELDLNDNASENEVYYELESQNIGFDPVEQMHSFHSASDKTVSDATCLQIGMIFRFMWVQMRANNDILCYCCFVLIFLWNFSLLSIVYLAALFLYALCVNTGPSYIFWFIMLIYSEICILLQYLYQVIIQHCGLGIDTSFLEELGFPDHRIRSSIVISNLPLFLVYLFTLIQTFISAREGKWASITEFGSLGPKKKDPKVSTLTPYWLEQVYKLLLPVKDAMEKIIRSLYWYWKSVTKGAETPPYFVQLSMEVKVWPEDGIQPERIESGINKLLKAVIDMRSQDTRQNGPHMPSRVRIQSIERSTENPSVALAVFEVVLASSMEGSVPMKLYKPLTPAADIAKEILIAQRTGIFEEIGFPYPVLSVIGGGKRDIDLYAFVFCADLAVFFLVAIFYQSVIKNKSELLEVYQLEDQFPKEFVFVLMVIFFLIMIDRIIYLCSFATGKVISYLFSLFLFTYSTTKYACGMQPSHQHAGKFALRAIYLTKAISLALQAIQIRHGLPHKSTLYRQFLTSSVSKVNFLCFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYSSLFLVKCNEDLHRVKHHQGQKQSKMTKFCNGICLFLVLICVIWAPMLMYSSGNPTNIANPIKDASVQIDIRTISGKLTLFETTLCRKLSWNELDTLVDLDPLDLLSSYNEKDIQLICCEADASASWHVPPVVQARFIQSINYSMNVVFHWQFTRDRPKGKEVVNYDIHIQDADLPKPEEVMQVLNGTLNSFRIYNLYPRYFRVTGSGDVRFIEQPVNLVTGDLVLHRGDPEWWSFHDLDVSDRSGCGELAGPMAVIVSEETPQGIIGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFEDLPYCDRLIAICEDIYAARAEGELEVEEVLYWTLVKIYRSPHMLLEYTKRD >CDO99762 pep chromosome:AUK_PRJEB4211_v1:2:9086479:9091257:-1 gene:GSCOC_T00029449001 transcript:CDO99762 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MGSPFGPLGMPPPGPMQSITPSMSPAPGPPISPGVFIPPFQPPVVWSGPRGVEMNMLAVPPVLSPVPPGPSAPRFPANMGTPPNPPMYFSQPGPIRGAPPNIPAPNFNPIAPMGRGQSQEKSPGGWVPPRTNGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQKKDEIVAKSNSPPLYLKCDLHEQVLSPELFGTKFDVILVDPPWEEYVHRAPGVTDHMEYWTFEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKTNATPGLRHDSHTLFQRSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTAKPEDMYRIIEHFALGRRRLELFGEDHNIRAGWLTVGKGVSSSNFNAEAYCRSFGDKDGKVWLGGGGRNPPPEAPHLVQTTPEIEALRPKSPMKNQQQLQQQSSSISLTTGNSSNKRPAGNSPQNHNAQNMNQEASSSNISTPAPWGSPMEGFKGREGGLMAPDDRIFDMYGYNASFGQSNAEFLDYESQRSMNLL >CDO97132 pep chromosome:AUK_PRJEB4211_v1:2:16693503:16708168:1 gene:GSCOC_T00014377001 transcript:CDO97132 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MLRDFKFLRRNTGKDSSIEDAENVPLNPKDSLVPQIGSDSSSRPPLNVIQEPAQVLKGGLDQEMSVRVSKTDRTPTKSTKATTSVHLRTPEKQGKNRFGWAQKSESSSNAAEMKGDGNGNTRTVANVVTPRSTRTMGRANNSSYSECNSTQSTPTKSVSKPQNPGLCLASGSRPPPSGGARMSNFAALSKGIPISCNSVTVVNSVEVPHFELKEDHSFWLEHNVQVLIRVRPLSNAEKSTHGYSRCLKQESAQTITWIGQPETRFTFDHVACESIDQETLFRLVGLPMVENCLSGYNSCMFAYGQTGSGKTHTMLGDIDELEIKPSLNRGMTPRIFEFLFARIRAEEESRKDEKLRYHCKCSFLEIYNEQISDLLDPSSTNLQLREDIKKGVYVENLSEFEVQTVGDILRLLRQGSSNRKVAATNMNRESSRSHSVFTCEIESSWEKDSTCNFRFARLNLVDLAGSERQKTSGAEGERLKEAANINKSLSTLGHVIMVLVDVANGRPRHIPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICSAAETLNTLKFAQRAKLIQNNAVVNEDTSGDVVTLQNQIRLLKEELSVLKHQNVSRALTFGPLMVNNTHEDGSSCYEEAFEIDQQPYLLDKDKGSLILSTKQFNSLETTLAGALRREKMAEISIKQLEAEIEQLNRLVRQREEDTRCTKMMLKFREDKIQRLESLLGGLMPTDAYLLEENRELSNEVQLLRAKVEKNPEVTRFALENIRLLEQLRRFQDFYEEGEREMLSAEVSELRDQLIFSLDGTLKQLNHLDMSMLPNQGIDVLEENDSVHEELKRTLCELEECRTNLNRCLEYNAKLSREVEDLHSSLSISRSGAEEQDGNIKVIKPIEAAQKVKKETWPGNMNEQIEEVLDLQLELDIVKVILKEERSYRQQAETRAQSLNRDLSLSKEKVLLITKQCNAVEEELKEAKSIIEALESQQILVINELEELRNANTQNVETLHKQKLELSTLKEQTGCQDFKNLPSTTHNEDCSLEEKLNKMHASLEKANRLNKWYQSDRAFQASNEEQMDEVRRQVEAETAEVIVCLQEELYLLQQEVQAGNEKEMETKESLAVLQTEIKELQEKLSLMTQENTKLSKLLENKENELAQLSGEWDLLTNEIEAVLQGGHESLKDASDQLTTISSSFPQKSSWISAQFGRMAKHIFEKELLIEELNHYLDVASSKRNEMECMLSSLRGAALVMTEVHQQECSRKDKEIIQLSSQLTAESSTILELNNRIKHVEDHLRNASTCATVAFVIVNRLSELNSNHLDALKHLDKQLMELVETNTNKDCVIQSQASIIGEAEKQVQSLKKDLEGLKASCSDLSLKLSEEQKCGNALRLELEDYEEKTILKTGEKLTEFKNGVSEVRSYMKEYVETIGSFGGHDSTETSTCFSVNENDDKRTGMETKEAFKCMNSCADGDIIFKSPGCFADLGNNRSGENILECQNTLKDVNNKDATIMLLKKEIESALESLNGVQAEMSKLRDEKDKFYTSEKEIQRGIDCIVNQVVLLQNAMDYFEEESKFRIDSLEFKLHGLEEIVQYSCNSWIKQKELLEAELGDARAVSTQKDTEASCILAKFEEVQDTMKDADIMINELMIANETLKLEVKELRKKEVSLICDSDSLIRQVQSLQIINDQKNCHLEEVERQLKSDFDTMKSSVMEMELVFSQVQTASIKDCLSVASDCLSMRSYFHDSIKLMSSCLEDIWSEIIIKDSAVSVLHLCHLGILLETVTGLNAENGLLSRGFGESNAVISELREQNIKSRRELESCRSLEGKLLADIKNSFDRISRKEDETGELSIKLTTFEQKIMDLQFQEELMLQRSNHMGSELAVLMKELDLSNQNVLASFLDRERLLKKQEEVFRSQQDNFIMEMSARDFEFLIMSLQLEQATAIKADIEKEQQSSIEVLETFKEDMIFQVINARVTESILLEIEEEHSSLQKEFEVAGKELQAMLSELDKRNATISQMEDFNRTLLLDAQSLNEVASLNEKLKGELDEEMEAKKILSFQVEKLNAECQKLIVDKKVIEAALELSSGEISTLQQQNQTLQSNIVLLEATSLQLQNELQMNNSELSKFHSVDEMEKSTHGDIAKLKAENSLLLQELEKKKAELISSLREKNILDVENKKLEDFISSLENQTAKLQIDMDEARAEVNELRLSHLSSKETLLLDDMFQELCVEFGRISRLLEDFENLENLTKELASETASLQTELLRKDEILGGLLFDMSLLQESASNSMDHKDEIEALLGSISSLEDELQLKSDNLNEAVARGQELEAQLQEKMRIISCLELDIAKEHKAVRSLKSENLELIASIEDALEAKKSMEEELVERRKVSENLETEVAEMGIALAEMNIMIESLKCNLNDVTVERDDLHGEMLVLKKELEMARISAEENGALAAEAQEMAEISKVNVEGKEQEVKLLERSVEELECTVNVLENKVEILKGEAERQRLQREELEMELQAIRQQMHSVKSCDSDMKRKLDEKEKILEEALQRIQILEREIAAKDGEISRCRGHISELNLHAEAQASEYKQKFKVLEAMLEQVKQDVPATHGTNSSANKLEKNASKSRGSGSPFKCIGLGLVQQIKSEKDEELSAGRHRIDELEALAASRQKEIFMLNARLAAAESMTHDVIRDLLGLKLDMNSCANLLDNQQLQMLMEKAQLHNVQEQEVAKLKQQLNEFIKERKGWIAEIDRKQAEMVTTQVAVEKLRQRDQLLTTENEMLKMENLNYKKRTTELDAEVKKLSGQQNLQQRIHHHAKIKASFFEENNILKRQNDELSVKLRKSEALLSRVKQELAQFRIADGRSTCINFDEEQRLNDKLMETETERFQLAQELVSLCTSILKAAGITRPKSEVNLAVAEEALDQLKNRVNALETELEDVKLKNRMNKERIRLSELMPETSTPFSSRTDPRQQPTLLSAFDR >CDP14063 pep chromosome:AUK_PRJEB4211_v1:2:5506610:5509645:-1 gene:GSCOC_T00039248001 transcript:CDP14063 gene_biotype:protein_coding transcript_biotype:protein_coding METLYPASYIVNSDLLLKELKSTKWTKEENKRFESALAMIDEKSPDRWYRVAAMIPGKSVCDVINQYQELVADVNDIEAGLVPVPGYLASSFTLELMDNRGGFATFRKRGRSIDQERKKGIPWTEEEHRRFLMGLQAHGKGDWRNISRNFVISKTPTQVASHAQKYFLRQLSGGKDKKRPSIHDITTVQLPNKTPSEDNKSPSPDKSGLSPVQKSTNASKMLFDWNNSNDGVLMVFDSLDPNESIGSLFDIATQGRYAAGIRSANSKFHIQPTKYVLG >CDO97157 pep chromosome:AUK_PRJEB4211_v1:2:16880202:16883967:-1 gene:GSCOC_T00014410001 transcript:CDO97157 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLCFFNKDSLILKPPKKSTLLLRMVVLIFSMVCGVYICSICLRQISSRSTARLLSVEVVRPCEPPDVEPSEKPFVHFPNPKTFRRAECACHPVRNFAILSTQRSGSGWFETLLNSHINISSNGEIFSVKPRRANVSTIVETLDKLYNLEFFTSASKNECTAAVGLKWMLNQGLMQNHEEIRQYFNDKGVSIIFLFRRNLLRRMISILANSYDQNAKLLNGTHKSHVHSHQEAEILARYKPTINATLLILNLRQVEEMVTKALEYFKSTRHIVLYYEDIIKNRTKLMDVQDFLRVPKMELNSRQIKIHRGSLSSQVENWVDVEKALKGTPYESFLQADYRM >CDP05158 pep chromosome:AUK_PRJEB4211_v1:2:2040728:2043131:-1 gene:GSCOC_T00020108001 transcript:CDP05158 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTGRLIQDQNLNVHSTGASLGGKIDAYRATKNGALGGRRALNDISNSGKPSALQPSTKHNSINVVSTGKVLGAGKANMSKAPPEKVKAGGRKALSDLTNSAKPSVQHLSKKSQGQKVSAKDGENIQSAIKEEAFLHNHHDCIKARRNIMNFGFFLDTVGLDKGYSLVLPETLELKKQDSPVKCLEMEEMPMILNENKVAECGDAEFLGFSSPISVKHTYENWKDDNFLGFTMKETPKLQKF >CDO97655 pep chromosome:AUK_PRJEB4211_v1:2:20720142:20731299:1 gene:GSCOC_T00015059001 transcript:CDO97655 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPAPRKRRAESARSPNNTKNNVSNSNKQLVIYEDSPSAPVPESSHDHPITSDHMLCTYQCRQMVKAEFFDALSNAEKQARECQSKLDALNEDFCKAETERKRFRDKLLSAEQELAAAKRREQDLQEQLMKGVNSSEERLRRQLQLFNELEIKFQNEVNLREKAQSLAALAEEKASALEAKLQKVSQSTEKEKIRLQNELAHLKSDSKLSVSRISADLERMECRAKHAEEESKLLKDQMEQLGKRLHECMQQKGEMEKRLLHATPQESPSTDSSILLKHLQEELRNYESEVREARKLKSSHENVELLKEKLQEEKRRRERAELDLLKLPEIQLRIEKLQDELSAWKSLMKDIPGVSCADDVPMKFAALQKEVIDSMMKVGEAQVRLKEIEVALDAAELDKQNAITEATLAKEKAESSKSEVKRIELMLASALEEKDRLKNMFNELKREKHAQGGPEVASGALVQELEASIAKKENYIKELESNLHEQNLINIHQQNEIKLLSERLTDEARRIKSLEREGDRLRSELALLESKLGHGDFSSANTKVLRMVNTLAVDNEAKQTIEALQNELQKTKEKLQAVEELKKQSADAGTLVDSYVSGKIVQLKEQIATLEKREERYKTVFAERISVFRRACCELFGYKIVMDDHQRPDGIPVTRFTLQSIYAQADDERLEFEYESGNTNIMVNDYTRQPEISHQVEIFMRKMNSIPAFTANLTMESFNKRTLS >CDO99883 pep chromosome:AUK_PRJEB4211_v1:2:8068928:8072437:1 gene:GSCOC_T00029587001 transcript:CDO99883 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGMRRTTRVFGARVLRSGRRLWTGTGTGDGKYTKSANGDEWIELLENSGDGGGGANQRKERGRHGNEAAAKQEVRGMDVDVKVVKSAPEKVLHEGLDAENHVGKRWGVVYTRKRKCVDSSLVESSDNGNKKRSIDDKRYGRQFFRKQWRKKNIQTELAEPGDSNMALMALEESLDNARCHFLLVVFDSSCCSWYMAASFLNSILRYMRQARVGIQQLFAFLHSKAIALVYSSCGIHFLQGSNVVAERGVCVIWGTSCLVPVFAVDYSAVPHCFMYLHSRMLLHFAHLMYSVERWLVGIDDKNDNLSKLSMLTESVQTSDCSGKTEVSVSVSNVAPTKLTGRNLQLRNGRNIQRSSFRSKRGRRPSSFGARKANGALASNLLSFRHNSNQLSPITPRHELRSSTVRHSVTNIKQVKSSLGGLKQDIDPTSCFANILVIDSDKCYREGGAIVTLEVSAEKQWHLAIKRDGVKRYSIITQSLMRACNCNRFTHAMMWGMDSGWKLEFTDKQNWSIFRDLYKKCSDRNARVPVESFIPVPGVHEVSGYVNSGNYVRPATYISVKDDELSRVLARRTANYDMDSDDEQWLNKNEFHKLLSAEEFELVIDAFERGFHSNPDDFSDETTIPNICLNVERSVLEAVHSFWVNKRKQRRASLIRIFQLYQPRRTQMIPNSVLRKKRTFKRQGSQIGRGKQRPFLKVMAAEQDAEEQQNAVLKVEEAKAAADTSEGLAVLKRQRAQQLMENADLASYKAAMALKIAELAQIAESTDNADLRLPVGLLDLFPET >CDP06836 pep chromosome:AUK_PRJEB4211_v1:2:38286313:38288933:-1 gene:GSCOC_T00023847001 transcript:CDP06836 gene_biotype:protein_coding transcript_biotype:protein_coding MATITVNFINSNFIPYPFKYQFLSNQNSLLADFLNPRKLITLKIQCCTKTSPNKKPRKPPMDKSNSSKNPNSKRAPNRRRSSTSYGTSRKSVLKKSFSQEQVYFSGPVSNDPVVGIIGGGMAGLICALYLEKRGIRSTVFDTGLHGLGGRMATRIIDPEPLIFDHAAQFFTVTDPEFAKLVHLWSEKGLVREWHGTVGELEAGGHFTPLPSSPPRYIGANGMRPLADTILSQTHLVNVVRPCWVSTLEPFNGMWHLSEKGKNCGQFDAIVIAHNGNHFASKFHLLLLSSPCSP >CDP09479 pep chromosome:AUK_PRJEB4211_v1:2:21800919:21804680:1 gene:GSCOC_T00028859001 transcript:CDP09479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor UNE10 [Source:Projected from Arabidopsis thaliana (AT4G00050) UniProtKB/Swiss-Prot;Acc:Q8GZ38] MNQCVPSWELEENHHAPLPPPPPADPKQTLRAHSNSSSLAPDVPTLDYEVAELTWENGQLAMHGLGLPRLPNGKSLAAPPPAKYNSWEKQPPVGGTLESIVNPAAIIATHRKSAAQSGCRDCGDELVPWFEDHRRAARAPAAASLTLTMDALVPCSNNTRNDHREPSTHVPKISACPVGCSSTCVGSCSAAAGNAWLRRMSAAAAAAPMEWGSKADQSASGSATCGRDSRQVTLDTCDREFGTAAYTSTSFGSPENTSSGKQCTKTVDDQDSPCQSRYEARNEEQKKKGNGKSSVSTKRSRAAAVHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVNIMSRMNMSPMMLPLALQQQLQMSMMASMGMGMNMGMGMGVMDLNSIGRSNIAGLPPLLHPTAYMPATSAWDGLADRLAASTSQAMPDPLAAFLACQSQPMTMDAYSRMAALYQQFQQPPGPASKNK >CDP08601 pep chromosome:AUK_PRJEB4211_v1:2:39582087:39583274:-1 gene:GSCOC_T00027602001 transcript:CDP08601 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGTAKSERNNTSCNDRILFLAAEEVEDSVADLDSASSVATPWDVFSLSSFLIYGSCFVEKLERFEKGAIG >CDO97014 pep chromosome:AUK_PRJEB4211_v1:2:15821308:15831638:-1 gene:GSCOC_T00014224001 transcript:CDO97014 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKVKATDGDSQYSPSTVFVTGLPYSLTNAQLEESFSEVGPIRRCFMVTKKGSSEHRGFGFVQFAAVEHANRAIELKNGSTVGGRKIQVKHAMHRASLEQRRSKGNQAEISDGVAQAKDNKLSLSAKTVEHEEFSKSLSTVNETLESLEKRKAATTSSSIADEETSLEKEKQRVAKTVIFGGLLNTAMAEDVHRLARECGTVRSIIYPLPKEELEHHGLARDGCKMDASSVLYTSVKSARSSVAALHQKKIHGELLWARQLGGEGSKTQKWKLIVRNLPFKATVNEIKNMFSAVAFVWDVFIPQNPETGLSKGFAFVKFTSKQDAENIIKMFNGKNFGKRPIAVDWAVSKKVYASGGKSLDAGEEGPDKHGEESDSDGDLDEDDTEVNEKSLQDYKVDGMSDGSDSTEEKVSGSETNFDEEADITRKVLQNLISSTSKATDSLDNDNSGLSKEMKDDESLGISSKLSDTFIAPNTIPGNSGKNKQIEKNPTERDNELQRTIFISNLPFDSSSEEVKQRLLAFGEVESFLPVLHHVTKRPRGTGFLKFKTSDGADAAVSAANAAAGLGIFLKGRQLKILKALDKKAAQDKVSEKTKKENRDHRNLYLAKEGLILEGTPAAEGVSVSDMSKRKMLQEKKMTKLKSPNFHVSRTRLAMYNLPKTMSEEELKQLCIHAVTSRATKQKPVIRQIKILRESKNTNSTRKNSSRGVGFVEFSEHQHALVALRVLNNNPETFGPEQRPIVEFAVDNVLTLRSRKDKLQARQQDSLNGVQDLQQNDKSSALDYHSKEKSRKRKSRHDAKASDTSGSKTEAEVGRQVFAESPSGEVRVVKKQKGDAGGKRDNISFKKKMRSSKNKQIPDQEQRNPDGGVPHPAEGTTISAHKPQKHEEPVGPEIRKKSKRNSDPLGRDVVDKLDTLIEQYRSKFARRDADQTDHEKRGSKQIRRWFQS >CDO96766 pep chromosome:AUK_PRJEB4211_v1:2:12891986:12894083:-1 gene:GSCOC_T00013889001 transcript:CDO96766 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGTSIGAVFFVIASALLLLSPSPSADASPLLSACRFDQVYQLGDSISDTGNLIRESPLGAALPFARNPYGQTFSHHKATGRCSDGLLMIDYFAQALGLPLLNPIKDTKANFEHGANFAVAGATALSSAVLAHHHVTNPVTNSSLDIQLQWMKDHFHKFCHNDCERKLQNALFMVGEIGGNDYNYAFLQYLDEARDTLKILELVPLVVAKIKHAVEKVISFGARTIVVPGNFPMGCLPIYLTKFGLESEVDEFDENHCIWLLNSFATFHNDHLKKAIAELQEKYPYVTIVYGDYYAAYEQLFNLGETEGMLVSFELQKACCGVGGLYNFNETRMCGFPGVKACRDPERYVSWDGIHLTQEAYRMIVDWLQADLFWKLRCHH >CDO99972 pep chromosome:AUK_PRJEB4211_v1:2:7337937:7338806:1 gene:GSCOC_T00029708001 transcript:CDO99972 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 19 [Source:Projected from Arabidopsis thaliana (AT3G04570) UniProtKB/Swiss-Prot;Acc:Q9SR17] MANRWWTGQVGLPGVETSSSTGSPVLKKPDLGISMNDNSGSGGGSGGRDDEDERENSTDEPKEGAVEVATRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVANGSDIAESIAQFARRRQRGVCVLSASGTVTNVTLRQPSAPGAVMALHGRFEILSLTGAFLPGPAPPGATGLTIYLAGGQGQVVGGSVVGSLVASGPVMVIASTFSNATYERLPIEEDEEGGGAAQGQLGGNGSPPLGSGGAPQQGGLGDPSSMPVYNLPPNLMPNGGQLNHEAFAWAHGRPPY >CDO96953 pep chromosome:AUK_PRJEB4211_v1:2:15291647:15302306:-1 gene:GSCOC_T00014150001 transcript:CDO96953 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHQKEEEEEEHQGPSKSSRVDSSQGTYEKQKTEAEDGKLLFNLEEVSPWRNLQLILLLQNKRIDLPTKLEVACKYVLLRTSIREDGDDSLEILDAVSMSRVVVFVSNWIESVLISSVKKTPDKGSEGHPETVGFCLDYRCWVILKFCLEESLKVNVSLNYSRDFLRVIDCISRDALACFSLELKDSKESALSSEGNELQETVLSCISLIFSSHNGVANANLDLWIMVTDTVLEIVRKVFSNKVADSKAGIFILQFSCYLLEPFAKFLRVHPARKNGFHDFIDRLLEPLMHLLDELRLSTCKDLGWSKNLLKLVEEISSQGLFHAAHIDGFLSLQSTGKYKKSDDGKSKERIFFIKSYHRKLFDKLEKIIACKNSLPLGGVGALFHLFVVCATKQKGVSSVNKVSRQLEDSAGHISERFSGSSNVALQTQNCSGSVSAVTRKSLFDFFVQIMESFLSHITMHLQAEWNVGTPLSDVLCVLISANKLLASFKQENIYTRLEDISEGACMNFLRFIYETIMLLSVKIKHLMSSFGSNVRNQEVLILMAKEIVVAVHLLVEIEYEVVGDDLENLWGMMFTFASSSQSMVDVPDKHLLISEIHILGCALINLYSELRQVNTSVFALCKAARHLESAHKDGEACTSESYCSCSMSLSTFLCSLEFRLSIYNGFKSIPEGQVSGCIRLLTAEISESLKWLNAQLQLGPADDLSKPGCTDGGFSWFDLKAELLGRFLSEIYTLILDSMTVTSGNCNAVGSSIKDLMELMCHSSNSQDLLKPDIMDKFFSLVSGQSSSWGVGLENDSLSCWLLVFFFRLYLSCRSLYRRVISHVPPHTSKKMSETMGDPYAAYSGKDWLDGIVQNAEGYFSWIIQPSANLLTIINNVSRMYFQDTLAGCPPLVYVLNAMTIQRLVDLNRMTKSFEYLLARNDKLIAAEMIDDTGVSYKRGKKWRKCLLSMKQEAAGLTKFMMLCFSSLFKDQLTISYDSGLSKCLSIQNLQKDNAWDLNVGALDQKTLPAAMWLIACQHIDIWCRHASKKDLKQFLTHLINCSLLIGSGGNDKFRSHCINKVGHLRNVTTQQISLELLNDTGLYEQKFFRRHIASTFCQILEASLSSISVDFGEGYLSSQHDWSEIIRALRNPSNIIHVKKSAKNAEICRIEDVAPSSNTEYALCNSLLNFLSWMPKEILGPKSFQSFANCILKLEQVAVGSLLGWYNTLLAGGHDEFFQLFLSCRRTLKSLLMASCEENMDYNHSSLISLLLEGSSPVLWLLESLLAVVGFQNASSEAVPSQLKDLLFSLMDHTSYMFLTVGKNRLQISLLFSGMDYNGQDNFAVGSQDTDLAEDEPHLDFCRDNDPCKSLALVANVLSECMQNSLACFSQAYASENLGVLPEFQELKKLSPVISCIQGFLWGLASGLGTRDAENCKMRIRLSKCELEPLYKLNIFINTCAEYVSYFLQLFLLEDDSLAQNLAIAQKLDQPELDHPCLENEEVQLFDSRCLRKTFLHELIRGDKSEEAYFLKQLFLAAAAILRLKLELGSTALLQNIMPILLGVSEVLLLEFARDVAPPPFSFVWLNGVIKFMEELGNCFPSSSPILSRKLYGKLIDLHLRSIGKCIVLQGKIATLSSKETGSSMEKPIEWLNFSESSISHESSCLDDFIARLRTSFRVLVQKSSELHLLTAIQAIERAVVGVQDGCLTNYEIHIGSLGCGKVSSVVAAGIDCLDSLIEFVTGRKRLNVVKRHIQSLVACLFNVVLHLQGPSIFQGNVNFDEGYTGPDSGSVILMCIELLRRVTGKHALFQMDASYVGQSLNIPAALFQNLLQLQLSDSYSSTTSKTTDTCSLKITSGRILDGRYSLDLYAACCRLLSSLVKHHGSETQRCAALLEHSVSILLHCLEMVNIDPIVRGGNFAWEVQEGVKCACCLRRVYEEIRQQKDSLGRCCFQFLSCYIWVYCGFGPLKTGIRREIDEALRPGVYALIDACSADQLQHLHTVFGEGPCRSALAILQNDYKLYFQYEGKV >CDO97100 pep chromosome:AUK_PRJEB4211_v1:2:16504161:16504688:-1 gene:GSCOC_T00014337001 transcript:CDO97100 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSSPITRQGGIPVNLPTAAQVIHWNGRLQELWQPTIAAEILSHNPNFFLSSSETMNVNAVPGPVAKDEQLQPGQLYFLLPIPMLRLPLSLRDLCVLAVKASKALSDPDMGRATLQTSVILQDENSAAIGFFAATMEQGSVRSCQQSYDGAVGMVSRVTFYRNCKEQRSCFS >CDO97499 pep chromosome:AUK_PRJEB4211_v1:2:19532386:19536001:1 gene:GSCOC_T00014859001 transcript:CDO97499 gene_biotype:protein_coding transcript_biotype:protein_coding MTVARFCNRLHGMKPTMMMVMVQVALAGVNIFYKLAANDGMSMRIMVAYRFMFAAAAVVPLALYFERNSRPKLTWMVLFEAFLCAFFGGSLAQNLYAQSLILTSATFASATTNLIPALTFIIAVFFRLEKFELKTKAGKAKVTGTLICLGGAMLLTFYKGAEINLWSTHLGLLHENNRLHAGHLAASHQNSRNHILGPLLAISSSFSAALSLIFQAKMSERYPCHYSSTALICLMGSLQTVIFALCVENNRWNEWKLGWNIRLLAVSYSGTVASGIMITVTMWCVRMRGPLFVSVFSPLMLILVAIAGSLFLDEKLHLGSVLGAFVIIVGLYSVLWGKGKEMKRISQLMPTCDKTDEQIEMTAEPDKNAKSCSMMALGVSPNFLPTSETEALDTDPEVHLEEAISTPDGKA >CDP05226 pep chromosome:AUK_PRJEB4211_v1:2:2547215:2548503:-1 gene:GSCOC_T00020194001 transcript:CDP05226 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEFLPKEYGYVPLVIVLYIFLNFYMSAQVGLARKKYKVPYPTLYASEAENKDAKLFNCIQRGHQNSLESMPLFFVLMVLGGIKHPIISAALGILYIVTRFFYFKGYSTGIPDNRLGGLGKFWLLAIFGLVFCTVSFGVSLLLS >CDO99885 pep chromosome:AUK_PRJEB4211_v1:2:8052190:8058349:-1 gene:GSCOC_T00029592001 transcript:CDO99885 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVALQLYDGAGPQFWGSNIGYRKSGSSFPCRSFERCRKKRVLKSMKSLKCLSSSCRGIRIHQFPCINGVSHRYAVTDKFGLSHCQCQSADNVGGLTAESGNGTWFVDNAKDFNSTNGNVRNFLELGAVKELKHDKELHHSNGNPPSDKTIQDGMNKGKSSFIENEAWELLQESVAYYCGSPVGTIAAKDPTSSSVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDTATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLLRALNNRLIALSFHIREYYWIDMKKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVGWMPNKGGYLIGNLQPAHMDFRFFSLGNLWSIVSNLATTDQSHAILDLMEAKWADLVADMPFKICYPALDGQEWQIITGCDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAAKAVEVAEKRLSRDKWPEYYDTKRGRFIGKQSRLFQTWSIAGYLVAKLLLANPGAAKILITEEDSELINALSCAICSSPRRKRGPKPSQKTYIV >CDP08677 pep chromosome:AUK_PRJEB4211_v1:2:53910871:53914531:-1 gene:GSCOC_T00027725001 transcript:CDP08677 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTGIRWRRLGGLKPIKTKRKSKEVEADTNKNMVEEEEPLSPSARLFHEPNFNVHVTTILGIKTPLSPQVIKEKLMHSLVKHPRFSSLQVVDEKAGEMKWVRTKVDIDQHVVVPDLDRNMGSPDKFVEDYVYNLSKSSLDKSKPLWDLHVLNVKTSDAQGVAVVRIHHSLGDGTSLMSLLLACTRQVADPDKLPTIPGQNKKTTNSFSGLSKPKFWKYYLIAVWLFIQLIWNTIRDIVIFGATALFLKDTKTPIKAPPCSELNPRRVVYRIVSLDDMKVTINDVALGITQAGLSRYLNRRYAEGKKDEGETQKRNNLPKNIRLRSTILINLRPSAGIQVLADMMEKDAEAKWGNWIGFVLLPYKIALRDDPLDYVREAKATIDRKKNSLEAVYTFSIADLVLKFFGVKASSDLSHRIITQTTMCISNIVGPQEEIGFYGHPIAYLASGSYGQPHALMINFQSYVNKMTMVLSVDESVIPDPHQLLDDLQLSLQLIKDAVLASC >CDO96956 pep chromosome:AUK_PRJEB4211_v1:2:15319447:15320758:1 gene:GSCOC_T00014153001 transcript:CDO96956 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAKLIIPFILVSLLVLHQVQAVQTNHQLTSNAVSNTGYGPKMDCGAACAGRCQLSSRPRLCKRACGTCCVRCNCVPPGTSGNYEACPCYASLTTHGNRRKCP >CDP04960 pep chromosome:AUK_PRJEB4211_v1:2:415838:427424:-1 gene:GSCOC_T00019838001 transcript:CDP04960 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVLVNITAMLTPRKKTDGSRSNSTFCGDDVEGNTGVRIYETREGNSGAQKSCDSVIDCRVEGNKKDSSNLTSLQHSPVLYDDCSETYKSQEIQEIENDDIDLINGSGLDVTELDVERVLEKQNTHDLYCPNCNSCITRRVILRKRKRQARITSEDVRRKKLETEVESSLTHCSRQGQQATSIEVHTTEENPLDDTSQAAEKYERERETDIFRCLSCFSFFIPTGNGFKLFKIFGDKAEKKPVKDEQKLTSKSGISSTFASDRERTSEPGNVSRSEALTTNSSTSLLASHGNGQDGQSFSMLKDLSTNHGKSIYRSRVAESEEDGDKISPSSKEEILINGKEVLNAGDNCNNTIKNRGDAAKGHFESATGSQPNTSNNSINSVAYHQSDSSRGHFEAATGSQPNTSNNSIHSVAYHQSDSSKAPIDNVPVTNGESLIIESEQNSSNISVNVAAYHQGDLFRVPTDKIPVMNGVLSPEDPVPGNQPDGLKLLISSPGGSPASEKSRVGQNPDLSTQNNGADGAYLNENSPQTICNNKEETHFGKLFKINKDPAVLSVKGISLNQDIPATIAKDLRKVAAELNSGKDTVVVVESEAISTVASQRQQDLTISEETVTSANLTTNISVTESTGADVRQAYEIEIIKSIVYGGLVESITSLGVVSSAAGGDATTLNILALTLANVIGGLVLIGHNLWDLKKEQVTEQMDRYKELLGSRENFLLHTIVVTLSFVVFGLIPPIVYGFSFLKSGNRELKLLAAAAASLLCILVLATGKENVRRPPKSYVKTIAYYILLGFMASGISYAVGQLIKSLLEKLHFQSNSPVFQGLSKMTPTAAEWASY >CDP09394 pep chromosome:AUK_PRJEB4211_v1:2:22885622:22887142:-1 gene:GSCOC_T00028741001 transcript:CDP09394 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSTILPELEYDSRETLALEAIKASAISVANSKPSGRSVHCSANLSKKSLEYFLVITPLPSSPLSLTCFLISEVSNFGVDMSAASSQSSGSTLLWPEFSLDTSF >CDP14040 pep chromosome:AUK_PRJEB4211_v1:2:5312727:5313615:1 gene:GSCOC_T00039214001 transcript:CDP14040 gene_biotype:protein_coding transcript_biotype:protein_coding MYERKKKVIGSVITKKRRNFSTENDNPNRDISPSNIPGLATKVASLLKVITSTEVSCSRSSRAELKPSTSR >CDP00061 pep chromosome:AUK_PRJEB4211_v1:2:6479894:6496774:1 gene:GSCOC_T00029838001 transcript:CDP00061 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFNSPSRSPASSRIQLGGGGSASRLRSSSLKKPPEPLRRAVADCLSSSASSNHGSFSAAASEALRTLRDYLAANSTTDLAYGVLLEHTLAERERSPAVVARCVSLLKRYLLRYKPSEETLQHIDRFCISIIAECNLNPNHKLAAHSHSLNLQSAAPTTSTNVSPLPVSSFASGALVKSLSYVRSLVAQYIPRRSFQPAAFAGAPTASRQSLPTLSSLLSKSFNSQLSPVNSKEASEKKEASALSVSDLAIPEEVDVSEDYEYIALDIFKWRWCRDQQSSLLSPKSDHVLKPQDVSTHNFLEVGAAALLVGDLEAKMQGKPWGTFGTADMPYLDQLLQPSLLTTVTNSAAARAHLKAITALKRSKPGPQQIWEDSPISMFRPRAKPLFQYRHYSEQQPLRLNAAEVCEVIAAVCTETRSPNANFMTVSSKLSNNSGKPSMDVAVSVLVKLVIDMYVLDSETAGPLTLFMLEEMLNSPRLVSKTRAFDLILNLGVHAHLLEPPLQDEDSPVDEEYSQEQILDNEMPPSSEATRKLDYFKKMGNCSAVDKFECWILGILSEVLLHLVQVEEKEESIWASALSCLLYFVCDRGKIRRSRLKALDIRVIKVLLTISRLNCWAEVVRSKLICMLTNMFYEVPDGSINSASATPMFFTEQIDLIGGIEFIFVELVLSNSRDARRNLYLVLFDYVLHQINEKCIATGESEYNDEEVQPIANLLMLADAPEALHISVKLGVDGIIELLRRSVSAALSRYPNSDRLIVLLEKIVEKFDALISSFTHVDKEFAHMIKTAKSCKFLESIERYRRNSLSMKAKLSWATLHSLLHSERNLYRHHGYLWLGDLLIAEISEGGDTSIWSRIKNLEQKIALAGVNDYSAALDVPLHIWLFCGLLRSKNSFIRWGFLYILERLLMRSKFLLDESEVHHAINVEASGYINDKSRLEKANAVIDIMSSALSLMAQINETDHMNILKMCDILFSQLCLKVLPTSAIPLGDVMLGNRDSSVDWNKKADSEHFTRNESHSWDEFCDTTSTFNPSKDPLVGETASMAALLLHGQAIVPMQLVARVPAALFYWPLIQLAASATDNIALGVSVGSKGRGNVPGATSDIRATLLLLLIGKCTADPAAFKEFGGEQFFRVLLDDTDSRVAYYSSTFLLKRMMTEEPENYQRMLHSLVSRAQQSNNEKLLENPYLQMRGLLQLSNE >CDP00085 pep chromosome:AUK_PRJEB4211_v1:2:6309612:6310451:1 gene:GSCOC_T00029875001 transcript:CDP00085 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLPLVAPSNFILKLKIHCSKCENKLKRMLLRVAGVHSVSIDAKQGTVAISGNVEPSTILMLLEKGVGRKAELLWEQSPSASSTSSKLDGQNLEIIAESKVVDELFNDPNVVAQLERLSGIQGLTNIQVTYSKTIKLSFKGEKDDLSDKNVQVTARDQGSGIFCEASASCCGGHNHGGVNRNVHGYGIGGCCGNHAAGYPIASYVCPVHSCDGNSYWPPHACGSVPPPAPQPPCPGCSPSAPPLGTTYDTPPPPTSMGYSYFSPFSDDNLSSGCNII >CDP07681 pep chromosome:AUK_PRJEB4211_v1:2:24659589:24661170:1 gene:GSCOC_T00025014001 transcript:CDP07681 gene_biotype:protein_coding transcript_biotype:protein_coding METEEMKLQVISHFSHRHPLELVEVEEEDDDQVNICSGCEVQILGSAYNCTKPSCDFILHHSCIDLPRKVKHNSHPKHPLILHFFPPYGDGEFTCNACGNPGHGFTYHCMSCKYDLHVECASLPEVEYHEDHEHPFVLSYSFRLQNKAEGKGKGKGKAVAEEAELKDFECYVCHGPVEKGCWAYSCSSCYYCAHLECVN >CDP07646 pep chromosome:AUK_PRJEB4211_v1:2:24294614:24298079:-1 gene:GSCOC_T00024966001 transcript:CDP07646 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRDRSEKVAKTKEMLSKQAGQTKQILSKHADKIAKRVSVPQQRAEEHERFMNKVTYLLSVLGFGTFCFLLGSQDVPYAYCLLSVIFVPLRWIYYRYKKWHYYLLDFCYYANTLFLVMLLCFPRNEKFFMICFSFAEGPLAWALVVWRCSLAFNSFDKIVSVFIHLLSAKAAKIICGILDTVAKIPATSDVQIALCKEIVQ >CDO96918 pep chromosome:AUK_PRJEB4211_v1:2:15004680:15007485:-1 gene:GSCOC_T00014104001 transcript:CDO96918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] MSKSNGEDDLDLLLSLHDRVLETPPASPAPSSPSPGYLSDDGVPKSRGHADMSVFRDAVQDCLDYDVQAAKKALKSNNSYKRNSDGDTTEVEKFSGLRIRNQVISSVELSNRISDIRFIRLPAIKNLLKGDTLSGCWATIGVLTEKGNQRTSSTGKPFAIWKIGCLDEMTVSLFLFGDAYQRNCNEKAGTVFGLFNCAVRKDNSENGFCLSVYSACNILKIGTSLDYGVCKGKKKDGMACTLVINKRRGIYCTYHRQKTSEKYSLKRTELKGGNLRTAFRDPLKPEGIYLVNPSVNGIKSSKNQAPLKLLSVDGLKKALSNAGKLTTNVCSQGIRFLAEVTGWAYIHFVL >CDP00135 pep chromosome:AUK_PRJEB4211_v1:2:5887487:5892872:-1 gene:GSCOC_T00029943001 transcript:CDP00135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HESO1 [Source:Projected from Arabidopsis thaliana (AT2G39740) UniProtKB/Swiss-Prot;Acc:Q5XET5] MSGGQLLELTLRDILHVINPLREDWSIRFYIINELQAVVQSIESLRGATVEPFGSFVSNLFTRWGDLDISIELSNGSHILSAGKKHKQMLLNDVLRVLRTKGGWRNLHFFANARIPILKLETSHSISCDISINNLSGQMKSKLLFWMNEIDGRFRDMIKEWAKAHNINDPKFGSLNSYSLSLLVVFHFQTCVPAIFPPLKEIYPGNMVDDLIGVRALAEKHVEETCAVNINRYRSDSSRLTNHSSLSQLFMSFLEKFSDISAKAATQGISPYTGQWEDIDTNMRWLPKTYAVFIEDPFEQPVNTARTVSNKQLARISNSFQTTLNMVNAANQDQSMLVSALVRPQISQFLVKPPFRCQSSHSNGTRPQIPRAMQPAGRVQDQFQNRRSQKRQNETNQRPAQVVHIPKVHSQVQQVWRPRSES >CDP18388 pep chromosome:AUK_PRJEB4211_v1:2:43330006:43333608:1 gene:GSCOC_T00012969001 transcript:CDP18388 gene_biotype:protein_coding transcript_biotype:protein_coding MIREEIEVRELKLNEQEKLIQGLFDKIELEQKEFESIKSSVGDRFNEIGLKEYHIEQRANELDLKEQKLMQHEKEIESREKILYLKMKEIELKGKQFDSAKISNKHLQYSGSEAKHGLHLNEEESLACTERGKQLTDAAGHIASHNMQNVTDHKSKKLLDSSVDSTTIWESCCFFYDVRKVLFKRGLKKDFFCQNCSKYYVAFSSAMGYEENGNIKMLKSCTATERNGDVLGDARSDDKDGSVNLLHLGKGDYDVLRIDHSWHRESSESINQSRKRGSSETGNEVIAAEEVLADIDHHFHCTPFAQLLPISEQGRESGADDIPSSSKRLRVSNNHDEQSEATLLQCSACGNSTGFSAGVDNGKLRIGEGNSPIEQSLQDCDINDIPEFKGNAPTEESLQNCDVIDVSGSGSAGHSVIGIMYPPGEFNDFDKYREENCFSPGQIWACYDDTHDPMPRFYAQIMKVHVRPFKLCINWLYPHPGYQGGIDWVNRDLPVACGKFARDNSEHITTFRIFSHQVNYDKNMDRLTYTIYPRNGEIWALFKDWDIRWRSNLENHPRMRYEYQFVEVVKEYVESTAVEVAFLDKVGGFLSLYQRKIQGKPVLIPPNQLLRFSHRVPSYTMHGTEGEGVPEGSFELDPAAIPLAPQ >CDO99545 pep chromosome:AUK_PRJEB4211_v1:2:11448997:11456769:-1 gene:GSCOC_T00029172001 transcript:CDO99545 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit 2 [Source:Projected from Arabidopsis thaliana (AT4G21710) UniProtKB/Swiss-Prot;Acc:P38420] MDMEDEYEDNQYNDEEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETVYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVTKRVIKKGHDGEEVTETQDFGKVFIGKVPIMLRSSYCTLFQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAETQNRPPSTMFVRMLSRSSAKGGASGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEYCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRAYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGCWVGIHRDPDMLVRTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQRLLIKKKDIQALQARESPEEGGWHDLVAKGFIEYIDTEEEETTMISMTINDLVTARLNPEEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSVDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRSNTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPIHQDDAQVPSALATRYTKRDHSTSLRHSETGMVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPFTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFERMYNGHTGRPLTATIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLIAIANLKKNSFECRSCKNKTDIVQVHIPYACKLLFQELMSMAIAPRMLTRDIRQIKEQLKRGI >CDP07682 pep chromosome:AUK_PRJEB4211_v1:2:24678081:24678821:-1 gene:GSCOC_T00025016001 transcript:CDP07682 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDSFNHFGHEHLLTPLVLDEGDKLYCKACELQIIEPFHGCLSCNYYLHDKCLNIPRSILHPSHSDHPLTLLPFPTYPTSTFKCNACGSNGNGFSYSCAHCEFDIHIQCASLPRKVKHLEKHHHELKLTYKFVQDKNLIFECDECGKTVDVDQWRYYCAECDFGTHLVCVEINEPWMEPWMDGDPVLDGKSGTAQAVQPSETVDGQGENGVEELRKEMMQHQLAVARLQNQLNLSASVAQLVKYL >CDP05291 pep chromosome:AUK_PRJEB4211_v1:2:3046282:3047772:-1 gene:GSCOC_T00020286001 transcript:CDP05291 gene_biotype:protein_coding transcript_biotype:protein_coding MALETWLVKVKKTISSSFDTVRSATVPKAAAKPALVIKKSSVGVLAFEIAGFMAKLLHLWQSLSDKSITRLRNESISLEGVRKIVSNDEDFLLGLACAEMVENLRLVAKSVSRLSKKCEDSNLRSFDRCLEEFSNTGRDPHHWVLSWKEMEAKIKKMDHFVTITATLHREMDELLVVENSLRKSQLLQSNKERDLGSLTFKEQKITELQQKLLWQRQEVKYLKERSLWGRSFDTATLLLAKSIFTILARIKLVFGIANTSHGHPISLPRSLSASATVYPSEHPNTCNFVSGPLMKNPKSEDDHHQKGGTTNPDIDHGFFEVNSKPMKPPSSTLGAAALSLHYANLIIVIEKMIRSPQLVGIDARDDLYSMLPISIRSSLRARLKGVGFSASDPFLAGEWRDALHKILGWLSPLAHNMIKWQSERSFEQQNLSPKTNVLLLQTLYFANQEKAEAAITELLVGLNYIWRFEREMNAKALLECTNFNGFLNSQNKISSD >CDP00045 pep chromosome:AUK_PRJEB4211_v1:2:6662890:6664812:1 gene:GSCOC_T00029809001 transcript:CDP00045 gene_biotype:protein_coding transcript_biotype:protein_coding MINHRGMGFLKHANPYLAVIFVQVGFAGSAIIAKSALNQGMSHYALSIYRNLIAAVAFAPFAVVLERKVRPRMTFSVLWKILLLGLLEPVIDQNLYYAAMKYTTATFTAAMTNMVPALTFLLAWILRLEQVNLRRIHGLVKIAGTAVTVGGAMIMTLVKGPTIGLPWTKADTGVHSSTVANPQDPIKGALMLAAGCFCWANFYNLQAITLKSYPAGLSLTSMICMAGALQAIVVTLVAERGNSSIWSIHFDTILLCYVYCGLINSGVTYYVTGLILRSKGPVFVAAFNPLSMVIVAIMSSFILSERLNFGRVFGAVIIMLGLYLVIWGKSKDKCLLSKSNNIDRIAPIDQQLPDTNLPTKSSINIDEKSEANIAGDNAA >CDP05263 pep chromosome:AUK_PRJEB4211_v1:2:2814303:2820701:1 gene:GSCOC_T00020244001 transcript:CDP05263 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGDLGSHTTSRKMVRGKIQMRRIENATSRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSQKGKLYEFSSSNMQKTIDKYRGCVKEDQRSDQDIEKYIQELKLEAINMANTIEFLEASQRKLLGQDLGSSSLEELQQIDSQLERSLTNVRARKTQLFKEEIERLKAKEMLLLEENARLSQKCGLGSWHAPAKRKEIGSCSQSTQSSEVETGLFIGLPETRVLEYRRF >CDP14003 pep chromosome:AUK_PRJEB4211_v1:2:5001308:5001821:1 gene:GSCOC_T00039162001 transcript:CDP14003 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPSIQRIQLITNNSILAFFLKFLFIKKCVLIICKYTISITVKLKDTLRCIQRITSSTPGGLFASSCFFAAFLAAASSRLILSFLIGVLSKIWWRGSITIFWRAIAFSMKYMASSSSLVRP >CDP06825 pep chromosome:AUK_PRJEB4211_v1:2:37936197:37937545:1 gene:GSCOC_T00023827001 transcript:CDP06825 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:Projected from Arabidopsis thaliana (AT1G08770) UniProtKB/TrEMBL;Acc:A0A178W4Z3] MHSKSLNGHNHSPFPFIIFKVRNHKSLLSFSFVPKMPLNSPSNYNSLPTSSSASARKSSLPPPRLPPAPPPQTLFTRARAQIATFRPWKELLDLSSFSLPYSYAEIMSRVRRNLNYFRMNYALVMLFILFCSLIYHPFSMIIFLAIFVAWLFLYFFRDDPVMVFGRVVDDRIVMIILSLVTVFALVFTHVGLNVLVALIVGVVIVGLHATFRGVEDLFLDENEAAEGGLLSVVAGEQRPLRQNYY >CDO96868 pep chromosome:AUK_PRJEB4211_v1:2:14151121:14151562:-1 gene:GSCOC_T00014029001 transcript:CDO96868 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFWRRGGETPSAVKWEDRIIEIREGSWSYVSSSIGKAPEKVVGTATPQEPPEEWQASWNISTGNELLIRWASPTSSSGLNFVIRNKEPTDRMVQPISGITDCQNH >CDO96952 pep chromosome:AUK_PRJEB4211_v1:2:15290156:15291029:1 gene:GSCOC_T00014149001 transcript:CDO96952 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKNNYYWSYKPTIKKSRLKLQQNNQQQDHGSHSPGRAPFRVPIVLHPPMPIPRRSTPKEALRAVYAGQKPHEEYQDHRHGKHYPSQVYFSGLYH >CDO97318 pep chromosome:AUK_PRJEB4211_v1:2:18109885:18110550:1 gene:GSCOC_T00014628001 transcript:CDO97318 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSKGRQKIEMTRMSKESNLLVTFSKRRSGLFKKAYELHTLCGAEIAIIVFSPGKKVFSYGHPCLYSIIDRFAHRAASIRELNMQLTEMLNQLDAERKRGEELIKLRTASQGRCWWEAPVNDLGLQELEQLKAAMEELKKNVANQAEKLMVEASNATAFLGSSSSKGGGHVGPSNIDAKVPPPGLGLSMTPHGFALGYGRGFF >CDP07625 pep chromosome:AUK_PRJEB4211_v1:2:24046260:24046412:-1 gene:GSCOC_T00024940001 transcript:CDP07625 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKMEKGRVCVTGGTGFLASWLIKRLLEDSYSVNATIRSSSGSCLLIY >CDO97056 pep chromosome:AUK_PRJEB4211_v1:2:16185795:16190952:1 gene:GSCOC_T00014280001 transcript:CDO97056 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDQTSADSPASIFTSTTPILGLKLYVVIIATSLVTIALFVLIFLFLRSKRRRMCLRQASGLLPLVSKDITRLKNNADPTQISSVHADHGSAKTTDKETKPILSKDVQKYVQIIESEGKKASSDSNDSSTSRSESSSAVTASTSTSTEGANLGWGRWYSLKELEFATDAFADQSVIGEGGYGVVYRGVLPDGSVVAVKNLLNNKGQAQKEFKVEVEAIGKVRHKNLVGLIGYCAEGPRRMLVYEFVDNGNLEQWLHGDVGPVSPLTWEIRIKIAIGTARGLAYLHEGLEPKVVHRDVKSSNILLDRKWNPKVSDFGLAKLLGSEKSYVTTRVMGTFGYVSPDYASTGMLNEGSDVYSFGVLLMEIITGRSPVDYSRPPAEMNLVDWFKGMVANRRGEELLDPLIEIHPPSRALKRVLLVCLRCIDLDANKRPKMGQIVHMLEAEEFPFRADPRLAQETAPLHPFVGASFRGQLVKKDVVDGEQTSRRR >CDP05205 pep chromosome:AUK_PRJEB4211_v1:2:2402921:2411341:1 gene:GSCOC_T00020169001 transcript:CDP05205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORTAGE IN CHIASMATA 1 [Source:Projected from Arabidopsis thaliana (AT5G52290) UniProtKB/Swiss-Prot;Acc:F4KG50] MPNLELRMQDFFEIHQAVYSVDDVSREYFMEQKADLLEDSGSTRGQLGSCVQKFPVFEIDEASLGIFNDIAMKNELIIFKNIESQKWIQEDEIASNDKVHLDFKEFDLLVYLSNHCSVMHHPEIGVGCSNFLEEIDFVIDKEDPDVGHMLLSHHKTSQDISNFSRNLVLFEEFQFTDIDLYNFIEVFYCLAIKRTAEECGLMFSEAMKFKNFDDLIACNDLILVDDAFRSLPVPILLDCQKPVSVVSVVEKVFSALEPESASTFDCLYLDWHFFEEDYWNSSRYSSCWKAFEEIDTYSIDFTLDSIDNRMLQLDCVLSGGIIDEPNLGENKEILQINLHGISLPPISHEEVASQTQLNEDDRKGVTREIQSKKGAAHVNVNVETTTEFNEMDFLLNSHHECGGGRKKCKPHENSIFKDTVLPVVHSGDSLPARGVNQAPLQKWDIKMLQVELSNEILSLIDNFQKSFLGILLSDAELIKDLYPYQDLDDISFLRVRKEEIMDRIKKISAPGIPSLANEKFMSLVALSAIKQMAWYLCYYGLHAAQLYIHNLSTNLQGLKSRFSFLQNLIQNMCEKAEKEITKFHPSLSVVQQVLESSLSENGLKVLIVAEPVYWWPLKRLLTSMKISYNESQNIWTNTCKQDKINDFADPTSMISQADCCLVSYEYFSASFPYDKFGVILEYGGSRESSRVSSISSKLDRGPCLYFLKVKLEESAIPKAICYGVDMPKSRGSTMASLFFDAWEDSNNKMEDLLNFVPLEENHNKVPVEAVVGGQECYLKPLHSVSLAMESKEIPTNAPSFPDIVVVNTKNFDKEMVISRRSTYQKILALEKEGAQVVERDLNLPVDVVLDAANCLAWYDLKNIRKKSSAPDEAFSCLSLYVENIAASILTSLSYAFSSCILVFEGERHFLAGVMESSDKLYAAAASLGIDLQIFCSYSSVMTDEIILSCIEAVIKLTRGRYPKMPDSESLAESFLTAFPSINPLSAHAILTSGSSLIDFLEWSHDCRIHAVQKHKIPHESVALLGLLCKYGEREDSKSGMTESSSSVSYVPGRIQPRSNSETKKRKCTDDHPKFNIYENELCHFESPTLFPDVRLSPPRASAPRNIWMSENSEIIDECGKPGLTFDDNFFCERKKVEANMMRNYAFGMTEGPPKLEESQKPKYLQHNTNFGVEEILHMAARNRSHRLGKEKSGNQQEDLKGEVIDTNLAAMLRKEIPELNSLSFSPHDVNKDWATGMSGTARKLSFGSSGLANFPTSAEIDSDLDVWICQSTNEYKSTRKNKNAPDYCSDIHKFSPMHQKGLLHDSMQQKTAIDSYNQRMQEKESAHCGGTPLWDAIRSGQTPLRESPWTVEFLNRIKEKSRTRQQSLPSGISLPPLGSSRNKSNATKRKSPSILEYYKYQKVGGSKKSIEQRRQKQLVKPSSLPKNEKASHSILPAWTPVDKRAKRTLSFTTIGKGGQSKLTWSDKNSSTLSRKIMQQL >CDP08600 pep chromosome:AUK_PRJEB4211_v1:2:39603572:39604012:-1 gene:GSCOC_T00027600001 transcript:CDP08600 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIVHYTNYDDMKYAIRKLDDSLFRNQYSRAYIRVCNDEPFIFYLGVIRQSFSTIFLSWSVKL >CDP04984 pep chromosome:AUK_PRJEB4211_v1:2:613278:614458:1 gene:GSCOC_T00019873001 transcript:CDP04984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA GLABRA 1 [Source:Projected from Arabidopsis thaliana (AT5G24520) UniProtKB/Swiss-Prot;Acc:Q9XGN1] MDNPSSAQEPHLRSENSVSHDCPYPIYAMAVSSAASHHNRRRRNIAVGSFVEDPGNRVDIFSFDEETTSLKPIPTLSFEHPYPPTKLMFQPPNPRSAAELLASSGDYLRIWEVRDSSIEPLSTLNNSKTSEFCAPLTSFDWNDLEPRRIGTSSIDTTCTIWDIEKGAVETQLIAHDKEVYDIAWGEAGVFASVSADGSVRIFDLRDKEHSTIIYESPQPDTPLLRLAWNKQDLRYMATILMDSNKVVILDIRSPTMPVAELEKHQASVNAIAWAPQSSRHICSAGDDGQALIWELPTVAGPNGIDPMSMYLAGTEVNQLQWSAALPDWIAIAFSNKLQMLRV >CDO96811 pep chromosome:AUK_PRJEB4211_v1:2:13315280:13316059:-1 gene:GSCOC_T00013951001 transcript:CDO96811 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPSTHRGQQKCCFHEWMILQEADLSEMLQALTVEDNFGDRDVLLRQLVQKNVEHFQAYADERTRLAQDHVSPFFAPSWCSSLENSLLWLAGCRPSLFITLIYALSGIEIESHIPEFLQGTRTSELSELSLAAPQLSMINQLQRRTIKQEEELSQQLACLQEKIADQPFALIAKESSHVASQNVQADEALDEHSTSMVRILEEADKLRIKTLKEMMNILSPVQAVDFLVAGKKLHLCIHDWGQKRDIKHDYFQV >CDO97145 pep chromosome:AUK_PRJEB4211_v1:2:16809080:16811364:-1 gene:GSCOC_T00014394001 transcript:CDO97145 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMNISMILSMDNGNIIRLRLRMTRPFFLVKRQSKSLVSGIVFPELSLVSVIWSKDLAFFTKKFTDENGQRQHVWQTSWAISKQFVGGTIMIHGDGTSLMLPPNLAPIQVFKNIFYWFYFSDCWFCFLKGNEVSILKRLLLLLTISYLEVILPSFSTGSTLRIEIGSRDVSTGTVVISRRDIPGKEGKDFGYQWILQFLLLMFKGWMGFIVAC >CDP07782 pep chromosome:AUK_PRJEB4211_v1:2:26354363:26362935:-1 gene:GSCOC_T00025162001 transcript:CDP07782 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGETKGKVLKNLCGQACQICGDTVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAIDGDGEEDGSADDNVSDVRSSAETQNEKQKVAERMLSWHMTYGRGEDVGLPKYDKEVSNNNIPLLTNGTDVSGELSQASPGRLSMASPGHPGGVKRIHQLPYGSDVNQSPNIRVVDPVREFGSPGLGNVAWKERVDGWKMKQEKHVVPMTTSHPPSERGVGDIDASTDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLAILCIFLHYRITNPVSNAYPLWLMSVICEIWFAMSWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFTQKIDYLKDKVHPSFAKDRRAMKREYEEFKIRVNALVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDSEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYVNNSKALREAMCFLMDPNLGKYVCYVQFPQRFDGIDRSDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPHKPKHKKPGLFSSCFGRSKKNSSKSSKKGSDKKKSSKHVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKAEWGSEIGWIYGSVTEDILTGFKMHARGWRSVYCMPQRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYVNTTIYPVTAIPLLIYCTLPAVCLLTGKFIIPQISNFASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIVNLVGVVAGISYAINSGYQTWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVEQCGINC >CDP08853 pep chromosome:AUK_PRJEB4211_v1:2:52446087:52448975:-1 gene:GSCOC_T00027966001 transcript:CDP08853 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSMTTTSSTTSKLVFSGCSTSSSSSSSSSRRFLPLTTATTSSKCRAFHFHRRFNPLRHVHLRPCKNPTTVFSQTINRPSFIAAAMATEASAKVIDGKRVAKEIREEKACEDAGIKSFEACLPKDATEEEVLKHISSSNNDPAVHGILVQLPLPSHINEQNVLNAVCIEKDVDGFHPLNIGRLAMRDREPLFVPCTPKGCIELLHRYGVVIKGKRAVVIGRSNIVGMPAALLLQREDATVSVVHSRTKNPEEFTREADIIISAVGQANMVKGSWIKPGAVIIDVGINPVEDSESPRGYRLVGDVCYDEARQIASAITPVPGGVGPMTIAMLLSNTLISAKRIHNFN >CDP04988 pep chromosome:AUK_PRJEB4211_v1:2:659749:666175:-1 gene:GSCOC_T00019879001 transcript:CDP04988 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVVSSDDGGGAMELQVKETEGAAAQTGKGRTNDNIDRSTSSAAATPASSIVRWESFLPKMVLRVLLVEADDSTRQIIAALLRKCNYRVAAVPDGLKAWEVLKGRPHDIDLILTEVELPSISGFALLTLIMEHEICKNIPVIMMSSQDSVSTVYKCMLRGAADFLVKPVRKNELRNLWQHVWRRQAASSAGQGPPDESVAQQKVEATAENNAVSNYSSDYMACIQKNRECFEKGSDAQSSCTKPEQDTEGAEMECMQGLSHPQWSKQSNLNIEQQEGCDNASKKVQASDNGTEGSQEASGKDRGKMAWGEDLNSGVHWERYHVTYHGRDNVKDSAREAIDLIGAFDNYLKCTDRSIGSNAGTNKFDLLPQLDLSLRRSLPSVSVNQIADERHRLNHSDASAFSRYVNRNVQPQPSTSPSTCNQQKEQKSNSDKQLSNHTIDYNSDTHGPTINSQKHVDWAIGQSGESDARFSCPQPRSLPAPIPVRGVRFDRVNNSYGSLIPQAFGTQSGLSSVQPPGSVSHQEVSFGVNAIYQLNHQPGNQQQFSGLMTQNTSDTTDQNENNEGHKVEDRGHVSSATDQSANSSFCNGTVNHLHSLCCGSNEKIDTVPLRSAAECGSEEAFRVQDGNSIRSIQREAALTKFRLKRKERCFEKKVRYESRKKLAEKRPRVKGQFVRQVLNEFPPENP >CDO99477 pep chromosome:AUK_PRJEB4211_v1:2:12128992:12130015:-1 gene:GSCOC_T00029070001 transcript:CDO99477 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHHIQSSVRKNELKFHKKRLTQDQVSLLEKSFNFNNKLDPDRRSQLAHELGLPPRKVAIWYQNKRARWKNENLEVDHKALQLRLENLLADNERLQSEVERLKQELHKAQEMLLSVNNTPYSSLSSQISSSCDEVGSSSLVHGSRNHLDKDFFACLIGGEGQFGNTNDQEFFRSSIS >CDP08570 pep chromosome:AUK_PRJEB4211_v1:2:40594668:40595919:1 gene:GSCOC_T00027546001 transcript:CDP08570 gene_biotype:protein_coding transcript_biotype:protein_coding MFWAEVKLLQRSGAKVQILVSSLVFTKNEPKVAKWPKNSLVIYFFRNEYGDLSQDCDDHIPWASEALGCLPEAVNLWIGNQLSVTSFHKDHYENLYAVITGEKRFLLLPPTDVHRMYIRDYPAAQYRYSEDTEDFELEIEDPVRYVPWCSVDPYPSFEGINRQMAEFPLYYNGPKPFEVTVKAGQVLYL >CDO97463 pep chromosome:AUK_PRJEB4211_v1:2:19237816:19239286:1 gene:GSCOC_T00014811001 transcript:CDO97463 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQSLNKISVIFSFLFFAMGAATLVLGQGTRVGFYAAACPRAESIVQSTVRTHFQSDPKVAPGLLRMHFHDCFVQGCDGSILIDGAGTEKTAPPNLLLRGYEVIDDAKSQLEQACPGVVSCADILALAARDSVVLAGGPGWPVPTGRRDGRVSLASDATNLPGFRDSVDVQKQKFAAKGLDTQDLVALVGGHTIGTVACQFVQYRMYNFNSSVTVDPSISPSFLSQFQSLCPANGDASKRVALDTGSENRFDNSFFGNLQSGRGILESDQMLWTDPSTRTLVQRFLGIRGLLGLTFSAEFARSMVKMSNIGVKTGTDGEIRRVCSAIN >CDP04999 pep chromosome:AUK_PRJEB4211_v1:2:755717:758327:-1 gene:GSCOC_T00019895001 transcript:CDP04999 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSSSSSSAGIITTASHRTQSFPSNNSVMDHHHRPLLSSANGADDDDEEDDGGGRGSGRSQNDSGPNEVERSPAPTPTPTPKPPNYSCHHSSSTGPSRTSSSSATTTSTSTGIVFPDRVVVVTNNGSATAGLAAAAAATTLQPFDFPCSITNTASKSPGGGGLGMAAASAALGFPFTAVQWKELERQAMIYKYMIASVPVPSDLLLPADPTAAPLLLGGSHGGGGSIYNLRYGNRDLEPGRCKRTDGKKWRCSRDVAPHQKYCERHMHRGRPRSRKPVEQLQSSSVSPDDNPNNNISKKSRLHHTSLHPNPTVAQPDNHSSPQFQGTGTIQQLKDPQLLDASIAFNSIFASSCNYKESNRVFGWAVDGEVMAANRVEQESLHLMDTRRREGLAPIRGYIYGTNASTLQQGYGEEGPLNLFSYATEIAYPGGIHSRNDEHYHAFLNSDLIASKQPQMDQHWNGLSPSSLPLSMAMAAGNALDEGTGLAEDSHPRMPTPKDSPVSWLPLIPGGPLAEVLQPNSVACGSNPASPYASNGDSVSPPATTVSSPTGIFQRALFSHSDGSVCNSPALAASAAPPEVVAFQWLN >CDP08528 pep chromosome:AUK_PRJEB4211_v1:2:41743497:41745061:1 gene:GSCOC_T00027470001 transcript:CDP08528 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSHVLAIPYPAQGHVLPLMELALCLVRQGIRVTFVNSEFDHKRVTKSLSQEDNVPAMMQLVSIPDGLESWEDRNDLRKLTLSVYQVMPANLECLIKSINQSDSDKITCIIADETMGWALEIAKKMRIKAVAFWPASASLLAQTFSIPKLIDDGIISSTGTVLKNQKVKLSPTMPEMKPEHLVWTCFSDLTLQNIAFDTLLKSNTTVKLADWLICNTSCELEAAAFTLFPQILPVGPLLASNRLGKSVGSFWPEDSDCLAWLDKQPMQSVIYVAFGSFTVFDLTQFRELALGLELINRPFLWVVRQDLTTETDNAYPEGFKDRIQGRGLLAGWTPQQQVLSHPSVACFLSHCGWNSTIEGLSNGVPFLCWPYFADQFLNEKYICDAWKIGLGFERDANGIIAREEIKNKIEQLLTVGEYKKRALKLKTKVINSVTKDGSSGKNFNNLFQWIKAEKNYSCSG >CDP17807 pep chromosome:AUK_PRJEB4211_v1:2:51104653:51107834:-1 gene:GSCOC_T00003935001 transcript:CDP17807 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLEPPEAPFPDFPVPITPPPPLTHRHFHLGHPSPLLPPILAAAIALVFLLLFAIIYRKLSNQRTAPVDLKPLQPHRFSYSVLRRATASFSAHNRLGQGGFGSVYKGILPSGQEVAVKLMDASGSLQGEREFHNELSLASKIDTTCCPHLVSILGFSSDDHHSRHHCSVRHQRRRRLVLVYEYMQNGNLQDALLDRKCPELMLWRRRFSVILAVAKGIEYLHSSCDPPIVHGDIKPSNILLDSNFDAKIADFGLAQVLSKDENGAVETFIEDDEKTEKGQGGGGGGIENGEDHGSIIEENESVMTEEVAINVDQSPESRCVRILDGEMGPVSPEAGTVEASPSEGLDKKTSISDGFFDSVSVDSGSRRAIGRRKSESGRDWWWKQDNVNGGSESGRIKDYVMEWLGSEIKKERPKKDWIATPSTAQEGCPKVEQKKQPKKLEWWASLDEEKMRKERKNRKPREWWKEEFCEELTKKKKNRDTKSDNGGEMWWERNEAVVPERKKRKSRGSRTSIDWWLDGFSGEFRIGRRNSQDWASGDIPKSGGISSTPSMRGTVCYIAPEYGGGGQLSEKCDIYSFGVLILVLVSGRRPLQVTASPMSEFERANLISWARQLAHNGRLLDLLDTNIQSLDREQALLCITVALLCLQRTPNKRPTMKEIVGMLSGESEPPHLPFEFSPSPPTNFPFKSRKKAR >CDP05250 pep chromosome:AUK_PRJEB4211_v1:2:2743145:2749019:-1 gene:GSCOC_T00020228001 transcript:CDP05250 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRCRRLPVLLFLSFSSILGVTDASAGDADPIYGACVEQCEKTGCVGGKCFQHCNFSNGNSIDGPWYLQEPLYLRWKRWDCQSDCRYQCMLAREEERKELGYKPVKYHGKWPFRRVYGIQEPVSVALSVLNLAIQFHGWVSFFILVNYKLPFSPSKKTYYEYTGLWHIYAMLAMNSWFWNAVFHSRDVELTEKLDYSSAVALLGYSLLLAILRVFNIRDEAARVMVAAPVIAFLTTHILYLNIYQLDYGLNMKVCVALGVTQLLLWAVWASVSQHPSRWKLWLVVAGGAIGMLLEIFDFPPYWGFVDAHALWHATTIPLTYLWWSFAKDDSEFRTSILVKKIK >CDP09430 pep chromosome:AUK_PRJEB4211_v1:2:22504073:22505197:-1 gene:GSCOC_T00028788001 transcript:CDP09430 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQGMKNWEGLLDPLDNNLRGEIIRYGHFVEAAYRSCEFEPSSPSYSKCRFSKRKLLVQSGFSETGYRITENLHATSGIQLPGWIERAPSWISMRSSWIGYVAVCQDKRVISKLGRRDVVIALRGTVTCLEWLENLRATLTPLSNDNAASEVGANDYSSCPMVESGFLSLYTSRVGTSPSLQDLVRQESGRILETYGDEPLSFTITGHSLGAALATLAAYDIKETFKCEPLVTVISFGGPRVGNQSFRCHLEEQGTKVLRIVNSDDLITKMPGFVIDNNEDNLTKHNNEPAPAGQMVKLLSWIQKIAEDSQWVYADVGCELRLSSRDSPYVNGFDFASCHELKTYLHLVNGFVSSNCPIRATARKLMNKSLVP >CDP05315 pep chromosome:AUK_PRJEB4211_v1:2:3252366:3255079:1 gene:GSCOC_T00020312001 transcript:CDP05315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g25270, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25270) UniProtKB/Swiss-Prot;Acc:Q9SB36] MLEIGIILLQQPSLYKLSACRPLSSSSNEKTSKKQKLRQNYPPRARTKLRFPAPNPTPLLAKNYPRTKLQALEFVINEIESSIEKGIEVNDTHIFASLLETCFDLHAFDHALRIRRLIPDKLLRKNAGISSKLIRLFALNGQLDEAHHLFDQMPKRHESAFPWNSLIAGYAEKGLHEDALALYFQMVEEGLEPDRHTFPRVLKACGGIGLIQVGEEVHRHVIRYGFGNDGFALNALVDMYAKCGDIVKARKVFDRIVDKDLVSWNSMLSGYARHELITEALDIFVSMVREGLEPDSVTLSSLISSVSSSRLGTQIHGWVLRHGIEWDLSTANSLIAFYSNCNKLEEARWLFEHMPEKDLISWNSIIFAHSRNAEALFYFEQMVEANASPDAITFVSLLSACARMGLVEDGQRLFRMMRSDYGVRPIMEHYACMVNLYGRAGLIDEAYDFIVNRMDTEAGPTVWGALLYGCYLHGSVDLAEIAATALFELEPDNEHNFELLMRIYVSAGRFDDVKKVKAMMLERGLNL >CDP15230 pep chromosome:AUK_PRJEB4211_v1:2:49062794:49063647:1 gene:GSCOC_T00042865001 transcript:CDP15230 gene_biotype:protein_coding transcript_biotype:protein_coding MAADMVSKTATKAAKRLAKAGSLPNRAYVTFLAGDGDYWKGAVGLVKGLRKAKSANPLVVALLPDVPQDHRNKLINQGRIAREIEPAASPPEGQDKFFAWAYYAINHSKLRMWEVKLTWIHHTLNFLVGILMLFLLFVEHSEMVYLDADVQVFNNRDNFRNLL >CDO97600 pep chromosome:AUK_PRJEB4211_v1:2:20269827:20277727:-1 gene:GSCOC_T00014983001 transcript:CDO97600 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFSSSFESVPSYGPLLKAAFSIPISHYFFGAFFIFLLCFCHFLEIHFFRDLLTGFAGQPVSLTFHSSSPVYHFVASKCKILHSRYLSTPWLCSPHLQTAFLTFFGRPPAYNYTRELFKLADGGTIALDWLMHTDVMKPGVQENGAIIPDGKIPIMIVIPGLTSDSDAAYVRHLTFKMAKCGWNVVVSNHRGLGGVSLTSDCFYNAGWTQDIRKVIEHIHCQHPEAPLFTVGTSIGANILVKYLGEEGLKTPIIGAAAICSPWDLLICDRFISRRLVQRFYDKALTFGLKGFAQLHQSVLSRLADWEGITKSRSVRDFDNYATRLVGKYETVDTYYRRCSSAGYVGNVMVPLLCISAIDDPVCTREAIPWDECRANKNIILATTQHGGHLAYFEGITAKSVWWVRAVDEFFSILNSNPLIHTSKEMQVASPSPAEISIDQAPYVNVMTRLRMQFLL >CDO97159 pep chromosome:AUK_PRJEB4211_v1:2:16904132:16905639:1 gene:GSCOC_T00014413001 transcript:CDO97159 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSPEILHDFSPMIRVYKDGRVERLLGKDIVAASVDPETGTESKDVQISPELNISARLYLPKTPRQDKKLPLLVYFHGGGFVVESAFSPPYHTHLNAVVAEAGVVAVSINYRLAPEHPLPTAYEDSWIAVKWVASHSNGQGPEVWLRDYVDFDSVFFGGDSAGGNLAHNMALRVGLEKLDGFNLDGIFLNCPYFWGKEAIGVELKNLEMKAYVEVLWHYIHPKSAGADDPLVNPVMEPNLSRLGCKRVLVYVAEKDILKERGWIYKEALEKSEWGGDVEVVEVAGENHVFNLFFPKGENALSLLKKLAAFINNKNDV >CDP13921 pep chromosome:AUK_PRJEB4211_v1:2:4366321:4371827:1 gene:GSCOC_T00039063001 transcript:CDP13921 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPYDCLANPLGAVRLTFEKAVASGIDPATFDGKDWGATDLFRDFLYENDGLSQVPTLNDSTIRSLQPNTLVKFRGMIQDMLGNEFYVGAYKDGSVWRTNKFADHSQFPIGSSSDMRVWERRLLYCVPVPGQNSWTESFCQAAVAPFANSTSPAREKRQRENDVDMQDLDHEFQDSPSAKRKREESVPSQSSNLQANKIGETSSNTGLVPDFDGNSFPCLLKMYDSPESDLKLNDVFEFIGVLTFDTELKTDADEVTELNNSLYEEELTHLPPSKVPRIHCLVHRKLAVHDFLSSPTMLEPKPFLVKGIRETLLGHLTAVLGNDGLAAQFMLLHLLSRVHARVDTVAVGKLSLNLTCLNKESISIFGNRLNLAIRNLVPFAHSLPLTIDYLNSVSLAPRKDYQINRLVSGALQLAEGTHLTIDETRLQTGTLNSTGVDNARVLKSLTELQKVEYDFTYYKMDMAADIQMLILSEGKSNILPADLVLPLRPSSVDCYGDVDPELLKAWRWYLATMRSLPHSIEQEMQKVVEDDLVAARQADRSLGSQELSRLLTMGRLVSVSFGDTCLSLDHWQMVKELERLRRERLQGSV >CDP19864 pep chromosome:AUK_PRJEB4211_v1:2:31240489:31248035:1 gene:GSCOC_T00007810001 transcript:CDP19864 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLTTLTKKQEVDSMIRDTIDKVLVLCFGRASDSIFLQLDEILYKSVREVSKFATVALVDIDSDDVQVYVKYFDITLIPSTVFFFNAHHMKMDCGSADHTKWVGTFLRKQDFVDVVEAIYRGAMKGKLIVTCPLPPDRIPKFQLLYKDV >CDP08608 pep chromosome:AUK_PRJEB4211_v1:2:39319245:39324817:-1 gene:GSCOC_T00027616001 transcript:CDP08608 gene_biotype:protein_coding transcript_biotype:protein_coding MENNPQVYTPQTQQNNIVPVIYFTAENLNPGTGSWFSTCKAVSEALEEFSCFVAVYDKVEPEFISDAFASFKELFNLPMETKLLNTIPDRPAFGYIRPRPETPVHETVGIEDSTTIGAVQSFANVIWPSGNDHFCETIHSYTKQVSEVNRLVSRMIFESYGVGKYSDSHIESTSYLLRANAYRVPHEKEPNLGIIPHTDTSFVSVVKQDSVQGLQVQLKDGTWIPVHLPQSSFAVMAGDAMLAWSNGRVHPCFHRVIMKEKARFSIALFSFHKGVVQVPKELADDNYPLRFKSFDHFGLLNFRLKNPALSCQERVKAYCGIKDAWESINSCVGSQTQPN >CDP13911 pep chromosome:AUK_PRJEB4211_v1:2:4289489:4291649:1 gene:GSCOC_T00039050001 transcript:CDP13911 gene_biotype:protein_coding transcript_biotype:protein_coding MCIPTAFGDYGGWQSAHATFYGGGDASGTMGGACGYGNLYSQGYGTDTAALSTALFNNGLACGSCYEIRCDSDPQACLPGTITVTATNFCPPNPALPNDNGGWCNPPRQHFDLAEPAFLQIAQYRAGIVPVSFQRVPCSKKGGVRYTINGHSYFNLVLVTNVAGAGDVHTVAIKGSRTGWQSMSRNWGQNWQSNSYLNGQTLSFQITTSDGRTLTSYNVAPANWQFGQTFEGGQF >CDP08538 pep chromosome:AUK_PRJEB4211_v1:2:41451058:41460621:1 gene:GSCOC_T00027484001 transcript:CDP08538 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLDESDVGIFCYISQLPGFRGILKQRYSDFIVNEVDLEGNVVHLTSLEAPAELVEEKEVLVADQPNKNYDAEIGSFRALAGDVNADMLKALLDQTVSGGGVEIEPIVLSPSSDKSHRTAVHNFFKEKLKFLVTDTIDGPDATSKCIRVRLNGGKSHGRHSFKKRKDRDDKPYDGRGSDNWPEHLGKYLRFHLYKENKDTQEALGLIGKMLGIQPRSFGFAGTKDKRSISTQRVTVFKQRANRLAALNERLIGIKVGDFCHVNDGLLLGQLHGNRFTVTLRGVVAESEAVIEASANALGKLGFINYFGLQACLYLLLFGSGSVPTHLIGATLLRGEWKNAVDMILDPREGDILSVGKVREYYKDTGDIEGTLRQLPRHLVAERAILQCLKRSPGNYLQALKSIPRTLRMMYIHSYQSYLWNHAASMRVQKYGIDHVVLGDLVYCKEHCREAERGANYSEFEDGSSNDTSNHIDEISEADLPEEKDVTVKASI >CDP04933 pep chromosome:AUK_PRJEB4211_v1:2:231433:233586:1 gene:GSCOC_T00019803001 transcript:CDP04933 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISHGTGKQSTSQRGNGFGDVVLNPSLQKRIQQLASATANTKSHQAPFRNMLFYGPPGTGKTMAARELAQRSGLDYALMTGGDVAPLGSQAVTKIHQLFDWSKKSKKGLLLFIDEADAFLCERNKIYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDTAVADRIDEVLEFPLPGEDERFKLLKLYLDKYIAQAGERKSGLFSNFFRKQQQKIEIKGLTDDILREAAAKTEGFSGREIAKLMASVQAAVYGSENCVLDPNLFREVVDYKVAEHQQRRKLATDEGGNA >CDO99819 pep chromosome:AUK_PRJEB4211_v1:2:8632526:8638738:1 gene:GSCOC_T00029514001 transcript:CDO99819 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding to TOMV RNA 1L (long form) [Source:Projected from Arabidopsis thaliana (AT5G04430) TAIR;Acc:AT5G04430] MEGADSGFAASADGSQNQSPSPRKSPPSPTDHEEKTTHIKFLLSNAEAGSVIGKGGSTINDFQTQSGARIQLSRNFEFFPGTSDRIVMVSGLIDDVLKAVDLILSKLLDEFYVEEGGEDPRFKVRLVVPNGCCGGIIGKGGSIIKSLIEDSHAGIKISPLDNSYPGLYDRLVTVNGTLREQMRAIELILLKLAEDLHYVQSVSAPFPYPVVGYNGMNYASNGVGGKFQNARSQNKQEERSNSVTIGVADEHIGLVVGRGGRNIFEISQLSGARIKISERGDFMSGTSDRKVTITGTQRAIRAAEAMISRKVSPVSER >CDP05254 pep chromosome:AUK_PRJEB4211_v1:2:2767478:2770349:1 gene:GSCOC_T00020233001 transcript:CDP05254 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVDCLIFTSGGLPGCGLVSCTSNFGGRRRFISRISPGLFRIRALQDNEGPRRLVDIIRVLPEVSRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >CDO97071 pep chromosome:AUK_PRJEB4211_v1:2:16281285:16287219:-1 gene:GSCOC_T00014300001 transcript:CDO97071 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHQIQSVILNYGNETQELLKWASRSGNLGLRVLWLILHFAVTIWYFLLGVVQSFESFLIASDILTKYEALDISKVRYLAMVIDSEEAQELSKVLELLQWVADIGVKSVCLYDPEGVLKNNKEPIMQRFSSANLSEEAAVNGRLVTRRNLTLEFVSFEDGKEAVAKAANYLFVKHYANGTKEKSDFTEPQMAEALGAIGSGGAEPDLLLIYGPTRCHLGFPAWRLRYTEIVHMGPLKSMSYGSLVKAIFKYTMVHQNYGS >CDP09388 pep chromosome:AUK_PRJEB4211_v1:2:22921608:22926208:-1 gene:GSCOC_T00028734001 transcript:CDP09388 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHFTTRLLSRSIKFRPFSTNVAAASAADDAFVKAWRSTIPNLEPPKTPLSFMQPRPPAPSTLPSKLTVNLVLPYSSEFSNKEVDMVIIPATNGQMGILPGHVSTIAELKPGLLSVHEENGVKKYFVSGGFAFIHANSFADIIAVEAVPIDQLDSASAQKGLAEFTLKLSSASTDLERAEAQIGVDVYSAINSALSG >CDP13968 pep chromosome:AUK_PRJEB4211_v1:2:4687118:4688721:1 gene:GSCOC_T00039121001 transcript:CDP13968 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSLQIHRITPPTTTNSAASPAAAAINFQKHGQPTWVIPVPISVPENVLHCHTHAVGPNQCCSAAVQSIMAPVDTVWSVVRRFDNPQAYKHFLKSCHVIVGDGNVGTLREVHVVSGLPAETSTERLEILDDERHVMSFRVVGGEHRLHNYRSITTLHATADHSGTVVVESYVVDIPPGNTKEETCVFVDTIVRCNLQSLAQMAENLAKNSNNHGSPEQKSGRLIVGF >CDP00113 pep chromosome:AUK_PRJEB4211_v1:2:6128622:6130841:-1 gene:GSCOC_T00029910001 transcript:CDP00113 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQYKLITPGSSVLDLGCAPGAWLQVACQSLGPLQKGGAVVGIDLKKVKVPSMHCDARVQTVCDDVMNLPKDQIRALSPKQKGFSVILSDMCPLVSGIRARDAALSAELGMRTLELAVGEARLVQADDSVEQKEQSDVSGSDPEHRGILLPGGHLVVKLLESEDVKDFSHICKPLFKKAAWLRPKATRSCSREIYLICQGLLSLKK >CDO97515 pep chromosome:AUK_PRJEB4211_v1:2:19639090:19641457:-1 gene:GSCOC_T00014885001 transcript:CDO97515 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEETGMEIDLSLKLDAQHEERTTEDQDDHHRQEVGKFPAEGKRETEVEEEAVDQEGHTTVDNSVCDETMKTEEISVLQLEMDRMKEENKALRKAVEQTMKDYYDLQMKFSVVQQNIQTKDPRTFLSLTGNNNSPSHEAQNKGSPRFLEMNHQTPPSTAQEDDAKQRHELGLSLTLQSSSTSQEKEDEYMGNIEKKEDTPKALITPMQNKLQRSSSLGGGISNHLSSPPNRKARVSVRARCEAATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTASAAASFMLLDSSNPLSSDGIMSNFNRSAPFPYQSPQFINPSLSYASNLINIHPNDPSKGIVLDLTHNVNADARQFPIASSSSQQPSHSWMPKPLPGNYIGNNATNIVSDLFPRQLVEGGIGPKGEGNKLLAENVSAIASDPKFRVAVAAAISSLINKETQTTTTSHPPMAPSLIPTRDGEGGGTSSNSKNWILESLSTGVLFKHRLEDHTTTVVVENVILTNLRLSFFFFSFWLFPYFAL >CDP05133 pep chromosome:AUK_PRJEB4211_v1:2:1860609:1865301:1 gene:GSCOC_T00020077001 transcript:CDP05133 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLEPPAAAVLLSRRSFDPIIPRCLPHSATFFISRNKYSKLRDHSCRGNSSSCASRRNGIRASAKEQPNCSGSGSGSGPVKQNAKPLRYHPFEEIAESELNANGEARLTPAETTRTLIEVNSKATLMFSSLVNEQVHENIFWPDLPYVTDEHGNIYFQVKHDEDILQTLSSDDNLVQVIIGLDTAEMLSEIELLGQSEIDFGIDEFDDEDSDVDDEDGEDEGEDENGYEKDWVAIIDNDEDQDEDSDGSLGDWAKLETMRSSHPMYFAKKLSEAASDDPIDFMDQPPAGLAIQGLLRPAFVEEHAVIQKHIADNQIIEDNTDQITKMEGHKENEIIQINGHKHEHGSAQEGINRVEELESDETPGNGTSFYKLEMVKIQLISAHGNQNFVEIEDFRRARPDAIAHSAAKIISRLKAGGEKTTQAVKSLCWRCKGIQVEEVALIGVDSLGFDLRVCSGTQVQTLRFAFNKRASSEYSAERQLNHLLFPRANHKLQQKKEAHQTEL >CDP14070 pep chromosome:AUK_PRJEB4211_v1:2:5542265:5544648:1 gene:GSCOC_T00039257001 transcript:CDP14070 gene_biotype:protein_coding transcript_biotype:protein_coding MFELRLVQGSLLKKVLESIKDLVNDANFDCSSTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLTMGMNLANMAKMLKCAGNDDIITIKADDGTDTVTFMFESPSQDKISDFEMKLMDIDSEHLGIPEAEYEAIIRMPSAEFARICRDLSSIGDTVVISVTKEGVRFSARGDIGAANIVCRQNATVDKPEDATIIEMQEPVTLTFALRYMNSFTKATPLAEQVTISMSSDLPVVVEYKVAGMGYIRYYLAPKIEEEEEEVAAHAQTKPRAETKLKVETKPKVEPELMEVAEDEKESKPPAESKPKEEPQLLECHKLDEESKPLVDGKPKVENKLGASTNGEIEIMDIEQ >CDP09495 pep chromosome:AUK_PRJEB4211_v1:2:21518124:21533426:1 gene:GSCOC_T00028880001 transcript:CDP09495 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAKSSATCLLYTLCSGIQTTLLTPKCPPKTPIATLLAGNFHLRYFSAGSAAARLREEKEAMWKESMEKVRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIQSAATYCTWKDYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYDVPRLAFINKLDRMGADPWKVLDQARAKLRHHSAAVQVPIGLEDDFQGLVDLVNLKAYYFHSSNGEKVVTEDIPSNIEALAMEKRRELIEVVSEVDETLAEAFLNDDPISSTDLEEAIRRATVARKFVPVFMGSAFKNKGVQPLLDGVLNYLPCPTEVSNYALDQTKDEEKVMLSGNPAGHLVALAFKLEEGRFGQLTYLRIYEGILRKGDFMVNINTGKKIKIPRLVRMHANEMEDIQEAHAGQIVAVFGIDCASGDTFTDGSVRYTMTSMNVPEPVMSLAVSPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETVTQRAEFDYLHKKQSGGQGQYGRVIGYVEPLPAGSPAKFEFENMIVGQAIPSGFIPAIEKGFKEAANSGSLIGHPVENIRVVLTDGAAHAVDSSELAFKLAAIYAFRQCYSAARPVILEPIMLVELKVPTEFQGTVTGDINKRKGMIVGNDQENDDSVITAHVPLNNMFGYSTALRSMTQGKGEFTMEYIEHLPVAQDVQTQLINTHKAAKGTS >CDO96740 pep chromosome:AUK_PRJEB4211_v1:2:12558906:12559537:1 gene:GSCOC_T00013854001 transcript:CDO96740 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFYIELACYNCFQSRSLSFGLASNCKNQDEYTCPFIGGCIIYAFVGFFSIVKSLDEIN >CDO96999 pep chromosome:AUK_PRJEB4211_v1:2:15666169:15667700:-1 gene:GSCOC_T00014206001 transcript:CDO96999 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRILLRPTPLPCFPLLQKSVTLEKTTSLSTTSISSFPTLSPRFLPFSRSWFCARFCSEALLEVPKDVESSSPYDDEIESEDGDIGAETSQVALDSASGLDKVLEAGTDKELERNTSAKLPNLSVKEKKELASYAQSLGKKLKSQQVGKSGVSHTVVMALIETLEANELLKLKIHNTCPGELDEVVKQIEEGTGSVVVGRIGRTAILYRPSLTKLKVEEKKKRSRSRLVVKKQPTLRQIQNKSERPRQSTRGRRGSSRASG >CDP07653 pep chromosome:AUK_PRJEB4211_v1:2:24377894:24381347:-1 gene:GSCOC_T00024976001 transcript:CDP07653 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAIGIPLTFLLILAFFITLSPQISAVSYPINVWPKPTAFSWPQPQITLLSPNFKIHFPLNPYLHQAANRYRKQFIEEHYNPLVVPHLNLTSSPPLKSLTITVTDDSAPLTHGVNESYTLTIPSPEQSTTATLTAETVWGAMRGLETLSQLIFGNPSRVACDLYIHDEPLFHHRGVMLDTSRNFYGVSDLQRLIRALSMNKLNVFHWHITDSQSFPLVLPSEPELAGKGAYGEEMKYSPEDVKRVVEFGMRYGVRVVPEIDMPAHTGSWALAYPDIVTCANMMWWPAGVDWTDHLAAEPGTGQLNPLSPNAYKVAKNVIHDVVSMFPDSYYHGGADEIAPNCWITDPEIQAFVAKNGTLSRLLEIFVDSTLRYIISLNRTVVYWEDVLLDASVNVSPSLLPPENVIFQTWNNGPSNTKKLTEAGYRVIVSSADYYYLDCGHGGWVGNDTRYDQPPGTDIGDGGSWCAPFKTWQTIYNYDITYGLNESAANLVLGGEVALWSEQADSTVLDSRIWPRASAMAEALWSGNRDATGRKRYAEATDRLNEWRNRMVTRGIAAEPIQPLWCIRNPGMCNTVHPFLAS >CDO97675 pep chromosome:AUK_PRJEB4211_v1:2:20920501:20924722:-1 gene:GSCOC_T00015082001 transcript:CDO97675 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPHPIPRTVEEVFNDFKGRRGGLIKALTTDVEKFYEKCDPEKENLCLYGMPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLSVAFYFGARFGFGKSERKRLFQMINDLPTVFEVVTGSVKQAKEATPYNNSSKSKPSAKMPRQSESQPRGAKLSSKEEEESGEEEQEEEEEQGATLCGACGDNYANDEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPGCSSKRVRV >CDP04918 pep chromosome:AUK_PRJEB4211_v1:2:136603:148446:1 gene:GSCOC_T00019787001 transcript:CDP04918 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIGLLHFVNLFITPILRGNCRMRGPVDDSDHANMVHSQVDEKYSSYPKNINSDHIAESGTCNVCFAPCSACLHITQHEMGSKVDECSGEASAENALSFSVNDAFPNENFVECEIVRKSDGSKNVYCEATMNSDVSATSETTTVFPKVEDSKGQEDHDHVQSCITAAEDTKLSNSSDRNEDGLVETPSKTAGGVTHLMKARGNCSHPTMDKSRLHEPSPDGLKDNLLACPGGHLTSLSTKAVSCGPTDSISAVNTVDFVEKKENIDKIDKVMRSRSVHDAVENSFESHPMDESDDSDMVEHDVKVCDICGDAGREDLLAICCRCSDGAEHTYCMKEMVDKVPEGDWLCEECRLEAEMKNPRQEKVYVVGNKIANDPPSVKILGKRQSDDAEVFCAVKKRVLESDIGSAKASTSSGTAAISRDSSFKLLDRGKAKPTQHSSSVTERAHDTATSPSGSRLHTSQGLFIKSNSFNSLTMKPKIKLVDDFVPQKQRSVKSSVSLDKKEGAARSISKSSSFRLTNSGRPNIGESKVKMISPKFSRIQETKGSKHTKDRNLFEKKNSFTSQKVSGSLPMANPGALAVKNDQKPVSSAEPTSLSSARNFHDTKAIQSESRSMILSKSSSFAVRTGSEPPVSLGELKRQASTNSFGVSSLNGNSGHDVKPNQGSPEEYSSNSLSGERQPCNLNEGLPDSLCRASRPRELINFGERTKDGSWTQSRQNSMISGRTLSCRKCKEIGHLSQSCTADHPGSPASDTTAAKNPREMVECKDKLKAAIEAAVLRKPGIYRKHRVSDHSDDASLLKMNSEIATQDQVLDSSNQGNLCSSGEMQEGYIILGNSTTDSSKQETVDDIVQQFPNLSVEASTSRTAGVFPIVPLERSSLARDVPNGVSPATSTLLKTLAIPDHEYIWQGVFEVNRIGEPLNVFDGIQAHLSTCASPRVLEAVNKFANKVVLNEVPRLTMWPVQFQDNGVKEDNVALFFFAKDLASYEKSYKVLLDNMMKNDLALKGSFDGFELMIFPSNQLPEKSQRWNALFFLWGVFRGKRTDCSHKPTFVSQGSSKSLASEVAVMELPCLSSSQALKQGSDGKASSEVTNNDPVVSAERKDNKLDSNFFSVVQSSNAKSCGEAAQTSTLSDEMKHTCTSLEEGVESDQMIEQELQTSAESTRRVSGFCRSQETPLDQNSHLNQLHASHYFGESLACAGGIGSVGNIGTGDISENLSSTRHQPRPEDGSLNRVSSSGIQNEIVKDSYGNCLLVDSGQRMPQAAYASRTKNRRLM >CDP04953 pep chromosome:AUK_PRJEB4211_v1:2:368676:370693:1 gene:GSCOC_T00019829001 transcript:CDP04953 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPSGHFRKHWQNYVKTWFNQPARKTRRRNARQKKAAKIFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFTLEELKAAGIPKKLGPTIGVSVDHRRKNRSLEGFQANVQRLKTYKAKLVVFPRRARKFKAGDSAPEELATATQVQGAYLPIVCEKPCVELVKVTEEMKSFKAYDKLRLERTNERHIGARLKKAAEAEKEEKK >CDO99661 pep chromosome:AUK_PRJEB4211_v1:2:10132485:10135138:1 gene:GSCOC_T00029322001 transcript:CDO99661 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQGFEVKVTLTEVVAAVLPMQEHWLPLSNLDLLLPPVDVGKALAQTLVSYYAFAGEMVQNLSGEPELLCNNRGVDFVEAFADIELRELDLYNPDESVEGKLVPQKEQGVLSVQATQLKCGGLVIGCTFDHRVADAYSANMFLVSWAEMAQSKPVTTLPSHRRSLLSSRRPGHFDISINDMYMKIPQMPPPDKELNDRINNNQAPISRIYYVKAEQVHQLQSLANDDKRGSKKFVRTKLEAFTAFLWKLIAKGTGAGDKYCRLGIVVDGRTRLNGGDESMMNKYFGNVLSIPFGEKRNKELKEMNLSMIADEVHNFLDGAVTREHFLGLIDYVEAHRPEPAFSRIYGSKVTREEPAFVVSSGQQFPIRKIDFGWGVPIFGSYHFPWGAQSGFVMPMPCASGNGDWIVYMHLRQEQIEVIELNAPHVFRPFCHDYL >CDP05131 pep chromosome:AUK_PRJEB4211_v1:2:1844886:1852356:-1 gene:GSCOC_T00020075001 transcript:CDP05131 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLSGTGLMAVSTHFDVPFLSKRTPQWLKKMFAAVTKTERNGPVFRFFMDLGDAVSYVKRLNIPSGVVGACRLDIAYEHFKERPHLFQFVPNEKQVKEANKLLKMMPQNGGKKKVEGVPVFSAQNLDIAIATTDGIKWYTPYFFDKSTLDNILEESVDQHFHSLIQTRNMQRRREIVDDNLAAEVVEEMGDSMWEPPEVQEVIDEMGNPGIPLSVISKAAEIQLLHAVDKVFLGNRWLRKATGIQPKFPYIVDSFEKRSTASFLRASKYSNLIEDCNLGNSSMSLQCLDKSEDHMKDNISAEQEKKLDSQLPFHDWLALPWLKERQKLPTLEDKKEKIATESRRQQLQSSPLLPKITMVGISAGEAGQMNKATLKKTMEDLTKELERMDPSNQAGTVSNELKIEERDPLFVANIGDYVKAGSARLIRREAN >CDP05220 pep chromosome:AUK_PRJEB4211_v1:2:2512723:2518082:1 gene:GSCOC_T00020186001 transcript:CDP05220 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEKSSDDWLPAGWKVEVRVRKTGKKDKCYVDPSNERKFYSKPEVLRYLKDVGVSQPVHEETQNKSISSRLAIKFVVKEEAAEGLPAGWIKETRVTQKGNKIRRDPYYIDPESGHLFRSMKEVFRYLDTGKMGGLASMGNEQSSINLESRDNSLPSSVEIEEKRTADSKAEKQFIGNEIQKSETAAIDNDNLPEANKLKEREKKESSSESTTVTEVLVENSHTGIGLQATNRKKRKLNDKKGADLPRRTSKRLAGVRVNLSLESNSHTRVRRAAGRQMTETEVNCADKGDNIADVQEQVERVTIGTEAADSKQDSVDALPPRDVTFPEELVKLGVADCKGDEKPEAPLGICLKDLCQDPCIEFAIKTLMGAIPIGDETKVSMNQGSSSNLPDAVPVPGSSVDSPSKDIWSDPCFEFAVKTLTGEIDTNMETIQRQPPHNSSETIESGLTNNSMSAGVSHASVSSRHSSVAEFPVHKQRL >CDP07779 pep chromosome:AUK_PRJEB4211_v1:2:26346086:26346385:-1 gene:GSCOC_T00025159001 transcript:CDP07779 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAILTSRYQEKDFGTSLPSKLLLGLTSLFVSIASMLISLCSGHFFVLKDKLKFAAFPVYAVTCLPVTLFVIAQFPLYFDLIWATIQKVPQRSYKADL >CDO99837 pep chromosome:AUK_PRJEB4211_v1:2:8476615:8479334:-1 gene:GSCOC_T00029533001 transcript:CDO99837 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQVTERGLVMIDLASALLCCLSLSALLSSAETLGSSLTILKEVNLRGPYLGLITVYPPEEDAFFDTGAFKPHQKHPYVDLSGRRFRVGKMGKKKVIYVRCGVGMVNAAAATQQMLDMFDVIGVVHFGIAGSINNSMSIGDVTIPKQFAQAGLWDWLKPNATIPVGDFTELDFGAYSEPSGGYNELGSIGYNTEQFFSKSGKPNTAQRKLWFHVSKNWLELAHTHLEGMVLEQCVNSSSCLTQRPKLVVGLSGATADIFLDNAAYGEFLYKTFHVSSVDMESVAVTSLSNGFPVIVIRGLSDQAGAQEGQNSIYSFGPLAASNAAKAVVQFVKAL >CDP18034 pep chromosome:AUK_PRJEB4211_v1:2:46973439:46975828:1 gene:GSCOC_T00008641001 transcript:CDP18034 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRGQRRTMDGADLELSLPAGFRFHPTDEELVMHYLCRKCAAQPISAPIIAEIDLYKFDPWELPEMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPKALGIKKALVFYAGKAPKGIKTNWIMHEYRLANVDRSAGKRNNLRLDDWVLCRIYNKKGTLEKYNNVDQKALLSSQEEMEEQKPKNLISFDQNGIVTTEAKKMQQLQQNTIMAQQMMNDYLHFETSESAPRLHTDSSGSEQILSPEVLSPEFAHEREVQSAPKWSDLERALDFQFTMDGFQDDPFVSNQMQYNDQVYPFQDMFLYMQKPF >CDP17168 pep chromosome:AUK_PRJEB4211_v1:2:50503617:50505335:-1 gene:GSCOC_T00006336001 transcript:CDP17168 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDNLFVPVRYDNLYGQLFAVNENMLDSNLFEGEEQLPMEDFMLTDEVGLVSIPSTIKHLSLFCENFDLHIVEVLKSFTGMQTLMLHSLLGNLEYLHYLDVSETSIKYLPETVDSLYLLQKLKLKGCLQLCRLPKNTRHLVRLRHLDLDIIGQLKSMPVGLGSLTSLQTLSGFLVGKKDGCYIGELKNLVNLRGSLCISRLENISSPDEAEQANLSNKKHITKLQLQWSTCHSDRVQVKEQILECLQPHFGQKELEIFVFNGSKLPSWISDPSFAQIVKITLFKCRNCSLLPSLGMLPSLQFLEIYEINGVRVIDQVFHRKNGVQNLYAFPKLEKLELDTFLNLEVWDGMENGDFPNLLERRFKQCPKLISLPSLSHLHSLKHMEIIACSELQSLPDDGIPASVETIIVKDCPRIIEQLRVSEWPGLV >CDO99685 pep chromosome:AUK_PRJEB4211_v1:2:9848946:9850142:-1 gene:GSCOC_T00029356001 transcript:CDO99685 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRKNHLTPYLTSELLLHLFLLLPLASGSIHELLVSQGLPAGLFPKDVVKSYDLDEDGLLQVYLDKPCVAKFETRVFFDSVVRANLTYGGLTGVEGLSQEELFLWLPVKDIIVYDPSSGLILFDIGLAHRQMSLSLFEEPPVCNPQNEVEEKIDGEKGLIQVQR >CDO99783 pep chromosome:AUK_PRJEB4211_v1:2:8914042:8919286:-1 gene:GSCOC_T00029473001 transcript:CDO99783 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMAAVAAAASTVFATLHLTKPAIPLTRTTHRHLRTIIASSLSSAPSTTPEFNITFAPPKPKLKPKPASESATETPGHDSASELDDQLYIPWIVRDENGNLTLQSTPPARLLHAMGNAETKKKKKKKEKDSKAKPASPTAEPKFSKAARRFYNENFRDPPQRLSKVLAAAGVASRRNSEELIFGGKVTVNGSVCNTPQTRVDPVRDVIYVNGNRLPKKLPPKVYFALNKPKGYICSAGEKETKSVLSLFNDFMNSWDKRNPGLPKPRLFTVGRLDVATTGLLIVTNDGDFAQKLSHPSSKLSKEYIATIDGSVNKRHLITISEGTVVEGVQCAPDIVELLPPQPDLSRPRIRIVVHEGRNHEVRELVKNAGLEIHALKRIRIGGFRLPSDLGIGKHVELKQANLRALGWKS >CDP18043 pep chromosome:AUK_PRJEB4211_v1:2:47273730:47276096:-1 gene:GSCOC_T00008657001 transcript:CDP18043 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPFLSDQCDIMDFFDEDICAALGNDFQAPSCISHLIPRSSSTTALCNASSSAVEVPQPAANLCTSSAIEIPPAEVERPARQLKRNRSTPVILAFGNPSPTETVNPRQVSLGGLNPEDDAVSEVLTSRVSFPNLEEATKSAPAPKKGRTRPASQTYDHIIAERKRREQLSQRFVALSAIVPGLKKMDKTSVLGDAITYLKHLKERVKTLEEQATKQKMQSVVLVKKSQLVVEDEGSSDEQRPLPEIEAKLCDKKVLLRVHCENHRGVLVKVLSEIEKLNLAVSNASVAPFGSLALDITIIAEMDKEFSLTMKELVQGLRSALQRAARDS >CDO99540 pep chromosome:AUK_PRJEB4211_v1:2:11478877:11486456:1 gene:GSCOC_T00029167001 transcript:CDO99540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 11 [Source:Projected from Arabidopsis thaliana (AT4G04970) UniProtKB/Swiss-Prot;Acc:Q9S9U0] MNLRQRPQATPGRGTLDAPPRPPLQQQEPYNIIPIHNLWTGHPSLRYPEVRAAVAALRATEDLKVPSFMPWNDSMDIVDWLGFFFGFQGDNVKNQREHLVLHLANSQMRLQPPPASVDRLDFDVLRRFSQKLLKNYTSWCSYLRKRSQVRLPKRRNSALLRRELLYVSLYLLIWGEAANLRFTPECLCYIYHNMAGELNHILDGHIDENTGQPYVPSTCGQYGFLNYIVTPIYTAIKGEVARSRNGAAPHSAWRNYDDINEYFWSRKCFKRLKWPIDLSSNFLLVSGGERVGKTGFVEQRTFWNVFRSFDRLWVMLILFFQAAMLVAWERSKYPWQALESRDLQVQLLTIFITWAALRFVQAILDAGTQYSLVSRDTIWIGVRMVLKGLDALTWTVVFGVFYGRIWSQKNSDGRWSYGANQRILTFLKVALVYVIPELLALVLFILPWIRNLLEEVDWTIFRWLRWWFYTPIFVGRGLREGLVSNIKYTIFWILVLLSKFLFSYFLQIKPLVAPTKALLKMHGTYRWHQFFGSTNRTAVIMLWVPVILIYLMDLLVWYSIFSSIVGGVIGLFSHIGEIRNIQQLRLRFQFFASALQFNLMPEDHTTGSKATLVHKLRDALHRLKLRYGLGQPYKKMESSQVEATRFALLWNEMIITLREEDLVSDQEVELMELPPNCWNIKVIRWPCALLCNELLLALSHATELEDAPDRWVWYRICKNEYRRCAVIEVYDSIKYLLCDVIIKYGTEEHSIVTNLFTGIDESIHFEKFAATYKTSVFPKIHEQLISLIHLLLMPQKNMTKIVNVMQYLYELSVREFPRMKKSVALLKQEGLAPLNPASSADGQLFENALEFPEAGDIFFYRQLRRLQTILTSKDSMHNVPRNLESRRRIAFFSNSLFMNMPRAPQVEKMMAFSVLTPYYDEDVLYGKEMLRSPNEDGISTLFYLQKIYEDEWTNFLERMRREGMENDDEIWTTKVRDLRLWASHRGQTLSRTVRGMMYYYRALKMLAFLDTASELDIRQGSDGNAYLASLQQNSGLEGLDSHTASTSQTLGRASSSVSLLFKGHEFGSAMMKFTYVVACQMYGHHKGKGDPRAEDIFNLMKNNEALRVAYVDEVYLGREEVEYYSVLVKYDQQLKREVEIYRIKLPGPLKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYFEEALKMRNLLEEFKSYHGIRRPTILGIRENIFTGSVSSLAWFMSAQEMSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGISKASKVINISEDIYAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYSTVGYFFNTMMVVLMVYTFLWGRLYLALSGVENSAKEASDNKALGAILNQQFIIQIGIFTALPMIVENSLEHGFLPAIWDFVTMQLQLASLFYTFSLGTRAHYFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAIELGVILIVYASHSPLASNTFVYIAMTISSWFLVVSWMMSPFIFNPSGFDWLKTVYDFDDFMKWLWYNRGVFIKADLSWETWWYEEQEHLRTTGLWGKLLEIILDLRFFFFQYGIVYHLNITGKNKSIAVYLLSWIYMVVAVAIYIVIGYAQEKYAAKKHIYYRLVQLVVIVLIVLVIILLLKFTGFTFLDLISSLLAFIPTGWGIIQIGQVLRPFLQSTVVWGTVVSLARLYDMIFGLIVMVPLAIVSWMPGLESMQTRMLFNEAFSRGLQISQILTGKKSN >CDO97151 pep chromosome:AUK_PRJEB4211_v1:2:16845493:16846878:-1 gene:GSCOC_T00014402001 transcript:CDO97151 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSFMLMLSNLLHLHNHLDPTTSLLSDLTSSTSSSASSSDATSPTSLLTSTSAAPLLFFTIASVLSYLASQPPSKPSNRNTKTPKSATSNKPPSSSSPSTANAEFSVSAFRALSTEHIWAMEAPLRDAQWRSLYGLSYPVFTTVVDKLKPYITLSQLSLPSDYAVAMVLSRLSHGLSAKTLASRYALEPYLISKITNMITRLLATKLYPEFIKIPVSRRRLVETTQGFQELTALPNICGAIDGTAVRLHKLKSDIINPSMYYSRHGFPSILLQVVADHKKIFWDVCVKAPGAFDDATHFRDSLLYNRLISGDIVWDKAMNVRGQHVRPYIVGDWCYPLLSFLLTPFSGNRTGNPAQNAFDEGIMKARKMVEEAIGLLKGRWKILQDLNVGLNHAPQTIVACCVLHNLCQIAREPEPDLWKEPEESGSAPRVLENEKSFYYYGESLRQALADDLYQRLSSR >CDP00070 pep chromosome:AUK_PRJEB4211_v1:2:6415112:6420313:1 gene:GSCOC_T00029854001 transcript:CDP00070 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLRASNSDRILEARRAICSKRQSITYSAFAASKVLSQKALKCITSVKQQIQGSGVAGDIMVFLVTTAALEVVRRFSKAKCPFVWRALQALQILCYPPFKWLQRWQPFKGLVKHSKKLSRPMLVLSISTLFSDQPGYSTESLNDSNEIQDASSQMPRPDTSSSAEWLLELRRELRKHGREVPERLGDDELHRYYAAVNGDFSKLVSSVKKTIDWRQSYKLFPPHELEAYSHLVFWHGHDSERRPCLIIRLGLACSNLQSDDRPLFIKAVVSQIEHGVLNLVTVDQPQIMVLMDCEGLSPFGFPIHMMRSCATLLQDHYPNRLGSLIIIRLPQLARVITQALFQVLKPATQRKVRTVGGNYQEILCQCLRPIPSFLGGNCSCSRCSDPRRVQDRDEGSALMPPEAELVANNSLDMHLPAPTDTTEDRNQLKRTVVMVLLMLLMLILVILEKLYPEKLSLLYQQMTK >CDP08663 pep chromosome:AUK_PRJEB4211_v1:2:54026080:54027294:-1 gene:GSCOC_T00027705001 transcript:CDP08663 gene_biotype:protein_coding transcript_biotype:protein_coding MISNSTFFFFSFLLFLLSLFSYNNKSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLCEGFDYLLSSYQS >CDP05228 pep chromosome:AUK_PRJEB4211_v1:2:2560876:2561889:1 gene:GSCOC_T00020197001 transcript:CDP05228 gene_biotype:protein_coding transcript_biotype:protein_coding MCMNCFKFLPQNIEDAPELLAFATEYQISQQCSKSIAKTHKGNKRSRSARTQKPHNKGGKVPDGIEALKCISNEPDILASKPNCSYCEAKKLHSETPNFCCSAGQIVLQQNKFSDILIELYTGHSAEALSFRTYVRTYNNMFAFTSFGVHYDKSLCRRTNGIYTFKVQGQTYHFIKDLIPHEQKTVYLQLYFHDTEHELENRLATSEKLTESAVKKIMHVMESNPYASFL >CDO99549 pep chromosome:AUK_PRJEB4211_v1:2:11413145:11416406:1 gene:GSCOC_T00029177001 transcript:CDO99549 gene_biotype:protein_coding transcript_biotype:protein_coding MNILFPNPLSQLSKSASQKMFTHFFCTRSPKSLDRSHPSNNLYKRISPLGDPKISVVPVLDQWAAEGRPVHKQYLESIVKELKAYKRYKHALEVSRWMTEKRYMPLRELDVSIQINLIHRVHGLKEAENCFNNVSSKLKGFNAHIALLNCYVHEKSVEKAEALMQKMREMGYANSPLPYNLMMNLHYGLGNYKKLDDLMNEMEGRGIKFDPFTLTIRLSAYAAASDAEGVDKIAKMMEIDPLIVPDFSVYAVVAQGYLKVGQLDKALPILKKMEELAVTTRKGKFPYDFLLKLYAGMQRRDDVLRIWEMYKQKQKINNKGYMTMMSSLLSFGDVGGIEDIFKEWESRGLSYDFRVPNVLIHAYCRNGELEKAEALIDKGLSEGGEPFATTWFYMALGYIKDNQISKAVEALKKAILKCPPDHKPNTETLNTCLEHMERGDVEKSEEFIKLIKKESLCSLAVQDSSMDFIKSEHIYNDTYTLLIGFSTAHDSSISGKYAVIQLFILC >CDP16365 pep chromosome:AUK_PRJEB4211_v1:2:28670192:28675829:-1 gene:GSCOC_T00018199001 transcript:CDP16365 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKKIENANSRQVTFSKRRNGLLKKAYELGVLCDAEVAVIIFSNTGKLFEFASSSMPQIIARYNKCFEPSECATVEHQREPLQGPKEEDILKEEIEKLKLKHSQMLGKDLDGLGLKELQEVEQQLNEGLSSIKARKERMLMDQLEQAMMQVYQSISIFALCGLLIVQVKSLACTFCYLRGINI >CDP09387 pep chromosome:AUK_PRJEB4211_v1:2:22936573:22940270:1 gene:GSCOC_T00028733001 transcript:CDP09387 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRKLHHNSTAQNQNEQIPAASIPKTCGNQLYHFLWFSLIATCTKLLLIPAYHSTDFEVHRNWLALTHSLPLSQWYSDETSPWTLDYPPFFAYFEYFLSFFASMLDPTIVHLTDGLNSKSHLTILFQRLSVTLSDAVLFYGIYRITRKLDPKQKYLIWVLAVWAPGLIIVDHLHFQYNGFLLGMLLISLSALENGEDLLGGFAFAVLLCFKHLFAVAAPVYFVYLLRHYCRGGLVKGFGRLVVMGSVVVAVFAAAYGPFVYNGQIHQVLRRMFPFGRGLCHAYWAPNFWVFYIMFDKLLAFLLVKMGFHVKAPTASFTGGLVGDSSPFAVLPTITPLISLVLVLLSISPCLLKAWRDPKPSAVTRLVAYAYSCGFMFGWHVHEKASLHFVIPLAIIALKSVEDAKHYFFLSIVSCYSLFPLLYEAQEYPIKVLLLLLHAALMWFGLSSYFGAYVPKGDERSHSSAVVRNADFVIGWFGKSYLMGIVVVEICGQFLHPVVLGNKLPFLPLMMISIYSGFGMMYSWIWQLKWIIGSI >CDP09319 pep chromosome:AUK_PRJEB4211_v1:2:23721555:23722646:1 gene:GSCOC_T00028635001 transcript:CDP09319 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGRPSSWAPYDTYRDCSQGICSIYCPQWCYIIFPPPPPLGNDDGSGTTFSPLIIAILGILASAFLLVSYYTIVTRYCRRRRSRNSNIEFEAHHDEVTHDQWQVATTGLDEALIKSITVFRYKKGDGLVEGTECAVCLNEFQEDESLRLLPKCCHAFHLPCIDAWLKSHSNCPLCRANVNPAIPTLPPPAPPSSQTSLTTLNISSLEIQRQNDLIFVVEDHQERSTHQEEVSISLVSDVLFKDALQFDNESENVDSRNCIIGDREDARQFRRSMSLGTFSRRRNLPVADSLRTNEHDREDSDGGIGIGSSKGMQEGQSKQKDRSKDCDLAARVPAVMKRSFSTGRFNFTRQDKGKNHIIPS >CDP13969 pep chromosome:AUK_PRJEB4211_v1:2:4694746:4698534:-1 gene:GSCOC_T00039123001 transcript:CDP13969 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAPSSSRVSFLLKKARVSPILLTFLAFVAFVTILYSQDLRCLFGQFDDSDHPKHNKYQTISPTKKNGGKLPFAIGETEEGCDVFSGRWVRDEVNRPLYEESECPYIQPQLTCQEHGRPDKDYQYWRWQPHGCTLPSFNATLMLETLRGKRMMFVGDSLNRGQYVSMVCLLHRLIPEDAKSMTTNGSLTIFTAKEYNATIEFYWAPFLLESNSDDAIVHRISDRLVRRGSIIKHGKNWKGVDIMVFNTYLWWMTGRTFKILQGSFSDEVKDIAEVSTDDAYRMGMKTMLRWIKRNMDPKKTRVFFASMSPSHAKSIEWGGSPDGNCYNETKLIEDPNYWGSDTRKSIMQVIGEEFRKTRVPITFLNITQLSSYRKDAHTSIYKKQWSPLTPQQLANPVSYADCTHWCLPGLHDTWNELLFAKLFYP >CDO97344 pep chromosome:AUK_PRJEB4211_v1:2:18305169:18319928:-1 gene:GSCOC_T00014661001 transcript:CDO97344 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSMISYHHSPKGYDIIARRSFGRAASHMHIYRYYVSCAYDRPAYLPSDSPYTRISYPGCFSQIIIKGTPWLSNQSVDGKRSCFHDRQSQKNRFCLSAVVDVSSAVEVINDLGSDSLTLLVVIVLVVPAFKTIKASPILGFFFAGVVLNQLGLIRNLTDVKILSEWGILFLLFEMGLELSLARLKALAKFAFGMGLTQVVLSTLAFTAFELPPNGAIGTRILEFLFHSRPDLVNIRSVDEAVVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNLAEESIWPMLAKESLKALGGLGLLSLGGKYILRRVFEVVAETRSSEAFVALCLLTVAGTSLLTQKLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMEVLFREWPNVLSLLAGLIVIKTLIISAIGPRVGLSLQESIRIGLLLSQGGEFAFVVFSLANGLGVLPLELNKLLIIVVVLSMALTPLLNEVGRKAADVIAKKFEEQDKTNNTVNFDASEPIVIVGFGQMGQVLANFLSTPLVYGLDGDTVGVGWPYVAFDLNPSVASRKLGFPVSYGDGSRPAVLQSAGISSPKAVIVTYAGKERTIEAVQRMRLAFPAVPIYARAQDMMHLLDLKKAGATDAILENAETSLQLGSKMLKGFGVMSDDITFLSQLLLNSMELQAQDTLDKTVEQDIDVMKPLQVLKIQCTGLTTVLWCYACL >CDP05330 pep chromosome:AUK_PRJEB4211_v1:2:3378937:3382861:-1 gene:GSCOC_T00020334001 transcript:CDP05330 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSESVAEKNRGKPRGIVLIEKLKKTSVSFNTYQAVVLIVTFFAYASFHATRKTTSIVKSALDPESGAKSTKLLLQLGNGWAPFNGPDGTSLLGELDVAFLFVYAIGMYFSGHVGDRMDLRLFLTAGMVGTGVFTALFGVGYWGNVHIFYYFLIVQMMAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSVGNITGSLVASALLKYGWGWSTAVPGLGIAFIGLMVFLFLPVSPESVGANNDEEEEECSPKKDGEEISEPLLRSEKEGVESAVGFIEAWKIPGVAPFALCLFFAKLVAYTFLYWLPFYINHTAIDGRYLSDEESGNLSTLFDVGGVVGGILAGHISDHLNARAITAASFMYCAIPALFFYRSYGNISMTMNIILMLITGMFVNGPYALITTAVSADLGTHSSLKGNARALATVTAIIDGTGSIGAAIGPLLTGYISAKSWNAVFTMLMAAALVAGLLLTRLVVSEVATKIQESRSCPGPPPVEV >CDP00077 pep chromosome:AUK_PRJEB4211_v1:2:6361963:6367160:1 gene:GSCOC_T00029865001 transcript:CDP00077 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGGT-I [Source:Projected from Arabidopsis thaliana (AT2G39550) UniProtKB/TrEMBL;Acc:A0A178VS16] MVADEDDFLWDSDSEPQFFDKDRHLRFLEMMYDLLPSDYQTQEINRLTLAYFTIIGFDILGSLDRIDKDAVIKWVLSLQAHPKNKDDLNNGQFYGFHGSRSSQFQSNVEGALVPNGSHLASTYCALAILKTVGYDLSLIDFTSILKSMKNLQQSDGSFMPTHYGAETDLRFVFCAVAICFLLGNWSGLDREKAKDYIIECQSYDGGFGLIPGSESHGGATYCAVASLRLMGYIEDDPLSKVMSPCIIDVPMLVDWCLQRQAKDGGFQGRPNKLTDTCYAFWVGGVLKILGAEKFIDEGGLRGFLLTCQSQYGGFCKSPGQFPDLYHSFYGFCAFSLLDEPGLNPVSVELGVTEIATVGLHLQL >CDO99508 pep chromosome:AUK_PRJEB4211_v1:2:11839213:11841608:1 gene:GSCOC_T00029113001 transcript:CDO99508 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERKNRCVKYASPHILFVFLFLLLPIPVPHQKHTHNTHNPIICSLLLSLSLSLSLSIPNKVSAAVGTKTESCSSQKVHRFSQILQPPAPDDASITNSYLIAYHCSLSAGRHFFQTFNSFPSTA >CDP19306 pep chromosome:AUK_PRJEB4211_v1:2:28926800:28930325:-1 gene:GSCOC_T00011537001 transcript:CDP19306 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWEAEKDRTVCVTGAGGYLASWLVKLLLSRHYTVHATLRNPDDEKYVHLKKLDKAAENLKLFKADLLDYNSISAAIRGCDGVFHVASPVPSGSVPNPEARPFSIVELVEPAVKGTLNVLKACSEANVKRVVAVSSLAAVVMSPNLPEGEIIDEKCWSDGEYCKATNIWYCYSKTVAEREALQYAKETGLDVLTVCPSYVFGPMLQHDANASSLILIKLLKEGCEETENKFYNTVDVRDVAEALLLVYGRPEAEGRYICSPHVTTTKDMVETLRKNYANYKYPKRLIEVKDQSRWNISSEKLERLGWRYRPVEETLVDSVESYKQAGILD >CDP07738 pep chromosome:AUK_PRJEB4211_v1:2:25573115:25575466:-1 gene:GSCOC_T00025090001 transcript:CDP07738 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGSKPRKEEVVTREFTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTTDVRVDVKLNKFVWSRGIRSVPRRVRVRIARKRNEDEDAKEELYSLVTVTEVPEGFKGLGTKVIDDDDE >CDO97604 pep chromosome:AUK_PRJEB4211_v1:2:20310182:20310669:-1 gene:GSCOC_T00014989001 transcript:CDO97604 gene_biotype:protein_coding transcript_biotype:protein_coding MISVYDDVPADKAQAIMLLANQCSVEVEPLQVQNVTKADATTKPIPRSNSPSLANVPEDLPIARRHSLRRFLEKRRDRLVQFIISLYPLFIVLLL >CDO97244 pep chromosome:AUK_PRJEB4211_v1:2:17559497:17563190:1 gene:GSCOC_T00014516001 transcript:CDO97244 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASFLSCSPPPPASGYPQSFLCLLPTPRLLPRLALFYTIKSRSSKTLTFACSAAASSDGSSTFGYDSKNLPSKKSVLAKLIQEIEPMDLSLIQKDVPPTTLDAMKRTISGMLGLLPSDQFQVYIEALWEPLSKLLISSMMTGYTLRNAEYRLCLERNLEIYEGKIDKQIPADSKLEAEGTLVNDYGLNNISRQEKLPVSENMTETTSALPNLGEMPPETQQYILNLQSRLSSAKRELHEVKRKSAALQMQQFVGEEKNDLLDYLRSLQPEKVAELSEPTSPELKETIHSVVYGLLATLSPKMHSRASNFMEDTSIGTRNTADGDDCIEVENTSLQFRPHILLTRDYLARLLFWCMLLGHYLRGLEYRLELTELLSLPCVVKNETWNG >CDO97506 pep chromosome:AUK_PRJEB4211_v1:2:19579375:19580192:-1 gene:GSCOC_T00014870001 transcript:CDO97506 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEFEVVDERLKIFLGQLQIEFGILDRIVRRDLRLLQSAKLEEILNSCFLVIYGKRPKQKLQLLESLKRRRCDGGKYNFLERLLGVVCLLSKVVHLFVICCS >CDO97526 pep chromosome:AUK_PRJEB4211_v1:2:19726490:19730336:-1 gene:GSCOC_T00014899001 transcript:CDO97526 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPSNTCTSVLRFLVIVLISAVRSAEASIHDYHGDPFREVGNAYLLSGGSEGILASQSSPPSTRRGFHSAVHDGLSYIRFENITFWRGRSAADQHPEMAYSSGLVQVVIFEAADRDNIGGSPYGGQRSICCTPDLAKLEGCKQGEVIRIPSATDINWPVVLNVHFRGNLMSARMKNKEAYIRKTGMYNLFFISCDPKLKGLKVTGRTLWKNPDGYLPGRMSPLMKFYVFMSVAYAVLSAIWFFQYVRYWKDVLQLQHCIAVVIALGLLEMIFWYFDYAFFNGTGTRPAGITTLVVTIGAIRKTLLRLLILSVAMGFGVVRPTLGGLTTKVLLLGITYFLASELLNIAEYVGTINDIAGRARVFLVLPDALLDAFLILWIFTSLSKTLEQLQAKRSSVKLDIYRKFSNALAVTVIASVAWICYELYFKATDPFNEKWQGAWIITAFWDILAFALLSVICYLWAPSLSCQRYAYAGEKGDELDDEESEALCREGDISLVKQDRKEGSDSSDLEDD >CDP15173 pep chromosome:AUK_PRJEB4211_v1:2:49880002:49880781:-1 gene:GSCOC_T00042778001 transcript:CDP15173 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLAFITNDSARKATFKKRKKGLMKKVGELSTLCGIDACAIIYSPYESQPEVWPNTMGVQRVISQFKRMPEMEQSKKMVNQESFIRQRIAKANEQLKKQHKDNREKEMTEVMYQCLTGKGMQNMSMPDLNDLGWLIDQNLKEIYKRIDSLKKMAPHHQQISQPQAATAPPPPAQPPAHPPVTLAANDMLAGGVQVQEQKPSVDLTMDAAVHRPQWFTDWMNNPAGENMGFGHGDEMMMQFHDNHNPMWSSAFFP >CDO99628 pep chromosome:AUK_PRJEB4211_v1:2:10572895:10589831:1 gene:GSCOC_T00029275001 transcript:CDO99628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] METDEAQGIAVPFQLQFDKPIASQIKIAEWNPEKDLLAMVTEDSKLLLHRFNWQRLWTISPERCITSLCWRPDGKAVAIGFEDGTVSLHDVENGKLLRSIKPHTVAVVCLSWEEDGQTIADGSNTVSTYEDRTSRFFPPPPRAPRMPGVVPGDSGFTDDSEDSFQDLSNTSCRHFNILCSGDNDGNLCFSIFGIFPIGKINIHCFSLCRQIQHSQVNCCLQNASIHKVGFSKDLCDLIVMCSGELVEVGVESSQLGFHCLVLDTSIFWKRKYELHQVAQQASNIEDLTQVIRTSLSVMLKQWNDAMHTFHEKFNSISSLIADHGLDSTPQEEFLSLLGGARTSPPLHQFLVNALGEVGLKRMVKVVCGAGKDLQLIVLDHLQPAAEIIGFRMGELRGLSRWRARYQSIGLEEKLIENAAEKAGMFLVQVERFMKILSSVVQQFSNFFSWLLKSFKILMSEPSDQLLPFSSELIIIFLKFLYDQDPVRKLLELSDVENPIEVDLETMQRIKELAHFGGFSDSEYLKRTLAEEFQLMVCCFNEAFDVPFATMSKKILCKDLFPLFPLESFPKEVSSNIAASVSYYQGASDAGANHDTCGQRMTGYMLFKIPDESFSDISNCIGIARGLIHDFGNSAMGQLPLEAVLFSVPNGYTCVDLSLYKEGQLVLLLNETVTTCESSGNAYLMIIQACDLPFVPLSRSTSLSSWRLDQLTDSIVNLQLDNERVRDIPHCVVAPLAVSASRGVACVFAARKRALVYILEEDEDEVSNSE >CDO99813 pep chromosome:AUK_PRJEB4211_v1:2:8676819:8681173:-1 gene:GSCOC_T00029507001 transcript:CDO99813 gene_biotype:protein_coding transcript_biotype:protein_coding MGQELVAVAVTPSSSTTAVVGGGIGGSPVGAPPPTSLAPGFRFHPTDEELVRYYLRRKACGKPFRFQAVCEIDVYKSEPWELACYSSLKTRDLEWYFFSPVDRKYGNGSRLNRATGKGYWKATGKDRPVRHKSQTIGMKKTLVFHSGRAPDGKRTNWVMHEYRLVDEELEKAGVAQDAFVLCRIFQKSGLGPPNGDRYAPFVEEEWDDDTALLIPGGEAEDDMANGDEARVDCNDLTPDINKTPRLIEHPSEPQGIPFICKRERSEDPEPLSLGQNKRSKQDGPNSSHANGSEDSTTTSQDPPPTMMTTNFSSALLEFPLLESVEPKETQPASTATFDSSNLEKSVPPGYLKFISNLENEILNVSMERETLKIEVMRAQAMINILQSRIDLLNKENEDLRRLVRGG >CDO96836 pep chromosome:AUK_PRJEB4211_v1:2:13740689:13746254:1 gene:GSCOC_T00013986001 transcript:CDO96836 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGMLVFSLFLFWTARVSVSTSLLSPKGVNYEVAALMSIKGKMRDDNHVLDGWDINSVDPCTWNMVGCSPEGFVVSLEMVSVGLSGTLSPSIGNLSHLQTMLLQNNHLSGYIPAEIGKLSELQTLDLSANQFVGDIPSSLGYLTRLSYLRLSRNKLSGQIPKPVADLTGLTFLDLSFNNLSGPTPKILAKDYSVAGNSFLCSSVSAEICMGVPKPVNDSSPYRKVSNHHRWIVSIIIGVSCTFVVSVMILLCWVHWYRSRFLLMSYVQQDFEFDIGHLKRFTFRELQIATNNFSSKNILGQGGFGVVYKGYLPNRTVVAVKRLRDPNFTGEVQFQTEVEMIGLAVHRNLLQLYGFCMTPEERLLVYPYMPNGSVADRLRDTGQENPSLDWSKRIHIALGAARGLVYLHEQCNPKIIHRDVKAANILLDEYFEAVVGDFGLAKLLDRRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILILELITGQKALTAGHGHHQKGMILDSVRALYQEKRLEVLVDRDLRKCFNAEELEKVVEVSLQCTESSPSLRPKMSEVLRILETIAGQSAHVEETQDRENQCEGRSFSFTRSLIEVREESSFIIEAMELSGPR >CDO97319 pep chromosome:AUK_PRJEB4211_v1:2:18113497:18114168:1 gene:GSCOC_T00014629001 transcript:CDO97319 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPSMGRQKIKIAKIEIKNHLQVTFSKRRSGLYKKAHELSTLCGVELAIIVFSPAGKVFSFGHPNVDCIIDQFIARCRNPQPSSSALHLVEAHRNASSVRELNLQLTQILGELEVEKKRGEAFDLMRKASQNHYWWESPVNELGLHELEQLRDSLENMKNNVTSHASKVAAEANYINSSFFTLNNGAAAGLYHQHESKPAGVGVGSVHPNIFNFGYDDGGF >CDO97707 pep chromosome:AUK_PRJEB4211_v1:2:21184206:21189916:-1 gene:GSCOC_T00015124001 transcript:CDO97707 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLGRMGIRYLHKLNAANVPKDLLERGQNRVMEASLTFIRERAKLKGELLRALGGAVASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKELNDPRVLTDVGDVPVQEIRDCGVDDDRLMGIISESVKLVMEEDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYHAFEGNKYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMRTFSRDRHILENLKLGEGVKGVYISVDVDSLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGNVIAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >CDP08896 pep chromosome:AUK_PRJEB4211_v1:2:51959766:51961449:1 gene:GSCOC_T00028028001 transcript:CDP08896 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDKNASTINPLLQPVILVGHGGHIVDEEGTDSERPKEPWKKEYAKSIVYAGLDAIVTSFSLISSISGGHLSSVDVLVLGFSNLVADGISMGFGDFISTSTERDMAANERSVTEWEVANHGRPQEQELLQKYQDLGMTPGDATTVVSVLAKYRDVMVHEKQMLPPDQTEKPWKSGLVTFAAFIVFGCAPLLAFIILIPFTKNDAHKFVGACVFSAFALILLGLAKAKIVGQNYVASVAITLSNGAVAGAAAYGIGWTLRNVAGLED >CDP07677 pep chromosome:AUK_PRJEB4211_v1:2:24606660:24624124:1 gene:GSCOC_T00025008001 transcript:CDP07677 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRRGSDLQPQRRILRTQTAGNLGESMMDSEVVPSSLVEIAPILRVANEVEPTNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDTTIAGRTKSDAREMQSFYQHYYRKYIQALHAADKADRARLTKAYQTAAVLFEVLKAVNLTEAVEVADEILEAHTKVTEKTAIYVPYNILPLDPDSASQAIMRYPEIQASVSALRNIRGLPWPKGHKKKVDEDILDWLQVMFGFQKHNVSNQREHLILLLANVHIRQFPKPDQQPKLDDEALTEVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGLLAGSVSPTTGETIKPAYGGDEEAFLKKVVTPIYNTIAGEAKRSKDGKSKHAQWRNYDDLNEYFWSENCFRLGWPMRADSDFFCIPGERHRSDKTEESERSTGDRWMGKINFVEIRSFWHIFRSFDRMWSFFILCLQAMIIVAWNGSGDLGSVFEANVFKKVLSIFITAAILKLIQAVVDVIMSWKAWHNMSPHVKLRYVLKVVSAAAWVIVLPVTYAYSWKNTAGIAMTIRNWFGNGASSPSFFIIASLIYLSPNMLSGLLFLFPFIRRYLEKSDYKIFRLIMWWSQPRLFVGRGMQESTFSLFKYTLFWVLLLAAKLAFSFFIEIKPLVNPTKEIMKVHISRYAWHEFFPRANKNIGVVIALWAPIILVYFMDIQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPVEKGKKPKKGLKATFSRKFDEIPSSKEKEAARFAQMWNKIIESFREEDLINNREMNLLLVPYWADRDLNLIQWPPFLLASKLPIALDMAKDCAGKDRVRELNKRLTADVYMRCAIRECYLSCKSIINSLVVGEREKVVLNEIFSKADEHIEKGDLTVEFQMGALPSLYEQFVQLIEYLKENKKEDKDQVVIVLLNMFEVVTRDIMEDSIPSILDSSHGGSYSMHEAMTPIDKQYQFFGKLRFPVTEETDAWKEKIQRLHLLLTVKESAMDVPSNLDARRRISFFSNSLFMDMPDAPKVRNMLSFSTLTPYYNEEVLFSINSLKKPNEDGVSILFYLQKIYPDEWDNFLERVDCSNEEDLMGNVKLEEELRLWASYRGQTLTKTVRGMMYYRQALELQAFLDMAKDEELLKGYKAVVSNTEEQLRNERSLLVQCQAVADMKFTYVVSCQQYGIQKRSGDPHAADILRLMTKYPSIRVAYIDEVEEPSKDKTKKTVEKVYYSALVKAMPKSVDSSEPDQNLDQVIYRIKLPGPALMGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLIKHGVSTPTILGLREYIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGISKASKTINLSEDIFAGILTSLKVS >CDO97381 pep chromosome:AUK_PRJEB4211_v1:2:18591764:18597041:-1 gene:GSCOC_T00014707001 transcript:CDO97381 gene_biotype:protein_coding transcript_biotype:protein_coding MQEANYNHHSATGTATGFLAVNGGGPSPKRLNKPQLPPLTVPPGHVCFRLLCHASRVGGIIGKAGSIIRQLQQETSAKIRVEDSLPNSDDHRVIVIIGRTSLVRRISFNANREGDSASGGCDCDDGDDEVFVSAAQEAVVRVFGRVIEVAAESCGNGFAASGGLVSCRLLAETSQVGAVIGKGGKVVEKIRKDTGCKIKVLTSEKLLTDEMVEIEGDIVAVKRALVAVTRRLQDCLLAVEKARMMASRPFEAIHQESLPDLRMDLPIHRVLVPQSTESSSSGYSSGDYPLSVEADRVPVMELKPPQQEVVFKILCLNDRVGGVIGKGGSIVRALQAETSASISVGPTIAECDERLITIAAMENPDSRQSPAQNAVVLVFSQCVEADSDKGLDSGLKGPPVCARLVVPSSQVGCLLGKGGAIVSEMRKATGAAIRIFAGEHVPRCALESDEVVQITGELVNVQDALYHVTGRLRYNLFATRMLNSAGNRSSSSCVTETGPLGRARDQPLPGFLPSVGISQSQHLTRSMENLALSQSVNRPPSPGLWTSQTVPGVDQRSQLDGRGLTSTKGGVELGSGDRSAIVTNTTVEIVVPENVIGSVYGDNGSNLVRLRQISGAKVVVHEPRPGTSDRMVVISGSPDETQAAQSLLHAFILTGSSGRDESFC >CDP06794 pep chromosome:AUK_PRJEB4211_v1:2:36248962:36257440:-1 gene:GSCOC_T00023771001 transcript:CDP06794 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHRSDFPPEFLFGAATSSYQIEGGVREGGRGLSNWDFLCNSTPGLFVNGQNANVGCYSYGLYKEDVKICKKLGLDSYRFSISWSRVLPGGRLSAGVNREGIQYYNNLIDELLANGIQPFVTLHHFEVPQILEEQYGGFLDKRIIKDYLDLAELCFWEFGDRVKYWTTFNEPWTFIFKGYVTGEFPPCRDGDPGVEPYTAARNLLLAHAEAVDLYRKKFKAQGGQIGITLVTSWFEPFHHHSEEDVHAAQRTQDFVFGWFMDPITYGRYPKSMTDNVPPERLQRFSEEESIQLRGSYDFLGLNYYTSSYIVAVSVLPNNGPDGNPIGEPVSNYLHTEGLHKILHYIKQRYNDPPIFITENGFGDANHPDYTVSEACNDETRIEYLREHLKEIRLAMIENRVNVKGYFVWSLMDNFEWTSGYNYRFGLVYVNFTDRYLSRFPKNSALWYMNFLDKNYRPIPHPLKNNALLEDETISPTSTSSLPYQTNAPNSGEMVVHEGTPTKRHRKT >CDP14015 pep chromosome:AUK_PRJEB4211_v1:2:5103995:5104559:1 gene:GSCOC_T00039180001 transcript:CDP14015 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAVIVLSCVWSLRWGPGLEASTTLIVVVVEPSDQHYLGKGYAKSDWILTFLFSNLSSGACNPPAQPLFL >CDP05338 pep chromosome:AUK_PRJEB4211_v1:2:3420045:3424588:1 gene:GSCOC_T00020343001 transcript:CDP05338 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGNPSRYVKLTKEQAAPSEDIKPGELNQPIEVPQLGVRKCNECGQPLPESFEPPADEPWTTGIFGCTEDTESCWTGLLCPCVLFGLNIEKLRDDTPWTTPCVCHAIFVEGGIALAAATAAFYGIDPRTSFLICEGLLFSWWMCGIYTGLARQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDDAAMPMTVVNPPPVQEMSAAPDDRDSAPSSEKSKEHTNLEMQALQ >CDP05096 pep chromosome:AUK_PRJEB4211_v1:2:1525263:1528814:1 gene:GSCOC_T00020027001 transcript:CDP05096 gene_biotype:protein_coding transcript_biotype:protein_coding MENKENNHGTSSVKRELECCSNCWGWEKKFKQAEERLVALMSQFEKKISELESLEGKHSALQLEKLVTEDEVQSLRKRNLELEEKVVDLMIENQVLLCEKKKAESEVEVWKVKCRELESQVLELEERLTSVEGEGNLNGTAKVGMASPGACMEEITGAKGFPGGVNGAATPGADAFCTNLTHVDEGKRGIPFENRMGSGSRARKQLVFGDEGSPSKKIAPTTPGGVRPSSLSIIDISDSEAEENVSYLSTLDLHTSKKACESTDYGGAITLDKKQFPCTKNLASAIDQTNDDDVSCQVGIAPFMSTSKRKRAAKIVASDSETDTDDCLPISRLRTNSRMATASPDKEGEPAVRRRLVTLRNLKEKGTSKKSSPRISKRIRTERLCGIPTNMISSDDEEEVNESDGEEDDFIVHDSESEGGSASKDPKDDDNCDGLLSGGDFSGESDDASDDSLDYKAIIATIGRHKDHKVKWEFQADMQAAFGKDIQLCMKAVCALYRQQTTEEKFHKATIVRNERGFNQCDARRGTSLGEFLTDGDPQGDLVKSVEELEARDPKWLELCRTLATHYAKQLYEIYQSNEDPLWTALNG >CDO99861 pep chromosome:AUK_PRJEB4211_v1:2:8245422:8247418:-1 gene:GSCOC_T00029559001 transcript:CDO99861 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKPLLVVILFSFILLRPDQSMVAFFSAGNPPAEPHYLGDVAVDCGSIGNSTALDGREWIGDTGSDLKSSLQPKGKTRSSIAVDKLSSVDGIPYRTSRISATPFQYTFRVTPGQIFLRLHFYPASYRGFENSLDLFTVKAGPFTLLRDFSASLTADGSGEKYVVKEFCLNVEVNAKLNVTFSPSKSSKLKKVHAFVNGIEIISIPAGLYYTSVGDLGARMVGLNNRFYIIENTTALEVVQRLNIGGSSISPIEDFGMFRRWSEDSKYLQESGVHRVSHLTNRIKYTNMPAFVPPPRLYHTAWKIGRGKRGNEIFKFTWKIPIDLGFGYLVRLHFCDFDAGMAESRQREFTIHINNRVAENKANWIRWSGGTEIPVYRDYLVMVKGEKEVRNYDLLISLQSVDELVFGLLNGLEVFKLSNPDNSLATPNPLFPRRASKIWNLKIPNLFSAFGQSNAVSTGMTII >CDP17829 pep chromosome:AUK_PRJEB4211_v1:2:27376985:27377221:1 gene:GSCOC_T00009450001 transcript:CDP17829 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWTAKVFDVELMRFQNTKEEMVQMLQIAMTCVAKVPDMRPNMDEVVRMIEEVRQSDSENRPSSEENKFKDSTVQTL >CDO99790 pep chromosome:AUK_PRJEB4211_v1:2:8859664:8860434:1 gene:GSCOC_T00029481001 transcript:CDO99790 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 31 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G10400) UniProtKB/Swiss-Prot;Acc:Q9CAE4] MSRKRTHIDDDDDDDTFYHRYSSTAPPPTQLSSSSSGTNKRSGSGGLAPSKSTVYVSNLDYSLTNSDLHTIFSNFGKVAKVTVLKDRYSRQSRGVAFILFVSRDDAIKAVKGIDKKVLNGRTLTASIASDNGRAPEFIKKRVYKDKSRCYECGEEGHLSYECPKNLLGPRERPAPSKKGRRDGGGSGGGWGRREVEEEEEEEEMALFETDNWASVVDKGAEDRLLRGEEEVRKKKKKKKKEKRKGYFSDESDEDDE >CDO96762 pep chromosome:AUK_PRJEB4211_v1:2:12872690:12874895:1 gene:GSCOC_T00013884001 transcript:CDO96762 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L34, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29070) UniProtKB/Swiss-Prot;Acc:Q9LP37] MACISMATMLSCRTNFTVPSASLSILTGPKTTSHGSLKFAAKAKAATSRSSGLLHCSFLAPSSSLSLSSNSAFSGLSLGWDLHSNGAAKIVKRRGLVVQAKKYALCQTKRNRSRKSLARTHGFRKRMSTTSGRAIIRRRRAKGRWDLCPKSNPSSGKRA >CDP00112 pep chromosome:AUK_PRJEB4211_v1:2:6134274:6137552:-1 gene:GSCOC_T00029909001 transcript:CDP00112 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDGNSRVGYGTTSGIELNDEGVSVVPNPNLIGSLRLDGNVVERNYASRLSVQSHLYPNVFVPLSSGDSNEDYDFSDAVLKYINDMLMEEEMEDKGCMFQESAALQAAEKSFYDVLGEKYPPPDHQVPSSNLRVSSREESYPGGYGGLIWCPSWNNDTSGSDSTYVQHFSPYSSSNSSGTVADGHIDSPDSILRISDIFSDSQSAMQFKKGVEEASKFLPNGNALLVDSQENGLLDKVQKKWPMTVKVERSENGYSPDESRGKKNPLHEDLQQQGGRSNKQSAVFTESTVRSDLFDKVLLCSEGRNESDLRKALQDMAVKNVPQNNKLKGSSGGKSRSKKQGAKRNVVDLRTLLTLCAQAIAADDRRTANEFLKQIRQHCSPTGDGMQRLAKYFADGLEARMAGSGTQIYKALITMPTSAADVLKAYQLYLAACPFRKISNFFSNKTIWHAAEKGSTLHIIDFGILYGFQWPCLIQRLSSRSGGPPKLRITGIDLPHPGFRPAERVEETGRRLANYAETFKVPFEFVAIAQKWETVRIEDLKIREDEVLAVNCLYRMRNLLDETVVVNSPRNIVLNLIRKMKPDVFVLGIVNGAYNAPFFVTRFREALFHYSSFFDMLEANVPREIHERMLLEKTIFGREAMNVIACEAAERVERPETYKQWLVRNLRAGFRQLPLNEDIMRMAKERAVSYDKDFVIDEDSQWLLQGWKGRILYALSSWTPAD >CDP04946 pep chromosome:AUK_PRJEB4211_v1:2:329317:333047:-1 gene:GSCOC_T00019817001 transcript:CDP04946 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHTLNRRQLQALCKKNNIPANLTNLAMALSLQALPTVQGVEELPLQQPPESSTESPAKPQAASLSVPRTAARGTATTRRKATVMVQEDESETTLHPSTRSRRSTRGLPVAAAGGDVPQTPLRPGNVAKAASACRKMEPHFNQIEREEHPGDDNNIVKEGEHTSETPAIVPATRQRGLKNTHTCSTRRSARLAGKRRENFVASKEVKEGSKSLTTEFLSSEFGEIMEMNRQPQECPAAESLPVTKSPSGVDLKEKSELGLLDTGYEPEVLSGTKSGCSAESRNDFPILCDEHGAETSEIGNSKMTVECDNGSNELYCNKTEDAFENGEGLDIINDEMGCSDVAAEDVAQVIDTDDVRTIEDVADLKMKSEEELLESNKDFGSSDKTNASFDNENGKKLNVAKEMESEDDAAQNLVDEGKDLRSDDLDALNINDGAVESNESGGERAVGIITTPAAQESGAELSAFADDLAEKLVAMELDASALDEYKNCARLGSEMSWAEAAADVQLELEPPCLAEEECRRSMCMLDYNAANLDITDDGAREELKNYDRAIDDDAPLCYYSTAPLLLTADVVGTGVGAPVLPCITEVSEENLSNDVVQTSCLTTSIPMMEESATPSKSTATQLKISVTKKTPRQVTVTAAPVSDDKENMIIHNSGTRLIAAEEKKKGQRQTRLENAEKPLDEQSLRQLTKMLKEKLEITKKMSMTKDDDSSKSKAAPTGSESRRRLALQTLPENRLE >CDP16360 pep chromosome:AUK_PRJEB4211_v1:2:28574185:28579974:-1 gene:GSCOC_T00018193001 transcript:CDP16360 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKTQILLLSTLLLSSLALKILGKSHREARHQLFMAKLKPNSNIDKSHFTPFENPPKPVQDGLKEKDIIQKLPGQPSVSFKQYGGYITINATAGRAFYYYFTEAQDPKKAQDLPLLLWLNGGPGCSSLAYGAMQELGPFRVGSDGKTLYKNQYAWNHVANVLFVESPAGVGFSYSNTTSDFVKGGDRKTAADNYIFLINWLERFPEYKDRDFYIAGESYAGHYVPQLAHNIVYHNKKANKTIINLKGILIGNADINHETDNIGMIDYWASHALISLESSRKIHKYCNFSLDDQRSDECSSTLGEVSNMLEDIDIYNIYYPLCLDANVTSIPKRFSIMEIDPCSDNYVYSYFNLPEVQEQIHANVTKLHYDWQSCSGVIERWEDRASTVLPFIEELMENGIRVWLFSGDMDGRVPVTSTQYSLEVMNITTLNPWRAWYRDREVGGYVQEYKDNLTFVTVRGAGHEVPSYRPDRALSLVLNFIAGTPLPKK >CDO96722 pep chromosome:AUK_PRJEB4211_v1:2:12395464:12402648:1 gene:GSCOC_T00013833001 transcript:CDO96722 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPYGFLLPLLICYSLVFFSANTIEAETKSRKLRELISKNSISSVVVFGDSTVDPGNNDYIGTAFKSNFPPYGEDFFGHIPTGRFSDGRLVTDYLAEYVGLKETIPPYLDPTLSVEELVTGVSFASAGSGFDPLTAKLSGVIPMQQQLNYFREYKARIEKAIGEKKAKELINKAAFLISCGTNDYVVNYFNDPIMQINYTVSAYQKFLLDNAHQLLKGLIDEGARNIGLVGLPPMGCLPVVITLNSISAFHRNCIEKYSSAARSYNEGLQEMLASARRSDINLVYGDIYNPINDMIQNPSKYGFEDINTGCCGTGLIEGSFLCNQESPVCPDPSKFIFWDAVHPTQAAYYNLFKSLRSLVDSFMRG >CDP08534 pep chromosome:AUK_PRJEB4211_v1:2:41564511:41567574:-1 gene:GSCOC_T00027479001 transcript:CDP08534 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGKWIRNFLLGKREEKGKHKKRVNSVATEVITKEKRRWSFKKSTTTDKVTCRNLSFDSISTPHLAKQVLEEHEIEQIRFKAILVTTSKQVNGTMPVVTTPVSQAIGPLKDAAAIKIQAAFRSYLARKALCALRGLVKLQALVRGHLVRKQTTAVLRSMHALMTIQVRARYRRVQMVEAGAELATKRSGHGESARNSTVRPFLDYFLTFPLDDHPSGSAFCRICSSTQILRSNLLDFLFSIELKSGPLHYSQAERKELGVVTTYHSGRFPINMQEDQPDQTCCSPPATVTETSSTNLSKTKQIKLQASTAPLSHENRGYMSNTASSKAKARSHSEPKQRPKKWRPVQKNKQSTSVRGVNVALEVQEQYMPSPSEFDEQENQHPWFIKLYRSAKQVNGSHADHSSVANCNSNFEKTLNPFEACSRYLANTSRG >CDP05354 pep chromosome:AUK_PRJEB4211_v1:2:3564120:3568546:1 gene:GSCOC_T00020364001 transcript:CDP05354 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-amino-7-oxononanoate synthase [Source:Projected from Arabidopsis thaliana (AT5G04620) UniProtKB/Swiss-Prot;Acc:Q8GW43] MAAWCWDYWVAEALLKLESLKVMRSLRPIHLIRPESNQTESDELEFYDGLRQWDRASVEVQISETTFQKWLQDIPSSGDDTVIGNGEDDDGAGPCGGKFKKLLIFSGNDYLGLSSHPTVIKAAVKAAQLHGMGPRGSALICGYTNYHRLLESALADLKKKEDCLLCPTGFAANMALITAVGSVGLLLAEGGKPKRDERVAIFSDALNHASIIDGIRLAEKQGSLVNIVYRHCDMVHLNSLLSSCTMKKKVVVTDSLFSMDGDFAPIAELVKLRRKHGFLLAIDDAHATLVCGKTGGGAAEKFKCENDVDICIGTLSKASGCHGGFIACSKKWKQLIQSRGRSFIFSTSTPVPIAAAAHAAVNVAKQETWRRKAIWNRVLEFRAMTRIPITSPIISLIVGSEVKALEASRHLLKSGFHVTAIRPPTVPSNSCRLRIALSAVHTRDDLRKLVTALSECINFEEIGFNCKNYSSKL >CDP14013 pep chromosome:AUK_PRJEB4211_v1:2:5087637:5091826:-1 gene:GSCOC_T00039178001 transcript:CDP14013 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHSNPRTVEEIFKDFSARRNAVIRALTTDVDQFYSDCDPEKENLCLYGYPNDSWEVALPAEEVPPEVPEPALGINFARDGMQRRDWLSLVAMHTDTWLLSVAFYFGARLNRNERKRLFSLMNDLPTVFEVITERKLLKDKHGVDSGSKSRSGTKRSSDEHAKGNPRLQDGSYVEEDEDEHGETLCGSCGGNYNADEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPSCGTKKGRP >CDP00088 pep chromosome:AUK_PRJEB4211_v1:2:6296917:6300751:-1 gene:GSCOC_T00029878001 transcript:CDP00088 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTTAEREIVRDVKEKLAYIALDYEQEIETSKTSSSVEKSYELPDGQVITIGNERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFAGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKSEYDESGPSIVHRKCF >CDP08699 pep chromosome:AUK_PRJEB4211_v1:2:53730463:53735019:-1 gene:GSCOC_T00027756001 transcript:CDP08699 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNAVGWTPLSVLSERRTEPRKYLPFHAVPQIKTRPTLANFRSDPAKVAGALPKTIGAGIVLLSSVLGSGSAEALSYEEALQQSLGEFPSLDLDAFDVSGVIDSVTNFVAENPLVVGGGVAILAVPLLLSLVFGKSKPWGVESAKAAYARLNDDASAQLLDIRPPVELRQVGSPDVRGLKKKPVAVAYKGDDKLGFLKKLSLKFKEPENTTLFILDKFNGNSELVAELVTANGFKAAYAIRDGAEGSRGWMSSGLPWTLPKKFSLDLSNLTDTIGGTVGEADATSVILGIAAATGLGLLAFSEVETILQVLGSAALVQLIGQKFLFAEDRKKTLEKVDEFLTTKVAPKELVGDVKLIGKALLPLPVTSKALPAPTEANPGTIGSTIERVESVPGVSDAPSKVEAVAEPTAEINSVPKAEVETDSLPGISRPLSPYPNYPDLKPPASPMPAQP >CDP13848 pep chromosome:AUK_PRJEB4211_v1:2:33988910:33991585:-1 gene:GSCOC_T00038961001 transcript:CDP13848 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFFFRYILYVWVLLVTCGLWLFSQLIILNIYICVLIDLSLYLSQVDIPIKYLEFFLDDDVELEHIKREYGAGRLLIGEVKKRIIEVLTEWVEKHRRAHATAIDEMVDAFMAVRPLPNMFS >CDO99906 pep chromosome:AUK_PRJEB4211_v1:2:7883755:7887319:-1 gene:GSCOC_T00029619001 transcript:CDO99906 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAKISWPLMWLLFLCFFLLNLSDGESSRYEQEELSWLADNDDEINMIQGRHASLRRCDLTSGKWVYDQTYPLYDPSTCPYLTTAVTCQKNGRPDSDYEKWRWKPDGCTIPRFDALEFLGRMRRRRIMLVGDSIMRNQWESLVCLVQSVIPTARKMVTYHGPAMAFQALDYETSIEFCWAPFLVELKKETGGKRILHLDLIEENAKYWRGVDVLVFDSAHWWTHSDQYSSWDFLADGNNAYTTMNPMVAYEKGLTTWAKWIDLNLDPRRSRVFFRSMSPRHNRDNGWKCYNQKEPLQYFSHPHVPPQLPVLRGVLRRMRFPVYLQDITTLSALRRDGHPSVYGRSIGQEEKQHVKGYTSDCSHWCLPGVPDTWNEMLNVLL >CDP14075 pep chromosome:AUK_PRJEB4211_v1:2:5573637:5574407:1 gene:GSCOC_T00039262001 transcript:CDP14075 gene_biotype:protein_coding transcript_biotype:protein_coding MGENPQKNGRKNKVETSRFSIYKILRDRAQVEQLLRYVIEEPLEDADSKRTFKFPFIACEIFTCEIDVILKTLVEEEELMDLLFSFLEPNRPHSALLAGYFSKAFSFAVLQVVICLMLRKTVPLMNYVQVIEK >CDP05288 pep chromosome:AUK_PRJEB4211_v1:2:3025018:3029863:-1 gene:GSCOC_T00020280001 transcript:CDP05288 gene_biotype:protein_coding transcript_biotype:protein_coding MDVELEDLTEDYVEPSEIDWGYEFDAARFFDFCRPESDREAQEAERWFAVAGNYPVSPLVMKLYWGGDIQGEDTITCSSLDDCKSSNADVGICSDGSPSEGAMKTKTTSVPSSSKPRTSTLMKPTASHLAKVNKARDLLSSHLYQRSLQKSPGFDNLATKRQKLEIGYLCKVSHLKHQALLLHKKSQKEGTVTPKIAHSKMKVTVPKEPELQTQQRAINRRSRTNSESSEHSELEIQTCRSRSLNRKAHTVIQPKKSKAQLPVFQAFNLKTTERALQRSSRNELKTHNSGSISQSIPAELKRSCIPVHPTEVKKTGKKSDPDDALKKEKHETSLKLKVWPISNKIFPTKDDTFGTRSGSEEAITAMDLKLQKDQRLSHNPPTDLFNKLSLRSEPGSDAVSQPNIHMPNKVSFVDTMACHFHSF >CDP15234 pep chromosome:AUK_PRJEB4211_v1:2:48974386:48976827:-1 gene:GSCOC_T00042870001 transcript:CDP15234 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFIKGAEVEISSDEDGFRGSWFAGTVIRKLKNDKILVEYKTLMQDDSRKIPLREEIDVVQLRPPAPRETHREFKVSEEVDVYFNDGWWEGVITGVSKTGKFAVFFRSSRELSKFHPSKLRLHREWVNGTWVPQLEIEPKEDKKVSILPAKRKPGKETVEEKFSRGATVEVRIDEDGFQGAWIPATVIKRFDEDKYLIQYRSLRNEEDTEFLKEEVASINIRPHPPETAFVDCFKVNDKVDALFNDCWWEGVISRVLREKKYIIYFPGTEDAVKFKHSDLRQHQEWIDGKWVIPS >CDP05025 pep chromosome:AUK_PRJEB4211_v1:2:961069:963291:1 gene:GSCOC_T00019930001 transcript:CDP05025 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVGPRLYSCYKCKNHVSLHDDIISKEFQGRNGRAFLFSHVMNIVLGVKEDRQLMTGLHTVADIHCGDCHELLGWKYERAYDSTQKYKEGKFILEKSKIVKENW >CDO97398 pep chromosome:AUK_PRJEB4211_v1:2:18775603:18777180:1 gene:GSCOC_T00014734001 transcript:CDO97398 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTPVDFHDLQGEAGQSQIMKPPPHTEHHVKSEGGDMREDTEEINALLYSDSFPGNDDDVDGEDDDLTSTGHSPFTIIGGIEMHEKVWELTEEVGSTDGSTKRHKLLDGGYKKSSLVDTADPLKLAKFCNDDNHVETNCAKGGDLNEEMDSNINTTQKRAKICKTLRILESIVPGAENKDPILIIDDAINYLISLREKAEALGLGLPEVQCSRQQ >CDO99478 pep chromosome:AUK_PRJEB4211_v1:2:12120990:12121448:1 gene:GSCOC_T00029072001 transcript:CDO99478 gene_biotype:protein_coding transcript_biotype:protein_coding MQQATPYTTWPPTTATSAGGSTSLESQLSDSSKSAENDGMTSSTSQVTRLVSENAVIAFGRRGCCMCYVVKQLLLGLGVNPTIFYVDEEDEGAIIDELSKIAGVLEGDGNGKVQFPAVFVGGKLFGGLERVMATHITGELVPMLREARALWL >CDO99974 pep chromosome:AUK_PRJEB4211_v1:2:7327546:7329446:-1 gene:GSCOC_T00029711001 transcript:CDO99974 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKVFFDILIGNVKAGRVVFKLFADTTPKTAENFRALCTGEKGIGISGKPLHYKGSTFHRIIPSFMCQGGDFTRGNGTGGESIYGMKFADENFQKKHIQPGLLSMANAGPNTNGSQFFITTVSTPWLDNKHVVFGQVVEGYNVVEAMEKVGSASGKTSSPVVIEDCGEMKEQ >CDP05227 pep chromosome:AUK_PRJEB4211_v1:2:2559552:2560733:1 gene:GSCOC_T00020196001 transcript:CDP05227 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSKLYAQNDDLKICQKKNERNKKAREKFAALSAEQKEAHRRKNREAYHRRKITKLLSKPLDPESVQPTKESNIKPLLRPASTKNNNDGVLMPQLTEQHLHDTVAKYDRSTLPGTSDTNVTTAFFSLIFSYLAPSIKVTMIINSVYPTLDQISRIVSFAFLLMKKVILQAPTTSIYARIVVQSMTKV >CDP05335 pep chromosome:AUK_PRJEB4211_v1:2:3412871:3414009:-1 gene:GSCOC_T00020340001 transcript:CDP05335 gene_biotype:protein_coding transcript_biotype:protein_coding MDILVLCIFRHGMLTSSCLSLCTRMGVFSPMKNLALKILGWRSYCRSSILSLQRGCRQLKYSGFNLQTVNIFPCICARCSFSGFDSDGLAASETQLLLVYQNFEASSFVSQIVNFTIPTGGILKIYYLGW >CDO97409 pep chromosome:AUK_PRJEB4211_v1:2:18840655:18841893:-1 gene:GSCOC_T00014749001 transcript:CDO97409 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHHRKLLNDSLENSVERLCLSFCDPVHNLDGSCPVRCILQCYPTCNHPLFPGLPPPPPDHTLLSDGKHSTQPSGLYVSLSVSLGVLATTFLIFSIYMIYKFYKRRYTSSRSRQLIPQPQQQPGEDEEAHLDFLDEDQGPVVDHPIWYIRTVGLQPSVINAITICKYKRGDGLVEGTECSVCLNEFQEDETLRLLPKCNHAFHIPCIDTWLRSHTNCPNCRAGIVVSTANLQSSSQSHHNSGRVEEIQMGVSENNRDSERERESEVLEVGVEVEEEDESRVGTGNASRRNENFMEDVGNSGAREEEGIQPLRRSVSMDSLSAFKVSAAIANAFPPQCDRNSDNKNMQMNGSSMGLAPKGAGNEQCLSRSEGCSSVERSSQKETSAVKRSLSCSARVFLSRYSRSRNSVPQP >CDP15199 pep chromosome:AUK_PRJEB4211_v1:2:49541412:49552031:1 gene:GSCOC_T00042819001 transcript:CDP15199 gene_biotype:protein_coding transcript_biotype:protein_coding MFFMFFYIVSTLATASSSAYLEVGFYTKSCPSAEAIVRRAVKKAVSYNPGIAAGLIRMHFHDCFVRGCDASVLLDSTPKNRAEKDHPTINKSLRGFEVIDEAKAEIEAACPKTVSCADILTFAARDGAFLVGGINYEVPAGRRDGKISKIDDPLGNLPTGFFNVTQLEHNFARKGLSTEDMVTLSGAHSIGSARCPSFSNRIFSFNSTQSQDPALAPQFAEQLKKKCPIQSKKGASGGNRITGTALFGLMLQKSLSICRTSLEIGFYRNSCPSTERIVEEAVEKAATRDP >CDP09409 pep chromosome:AUK_PRJEB4211_v1:2:22751421:22756697:-1 gene:GSCOC_T00028758001 transcript:CDP09409 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLIEAAQTGNVQDLQNLIKEYALLLRAAPLAGSETPLHIACIGGHTDFVKEVLKLRPEFAEELNQDGFSPLHIASGNGDIQIVKELLQVNCFLCLIKGKERRIPLHYAAIKGRIHVIHELLSACSESVGNVTGRGETILHLALKNNQFEAFEFLVEYLIRSNNWEILNKKDHQGDTILHLAVSRKQYEVINFMLDKNVFHEGRVEVNSLNNRGFTPIDSLLSEGGDREIEDMLKAAGARAAADLQSPQNGALSDNLVVTTQSPSPFQSRRARAQSPSEKLLHYFKFDKLRDSPNEVRNTLLYFKFQVQRDSPSATRNALLVVAALIATVTFQAGVTPPSGVLDKPNQPNPDQNQAAIFGSHATSYLFLFSNSLGLTASLSIIIYLTGGFPFQRELLISIFAMMSTYGFSVSGILKKQRREKEVVGYILLTVAFLLPFLMRWLPTCGKMAWKKCNRRFSKGNLLPVEH >CDP13981 pep chromosome:AUK_PRJEB4211_v1:2:4811572:4816570:1 gene:GSCOC_T00039137001 transcript:CDP13981 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMFLLCQNFSFCWSLNDEGLALLRFRERVVSDPFGALTNWNDDVGVVNPCSWHGIECSDGNVVSLNLKDLCLEGTIAPDLGNLIHIKSIILRNNSFFGIIPGDIAKLKELEVLDLGYNNFSGPLPSDLGNNLSLAILLLDNNELVGCLSPEIYELRTLSEVQVDENQLNNARKTSSWKGEVLSQNIPEFKDVTQRKLLNTFPGRPENLRVFAQPPPKDLPSEIPGSPSPSPSPSPSPSPSPSPSFSSPSPAPTFSSAGPSPSPVASASQPSVPTLAKSPIAPVKKSRSSHHHVLILSSTIGGSLLLLLLVTGIFICRSSKVAVVKPWATGLSGQLQKAFVTGVPKLKRSELETACEDFSNVIGSSSAGTLYKGTLSSGVEIAVISISVISAKDWSKNMEVQFRKKIETLSKVNHKNFVNLLGYCEEEEPFTRMMVFEYAPNGTLFEHLHIKEAEHLDWTMRMRIVMGMAYCLQHMHQLTPPVYHKNLNSSAVYVTEDYAAKISDFGFWNEVAAAEMESNPESNIYSFGVLLFEIVTGRLPYSVDRSTHGDWASDYLGGEQPLRNMVDPTLSSFQEEQLERICTIMKSCVNPDPRRRPEMREVAAILREVTGIGPDAAIPKLSPLWWAELEILSTEAN >CDP07794 pep chromosome:AUK_PRJEB4211_v1:2:26563219:26567264:-1 gene:GSCOC_T00025178001 transcript:CDP07794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 28 [Source:Projected from Arabidopsis thaliana (AT3G52080) UniProtKB/Swiss-Prot;Acc:Q8L709] MDSNNESTGYNNIHLMPINLMPGDCKSAGMTVARIAGYMLGFFFIVFLCNFLHILLRPLSQPRLISECIVGFFLSNLPFVRPQIDKLIVQALDFVVDFGMICHMFVVGLEIDPLIFSQIPLREAKVASSAVLTTFVLASLLTPFIKFPESPNYIFNFCLATILSGTASPLLTRLITDLKIGKSDIGRFVVSAGVHSDLISTILISFGYIFFDPYNSFSSRKSLAILSMICTLTIQIILAAKLTPVFMNWVNHENPEGKPMKGSHLVLSIAYIVIISGFSPNFGGYNKVLSAFIAGVFMPRDGRIARMIIGKVNYFITTIFNPLFFFWVGLQIDFSRSRASHLDAWGKFFSLYLLSTVGKVLGSLVSGVILGFHWPESVAIGLLLNIKGRFQVYLALTAWSINLTSISTSIAMVFSALLTVVYAPLIVAKIIERARKRSPTQRMALQWLQPTNELRILLCLRGSQNVMSAINFMEISRGPADPGIVVYITDMVELTEKVAATLTHGQGADAITVTDPEVREMREQITNAVDAYLSEDKDGISHRRMLALSTINNMHQDVCILAEDLHISLIVLPFHKHLEADGRLNAGHSGFRHVNRKVLRNSPCSVAILVDRGLGSTTISRSTTSLAAAVIFIGGKDDREALAFAGRVARHPGVKLTVIRFLLEVSGDSVSSKISRAKANSTEHQEEMKVDDECFAEFYDRHVAGGRVAYMEKYLINSGQTFSTLRSFEGQYDLFIVGRGGRVNSVLTVGMNDWEECPELGPIGDILSAPDFSISASVLIIQQHSLKSELDGLHEEFSVM >CDP17167 pep chromosome:AUK_PRJEB4211_v1:2:50498374:50500176:1 gene:GSCOC_T00006335001 transcript:CDP17167 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKELVITNYSGNKFPGWLSLLQLKLTNIQLQGCSSCSILPALGQLPLLKTLYIEECPELTELPPSLDNHDSLQLTTTRCPGLQGWEDKLTNAFRSRTVFS >CDP05202 pep chromosome:AUK_PRJEB4211_v1:2:2389035:2390492:1 gene:GSCOC_T00020166001 transcript:CDP05202 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLYVRVTKVKLTNPPANSSAFVKLVIGTHAIKTKSQACNDMGWDQVFAFDKEGLNSASLEISVWTEKNWYSLEGGEASASPGNDVMLSVWLGAPAGEAFQEAWQSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLQLGSGRSEPGVRNPELFVKGQLGAQLFKTSRTSVGLSSSASSTPTWNEDLIFVAAEPFEPFLVITVEDVTNGQSVGFVKVQLSTIDKRMDDKSEPRSRWFNLIGDEKTKPYAGRIHVRLCLEGGYHVLDEAAHVTSDVRATAKQLSKPPIGLLEVGLRGATNLLPVKTRDGTRGTTDAYVVAKYGPKWVRTRTILDRFNPRWNEQYTWDVYDPCTVLTIGMFDNGRYKHDQGDKKDVRLGKLRVRLSTLDTNRVYMGTYSLMVLLPNGAKKMGEIEIALRFSCSSWISLIQAYYTLVFYYKSFWFES >CDP18379 pep chromosome:AUK_PRJEB4211_v1:2:43207498:43208982:1 gene:GSCOC_T00012959001 transcript:CDP18379 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQSKSDQGMSDSGRRKGSSEKRASSIIEATEGALLNAGVSPPPSAPCGACKFLRRKCISGCIFAPHFGSDQGAARFAAVHKVFGASNVSKLLLHIPENRRNDAVVTISYEAQARLSDPVYGCVSTILALQQQVASLQAELAVVQTQLMNSRLAMANALRDSQVIQQQQIVALQPAYSNTSAASNTLMNINSFNSNFDLVGEAAPSNSFDPVHLSQAPEEVEEEDEEESQNHLDFTSQIFHQDNKTFF >CDO97700 pep chromosome:AUK_PRJEB4211_v1:2:21134368:21140685:-1 gene:GSCOC_T00015114001 transcript:CDO97700 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRGRSPLKVFVSVAAAEKPSTVPEIVLQPIKEISGTVKLPGSKSLSNRILLLAALAEGRTVVDNLLDSDDIHYMLGALKTLGLHVEDNRAVQQAIVEGCGGLFPVGKESKGEIQLFLGNAGTAMRPLTAAVAAAGGNSSYVLDGVPRMRERPIGDLVSGLKQLGSDVDCFLGTNCPPVRVVGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISVPYVEMTLKLMERFGVTVEHSGSWDRFLVHGGQKYKSPGKAYVEGDASSASYFLAGAAVTGGTITVEGCGTGSLQGDVKFAEVLEQMGAEVTWTENSVIVKGPRRDSSRGKHLRAIDINMNKMPDVAMTLAVVALFADGSTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCIITPPEKLNVTSIDTYDDHRMAMAFSLAACADVPVTIKDPGCTRKTFPDYFDVLEKFSKH >CDP13904 pep chromosome:AUK_PRJEB4211_v1:2:4238303:4242481:-1 gene:GSCOC_T00039042001 transcript:CDP13904 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLSLLYLIWAVPQRSSAASPCQARRNLALRGDKFNRKPVQEASPSPSPGPAVAKSNSGDGYFRGNPPKTEASPSPIPGPEAAKSKSVDHNIEGNEPNALETCDLNFQKCHDDVTNVTACLLPKDEDANSGLFLLVHNDGQSSVKLNVTLLPVNSSQNDVDILAHKVRKINVSSIASRSSSIVVNAGNWSCVIERGVRVPPGLPSYTAYVKPINGAYLLLATALLIGVMWACCKIGRDGRHLNGVPYQELEMGQQGSGSSRNVETLEESWDQSWDDDWDEEVTLKSPGGNHLGSRRANGVDSNGWGSDWNDQ >CDP07775 pep chromosome:AUK_PRJEB4211_v1:2:26291738:26296321:1 gene:GSCOC_T00025153001 transcript:CDP07775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 43 [Source:Projected from Arabidopsis thaliana (AT3G08040) UniProtKB/Swiss-Prot;Acc:Q9SFB0] MADNAVLASPERKWNFPLLVFFKDVRSVFKLDDLGLEILRIAFPAALALAADPIASLIDTAFIGHLGPVEIAAVGVAIAIFNQVSKVAIFPIVNITTSFVAEEDTVKRIADESQADDLEKGSVKINETEEQTPEDAKVEKLDTSGPENEPKEFEKECDFKTASCKSSPVTKKSATEGKHKPERRHIPSASTALVVGGVLGLLQTVLLIFLAKPILGFMGVKSGSPMLAPAQKYLTLRALGSPAVALSLAMQGVFRGFKDTKTPLYATVAGDTTNIILDPIFIFAFHFGVSGAAIAHVLSQYLISIILLCKLMKQVDLLPPTTKRMQFSKFLRSGSLILTRVLAATICVTLGASMAARLGPTPMAAFQVCLQVWLTSSLLADGLAVAGQAILACAFAEKDYEKTTAAARRVLQMGLVLGIGLGLVVGLGLYFGSGIFSKDKHVLAIISIGVPFVAGTQPINSVAFVFDGINYGSFDFAYSAYSMVMVAALSIGCLFLLSKTHGFIGIWIALTIYMVLRAFAGLLRVGSGTGPWRYLRGTSLS >CDP17786 pep chromosome:AUK_PRJEB4211_v1:2:51361517:51363338:-1 gene:GSCOC_T00003907001 transcript:CDP17786 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTAHGRPLPPPFHTRDLQLQHHPHQFQQHHHQHHKAEDENSGSSTPNRGSQKRDRDESFMIPTCRNKELVPAGNGGGGDDNHDEVRRRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIASGCDIQESVSNFATRRQRGVCILSGNGTVNNVTLRQPAAPGAVVTLHGRFEILSLSGSFLPPPAPAAASGLTIYLAGGQGQVVGGSVVGPLLAAGPVVIMAASFGNAAYERLPLEDDETAAVPPPGSGPLGSPGAGIVGQQQPQQSQQLLPDPSSSLFHGMPPNLLNSCQLPAEAAYWGTGRPPF >CDP05336 pep chromosome:AUK_PRJEB4211_v1:2:3414152:3417657:-1 gene:GSCOC_T00020341001 transcript:CDP05336 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGAARNLTEEERKGYKEITWDSKEVCSFYMVRFCPHDLFVNTRSDLGPCPKIHDQKLKESFEKSPRHDAYVPKFEAELAHFCEKLVMDLDRRVRRGRERLAQEIDVPPPPPIPAEKSEQLAVLEEKIKNLLEQVESLGEAGKVDEAEALMRKVEVLNAEKTALTQQPQQDKVLMMAQEKKMALCEICGSFLVANDAAERTQSHVTGKQHMGYGMVRDYLAEYKEAKEKKREEERLAREKEAEERRKQREKEYESRHRRSESSERDRYRDRDHNRERDRYRERDGDRERSREGNSRETREGGRGSDWKYSSSRNGRDRSRERYRQRDRSRSRSPVRYGNRRSSRSPVRQY >CDO99814 pep chromosome:AUK_PRJEB4211_v1:2:8667072:8672771:-1 gene:GSCOC_T00029508001 transcript:CDO99814 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKTSTSLAPGFRFHPTDEELVRYYLRRKLCGKPFRLDAISEIDIYKAEPWELPGKSRLKTRDLEWYFFSVLDKKYGNGSRTNRATEEGYWKTTGKDRPVRHKSQVVGMKKTLVYHSGRAPKGQRTNWVMHEYRLIDEELEKAGIVQDAFVLCRVFQKSGSGPKNGEQYGAPFVEEEWEEDELEMVPKEEAAEEAEVGDDSYWDGIDLDQILGTEISPDNDHMSLNVVAGENVASVEENTDSSNDPQKLLVSNLPLEFYSGENIRGGEETTGSNNDSQNHLVGAGEYNCVPEQSDDQNSYNLPVHYDLHQKAVKREYIGEPSNALDAENVNYLLDEPYMDALDNLQFDDGAFLETNDLSNPIAADTSAFGMLEEYLNFYDADGEIPPYMNHDLPEMMGINETLVSGQASILPKESEEKAQSAEESSEKLLGRGDVASSSKEEPTKEYFQYPFIKQASRMLGSIPAPPAFASEFPSEDATLLLDPSSSSTAHVTAGMIQIGNIGPGSHGMDWLFGKHGRYNVVLSFGLSRGDDNSTTLESVVSIRPGKAAASGMSRGWFCFIFFWVLILSVGFKIGTCICAR >CDP17199 pep chromosome:AUK_PRJEB4211_v1:2:47678224:47679450:1 gene:GSCOC_T00000668001 transcript:CDP17199 gene_biotype:protein_coding transcript_biotype:protein_coding MTWGFFLQLAWCNVSVPLFILLDAVLEEERRFGFAGSLHHSHMRNPAVLDPGALLLLTTCEI >CDO96920 pep chromosome:AUK_PRJEB4211_v1:2:15018531:15029262:-1 gene:GSCOC_T00014106001 transcript:CDO96920 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL3 [Source:Projected from Arabidopsis thaliana (AT4G38600) UniProtKB/Swiss-Prot;Acc:Q6WWW4] METRSRKRVEATSSAPSSSSSSGPTTRATKRARLSAAAAAPTATTTATAAANSSSISTRSRVVTRSKDSLASSTPMDSTNESSGSGTRSRRGKNPSHASDKDNSDKGKEKEHEVRVRERERERERDRDAERSLGLNIDSGGCDDDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSSAMGSGSASHQSGRLKKILSGLRSDGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNRESNIDIMLLAARALTHLVDVLPSSCAAVVHYGAVSCFVARLLTIEYVDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASICLTRIAESFATSPEKLDELCNHGLVTQAASLISTSNSGGGQASLSSSTYTGLIRLLSTCASGSDLGAKTLLLLGISGILKDILSGSGLVAGMSVSPALNRPAEQIFEIVSLANELLPSLPQGTISLPASTNLFMKGSYTKKSPGSSSNKQEDSNGNSLEVSAREKLFIDQPELLQQFGIDLVPVLIQIYGSSVNGPVRHKCLSVIGKLMYFSTADMIQSLLSITNISSFLAGVLAWKDPQVLVPALQIAEILMEKLPGTFSKMFIREGVVHAIDTLILAGSQSNAPQQQTSNEKDNDSIPGSSSRLRRNRRRGNNSNADVNHSDDSKNPVSSFGSPPNSIELSSVNSSLRVTVSACAKAFKEKYFPSNPEATEAGITDDLLHLKNLCVKLNAGIDEQKLKAKGKSKSSGSRLADVSASREENLVGVISEILGELSKGDGVSTFEFIGSGVIAALLNYFTCGYFSKDRISEAKFPKLRQQAVKRYKSFVSVALPSNGGEGSGAPMSVLIQKLQNALSSLERFPVVLSHTSRSSSGNSRPSSGLSALSQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLAAVEDFLWPRVQRSDCGQKPSVSAGNSDSGTAIAGTAVSSPSTSTPASTTRRHSTRSRSSINIGDANKKEPAQEKSASSSKGKGKAVLKSASEEGRGPQTRNAARRRAAVDKDAQMKPVTGDTSSEDDELDISPVEIDDALVIEDDDISDDDEDDREDVLRDESIPVCMPDKVHDVKLGDPTEDATDAPVSGDSQINPVGGSSSRGPSVGVADSADLRSGSSFGSRGAMSFAAAAMAGLAAGSGRGMRGGRDRHGRLLFGSSDPPRLMFSAAGKQLTRHLTIYQAIQRQLVLEDDDDERYAGSDFLSSDGSRLWSDIYTITYQRAESQSDNASLGTPISTNLSKSTKASSSATVSSESASHQGSLLDSILQGELPCDLEKNNPTYEILALLRVLEGLNQLAPRLRIQTVIDDFSEGKIATLDALSATGVKVPSEEFINSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAVKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQQVKLGMWRSSASSDEPVMEVDGGTDGKTNASLDSLHGERDLILAPLGLFPRPWPPNADTSDGSHFSKVVDYFRLLGRVMAKALQDGRLMDLPLSTSFYKLVLGQELDLHDVLSFDAALGKTLQELQALVCRKQYLESIAGHIHDKVDDLLFRGAPVEDLCLDFTLPGYPEYVLKPGDEDVDINNLDDYVSLVVDAVVRTGIRRQMEAFRYGFNQVFDISTLQIFSPNELDYLLCGRRELWKADTLVDHIKFDHGYTAKSPAIVNLLEIMGEFSPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAGNTTNSSIGPSESADDDLPSVMTCANYLKLPPYSTKEIMYKKLLYAISEGQGSFDLS >CDP00138 pep chromosome:AUK_PRJEB4211_v1:2:5863560:5869862:-1 gene:GSCOC_T00029947001 transcript:CDP00138 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGEGGEESLRVKMEVEGGKERLVYMWGYLPGALPQRSPLLSPVVVRLPQSIGAGTSWKDVCGGGCGFAMAISESGKLITWGSADDLGQSYVTSGKHGEMPEPFPLPTDGSIVRAAAGWAHCVAVTESGDVYTWGWKECVPSGKVLGDPSLGLGIEKDVTERQSSFSLEQVSPRSQGSRSTSGAIPNVDKGGGEETAKRRRISSTKQTAESSSSADETLSALPCLVTLNPGVRIAAVAAGGRHTLVLSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHLVPCIEPSAFGKDRTVATSRGSMASEGHNFRVPGNYVKGIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELNPTCVSSLLGVRIEGVAAGLWHTICNSADGDVYAFGGNQFGQLGTGSDQAETLPRLLEASSLENVHAKEVSCGARHTALLTEEGNVFCWGWNKYGQLGLGDVIDRNIPSQVAIDGCVPKNVACGWWHTLLLAESESPT >CDP17190 pep chromosome:AUK_PRJEB4211_v1:2:47553762:47563813:1 gene:GSCOC_T00000656001 transcript:CDP17190 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDGGDILDDGDGVGGGGGGEGEGEALVTVPCSICLDAVTDNGDRSWAKLQCGHQFHLDCIGSAFNAKGAMQCPNCRKIEKGQWLYATGCRQLPEFNMDEFAHDEDLYDLSYSEMSIGVHWCPFSGLTRLPSSFEEGEYSSTAYHEIFGQHAILAEHTAISSASHPCPYIAYFGPVHPSSSNSNASVSDGSNFNNHWNGASVPNEIPASYAFPGMDVHYHSWDHHSSPFPTTSSRIGGADQPSIPSAAQRAARTNSDVPRSGSFVHPFIVGHSSAARAGSSVVSSMIPPYPGSVARARDRVQALQAYFQQPSNTPSIRNPVMSGSRRSSAHRSVAQVGPVASSSDQTGAFYFIPSSSSGRTYQEAENSLPNRFHGWDREHLPSFPSSQIDRDPGWGPFHQAAGGADGSLRTNSFRQRHGPERMPSQNRS >CDP17158 pep chromosome:AUK_PRJEB4211_v1:2:50269077:50271119:-1 gene:GSCOC_T00006322001 transcript:CDP17158 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRNTHWCYTCRQPVEIRRQNAVCSNCFGGFIQELDEILTMNLEDQNHRPRYIEAVSNFLRQQTSVRGNNRGRTDWGTDHGSIWNPLLIFSGDMPIRLPGNGGLVEFLNDALGFRRENGGDYFVGPGVEEFFEHLTSSSDQRVPPPASRSCIDALPTVKISKKDVRSDSHCPVCKEKFELGSHARKLPCNHLYHSDCIVPWLEQRSSCPVCRQELTSEQRNQNSRGQNRSSSRRFSGREGRSENPERRRPWSFLWPFGSSHSQRRRNGTAETSSTAVPNHQDYNYTEYSNWPFE >CDP08914 pep chromosome:AUK_PRJEB4211_v1:2:51801416:51812097:-1 gene:GSCOC_T00028048001 transcript:CDP08914 gene_biotype:protein_coding transcript_biotype:protein_coding MISFFFKGGSFSLHNCYPFYDGIYQKKALRINQSITPTLLSFSRYLVFLDFWLSPHSSLSHATIFLLKEEKGVGKEKQVQVRLEEFGFFPFVGISPLCLQPKGSLGFCQFCVKMVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFGSSSMKEIIERYQKHAKDVRANNPSAEQNMQQLKQETASMVKKIELLEASKRKLLGEGLVSCTVEELQQLERQLERSVNCIRARKMQVFQEQIEKLKEKEKVLEAENDKLLEKCGAEPPQTSKENTEIVPCTESSEVSDVETGLFIGPPERRNKLVLKN >CDO97040 pep chromosome:AUK_PRJEB4211_v1:2:16065908:16069092:-1 gene:GSCOC_T00014259001 transcript:CDO97040 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLERQEAIWMKWQEEQLHHPPPLPLQVRQLGHDFFNSTDQLNVFSSPAQAHHHFHGFITNDHHFSGLLTQGILKQDPGVQTTTGCDNFTNIGGPSAGLGACELGNITGFGMDYAVSRTVSCPPAMAAAMADVATAASKGRETTLPEKLSSSAGRESFKKRKADKTQNQKEATEQEGKDKRSRGCQKEEDSKVTEQKSTSKNTATNNTINREASENSKISEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAINPRLDFNIGDISTKEIFAVGTSELHTIGSTSEIINPLNYPFDSIQQVVSCCGLETGADPSEMALRRTISAPVSIHETLRDSPYFSQIHPSSTWDAELQSLYALEFQQGKSTSLLSQPCTGYLGGSNVKMEI >CDP08877 pep chromosome:AUK_PRJEB4211_v1:2:52125690:52132624:1 gene:GSCOC_T00028000001 transcript:CDP08877 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLNLPSSKQRNNARQWRLLDLVTAILFGAVFIFFVLVFTPLGDSLAASGRQTLLRSSMGAADPLQRARLVALVESTGNPVIDSCPAEMVDHMPCEDPRINSQLSREMNFYRERHCPAPEQTPLCLIPPPQGYRVSVQWPESLRKIWHDNMPYNKIADRKGHQGWMKKEGPYFIFPGGGTMFPDGAVQYIEKLKQYIPIAGGVLRTALDMGCGVASFGGHLLAEDILTLSFAPRDSHKAQIQFALERGIPAFVAMLGTRRLPFPAFSFDLMHCSRCLIPFTAYNATYFLEVDRLLRPGGYLVISGPPVQWPKQDKEWADLQAVARALCYELIVVDGNTVIWKKPMESCLPNQNEFGLGFCDESDDPNVAWYFKMKKCISQTSAVKGEFAIGRIPKWPERLTKAPSRATSRRNGMDVFDADTRRWVRRVAYYKKSLNVKLGTSSIRNVMDMNALFGGFAAALTSDPVWVMNVVPARQHSMLGVIYDRGLIGMYHDWCEPFSTYPRTYDLIHAVSVESLIKDSSSGKLRCNLVDLMVEIDRMLRPEGTVVVRDSPEMIDKVARIARAVRWRVAIHEKEPESNGREKILVGTKNLWKLPSASN >CDO96930 pep chromosome:AUK_PRJEB4211_v1:2:15125126:15129831:1 gene:GSCOC_T00014117001 transcript:CDO96930 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMGQMGLALGYVDVSIFVSLTSIWGFFGRILSGWVSEHFIRKAGTPRPIWNAASQILMAVGYVFMAMAFPGSLYIGSVVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDSQATPTAGGGNTCIGAHCYRLVFVVMAIACVVGFGLDVLLAIKTKALYTKIHASRKSKKTAATS >CDP13892 pep chromosome:AUK_PRJEB4211_v1:2:4147593:4149278:1 gene:GSCOC_T00039025001 transcript:CDP13892 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPDQQFAYANLNLDSIATCWAAPGSACQSRPCFPSHWILSSRVTNPTSRPNPICKSGPSCFQSKGGHFASATMTAFTSHVVKVHDLCSPLLMPTTRSKYTVSSGLTSVAWSQPHGGRLRLEQPWPNRQLEKVVECAKGGSASASASEWGDEIVTEEELMGLGKLGGKCEETRGIAELLECLEREAIMGEDEGKEPTDYNRRAQIFHKSSRVFQSLKESSTPLP >CDP17763 pep chromosome:AUK_PRJEB4211_v1:2:51623215:51626587:1 gene:GSCOC_T00003874001 transcript:CDP17763 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPNFWDPKSKTLQRPLRLSLSLNDPDHQKSVTVKISQSCPPSQSLKLQVFGTDSLSSQQQHSLLNQVRRMLRLSEEDNRTVRDFQEIHTEAKEREFGRIFRSPTLFEDMIKCILLCNCQWPRSLSMATALCELQWELQYPLSRDKVHNDTDSRSQTADSEHFIPKTPAGKETKRKMEVQKCPENLANKFTDANAVGEEVSVFKMACDHVLHCSKMVGDGRLINFPQLDDFSCSDGSEPYNCCRIGNFPSPNELASLDESVLARRCNLGYRASRILKLAQLVVQGGIKLGELEETGRQPTLSSYNILAEQLKEIDGFGPFTCANVLMCMGFYHVIPSDSETIRHMKQVHARQTTIKAVDGDLEIIYGKYAPFQFLAYWSEVWSFYEDWFGKPSEMPPTNYKLITATNMRPKRNAKCKRKKISVSEKHLQ >CDP08603 pep chromosome:AUK_PRJEB4211_v1:2:39555662:39557088:1 gene:GSCOC_T00027607001 transcript:CDP08603 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLPPSDSEFKQALEGNKIYRYLTGSVMFSTLEDGKIVRGLSGVPSEGPVILVGYHMLLGLELVPLVEEFLRQRKILVRGKSR >CDP13991 pep chromosome:AUK_PRJEB4211_v1:2:4897622:4899359:1 gene:GSCOC_T00039148001 transcript:CDP13991 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVQVRKADVVTPSEPTPTHVLRLSALDSQLFLRFPVEYLLVYKAPAHGLDRATTTARVKAALSRALVPYYPLAGRVRAVADGPGLEVVCSAQGAIFVEAFSDCTASEFERAPRHCNQWRKLLSSHVDEVLKGAPPLVVQLTWLSDGAATLGVGFSHCLCDGIGSGEFLNSFAELASRAKSTTGANEFKPTPVWARHLMDPSPFRILPCTVNSALSHPEFKKVPDLCGFSSRFSQERLTPTSVTFDRKCLNELKRLAMRAGRLSESSYTAFEVLAAHVWRSWAKSLNLASKQVVKLLFSVNIRNRVKPSLPSGYYGNAFVLGCAEVCVKDLIEKGLSHATELVKRAKERVDDGYVRDVVETVSTSRACPDPVGVLIMSQWSRLGLERVDFGMGRPVEVGPVCCDKYCILLPVHNQRDAVQVNLAVPTNSVDQYVHLLRIGARMPEE >CDP04913 pep chromosome:AUK_PRJEB4211_v1:2:65381:72851:1 gene:GSCOC_T00019782001 transcript:CDP04913 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGGLHDSTSPSSQQNNSEIDALARFAIQEHNKKENALFELVRVVEAREQVVAGTLHHLTLEVIDAGKKKLYEAKVWVKPWLNFKELQEFKHVEDIPSFTPSDLGIKKGFSPVQRFGFGNFAPLLKKMAMAWDGNQCQHMIRLSKMLQTMRLQPSSRDLTRFLLMSFLRYFMQMLRLLKNLLGLICILRSEGGGERRNSRLRLTGIVKVIST >CDP04971 pep chromosome:AUK_PRJEB4211_v1:2:490820:491681:1 gene:GSCOC_T00019854001 transcript:CDP04971 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSFKSRRARGLLGISVVALLGTAIWGGGLAKQLGYSRHHEPEVKLDFKDSEFAGPFVLYFSYGLLDAMFQSMVYWVIGALADDSEVLSRYAGFYKGVQSAGAAVSWQVDAHNAPFLSQLIVNWTLCTISYPLLAVLVIMAVKDEDGEDGGGEGKGKSVMPALH >CDP15191 pep chromosome:AUK_PRJEB4211_v1:2:49697013:49710146:-1 gene:GSCOC_T00042805001 transcript:CDP15191 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGRDDVESLGIELSELGRGLRESFHTCNASFRSISALSNGKDDDIDEELALQWAAIDKLPTFKRMKSPVFDEDSGNEADAKRRRVIDVTKLGALERHLFIEKLIRHVEHDNFKLLQKIRKRIDRVGVQLPTVEVRYSNLHVEAEFQVVSGKPLPTVWNSFKSMFSVSICFSVAGLKSQVSKICILSDVSGIIEPGRMTLLLGPPGCGKTSFLKALSGNLDKSVQVTGEITYNGYKFSEFVPQKTSAYISQYEMHIPEMTVRETLDFSARCQGVGSRAAIMSELSRREKKAGILPDPDIDTYMKAISVEGQDTTLQTDYILKILGLDICDNTFVGDAMRRGISGGQKKRLTTGEMIVGPTRALFMDEISNGLDSSTTYQIVSCLQQLAHITDATILISLLQPAPETFDLFDDIILMSEGKVVYHGPRNNILEFFESCGFRCPERKGVADFLQEIISRKDQAQYWYRSEETYLYHSVDMLSRKFNESCYGKKLDEELERPFMKCMDCKNAIILSRFLVPKWELFRACMWREFLLMRRNAFVFVFKSVQLLIVASITMTVFLRTRMGADVLHANYYLGALFFALIMLLVNGNPELSMTVARLGIFYKQRDLYLYPAWAYAIPSAILKVPISLLEAVVWTSLTYYVIGYSPEVGRFFRQLILLFSIHLTSISMFRFIASVCQTVVASTAVASLSISFVLLFSGFIINRPSMPIWLKWGFWMSPLTYGEIGLALNEFLAPRWQKMLPSNATIGQETLESRGLSFDGHLFWISVGALFGFTLFFNIGFILALTFLKPPGFRTIISSEKLSQIRGDKDSTIVGTPQNLRNSIGSYKGKMVLPFEPLTVVFQDLQYYIDTPVEVKEHGFSRKKLQLLCDITGAFRPGVLTALMGVTGAGKTTLLDVLAGRKTSGLVKGDIRIGGFPKVQKTFARISGYCEQTDIHSPQITVEESVIFSAWLRLHPQIDSVTKSGFVKEVLETIELDQIKDSLVGIPGISGLSTEQRKRLTIAVELVANPSVIFMDEPTTGLDARSAAIVMRAVKNVADTGRTIVCTIHQPSIDIFEAFDELILLKSGGRMIYSGPLGRQSSKVIEYFEGIPGVPKIRNNYNPATWMLEVTSTSSEPEIGVDFAQIYQNSTLYEDTRELVKRLSIPPPGSKALDFPTRFSLSGWGQFKACLWKQFWSYWRSPSYNLVRLLLMLIQSLLFGILFWDQGKKLNDQQSVFNILGSMFSAVIFCGINNSSSALPYVSKERTVLYRERFAGMYSSWAYALAQVTIEIPYIFAQAIIYTIITYPMIGYYWSIYKVFWYFYSMFCTWLYFSYLGMLMVSITPSLPLASILLSTSNTLLNLFAGFLVPRPQIPKWWIWCYYLIPTSWTLNGLLTSQYGDVDRQIEVFGETKTVAEFLRDYFGFHHNQLPIVGIVLILYPITFAAFFACCIRKLNFQKR >CDP05392 pep chromosome:AUK_PRJEB4211_v1:2:3849237:3850415:-1 gene:GSCOC_T00020415001 transcript:CDP05392 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWVEVCLISARGLRLTSALWKLQWFAVGWIDTNNKYCTRIDASGNANRVWKTKFSTVVDPSEPNFQDMALHVEVYSREPIFLRERLLGMTTVILKEFLEKYFKNSEVSNPVEEVGSFQLRKKNTNKPRRFVDISIRISEEREESSSYQGDEEGFKLMDNSMGINLDIGHGPLHSQFPAPSPLRQEVLEPVIFGDDFMSGFDFPRSLQDASLTISTDPPF >CDP00110 pep chromosome:AUK_PRJEB4211_v1:2:6172465:6175440:1 gene:GSCOC_T00029906001 transcript:CDP00110 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTCIIALSLLLTPTEARIPGVYTGDSWESAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGFSCGSCFELKCADDPQWCHPGSPSILITATNFCPPNYALPNDNGGWCNPPRPHFDLAMPMFLRIAEYRAGIVPVSYRRVPCRKQGGIRFQINGFRYFNLVLITNVAGAGDIVQASVKGSKTGWITLSRNWGQNWQTNAVLAGQSLSFRVRASDRRSSTSWNIAPANWQFGQTFTGKNFRV >CDO96790 pep chromosome:AUK_PRJEB4211_v1:2:13078493:13095025:1 gene:GSCOC_T00013920001 transcript:CDO96790 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVHSAIEEICSQGGGGLSLLNLWPRLHQSLSAHGLPLCPNVKAALWSNLLNVPGLRFEVEGDVYDCQDALINSLEKCEQMNMKIVASERLRSNFIGITDIEASDSKINEKHRRTLERLAIARTSGVTQSELAKEFGIKGKDIFYILKALECRGLILKQTTVVKTKEACDEGHKKTISNVATNMLHLYRYGKQLGYQQRLEIIKENIPLVDSDVADVTAANSAGFPKGLVKEDVNVKDYLPALKAICDKLEQADGKVLVVSDLKQDLGYRGTSGHRAWRNICPRLKDAGVVEECFTKVKNKEVKCLQLLKKFSPKHFEPKLSRQGYDELDVEQTTKLGKRGQITDQLVELPIDHQIYDMIDAEGSKGLTFTEVCRRLGICNKQYYDRLLDMYPRFGMHLQAESCKRSYVYRFWTSRNFNSEASDIIPCDTAMVMHENTESVPQPVVWETDDSFIPTIQEVDSSTYKDVADDAPVNEPEVCYNSTTNAEDNLMLLTPNNPQSPASEASGRVPDMELGIVNTTASNGTINNISPPVPVPMRRRSYQKYPCLALGAASALREQRILQLLKEEKFLIKAELHRRLEIENLEKEKSSMMDRRTLARSLNKLQEEGQCRCVPIHMPAISNCSASRTIEVVLHPSISNLSDQVLSQIQERHRLFEIQIRRQCYSRMKKGQSTPVLDSVQRIQTSVHSDTQAEQAEARLANGYVLAKMVRTKLLHIFLWNYLRGSHGWNDPLSIEKNGHDMRNPHSTSKMFGLDAAIKAMPLELFLQVVGSTQKFENLIEKCRMGLRLSDLPVEEYRCLMDTQATGRLSRLIDILLRLKLIRLVRAGHSDGEAKVQDITTLVHALELKPYIEEPVSIVASTCGFIFPDLRPHVRHDFVLSTRKVVDEYWNTLEYCYSAADSKAALHAFPGSAVHEIFFPRSWASARVMTVDQRAELLKRVVTDEPHKKLSYGECREIANDLNLTVEQVLRVYQGKRQKRFTSFGGDSYARGNEFDPLRHTSSSSARKRKRSFKGKSPKHAKSETKGGYWSKGRLAQISDTEREDTFITSLGDYGSHLLEERINDQMQAVEQQESNEENEHDQFFIHKYALSKLKTGRQNRFSWTEEADRQLVIEYVRNRALLGAKYHRTDWGSLSNLPAPPETCRRRMAMLNSSPQFRKAVLRLCNMLAERYEKYLEKYQKNSLNLDDGRPLVREAMMVGDCNENLFDCFEHGKELKSHIRWDNFDDCNIKIALDDVLRYKTIAKSNTSKQVDSYEWSHGQDPFNTDKVCQEGQICGGRSTNSAQRSSSYRIVQKYNKLLNEGNTTSRQIYGSVAVSNAAELFKLIFLSTSITPQASILLAETLRRYSQHDLFAAFSYLREKKIMIGGNATSPFALSQHFLHSISLSPFPPNAGKRASKFASWLDRREKDLIEEEIQLPADLQCGDIFHLCGLISLGELSITPCLPEDGIGEAEDSRTSKRKNDTSEYCGDKSKRLKTSMPGEGEIICRREKGFPGIRLSLSRVTVPRMCFLELFEDKDSTGVSLFCDKDQCNSPCPQSGGTSSLSDECTFLNEVKYDLGINCTATAAHKSPWESMTSYADHLVSSFHDGENSPFHTELFRTICSAIQKSGDQGLSMEEIANLLNIAGEKELEIVVDVLEAFGRAFKVSAYDAIHVVDSLYRSKYFLASVAESNQNPQVTPSVDFKGTSHEEHKLINVGSQEDDVGLQDDISTDTDDVHKITILNHPKELTEPLSVIQRSNEVEDHAHSEVISAEVNPRGDTFEVRSCDPFVYPILPWINGDGTINELVYKGLVRRILGIVMQNPGILRDDIIKQMGALNPQSCRKLLEKLIQDKHIIVRRMHQTTCPEPPAILRSLLGSCTKKSKLVYREHLFANPMSTALL >CDO99586 pep chromosome:AUK_PRJEB4211_v1:2:11184737:11186823:1 gene:GSCOC_T00029222001 transcript:CDO99586 gene_biotype:protein_coding transcript_biotype:protein_coding MELQETGNVGVPKYKKTGYDTIEEEIQGIACKENGKNSSKKYVLVCAIFASLNSVILGYDIGVMSGAILFVQEDLKISELQVEVLVGILSIISLVGSLAGGKASDAIGRKWTMAFGAIVFQSGAAIMALAPSFEVLMVGRILTGIGVGFAVAIAPTYIAEISPSVARGSLTSIPEISINFGILLGYVSNYAFSGLPVHTNWRIMLAVGILPSAFTCFALFIIPESPRWLVMQSMTAKARLVLLKTTENAKEAEETLAEIQLAAGLANAEKHKERSVWRELLNPSPGVKRMLIAGCGIQIFQQITGMDATVYYSPTIFKDAGIKSSSELLAATVAVGFTKTVFILVAILLIDKVGRKPLLYVSTIGMTGFLFVLGLTLSFLGNRPVGIKLAILCVCGNAAFFSVGIGPVCWVLSSEIFPLRLRAQASSIGAAGSRVSSGLVAMSFLTISHAITVGGTFFVFAAISALSVAFVYKCVPETKGKSLEQIEKPFQTDKHWKGGEVELGDVEHLVQKQ >CDP08617 pep chromosome:AUK_PRJEB4211_v1:2:54467042:54468111:1 gene:GSCOC_T00027635001 transcript:CDP08617 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEKCVDEGCKRRGYFNRSSAAVLKRGRGRAICSFLITFLVLAAITALILWLVYRPHKPKFRVVSAAVFDLNATAPPFLSATMQFTLTTRNSNRRVSFFYDQLSAFVSYRDQAITPPVMLPPLYHETKSTVIMSPVLGGASVPVSPEVSNGLAMDEAYGVVALRLVLLGKLRYKAGAIRTGRYGIYVECDMLVGVRKGFVGQVPLLPSPGCKVDT >CDP17749 pep chromosome:AUK_PRJEB4211_v1:2:51736612:51741614:1 gene:GSCOC_T00003853001 transcript:CDP17749 gene_biotype:protein_coding transcript_biotype:protein_coding MERHMLCFPADAPPLAVIVASKIAGLSLPTDPSLPSGSTPILHFSDGGKLRGLCVLLRYIGRVAKIPDFYNRDAFESTQIDEWLEYAPVFSSGSEFEGACGYVDRYLLQHTFLVGQSLSIADIAIWSALAGTGQRWQSLRNSKKYQNLVRWFNSIHDEFDAALNEVTAMYIGKKGLGTQAVSKVRDQQVSTSSSNSVNGAVSEKGVGGNRPVFEVHLPDAQVGKVRVRFAPEPSGYLHIGHSKAALLNKYFAEKYDGKLIVRFDDTNPDKESSEFVDNLLKDIETLGITYEAVTYTSDYFPNMIEMAEKLIHEGKAYVDDTTREKMQQERMDGIESKCRSNSVEENLSLWKEMVAGSERGLMCCLRGKLNMQDPNKSLRDPVYYRCNLTPHHKIGAKYKIYPTYDFACPFVDAVEGITHALRSSEYHDRNDQYYWIQTDMGFQKVHIYEFSRVNLVYTLLSKRKLLWFVQNGKVDGWDDPRFPTVQGIVRRGLKIEALIQFMLEQGASKNLNLMEWDKLWAINKKIIDPVCPRHTAVIDQQRVLLTLTDGPEDPFVRTIPKHKKYEGAGEKATTYTKRIWIDQDDARSIAANEEVTLMDWGNAIVKEIEKDQEGNVTQLTGVLHLEGSVKTTKLKLTWLPDTNELVTLSLVDFDYLITKKKLEEDENFVDVVNPCTKMETAALGDSNMRNLKRGDIIQLERKGYFRCDVPFIRPSKPLVLFAIPDGKQTTVMKFRT >CDP04963 pep chromosome:AUK_PRJEB4211_v1:2:438052:442882:-1 gene:GSCOC_T00019841001 transcript:CDP04963 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQYGRFEQILTQFLLKSIHIILDSRVPSTRPYSRCREVKKSDKWFNLALGDRPSALENLNFWHRNLTEPIIIDIILVQEPPNSSCGYSLLPTTSGLGTFTETVIERWVVQCEYLRTMTPQSSDVSYKKTYKKSIILLRSLFSMMRLLPAYKAFRKLSSTNQTCDFDINYKVSTFSVPFSRTEEELMKHYSFIPVDAQQGRFAISVTYRDNLSHFNLEASTSFPPEIITDYVGSPLTDPLRTFPSSLERRFHATPLLSRGMHSPSSVPFQRPHSWTSGLHRGVSSPQSQPLAGCPVLYRASYEVSSAISDTYGRKVQDCKSPAHYEAASSNDSYQLSPPFSPSPSPSPPAYLTSGNPVQTRFRSESAPVSIPHPIVGRSSRYISPNSSDPNRNSLPPLSPRFTKHDSSSQESPSGTRSLRKPDSLRSAESSCGMTYLGQKVSRDAKDDSGRFSGLLSSSDSPRVGFSRSSSRLSFQDDLDECDFSCPFIVDDVDTSESQANRNLDLRKGSEASSQAISSVRKSQDAAVGALVHMLRTAPPLRLDSSSYASGFEKSELESIAGAGSGFVLPRKTSDALEELKAYSEMKELLLCKSGSITSRAGSKDETSSS >CDP08709 pep chromosome:AUK_PRJEB4211_v1:2:53645460:53647807:1 gene:GSCOC_T00027772001 transcript:CDP08709 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMHSPCCSPEEVIFGPDFHQSLYCHLLCGLIQREEVQVISSTFAHSIVFAFRTFEQDWEELCTDIREGVLSSRISVPSVRTAMSKLLKPNPELADLIHNKCQGLSNWYGLIPELFPNTRYIYGIMTGSMEPYLKKLRHYAGELPLLSADYGSSEGWIGVNVNPKLPPEMATFAVLPNIGYFEFIPLRQSLDGLEAKPVGLAEVKAGEEYEVIVTSFAGLYRYRLGDVVKVKGFHNSTPELQFICRRNLLLTINIDKNTEKDLQLAVEAAAKVLAENRLEVVDFTSRIDSSTEPGHYVIFWEISGEASDEVLEECCNCLDKSFLDAGYLSSRKVKAIGALELRIVKRGTFHKILDHYVGLGAAVSQFKTPRCVGPTNNKVLQILCNNVVKSYSSTAF >CDO99892 pep chromosome:AUK_PRJEB4211_v1:2:7969650:7973402:1 gene:GSCOC_T00029601001 transcript:CDO99892 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLAETVTPAPKVDQCLPYFKKAFKLIQEQYDNIRYAASVVISSPTLKSVSLALFFYELGMSGATSTLLYYLKAAFGFDKNQFSEILMVVDIGAVISQLLVLPIITPLVGEKLILCAALLSNAVYALLFGLAWAPWVTYFIAAFGVVNVLVRPSSFALISKAASSTDQGKAQGIILGVQSFSSLLSPLAMTPLTNLFLSRDAPFNCKGFSFVCASLSVAIALCFAFMLKPNTSKKPLEVDAEHNKAPLLS >CDO99928 pep chromosome:AUK_PRJEB4211_v1:2:7689857:7693250:1 gene:GSCOC_T00029650001 transcript:CDO99928 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLVAIVASNRAVVVTLVSADCVAVLHRGGKWGGVDRELGSRRKTAQPPPPTAPQSSGSKDLFLQGLSGAVFAMADGAENDKNIEIWKVKKLIKALESARGNGTSMISLIIPPGDQISRVNKMLAEEYGTASNIKSRVNRQSVLAAITSAQQKLKLYNKVPPNGLVLYTGTVVTEDGKEKKVTFAFEPFKPINASLYLCDNKFHTEPLSELLESDEKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKRLIGKFFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYTEKMPLLEWFANEYKKFGCVLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDEPSDEGELYEDSD >CDP13996 pep chromosome:AUK_PRJEB4211_v1:2:4944730:4945075:1 gene:GSCOC_T00039154001 transcript:CDP13996 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEQERAELDARARQGETVPKRDNIYMQQIYPAKGGAEGGQTRREQLGAEGYHERWGVKVD >CDO97127 pep chromosome:AUK_PRJEB4211_v1:2:16670298:16670918:-1 gene:GSCOC_T00014370001 transcript:CDO97127 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRIDGDQLFNSQGKLVVAVMNTLRQGLEEARRPQKLGVVEKPQEQEVEKVDELVLVVVERRWVVVESELVGEVRKLEVEERRLVVVEVNGQVVVGRKLVEEVRTLVVVGRKLVEEVRTLVVVENGLAEVVSELEVGVRPLVVVENGREQVVVEIELELVEARQLVAVAGDAQAQVVVRI >CDO96818 pep chromosome:AUK_PRJEB4211_v1:2:13391746:13392506:1 gene:GSCOC_T00013963001 transcript:CDO96818 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGNQWDYTDQMIKGIILVMLTARTDTSSLIPFGMGRRSCPSSGLTQRVVGLALGSLIQSYDWKRIGEEEIDLAEGTGVFMPKAKPLEKACFRNKKLKKGVLSAGT >CDP07776 pep chromosome:AUK_PRJEB4211_v1:2:26301206:26305010:-1 gene:GSCOC_T00025154001 transcript:CDP07776 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLSVVTEDCFSSLLELAADNDVDGFKQMVERDPSAIDEVGLWLVRKKGTKQIVREERTPLMVAAAYGSVDVLKLIVSLPEVDLNRACGPDKCTALHCATAGGAVNAVDVVKVLLSAGADPNIEDANGQRPVDVIVVPPKLATAKLALEELLVNNPSDGSFGNCNLSISVTTSNGSSPILSSSPENGSPCSPSELVSSPITSKFIGAPANSVSEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDACEYAHGVFECWLHPAQYRTRLCKDGTSCSRRVCFFAHTAEELRPLYVSTGSAVPSPRSAASAAGVMDMAAALNLLPGSPSSHPVMSPSAFNQPMSPTANGMSHSSGAWTQPIVPALHLPGSNLQSSRLRSSLSARDIPPAEFNMLQDFDAQQLVLNDLACFSQSRPNSLSLNHSGRSKTLAPSNLEELFSAEINSSPRYADPAAGGGVFSPSHKSAVLNQFQQQQSMLSPINTNVFSPKNVEHPLLQASFGVSSPGRMSPRSVEPISPMSARLSAFAQREKHYQQLRSLSSRDLGSKNVSVIGSPVNSWTKFGGSPSGKVDWSVNGDEIGQMKRSSSFEANNNGEEPDLSWVQSLVKESSPEMKDKLAAPLSGAAPSGEGLKSSSQIDSIDHSVLGAWLEQMQLDQLVV >CDO97500 pep chromosome:AUK_PRJEB4211_v1:2:19536134:19537717:-1 gene:GSCOC_T00014860001 transcript:CDO97500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15340, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G15340) UniProtKB/Swiss-Prot;Acc:Q9LXE8] MVECARHISSLARHYRALLRSAARHPDLGVGQKLHATAITSGLLALSAPNSFLRNTVLHLYAACCNSYFARKVFDEIPRSHKDTVDWTTLMTCYTRDGLPRETLNLFITMRSIGVPVDEITLVSFFCACAKLGDEWLGYQGYVCLVKMGFCYNSMKACNAAMSMYAKCGLMREARRVFDEMSERSAVSWTVLLDAVMKWECLESGRRVFDEMPERNEIAWTKMITGYVENGCAGEAFKMLKEMLFDHGLDLNFVTLCSLLSACTRSGDVMMGRWLHLHSVKMMGIQMDVMVATSLIDMYAKCGGIAAACRVFKAMHHRNVAMWNAMLNGLAVHGKGSDVLDMFDQMVMEVNPDDVTFTVLLNACSHSGLVDQGRVFFSNLECRYGIKPSMEHYACMVDLLGRAGHLEEAETIIMGMPMQPNEFVLGSLLGSCIVHRKLELGERVMQELLQMYPQNVEYHVLLSNMYASVGKPEKADSFRGVLKMRGIRRVPGMSSVHFGGKIGRSTAGEKSYPPIRSIPRVGVWFEI >CDO97368 pep chromosome:AUK_PRJEB4211_v1:2:18490118:18491491:1 gene:GSCOC_T00014690001 transcript:CDO97368 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEMLISQTKASKSLHDVLGNKSGRAAYVKPPHLWDKASGILTDFITYFTFTIDSEGSSSYADGLAFFLAPVNSSCMNINSMKSVNTTIWWNNITLGAVNDAWISQNATSRNLAVTFTGLYDNKITQDSPNYVVDLRVYLPELATFGFSAATGALFEKNNPTPSASQIPNTVVTRKKKSKAALVIGLSVRSPVLILGLTLFSCVGWKKSKKKQDHSHDLFMDNEFEKGSRPKKFTYNELARATNNFDEGEKPGEGRFTEVSWEMKIRMKQSKKSPEDLNKG >CDO99620 pep chromosome:AUK_PRJEB4211_v1:2:10692370:10693278:1 gene:GSCOC_T00029266001 transcript:CDO99620 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLFDAHCHLQDPRVFNMAPQIIRKALDNGVAHFAVNGVSESDWHLVKEMSDRHPSVIPNFGIHPWFVADRTPNWLNTLKEFFEANPAASVGEIGLDKGSRGKQIDFTDQVEVFRQQLQLAKELKRPASIHCVRAFGDLLDILKSEGPFPAGVLLHSFLGSAEMVPEFAKLGAYFSFSGFLMSMKETKARKMLKSVPRDRILLETDAPDALPKSNNSDSLLVIGSPDEDSTTKDGPLFENASLKETNKSTLETENLNHPANIHHVLSYVASLLDMTREELAEISYGNAVNLFTYEGSKKYN >CDP05011 pep chromosome:AUK_PRJEB4211_v1:2:863693:868550:1 gene:GSCOC_T00019913001 transcript:CDP05011 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSQTSSSSNSNSPSSSSSSSSSSWIYLRSVLFVVASSSPASCSSSDRGRLKSPWSRRKRKHALSPQQWRSMFTPDGKLRDRGAKFLKKVRSGGVDPRIRVEVWPFLLGVYDLGSTQEERNIIRTEKRKEYEKLRKQCRRVLKHNDEIFKLSEAGGTSSFLLVGCDSPNSEDAVSARESLSSEERSPSINYSEHLTASMLDGNADSKRFTDASVIYDSDSSDSDSSEDPEVSQTFPSTESREENDPHMSPKDESSPSKTEVQSRNIEDFASWQRIIRLDAVRANGEWISYSPTQAAVPDERARCSAETVGLKDYDHLEPSRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIVAVMTEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLNIVSKIIKFKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIWKSAWSRIRLRAPPTEDLLLYAIAASVLQRRKQIIEKYSSMDEILRECNSMAGHLDVWKLLNDAHDLVVTLHDKIETPF >CDP09448 pep chromosome:AUK_PRJEB4211_v1:2:22266555:22272506:1 gene:GSCOC_T00028820001 transcript:CDP09448 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVQCQAGSYLQAHREENRNVLCSEENLRRRNLLLVLVTSSIPLAFPSYGKTKGKNPYDEKRLLEQNRRIQKENNAPEDFPNFVREGFTVKVVASENYVKRDSGLVVWDIAVGKGDSPKAGQQVTFHYVGYNESGRRIDSSYVQGTPAKIRMGTNALVPGFEEGIRDMKPGGKRRIVIPPELGPPVGPSTFFSSKQFEVFDVELVSIQDCTRRTIGFYSDFVCN >CDP05287 pep chromosome:AUK_PRJEB4211_v1:2:3016926:3021947:-1 gene:GSCOC_T00020279001 transcript:CDP05287 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLHPPNNALNVREKKSEKNRKKRERFAALSVEEKEAHRKKNRDAYHRRKLSKLLSKPLAEQSQQISKPSDTPGSGLLAISNNCADGSYFHRCNNLLPRTKILMSELSEHGRCVTSPNLHGNASAQKIDAYVTKIASPNPQLSACNSQSGGSKLKNSVSHFSTYEQGSSSGTNHKHVCSHHTTPYTSANSFVCMSCYNFSPESIEQVPELSSLVTRYQATRQLLGSTANKNGGLANTKSVLEKTQTVNKTTKRPKGRTNPDGIGALKFINDEPDMLPSKPHCSYCEAKKFHSETPNFCCSNGEVVLQQNKLPDILIELYTGRSDEAASFRTYVRTYNNMFGFTSFGVHYDKSLCKRTNGIYTFKVQGQTYHFIKDLIPSGGSGVYLQLYFHDTDHELENRLAISQKLTESIVKKIMHMMESNPYASFLRSLKHVPDLDSYQIVLKSHSENDQRVFNQPTASQIAALWVEGQEFKEGYTRHIQIYTKEGKDHLLQYYYGCYDPLQYPLLFPLGETGWHPGIRRITMENPKKRKRCNKKASCTTPFPNYRSAQELLDAEEQGCNDLENNKEFVSMREYYSYKLQMREKYTPSVLNTGRLLQQYVINMYIKIETQRLDYYKNRQELIRREQLQGIMDSVVAGHCQGSRVGQRVILPASFIGGPRDMRRRYVDAMALVQKFGKPDLFITMTCNPSWPEIKQHMLPTDQAHNRPDLLARIFHAKLDLLKEELFRKEIFGPVAAYTYVVEFQKRGLPHAHFLIILKAGSKLYSTESYDNIVSAEIPDKMPNRHLFNMVRKHMIHGPCGKQNPDNVCMQGSQKKKCKNNYPKPFADTTFHGENTYPTYRRRKDGKKIIVRGHELDNRWVVPYNGYLLAKFDCHINVEICSTVKVVKYIYKYIYKGHDRIRFRVNSDASDRPDSIIQPSAIDEIREFQSARWVCAVEATWRIFRFQLSEIHPSVIHLQLHLENCQAMNFTPDQDLRDVVRNRHAKRTMLTEFFYMNSVDKLDQHLKCTYKEFPEHFVWYPGKKKWEPRKQKHSIGRIVAASIGEGERYFLRLLPTHVRAPTSFDDLKTINEKYISTFLDAAILRGYFESDTSQEQCLEEASSYQMPYILRRLFATLLVHFPPSDSRYLWKKFEYSLSEDFIRMSEFTPDQIRYKVLEQINNFLQSMGKDINSYALVPHALNFNHMNKNTRDTTAETTITVQESDLQAIYQLNCDQKIAFDIIIDAVFKIKKGCFFIDGPGGTGKTFLYRALLAEVRSKGFIALATASCGVAASILPGGRTSHSRFNIPLDTTKNTNCRISKQSSSTQLLKSASLIIWDEAPMMNKISIEAVDRLLQDLMDCK >CDO99881 pep chromosome:AUK_PRJEB4211_v1:2:8092463:8099692:1 gene:GSCOC_T00029584001 transcript:CDO99881 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTPDDLRRSMSRRGSIASASRSGWASASIREVLAAPGGDVFQKSGRHDDEEELKWAAIERLPTYDRMRKGMLTQVLDNGRVIHEEVDVAHLGIDDKKNLMDNILKTVEEDNERFLMRLRDRTDRVGIDIPKVEIRYENLSVEGNAFIGSRALPTLLNSTVNSIEGLLGVLKLFPSKKKAVKILDEASGIVKPSRMTLLLGPPASGKTTLLKALAGKLEDDLQVSGKITYCGHELKEFIPQRTCAYISQNDLHHGEMTVRETLDFSGRCLGVGTRYDLLAEMSRREIQAGIKPDPEIDAFMKSTAMAGQKTSLVTDYVLKILGIDICADTLVGDDMRRGISGGQKKRVTTGEMLVGPAKVFFMDEISTGLDSSTTFQIIKYMRQMVHIMDVTMIISLLQPAPETFDLFDDIILLSEGQIVYHGPRENVLEFFEDVGFKCPERKGVADFLQEVTSKKDQEQYWFRKNEPYRYVSVSEFADHFRSFYIGQKLFSELQVSYDRTKAHPAALVREKYGISNKDLFKACLAREWLLMKRNSFVYIFKTVQITIMAVFTFTVFFRTQMKHGEIEDGGKFYGALFFSLLTVMFNGMAELAMTIFRLPVFFKQRDSLFYPAWAFALPIWLLRIPVSVMDSSIWIILTYYTIGFAPSASRFFMQLLAYIGIHQMALGLFRFVAALGRTQVVANTFGTFTLLLVFVLGGFIIAKNDLQPWMKWAYYISPMSYGQNAIVLVEFLDKRWNLPNVDSRFPGSTAGKELLASRGMFTEERYYWICVIALFAFSLFFNLCFVAALTYLNPFGDSKSLNANEEDEDKKNKPPFSNAKAISGRMKGTPHNPTLTVAGKATNRKGMVLPFQPLSLTFDRVSYYVDMPAEMKSQGIEETRLQLLREVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISVSGYPKNQETFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLPAEVNTETRKMFVEEVMELVELNVLRDSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQTIYAGPLGHRSQHLIEYFESVPGVTKIRDGFNPATWMLEVSSNAIENQLGVDFAEIYSTSDLHRTNEELIKELSNPAPESKDLNFPTKYSQPSITQFKACFWKQYWSYWRNPQYNVIRFFMTTVIGIIFGVIFWDKGGQMRKQQDLSNLLGAMYAAVLFLGGTNTSAVQSVVAIERTVFYREKAAGMFSALPYAFAQVAVETMYVGVQTFVYSLLLYSMIGFQWEVAKFFWFYYYVFMCFVYFTLYGMMLVALTPSYEIAAILMSFFLSFWNLFSGFLIPRMAIPVWWRWYYWGSPVAWTIYGLITSQLGDVADPVHVPDHGDLPVKVYLKEYLGYEHDFLGAVAAAHLGWVLLFFFVFVYGIRALNFQKR >CDP08846 pep chromosome:AUK_PRJEB4211_v1:2:52526781:52539513:-1 gene:GSCOC_T00027956001 transcript:CDP08846 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSFNQANVIHASNVVSYRSLEQFNLSPGIRCKSFHLRFLGYPRLLVRACPIRRLKQSSAYCSSIIRSQGNNFLQPYDSYRSAAYYPRSVFKALKQVPPHCQGNDSVAFVDGSNRDLDVSEGVNDDVSGTASNTSEESSILKEGPEGEEPEVPSLEELRELLQKALNELEAAKVNSTMFEEKAQRISETAIALKDEAANAWNDVNGTLSSIQGIVNEEAVAKEAVQKAIMALSLAEARLQVAIDLLRTVNEKNSPLETGETAGDESGGEELDSFSKEEEELLAAQEDIRECQDNLANCESELNRLQSRKEELQKEVDRLNQVAQLADMNVLKAEEDVANIMLLAEQAVAFELEIAQRVSDAEIALQRAEKNLASSDIDISETTMPQNAFTSQELLLGDIAVVEELNEGTIVSASEKSMNLTGDGNKLVFETIPDSQFDTSNLRSDSLSDGSDEESGRFRVDLERDAEVEADKVKVGQSKKQEVQRELNKEGSPLIAPKALLKKSSRFFSASFFSAADEEFTPASLFRGLMETARKELPKLVVGSLLVGAGIAFYVKRADRLPLLFQPPDLITSSIDEVSTNAKPLVRQMRKLPKKIKKLIEMLPHQEACVNEEEASLFDMLWLLLASVIFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVVGLVAHFVAGQAGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFQAIAEALGLAAVKAIVAIAAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIAGTLGLLIAGKTILVALVGKLFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGVSMALTPWLAAGGQLIASRFELHDVRSLLPVESETDDLQGHIILCGFGRVGQIIAQLLSERLIPFVALDVRSDRVAFGRQLDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPASEIAAAINEFRSRHLSELTELCETSGSSLGYGFTKIMNKPKLQPPESSDDNQVNEEILAV >CDO97084 pep chromosome:AUK_PRJEB4211_v1:2:16402506:16404762:1 gene:GSCOC_T00014318001 transcript:CDO97084 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHMSKKPGESSSAISNIQFTTELNSYEAACKMDEDLQSFDTSLHARTNHVISTLAAGVEVRAVSFDSLKEVTGCLLDMNQEVVKVILECKQDIWKNQELFELVEEYFENSLKTLDFCAALEKCLKRARDSQLLIMVALQQFEEEDGVEGNRYNRTLDELKNFKAAGDPFTEEFFEIFQSVYRQQILMLEKLQMRKSKLDKKLKYIHAWRKLSSIIFVATFAAVLICSVVAAAMAAPPVAAALAAATSIPLGSMGKWIDSLLQSYENAVKGQKEIMSSIHVGTYVTIKDLDNIRVLIDRLEIDIESLLANVDFAISEDAVKLGIEEIRKKLDVFMKNVEDLGVQADVCSRDIRRARTVVLQRIIKNPNH >CDP07789 pep chromosome:AUK_PRJEB4211_v1:2:26501987:26505493:1 gene:GSCOC_T00025172001 transcript:CDP07789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase QRT2 [Source:Projected from Arabidopsis thaliana (AT3G07970) UniProtKB/Swiss-Prot;Acc:Q9SFB7] MFLQYFLFIIFTVLVYSSACLSTFQQDHQHSDYRGLVHAENETGLGHRHPPQSRQEIKRESGFLKFRRLLSANAGLSNSARSKRSRSTGYLVNVDKFGARADGTDDTKAFTQAWKKACSTPNSIFLVPARKVYHLKPITFEGPCQSGITMRIGGTIRASTLMSDYEQDRRIWIKFEKLRDFSVEGGGVISGNGQIWWPKSCKVDKKQPCLGAPTAMTFDKCTNVKVTNLRIKNAQQMHLTFRDCTNVEASNLKVKSKGSSPNTDGIHVSGSRNVQILNSDIGTGDDCISIVSGSNKVRAAGIKCGPGHGISIGSLGKNGDEDHVSDILVNTATFTGTTNGVRIKSWQGGRGYAKNIVFENIVMRNVTNPIIIDQFYCDKKEKDCKEQKNAVQVNSVSYRNIKGTSATEKGIIFECSATFPCEGVRMENVQLTHKGRSSLAACNNIHVQQIGNNTPQCN >CDP15844 pep chromosome:AUK_PRJEB4211_v1:2:44625733:44633039:-1 gene:GSCOC_T00016726001 transcript:CDP15844 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGYLKNSTMVCAPLMAESVEQMLKDMRKAKAEGADIVEIRLDCIKGFQPQIDLQILLRNKPLPVITVFRATSEGGQCDAEYKTRLDTLQLAKELGSNYIEYELKVASDLIREGKFHQSSRSKTIVSSYINAVNSSKEELSELVARLQSTGADIIKLVLDADTITDTAKIFHLLPHCQVPLIAYSTGERGLISQILGPKFGGCLVYGSIEGKMVPGLPTLSSLREAYEVECIDADTKVFGLVSKPVSHSKGPLLHNPTFRHVGYNGVYVPMLVDDLKEFFYIYSGPDFAGFSVGIPYKEAVLDFCDEVHPLAQSIGAVNTIVRRPKDGKLIGYNTDCEASITAIEDALGVRGIINGEALSSPLNGRSFVLVGAGGAGRALAFGAKSRGARVVVFDIDLGRAKSLANDVSGEAYPFEDLSSFQPENGAILANATPLGMHPKTADRIPVPERTLKEYRVVFDAVYNPRKTRLLKDAEAAGAIIVSGVEMFLRQAIGQCNLFTGGKAPEDFMREIIMEKF >CDP16431 pep chromosome:AUK_PRJEB4211_v1:2:35199358:35203795:1 gene:GSCOC_T00018323001 transcript:CDP16431 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVRFNSLLNAEKILMLLFLLAFPSLVLGDCTCDAEEEDKNRSLALKYRFGAIAAIFLASLVGVCLPVLGKKIPSLSPENNFFFIIKAFAAGVILATGFIHVLPDAFESLTSPCLPQNPWGKFPFTGFVAMLAAIGALMVDAIVQLHTFRVASIVLELGIIVHSVIIGIALGASDNPKIIRPLLAALTFHQFFEGIGLGGCIAQAKFKAQAITIMALFFSLTTPFGIAIGIGISNVYNENSPNALIVQGIFDAASSGILVYMALVDLLSADFMNPKMQSNARLLQGANISLLFGAGCMSLLAKWA >CDP04909 pep chromosome:AUK_PRJEB4211_v1:2:25850:42152:1 gene:GSCOC_T00019777001 transcript:CDP04909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein kinase YAK1 homolog [Source:Projected from Arabidopsis thaliana (AT5G35980) UniProtKB/Swiss-Prot;Acc:Q8RWH3] MDEVTHSYKDGESERSPQVAGQPDGSSSPSQSPSFLSVAVRWHPSQLVFRPYTPVVAAGAKPQTLRVVVRRPLVVRLTKDIVETYQLCNPHFQYSETLNPKRFLTTPSIGVLNDGCDNANSDLILSVNYALINMDTKRRYIVKDILGHGTFGQVAKCWVAETKSFIALKIIKNQPAYYQQALVEVSILTTLNKKFDPEDKHHIVRIYDYFVYQRHLCIAFELLDTNLYELIKLNHFRGLSLSIVQLFSKQILRGLALMNDAGVIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLKRMIKILGGQPPDYILNEAKNTSKFFKFVGSINQGASGLDATSRCSAYQALTEEEYETRESKKPVVGKEYFNHMNLEAIVAKYPYRKNLPEEDIAKESKIRLALIDFLRGLVEFDPAKRWSPSQASKHPFVTGEPFMCPYKPAPETPRMPVSHQNVKVDHHPAGGHWFAAGLSPNIPGRNRVALQNSSHFQVLPFAHAGSYGSLGSLGSYSDGLVLGSSHGSYGDANSRLAFYSPVGPSAMNIYPHSGSSIIGNSPDARRVMPLPHGNGLGFSPGNFAPMSLGSSPSQFTPPGSYGQILSGSPGHYGPSSPARGNCHGSPLGKVAAVGQYHRRKSWGYSGSLQSQELASSAQWQGQVTDGIIQAEGNSSVFGGSLLHLHPTSSVTNWRHQQGGSNAHACQSTSHNMASSAATLGPHPKIVGHDNPEASTSLPDPGDWDPNYSEELLLQEDSSDAGVMTVEFTKGLHLGNSVASTDPVVGASRFNRVTNSSANMSAQSHQGGVTLGEVGSPTSAHDARGGYIHPVTKTSYLMPHFSPSSPSRLGQQPIQRINHGNHGMEWNHAKVQPPLSSFSSGGPRSPGSIGMHWGRRSSHPINSIPPAYRGRKDYGRIA >CDP08607 pep chromosome:AUK_PRJEB4211_v1:2:39329216:39341087:-1 gene:GSCOC_T00027615001 transcript:CDP08607 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAKDVIKPDGGPLRWFSPIECGPPLKNSPVLLFLPGMDGVGLGLMLHHKALGRVFEVWCLHIPVKDRTPFEEMVNFVEETVRNQYASSPNKPIYLVGDSFGGCLALAVAARNPVIDLVLVLANPATSFNRSQLQPLLPFLEAIPIELHFTVPYLLSFVMGEPAKMAMVTVDATLPPRIALEHLAGKLTALLPHLSVLADIIPKDTLLWKLKLLRSAASYANSRLHAVTAEVLVLASGKDNMLPSGDEAQRLERSLRNCKVKYFKDNGHAILLEDGVNLLTVIKGTFKYRQSRKRDVVMDFLPPSDSEFKQALESNKIYRYLIGSVMFSTLEDGKIVRGLSGVPSEGPVILVGYHMLLGLELVSLVEEFLRQRKILVRGIAHPTLFSQLVETDSKEFTFYDTLKIYGATPVSATNLFKLFKTKSHVLLYPGGAREALHRKGETYKLFWPDQPEFVRMAAKFGATIVPFGVIGEDDMAELVFDYNDWMKIPVLNDYIRKKNEDWNFRARGHVAGEIANQELYMPGLLPKVPGRLYYLFGKPIQTKGRQDLLEDREKARELYLQIKSEVENSMAYLLRKRVEDPYRSILDRTAYRAFSAPIDQVPTFDP >CDP07658 pep chromosome:AUK_PRJEB4211_v1:2:24428697:24429134:-1 gene:GSCOC_T00024981001 transcript:CDP07658 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESKPNKFHYDLSMSKRTRRSLNLVEDDHDQGSFQAWHGEEESSENDQKKSLKQLIGGRSLSQHFSQEEPQLQLVVKQHDQEGLSGLKFTRMVSRYAKALSHLIKLKRKQQMGLYKKPVLPLTN >CDP18243 pep chromosome:AUK_PRJEB4211_v1:2:48469883:48470514:1 gene:GSCOC_T00011805001 transcript:CDP18243 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQDGDPAGAIVGPSIALLQERFKKLERIKEKRQMSTFSSISEAKRIIVPTNYNNDNNNSFSEDHHQMFLPSRPAAPFHQDPLSLGLNLNNKHADYRSLKSSGTTRPSFSALWSAHDKRGVKRPQKPDVSDVDTTLHL >CDO96980 pep chromosome:AUK_PRJEB4211_v1:2:15486862:15489211:-1 gene:GSCOC_T00014183001 transcript:CDO96980 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQRESRRQRTDYYKGAHSPWNGIPHYQIKEQNAFFMNTKINMLLAERDAAIEERDRALSEKRAALDERDSAIQQRDTAISERDHALRERDNAIAALQFQESTMNGALGCGTQHGMKRFNQHRSPHANSAQSANKTREGHITEAFPITAISSEAARSHQAKRTKVNNVIPTKSKSAKKAKVGEDLNRHVTTDGSKAEWDAQDLSSLNQISFDETRMPIPVCTCTGVARQCYKWGNGGWQSSCCTTSLSVYPLPQIPNKRHARMGGRKMSGSVFSRLLTRLAAGGHDLSIALDLKNYWAKHGTNRYITIK >CDP05004 pep chromosome:AUK_PRJEB4211_v1:2:810424:812923:1 gene:GSCOC_T00019904001 transcript:CDP05004 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRNSSNIIACKGVVCWGKGEAPKVEEIEVEPPRSGEVRVKMLFASLCHTDVLCCNGFPTPLFPRVLGHEGVGQIESVGEGVFHLKVGDMVIPTYLGECQKCNNCISGKTNLCETYPLQAFTGLMPDGSSRMSIITSGGRQMLYQFLSCSTWSQYTIVDANYVVKMDSRLALPHASFLSCGFTTGFGATWKEAKVEKGSTVAIFGLGAVGLGAVEGARARGAAQIIGIDINKDKREKGEAFGMTHYINPKAIGDISISEMVKELTNGRGVDYSFECTGVPDLVNEALETTKMGVGKTIMLGAGTQKSMEIDFISLLGCRTFKYSIFGGVKVQSDLPVVIDKCINKEIQKLDQLLTHEVELKDINRAFDLLKEPDCVKVLIQL >CDP17797 pep chromosome:AUK_PRJEB4211_v1:2:51263732:51264931:-1 gene:GSCOC_T00003922001 transcript:CDP17797 gene_biotype:protein_coding transcript_biotype:protein_coding MVLENFDSCRESFTTLPGENNQKRMQKAWFNEEHGPPEVLQLGYLPVPSPKENQLLVSVRAAALNPIDFKLRQKPLVSTTFPVVPGCDMAGVVVAKGDGISRFCVGDEVYGNIQDFNAEGNLKQLGVLAEFILVEENLVARKPENLSFEEAASLPVALQTAVEGFKVAGFKKGQTVFIFGGAGGVGTLAVQLAKHFYGASLVTATTSTPKVEFVKGLGADKVVDYRKTKYEKVEEKYDLVYDTIGDSKYSSVVSKDDMLLIDITWPPSNPGAIHSG >CDO97032 pep chromosome:AUK_PRJEB4211_v1:2:16020249:16024517:1 gene:GSCOC_T00014248001 transcript:CDO97032 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGDGVSGAAASLNQRGHEVWKLFQYYLDKSTPHAVYRWIGTCVLLVLYALRVYYVQGFYIVTYGLGIYILNLLIGFLSPLVDPEMEPSDGPLLPTKGSDEFKPFIRRLPEFKFWYAITKAFCVAFVMTFFSIFDVPVFWPILLCYWLVLFVLTMKRQIMHMIKYKYIPFNIGKQKYTGKKPVSGSSPRAD >CDP07706 pep chromosome:AUK_PRJEB4211_v1:2:25158546:25161370:1 gene:GSCOC_T00025053001 transcript:CDP07706 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPYIFINGGYDGGTLAPGRCSAWLNNDCPAGNSATEPYIVGHRMLLCHAAAVKLYREKYQASQKGEIGITLVSHWMKPYSRSKLDILARQRALDFMYGWFIHPLIYGDYPASMHKLVKNRLPKFTPEQSKIIKGSFDFLGLNYYTAKYAINIASQNNINVSSSTDPLVNLTSERNGKFLGDPTGVSAFYVYPKGLRDLLEYTKKNYNNPTIYITENGIGDANNSTRIKSIKDFQRVDFYHRHLLAVQEAMKLGVNVKGFFAWSFLDTFEWGSGYTLRFGICYVDYKDGLKRYPKSSALWFKKFLRK >CDP05214 pep chromosome:AUK_PRJEB4211_v1:2:2471993:2479400:1 gene:GSCOC_T00020180001 transcript:CDP05214 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKTQEDSSFPNDPSSSSSLASPSQSQPPPTPPPKVLSTVSFSPAKRLRTSRLLSQSPASSGHTPQSLFPKPSKKPKLSPHTHNPIPPLSNPTLHEKFLKKLLEPSQELLETSKNQPIVNPKYTPLEQQVVELKAKYPDVLLMVEVGYKYRFFGEDAENAARILGIYAHMDHNFLTASIPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGTNKLGPFCRGLSALYTKATLEAAEDLGGGQEGCSSCNNYLVCVVEQEVEIVKGALESGVDVKIGVIGVEISTGDVLYGEFSDNFLRSGLESMVLNLSPAELLLGKPLSKQTEKLLLAYAGPASNIRVEHTSRDCFTEGGALAEVMSLFEGMTGNKLGDSHHKGDVEAKENDSNCSPFEGIMALPDLVIQALGLTIRHLKQFGLEGVLCLEASFRPLSTKLEMTLTGNALQQLEVLKNNADGSESGTLLQCMNHTLTIFGSRLLRHWVAHPLCDRSMIYARLDAVSEIVESMGAFKASSNCESDGEESDIITMQPEVHDILSSVLTSLARSPDIQRGITRIFHRTAKAAEFIAVIQAILLAGKQLQQLRGQEEMEYKNLRTTVHSPLLVKLIMAASSSSILGTAAKLLSGLNKEAADQKDLHNLFIISDGQFPEVAEARQKVQLANENLDSMISTYRKQVQDRSLMFTSVAGITHLIELPLTVKAPLNWLKVNSTKKTIRYHPPEVLMALDQLSLAKEELTLVCQAAWEGFLKAFGGYYAEFQEAVHALAALDCLHSLSILSRNKNYVRPVFVNDNEPVQIQISSGRHPVMETVLQDNFVPNDTNLHAEGEYCQIITGPNMGGKSCYIRQVALIAIMAQVGSFVPALSAKLHVVDSIYTRIGASDSIQRGRSTFLEELSEASLILRNCTTRSLVIIDELGRGTSTHDGVAIAYATLQYLLENIRCMVLFVTHYPKIADIKNEFPDSVAAYHVSYLTSQRDDQLGLDSNLTMDGMNQEHITYLYKLVPGVSERSFGFKVAQLAELPSSCIERAIEMATRLEAAVCNRERERLVMKCATESELNLSDKAKAREDEEREESILNPVDSLDTGKIESLRVFCDAWREFFPYLNLAVSGESDDAERLQILNLAKRLALELINR >CDO97030 pep chromosome:AUK_PRJEB4211_v1:2:15999193:16004251:1 gene:GSCOC_T00014246001 transcript:CDO97030 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGFATMKATAHLATVNGENGIWGERVKGSLNGNFWVNQLAKSLKLDYEKKQKFKPGAAFSVITRETGKETLTIEAPRFERRRADPKNVAAIILGGGAGTQLFPLTSRTATPAVPVGGCYRLIDIPMSNCINSGINKIFVLTQFNSASLNRHIARTYHGNGVNFGDGFVEVLAATQTSGEAGMKWFQGTADAVRQFLWMFEDARNKDIDNILILSGDQLYRMDYMDFVQNHVDRNADITLSCVPVGESRASEFGLVKIDSRGKVVQFSEKPKGSDLKAMHVDTTILGLSPQEAFKSPYIASMGVYVFKTEVLLQLLKWRYPTSNDFGSEIIPSVVKERNVRAYIFRDYWEDIGTIKSFYDSNLALTDESAKFQFYDPKTPFFTSPRFLPPTKIDKCQIMDSIISHGCFLRECTVEHSIVGERSRLDYGVELKDTFMMGADYYQTESEIASLLAEGKVPIGIGQNTKIRNCIIDKNAKIGKDVAILNKDGVQEADRPEEGFYIRAGITVILEKATINDRTVI >CDP05245 pep chromosome:AUK_PRJEB4211_v1:2:2709783:2711456:-1 gene:GSCOC_T00020222001 transcript:CDP05245 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQLTTSSPPPPPPPPRPSKCDSDQRYLLPIHQVPAEGDIFSPLIPKNPTSSKHHQNQEQQPPQPNQIVKPHKHNHDTHISSSVLKEATSVANIALPMILTGLLLYSRSMISMLFLGRLGDLALAGGSLALGFANISGYSILSGLAMGMEPICGQAFGAKRYTLLGLSLQRTVLLLMLTSLPIALLWVNMKTILLFFGQDQAIAREAQSYLMYTLPDLFAQSLLHPLRIYLRAQSITLPLTFCAALSILLHIPINYFLVTKLSLGIKGVALSGVWTNFNLVASLIIYILISGVYKKTWGGLTTECLKGWQSLLYLSIPSSTVASMGILIQTTSLIYIFPSSLSFSVSTRVGNELGARQPAKAKLAAVVGLCCSFVLGFSALMFAVSMRHVWARMFTADNEIIALTSLVLPIIGLCELGNCPQTTGCGVLRGTARPRVGANINLGCFYLVGMPVAVGLGFYAGFDFEGLWMGLLAAQASCMVSMLVVLYLTDWEFEAQRAKELTSAGADAAVEKIEEEDKPLIAENKDTSLC >CDP08707 pep chromosome:AUK_PRJEB4211_v1:2:53662410:53667902:1 gene:GSCOC_T00027768001 transcript:CDP08707 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPAEVRLVQCPKCENLLPELTHYSVYRCGACSAVLRAKSTNDDVDALSEKSEEERNEGFSGRLAEKSKDIEVPKMSKINTGEVSEDDVKSNDNSSNRSDRRRFFHDRTENYGASQVTGADKWVVEDGLEVDDNIIGINGTKRDKNFKNMKPQIGGRKGFLISSEQLDWRNRGRESEMEDFRRDQRIDPDGTRYLASKYSEEGPSNYQLQSNYGYRKPVINRNELGGSDDVEHLVENQAELLRKLDELKDKLSRSCNVVDEPKDKVPPGRRMVHPDPYGYSEKWFSENYLASNRPPVPYSFPYHDAARPSYANHSSETSPFINRHDMVGPGFYPPMHTSTHLQEFEDPLRSQMFRRGPQAPVPFQQKPPPVHFSRMYADSNIAPMDSFESFPPNMHNHHPSCSCFHCHSTYQVPRQVPYKAYGVKQFSDVTDDPAFYHHEYQNASGAQHYGGRFNASASFKSSSSVSHTRWPSDLQSEASGLVRSRPSKVLLSTSRHRCLPVAGGAPFFACQNCHELLLLPDKVQVHKNLMKIRCGACSTLILLTVDSKRLSVSVHKKFNVRHSDNLKEGSSQTHGHPKQASINFSSDDYDNSGCNFQSMDREFGPLSTGPGSSIKSADIRSPHSTFSSSSEKEENLENLTAIRKSLNSSELPVKGKLSPPPAGSPLQDYFDYSNKYNAANRLGNATRSGHFEEEKSISKKTISRQNSMKDASATEIEISSNEYSNTGTSLDSGEASREGDQMRANKAAESFFAGMIKKSFRDSNISYDDADNEKANVTVNGYLISERIIKKAEKLAGPIQPGHYWYDFRAGFWGVIGGPCLGIIPPFIEEFNHPMPETCTGGSTGVFVNGRELHQKDLNLLGSRGLPTDRGRSFIVEISGRVLDEDTGEELKSLGKLAPTIERLKRGFGMKDPKAVV >CDO99504 pep chromosome:AUK_PRJEB4211_v1:2:11900982:11906382:-1 gene:GSCOC_T00029104001 transcript:CDO99504 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFWTSRLAAAKRQFNLQHHHHHSHSSQHQSSQLDRLNIDDFEVEEEIRPDFPCPYCYEDFDIASLCSHLEDEHSCESKVTVCPVCSIKVARNMLSHITLQHGHLFKISFKRRRRLRRVAVPSSQALSLLGRDLREAHLQVLLGGSGYRSNNATSSGAMADPLLSSLVLNFPESEADEISKTLVSSVEDTSTKSMPLQHTWKSSFESSLSHEEREKRMRQATGRAVFVQDLLACTLLAE >CDP15520 pep chromosome:AUK_PRJEB4211_v1:2:45965029:45975615:-1 gene:GSCOC_T00015378001 transcript:CDP15520 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGANATRWNPTGAAGVRIVVAGDAKTGKSSLILTAAADAFPPSVLSVLPPTRLPDDMFPDRVPVTVVDTSSSTENRGKLVEELRRADAVILTYACDRPETLDRLSTFWLPELRRIEVRVPVIVVGCMLDKRDDDYPVSLEQVMSPIMQQFREIETCIECSALKFIQVPEVFYYAQKAVLHPTAPLFDQEAQTLKPRCVRALKRIFILCDQDRDGALSDEELNDFQVKCFNAPLQPAEIVGVKKVVQEKLAEGVSERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNEIRLSDDRLPPPIKKHPDQSVELTNEAVDFLRTIFFTYDIDGDGSLRAPEVEDLFSTAPENPWNEAPYRDAGEKNALGGLTIDGFLSKWALMALLDPIRCVEYLIYIGYAGDASTAIRVTRRRRLDRKKQHSDRNVYQCFVFGPKEAGKSALLNSFIGRPFPGEYVPTTNDNNYAAHIVDQPGGIRKTLVLREIPEDGVHNLLSSKDALAACDVAVFVHDSTRESSWKRATELLLDVASHGEATGYEVPCLIVAAKDDLESFVTEIQNSTRVSQDLGIEAPIPISTKLGDLSNIFLRIVNAAEHPHLSIPETEAGKSRKHYHRLISRSLMFVSVGAAVAVVGLAAYRVYAARRNSSS >CDP08847 pep chromosome:AUK_PRJEB4211_v1:2:52506760:52509762:1 gene:GSCOC_T00027958001 transcript:CDP08847 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWIVLTRACKDLHIFLARALLKLSPPNPDDLGYVDSISVDEIGGVKVTIVRNEEGGNSVSTVVLRGSSILDDLDRAVDDGVNAYKAMCRDSQIVPDAAAAEIELARRLKEFSFKETGLDQYAISRFAESFEMVPKTLAKKAGLNAMEIIASLYAEPASGNTGVDIGLEEGVCKDVSTTSVWDPYTIKFFSLKYATNAVCTVLRVDRIIIAKPAGGLKRDPPVEMDGWMDG >CDP06809 pep chromosome:AUK_PRJEB4211_v1:2:37037102:37037761:-1 gene:GSCOC_T00023799001 transcript:CDP06809 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTVASASASATAVVKQIVSLLRSMARAKSVAIKSKTDAIRARLEVFSLLRNKKLSLVAVSRKIHSLLPGGGHQEKIKTDEDWATSNLRLQCCSSSSSHQLQLQVPKDDEVLDSCSINPYYNQPDYHDEDPYPDLTHSLFDEADAYDNELDQLVLKGDPNASVIDLVKNSKEHCGENFSLEAEIDHVADLYIMKFHKNMRMQKLESFKRYQEMLERSV >CDO99491 pep chromosome:AUK_PRJEB4211_v1:2:12006934:12011096:-1 gene:GSCOC_T00029087001 transcript:CDO99491 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVLSGSTTIHGLPRLRRLTTTTTSPVAACFPTTRPTTGAVADGGNLVWGRQLRPGILFEASPATALSPVTKRETLLRPCRTAASSPAEGSDSGGEAKVGFLQKYPALVTGFFFFMWYLLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLVSWGVGLPKRAPIDSNLLKLLIPVAICHAIGHITSNVSFAAVAVSFTHTIKALEPFFNAAASQFVLGQQIPLTLWLSLAPVVLGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALICCIPPAIILEGPTLVKTGFSDAIAKVGLTKFISDLFWVGMFYHLYNQIATNTLERVAPLTHAVGNVLKRVFVIGFTILVFGNKISTQTGIGTVIAITGVATYSYIKAQMEEEKRKGKAA >CDP08790 pep chromosome:AUK_PRJEB4211_v1:2:52992748:52993113:1 gene:GSCOC_T00027889001 transcript:CDP08790 gene_biotype:protein_coding transcript_biotype:protein_coding MREEAIFRRNFSAMAAAAVAVAEEDKDEEESDTSSAAAVRLGSGGMRRKKRRRAAGKVVAKGYRKLAETMGGFADIYERVKEAKQRQMVELEKQRMQFANDLEIQKMKLFMESQVQLEKLK >CDO96860 pep chromosome:AUK_PRJEB4211_v1:2:14040640:14042013:-1 gene:GSCOC_T00014019001 transcript:CDO96860 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIRPSPAPSKNKLAKKFQKVIHLKTATKNRSNNAFCLLIPQEKLKCCESQQFEKEEIEDAAKESKNRAAMEAFIAKLFATVSSVKAAYAEIQMAQFPYNGEAIQSADQAVIDELKALSELKHSFLKKQIDASPPHVTLMLAEIQEQQSLMKTYEITMKKMQNEIEGKEAQISSLQRELNEAIQNNKSLEKKINASGSFSILDNVKFSEANSKDFIMVLHYALRSIRNFVKLMIKEMVSANWDVEAAANSIQPEVNFVKKDHKGFAFESFVCREIFSGFNDPGFAVRHENQPWPNGGYQKRLFFFDQFKKVKSVSVLQFLKHNPNSFLGKFLKSKYLQLIHPKMEFSFSGNLNQRKLVNSGEYPETEFFKAFAEMGRRVWLLHCLAFSFDHEVSIFQVKKNCRFSEVYMESVTDDIFTAADGDFRVVFTVVPGFKVGQTVVQSQVYLSPARSAANS >CDP05232 pep chromosome:AUK_PRJEB4211_v1:2:2594917:2597537:1 gene:GSCOC_T00020202001 transcript:CDP05232 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVLSCKTIESIVYKVSLDTIHFIILSVPPIGNQCFVHLGLSWTQQYQLSQLSPSQIQIIWLNQLPLLISLSKKNCMQLPMIPPRRYIKYSLMLKQKFFELFWQIHSQSKMCNLLQSYLLFCSQRQQLMNRCNSPLLHPLLCLE >CDP04955 pep chromosome:AUK_PRJEB4211_v1:2:384289:393230:-1 gene:GSCOC_T00019832001 transcript:CDP04955 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALAAHEIHFSILREDVRKALPKDKNQRQEMVKPMQGGGYLRDFEAFISRQRFQFLHIWVLRDYLAHELQIPDATVKVDLDRLIDDFVFMCLFVGNDFLPHVPSLEISEGAIDLLMLVYKKEFTQMGGCLTNSCEVDLERVEHFVKAVGAHENAIFRKRAQAQKAWDINLKRCSVKSRAMEVQSTTDHFCTETRFAVDKVKLGEEGWKERYYIEKFEVQNDEEYGKIQRHAVFKYVEGISWVMHYYYQGVCSWQWFYPYHYAPFASDFHGLHQLEIKFNLGKPFKPFDQLMAVLPAASAQALPFFYRKLMTDPSSPILDFYPRDFELDINGKRHAWQAVCKLPFINELRLLAEIEKAEHTLTDEEKRRNSLGLDLLFVHTSHPLAAKMFSFCKRNKYNPKLPKAKVKRKINPEFSDGMNGYLYISDTPIQPIAIYSPLDNTELITNNEVVSVFYKPPSFHPHIAKIPKGVIRLGKSVSEHDIQPPPILWHEKTYIVRHRFSQRCIPPRAVSGPCLAKMARQLVSQNCVTKLHDADGDHGKVRKAKRSWSRDKMKNRKIKNGDKRRKVNKA >CDO99679 pep chromosome:AUK_PRJEB4211_v1:2:9918569:9921523:-1 gene:GSCOC_T00029347001 transcript:CDO99679 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Ha. [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MEEEDPNWPLDADVGWGIRASEYFEQHPIRNVVGEDGVEIDWEGEIDYGLVKEINCLEWESFAFRPSPLIVLVFERYNRQLIACLVASENWKVLKELEKAAEVFWKAKDRLPPRTLKLDINIERDLAYALKVKECPQILFLRGNRILYREKEPRRSDELVQMIAHFYYNAKKPMCINDAFLSPPC >CDP05255 pep chromosome:AUK_PRJEB4211_v1:2:2775085:2775605:1 gene:GSCOC_T00020234001 transcript:CDP05255 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVAGLVGIESVAIDNKYKILTVVGDIDPVKMVSKLRELCHTDIVSVGPAKEKDESQKKDEGNKKDDLRKGGDEKKGGGGGGGGDNKKYESKLPHHLPVYHQNCQPRGGGGMYAYCASCPLIPFILARLK >CDP13881 pep chromosome:AUK_PRJEB4211_v1:2:4061392:4064377:-1 gene:GSCOC_T00039011001 transcript:CDP13881 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MALAPFTPYCSSTSPLFSSKKCSVVSNSQLIVVSALSSRRSSLINTRRSLRVRSAVTFDSPASSSAHSSDSPEPLLEVKDLSAVVSESKQPVLKGVDLTIHRGEVHAVMGKNGSGKSTFAKVLVGHPDYEITGGSVTYKGENLLEMEPEERAVAGLFMSFQTPVEIPGVSNIDFLNMAYNARRIKHGQPELGPIEFYGYIAPKLELVNMKVDFLNRNVNVGFSGGERKRNEILQLAVLGAELGILDEIDSGLDVDALRDVAKAVNGLLTPKNSVLMITHYLRLLEFIKPTFIHIMEDGKIVKTGDISIAKLLEKEGYKAISGS >CDP14056 pep chromosome:AUK_PRJEB4211_v1:2:5431740:5433155:-1 gene:GSCOC_T00039240001 transcript:CDP14056 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSTMSRTTTVSKCSIFPSEDSTLGDLKLSVSDLPMLSCHYIQKGCLFTRPPFPISDLISLLKRSLSTTLSHFPPLAGRLFTDSDGHIYITSNDAGVDFTHANASHVRIRDILGSIDVPHQVKEFFSLDGMISYEGHFRPILAVQVTELADGVFIGCSLNHAVTDGTSFWNFFNTFAEVSRGIKRISRTPDFSRESVLISPAVLKLPADGPKITFDADAPVRERILSFTRESILKLKAIANNQKCEVNHVVELMGKQRHDPLARLEGKMTPLAQQQNKTRTTEISSFQALSALLWRGVTRARKLPASKTTTFRMAVNCRNRLGPKLEPLYFGNAIQSIPTYASAGDILAHDLRWCAEQLNKNVTAYDDATVRSNVQDWERDPRCFPLGNFDGAMLTMGSSPRFPMYDNDFGWGRPMAVRSGKANKFDGKISAFPGREGGGTVDLEVILAPETMDGLESDQEFMQYVSSGY >CDP07761 pep chromosome:AUK_PRJEB4211_v1:2:25869586:25873834:-1 gene:GSCOC_T00025131001 transcript:CDP07761 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYNLPQSFPWNPSRIQKMRTSSGSYISHRQPQKLQKVICAKERKRCLRCNTIYQDKDNSPTACSFHGHTSGERGLFAFAPPHQGIDGEWSDKSGVIVYRWNEKDNRPNTGISNWKKRWSCCAEYDENAPPCRQGWHVSYDDGFTLY >CDP09458 pep chromosome:AUK_PRJEB4211_v1:2:22124008:22125445:1 gene:GSCOC_T00028833001 transcript:CDP09458 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSGCNRVRGKRQKLKPEAKRKVGGESSGGGSSWGKNKCVSPPPPAEKTSLPPRLGLKESGSYEEFIRNALERTRARRCNPYIGFEPFGGEKMADESTIERYLEQIEESDGFDIDVFPGGRETIYVPIRNFKNDPQLYKDLVEMAIMALEEFNDKNKDTKGVPFGFKDIEKVISYMCAGEVFHITFQAEEAETAEVKTFQAKVYEPIQEDQREVMLVRLKKY >CDO96949 pep chromosome:AUK_PRJEB4211_v1:2:15281866:15286271:1 gene:GSCOC_T00014146001 transcript:CDO96949 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTSLACPQFSGLRRSSCPMLDSPPLSISTAQSFFQNLDSHLRLSSSSPRNKACRPVVAMAGSGKFFVGGNWKCNGTKESIGKLISDLNSAMLESDVDVVVAPPFIYIDQVKSSLTDRIEVSAQNCWIGKGGAFTGEISGEQLKDIGCKWVILGHSERRHIIGEDDEFIGKKAAYALGQGLGVIACIGELLQQREEGKTFDVCYKQLKAFAGKLLTHLP >CDP15831 pep chromosome:AUK_PRJEB4211_v1:2:44305189:44307835:1 gene:GSCOC_T00016702001 transcript:CDP15831 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVKALVQSQDLKSLPSDYAHFKDSQKSIVAGPEVALPVIDFSLLSSSNPDERAKVIDDLGKACEEWGFFMFFDRPEEAKLKFETTNPLYPVMVRSGSTIHDKSKQTVLFWRDYLRFFVHPEFYCPDKPQELRDLISEYSQRTRDLASKLLQGITRSLGMEEDYIQKAMDLDHGTQIFAANYYPPCPQPELAIGIPPHTDHGLLTFLLQNGVGGLEIQYRGQWFHVNALPNPIFVNTADKLEILSNGKHKSVWHRAVVNNEKIRITLVVPIEWSVT >CDP05293 pep chromosome:AUK_PRJEB4211_v1:2:3075545:3078862:-1 gene:GSCOC_T00020288001 transcript:CDP05293 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25370) UniProtKB/Swiss-Prot;Acc:Q93WL3] MAANTLSVILPISSPTSLSLQKPTDHSLAFNPGCQLILFFGEKLSIRSSNLSLVVSKRRSSTAATASFSLPISKEKRDSSEKLPRWSARAIKSYAMAELEARKLKYPNTGTEALLMGILVEGTSLAAKFLRENGITLFKVREETVKLLGKSDMYFFSPEHPPVTEPAQRALDWAIEEKLKSGESGEVTTTHLLLGIWAQKESAGHQILAAQGFDDEKVKELAKNMDKDIILSFK >CDO99464 pep chromosome:AUK_PRJEB4211_v1:2:12235618:12240626:1 gene:GSCOC_T00029053001 transcript:CDO99464 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSKSGACKSASHQLFKDRAKNRVDDLQGMFSDLQSARKESRSIDAAVLEEQVHQMLREWKAELNEPSPASSLQGGSLGSFSSDIYRLLQLCEEEDDATSALAAPKSEPDAQKADSGAVFQEGFVAAQASQEQSFQLLDQCKNSPAGANSMGVNNLGLPTQLEYHTFDLHQDFENQYFQGFDGTGLCGEDALPQIAGFVQNFCPPPAAFLGPKCALWDCPRPAQRSEWCQTSQDYCSGYHATIAPAEGYPGMAPVLRPGGIGLKDNLLFAALSAKAQGKDVGIPECEGAATTKSPWNAPELFDLVVLEGEMIREWLFFDKPRRAFESGNRKQRSLPDYTGRGWHESRKQVMNEYGGLKRSYYMDPQPMKNYEWHLYEYEINKYDVCALYRLELKLVDGKKSPKGKIATDSVTDLQKQMKKLTAEFPSDKQRAIKGGAKAASKDAAGSIYSTPNRMPPTGEGFEYSTGASYEYLVDNLNGYYVT >CDP00023 pep chromosome:AUK_PRJEB4211_v1:2:6913117:6915480:1 gene:GSCOC_T00029779001 transcript:CDP00023 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIHVKHGCRRAVNGADGELEFLYDCETSSTIQHITQDITEIANFQLQIRQLGCQLLPPVAALLHTHRPQVIALHRALSEATSYASKEQVVHGKPLSILVLRDHIRIIATEFVVNYKLLNFQDSNFKQLLSDSELLQEDTVQLLWAGKELMKGKTLRDYIGKNEKTKIMLRLQSQVSNPAF >CDO97681 pep chromosome:AUK_PRJEB4211_v1:2:20988890:20990239:1 gene:GSCOC_T00015089001 transcript:CDO97681 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGDDDHPSSKRPIQHTQTKYKLKILLLVILTNLLTIYVFTGPSLPIAQNLSLPTWDHTKLLNELNITKRELANSRAQNSDLQQHLKASNILTKSLLTELSRLNAAIDQHSSSKEVSSIFSFDDLLSDLSTEAKLGMGPQKLPLGYSPRSGTDELYPSVGGGCLRYEEELAQYMTYKIGGECPADDVFAQRLMLKGCEPLPRRRCHPKSPAGYKEPSPLPKSLWTMPPDTSIVWDPYTCKSYGCLVERKKLPGFYDCKDCFDLEGREKSRWLFDNGGLDFGINEVLGTKPHGTTRIGLDIGGGTGTFAARMKEKNVTIVTTSMNLDGPFNSFIASRGLISMHISVSQRLPFFENTLDIVHSMHILSNWIPDTMLEFTLYDIYRVLRPGGIFWLDHFFCLGSQLNSTYVPMLDRLDRGIDKNEWYFSALLEKPVKS >CDP07780 pep chromosome:AUK_PRJEB4211_v1:2:26346982:26350149:1 gene:GSCOC_T00025160001 transcript:CDP07780 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIPFGIAESMLLKLGSSAFQHVALISGVKDEVRKLSNTLTAIEAVLADADEQQEKSLLVQNWIKNLKEVVYDADDILDEFSTKASITEADLLGRVKNQVTQFFSSSNQLAFRYNMAKKIRKIRERLDEIAFEMAKFNFRVRSVNVRVENKEREQTHSYVLASSVTGRQEDKEEIVKLLMCSSDQQPVSPIAIVGIGGLGKTTLAKLVYNDERIVRNFGLRMWISVYNQFNLKSIMEKILACGIEFDNFEMEAEKNRVTEKLEMEQLQILVREKLSGKKYLLVVDDVWSENRDIWIQLSELLQGGRWGSKIAVTTRSQKVAFALDAEYTYMLQALPEDASWNLFRQLAFRKGQEDKHPSLVQIGKNIVKKCHGVPLAIRTLGSMLRCKREENEWLSIQENEIWELHDVMNILKLSYDHLPSNLKQCFTYCSLFPKGYVLEIKNLIQLWMAQGYIHQLGMGDQLEDIGLQYSEELQLRSFFQDVKRDDNNNITSCKMHDLMHDLACSVAKPECCVADSDGSNISERVHHVSFRSYLPASWTLPPSLLRVQKVRTLLLPFHYHTGCSIANVAFDQCLRRLRVLDLHNQRIQKLPRGVGNLKHLRYLDLSKNALTTLPNSISKLQNLQTLKLDRCYKLVELPNQITRIVSLRHLEISTCSKLTHMPLGFGKLTSLRTLPRFVIGKSSMSKSGGLNELHELNHLKGELAIMNLERVGNTRECEASNMKDKKYLCSLRLNWSRETNDDMYDDAVVMECLRPHKNLRELHISGYGDVKFPTWMSARITSVLPNLVNISIERCSYCQELPPFGELPFLRVLKLYSLNKLNFICHNSTSSSYFPSLKELVLFDLPLLSDWPRNSIVNQMREMTIEPQKIQQRNSMHEMQGFPSLTKLTIIDCPRLMSLPCPPSLEELVIHNITEELQRSLISVQAISLSSLPD >CDP05127 pep chromosome:AUK_PRJEB4211_v1:2:1824267:1829004:-1 gene:GSCOC_T00020069001 transcript:CDP05127 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDLETRAKEAFIDDHFELAVDLYSQAISVSPTNAELFADRAQANIKLNNFTEAVADANKAIELDPSMPKAYFRKGLACIKLEEYQTAKTALEVGASLAPGDSRFNNLIKECDQRIAEENGVLPNKSPEAPIVVDTTAAIPKVAEPAISISNQTTSLSSAKPKYRHEYYQKPEQVVVTIFAKGIPAKNVSVDFGEQILSVTIDVPGEDTYTFQPRLFGKIIPANCRYEVLSTKIEICLAKAEAVHWVSLEYSRDNVALQRINVSSGSQRPTYPSSRATKDWDKLEAQMKKEEKDEKLDGDAALNKFFRDIYKDADEDTRRAMMKSFVESNGTVLSTNWKEVGAKKVEGSPPDGMEMKKWEY >CDP17239 pep chromosome:AUK_PRJEB4211_v1:2:48201828:48206691:-1 gene:GSCOC_T00000730001 transcript:CDP17239 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRYFWEGGEEGSEVPNRDSKRRNASIRDMNKSSMQELVIKLEPFLRNCVSQEVQRAINSYLRSPLNQIGSSEGSRNLQLHLDDELPSTLFTGCRVEKEDSTLVKVVLRDSMSKRVITSGPLSSIKVTIVVLDGDFNKDDKEDWTQQEFNKRIIRERAGKRPLVTGAVALSLREGMGYIEDVSFTDNSSWIRSGKFRLGAISSEGSIREGMSNAFKVKDHRGESYKKHHPPSSDDEVWRLEKIAKDGASHKRLTSHGIHNVKGLLQLYVSNPCLLRHILGGNKISSKAWNSIIEHATTCPLDNNELYLYKTEDGTVLVLNSIYDVVGANFDGEHYLSVNMFNKSEELLVDNLKRHVYKNLNYLVPLGDQSFVAHPLLPPNLHTGSYCSPSIQNVSFQVGQDQVGMQVNPGHMEIAPAHTCGVQDSNQFKVSGAGTSHQMDAINSVLNSGFGLTNSYDEIHTGGGHSWDSPGSLGLFGLTDQLVLNNNFPVDTSAWQENGFLMAPGNQAFGVVSSGFGIGIPSNGKPKACWCKIRAVIKWRMVKSSRQKSGKAFKRSIQLIASSS >CDP05171 pep chromosome:AUK_PRJEB4211_v1:2:2142724:2143252:-1 gene:GSCOC_T00020126001 transcript:CDP05171 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPFKLSQDNIELQFATNHLGHFLLTNLLLDTMKKTYYKSKKEGRIVNVSSDAHRYTYREGIRFDRISDEKGYSNFFAYCQSKLANLLHSYELARRFKVFSSVHKSM >CDP05178 pep chromosome:AUK_PRJEB4211_v1:2:2185700:2189643:1 gene:GSCOC_T00020135001 transcript:CDP05178 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTLGNDISGQDSGPLIETENFSHDLQELRLENESMVAEIESLRASYQTLQSSYTEKEEKVAQINQEKEELFKQKADLLEAIKELSSERDSLRDEFTKLEASSREQEVEGVREKEEIKEELESCKDRLEELLKEKNEKNQYFSQKLGMIKQGLARVVDRLDVEGEEHSNELELNGDLGLEFKGILELLSKVELKLDEFTEKRKKEKRELESSLVSLTEENRDINNLLRIALVEKEAAEKSLNKLKGNNEQKRGAILQIAERSLQKVGFGFIVGTGGNELSPENSAANLAPKSDSSECEEEAVSLASTVERIMKNLRLEITQLRRSLDDSRSESERLQSLTEMQAHKMAEYVMYSKELEDRELILTQKVEELHVKIIETEEEVVRWKEACELEVQAGKYLTEEHEKVVHILQQELEKTRTALHVSKSKVKLKDELVATAIAAQEATERSLQQADSRSAGLLARIEELTRELEEIEKRERANRHRIRHICWPWRVLKFNPANTANSTVRNIRRMLPEMQALLHERLSV >CDO97595 pep chromosome:AUK_PRJEB4211_v1:2:20224763:20229762:1 gene:GSCOC_T00014978001 transcript:CDO97595 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIQSLSPQFLYSSASFAVKFSVKFTVSRCSLAPSPVTVANENAEKRPQERNEIRLGLPSKGRMAADTLDLLKDCQLSVKQVNPRQYVAEIPQIPNLEVWFQRPKDVVRKLGSGDLDLGIVGLDTVHEYGQGDEDLIIIHDALDFGDCRLSLAIPKYGIFENVNSIKDLAQMPQWTPERPLRVATGFTYMGPKFMKEVGLKYVTFSTADGALEAAPAMGIADAIVDLVSSGTTLRENNLKEIEGGVILESQAVLVASRKSLVQRKGVLDVTHEMLERFEAHLRASGQFTVTANMRGSSAEEVADRVLSQPSLSGLQGPTVSPVFSKGGGVLPDYYAIVICVPKRALYKSVQQLRAIGGSGVLVSPLTYIFDEETPRWRELLSKLGL >CDP06792 pep chromosome:AUK_PRJEB4211_v1:2:36047434:36048015:-1 gene:GSCOC_T00023766001 transcript:CDP06792 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKFPATVEPAVWWPQQAKESAHKTCLKSNGWNSKLEKEMRSIVEVIRRKDKADYLRLGGKALTLNKLLAISGPLLTGLAAISSAFMGSSSHTGFLAAMLGIVGGSLASIVNTLEHGGQVGMVFEMYRSNAGFFKLMEESIESNLMERRENGELFEMKVALQLGRRVSELRDLASSPKSKGEGAEEFASKLF >CDP17833 pep chromosome:AUK_PRJEB4211_v1:2:27461781:27465704:-1 gene:GSCOC_T00009458001 transcript:CDP17833 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEVFLGAIIKVIFDKLASVDLKKLARSEGLDTQLKRWSQVLSLIQAVLDDAEEKQNMRIAVKQWLDDLQDLAYDMDDVIDEFSTEACRRKLMEAQGSTSKVRKVKIPSCCTNFSIKDYKFNRKMAPKVVEITRRLESLKEQIKILHLVDTVAKRPNKTRDRLPSTSLVESYVYGRENDKKELLKLLLSNESSDDQVVVIPIVGMGGVGKTTLAQMVYNDDRVNEVFDSKAWACVSDDFDIFGVTKTILKAITSGGCDYEDLNMVQVKLSEALTRKRFLIVLDDVWNEKYEDWDILRRPFLVGSSGSKIIVTTRHHRVASVMSSTAGYSLKELTNDESLWLLARHALGRTNFDRHPNLEGIGRSIVRKCKNLPLAVKTLGGLLRARSTPDEWTDILNSEIWEIKEDQSDILPALRLSYYHLPAHLKPCFAYCSIFPKDYEFDKYELVLLWMAEGFLEESKSSDLMEDIGDNYFKELLMRSFFQQSSSNSSRFVMHDLINDLARYVAGDFCSRLTDDVEENIKCTILDKVRYASFTSSWYEASQKFKTLQKAKHLRSFLPLPIFAEDGSDEFYIAKKVMAELLPELRYSRVLSFGGYAISELPDSISELIHLRYLNLSGTRLKLLPESMSNLCNLQTLRLGNCRELINLPVGIRKLINLRHLENFNTSQLHEMPSGIDQLTSLQTLSKVVVSKHGGFRLKDLGNLSLLAGSLAILELQNVTNVQEARDANLKNKRDLDKIVLAWNSEYDDSLSKVLQQDLLEALRPHTNLTSLEIEFCKGDKFSSWVEDSSFTKLVKVSLRGCTHCKCLPSLGQLPVLKDLSIQSMLEVKAIGTELCGKDCYWESSFPSLESLTFDDMPEWEEWTCLSSAGENKCHFPLLQKLCISGCPKLKSIPVLHRPSLSELKLEECSDVFMQFPSGLEDITLRSCYQLKNLWGSSNTINLVQLKSLVVSNCSRLSSLEELGVLPMLKYLEIESCSALQSLPTLSGLNTLEISGCSALSCLPVDKLLLPQLTNLNIRHCLKLSLTPEIVIEDTSTSIESLEIVGCPCLNLRTMLGSVYSFASLRSLYISDCDYHLDQLPNPSMEYLYLHRCKNISYLPSGLGRLRSLVLLSCSSPLLFPQGDFPPSLQILHIEAGENLQLKPLSEWGLNRLTVLRSFGIVGGYPDLESFSGSGDDGLALLPPTLRSVYILDLPNVKSLSTFLRGLSALTHLQITNCPELGSLPKESLSNPLQGLVIDKCPLLEKRCLMDRGDYWPMIEEIPCVVIRSDGTRYAEI >CDP16355 pep chromosome:AUK_PRJEB4211_v1:2:28441256:28441688:-1 gene:GSCOC_T00018186001 transcript:CDP16355 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQQSASFCGAKASFSLPNLTLRKPATVPLAKKRDLPENSPTEQPNFSLKISNTFLAQSAIAVFSLGFIDAGYSGDWSRIGVISKENEDLLKAAAFVVVPLCLFLIFSLPKESED >CDP15246 pep chromosome:AUK_PRJEB4211_v1:2:48782329:48786904:-1 gene:GSCOC_T00042892001 transcript:CDP15246 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGEIARKKAMWLSPKVIGVSPSERWGHSACYSNGLVYIFGGCCGGMHFSDVLVLNLETMDWSTLVTKGQGPGPRDSHSAVIVGYKMIVFGGTNGSKKVNDLYVLDLRSKEWTYPECKGTPPSPRESHTATLVGDEKLLIFGGSGEGEANYLNDLHVLDLKTMRWASPEVKGNVPVPRDSHSAVAISNRLILYGGDSGDRYQGDVDMLDMDTLTWSRMDIQGSSPGARAGHSSVYVIGGVAHKQYYNDVWILDIVSCSWSQLDICGQKPRGRFSHTAVVTNLDTVTVFGGCGEDEHPLNELLILQLGVDYANGLYKMPFYKTSGNQCNQERKRFLREAENGLKRTVLMATSETLFIKDAEELKPLPKKSFCMDTDAFQMKKRRTNNLKMYGIASDAEEHSLSLSQSSSPSQSDQEQTPIKKCTEVSPQALPIFKQYCATPASLQSNHVPLNQPNPRIITPRTRQELHVFGEHPDQSKLHNLQAVNFRKHELHFSTDGQRSLEPGQFQNLIGAEVRGNVDGEFDSGYLMTATVNGRTFRGVLFAPGPYLVPRGVNLGQRPSSLRNQVNSSASHSSLGALRQSQQPTKQYAPEFQLAEVRRPISAVRSPPSSNTEPNLKSELQGVVLSLGGPGSGNGKL >CDO97546 pep chromosome:AUK_PRJEB4211_v1:2:19843473:19845584:1 gene:GSCOC_T00014921001 transcript:CDO97546 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERDLSRSPSYRRRYSPSPSPVGHRYSRRSGRRDRSRSPYSHSRRRRSRSMSSRHRKSRSPTPRRRKSPSPTPRRHKRQRTRSISLSASPGVSVGIKEQKDISEKLRKEEEEKKSLYMGAFSYF >CDO97294 pep chromosome:AUK_PRJEB4211_v1:2:17921104:17922607:1 gene:GSCOC_T00014578001 transcript:CDO97294 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVYTLHVDCKKEMGKERDVLNGEKSLLRIIVKNGGLILILDVLKCGSKVVARQHAAGAFFYLASVEKYRQLIGKIPDAIPSLVELLRDETDRGKKNVLVTIFGLLLCPENHRRVFAAGLVPLLKQEQWQQWR >CDP07649 pep chromosome:AUK_PRJEB4211_v1:2:24346124:24349305:1 gene:GSCOC_T00024970001 transcript:CDP07649 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKGLYYCFILLLMMSFCDASRRLNAEFQNLQVTKQLSKLNKTPLKSIKSPDGDIIDCVHRSRQPAFDHPLLQNHTIQVRPNYHPEGLNFGVSKVSNLNEETKPVHQLWHLNGRCPEGTIPIRRTKQEDLLRASSIGSFGKKKHKSSPQPRSAAPDLITQTGHQHAIAYVEGGKYYGAKATINVWEPQIQQPNEFSLSQIWLLGGTFNSDLNSIEAGWQVSPDLYGDSNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIALGASISPLSSYKGSQYDISILVWKDPKEGNWWMQFGNDYVLGYWPASLFSYLVDSASMVEWGGEVVNLESDGLHTTTQMGSGHFPEEGFSGASYFKNIQVVDGSNNLRAPTDLGVFTEESNCYDVKLGKDGDWGNFFYYGGPGRNTKCP >CDP17183 pep chromosome:AUK_PRJEB4211_v1:2:47483297:47484447:1 gene:GSCOC_T00000648001 transcript:CDP17183 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSSFFQKLPLKLVGQLPLQTPDFMLQKLLLTHMVFVLQRRKIRSRIVGQSHDNYTSKEGNWELFVRLVHGAGSSVL >CDO97646 pep chromosome:AUK_PRJEB4211_v1:2:20659396:20667306:-1 gene:GSCOC_T00015048001 transcript:CDO97646 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAASSTFLGHVPLTLHNPSCRQCVQNPVSTFRVNALFWGQKKSVEPANRDVSLGSFTFKEPGSKGDMSDSERVKTISVSVVTSILEVSSSEWDACCFDATGPEQFNPFTTHGFLSSLEESGCAVKETGWIAQHIVARDECNTVLGVVPLYLKSHSYGEYVFDHSWANAYYGYGARYYPKLQCCVPFTPVTGQRILLRNTLYKDKIFDILVSAMKDLTTKFQVSSLHITFPSASEWGKLKEEGFLQRIGMQYHWRNRNYKSFDEFLMDMKQGKRKNIRQERKKISAQNLTMKWLRGDDIKPGHWDTFYQFYRNTTDNKWGSAYLTREFFHNMASKMGDRVLLIVAEEGGELVAGALNLIGGNTLYGRLWGCHPEVYYPSLHFEACYYQAIEAAIEFKLGKVEAGAQGEHKIQRGYLPVTTYSSHYILDEEFRKVIADFLVREASQVNLVLKLLNDSGPFKEDKSS >CDO99857 pep chromosome:AUK_PRJEB4211_v1:2:8275544:8280987:-1 gene:GSCOC_T00029554001 transcript:CDO99857 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATGQFEIIIWTDTKNSQRRAIDQPREAMHLKLVLVASLFTSFVSLLCHHHFIAFMRSANPPAAAVHYISDAAINCGFNGNSTALDGREWIGDAPLKFLSGKSRISTAAEKPFPIDPVPYKTSRVSATEFGYSFEVSPGQKFIRLHLYPASYRGFENSIDFFTVKAGPFTLLRDFSASITAETSGVKYLIKEFCLNVEENTKLNITFSPSLNLNSKSKSTHAFVNGIEIISMPAGLYYTSDRDSGAAIVGQKNRFFSIDNSTALEVIQRLNIGGGSVSSAEDFGMFRRWNEDTKYLVESGAHRVHHPALRIKYTTNMPAFVAPAKLYQTSWKAAGNLKVDQIYNFTWKIPVELGFGYLIRLHFCELDDEMAQSELREFSLLINNQIAETKADVIRWSGGYGVPVYRDYMVKMNGVQGGSSCDLLIALQSANELVFGLLNGLEIFKLSNLDNSLAISNPTTPMTVSTPSGVKIRNVFLAFGHSNVVMTGMTLLVILVNVLVYHLTRIWEAKFCLKNDTVAATTEPACRCFSLAEIVLATQNFSDAFVIGRGGFGKVYKAYIPAIQEIVALKRLHWSSRQGAHEFWTEIETLSKLRHIHLVSLIGYCNESQEMILVYEYIPRGTLADNLYKMSRKGNDIAPLSWEQRLRICIGAARGLEYLHNGTEYGVIHRDVKDSNILLDENFVAKISDFGLSKLERLTQSKSYVSTKVKGTPGFCDPDYIATHRLTRKSDVYAFGVVLLVVLAGRPAVDNGTPEEQHNLVSYFRECIAEENVDRIVDPSLQGKFSSNKEQHNLVSYFRECIVEENVDRIVDPSLQGKFSSNSLKEFVKSIENCLHHQPKKRPTMALVVASLEQALQQQESTMISASSARVVCQPFREGTLESLQVLEESAKSPQTEGITSTSAELLGSPTRGQGYPPARKLLWGWPWKAVLNRGKKQKGEVLPLYSYKALANATDHFHSGNMIGQGGCGRVYKGILSNGQEIAVKRISNHRTLVLGEFKNVVAVASKLQHPNIVRLLGCCAEREEEKMLVYEYMPNKSLEAYLFDSKEQDVLDWSRRAIIIQGIGRALLYLHRGNSGQRIIHRDLTASHVLLDNGLNPKISNFSLAAFLGSSTIRGTLRYLAPEYLEQGKFSEKTDIYSYGVLLLEIVSGKKNWRLVGEEWHYLIECAWKLWNENKPMNLVDPALLVPPTETEILRYVHVGLLCAQVSPGDRPNVSAVLSMLNDDEIAELPRPKVPSYITARGLSRSSSLQKTTIIPSSDNDFSLTDDATAEVKVRTGLVPEERAGEAFDLRVNELRG >CDP13967 pep chromosome:AUK_PRJEB4211_v1:2:4667558:4673410:1 gene:GSCOC_T00039120001 transcript:CDP13967 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRLDESSHPLDTYPFEAYWWGSWHAVERLRIKDGAVTMHLVDNGEVIEEMIPTSNLRMRSRKATINDCSCILRPGLDVCVLTASSDTEDSSEDSLVWVDARIRSIERKPHGAICACHFYVSFYIREDAALTLQKKLSKETTRVQIDQIMILQKLDSVPSENQHYRWRTSEDCSSLLKYKLFTGKFCSDLTWLVVASVVKQAIFDVRSVEGRMVYEVLDVDCSTDSGSNSYCVNFKLDNGILSPIIVQFVPGIGKRTGDEMHTDAPLCLYEPMDLRRSKRRFVQPDRYLGCDVPEFDVETPRIGGRKMCKWDYEDEECEEMPLALSIQADHKYQKHDENENRDFFCKRRSDKNIRVCGSADKSTVSKNSESSPTREKKQSDQSQLALVPLSISSEGKSILRELDASYDENPEDHSGNIADLISRYLYENGSTAKGRKKKASELNFNRKEGGFMVQHLPRKTYKRSAFCIRSEWESIYNLKPSGKKSFSAAACRELLTRCMENIDATINMEQPPIIDQWEEFKSTKFQNQKETNDKAEKNHEEEISEIDMLWKEMELALASCYFLDDGEESHAFPTERKLSTEKGGTGCHHDYRLNEEIGVICRLCGFVSTEIKDVSPPFWSSASGFVHKEQRAEENLEHKPNGAEGLDNFQVPASSKAPSSEGEVEDSVWALIPDLRSKLRAHQKRAFEFLWGNIAGSLVPALMEEKSKRRGGCVISHTPGAGKTLLIITFLVSYLKLFPGSRPLVLAPKTTLYTWYKEVIKWNIPIPVYQIHGGQTYKGEVLRQRLRSNAGLPRNQDFMHVLDCLEKMQKWLSHPSVLLMGYTSFLTLTREGSNYAHRKYMAQVLRQCPGILILDEGHNPRSTKSRLRKALMKVNTKLRVLLSGTLFQNNFGEYFNTLCLARPNFVKEVLKELDPKYKRNKKGQKNRFSQENRARKMFTDKISKLIDSNIPDERLEGLNILKNLTGGFIDVYEGGSSDNLPGLQCYTLMMKSTSLQQGILDKLQNQRPVYKGFPLELELLITLGAIHPWLIRTTACSNQYFSAEELEDLERTKFDVKFGSKVRFVMSLIPKCVFRREKVLIFCHNIAPINLFLELFATIFHWRKGKEVLVLQGDIELFERGRVMDKFEEAGGPSKVMLASITACAEGISLTAASRVILLDSEWNPSKSKQAIARAFRPGQDKVVYVYQLLAAGTLEEEKYSRTTWKEWVSSMIFSEELVEDPSRWQAQKIEDELLREIVEEDRATLFHRIMKIEKALSVIREGEGRSVKGQLGA >CDO96904 pep chromosome:AUK_PRJEB4211_v1:2:14825528:14829050:1 gene:GSCOC_T00014083001 transcript:CDO96904 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRYLVMEVFLDPNKDLAADEPIIITQFNLSKAIKDSILMNFGECGLASSLNSFQVKYVNPITKLCIIRASREDHQKVWAAITMVRSIGNCPVTFSLLAITGSINACKKAALKSDELKFEQYKLMAQVQTTDDILQQMQNCLAKIKVLEH >CDP17751 pep chromosome:AUK_PRJEB4211_v1:2:51717803:51718053:1 gene:GSCOC_T00003856001 transcript:CDP17751 gene_biotype:protein_coding transcript_biotype:protein_coding MYYCCYVVQMVVVVGMMIKKKKKKKLLVAQQKGMV >CDP08614 pep chromosome:AUK_PRJEB4211_v1:2:54521123:54521787:-1 gene:GSCOC_T00027626001 transcript:CDP08614 gene_biotype:protein_coding transcript_biotype:protein_coding SNKITEIVRLQPVLNKWKKLAANTSKSVKFLKKTLFLGKRKHSSSRSLTNGAISGGSSDVVPKGYLAVCVGEGLKRFVIPMEDLGHQAFGILLTQAEEEFGFRVLNIPCQVAVFEKMMDERRDAPNAFHLHDFSSLLLEEINWTWILLAMLDIAARYTTPASSNAQMMTSLDVVDPLRTSPR >CDP08745 pep chromosome:AUK_PRJEB4211_v1:2:53369673:53378499:-1 gene:GSCOC_T00027826001 transcript:CDP08745 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSIANLKENLNKIASDVHHDDEEISGYSSPDRQVNSMSDRRFSHNFANSISPPPTHSPIANGFDSPYHSQIEQYQAEIKRLRESEAEIKALSVNYAALLREKEDQILRLNEENGTLKQNLHATNAALSSSRTTKGSSDQSPNRQSKSMVKNRAVGSISQNGSMPKQDGQSNGIGGADKELIDLLEEKNRALAAFQASHESEVEQLGMELDRERSNSENMKVRLEEEQKLSGSFQLELNSLKVEKDKLASEMTKVHDELSQKISEIRRLQMELHRRDNDETDNMVESLKRTISDLEKENRDLKIKKDELLADLEARRDTSAYKHQSESSEGLPGMEEMKVSFQKLEKDLKETRQEREKALQQLNRLKQHLLDKESEEAEKMDEDRKVIEELRASNDYQKSQILHLEKSLKVALMGQEELKVLYENEIKKSKETIDELNRKLRSCMSMIETKNAEVLNLQTALGQYYAEIEAKERLGEDLTAAKEESARLSGLLKEAYQQADTLRGEKEKLVANLSKTERMLAEGKNRVNKLEEDNEKLRRALEHSMTRLNRMSVDSDFLVDRRIVIKLLVTYFQRNHSREVLDLMVRMLGFSDEDKQRIGIAQQGAGKGVVRGVLGFPGRLVGGILGSSSSEASSNMRSDDQSFTDLWVDFLLKETEEREKRESAAASKENQTNGSPSSSNNAPLSNQTAGAATAIPNFGRSSIPQDQNFTTTPPRGTILQSESTNSEFSTVPLSISEPGTQTSRLLPRYS >CDP13988 pep chromosome:AUK_PRJEB4211_v1:2:4861092:4863185:-1 gene:GSCOC_T00039144001 transcript:CDP13988 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTLRTLQSNGWVATSSHGLLRVFSRWGLLESLIHISNEVTSIEKFPSVQVKNISTMISRIRLLSSLFEEIQETSGTLPPSSVLCLTELFSVIRRVKFLIESCKEGSSLWNLVQTEFVSNQFYAVVKEMGRALDILPLSLLNLTMDTREQVELLHKQAKRVELFLDRREIQRRDELLQLMSNKAHKNVKNKGSSDYGKVKEILGTIGLRSPLDYEEEISKLEAEAEKQAGTGGLIVVSNIKNLISLVSLSKTVIFSEEKNQKIKEDQKLPTAHLTSRYDQPSSSQSLILNIPDEYRCPISLDLIRDPVIVASGHTYDRSSIAQWINSGHHTCPKSGQRLIHMALIPNYVLKSLIHQWCQENNIPMVESALSSSDLGRSSSKRKLCANAVDHISATKAAADAVKMTAEFLVGKLATGSPDIQRQAAYEIRLLAKTGTDNRRIIAEAGPIPFLVTLMNSHDPRIQENAVTALLNLSIYDNNKTLIMSAGAIDNIIDVLQSGKTMEARENAAAAIFSLSIVDDYKIFIGARPRAIPGLVRLLGEGTTAGKRDAAIALFNLAVYNVNKVSVVLAGAVPLLIDLLLDDKAGITDDALGVLALLLGCSEGLEELRKSRILVPLLIDLLRFGSSKGKENSITLLVGLCKDGGEDVARKLLMNPRSIPSLQSLAADGSLKARRKADALLRILNRCCSQSRNPVG >CDP08702 pep chromosome:AUK_PRJEB4211_v1:2:53703213:53706127:-1 gene:GSCOC_T00027761001 transcript:CDP08702 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVNPSDQKNLIIELSQGREMANELRKQLDPVTSPATCEALVEKIVSTYDKALAMLTWRVLKEVETLPETGRKESPPFHSPVLTTPITEGSNSSGFSKDQECNKDASRKRKTLPKWSDNVRVCSASGLEGHVDDGHSWRKYGQKDILGANFPRAYYRCTHRNSRGCLATKQVQRSDEDPAVFEVTYIGRHSCIQAANSGSAIRSDGKEMRKPKKARSLEREGGNQMGAEQTPQHLGQGLKVETEVSKTKEEIFRSFSFSFKGVGSDILESQFFSDVMKDCDMMGGSSPTFLSPTTSESNYFSSSCQMDNNFGIDNILQTSESDLTDMISTPTSVISSSPFGDHDFSIDQVDFDTSFTLDDDLAYFN >CDO97049 pep chromosome:AUK_PRJEB4211_v1:2:16141336:16148870:1 gene:GSCOC_T00014272001 transcript:CDO97049 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRRSKVALVCFVVLSLLFNFTLEQQQQLLSSRLERLALLKLRSSLGLRAREWPIKSDPCSRWAGIQCQNGRVTRINISGFKRTRIGSQNPQFSVDALRNFTFLASFNASNFALPGAIPQWFGFALASLQVLDLRSCSIGGVVPSTLGNLLRLEVLDLSQNSLTGIIPPTFSGLRNLTLLDMSSNYLTGGIPPGIGSLARLKFVNFSGNSLSSSIPAQLGDLSGLVDLDLGSNSLVGPVPSDLRGLRNLQRLDIGNNFLSGSLPGNLFPALNQLQYLVLSHNGFTGGLPDALWTMSRLQVFDASRNNFTGTLPNLNSSVNGTTAVFNLSQNLFYGGLGSVIRRFAFTDLSGNYFQGTPPDYARSKVSLGKNCLQQLSNQRTAQECESFYAERGLIFDNFGLPNSTQTPTQSRKKSHRTVIIFAAVLGGAALIVLLVILLILLIVCGRRRDATNQRGTGVGPVPAGASPPSPGMSLNFTSLGDAFTYQQILHATGEFGDENLIKHGHSGDIFRGILEGGIPVVIKRFDLRSVKKEACMLELDFFSKVSHPRLVPLLGHCLENENEKFLVYKYMPNGDLSSSLFRKTNSDDDSLQSLDWITRLKIAIGAAEGLSFLHHECSPPFVHRDIQASSILLDDKFEVRLGSLSQVCAQEGDNHQNRITRLLRLPQTSEQGTSGTPNATCAYDVYCFGKVLLELVTGKLGISASGDAGMKDWLEQTLPYISIYEKELVTNIVDPSLIIDEDLLEEVWAMAVVAKSCLNPKPSRRPLMRYILKALENPLKVVREEHTSSARLRTTSSRSSWNAALFGSWRHSSSDVAAIPVAPANKMEGGSSFKQSGTTGSQGSGHNGEGGHSSSTRRQSREIFPEPMNVQDVERPNED >CDO96731 pep chromosome:AUK_PRJEB4211_v1:2:12451024:12453518:1 gene:GSCOC_T00013842001 transcript:CDO96731 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRKTGEEMNFTYVECLLYTFHHLAYKAPNATNSLCGYKIVTGQPSDRLGEDFSEYHKEFIERLNCVEELARATMKKLTQGMAEHNKALTAATSEEAKASIKTQKQNTTTGLRTCNNILAMTQPLHSKSPSFIGDKRIDLSWKETKKLSPPSNTPAAGGKRPAGTTNGSSNNAVKKGRGDGGIQNQYANRPFEGSAYGGRSGLRGRGRARGRGRGRGRGRGGGGRGRGRGYY >CDO97356 pep chromosome:AUK_PRJEB4211_v1:2:18404080:18408177:-1 gene:GSCOC_T00014676001 transcript:CDO97356 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLLRSLRRRELASAPISAYKSLVGNTKSAWISANSSSNWASLTRPFSSKPLGNEVIGIDLGTTNSCVAVMEGKNPKVIENSEGSRTTPSVVAFNQKGELLVGTPAKRQAVTNPNNTLFGTKRLIGRRFDDLQVQKEMKMVPYKIVRAPNGDAWVEANGQQYSPSQVGAFVLTKMKETAEAYLGKTIDKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGSNNKEGLVAVFDLGGGTFDISILEIANGVFEVKATNGDTFLGGEDFDNALLEFLVSEFKGNEGIDLTKDRLALQRLREAAEKAKIELSSTTQTEINLPFITADASGAKHLNITLTRSKFETLVNHLIERTRQPCKNCLKDAGVSSNEVDEVLLVGGMTRVPKVQEVVAEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGVFTRLINRNTTIPTKKSSVFSTAADNQTQVGIKVLQGEREMASDNKLLGEFELVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSEAEIEKMVREAEVHAQKDQERKALIDLKNQADTAIYSIEKSVSEYKDKVPAEVVSEIQAAVSDLRAALQNDNADEIKAKLDAANKAVSKIGEHMSGSGQSGGSASGGSQGGDQATEAEYEEVKK >CDO97390 pep chromosome:AUK_PRJEB4211_v1:2:18654727:18668407:-1 gene:GSCOC_T00014719001 transcript:CDO97390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-like-specific protease 2A [Source:Projected from Arabidopsis thaliana (AT4G33620) UniProtKB/Swiss-Prot;Acc:Q0WKV8] MVKPSKSSGNKSNNNSINKNKLSVYDFDCEDHQVEKDSQKILSKFKTKIQNKFSYSPVDKYCFLRSFTQGTKTIEENFEIKPVDIDSCFDAMGERICKVDTSVSGRSDYQLLVHSKCAFPGERYCTWKDRKPGNIPLFVDSEDEQGSDEVLRNEPICLDSDDDLGNKCVSSISSSDSAGDEGSCEEQPLNHDSEAAVIVKPDCIIWGHMHSTTSQLTFSSRSIKLEGSTLFGTKRSFHFNWSINHLVKISSLWCREVETAILDLYVKCEDENAAEIDSRFPGIVKLKFAVNDPQWSEKQQTIESLDVIYKASWCTVVDIDPVQNEFPCLEQKSMSFSRDCFPNDFFEDIIYPQGDPDAVLISKKDIDLLQPATFINDTIIDFYVKYLEQKIEPEEKHRFHFFNSFFFRKLADLDKDRSTACEGRAAFQRVHKWTRKVNLFEKDYLFIPVNFSLHWSLIVVCHPGEAAYYKGEVVDKSSKVPCILHMDSIRGIHRGLKNLFQTYLLEEWRERHVELSEEVPTNFLNLPFISLKLPQQENSFDCGLFLLYYVERFLQQAPVNFNPNTEASNFLNKEWFHPAEASSRRDYIKKLIYKVSNDNAHKNSAVANEPQYSSHYMVEGEVSDESLLETCDGKEACRGGYSAPHADEETPETSQAANPMEYLQPLGRPNFIRGDILGEGHTAGSVAKQTHQFHGKSEPHDQICNNMSPIEEEEAPWEQTASVDRQAVASVKQISMHLDYEDVEILDVKSLNSLEMQDEEAVVPRVLHVLDSEARDKNNDTSSMSREELDCCVVEDSVEENGRFDTNDNENPLSCEATTVAFYDLEVESPSNTNIKANPSKAVAASEEERDIESVNVLTSSYRRRGRILSKYSHC >CDP09453 pep chromosome:AUK_PRJEB4211_v1:2:22244310:22247416:1 gene:GSCOC_T00028826001 transcript:CDP09453 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDDGLRLSLSLGCGDDEKREKISQSPQPFPLKLMRSTLPFVQQRNQQQLLLLQKSSFLADAFPSSSERNAAEPRSSLRGIDVNRPATVIDCDEEVMVSSPNSTVSSVSGKRSEREENEAERGSSSLEDDGGDAARKKLRLSKEQAALLEETFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLTEENRRLQKEVNELRALKLSPQFYMNMNPPTTLTMCPQCERVAVSASSSSSAAAASTSLAATSTGIPRQPNPLTGSNQHSMPKNPWAAIFPNQSHGVRQPRS >CDP17175 pep chromosome:AUK_PRJEB4211_v1:2:47342512:47344463:-1 gene:GSCOC_T00000636001 transcript:CDP17175 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFDEELSAAAVHYFQYSRLQKAIHLSPPISIFKRQFIFLHLFLYLSSVSKRQFILLQIFGSLSSVMVAHLFVPERPAKQHKPNGYNLSSIHNVPNFDQASSCPLILTFGNPNMLGINPQQVSLGTLNPEDDAVSEVLTSQGSFVKLDEATNTTVQTKAKKPGGRTRLRTQNYDHVVAERKRYELFSQSFMALSTIVPGLKKMHKTFILEERAKKLEEQTMEPAVLVKKPQLLVEMKVI >CDO97128 pep chromosome:AUK_PRJEB4211_v1:2:16679738:16682579:1 gene:GSCOC_T00014372001 transcript:CDO97128 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLHHRDTSDQLDLIHLDFNCLYAGSGIVIPIFIYIQGLKVLDNKMETFASGAWQALGNAWKGSSTLVKQLENSATNFAESIHHGGLPGANGSVAPSLLESGKALTAKGIQVLEFVGKETMDLLIAETGMEVGKNFKAGGKTEEDQLFEEVTFDRCFYIYGGPEQLEELEALSNHYALLFNRRKAKLSSEQKSVYDGKLKLVQQILDLSSEIDGSDVESEKGKKIDAGIESSTDEIKILHDSSVSKAAELAAGFANALAGLPPNDIVQRTAGRLDSLHSEGVHRLSEMCCFAVTQLQMLGKAIISNANKVEDTDIDEEMVRINWPEDFVDKAKIIRFKAQSMTGNVEAISDCFITGMSDVAEVYLAAIKGAGSDSPELHPKNLIQEKANLFSENLRANYSTALGKIQDGLQYLSYLVLSTSIPTA >CDP06803 pep chromosome:AUK_PRJEB4211_v1:2:36751759:36755758:1 gene:GSCOC_T00023789001 transcript:CDP06803 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKNLLSDEGSPDFLNKGDNAWQLAAATLVGLQSVPGLIIFYGGMAKKKWAINSALMALYAFAVVLVCWVGWSYRMSFGDKLVAFWGKPSVALDEKYLLEQAFLGYFPTATMVFFQFVFAAITPILIGGALLGRMHFGAWMFFVPLWHTFSYTIGAYSIWNPNGWLFKLGIIDFAGGFVIHLSSGVAGFTAAYWVGPRTTNDKERFPPNNVLMMLAGGGLLWMGWTGFNGGASYAASGLASLAVLNTHVCTAVSLLTWLALDYCFFGKPSIIGAMQGMITGLVCITPAAGVVQCWAAILMGVISGCIPWFTMMVLHYRIKFLRRVDDTFAVFHTHALAGSLGGILAGLFAVPKLCRIFYNAPDWEKYIGLGYGLQTGRTWAGLRQMGIQLLGMGFIICLNIFTTTIICMLINLIVPLRLNEEELEMGDEVVHGEEAYALWGDGDRFENPKVNSVYDADEYPSAMSKFSMVPKTPSELQMV >CDP17802 pep chromosome:AUK_PRJEB4211_v1:2:51192802:51194874:-1 gene:GSCOC_T00003927001 transcript:CDP17802 gene_biotype:protein_coding transcript_biotype:protein_coding MDTITIVSSLVFYLVIWAFIHVITSNLRSRKSAARLPPGPYSFPIIGNLHQLGEKPHQSFAKLSKTYGPLMFLKLGSKATILVSSADVAREVLQRYDQLFSSRAAPTAAQALDHHKISMVWLPVLSQWRNIRKMCKENIFATPRLDASQGLRQEKLRELCEYLHKSSVSRKAVNVGDAAFTTSLNLMSRTLFSKDFANYDSNSSQELREVAWGVMKNVGASNLSDYFPVLQRIDPQGIMRDTKFYFQRLFDIFDDIIDERLQVRGTSEAKQKDLLEALLDHSIKNESEFNRNDLKHLLLDLFVAGIDTTSATVEWAMTELLRSPDKIAKARAELKEVIGEKEGVQESDISRLPYLQAVIKETFRLHPPAPLLVPHKADEDVEINGFIVPKDIQVLVNVWASGRDPTMWSDPENFEPERFLGSDIDVRGQHFELIPFGAGRRICPGLPLAYRMVHLMLASFIHNIDWKLEDGMKPEDMDMEEKFGLTIQKALPLKAIPVKL >CDO97637 pep chromosome:AUK_PRJEB4211_v1:2:20564250:20573986:1 gene:GSCOC_T00015035001 transcript:CDO97637 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNGSDNGEGESYDSHKAKVDPAKPASLTWKRRLNTKEVVLSTFGLTLKEIITLAPTGIRLWRHLRGEKSSEQVAFLNPFMKRDLSSCHGVPLGGIGAGSIGRSYKGEFRRWQLFPRICEDGPVLANQFSVFVSRPNGEKHSTVLCSGYPDSQNASPASGVGSWDWNLNGSSSTYHALFPRAWTVYDGEPDPNLKIVCRQISPVIPHNYKESSFPAAVFTFTLSNSGKMAADVTLLFSWANSVGGDSGLSGRHFNSIFRMEDGVRGVLLHHMTANGLPSVTFAVAAEETDEVRVSECPCFVVSGNSQGITAKDMWHEVKEHGSFDHLHFEEMSMPSEPGSLVGAAIAASVTIPADTVRTVTFSLAWACPEVNFSGGRTYHRRYTKFYGTFGNAASAIAHDAILAHESWESQIEAWQKPILEDKRLPEWYPITLFNELYYLNAGGSIWTDGLPAVHSLSAIGQRKFSLDRSNPALKNTINHSNHNDTATGILERMTSILEEIHSPISLNSAFGTNLLQKGEENVGQFLYLEGIEYYMCNTYDVHFYASFALVMLFPKLELSIQRDFAAAVMMHDPSKIRTLQDGQLAPRKVLGAVPHDIGMSNPWFEVNFYNLHNTNRWKDLNPKFVLQIYRDVVATGDKKFAEAVWPSVYVAMAYMDQFDKDGDGMIENEGFPDQTYDTWSMSGVSAYCGGLWVAALQAASALAGEVGDKGSEDYFWFKFQKAKKAYEKLWNGSYFNYDDSGGSASSSIQADQLAGQWYARACGLLPIVDEEKAKLALEKVYNFNVLRVKDGRMGALNGMLPSGQPDMSCMQSREIWSGVTYAVAASMMHEDLMDMAFKTAGGVHEAAWAEEGFGYSFQTPEAWNLEGKFRCLGYMRPLGIWAMQWALTQQPRHPKKEMKQEIKEADLFKEHAGFSRVARVLKLAEEQDTRNLLQVIFDYTCKRMWT >CDP08678 pep chromosome:AUK_PRJEB4211_v1:2:53904537:53905899:-1 gene:GSCOC_T00027727001 transcript:CDP08678 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSAKYATNAYLDALKLCGKHRENCNPGECQKEPESTEFISALAAGMRAQLMVEVASEVSPSTVALAAAARQTGGKLVCILPEVKENKSQQLIEESGLNDMVEFETGDPVDVLHNYENIDFSLIDCTSDNYKKLLDQLDVNPRRSVVVANNLVEGCKGLEGHLRGVEKEAKVRSIKYPIGKGMEITLIGKFSDHNKREKGRGSLSRAEKKGGIVKKTDKSKWIVKVDEKSGEENFYRLSPR >CDO96835 pep chromosome:AUK_PRJEB4211_v1:2:13729621:13737487:1 gene:GSCOC_T00013985001 transcript:CDO96835 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKEMSAPIMDGHDTVTGHIISTTIGGKNGEPKQTVSYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRTMDHPNVVSLKHCFFSTTSKDELFLNLVMEYVPESMYRVLKHYSNINQTMPLIYVKLYTYQIFRGLAYLHNVAGVCHRDLKPQNVLVDPLSHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTSSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHSFFDELRDPNTRLPNGRHLPQLFNFKQELSGAHPDLINRLVPDHIKRQMGIQLMHPTGT >CDP15241 pep chromosome:AUK_PRJEB4211_v1:2:48902386:48905328:1 gene:GSCOC_T00042881001 transcript:CDP15241 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLDLKGSDSEIDLESGGNVTDDDGGEHLDLSGRNSKKGLHMVWSELVGQESADASAKGEKSAHSLGKLLSYDEILVKNADRWPEKFEEEFTNFSKEKRAVEKPKMPNSKRPPKPPRPPGGPSLDSADMKLIKEISELAILKRKRTERRKALQKMRKEKTYSKSSSLFAMVVTALFIFVIIFQGLLGSRI >CDO99705 pep chromosome:AUK_PRJEB4211_v1:2:9595264:9599261:-1 gene:GSCOC_T00029378001 transcript:CDO99705 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAATAPISRCVLIVILIFSLLIQPSISIYCDEDDCYDLLGVPQNANASEIKKAYYRLSLKHHPDKNPDPESRKIFVKIANAYEILKDEATREQYDYAIAHPEEVFYNTARYYRAYYGHKTDPRAVIVGLLLVLSAFQYLNQWTRYKQAVDMVKRTPAFKNKLKALELERTGGMTIRKKNNKQINKKMEEDLSNELELQIKGAEKPSVWGLLGIRFILLPYTIGKLLLWHGCWFWRYNVKRSPYSWEDASYLTQRSLGVLPDSWTFIDESTKEDLVQRRLWEKSNLQSYLAEMRKESKRRR >CDP09381 pep chromosome:AUK_PRJEB4211_v1:2:22999744:23003441:-1 gene:GSCOC_T00028725001 transcript:CDP09381 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLKNQVTLGFSLPTHDITLEAAWPELFIDNRGRYWNVPESISLDCLSLVSESGLRYRFGIHKNGGLPSSVDSLNAAEAPLALLPGFCAKGAVSYEKSKDLWRQRETKEDLIVETPNGKFWRPSYDVCLKEPHSAISGIIGATCASWFGNGKSVVAVESREAGVDHIPYNAKSRSPFSLDMFGSFCYTFQHGKFRKLFGDLSRIDARLDVASVSALAKQISNVGNASYSNAENFPSSPRFNVILQQQVVGPIVFRVDSRFVLDLARGSRGPHFEEAIFSLNYALRLLQSGKVVAWYSPKRKEGMIELRLFEF >CDP08584 pep chromosome:AUK_PRJEB4211_v1:2:39958117:39960590:1 gene:GSCOC_T00027577001 transcript:CDP08584 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQLIRPQSEEEQVKCGGDVASKEAVLAHLAQVGQQDGQIALPHELRSSITIGEALEAAVLTAGNKPVDYSDAAAIQAAEVRATGRTNIVPGGVAAAAQSAATRNARVTRDEDKTKLADVLSEACTKLPADKPVTRRDAEGVIGAELRNDPNLTTRPGGVAASLAAAARLNQTMNRNSQPS >CDP15174 pep chromosome:AUK_PRJEB4211_v1:2:49874191:49874433:1 gene:GSCOC_T00042779001 transcript:CDP15174 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKQKKSSGSSSSFSFFSMFKTKSRRADDMKDDYMKAYKVWPSDEDRGRWVAEPGIDRKASAFINSRTERWKSVEVAN >CDO99515 pep chromosome:AUK_PRJEB4211_v1:2:11756211:11760333:-1 gene:GSCOC_T00029124001 transcript:CDO99515 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSMYKEYVAGMWAGVATVIVGHPFDTVKVKLQKHNTAANGIKYKNGLHCTARILKTEGVQGLYRGATSSFLGMAFESSLAFGIYSQTKQLLQGGFHSDKPQPQAIIPAGAFAGSIISSILCPTELVKASESDS >CDO97705 pep chromosome:AUK_PRJEB4211_v1:2:21161944:21169384:-1 gene:GSCOC_T00015122001 transcript:CDO97705 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLVPTLSSRISWRLNLLHKTTQYVTIRAFKALRIPSRPVLPPLSSAFPLNSSPPHLVSSYSNKSAAVQKQNPLSSDDNLVILGIETSCDDTAAAVVRSSGEILSQVVASQADLLARYGGVAPKMAEQAHAQVIDQVVQEALDKAQLTEKELTAVAVTIGPGLSLCLRVGVQKARKIAGRLNLPIVGVHHMEAHALVARLVEKKLQFPFLALLISGGHNLLVLARDLGHYIQLGTTVDDAIGEAYDKTAKWLGLDMRRSGGPAIEELAHEGDAEAVKFRVPMKQHKDCNFSYAGLKNQVRLEIEAQNINAEIPISSASSEDRGARANIAASFQRVAVLHLEEKCQRAIEWALKIEPSVKYLVASGGVASNKFVRSRLNQVVERNALQLLCPPPSLCTDNGVMIAWTGVEHFRVGRYDPPPPANEPEDAQLDLRPRWPLGEEYAEGRSDARSMRKARIHPSLTSLIQASLLGQPKPTV >CDO97494 pep chromosome:AUK_PRJEB4211_v1:2:19464902:19465552:-1 gene:GSCOC_T00014851001 transcript:CDO97494 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQNSVQIALPKGANDQNQNQEPGEDFDYSQRSQWLRAAVLGANDGLVSIASLMMGVGAVKTDVKAMILTGFAGLVAGACSMAIGEFVSVYSQLEIEVAQIKRETEMIETGQDEEKNKKENLPNPLSAAMASGLAFSLGAIVPLLAAAFIGDHKVRLAAIITAVSLALLVFGWVGAVLGKASVTKNCARALIGGWMAMAITFGMTKLISSTGMKI >CDP07725 pep chromosome:AUK_PRJEB4211_v1:2:25411700:25429435:-1 gene:GSCOC_T00025075001 transcript:CDP07725 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSNRGKNRKGSQNAANQSEQTASSNPSLSNHSGSSDANGNPAASEPTSSNENKDLENISTENKGQGEMSMTVDNQTKQDEVNATAEHKAKQGDIHLYPVTVKSQGGEKLELQLSPGDSVMDVRQFLLDAPETCFFTCYDLLLHAKDASVHHLEDYNEISEVADITAGDCYLEMVAALYDDRSIRAQVHRTRELLSLSTLHSSLSTSLALQHEMGQSPSGTSGDPVKSEVADLDSLGFMENVSASLSNLFSPPSKEIQCVESIVFSSFNPPPSYRRLSGDLIYLDVVTMEGNKFCITGTTKIFYVNSSTGNILDPRPTKSAFEATTLVGLLQKISSKFKKAFREILERKASAHPFENVQSLLPPNSWLGLYPIPDHKRDAARAENALTLSFGSELIGMQRDWNEELQSCREFPHSTPQERILRDRALYKVTSDFVDAAINGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSRKQASDAYASNRIESSGMLQSSSEKTANHLPHGAQRVPDQSDSLNTEILNGVTDLTSDVPSETQLSESEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWSEDFHSKVSEAANRLRLKEHRVFDKSGNVFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANYTGPGSRFCILRPELITSFCHAEAAERSKSQVTSEMDVSGGTDSLDANAEPVPSIQENPVSAGSEMQSKEKVEKEVAEEYGCQSESRHISEEILFNPNVFTEFNLAGSPEEIAADEENVRKASLYLKDVLLPKFIQDLCTLEVSPMDGHTLTEALHANGINVRYLGKVAEGTRHMPHLWDLCLNEIVVRSAKHILKDALRDTEDHDLGGAIAHFFNCLFGNIANKGVVNSTNLRSQKKDHVGHQASSKSFKSQAKSKNRGSARKKQSSFFNFNSDCLWFDIQEFAKLKYQFELPEDARLRARKIPVIRNLCHKVGVTVATRRYDLDGVAPFQASDILNLQPVVKHAIPVSSEAKDLVETGKVQLAEGMLSEAYTLFSEAFTILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAHEKKTYDILVKQLGEEDSRTRDSQNWMKTFKMRELQMNAQKQKGQALNSASAQKAIDILKAHPDLIHAFQAAAVGGGSGSSSAATSKSLNAAIMGEALPRARGVDERAARAAAEVRKKAAARGLLIRPHGVPVQALPPLTQLLNIINSGVTPEAAISEENGVKKEVNDHPPSEAGGGSIDESKPGPQDQTPVGLGTGLKQKAKAKVPL >CDP13854 pep chromosome:AUK_PRJEB4211_v1:2:34110103:34112820:1 gene:GSCOC_T00038972001 transcript:CDP13854 gene_biotype:protein_coding transcript_biotype:protein_coding MHRANEIKDNNIGSYMVPEIQQRLNGQYGTSFTSDSIRAKYYALRELAKLYIAFKRREKGLGWDSQNFTWLMDDSKWAELARMTAPSTTCLRKFLSTKEQREISALALRTSLVLQPRRDTWRTLHDPHGEREGRTMRTRVLN >CDO97484 pep chromosome:AUK_PRJEB4211_v1:2:19398018:19403029:1 gene:GSCOC_T00014838001 transcript:CDO97484 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAELMRVLWLNIFSYQVPRHIHNIECEIFFFFFFFDCAILRIISAGCFIAVQLFAPVGHAGICIIKFLLVLFVSSIHFGSSKCHYYLRLVCKEFRILISIKLNC >CDO96754 pep chromosome:AUK_PRJEB4211_v1:2:12740285:12745667:1 gene:GSCOC_T00013871001 transcript:CDO96754 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLAPLTTAFTIIILTFLNSSITVSASAGICYKSLISFGDSLADTGNLFHLDSPSSSSDAPHFFLPPYGETYFHHPTGRCSDGRLVVDFIAESLGLPLLPPYLARKDTSGSLDFLEGVNFAVVGATALDGSFFRERGIDNPATNASLATELGWFIDIFFYICHVIPDCKDFLQSSLVLMGEIGGNDYNYPLLQGRNIEEVQSFVPLVVQTISSAIQELIELGAVTLVVPGNFPIGCSASYLTYYQSSKKQDYDTDTGCIKWLNNFAKYHNQVLQSEINRIRELNPHATIIYADYYNAAMRLYRFPNKYGFKGEVLKACCGAGGPYNYNSTVPCGYQPAASCDDPPLYVSWDGLHLTEAAYRWIARGLLEGPFSTPPINTVCSPASTNFLGPRLEY >CDO97415 pep chromosome:AUK_PRJEB4211_v1:2:18874777:18876573:-1 gene:GSCOC_T00014756001 transcript:CDO97415 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIDDAVPFFGKGFNKIYPLIMVIYTILIAGNFFDRVLDYVGNWKIFRFQSEHADDLDGFDPSGLIILQKERSWLEQGYKVGELVIPLARNFSTTSLDLESGTNKVNQGTKASASLGEEEKSIYSRPLDLEAQNHTSRESISRKYAAIRAQDIKQEKDLSLKGDIHSTPSHDAENSPTSKAEPSSGLSSTWQSVKMGFRQFKSNIEAKKFIPLRQVQDTKHFRDSSSESLDEIFERIKRPALDHRNHDIDDDDDDLDDYGTHIRRHGPSI >CDP19512 pep chromosome:AUK_PRJEB4211_v1:2:21357882:21358859:-1 gene:GSCOC_T00002340001 transcript:CDP19512 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSKGIAIIILLNLIFFTCVSSYKVVPCPPPRKPAPSTTPPAPKKPAKCPKDALKFGVCGDWLGLVHEVIGAKPSGECCALIKGLADLEAAVCLCTAIKANILGAIEVKIPVAISMLINSCGKKVPEGFKCA >CDP07734 pep chromosome:AUK_PRJEB4211_v1:2:25522125:25522791:1 gene:GSCOC_T00025085001 transcript:CDP07734 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKTINITISPPSNFRYNAPILHPFFYFPPTKPKFQVFLYSRSHSISSKTERGGVKALPSGAGEAVNEVSQNRLIQVVLVSPQVLLDLKTTVSNSLLQYSRNSCILKGTPPQPPPLPRGKNLLICCFLVPLFKVSFSFLLGVGGEGGVEAVGGA >CDP05273 pep chromosome:AUK_PRJEB4211_v1:2:2921767:2924212:-1 gene:GSCOC_T00020259001 transcript:CDP05273 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGTYYLVMYCPHSSCNRMVHIHTISPLSTTWCEHVSGVLGPVFVRYPNWADLRGLDMYPLQQGNELENQNPCRPCQPDKILNDLVTLESTASLPPATSITGKKHHHRRFLPAIQQENKKQDHEDTTTTNSNQHKLKRILHRDIERQRRREMANLYASLRSLLPLDYVKGKRAISDHMQEAVNYIKCLQKNINQLGSRRDRLMIPLCNLSSTFSFSSGNRSTSADSQCLRDCGAIVRVSQGGDGVEVLISISSKEETFPISRVLKMLLGEGLDVVSCVSTATNEIKVHKILAEVTDGRKSIDTLALQHQLMNAINPPES >CDO99575 pep chromosome:AUK_PRJEB4211_v1:2:11230596:11233411:1 gene:GSCOC_T00029210001 transcript:CDO99575 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIQHKFIQVDDGVKLHVAEIGSGPSVVLFLHGFPEIWYSWRHQMIAVAKAGYRAIAPDYRGFGLSDPPPEPQKANFADFITDVLALLDALSIPKVFLVGKDFGASVVYYFAILHKERVTAIATLGVPFRPPGPPPHHKLLPEGFYVTRWREPGRAEADFGRFDSKTVVRNIYIMFSRSEMPIANENQEIMDLVEPSTPLPSWMTEEDFATYGALYEKSGFETALQVYRATGGSSNLQDPKIEVPALFIMGEKDYVFKFPETEDYIRSGRIKDFVPDLEIKYIPEGSHFVQEQFPDEVNQLLLSFLSRQA >CDP07726 pep chromosome:AUK_PRJEB4211_v1:2:25445093:25446103:1 gene:GSCOC_T00025076001 transcript:CDP07726 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDAVAQLKAEKLSSYWESRLLDPRRHPFRVAEDEGQNIYMEIIDEDDEELKNLKNELGEEVYKAVTTAWLEINEYNPRDRTPIMELWNYEQGRRATLKEGISFIFNHWKMAPKERSF >CDP13942 pep chromosome:AUK_PRJEB4211_v1:2:4523163:4529378:-1 gene:GSCOC_T00039089001 transcript:CDP13942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 1 [Source:Projected from Arabidopsis thaliana (AT5G05490) UniProtKB/Swiss-Prot;Acc:Q9S7T7] MFYSRQLLARKAPLGQIWYSISTSMAATTKEKITRKKLDKLDIIKICEEILNPSVPMALRLSSILMGGVVIVYERKVKLLYDDVNRLLVELNQAWKVQLVSDPTVLPKGKAQAKYESITLPAIREEDIEEMETLQHSNAPTTMGFTQTAYFSMRLDNMDDSYINPNPEEDLPQDDHQANPADITLFDSHDPSMADTIMHNRFERFDIEGDEDTQLNFAASEHATIPSTLVPSPPPQDKPYPSDEVQDHNPEAQGNQQVAKDKKVLQQDQLRQGPARKRARQPAAMAMDCEQTIIPGHVYQSWLQNTSDITSRRRKNSRSGAMSKRKIANITELPLTVLHDGLFTYGNREVHFPAPLLELWKKCTQPAHDSASGGTWTRQPPDPSSSSQPERIHKRDPLDFHSGVGSQTEEISIEKQRTNHDISMQPEILMEEIGTDTANTKVPFSGAYIGALSDEVRSIPSSGSGHGFLSNDSEVNLARSNMKRPHSSPRHRVYGLEPVAEENSSHPNFKLSRLSENGLIPDNELMVETGPTQTQKHPIAVCVIHSLLEHGVYRQLKAHFETPGSSEAESLNQLARGMDKKRAAALFLRTCVLATQDVIRVQQKKPYGDILISRGPKM >CDO97162 pep chromosome:AUK_PRJEB4211_v1:2:16934186:16937682:1 gene:GSCOC_T00014417001 transcript:CDO97162 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKQGEEGGAGGRFYWVKGEQESGCVKKSRGIVVVFAWWGSIQETQLKDFTDLYSSLGWNSLVCLAHFLNPFTPERATSLAFCIVSQLVEELRSKPCPIVLASLSGGSQACLYKVFQIIDGGCEVQLNLNDSRLVRSCISGQIYDSGPVDVTSDLGARFSVHPTVLKMPGSAKLVSFVAKGVTSGLDALFITKFGSQQTDYWQSLYSSVSLGAPFLVLCSDCDDLAPYPIICNFSQRLRDAGGAVEIVKWKNSPHVGHYSSYPIQYRAAVAELLERSNSIFFHKIQRLGEQIGTDLGGMPGEISDLICDLQNAAVNSNQSLRRVAVGPEDHFFLPGSMEHDNFRDSRSSQEDRKEKLPSDTNPRMDAHGVLGQILFDACVPKNIEGWDIKFSGALNGQPFASASRRSPLKAIKFIGRSRL >CDO97241 pep chromosome:AUK_PRJEB4211_v1:2:17531620:17540690:-1 gene:GSCOC_T00014512001 transcript:CDO97241 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVRESSLSESHLSPEQRALELGNGFVSGNRCTESVIQKCEMVKDAHIGPEETGIRKSNANSVEILKTVDGLTNNSDFESFRLHNIQYLPESANAEPLEQKQVVGDDNVDNRLTETEIAAPDLTGLEEYIQISVSPCENVAVVPAFASPGSEPQDASMHVDPQQTESTQKGAVNAGGESVLDKRTPFESRKRKSTSTIPVTARVLRSRSQEKSKESEKKDVVEDAATEAYRRKRGKKKQRRNIPVNEFSRIRAHLRYLLHRIKYEQNLIDAYSGEGWKGQSLEKIKPEKELQRAKSQIFRYKLKIRDLFRQIDLLLAEGKLPESLFDSDGQIDSEDIFCAKCGSKDLTLDNDIILCDGACERGFHQFCLEPPLLKEDIPPDEEGWLCPGCDCKVDCIELLSDFQGSNLSVLDKWEKVFPEEAAAAASGMKMDDYSGLPSDDSDDDDYDPDKPEVDNMVLGEESSSDESDYFSASEEPVSAVKAEQILGLPSDDSEDDDFDPSAADHGELAKQESSSSDFSSDSEDFGAMFHEKEPLGEEAGHVSSVSTQSNLAVGSIGPIFKVGRDKRHSLSDELSFLLESNDAPVSGKRHVERLDYKKLHEETYGDTSSDSSDEDYGETVGPRRRKKSTGKAILVPSNEPETIHKGADIKDENCNQKDFEMTPVEKINKKFEIEGSNNMSVDSPRISTEGGSSGKRTGRPYQRLGDGIVQRLLESFRENQYPKNGVKESLAKELGLRIQQVSKWFENARWSCRHSSRMDSKMTGTTSINGTCLPEINEKVPKHGEQSNLESATCNEEGKMALPQTNPCVEGQHIAGTGEGNSAIDFSPDSINGRCTQVDEQKPDQLSSAEETSKQVSNVNASKSQSVRRSGRLQARSGN >CDO96747 pep chromosome:AUK_PRJEB4211_v1:2:12625209:12627151:1 gene:GSCOC_T00013862001 transcript:CDO96747 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCAKFKTPILSSSNFPPKDCNYLLSPLTSSTSLSSVLQHYINSDHPSHGQKIHSHIIKTGFKPNRNISIKIIILHLKSSSLSYAQKVFDELPQPTLSSYNYMLSGYIKHGLVEKSFDLFRKLTFSGEKSDGFTFSMILKGSSFESVLSRSKTVGREVHAQIIKSGVDGDDVLYTALVDSYVKIRSLDYARRVFDLMLEKNVVCSTSMITGYMNQGLIEDAEHVFNKTVEKDVVVFNAMIEGYSKLIETAKKAIQVFIAMQRIGFKPTISTFASIIGACSVISAFEVGQQVQGQLMKTELFTDIKMGSALLDMYSKCGRTVDARKIFDHMPEKNVFSWTSMIDGYGKNGAPNEALQLFNRMLLDPLVKPNYVTFLSALTACAHAGLVATGWEIFYRMERDYSMKPRMEHYACMVDLLGRAGTLNRALEFIMEMPQNPNSDVWAALLSCSRLHGDVDMANLAANELFKLNAESRPGAYVALSNTLAEAGRWSNVTELRELMKTRGISKGTGFSWFGSDSLEALYAGQ >CDO99481 pep chromosome:AUK_PRJEB4211_v1:2:12107606:12109008:1 gene:GSCOC_T00029075001 transcript:CDO99481 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRDNDVRIKVWNRRVVRLSLAGYVMKGVTVFAMKFGAVDNADEDVESIAKDAFEGEGEV >CDP07639 pep chromosome:AUK_PRJEB4211_v1:2:24174751:24185948:-1 gene:GSCOC_T00024958001 transcript:CDP07639 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGTPVNIIVGSHVWAEDPEVAWIDGEVTEIRGNDATIVTTSEKTIVAPISSIYPKDTEAPPAGVDDMTKLAYLHEPGVLCNLACRYALNEIYTYTGNILIAVNPFRRLPHLYDVNMMEQYKGAAFGELSPHLFAVADTCYRSMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKHGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCASPPEDVKKYKLGDPRTFHYLNQTGCYEVANVDDAREYLETRKAMDVVGINQDEQEAIFRVVAAILHLGNIDFIKGKDVDSSKVKDEKSLYHLRTAAELLMCNEKALEDLLCKRVIVTPDGNITKPLDPAAAATSRDALAKTIYSRLFDWIVDKINSSIGQDPEAKSIIGVLDIYGFETFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTDFTINHYAGDVIYQADQFLDKNKDYVIAEHQDLLAASKCPFVANLFPSLPDETSKQSKFSSIGTRFKQQLQSLMETLNTTEPHYIRCIKPNAVLMPGIFENYNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGMLAPDVLDGYEEKSACIAICDRMGLKGYQIGKSKVFLRAGQMAELDARRAEILALAARRIQRQIRTHLTRKEFITLRKAAIDTQKLWRAQIARRLYDFMRKEAASIRIQKHERARAARKSYTTLKAAVTVIQTGMRAMAARNEYRQRRRNKAATAIQTQWRGFHAVTAYNQQKKATLTLQCLWRARIARKELRKLRMAARETGALKEAKDKLEKRVEELTWRLEFEKHLRIDLEEAKGLEITKLQNTLQEMQAQLDEAHAAIIREKEAAKIAIEQAPPVIKEVPVVDNSKVEVLTDQNKELQEEIAELNKRVAEFEQTYSEVQKESQARLREAEESQLRVLQLQETIGRLELNLSNLESENQVIRQQALAAPATEEFIEEMEILKRKISDLESENETLRSQNVVVEPTSISDRECSTVKSLDNGLQISNGPQTEKDHERNMPEQQLAKDSSNVVPFLTKQRSLTDKQQENHDILIKCLMEDKRFSESRPVAACIVYKALLHWRSFEADKTTIFDRIIHTIRSSIEDQDNSKVLAYWLSTTSTLLLLVQHTIKASNTPNIVSHRNRSPPSTFFRRMAQGFREPSIRTETSSGYSGMEGNSNMRSRIEAKYPALLFKQHLTACVEKIYGMIRDNLKKDIGPFLNLCIQAPRSARTRVLRGSSKTIHSNYIAKQQASNVHWQNIINNLDHTLSILSENNVPSVLIRKIFNQVFSFINVQLLNSLLLRRECCSFSNGEFVKAGLQELENWCIKATEKFTGSSWDELQHIRQAVGFLVSHQKGQKSLEDMTNEICPMLSIAQIYRIGTMFWDDKYGTHGLSPEVIGKMRALTVEDSTMSNNIFLLDVDSSIPFSVEEISQSFHDMRLSDLDPPLLLRQRSDFHFLLQQTD >CDO97309 pep chromosome:AUK_PRJEB4211_v1:2:18029199:18032912:-1 gene:GSCOC_T00014616001 transcript:CDO97309 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGEYAAMCNQTLIMTKPGVTLKKTLSGAAVPSKRVCFSALKLKNYHKARPGVKAVTQIEVGSTTTTEGSRDLKGLEGTLELDLVSEGELREKGFMGLRKTKLVCTIGPACCSLEELERLALEGMNVARLNMCHNTREWHLDVIRKIKKLNDKGYCVAVMIDTEGSQINVVDHGAPSSVKAEDGSIWHFTAEKFDGSRPLTVQASREGFSEGVEIGDEVVIDGGMATFEVVEKIGNDLRCKCTDSGLLLPRAKLSFWRDGELVRGNYELPTLSAKDWSDIEFGVSEGVDFIAVSFVKDAEAIRHLKSHLSTKSAKLAKVLAKIECVESLQKLQEIVEASDGVMIARGDLGVEIPLEQIPSMQEKIIEVCRHLNKPAIVASQLLESMIQYPTPTRAEVADVSEAVRQYADAVMLSGESAVGSYGQKALSVLRTTSSRMESWGREESMQAHLNQSKLRLSLSDRIAEQICNCAAEMANNLGLDAIFVYTRHGEMASLLSGNRPNPPIFAFTSDRGARMALSLQWGVTPLLIDLSDDMEANTEKTISLIKTKGLIKEGDTVLVISDVIPSCATQTLLFQSIQVKTVA >CDO99718 pep chromosome:AUK_PRJEB4211_v1:2:9532431:9534965:-1 gene:GSCOC_T00029392001 transcript:CDO99718 gene_biotype:protein_coding transcript_biotype:protein_coding MANTYQPLLDIPKKRSGFKVLYVVLSLAAILGAISIVSVAILSSSQTSTSSLRGGHLCSHAHDQSSCLQAVSEVASSGQSSDVDLLQMILAKSSAQIQETIKLTENINRRINDQREQAALADCLELMDMSVDRLMDSMVALGSQTAQSQSDAHSWLGSVLTNHVTCLDGLNGPARSVVEPMMKDLILKARTSLAMVVAIAPPKEEMIEQPLNGDLPSWVTSKDRRLLEALPKDVKANSVVAKDGSGNYKTVQEAVNAAPNNAQSRYVIYVKAGTYKEKVDIGKNKKNLMLVGDGMDKTIITGSLNVVDGSTTFNSATVAAVADGFIAQDLGFQNTAGPQKHQAVALRVGADKSVINRCKIDAFQDTLYTHSLRQFFRDCYITGTVDFIFGDAAVVFQNSKLAARKPMSNQQNMVTAQGRVDPNSNTGTSIQNCDVIPSSDLAPVKGSIKTYLGRPWKEYSRTVVMQSNIDNHIVPEGWSVWSGDFALKTLYYGEYQNRGPGAGTSGRVKWPGYHVITSAAEASKFTVAQLIQGGEWLKNTGVAYTEGL >CDO97674 pep chromosome:AUK_PRJEB4211_v1:2:20917202:20919603:1 gene:GSCOC_T00015081001 transcript:CDO97674 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFNFIAKEIRSQNQLKQLHAQLIQNSLHNQDFWVAQLIHLCTRLHAPPRYATRVFYLAPQPDVFVCSNMLKYHSQMGATNEVFALFDQMQEANVKPVAFVYPLLIKSSGKAGIQFHAHLLKRGLNYDKYIQNAVMGFYCKYGAIESARELFDEMPERTIADWNSIISGYWNGGNEVEAQKLFDLMPEKNVITWTAMVSGYAKVNDLESARRYFDKMPEKSTVSWNAMISGYAHNGLAEEAIKLFNEMMSFGLKPDETTWVAVVSSCSMLGDPGLAESLVKRIAEKGTCPNHFVKTALLDMYAKCGNLNMARKIFDGLGECRNLVTWNAMIAAYTRVGDLASAMELFHQMPKKNVISWNSIIAGCSQNGESAMAIELFKEMIASKDLKPDEVTMVSVISACGHLGALELGNWVANYLTENQIRLSISGCNSLIFMYSKCGSMRKARKIFEEMENRDVISYNTLITGFAAYGSGAEALELLSKMKQESMQPDRITYIGILTACSHSGLLEEGKAVFKSIKDPDIDHYACMVDLYSRVGKLDEAKRLIDNMPMHPHAGIYGSLLNASRVHKRIDLGEFTANKLFELEPENSGNYVLLSNIYASAGRWEDADRIRGLMKAGGVAKATGWSWVEHGGKIHRFVVGDHSHKLSDDIYRVLGEMKKKMMVAGYMADKSCVLRDVEEEEKEEMVGTHSEKLAVAFALLISEPGAVIRVVKNLRVCRDCHTAIKIISHLEKREIIVRDNNRFHCFRDGLCSCNDHW >CDO99909 pep chromosome:AUK_PRJEB4211_v1:2:7867179:7873883:-1 gene:GSCOC_T00029622001 transcript:CDO99909 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSAAVASRSPVSTDAANLAAVLAAPSAPRAIAALPLFVRITNTISPSNLEYLSSSMTSLRSNSCSSVKAMAEAGALSAPTTPAHGRKHALISLSDKTDVAILGSGLQELGYTIISTGGTASALESDGLSVTKVEDVTGFPEMLDGRVKTLHPSIHGGILARRDLEHHMEALDKHKIGTIEVVVVNLYPFYEKVSSSSGISFEDAIENIDIGGPAMIRAAAKNHKDVLVVVDPEDYPALLEFLRGKQDNQQFRRKLSQKAFQYAASYDTAVSEWLWKQTSEDKFPPNMTLSLSLKSALRYGENPHQKAAFYVDKSLAEVNGGGIATAIQHHGKEMSYNNYLDADAAWNCVCEFNRPTCVIVKHTNPCGVASRDDIVEAYRLAVKADPVSAFGGIVAFNVEVDEVLAKDIREFRSPTDNETRMFYEIVVAPKYTKKGLEVLRGKSKTLRILEASKNRKGKLSLRQVGGGWLAQDSDDLVPEDIEFKSVADKAPQESELSDARFAWLCAKHVKSNAIVIAKDNCMLGMGSGQPNRLESFRIALRKAGDEVKGAALASDAFFPFAWNDAVEEACQSGISVIAEPGGSIRDVDAIDCCKKYGVSLLFTNVRHFRH >CDO96787 pep chromosome:AUK_PRJEB4211_v1:2:13054149:13057033:1 gene:GSCOC_T00013917001 transcript:CDO96787 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYLCVFMGFIRNECFDPKTWIIPGDNSQTYTLRKEVLSNERIVYDRETSKTVADVIEALIGVFICTTSERAALAFMKWMGFEVDFIYVPYKRPVPANPEKLVDLKFFKKLLNQYSFRDASLLVEALTHGSYVRPERPTSYKRLKFLGDAVLEYLITMHFYNKHPNLSSGLLTDLRSASVNNDRYARTAIKTGLHKHILHDSQDLQRRILAIVKNFKQSSQDSTFGWGSGPVIKLVADIIKSLAGAIYVDSGYDKEVVFRSIKPLLEPLPTPETLKLQPVRELEELCAKEHFDLKEEDGKLKVNANGVIYARRLSAANKKTARKTASMAILAKLKKNKLHRGEPHSGV >CDO99542 pep chromosome:AUK_PRJEB4211_v1:2:11468328:11470112:1 gene:GSCOC_T00029169001 transcript:CDO99542 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKLSQQPDTFGFNGNRTLAQTSPYQILLRAGSHLKLLKQVHAHIITTGRNHHLPLLTKLATSAISSGAILYAQKLFLRAPTVDGFLLSSLITAASKFHFPLQTVLFYRHMLARNISPTNYTYTSVIKACAQLQDPRTGRVVHCHILTNGFSLDQFVEAALVSFYAKIRELKFARKMFDEMPQKSIVSWNSMISGYEQNGFADEAIMLFAKMRELGVQFDSATLVSVLSACADTGALELGSWVHDYVKSNPISLDVVLGTALIHMYAKCGNVRKAQGVFELMDEPNVMAWTAMISGYGMHGFGKEAIDLFRLMINQGIYPNEITFVAVLSACAHAGLVQAGREAFAIMKNYGMMPGTEHHVCMVDMFGRMGLLSEAYHYIKDLFPVQPAPAVWTAMLGACKLHKNYDLGVEVADNLFAAEPDNAGHYVLLSNMYALAGQMERVEMVRNAAISKELKKPAGYSVIEIDQKAHLFSTGGMPHPESMAILQFLDELVQQIKAAGYVPVSEAIMHELEEEEKECALRYHSEKFAVAFGLLKTRQGVPIRIVKNLRICEDCHMAIKYISLVSKREICVRDKLRFHHFKDGSCSCKDFW >CDO99910 pep chromosome:AUK_PRJEB4211_v1:2:7846980:7859173:-1 gene:GSCOC_T00029624001 transcript:CDO99910 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPRFELSSASPDSSFHGNYAQRGTYSGPGLGRSGSFREGSDVHTLNSGKGTPRGSGPSTGDVSTLSQCLMLEPIVMLDQKYPRSGELRRVLGFSGGSMSEDNSFGATHLRNSPPVAVEELKRFRASVADTCIRASGRAKKLDEHLHKLGKYFEATTSKKQQRNELLTNERPGSACLKIGNQMNRSPSDIALQKLEDRSKNGTLNKRVRTSVAENRAEGRSNGLPRQPLMMPKDMVRDHNMDSHIVEEKIRRLPAGGESWDKKMKRKRSVGAVSARPNESDGEPKRTVHHKLTNESGLQPSDSQSFRSGAPNVTGSISKLDGTLSPANSNTRATIKVEQDKSTLSREHLAKGSAKLSNREDNHGIYPSPVAKGKASRAPRSGSSTATNSISNTSRVSGTLESWELSQSTNKNSTNGANNRKRALPSGSSSPPITQWVGQRPQKSSRTRRANLISPVSNHDELQTPSEGCSPSDFGSRLTSSGTNISLIPKGPASSAQNLKAKPENVSSPARFSESEESGAGEKRVKEKAVASSELEEKAVNAAQSVGTSPLLLKKNKLLVKEEIGDGIRRQGRSGRGSSVSRASISPVSEKLDSAPATKPIRSVKPASDKSGSKSGRPLKKASDRKGFSRLGHAISAGSPDFTGESDDDREELLSSANLAYNSSVLACSSAFWRKVEGLFASISSEGKSYLAEQLKLSKELHERLAETLGNGGAIQGNCSQDEISLSDALSGERSRSTQNKSESRDLCNTDDSVDQVHNSTVCGGLDAGRRFDHVTPLYQRVLSALIIEDDVEEFDDNGWERCMPHQNPVVASPDDACFVDAESKHRNGEFECESVYGVHTQSNGHANRLFSHHTSSNYTRKSRVLDSPCDSELSQRENGYVHSEVQVFVGLSGGDLDGEQSHRSSSIECQYEQMCLEDKLLLELHSIGLYPETVPDLHDKEDEVINQEIIQLNRGLYQQVIKKKSRLEKIYEAIQGGQDVEGSDLELVAMNKLVEIAYKKLLATRGSLASKNGMPRVSRQVALAFARRTLARCKKFEDCGVSCFNEPGLRDIIFAPAPQISEVEPLTGGRIADTSRNKAGGDTFDNYSHQSEQAFAKNGPILNRGKKKEVLLDDVGGAAIRTTSLGSSLLGGAKGKRSERDASVRNSITKAGRSSLGNSKGERKTKTKPKQKTAQLSTSGNGFMNPRLV >CDP05176 pep chromosome:AUK_PRJEB4211_v1:2:2164592:2172026:-1 gene:GSCOC_T00020133001 transcript:CDP05176 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKLSTGGTLDSMKSGDGNDSLDTVSRQTVGKEPHISLSRTGDIPVPWFQLLHELPGWPLLKPLKVQMEKCEKCAQEFCSPVNYRRHIRVHRRSLNFDKESHKIRDMLAAFWDKLSLEDAKEVVSLRDVTLKEVTGTSVIKSLAASLHKPGVWTLPQVYVEAGSTLLDVIHAKSSRLPISSQELFSILDDASERTFLRAGTAESVLKYIFGGKPGRIAFELKNLVACTSFLFELKLVKAWVADKDVEALRCQKLLVEEEEAAQKRQAELLERKKQKKLRQKEQKAREHSNGDGVALIDATSSEGSFLAEMCSSSPPDSSPDAPCTVDDGTTFLEPVQLSSTEQNNDIEAQFDLSSGHLDSGLVQNVEPTMVSVNGRRWRQVPKSHWAGRSGFHGNQNHQVSKLEPVQKLVPAKDRGTVVSSSKVWTKKLKVDNDEEILRPTLEEAVNKSDENKCELIIGSISIPVTNCILRKNKISLGEPHYSCSTEEGKHKSNVLEEPANSDSHQLGLNEAATDLWMPASLHGSRDPSPVPRANHDAQDGVMVDKLEDRTASDGSCLQSSSSDDDHCQSREGLTCVYEGVALPQGLQRSTAAAKAFLAQRWKEAISAEHVTTLVLSEESDLPGLPENQIDISALDFENRQVDVGRISSTGRITKAKNRTNSEKGVKIKYIPKQKVAS >CDP17203 pep chromosome:AUK_PRJEB4211_v1:2:47710268:47715299:-1 gene:GSCOC_T00000673001 transcript:CDP17203 gene_biotype:protein_coding transcript_biotype:protein_coding METLILFVGIIGNIISVLMFLSPAKTFWRIVKRKSTEDFESLPYICTLLNSSLWTYYGITRPGSYLVATVNGFGVVVEIIYVSLFLIFAPPKMKGKTAVLAGALDVGFLAAAILATQFLTTGDTRIDVIGYMSSGLNIIMYGSPLAAMKTVVTTKSVEYMPFLLSFFLFLNGGIWTIYAVLVQDWFLGVPNGIGFVLGTAQLVLYAIYRNAKPSYSASADLEQASERQSLLPPSASGHTSHG >CDP15235 pep chromosome:AUK_PRJEB4211_v1:2:48964012:48964587:1 gene:GSCOC_T00042873001 transcript:CDP15235 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVTDEIKASASEMYNGNELCQEKSKFLLTEVGLPNGLLPMEDMEECGYVKDTGFVWLRSKKKTEHKFQKISKLVQYAPEVTAYVEQNRIKKLTGVKAKELLMWVTINEIYVDEPSTGQIHFKTPAGLSRNFPIDAFLVDETQKHGVKEAVKVAADAGPAAIENGVDAAGKKVDEQNKEVTNGAVKVKEV >CDP00054 pep chromosome:AUK_PRJEB4211_v1:2:6553156:6554743:-1 gene:GSCOC_T00029829001 transcript:CDP00054 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTIFPQASSQLNGYGSNESVSDIPLQIDSKLHTYDSNLYMDSTPHNQHGVFTICSQSPTINYQSGPSPLMTIKSLDCPPLAANHFGTKATYDSNIVKQQQLSHVEAIPGQPNEIVYDL >CDP17210 pep chromosome:AUK_PRJEB4211_v1:2:47818649:47825612:-1 gene:GSCOC_T00000681001 transcript:CDP17210 gene_biotype:protein_coding transcript_biotype:protein_coding MDISTAGGLLFLLNEDLLTRIYSFLSGDSSDKKSFRATCKTFYRVDSLQRTHLRILRPEFLPQLLSKFPCLNSLDFSGCPRIDDGALAVLLRNGSVPFWATKLRRAVLSRSCGLGYYGLDILVKSCPVLEIIDVSYCCTFGDLEASAISCAGNLRDLRLDKCLGVTDVGLAKIAVGCGRLEKLSLKWCLEITDIGIDLLSKKCSNLKQLDVSYLKVTNEALRSISRISKLEVLAMMRCGMVDDVGLRYLGKGCPLLQVLDISRCDKLSSTSIVSVIKGHNGLIELHASYCFFELHLTPYCLFLELKNIKTLTLDGARIAHSSFQLISTSCKFLVEIGLGKCKGVTDNGILQLVSGCINLKMLNLTCCGDITDMAITGIAESCQNLVCLKLECCNLLTEKSLYSLGSFSYLLEELDLTDCSGVTDLGLSYLSRCSKLVCLKLGLCTNISDKGISYIASCCTKTCELDLYRCSGVGDDALASLSVGCKKLKKLNLSYCNKITDRGMKYLGHIEVLSDLEIRGLLNITSEGLIAVAAGCNRLAELDIKHCENIDDSGFWALAHYSRNLRQQINLSNCAIRDVGLCMVMGNLTRLQDAKLVNLINVTVNGFELALRACCVRLKKVKLLTSLRFRLSQEIVEMLAARGCRVRWD >CDO99593 pep chromosome:AUK_PRJEB4211_v1:2:11077870:11081062:1 gene:GSCOC_T00029230001 transcript:CDO99593 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTAVVKSRGVAGNFVKMTDIRTKVLTFRDLLDLSPCIGSASVNELLIWTLQDLHRLYPSLKPSIPVSEIERASTDQVLRCFCDFLKSLGDSWINNGEWMVKCKYDASIKLNQNDLEDIAQSMLEDMNKLARERMFDMMDEDEQMNGYSPSETAFGKALSESYSDSKNSLSSSPATPTSVLQDMTNMLSKGTKQSYTPPLLLQLRVQAVEKLNPIDIKRLSFHMLPHAAAPDPNYPLQKSKHESVSKAAGTNEVNQDFEMEEDTFDVVMTNSDESAIEAGKTRTTIENLVTGPVPCTPAKGDIDVVPPPTSLPKLRSNVSEKENTPQIPVEYKLPLDKIASQGSAAPPPPPPPPPQMFPKNEVLLARQCQTQLLETICPTPPPPPPIPSPIAEGSIAITPPPPPPPPLPPVTSMSTTFFPPPPPPPPPPSTSNIPGSIAPPPPPPPPSSMPSGNFTFHPPPPPPPMGSGKIAPPPPPPPMGASNGGVPAPPPPMQPGMRGPPPPPPGLGGAKDPRLRKAATKLKRSSQMGNLYRLLKLKVEGGSNLDAKSSRKGKISSTSGGQQGMADALAEMTKRSAYFQQIEEDFKNHEKSIRELKVSINSFQCSDMTELHKFHKHVESILEKLTDETQVLARFEDFPTKKLEALRMAAALYSKLDTIITTLKNWQIESPVGPVIDKIEKYFSKIKQELDALERTKDEESKKFQSHKINFDFGILVRIKELMVDVSSSCMEQALKERRDAKAMENAQKGPKTECPKKRSGKMLWKAFQFAYRVYTFAGGHDDRADQLTRELASEIQTDPNH >CDO97359 pep chromosome:AUK_PRJEB4211_v1:2:18429467:18432915:1 gene:GSCOC_T00014679001 transcript:CDO97359 gene_biotype:protein_coding transcript_biotype:protein_coding MFFALTYGFGFATIASTLTHVGFFYGREIYERFRASYKEKEDIHTRLMRRYKDIPSWWFHVLLVVTILVSLILCIFLNKQVQMPWWGLLFASVLAFVFTLPISIITATTNQTPGLNIITEYIMGIIYPGRPIANVCFKVYGYMSMAQAVSFLSDFKLGHYMKIPPRSMFLVQFIGTIVAGTINVSVAWWLLHSIKNICQDDLLPQDSPWTCPGDRVFFDASVIWGLVGPKRIFGTLGNYTEMNWFFLGGALGPIIVWLFHKAFPKQSWIPLINLPVLLGATGAMPPATPLNYNAWIIVGTIFNFFVFRYRKQWWQRYNYILSAALDAGVAFMAVLLYFTVGMENREVTWWGTKGEQCDLATCPTAKGITVDGCPVR >CDP05182 pep chromosome:AUK_PRJEB4211_v1:2:2206223:2212810:-1 gene:GSCOC_T00020140001 transcript:CDP05182 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFCGLPILECVYCIACARWAWKRCLHTAGHDSETWGFATAEEFEPVPRLCRYILSVYEDDLRHPLWEPSGGYGINPDLIILKRTYEHTLGRAPPYQLYLDHDHADIVLAIRGLNLAKESDYAVLLDNKLGMRKLDGGYVHNGLLKAAGWVLDAECDILKKLVQDYPNYTLTFAGHSLGAGVAALLTMLVVQNLDRLGNIERKRIRCYAMASARCMSLNLAVRYADVINSVVLQASFHYFTHDKALSLHFTKSDAWKLNCIEYDDFLPRTATPLEDIFKSLFCLPCLLCLRCMRDTCISEEKMLKDPRRLYAPGRLYHIVERKPFRCGRYPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIERESSRALELMIEKDHSLDIPPRQKMEQQESIAREHSEEHKAALRRAVTLAVPHAFSPSQYGTFQDEEGGQSHSTGGDSSFGSSNRSKAKESWDELIERLFEKDEQGHMLLKKPLTDDSSM >CDP07728 pep chromosome:AUK_PRJEB4211_v1:2:25475149:25475475:1 gene:GSCOC_T00025078001 transcript:CDP07728 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSRRNAVPVLLVLMVTMAGFLVQETKGSPCVSTFFSALVQLIPCRAAVAPLSPYQPNEACCVALKALGQPCLCVLINGPPISGVDRSLAMQLPEKCAANFEPCNLF >CDO96759 pep chromosome:AUK_PRJEB4211_v1:2:12850631:12856546:1 gene:GSCOC_T00013881001 transcript:CDO96759 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKVKVAMGLQKSPLITPKPKPSSESSPKPAFSSSASSGKQQPSNQKGPGFSRSFGAYFPRSSAQVQPRPPDVAELLRLVEELRERESRLKTELLEQKLLRESIAILPVLESQISNKDVEIEKSKRKIECLEDENERLRQEVEVLHMEISKQNCRYEEKINCLESQVSEMKKSNEELATAVSSSQKQVDFLIKSKSFRKSLSIQTNGQVNVQATVDSSNNGALNLKSEYETKKEDSTLGLVENEKPRHGRRNSDEIVDSSENLMGLKSRAPRVPKPPPRPSSSLLSSCSSSSSASLQISNIPPPPPPPPAPPAAAAVKQAIAPPPPPPPPPSRHSKAAPPPPPPPPPPRGMKPVPAKVRRVPEVVEFYHSLMRRDSRRDTGCGAGGAAEVPAAGATAKDMIGEIENRSTHLLAIKTDVETQGDFIRFLIKEVENAAFTDIEDVVPFVKWLDDELSFLVDERAVLKHFNWPEQKADALREAAFGYCDLKKLESEASSFRDDPRHPCSSALKKMQALFDKVEHGVYNLSRMRESATNRYKGFHIPMEWMLDTGYVSQIKLASVKLAMKYMKRVSAELETVGGGPEEEELIVQGVRFAFRVHQFAGGFDVETMRAFQELRDKARSCHVQCQNQQQHKYLCRSTAY >CDP07745 pep chromosome:AUK_PRJEB4211_v1:2:25655032:25657384:-1 gene:GSCOC_T00025099001 transcript:CDP07745 gene_biotype:protein_coding transcript_biotype:protein_coding MHFQFLDQALNSTSKPIYLLVCQGHCGSCWAFGAVESLSDRFCIQFGMNVSLSVNDVLACCGFSCGDGCYGGSPFAAWEYFTYSGVVTEECDPYFDTTGCSHPGCGEYPTPKCSKKCVKENLLWSKSKHFSINAYRISSDPQSIMAEVYKNGPVEVSFIVHEDFAHYRSGVYKHTIGGTIGGHSVKLIGWGTSDDGEDYWLIANEWNRSWGEDGYFRIRRGTNECGIEAEVLAGTPSSRNLNLVPEYNEADVSLDASI >CDP08791 pep chromosome:AUK_PRJEB4211_v1:2:52981211:52985980:-1 gene:GSCOC_T00027890001 transcript:CDP08791 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWLKRVDGSGLESFKFNRKVEEAKQPSCGNLKSSFDEFLPVFLKKSFGILCFRPLPPMLGNGQSVDLFKLYLRVRDRGGLEKVSKTGQWGSVALECGVDSSSASALKLVYVKYLDTLDKSLQRVVRGESSERGANESGLDILKELVMDLESDLKGFLSGIVDNKNKDGEVTSVELKKKEFDFESGGKFARLDEVGGLVKLNGDAEDGDKTSAADDGGECGFVSFDVEEKPDGVDSLFEVGIREERRIEDGDTQLDSSSRKEDLVRRKRRRESYLSMLKWINRVARDPCDPAIGFLPDKSKWKYYANDLVWKQALLVREAMMSKRNSESSDQHSILQKKQKMHPVLYDDQIGSERQRFSQRLLSAKDPSKKSRARNFSESCSSGTQSDEEFNDTQSDSSSDSEADFVGSRRHRKKRIPLGPQFQADVPEWTGKACESDSKWLGTQYWPLVRVEQNRNLIERERIGKGRLDSCGCQIPGSLECVRFHVSEKRTRVKLELGPAFYRWKFDNMGEDVALSWTKEEEKKFHNIVKSNPLSLGKCFWHEIVKAFPNRSRESLVSYYFNVFLLHRRGYQNRVTPTDINSDDDESESSYGSAKPPGSIFCSPKKPHLNIG >CDP13891 pep chromosome:AUK_PRJEB4211_v1:2:4131442:4146580:1 gene:GSCOC_T00039024001 transcript:CDP13891 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKQFRPRRAVGLQQGQTSQAAELDDVTETTGIKPEEQDELIDIGVDKPYFVQVDTRASWDTASQHFDISEIVLSNLELNREFYGYALNEEEFYGDSSRFLLRFSLRNVDGYLNRMKLGHWPELSASSIYLQFVVRRATEGMESNAVMVSGNFDGPDEAVSGLVHLVSLNFLTLRPILKFTLSEDLQSVRMRVEILRRAFDACDSLLDTTRQLWKKSMMNVMAWLRPEVLTSEARYGFSPAPSGKSIDSNADAGDNSASSRKNPTRFDVAGFYEAIKPSKEEPMLDVQLPNLLPELRPYQCRAAHWMIQREKGVSDCSGSGKDQFVNPLCMPLNLIEASATLYYNPFCGNISLRPEYLSSYVSGGILADEMGLGKTVELLSCVFAHRMLSSEVLGLPHSVMQDDGQKRINLRRLKRERVECICGALTESIKYKGLWVQCDVCDAWQHANCVGFSAKRKMPVSTNTSEEQEFSKHSTGNYQKFSRRKHNTKIVVMEGVHICSLCSELIQATESPVSTGATLIVCPTPILSQWHAEIIRHTTPGSLKICLYDGVKDTSCSDTPAVDINELVNADIVLTTYDVLKEDLSHDSDRHEGDRRSMRFEKRYPVVPTLLTRILWWRVCLDEAQMVESNAAAATEMALRLHAKHRWCITGTPIQRKLDDLYGLLKFLRACPFDVFRWWAEVIRDPYEAGDAGAMMFAHSFLTKIMWRSSKVHVAEELHIPPQEESVSWLTLSPIEEHFYQRQHETCLKDAHEVIRNLKNDVVKRKTPGSKTSDSLSDEFITHVEAAKMFNSLLKLRQACCHPQVGSSGLRSLQQSPMTMEEILLALVGKTKIEGEEALRKLVSAINGLAGIAVLKQDFAQAVSLYKEALALAEENLEDFRLDPLLNIHIHHNLAEILLLSSDNNKQSQNVHGSFKEDVSMVCDLEESDQRAVKRAKIDAGNSNMVIDSEKLPEQPCYAEANGSSQKAFIVDPHASSQSTSDQFFRTVCENLKQKYLSLFYSKLSIAQQEFWKSYEQVSIEFSNRKSQHMTWWLDALHHLEQNKDTSSELIRKIGEALSVTLSTSRTSRIASCFGSITSLKYYIQTGLDSLEECRKNVLDRLLEIDYTMENPSEADIDRVRHCPNCQDNDHGPLCVHCELDDLFQVYEARLFRTNKGRNGEVITSAEEVVDLQKKRSALNHFYRTLSQPDPKSALTTNKYEDDGKKRDVKEKVMVSKSPSDLEVVLGIIKNNAKGLLEREGTSAAAKHLFLLEGMRKEYAYARSLARAQAHVLRAHDEIKMASSRLRLKEDEKDNSVDALGPEELDAASTQNSSDKFLAVSSLARIRGQLRYLKGLVQSKQNLQSECPNESTLTESAKASVASATEDERCQAKADVEFCPVCQEKLRGQKMVFQCGHVICCKCLFAITEQRFVHHGNKWVMCPTCRQHTDYGNIAFADDRHNASDASSMLACANSETLTVQGSYSTKIEAVTRRILSIKSKDPIAKILVFTSWNDVLDVLEHAFTANSISYIRMKGGRKSHVAISHFRGQNNDIKGSGKRKKNGKPVDDFVQVLLLLVQHGANGLNLLEAQHVILVEPLLNPAAEAQAIGRVHRIGQENKTLVHRFIVKDTVEESIYKLNKSRNTGSFVSGNRRNQDQPVLTLRDVESLFRVVPSSDMDEEKANGSLMHLPPSVAAAVAAERRLLEGRA >CDO97281 pep chromosome:AUK_PRJEB4211_v1:2:17821904:17825934:-1 gene:GSCOC_T00014561001 transcript:CDO97281 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREGRDSESRRHRSRFDEEPPSPKRSRRDGKPETERPPANSHLDNRDHSDRDQKHRRRLQDALPLEASSEQDSKVGGAALSKEKSDKASLDHEGTKVSLDPKDIPRSRSYFQHDDRGNAGQVGRSFGRRAATERGWWRDSKEQQSERGNRISTGDVQKKDDRAPRVHGEVNDAWRHDKYFQVEADPKQPAKKRSFREQKDQDDSGKAGKEVEELLKPNPEENPVSESARRDERGGYTSRHSGRPEQGFAGDREANKGDAWRSHFSTRDRYGNRTGNYRGRDRFTARQGYRATTRGRVEKWKHDLYDEANRSPSPKNEEDVVAKVEALLAS >CDP05366 pep chromosome:AUK_PRJEB4211_v1:2:3633256:3641368:1 gene:GSCOC_T00020377001 transcript:CDP05366 gene_biotype:protein_coding transcript_biotype:protein_coding MERNENCLVVGDNNPMESANSKAGISVFSSSLFLDSVGEVVLTLNPSSDQLYWQSVDPLDHGRSCCGLFSNSDSALKISDVYAVEFIDYGIVHESALTNAAGGCLSGHPTEMYRFKVYGVQKSKTYPSIWAPSIYTFGHSDSRTCRMWVNRINASLDMEADRPKNLLVFVHPRSGKGNGCRTWDAVAPIFSQANVKTKVIMTERAGHAFDVLASITNRELDSYDGVVAVGGDGLFNEILNGLLLSRHEVPCPSRSMDFKNYVDNGCELSVHEAVGNLEEPSDPCEDDSPLLMNIGHSRTQVSNLRSEADLCHTEHDSKFSFPHERFRFGIIPAGSTDATVICTTGGRDPVMSALQIVLGKRLCLDVAQVVRWKTTSTSKDEPYVRYAANFAGYGFYGDVIMESEKYRWMGPKRYDYAGTKVFLQHRSYEAEVAYVQVESEKASVRPERGPWSRKMKALMGLSDPSTKGTCRANCSVCNEKPIQSSAKSASIGPYKGESKWKKSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLILVKDCPRALYLRHLTQIAMKGGNPLNFRFVEHHKTTAFMFTSFGKESVWNVDGEILQGHQLSAQVYRGLVSLFATGPEV >CDO99865 pep chromosome:AUK_PRJEB4211_v1:2:8204221:8217437:-1 gene:GSCOC_T00029563001 transcript:CDO99865 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSSSNDEERHCPKLDAERNRFGEKIRHLIEYDKKHELVELLKSQSDIAEECFDRKGLPLSSFILTYCLQFRALNCTEALFEGETGVTLNLDELGVSPLHTAAALLWPKFTKYCLKCGWCADAKVPNRGTDYDGLLPIDYALFAVRRRVYWTREQSLYLLICELTGEGMIEATQTMRLLIEKMSESNVSEVICRYGAEAKIIELATLLILSTDRKYTRVDDLYMPSSYVSSVDRMTLRQFVVNEIVMLENKLRNLVLVDSYSLAKCKEMKKSMMSILMLLEIFQRTHRLIALAVSQAEQTFPSTAAGIGRVFEDSGFKLSGQETSYLFKPLKLDIPELRMQPSSEEQLPGLHSYFRSGLPELDSFETMPNFGWDESDPEHQKLLPMRAAIEKLCSGANILQWTPKESTLKLVIMLFLLKMKETWETASSLAQNLEKINEISCCYAKEGKLIELSIVLLVAREKVMRPIVFQIRGEKCMRSMTLLQFINSELAQAIDLECRLTGRKTKLEEELDKLCKQRKLNMMSALELLEIFEKAGSALQKYFWSERGNVQREKVIKDVCMLLSEAGFDLKEEEIELHDAVDSSIEVEQTERGITRPTQEF >CDO99787 pep chromosome:AUK_PRJEB4211_v1:2:8872128:8876593:-1 gene:GSCOC_T00029478001 transcript:CDO99787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLOWERING locus D-like protein [Source:Projected from Arabidopsis thaliana (AT3G10390) UniProtKB/TrEMBL;Acc:A0A1I9LNW6] MDFSNQNSPQQYNPYININDNNPLQFTIRLPPSNPNPNFASTSTQIPNVHFSNSDSISISDPNNSVPNHFFSLSIPRKRRRGRPRNTTPSVNQVYNLPTSSLLNHSNYDFSSNGHTSSATLGNQNLGTSDVSDEIIVINKEATAEALIALTAGFPADSLTDEEIDAGVVSVVGGIEQVNYILVRNHIITKWREDVSRWVSKEMFLDIIPDHCKRLLDTSYDYLVSHGYINFGVAPAVKERIPAEPSKPSVIVIGAGLAGLAAARQLLSFGFKVTVLEGRKRAGGRVYTKKMEGGNRTAAADLGGSVLTGTLGNPLGILARQLSYTLHKIRDKCPLYRVDGKPVDADLDQKVEMAFNRLLDKAGKLRQLMGEVSQDVSLGAALETFRQVYGDAVTEEELSLFNWHLANLEYANAGLLSMLSLAFWDQDDPYDMGGDHCFLPGGTGKLVQALVENVPILYEKTVNTIRYGSEGVQVVAGGHVYEGDMALCTVPLGVLKNSSIKFIPELPQRKLDSIKRLGFGLLNKVAMLFPHVFWGTDLDTFGHLSDDSSNRGEFFLFYSYATVAGGALLIALVAGEAAHKFETMPPTDAVTKVLQILKGIYEPQGVEVPEPIQTVCTRWGADPFSLGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATNRRYPATMHGALLSGFREAANISHSVSVRASRSKVEKNPPKDAHSCASLLADLFREPDLEFGSFSVIFGRNKSDFKSTAILRVTFSPPGKRSHEGLRPDQPHTNKLLFQQLQSHFNQQQELHVYTLLSRRQALELREVRGGDELRLHHLCGKFGVKLVGRKGLGPYADSIIASIKAERGNRKPVSSSLTPKPGTSKLKAASLKQRMVRKAKILSKNNGAGLLNTFGRAKADDSSNSSNDPANLDVGSKSLAAGNGFITQNLGNSASVSSNCVSSASVPSTLVEVKVEDSSTFTYPFVEGSASVTFPPDANMVARSVGYMESDLPPISNIGGTILNSDGAFIHASNSDVGSIFVESITGSSTLSLDAGENLVTNFSSSTLENIYENNIFGFAPPPSTNSGSW >CDP00050 pep chromosome:AUK_PRJEB4211_v1:2:6572727:6578905:1 gene:GSCOC_T00029823001 transcript:CDP00050 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRAGSNVAKLAIRRTLSQRGGSYVSRTRAVPAQNRYFHATVFRSKAQSAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEQDPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVYGHMKAKLDPLGLEQREIPDDLDPALYGFSEADLDREFFIGVWRMSGFLSENRPVQTLRAILTRLEQAYCGAIGYEYMHIADREQCNWLRDRIETPTPMEYSRERREVILDRLMWSSQFENFLATKWTAAKRFGLEGAETLIPGMKEMFDRSADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGTKPSEEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDIARTRNMGVLIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPKSGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPQMYKVIRNHPSAMEIYQKKLLESGQLSKEGIDRINNKVLSILNEEFVASKDYIPQRRDWLSAYWMGFKSPGQLSRIRNTGVKPEILKTVGKAITTLPENFKPHRAVKRIFDDRAKMIETGEGIDWAVGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQETGGQYCPLDHVMINQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVLWEAQFGDFANGAQVIFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHKEVEEGISRLVLCSGKVYYELDEERRKVNRKDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYNYVELRLATAMKALGRGDLDDIKYVGRAPSAATATGFLSVHQKEQRELVEKALQPDPISIS >CDP09360 pep chromosome:AUK_PRJEB4211_v1:2:23253405:23257650:-1 gene:GSCOC_T00028692001 transcript:CDP09360 gene_biotype:protein_coding transcript_biotype:protein_coding MASILARKSLAGFRARQLAAAGQAWQDLSRFALVNGSRTFATKHSFSTDKDDEEREKLAKEISKDWNSVFERSINTLFLTEMVRGLSLTLKYFFEKKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >CDO97336 pep chromosome:AUK_PRJEB4211_v1:2:18247324:18250104:1 gene:GSCOC_T00014653001 transcript:CDO97336 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAEARQRIARLSAHLNPTNSQMEVNTMLERVDCRAKGGSPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNCPGVTADISHMDTGAVVRGFLGQQELEAALTGMELIIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIAKCCPNAIVNLISNPVNSTVPIAAEVFKRAGTYDPKKLLGVTMLDVVRANTFVAEVLGLDPREVDVPVVGGHAGATILPLLSQVKPPCSFTPDETEYLTKRIQDGGTEVVQAKAGAGSATLSMAYAAVKYADACLRGLRGDAGVVECAFVASQVTELPFFATKVRLGRTGAEEVYQLGPLNEYERVGLEKAKKELATSIQKGISFIKK >CDP08704 pep chromosome:AUK_PRJEB4211_v1:2:53685261:53686673:1 gene:GSCOC_T00027764001 transcript:CDP08704 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTPRVALLPSPGMGHLIPLVEFAKRLILQHDFSVTIILPTDGPLSKAQTTFLAALPAAIDYILLPPVNFDDLADDVRIETRISLTVTRSLPSLRDALKSLVDTAKLAALVVDLFGTDAFDVANEFKLPPYIFFPSTATALAFFSYLPKLHEMVACEFRDLPGPIRVPGCVPIHGRDLLDPAQDRKNDAYKWLLHHARRYSLAEGIVVNSFKDLEPGPLKALQEQEPGKPPVYPVGPLIQMGSGEKRGEEPECLKWLDDQPSGSVLYISFGSGGTLSHNQLVELAFGLEMSEQRFLWVVRSPNDGVANATYFTVNSQNDPLAFMPEGFLDRIKGRGFLVPSWAPQAKILGHSSTGGFLTHCGWNSTLESVVEGIPLIAWPLYAEQKMNAVMLAEDLKVASRPKADENGFVGRVEIANMVKRLMEGEEGKGLRSRMKELKDAAAKVLSQDGSSTEALAQVAGKWQAKICT >CDP20000 pep chromosome:AUK_PRJEB4211_v1:2:39128688:39130865:-1 gene:GSCOC_T00011098001 transcript:CDP20000 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRFICEICQKGFQRDQNLQLHRRGHNLPWKLRQRTSTETRKRVYVCPETCCVHHNPSRALGDLTGIKKHYFRKHGEKKWKCDKCSKKYAVQSDWKAHSRTCGTREYKCECGTIFSRRDSFITHRAFCDALAEENSKISQVLAANKGGTNIESPEDLETMSTRTFENKLSTSMAAPDLSHLDGTISMMKTPPNELMQMSMEPSNIAGLTMFSSTLLGSPRNLSFPTSRPQLSTNSPTVLNGYNDSNSKGHQEASIAQFSATALMQKAAQIGSIMRSNISSPMVQKGFTPNMAPLFYNGTLKYLSDTNIFHQRVTEKVSASDQLHDPLSNGDESSRMQNLGTNNGLLFNENLDFLHNNEGACDADDGDTTINGRNQAGIYARSLKNSNFMTLDLLGIGGFGHTAFHGMQQQEMDVEAATTVLEDASCIGYHFLSVKNRLSIYIYIYIYIYIYIYIYMIRLVNWIGFGSNTTRSTLDVMGSVLSYTT >CDP06787 pep chromosome:AUK_PRJEB4211_v1:2:35888333:35893247:1 gene:GSCOC_T00023757001 transcript:CDP06787 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEINRSDFGKDFLFGTATSAYQVEGAAKEGGRGPSIWDEMCRKKPDKVRNYDNGDVAADSYHLYKEDVQLLKQLGFDYYRFSISWTRILPGGRLNAGVNKEGIQYYNNLINELLANGIQPFVTLLHFDVPQALEDEYGSFLDEKIVADFAAFARLCFWHFGDRVKNWITINEPWTVSCFGYAAGTFPPNRGSSSADHGSLSIVQHRCDVMHPQICQNGDPGTEPYTVTRNMLLAHAEAVRIYRQKFQSAQGGQIGITLNATWFEPYNAESADDAKAAGRALDFTFGWFMDPVTYGQYPKSMTDRVPENRLKRFSDDESAKLKGSYDFLGLNYYTANYAYNDPTVYPEPSYLTDSGAKTTATGPDGKPIGERTSSGWIYIYPEGLFKLLCLIKTRYNNPAIYITENGVADAGALDGTIYLSLSDDIRIRYHRDHLKTLKRAIDQYSVSVKGYTAWSLLDNFEWAVGYKDRFGICYVDFNDANLARYPKDSAIWFKNFLKPRPVKALSTSDNAQMPASAMELPGYETPAKRARESY >CDP08637 pep chromosome:AUK_PRJEB4211_v1:2:54220947:54224807:1 gene:GSCOC_T00027670001 transcript:CDP08637 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDTNHFKLINTILPKKKNLLYYINYTTTSLDTLFYCNCLWTPKPKATAAAAAKVKNSHTHNKLFWALPLIIFEEMTDRRVYVFDEVARHDKRGDTWLIISGKVYDVSLFLDDHPGGDEVLLAVTGKDATIDFDEQNHSEEARKMLVGYYIGDVDISTLPVEHEDTTEEAAASAGIQTFGGLVKILQFLIPLIILGLALALRQYKNKE >CDP05056 pep chromosome:AUK_PRJEB4211_v1:2:1168383:1172680:-1 gene:GSCOC_T00019974001 transcript:CDP05056 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLSSDSAFLSSYQIPTLLITTSIFLSFYSFRGFQLLLLPLSCSFIFLSTYKWTSSSKDNHKSRSLLHPPSLFFYPEMGKKGNSWFASVKRVFKHHSKDSPEKKKENVEKWQHEAPEVVSVEHFPAASSPDFSNGESFDSSSAAEDPNHAIAVAVATAAAAEAAVAAAQAAAKVVRLAGYGRQSKEDRAATLIQSRYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARVRARRIQLAQDRLRSRMEEEEERLGLGEEASRRRSPTKNIEMECWDNRSLSIDKIKEHSMRKHDAEMKRERALAYAFAYQRQQEDHKLLHDNDPNGDKERFFGSEHEKPRWGWNWLEQWMASQPYHARHLVPPRETSYVALTPTTTDDMSEKTVEMDLASENVVKSIRSSIGGDMDLMETTTCPYAAARQQRQLGSEFVPSYMAPTQSAKAKVRNHQDSIKSRSTSTKRGAVAGPGYESSSAGGRTSTFHVPRSPNPKSNGNRGPGKWIGGGYSPDSSSEDRAFPLPLGLGSPWI >CDP16343 pep chromosome:AUK_PRJEB4211_v1:2:27719509:27719805:1 gene:GSCOC_T00018164001 transcript:CDP16343 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVFQRNCSAMAAAAAAVAEEDEDEDEEESNTSSAAAVGLGSGGMRRKKRRRAVGKVAAKGYRKLSETIGGFADIYERVEEAKQRQMVELEKQRM >CDO99987 pep chromosome:AUK_PRJEB4211_v1:2:7210977:7217298:-1 gene:GSCOC_T00029731001 transcript:CDO99987 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPGEDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDVRQRKRKRRGIDYNAEIPFEKKPPPGFYDVTDEDRPVEQPKFPTTIEELEGERRVDREARLRKQDAARNKIAERQDAPSAIMQANKLNDPEAVRRRSKLNLPAPQIPDHELEAIAKIGIASDIIGSEELTEGNAATRALLASYAQTPQGGMTPLRTPHRTPAGKQDAIMMEAENQRRLSQSQTPLLGGDNPVLHPSDFSGVTPRKKEIQTPNPLLTPSATPGGPGLTPRIGMTPSRDGYSFGTTPKGTPIRDELRINEDMEMHDSVKLRQADSRKELLSGLKNLPQPKNEYQIVTQPIPEDNEEPEEKIEEDMSDRLAREKAEEEARQQALLKKRSKVLQRELPRPPVASLDLIRTSLIRSDEDKSSFVPPTLIEQADEMIRKELLSLLEHDNAKYPHDEKVKKEKKKGTKRKAASVPVIEDFEENEMKEADSLIKDEAEFLRLALGHESASVDEFVEVHKTCLDDVMYFPNRRGYGLSSVANNMEKLAALQNEFECVKKTMDDDTKKAQGLERRIKVLTDGYQMRAGKLWSQVEAIYKQMDTAETELKCFQALQVQEHLAASNRINTLWEEVQKQKELESTLQKRFGDLLAQKEKIQNLLEEHRAKAQVQEEIAAENAAPELPKDEDSSIGNETMDVDVIPEVATPSSSDTTEVPEMVKEQLGEARGELSSKAFVEEDHADVSDVKPQRGMNGPVQGTEEVVDASVTA >CDP17980 pep chromosome:AUK_PRJEB4211_v1:2:43791470:43798785:1 gene:GSCOC_T00001236001 transcript:CDP17980 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLLSGRSRDEVTSRSCSATLMVVFSTAVAACGSLAYGFAVGYSSPAESGIMHDLDLSIAEYSVFGSILTFAGMMGALISGKLSDFFGRRVTMWILEMFFIVGWILIMVGKNAWWLDAGRFLMGIGAGLHCYVAPIYIAEITPKNIRGAFTAVATFTVTCGFSIMFFIGNFLTWRSLAIFGVMPSLVHILGVFFIPESPRWLAKIGKEKAVELALRRLRGKGADIFSEAAEIKDYTETAQLLPKSRFLDLFDRKYAHPLIVGVGLMVLVQFGGTDGVSSYASSIFEAAGCSAGLASTVMATIQLPFASLSVLLMDKAGRRPILMFTAAGSCIGCFLVGLGFFFKDHNLFKEFSAPLALTGLLIYSASFSAGMGGTPWVIMSEIFPINIKGTGGSLVTLGNWFSSWIVTYAFNYLFEWSSSGVFFVFSIVCALIILFTALLVPETKGRTLEEIQESMALLK >CDO99611 pep chromosome:AUK_PRJEB4211_v1:2:10761613:10763706:-1 gene:GSCOC_T00029254001 transcript:CDO99611 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVWHPSFLPSFLATSFLITFATGTPKNFSKFFTYTLQKSIHKKFLKNFSSSLTQPTTPDTASTTSPGADHLFRRRQ >CDP04990 pep chromosome:AUK_PRJEB4211_v1:2:694711:698039:-1 gene:GSCOC_T00019884001 transcript:CDP04990 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSISASTSTATSSSSSGRSNSNWLEKLRSSKGFPSSTDLDLEQFLALPQNHNHPVPPDNLEPSKHPQTHHPTDQLLQNPTQQNPFFTIVTNVLAELFCMGDSSLKIQPGKKCSRKQTIPKFCLPSDPPSDFSNNTANYGLGKELADHQQVKLVENVICIEEGEVEEEEEEEEMGYGNLSGFSRTEVTVIDTSFASWKFDKMLFRKKNVWKVRDKRGQFMSSGKKKKRKASSVDSENVRGGLHRVKKPKTFNGQRGLSKKGSDGAFKDEYHLNDKSRTASRKISDSFSKALKKKQSTLSLENGGSSVVLIKNIPTGKKSGTSSPRSCPKSIQRQVKV >CDO99898 pep chromosome:AUK_PRJEB4211_v1:2:7938937:7940880:-1 gene:GSCOC_T00029610001 transcript:CDO99898 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDFNVPPVVFPSGGNPGAGIGPGAQQRRPSAPFQPPRSANPNIPFMSFDVSSAPSSTAFSTPQFAPTTIGGGGTGFEDEAPLLEELGINTKQIYQKTISILNPFRVRADLHDDADLSGPFLFLMAFGLFQLLAGKLHFGIILGWVTVAALFLYVVFNMLAGRNGNLDLYRCLSLIGYCMLPIVISSAISLFVPQGGMLIMVISGFFVIWSTRVCTRLLVELASYGDEHRGLIAYACFLIYALFSLLVMF >CDO97033 pep chromosome:AUK_PRJEB4211_v1:2:16027734:16034430:1 gene:GSCOC_T00014249001 transcript:CDO97033 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKGKHRLDKYYHLAKEHGYRSRAAWKLVQLDSKFGFLRSARSVLDLCAAPGGWMQVAVERVPVGSFVIGVDLDPIRPIRGAIAIQEDITTPKCRAAVKNLMAENGCKAFDLVLHDGSPNVGGAWAKEATGQNALVIDSVKLAAELLAPKGTFVTKVFRSQDYSAVVYCLKQLFEKVEVDKPLASRSASAEIYVLGFRYKAPAKIDPRLLDVKHLFERGKDPPKVVDVLRGTKQKRHRDGYEDGDTTLRKVSSAADFIWSEAPLEILGSVTTITFEDPASLPIKDHNMTTEEVKALCDDLRVLGKQDFKHLLKWRMHIRKALSPSQKSTTASKETGDEMEEDEDQKVLTEMEELTYAMERKKKRAKKLLAKRRAKDKARKALGMQVDATVDGYTDQELFSLSSIKGKKDLVAVDNNEYDDEAGEAGTSDNEESHDEAYDGTSSDADSDEERRRYDEQVEQLLDEAYEQFVVKKEGKAKQRKRAKQNQDDQLFEDGNDDDAIHSDQDSDNDRGVREVNPLVVPLVEDMPTQEEIAAKWFSQDVFARDDEEEDLGMVDSDDEMQLDGPGESLKRKADDGLKEQLRGPEKKAASTLQHAQVSKSNEDFEIVPAPATDSSDSSSSDDSDEDEIETKAEILAYAKKMLRKKQREEILDDAYNKYMFHDVGLPKWFVDEEKKHYQPIKPVTKEEVAAMRAQFKEIDARPAKKVAEAKARKKRATFRKLEKVRKKANSISDQADISDRSKRKMIEQLYSKATPKRPKKEYVVSKKGVQVKAGKGKVLVDRRMKKDGRSHGMSKQGKGKKGIQKGKGSAGKRGKVGRKESR >CDP08587 pep chromosome:AUK_PRJEB4211_v1:2:39762391:39774624:-1 gene:GSCOC_T00027581001 transcript:CDP08587 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLKKLHHHPNRSNETPSSSSTSSTSSSTSSSSAPASTSASCGVSDHRPSNFGNAPACVPTTATAASPTTTSPTSAAPATSPEATAAADRQQQQQQKKDYFDSEEEFEMQLAMAMSVSASLAQESGTIDGNTDHHHHQSFLGGGRGGDSVGHRDDAAADLLSRRFWEDSGLDYEEKVVEGFYDVFSLSIDPASKGKMPSLTDLETNPGGSDFEVVIVNKSIDPALRELAEIARCIVATTEIGLQVQRLAELVADQMGGPVKDANVIMAKWLERSTELRTSLHTVVRPLGSLRLGLLRHRSLLFKVLADNVGIPCKLANWSKYTGNEVDVVNFVKFSDGSEFLVDLMGEPGALIPADVLSAKDGSFKSYPPKLGKLPTFQATSDTGAAQSNPYLLSGQSSAEENSFSSQKDSEKTDSLPSPQNGRTSSSVSSSGLNKRAPASNQMDHIPSLAIGTSLYKGGRGPNAAGDGARMNVNLVPYNQSSTEDPKNLFADLNPFQIKGSGKASLQGDYGRKNFNEIPRPKGNLLSGRPPVPLAQKSNQIYNEASKKNEYDFVESLFSKSNRTAGECSMLSTPSTSFTPPVVARKSDDAYREDDANMYAVNNLAIAEVQFNRLSLQDDQGIDQKETYQSGGGMLQNGQSNITKEYGKDASGMHDQRNNQQDGFTLTDLRFKDQAYPSSSVNQAVPQLDPVIDDVSECEIPWEDLVIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALAEFRREVRIMQRLRHPNVVLFMGAVTRPPNLSIVTEFLPRGSLYRIIHRPQCQIDEKRRIKMALDVAKGMNCLHTSIPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGIILWELATLRLPWNGMNPMQVVGAVGFQNRRLDIPKEVDPLVARIIWECWQTDPNLRPSFNQLTVALKPLQRLVIPSHIDQPSQPMPQEISVNSTP >CDP09416 pep chromosome:AUK_PRJEB4211_v1:2:22693297:22696229:-1 gene:GSCOC_T00028770001 transcript:CDP09416 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLGRNFSKCLTARHFSAMGGTAMAPPPAHRFFSSEAATNSKKGRVRALVDFMELPYKLGLSAFLGAACGSLAAFIHLDGYDA >CDP19300 pep chromosome:AUK_PRJEB4211_v1:2:28811495:28819853:1 gene:GSCOC_T00011529001 transcript:CDP19300 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLE [Source:Projected from Arabidopsis thaliana (AT5G52820) UniProtKB/TrEMBL;Acc:A0A178UNZ3] MEIDGAIEQQRELNNSVMCQLSDPEGTPLGPPLYLPQSAGPKELVQMVNKLLNNEEKYPYAFYISDQELLVELGSYLTKNKVSVEKVLTIVYQPQAVFRIRPVTRCSATIAGHTEAVLSVAFSPDGQQLASGSGDTTVRLWDLNTQTPLFTCKGHKNWVLSIAWSPDGEHLVSGSKAGELQCWDPKNGKPSGNPLIGHKKWITGISWEPVHLSAPCRRFVSASKDGDARIWDVTLRKCVICLTGHTLAVTCVKWGGDGVIYTGSQDCTIKVWETSQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGKKFSSPEEMKKVALERYNKMKGNAPERLVSGSDDFTMFLWEPAVSKHPKTRMTGHQQLVNHVYFSPDGQWIASASFDKSVKLWNGTTGNFVTAFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTKKLKQDLPGHSDEVFAVDWSPDGEKVASGGRDRVLKLWMG >CDP08867 pep chromosome:AUK_PRJEB4211_v1:2:52340868:52349819:1 gene:GSCOC_T00027985001 transcript:CDP08867 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRRVVARSAAAASSVRDLRRFSTAAATSIPGPCIVHKRGADILHDPWFNKDTGFPATERDRLGLRGLLPPRVISFEQQYARFMESYRSLEKNTQGQKDDFIALSKWRILNRLHDRNETLYYRVLINNIKDFAPVIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAHEVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNQKLLKDRLYLGLREPRLEGEEYLSIVDEFMEAVHARWPKAIVQFEDFQMKWAFETLQRYRHRFCMFNDDIQGTAGVALAGLLGTVRAQGRPLTDFANQKIVVVGAGSAGLGVLNMALQAVSRMAGPVANPHFFLLDKNGLITNERNDIDPAAAPFAKTHEEIKSFGLQEGASLIEVVKKVKPHVLLGLSGVGGLFNEEVLKAMRESDSIKPAIFAMSNPTNNAECTARDAFKHAGEQIVFGSGSPFEHVDLGNGKIGHVNQANNMYLFPGIGLGALVSGARFITDGMLQAAAECLASYMTDEEIQRGILYPSIDSIRDITAEVGAAVLRAAVAEELAEGYHDVGTKELVSMSKEETVDYVKRNMWDPVYSPLVHEK >CDP15233 pep chromosome:AUK_PRJEB4211_v1:2:48992246:48994624:1 gene:GSCOC_T00042868001 transcript:CDP15233 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTKLNAIKPTFQGQTSSNITLNTSILDALLGKCFNLSQFNQILSQMISTGFFRDTYAASRIVKFSTDSSFVHIDYSHRIFSHIENSNGFIWNTMMRAYIQRNKPKEAIFLYRLMLKNNLIVDNYTYPLMVQACAFRLVEFEGREFHDHVIKMGFDSDVYVQNTLVNMYAVCGNVRDARKLFDESPVTDLVSWNSILAGYVKIGNVEEAKMIYDQMPKRNTIASNSMIVLLGRCGRVSEALQLFREIDEKDLVSWTASISCYEQNGLYEEALKLFGEMCSNGPAPDEVVMVSVLSACSHLYVVKTGELAHGLVIRIGFESYVNLQNALIHMYSSCGDLVAAEKLFDTGRFLDQFSWNSMLSGYLRCGRVEKARALFEYMPEKDAVSWSAMISGYVQLGQFSKTLELFQEMLMKDVRPDETTLVSVISACTHVAALDQGKWLHAYIRKNGLDINIILGTTLIDMYMKCGCVENAVEVFTGMKDKGVSTWNALILGLAMNGQVERSLEIFEQMKISEVVPNEITFVAVLGACRHMGLVDLGRGYFESMTKIYNVEPNIKHYGCMVDLLGRAGLLKEAEKLIHSMPVVPDVATWGALLGACKKYGDNEMGERVGRKLIELQPDHDGFHVLLSNIYASKGDWNDVMEIRGTMMQQGVVKVPGCSMIEANGVVHEFLAGDESHPQMKEIEIMLDKMAKRLKILGYAPGVNEVLFDIDEEEKETTLFRHSEKLAIAFGLIAIRSPTPIRIMKNLRICSDCHEAAKLISTAFNREIAVRDRHRFHHFRDGSCSCMDYW >CDP14035 pep chromosome:AUK_PRJEB4211_v1:2:5287092:5291669:1 gene:GSCOC_T00039208001 transcript:CDP14035 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRAEETGLKQRQQLQMGSLKTSMMKEKDEELALFFEMQKREKERNNLLLLNNSDDFDASLGTKSGSSPIFNIASTTPAPVRKTATDDFLNSDTDKNDYDWLLTPPGTPLFPSLEMESQKTVMSQLGTPKARPLTLKSRLANPQTENAVRSSNLPSRQQTSSTGLTTSSAGIRRPSSSGGSRPSTPTGRPTLTATSKSTMASAPKPTLSTGSKPSLTTTSKATSAAMSRPTRSATPTSRASLASAKPTVPPRSSTPTSRPMVRSSTPTARPSIPASKPTSRSATPTRRPATTSSATITSVPSVKSPSSSSVTKLVSSTTKNPVPARSSSPTVKPRPWKPSDMPGFSLDAPPNLRTSIPTDRPVSASRGRPGGPTVRSSSVEPVANGRVRRQSCSPSRGRPPNGIIHSSGSSVPAGNRLHAKANDNVSPVLIGTKMVERVINMRKLVPPKQDDKHSPLSNLSGKSSSPDSSGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSMYSVRSGSTKSRTLSVSDSPLATSSNASSEVSVNNNALCADAGEIEDDVNSDKVVRSPASMRAR >CDO97601 pep chromosome:AUK_PRJEB4211_v1:2:20278713:20282319:1 gene:GSCOC_T00014984001 transcript:CDO97601 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTSSVFILPMLAISLTEPPLQIMAVLPLLLRIRRRHQCLHHQIRTFINAKLKWVRDPYLDKAVENEKNLKPLLSLKNLIMSQPSETLPLSSISPLKTHLNLPTTASKFAENYPLIFKIFNPPTKRPMIASHPHVKLTSKAISLHNDETLILNLSHYKKDVAERLAKLLMLTRAKRLPFYVIDKFQFDLGLPHDYKLSFLPDFPDYFSICEMGFKDFNGYEAFGLELVRWREDLAASLVEKLARNEGIMGSKLQFSMNFPRGFDLEKRVKDWVEEWQNLPYISPYEDAFHLAPNSDQAEKWAVGVIHELLSLMVSKKMERDNVYLLGDYLVFGLRFKRALVRYPGIFYVSNKISTQTVVLREAYRKNFLIEKHPLMKMRYKYIKLMNTVMRRGRPMPDSVMQRRKRSANAAKGGKRKEKGDEWTEQMSKIA >CDP05068 pep chromosome:AUK_PRJEB4211_v1:2:1272066:1276703:-1 gene:GSCOC_T00019989001 transcript:CDP05068 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGRLVSEPGGSSSRQMLPDPSDQPTTSTPRKSKLKPLIILAAILIVASAISAAVVVALRNKASSDAALHRKPSRAISRTCSKTRYPALCVDSLLDFPGALTASDKDLVHITVNMTLQRLGKALYDVSDIGYVAMDVGSRSAYDDCLELLADSVDLLARSLTTMSPASTEGEGDGSGSSSSYVGSTQDVLTWLSAALTNQDTCTEGFGEVGGGSVKDQMSEKLKDLTELVSNCLAIYSAAGGDGDDFSGIPIGNRRRRLMGDDEEGYGGNHKQQEEEFPKWLPRKDRRLLQVPATSIQADIIVSKDGNGTVKTVGEAIKKAPEHSTRRIIIYVKAGKYEEDNLKVGRKKTNLMFIGDGKGKTVISGGKSIFDKVTTFHTASFAATGAGFIARDMTFENWAGPSKHQAVALRVGADHAVVYRCSIVGYQDTLYVHSQRQFFRECDVYGTVDFIFGNAAVVFQNCTLNARKPMNLQKNTVTAQNRKDPNQNTGISVHACRILATPDLEASKGSFQTYLGRPWKLYSRTVFMLSYMGDHIHPRGFLEWNATFALDTLYYGEYMNYGPGAALGQRVKWPGYRVITTPAEASKFTVAQFIFGSSWLPSTGVAFMAGLST >CDP00071 pep chromosome:AUK_PRJEB4211_v1:2:6411286:6412962:1 gene:GSCOC_T00029855001 transcript:CDP00071 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQRQPQPLPEDRLRNGGIETPSPRPRIQTHFHSTVSEQKLRRFNILILIFRFASLCFSLAAAIFMFSTSRVSNSPSWYDFDAFRFVAIANAIVALYSLFEVGASVWEISGGTTLFPEVLQVWFDFAHDQVFSYMLVSANSAGTELARSLKDGDTTGTDNNAFSIQSDISIALGFAGFLFLGLSSLLSGFRLASFIVNGSRFHF >CDP07693 pep chromosome:AUK_PRJEB4211_v1:2:24835975:24837848:-1 gene:GSCOC_T00025033001 transcript:CDP07693 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRATEGHEGIPKPTAKTHPMPRRNPPPPPSAAEPARNSQATHNSRPAGSAGPLGAFWSSQHAKDSYLSEDNTRPKFDEELTSHFSSRNDINRLEQIPVSKRASTPENINISNYPVQKNVPEKVASRSGDGSSNDFEINLFNDNLGRSTEGVKAPKSESTAGFPAFTAFVAEFGDKLSPQSNSRNLAKEELLQAEIEKLKEQVTQINVEKAEITSKYEKLSAICRSQRQEIHELKQALAARTPSPKRESFKTQASFASHPSTAPKEKVEGTVWELQQGLLDQSSTSPDPRAWQAFADDPPPQTSVNSNSRSVRTRNGRQNNHVSEVNSGANTWGFGTDNFKAAPAASSHINAHTVEATNSQRFSERKDIESSQTSQPAGWAGF >CDP00066 pep chromosome:AUK_PRJEB4211_v1:2:6447396:6456369:1 gene:GSCOC_T00029845001 transcript:CDP00066 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVQNPIQNRLWLLSFSTSSTSSLCNSVSLKKTRFTNRSLFGKRMPWSSSRRNLYCTCSTSRGSTMDSNTSPSSGRQNAEPFVITTPLYYVNAPPHMGSAYTTVAADAIARFQRLLGNKVVFITGTDEHGEKIATAAAACGCSPAEHCNVVSQAYRTLWRDLGIAYDKFIRTTDHKHEAIVKEFYSKVLANGDIYRADYEGLYCVNCEEYKEEKELLDNNCCPIHLKPCVAKKEDNYFFALSKYEGFLGKMLSENPEFVQPSFRLNEVQSWITSGLRDFSISRASVDWGIEVPNDAKQTIYVWFDALVGYISALLNDGEQPNLQTAISSGWPTSLHLIGKDILRFHAVYWPAMLMSAGLQLPKMVFGHGFLTKDGNKMGKSLGNTLEPTDLVQRFGPDAVRYFFLKEVEFGSDGDYSESRFINTVNAHLANTIGNLLNRTLGLLKKNCRSTLAVDSAIAAEGNTFKDAVEMLVTKAKTHYETLALSSACEAVLEIGNAGNLYMNQQAPWSLFKQGGAASETAAKELVIVLEAMRIIAIVLSPVTPSLCLRIYNQLGYTEDQFDTITWSDAKWGGLKAGQVMAQPKPVFSRIENQIEDGNAGETVKAGSKDKENINQNKSAIEV >CDO97108 pep chromosome:AUK_PRJEB4211_v1:2:16552445:16553911:-1 gene:GSCOC_T00014348001 transcript:CDO97108 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLSANVDAVPSPEKPLLREIPGDYGWPLFGVLRDRSDYYYKQGADEFFRSRVEKYKSTVFRCNMPPGPFMAHNSKVVTVLDAVSFPILFDNSRVEKKDVLDGTYMPSTTFTGGYRACAYLDTDEPSHAEIKGLFLSQLAKLHNRFIPMFQSSLSELFVKLDDDMSKDGKANFNDLSDQASFDFVFRLLCDNKSPADPDTKIGSDGPTSFDKWLFFQLAPLVSLGNKFIPHFLEDLLLHTFGLPFFLVKPGYQKLYDAFYKYGSTILDEAVKRGIKRDEACHNLVFLAGFNAYGGMKILFPALIKWVGAAGEKLHRRLAEEIRTVVKEEGGVTLSGLNRMSLVKSVAYEALRIEPPVPFQYGKAREDIVINSHDSSFLIKKGEMIFGYQPFATKDPKIFANAEEFVGDRFVGEGEKLLEYVYWSNGRETDNPSQNDKQCPAKDLVVLLSRLTLVEFFLKYDTFTVDAATVLLGPSVTVTSLTTATS >CDP08648 pep chromosome:AUK_PRJEB4211_v1:2:54135162:54141531:-1 gene:GSCOC_T00027686001 transcript:CDP08648 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRDGTQTKRRSRGKANLETTLRKAWYHLRLSVRHPTRVPTWDAIVLTASSPHQAHLYHWHLNRAKRIGRIAPSTLTLAVPDPHGHRIGSGAATLHALLALAQHYYTLNSPVEITTSSNSISTETYVGLKDGVPSLAFVELLAKKHILLLHAGGDSKRVPWANPMGKIFLPLPYLASDDQDGPVPLLFDHILAIASCARQAFKNEGGLLTMTGDVLPCFDASLLVLPEDASCIVTVPHTLDIASNHGVVVASKSVLSDENFAISLVENLLQKPSLKELVDHQAILDDGRTLLDTGIIAVRGKAWVDLVRLAYSSQPMISELLESKKEASHMSLYEDLVAAWVPGKHKWLQTRPLGEELVSKLGKQSMFSYCAYDLLFLHFGTSNEVLDHLNETGSRLVGRRHLSSIPATTVSDIAASAIILSTQIASGVSIGEDSMVYDSCISCGVQIGSQCIVVGVHLPAADSLVAEDSSKFCLPDRHCLWEVPLIGYIEKVIVYCGLHDNPKNSFQKDGTFCGKPWNKVLGDLGLQDTDLWSSEGTQEKCLWNAKIFPILPYSGMLKMATWLMGLGNPEDESLESHWRRSSRISLEELHKLIDFSSMCLSSSKHQADIAAGIVTACLNFGLLGRNVSQLFEEVLQNEGGGRDMCREFLSLSPNLQAQNSQTLPKSRAYQVHVDLLRACNDEKKALELENEIWAAVAEETASAVRYGFKENLFESSSQSSKEGQWADSLNGHPDHSFCSRIVKVELPVRVDFVGGWSDTPPWSLERSGCVLNMAITLEGSLPIGTVIETTKTTGISITDDSGHHIHIEELSSIAPPFDNSDLFRLVKSALLVTNVISGKIPLCTGLRIRTWANVPRGSGLGTSSILAAAVVKGLLQITGEDESNENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKCTSSFPGIPLRLQVISLLPSPQMIVELQQRLLVVFTGQVRLAHQVLHKVVARYLRRDNLLASSIKRLAELAKIGREALMNCDIDELGDVMMEAWRLHQELDPYCSNELVDRLFTFSDRYCRGYKLIGAGGGGFALLLARNAESAKELRRTLAEDSSFDVKVYDWDIFLQS >CDO96839 pep chromosome:AUK_PRJEB4211_v1:2:13761074:13764940:-1 gene:GSCOC_T00013989001 transcript:CDO96839 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTMLWCYKNQFLEFEETKNYGCSCFLFSVFYISLAIFLCLEQKRVFSSQSKSLLNLAEFTDGSLLFASSRDIYYPVVSSKQADGDRKGVSPQSPGLGRIPMGNENKENQSSRNREEIISLFRRIQSSISKRSANSKKRSSQPSEDGSSADSVLEVLRQSRTKGKTARKDGDKISARQRGSLGKEQRANYSSVVDSKLTRPPSTFVRRSPIPLSNRVPADLRSDQVPAADDKKETEIPELKGLALQKVEDMKLPELKELAKSKGIKGYSKLRKAELVKLLITS >CDP09348 pep chromosome:AUK_PRJEB4211_v1:2:23389271:23389507:1 gene:GSCOC_T00028674001 transcript:CDP09348 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCINTHIYIVRLCTLGTIAFNVETVEYMNVNFAFWDIGGQGEFYRLWRHYFKNTQDLVFVIYQSQIVLLWGRKFVP >CDO97150 pep chromosome:AUK_PRJEB4211_v1:2:16839054:16844519:1 gene:GSCOC_T00014400001 transcript:CDO97150 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTSKPPKRNPYSTPGRILEEPLPLPETPAADDSRALDAVDKKSTPFNFYTPSPARYFFSKKSPALPLPSASPSATSTPRRTVKRPFPPPSPAKHIKAVLFRRHGGKSTETIPEGEEGDAAAAGAELDKSFGFSKQFTSKYEIVKEVGRGHFGYTCSAVAKKGDLKGQKLAVKVIPKNKMTTAIAIEDVRREVKILRALKAHANLVQFYDAFEDLDNVYIAMELCQGGELLDRILARGGKYTEDDAKAVMVQILDVVAFCHLQGVVHRDLKPENFLYASKDDNSPLKVIDFGLSDFVRPDEKLNDIVGSAYYVAPEVLHRSYTTEADVWSTGVIAYILLCGSRPFWDRTESGIFRAVLKADPSFDEAPWPSLSSEAKDFVKRLLNKDPRKRMTAAQALSHPWIRNYNGVKAPIDILVLRLMKAYMRSPSLRKAALRALSKTLTADELFYLKQQFTLLEPNKNGCITLENIKTALVKNSTDAMEDSRVPDFLASLNALQYRKMDFEEFCAAASSVHQLEALDRWEQHARGAYEIFEKEGNRAIVIEELASELGLSPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGPSTRTHSKVP >CDP16362 pep chromosome:AUK_PRJEB4211_v1:2:28600710:28605963:-1 gene:GSCOC_T00018195001 transcript:CDP16362 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKTQILLLSALLLSSLVLKTPGKSCSEADYQFFKAKSKPVSNIDISHFTAFKKPPEPIQEGLKEKDIIHKLPGQPPVSFKQYGGYITINATVGRALYYYFTEAQDTMVAKDLPLLLWLAGGPGCSSLGIGAMQELGPFRVGSDGKTLYKNQYAWNHVANVLFLESPAGVGFSYSNTTSDFMKVGDRKTAADNYIFILNWLERFPEYKNRDFYIAGESYAGHYVPQLAHNIVYHNKKANRTIINLKGILVGNALINDETDTLGLIDYFASHALISSESSRKLHNCNFSSNAETSDECHDIVKKLKNVAGNINIYNIYYPLCLDGNLTSIPKRFSIMEFDPCTVYYVYSYLNLPVVQEAIHANVTKLYYDWQPCSEVLKHWEDRSSTVLPFIQELMENGVRVWIFSGDVDGRMPVTSTQYSIEVMNVTTLNPWHAWYRDGEVGGYVQEYRDNLTFVTVRGAGHEVPSYKPDRALSLVSNFIAGTPLPKQ >CDO99599 pep chromosome:AUK_PRJEB4211_v1:2:10953826:10958134:1 gene:GSCOC_T00029238001 transcript:CDO99599 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIFKIMVFFYLILVNLLVLNARHWSIDKKPNLRETEDLVANLPGQPMVNFRHYAGYVTVNEQNGRALFYWFYEASALPDEKPLVLWLNGGPGCSSVGYGATQEIGPFLVDTDGHALKFNPYSWNTEANLLFLESPVGVGFSYSNTSTDYDNIGDDFTAQDAYIFLHKWFLKFPSYRKRTFYIAGESYAGKYVPELAELIHDENKNSSLFIDLGGILLGNPETCDAEDWKGLVDYAWSHAVISDETHKIIKESCDFYSNDTWSNEACSQAVDEVLKQYKEIDIYSLYTSICIGNSTNSEDKSMQVMFRSTSKLMPRIIGGYDPCLDDYARYYYNRADVQKALHVSDGQRLRGWSICNMTIFNGWSDSKESMLPIYKKLIASGLRIWVYSGDTDGRVPVLSTRYSLSSIGLRITRAWRPWYHQKQVGGWLQEYEGVTFATFRGAGHAVPIFKPSESLAFFSAFLSGEYPPLQR >CDP05377 pep chromosome:AUK_PRJEB4211_v1:2:3720009:3726870:1 gene:GSCOC_T00020390001 transcript:CDP05377 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIENGRVENTGVCSTPTANGGEDVYSCKGSDASSADHLVIMVHGILGSASDWKFAAEQFVRMLPDKVFVHCSEKNMAALTLDGVDVMGERLSEEVLDVIKRKPDMRKISFVAHSVGGLVARYAIGRLYRPPSKGNPEFSSTNSNAEESKATIAGLEPINFITFATPHLGSRGNKQVPFLFGVPAFEKAAGLFIHWIFRRTGRHLFLTDHVEGKPPLLKRLVEDDGDCHFMSALQAFKRRVAYSNVGYDHIVGWRTSSIRRHNELPKWEDSINEKYPHIVYEERCKACDGEQCDSVLVEDDGLDEIEGEMVTGLSRVSWEKVDVSFHSSRMRFAAHSVIQVKYHYMHTEGADVIQHMIDHFLL >CDP17282 pep chromosome:AUK_PRJEB4211_v1:2:31381350:31382186:1 gene:GSCOC_T00009325001 transcript:CDP17282 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQNPIHFFQVPIFIISSVAEELVAFLNVIPEWLCKQQQDKLYSSQPLFTFMDFLNEKWLFLFSVLHSLELLSILQEPFIVICPHWSLKIEPDVHFLQHWCGDKNSLLVMEEGFNANLIFLPFKSMAIKVLQCLFLFGTK >CDP08559 pep chromosome:AUK_PRJEB4211_v1:2:40821983:40825973:-1 gene:GSCOC_T00027521001 transcript:CDP08559 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLTHLEPDYSEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHQNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILKGLLYLHSLDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYAEEYNELVDIYAFGMCILEMVTFEYPYSECTHPAQIYKKVISGKKPNALYKVKDPEVRQFVEKCLATVSLRLSARELLDDPFLRIDGSEPELRAVECPREMNYMNALLRQPYPDIEYEGKSFSDNSFKGYSNGYAFEDQNGWDCHPNEFEQSGIDLFEYNEEDHDEESPHVDVTIKGKRREDGSIFLRLRISDKEGRIRNIYFPFDIELDTALSVATEMVAELDMTDQDVTKIADMIDGEIASLVPEWKRGPGIIETPRLANPSFCQNCASNHTSTGSLINFLSHNPGAQNIQFLQCLGNGCAATHGRFEEITYQVGSSLRNELDEANNPGSPSQRNGFHPIEIWDQHESHECSSLGSVGSCSLEEDDDDEEEKLDQETSEDHEKEKVLLEN >CDP05181 pep chromosome:AUK_PRJEB4211_v1:2:2201492:2205346:-1 gene:GSCOC_T00020139001 transcript:CDP05181 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPSFMRTYSSIFLVLLLSWCPFAAEASRKELRTKEVQRQQISQIDLPGRLGVIDPTRVTQVAWRPRVFMYRNFVSEEECDHLISWVQGKKSNSVVDGDLKETDNLPSKSIMPLSIEDQVVARIEERISAWTFIPKENGRPLQVLHFDSEEPKQNHDNFGKEYKVLPNEPLMATVVLYLSNASQGGHILFPESENEILSDCTMSNMALKPTKGNAVVFFSVHLNGSADNSSRHARCPILDGDMWCATKFFHLRAIRGKKEPLHLDDTDCMDEDENCPHWAATGECERNPVFMVGSPDYYGACRKSCNVCSLLD >CDP06834 pep chromosome:AUK_PRJEB4211_v1:2:38256725:38269444:1 gene:GSCOC_T00023845001 transcript:CDP06834 gene_biotype:protein_coding transcript_biotype:protein_coding MQMESISNSMFSYVLISFLLIRSCNGTDRISQNQTMADGETLVSSGGTFVLGFFKPPSSTNRYLGIWYNRSPETIVWVANKVSPLTDSDGVLTIHSDGNLVLLNGTKRIIWSSNSSSADAPMNLVAELLDSGNLVLKRQDDFKAESYVWQSFDFTTDTLLPGMKEGKNLQTGQTWYLTSWKNQNDPSAGEFSYKLDTIGLPQFVLRKGSEKKFRTGLWNGQRFSGSAVRSSMFNAPAFVYNDTDIYYTYEMRDSSELSRVTLDESGVIEHYMMNKGSSDWKLMYKLPYDMCGEYGNCGPNSICKTNSDPICQCLDGFVPKLKGDWDALNWSGGCMRRTPLDCKPGEDFIRLGNVKLPDLLDFWLDQSMTIRECKRECLKNCSCTAFTNSNITNGGSGCLMWFGDLIDIQEYDDNIEQDIYLRMPRSELDAIQNQKKKRALLILVVPIVSGGMLVLYFVIWCTIRRRKEKRGMKTGNDDIELPLYQLEAVTMATKSFSFSTIIGEGGFGPVYKGRLATGVEIAVKRLSQTSRQGLGEFKNEVILISRLQHRNLVRLLGCCLDGNERMLIYEYMTNGSLDYFIFDQSRKKLLTWKKRFEIALGISRGLLYLHQDSRLRIIHRDLKASNILLDNELNPKISDFGIAKSFRGDQTEGKTRTVIGTFGYMSPEYAFSGTYSVKSDVFSLGVLLLELVSGRRNRTLDHSDQHQSLLGHYWNHRHSPYQNPLTKQPLYHLSTFLTHYKYFFPQSYNNFVLDSADVFPQPASNWLTIDILNHGLARAWLLWTEDKALQLMDECLKESSVESQVLRCIQVALLCVQKHPEDRPTMAAIVIMLSNETVSLPQPKHPGFFLEGNYARVSETSTEERLRTLNAVFSIETGKNVPNRTLNHLKLSISNSMFSYVLISFLLIRSCNGTDGISQNQTMADGETLVSSGGTFVLGFFKPPGSTNRYLGIWYKRSPETIVWVANKVSPLTDSDGVLTIHSDGNLVLLNGTKRIIWSSNSSSADAPMNLVAELLDSGNLVLKRQDDLKAESYVWQSFDFLTDTLLPGMRKGINLRTGKTWYLTSWRNQSDASPGEFTYKLDNVGLPQYVLRKGSEKKFRTGPWNGERFSGSPVRSSSFIAPIFVYNDTEIYYTYEMTDSSVLSRVTLDESGVIEHYMMNKGSSDWILMYKLPYDMCEEYGKCGPNGICRINSNPICQCLDGFVPKSKGDWDVLIWSGGCKRRTPLDCKSGEGFIKLKNVKLPDLLDFRLSQSMTTRECERECLKDCSCIAFTDSNITNGGSGCLMWFRDLIDIQEYDDKYSEQDIYLRMPKSELALWMQPVTVNFLNADAIENQNKKRALLILVIPTVFGGILVLCFVLCCMIRRRKKKRGMKTSNDDIELPLYQLEAVTLATKSFSFSNIIGEGGFGPVYKGRLETGVEIAVKRLSQTSRQGLGEFKNEVILISKLQHRNLVRLLGCCLDGNERMLIYEYMTNRSLDYFIFDQSRKKLLTWKNRFEIALGISRGLLYLHQDSRLRIIHRDLKASNVLLDNELNPKISDFGIAKIFRGDQTEGKTRTVIGTYGYMSPEYAFSGTYSVKSDVFSLGVLLLELVSGRRNRTLDHSDQHQSLLGHAWLLWTEDKALQLMDECLKESSVESQVLRCIQVALLCVQKHPEDRPTMAAVVIMLGNETVSLPQPKHPGFFLEGNYAGVSETSTEERLRTENAVTITQMEGR >CDO97566 pep chromosome:AUK_PRJEB4211_v1:2:19991179:20005228:1 gene:GSCOC_T00014947001 transcript:CDO97566 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIEMVISLFENNLPMRFGVILYSANIIQKIEANGDELQRSLEDDLKSEEDVSSLVIRLFLHIKENHGNLMAFQFLSNVNKLRIESAPEEAPEIHHVEGAFVETLLPSAKTPPQDILLKLEKEKTYHELSQESSMFVFKLGLAKLQCCLLMNGLVYDSNEEALFNAMNDELPRIQEQVYYGLINSNTDVLDKFLAESGIQRYNPQIIAGGKVKPKFVSLSASILRNGSWINEISYLHSSDTVDDIKPVSHLLAVDFTSKKGIKMLREGLSYLMGGSKIARLGVLFNSNEEANSLSYIFVKVFEIAASSHSHKKGVLEFLDQVCSLYEREYMTSTSPDNEKSQEFIDKVVDLAVASGLPSKGYESPLSTFSVGKLKNHLIKVAQFLYRQLGFHSGVNAVITNGRVVPVGGGVFLSHDLSLLESIEFKQRIKQIADIIEELKWEDMDPDLLTSKFISDIILSISSSMAMRERSSESARFEILSSTCSAVVLDNEDSSIHIDAVIDPLSSSGQKLSSLLRFLSKYIQPSMRLLLNPVSSLVDLPLKNYYRYVVPTLDDFSSIDDTVYGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAIHDLDNILLENLGDARTLQAVFELEALVLTGHCSEKDHEHPRGLQLILGTKSTPHLVDTLVMANLGYWQMKVFPGVWYLQLAPGRSSELYVMKEDGDGSPYTTLSKQITINDLRGKLVHLEVLKKKGKENEKLLLASDSDESHSRQNRNGDQKSWNSNLLKWASGFIGGSDRSKKIESTSVEHGNTGRRGKTINIFSVASGHLYERFLKIMMLSVLKNTRRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLALEKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKEMDGYRFWKQGFWKEHLRGRPYHISALYVVDLVKFRETAAGDQLRVFYETLSKDPNSLSNLDQDLPNYAQHLVPIFSLPQEWLWCESWCGNATKSKAKTIDLCNNPMTKEPKLQGAKRIVAEWPDLDLEARQFTAKISGEPIDLKEQVALPLESQSSTIHDSSEDWESKSEL >CDP04981 pep chromosome:AUK_PRJEB4211_v1:2:561054:561878:-1 gene:GSCOC_T00019869001 transcript:CDP04981 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLPLSPIVKCAGTSVIDEEDTMVIDLCSSPSPGSELKGTPLRPVFCLKNRDNIKRIEEREDCFILDFDPGEDDDLTLSVSSKNNVENVDGVSPDVSLVGEKGKVACRDYPHFRHVCVKNPFGQTPDDSYCDLCYCFVCDAAAPCKNWTGASGHCHAIDNAAWRAGRKVRKNSGNTSL >CDP08826 pep chromosome:AUK_PRJEB4211_v1:2:52681165:52683715:-1 gene:GSCOC_T00027934001 transcript:CDP08826 gene_biotype:protein_coding transcript_biotype:protein_coding MYVQTLPISKCAFHPINLSPGTHLLTHCTQTIQIRKVYFESKLKTGFCHSVKRVCGSQIGSGKGGVLVGVRCEGSHQGENDGLYIRRCVELARKAIGHTSPNPMVGCVIVKGGKIVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEAFIKAKVEKVVVGMVDPNPIVASKGIDRLRDAGIEVIVGVEEELCKKLIEAWIHQMLTGKPFLTLRYSMSVDGHVLNQLGNTVLEPGGYYSKLLQEYDAIVFSSTLLLENSSFPASREPGANQPLQIVLAMDPDLPVEIPALTSKSTAKVIIFTEKETSLEQVATLKGVETVVCERINLVSVLEYCKRQGLCSVLLDLRGNIADFEQILREGVELGLFQKYVVEVLPVWVGSNEKSLNVPEKLRVKSLTSKVMGETVLLEGYFE >CDO99838 pep chromosome:AUK_PRJEB4211_v1:2:8411037:8412381:-1 gene:GSCOC_T00029534001 transcript:CDO99838 gene_biotype:protein_coding transcript_biotype:protein_coding MIENGGWFLTGRRFRVGSIGGSKVIYARCGVGMVNAAAATQQMLDVFRVIGIVHFGIAGNANNSMSIGDVIIPKQFAQTGLWDWVKFKATIPTNDVAELDFGSYDVPNGGDNELGSIGYSTEFFYSKSGKPNAPERTLWFQTSQNWLEVSSSLEGMALEQCVNSSLCLPEKPKVVVGLNGATANIFVDNAAYREFLYTTFHVSSLDMESAVIVMTCLSNGFKVIVIRGLSDLAGAQDGDNTIRLFGPLAASNVAKAVVQFVKTLRGFHFQQSI >CDP15531 pep chromosome:AUK_PRJEB4211_v1:2:46162057:46162527:1 gene:GSCOC_T00015398001 transcript:CDP15531 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLSYAPNLYDGNPGLGSLVTSVGNRPARLVLKRQGVQLGEKQQQQSELEQQLQSFWANQRQEIERLAGFKSHNSLPYARIKKIMKAAEDATMVSAEAPIVFAEACVWEPGIKLRKPKGRRFKRMILHAIFLGIYMYIFINLYFYAIMHYISACM >CDO99678 pep chromosome:AUK_PRJEB4211_v1:2:9938086:9941649:1 gene:GSCOC_T00029345001 transcript:CDO99678 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSNGEIFIWRNSNKLWRFGAWQAGSFPSMETSIDDSYNFSYNSNDEGKYLVYNGNKSIISRIVIDISGTMMQYFWLPRLQEWTIFRSLPSSLCDIYSSCGAFGYCDISSSPSCSCLPGFEPQFKQDWDLLDFSRGCMRKKPINCATGQTGFLSITTMRLPAYSESLEVGRAEICEFACSFNCSCSAFSYSSSGGCSLWLGNLLDIEKQQNGSTGGDLYLELDLSELPAKGHKKSLRVALAASITPAIFISCCFFYCLWRRKYKQKGNIVHHQNLFLLELSSNRAKDNNGATTKDSRGEVKIESIGLPVFSFSSIVAVTNNFSSENKLGEGGFGPVYKGRLQSGELVAVKRLSKKSGQGFEEFKNEIELIAELQHRNLVRILGCCLAQDEKILIYEYMPNKSLDTFLFEPKQEFLDWQQRIQIIDGIAQGLLYLHQYSRIRIVHRDLKASNILLDGDMDPKISDFGLARIFGLNELQANTNRIVGTYGYMSPEYVMEGLFFVKSDVFAFGILVLEIMSGKKNTGHYGSDNMTLIGYAWELWKTDRLLELIDPSLQISASSNAGRYITIGLLCVRENPADRPTMSDVVAMLRIEQMSLTSPKEPAFTTWRNFLNTSSEGGHFAVCSTNDVTISLLEAR >CDP00125 pep chromosome:AUK_PRJEB4211_v1:2:5998917:6003225:-1 gene:GSCOC_T00029925001 transcript:CDP00125 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMELVPVTSQKHDPAWKHCQMYKNGERVQLKCVYCGKIFKGGGIHRIKEHLAGQKGNASSCLRVLPDVRLLMQESLNGVVMKKRKKQKLAEEITNYNVDNASEVDALTINNCGLNTEVNLLPVPDTLEQDSNFFVGREEGGGGNKASGRKKKGRVRKPSSSVNPNAIVACSNAAIATKKVNNHVHMAIGRFLFDVGVPLDAVNSVYFQPMIEAIASQSDGVVGPSYHDLRSWILKNAVQEVRNDIDQYTGSWGKTGCSVLVDEWVSEKGKTFVSFLVNSSEGTMFFRSADITNLINSEDSLFELLKEVVEEVGVRNVMQVITNSDERYLAAARRLTDSYPSVFWTPCAAHCISLMLEDISRLEWVKSVLDQARTISRFMYNHNVVLNMMRRYTFGVDLVDLGITRSATHFTTLKRMVNIRHNLQSMVASEEWMESPYSKKPEAFAVLDYIGNQSFWSTCALLTRLVDPLLRLLRIVGSDKRPAMGYVYAGVYRAKETIKKELTDKKEYSTYWDIIDHRWEQLQRHPLHAAGFYLNPNFFYSTEGDVHLHIRSLVYDCIEKLVPDPKFQDKVVKEIGSYHNGAGDFGRKMAVRARDTLLPAEWWSTYGGGCPNLARLAIRILSQTCSLIYFKRNEIPYEQMHDTRNCLERQRLNDLVFVQKNLWLKQMVQRNKEQDSVDPISYEHISILEDWVTGKPLCSEDLESSDWMIVDPPLGNTMLLGTPIDDYEALGTGFDDYEIFEGVKDSEEENGG >CDP07774 pep chromosome:AUK_PRJEB4211_v1:2:26268838:26274404:1 gene:GSCOC_T00025151001 transcript:CDP07774 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYRTDSEEEALFRSYPCAVYYVQSPSSVSHAYSAEIRNINNESALETFANNPNNASQEAANRLALSRYSSSHGSTNFFLHEKKIPYDHDAQSHGTGVTYNEEINRHEGNMVVVDRLRNGYHIEEDHEYEEDEEYFEEKAEWWRMFSFGGSISGGWKFLQMTWRFILSVVIALVVFYILTKPPAPKMSIKMAGIPQFELVEGVDASGVTTKLLTCNCTVDLVVDNKSKLFGLHIHPPFLAMSFGNLPFALTQAPEFHTGIDDTEMFKLFVGTRNKPMYAAGRSMQDLLESNKGLPLVIRVHLRSGFKVVWGLIKPKFHHEAQCLIILRKAYDKKHRTQEFIGKCAISS >CDP15856 pep chromosome:AUK_PRJEB4211_v1:2:45239230:45245521:1 gene:GSCOC_T00016748001 transcript:CDP15856 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSWRARQSQMAQGPTQGGQVGGSGEVSAVPNAAPPATIDSGDWRTQLQADYRQRIVNKIMETLKRHLPFAGQEGLRELKKIAVRFEEKIYSAATSQSDYLRKISLKMLTIETKSQNPMANPLQANAANASRNPPDQDFESGQEVESEFVIDRP >CDO96715 pep chromosome:AUK_PRJEB4211_v1:2:12317819:12319132:-1 gene:GSCOC_T00013821001 transcript:CDO96715 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLTAFLHMSNKLADGGHKIFFLLPRKTQAQLKQFNLYPDLIDFIPLCVPQVEGLPPGAETTADIPFHLQPNLRLAMDSTQPQIESILQEIKPQVVFFDFTHWLPKLARRLGIKSIFFITMSSATSGYTFRGEQTTDADLMKAPPGFPSSCIKLLSHEARGLNFAGKVKEIGSGLSFLERLLISAEDCDAIGFKTCREMEGPYCEFIERKFKKPVILAGPVLPEQPTTTLEEKWEKWLSGFKAKSVIFCAFGSECRLQKDQFQELLKGLELTGLPFLAALKPPIGSETIEMALPEGFNERIQGRSVVYGGWVQQQLILAHSSVGCFVTHCGSGSLSEGLVNECQMVLLPQFGDQFINARLMGGDLRVGVEVEKGDEDGLFTKEGVCKAIRMVMDDGSEIGKEVRANHAKWRDFLLREGTESSYIDEFINKMKRLLS >CDO97638 pep chromosome:AUK_PRJEB4211_v1:2:20579805:20580857:1 gene:GSCOC_T00015037001 transcript:CDO97638 gene_biotype:protein_coding transcript_biotype:protein_coding MAHECQCVSPQPTDWVKGEVVGSGSFGTVHLAIEKATGALFVVKSAESEIGIQSLENEADILENLDSQYIIKFLGKDFSSGANRYRKLNLFIEYMAGGSLAEVVEKFGGAVDEKLIRLYTKKILQGLKYLHDNGIVHCDLKCKNVLLGLSGNVKLADFGFAKRLNDRKKDKISMQFHKPNIGGTPFWMAPEVLRNEGLDFAADIWSLGCTVIEMANGCRPPWGDNFSNPMSAILKIACGNGVPEFPSNLSHEGKDFLSKCLQRNPKKRWTAEELLQHPFLLGESSQRKEETCSPASVLDLRFVHYDSDGSSVDEEFSSRVNPFSMRCQPMKSLVSEYHFVSSDEWVTVRS >CDO97433 pep chromosome:AUK_PRJEB4211_v1:2:19011677:19018496:-1 gene:GSCOC_T00014778001 transcript:CDO97433 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPCGSTWMARCGVQPQIVARFTVTNKLSLPPDCVASRSKVLASPSSTFFSQRPLHVLFNSGSCKDSRQKRGARFAVRAQQDYYSVLGVSKNASKSEIKSAYRKLARSYHPDVNKEPGAEQKFKEISNAYEVLSDDEKRSIYDRYGEAGLKGSMGMGDFSNPFDLFESLFDGLGGMGMGARGSRNRATEGEDQVYNLVLDFKEAIFGVEKEIEIMRLENCGTCDGSGAKPGTRTAKCSACGGQGQVVSSARTPLGVFQQIMPCSACGGAGETSTPCNTCGGDGRVRKSKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLFVIIEVRPDPVLKRDDTNILYTCKVTYIDAILGTTLKVPTVDGMVDLKVPSGTQPGTTLVMAKKGVPFLNKSNMRGDQLVRVQVEIPKRLSGEERKLIEELANLNKAKAPNSRR >CDO99702 pep chromosome:AUK_PRJEB4211_v1:2:9719218:9723056:-1 gene:GSCOC_T00029375001 transcript:CDO99702 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEEDSNWVFDFGLIEDIPVPGGDLPPLDPGFQNWSNNSFYNPNSVELDGAFQSSQSRKEASSRKRARSGPCSATDSKAYREKMRRDKLNDRQTLSLSLYLFQELSFLLDPERPPKVDKSAILGDATRMLIQLRDEAEKLKESYERLQEKVNELKAEKNELRDEKQKQKAEKDKLEHQLKALSSQTGFLSNPSAILAPFVAPHQVFSSKMTPFVGYPGTSMWHFMPPAAVDTSEDHVLRPPVA >CDP15853 pep chromosome:AUK_PRJEB4211_v1:2:45093601:45094873:-1 gene:GSCOC_T00016745001 transcript:CDP15853 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTKENRIELNLANSCIQLCLIVLLVVLASLTIPSDCFHHDDHHSETTAALFVIGDSLVDPGNKKYINTTTGRFCDGRVIPDFIAEDAKLPFLPPYLRIGYQYQLAFGTNFASGGAGALVETFPGLVIDLQKPLGLCSNGCWQHYRLFEENIQEKWEKIWGVLKLPPIGCLPRFRAADVAAGATGECNGQITAVAELHCVLLSKNLSTCRSSSKALDTHILTFLCINLIALQIKYVLIE >CDP17231 pep chromosome:AUK_PRJEB4211_v1:2:48129531:48136425:1 gene:GSCOC_T00000719001 transcript:CDP17231 gene_biotype:protein_coding transcript_biotype:protein_coding MREIVTIQVGGYANFIGSHFWNFQDELLGLAETSETNPAFKNHGLNMDVLYRTGETQQGILTYTPRLVSVDFQGSLGSMSSRGTLYNEAPSRPMDVVSWSGKVTTQASAPLKKNLFLQSLYQEEQEKMDTVNGFDSGKNESQNEIQDKDIVECLENGVQYWTDFSKVHYHPQSLYELNGLWMDGEGFDNYGIGRDAFVGARHGEEINDRLRFFIEECDHIQGIQFVVDDSGGFSGVAAEFLENIADDYTNIPVLLYSARNPRLHMTTKSQKQSISSSLHDAISFSRLSTFCKLIVPVGLPSLSKSKASRYLCMDDEKPYHSSAVYASGMHSISLPFRMEPIGPTTDLTCASGALDMNEIIHMLAGQARQNMVTILDVAMPAPSLIGNQLEQSLLENLEPLTPEIAENFEDLQAVEAMSVHGVLGTGLHEATVSEVKSAVQNAYEKALQRPRFSQLSVSRCPLPIPLPFPSIFGNLVGQHGELLGTPILGSPSRGSLDVHSIPMAARLRSSSAILPFLESRLHNLQRFGIQRGALGAELLRSWGFGKEELVDAGEALSNMVRTLSPYSEESSESDEVA >CDO97081 pep chromosome:AUK_PRJEB4211_v1:2:16373924:16376900:1 gene:GSCOC_T00014313001 transcript:CDO97081 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCLPSKNRQPLVASEQDEPTNPVGDESVSVTIEGQSRTTDAAAAQFQDGVLQRTLTKKLRIFIVFYSMYGHVESLARRMKKGVDGIDGVEGVLYRVAETLETEVLEQMKVPARDDGIPLISVEKLVEADGLLFGFPTRYGCMAAQMKAFFDSTGKLWTEQRLAGVPAGFFVSTGTQGGGQETTAWTAITQLVHHGMIYVPIGYTFGAGMFKMDALRGGSPYGAGVFSGDGTREPSEAELALAEHQGKYMALMVRRFAVPHSFPNDHKNGS >CDO99500 pep chromosome:AUK_PRJEB4211_v1:2:11920984:11923126:-1 gene:GSCOC_T00029099001 transcript:CDO99500 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAARSVFRSATTSARTAAAKVFTGAKPKPSRSTFRIPGQKPLSTRIFRSPVEMSCISVGSMFPYHSATASALLTSMLSEVSPWTYNWSQDS >CDO97647 pep chromosome:AUK_PRJEB4211_v1:2:20674668:20681302:1 gene:GSCOC_T00015050001 transcript:CDO97647 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTERQGKDLKRSRAKTKASSKPLKRKRSESNGSGSRKIPKKDAASSISHCKAIFLTDKSTTIETESFQFRNEEAAAIQLTRVCKDDFLPNRRLTAFVFHDEFGEPQPVEMVEERNLYISGLILPLEDACDDEKETRIRCEGFGPIISWSLSGYDDNSPIIWVSTEAADYECINPATVYQKQYSLFFQKACTCVEVYRVLSKSCGGNPNLSLNQLLTAIVHSVTERTNVPRGASVKDLIISWGRFIHDQLIGLDETAPKNDTIFRNLPVLVSLRDASDKAAGLASTRTKLKRRKSTIFSSTKIEYDIADNYPPPAYYQRGINEIHERAVFKGETDDADQLPHHVLHNWCLYGFDGRFISLEFLQSNSSSVIEQQIYCSGIATIGDGSGFCLDTCSNYPSSPTVINADRIPVYLSTIKDWKIDFKSSTVSISIRTDIAWYRLANPLTQYGPWYEPVLKTITLAVSIAELLKEQRRASRLSFPEVIKRLADFNEGHPAYVSSISADVERYIFVHGQTILKQFANYPDPSIRKCAFVRGLRDNLKELHRTKLVKKKIFPSQKEHVNLEAAVPHKTSETKAMPATTTKLISRIWHKYCSKYLPEDFNYEDTEALQETKSIFDENFKKFYCVPKGKSQCASTKVRWDGEKVGKTSSGEVFYKRANFDGLVVSVGDSVQVKTADSEESPPVFFIEYMFEDSDARKLAHGRLMLRGYQTVLGHVSDKRELFLTNHCQEFGLSEIIGTMPTEIQSVPWGYQHRKTNADNYKIDRARVADKKIKGKPTKFFCRSLYCPERGAFLCLKTSSMGLGTGYCYSCKIKELRNTSAFKLSRYNFTFKGTKYHIHDFVYVAPHSISDETDKTPKNARSVVLKPFTVCQFLGIDQHKPFKNADPESTIVKLRRFFRPEDISADTAYHSDIREIYYSEQVIKVPVVAVKGKCEVREKEDLNPIPTTYVFQHIFFCEQLYDPISGALQKLPLQVKRSLSQRKSGKTAVNRRTSQKRKKGARGSHGEFADCNKFLATLDIFAGCGGLSSGLEQSGVSKTKWAIEYEKSASEAFQLNHPDATVFTRNCNVILRAIMTVCGDADDCISTAEAADLAEKLAQKEIDGLPRPGDVEFIIGGPPCQGFSKMNRYKERSWSKVQCEMILAFLSFVDYFRPKFFLLENVRNFIFFNKGQIFRFTLASLLEMGYQVRFGILEAGAYGVSQNRKRAFIWAASPEENVPEWPEPMYVFKGPRLKIPLGGNIHYAAVGSTANGAPFRAITIRDTIGELPAVRNGALKPIMEYKSGPISWFQHQIRGDMQVLKDHISKEMNELNLLRCRKIPKRPGSDWHDLPDKQVPSCSLLVLSYVHYVSSLMEVLMLIKRALRCISPFTHLVQVELSNGKVVNLLPSWLLKTAGRNNQWKGLYGRLDWAGKFPTCITDPQPMGKVGRWFHPAQDRILTVREYARAQGFPDSYQFAGNIQQKHRQVGNAVPPPLALALGRKLKESIEDTSSELT >CDP09487 pep chromosome:AUK_PRJEB4211_v1:2:21606711:21607766:1 gene:GSCOC_T00028868001 transcript:CDP09487 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFFGFVLLPLLISSPCLSARTTPIYQDRTLPAKNYTYKWQNFEKFLDASRGSQVSDMSELKKYFHHFGYLKVEEEMNFTDLFDEKFELAVNKYQAKLGLPVTGKLDSNTTDQIMSPRCGVRDVPKMLHATRHYAFFGGQPRWDRSIPMTLTYAFSPANLITSLSLQDIRDACKRAFGHWASVIPVRFVETDDYGFADIKIGFYRGDHGDEEAFDGVLGILGHAFSPESGRFHLDAAETWAVDFQVEKSDEAIDLESVATHEIGHLLGLAHTSVKEAVMYPSLKPREKKSDLKLDDIRGIQALYGSNPNFSIQNFSESDLSSNYAVDLRIGSLSTWCVLLLGLVSFLCL >CDO99760 pep chromosome:AUK_PRJEB4211_v1:2:9104803:9120116:1 gene:GSCOC_T00029446001 transcript:CDO99760 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEGDNKPKTLEFVNAASHQAKLKELLRNLTSTQSKLCSDASKEFIKILKSDSGPQFLTFYVQSSPKCVELQQAWELRQGKPGFSHCLKLVSAIFRYPFGKDSDKDVSNYSFVSSALDKFARVITEGKMGDLYEELNSKDAKRQKAVLLLLASIVRRGPGLAWEVAKGFDFKTGNFSKLAEWKARGNEGMRRYLTRKEFVGFAMSFLEVGNPRLLRGILQQKDMYSGVLRGLGTDDEEIVVYVLLTLRNRVLVPESLVPPGLRSVLFGSATLEQLISISGRQDGGLAAEVAHSVLVMVCTDPSNGLMPDLERQPNPLKGNVKRLVDLMKKLKPTEVEYHKDLLLAIVKGRPAFGSAYLENFPHNLEDLASPNWFSAISLAADVMSSVGDGFTCAYVNSQSQEPPSIDNLIVQDIIKCIGPRPFTRLVMNKGLLHSDPLVKHVTLRLVSEKLKLLDFLIGSLNDLSSSSDQVMHKWASFKRDVQNVVQILLPDPQVLLSLLSSLSGYCKSPASRMKRAADVDVTVEHNLHKRKKLKMNSVDEDMDILVSGVSSTTEGALTENDGVSEEDVEDQLNSGVDLLKPVLEIWGLQGCSSVDIRTEDGDTYFYSKLLDVFRIYHRTLPTAVEGSFDFFKVLPSNPLALPTILQQSMLSLLVEQVVGSNKSQISIRTQPLMYKYLHSFINLFMYSPIGDIKDQAYSLAQAAMLSTGAFDRNPREISAWFLFIPGYTSVVIDGQKHGIEVFQKLSSVVISFLCDAVSTTGNNLFKYLDLLRCYIRDLDVSTDTFPNFSPFIVCVLEKCLRLLSSETGSFTLPEKSMISLYVSTTLKYLLETQVEGGLLCSLTQLLISERLKGCCDRIGFCPCEWMPMNSLLYFARNTVQQQIYSSFMSEEKATGLGGSFSETLSEVNRILRTKDHCGLLGVTMGFSFSMICTTADQILQNFPSTISTSTKLLGVPFSILLLRFFLEPSHFAEVFKLWPKICFAGVEKVISGVHDGEGQTIANELDDSPDSASIAFSFLVKNAPFHVLFPAIFFTDGLHLLDHSKMQNLLLDKFTESTPDFSVSSLCHLLFCLLQARLAYRIKPSDELEKLCESSCFLAKHIVKQSFVEKFGPDCSPRVLPPLSSGHIREVAEIILGHPLLTALLEWPLHTDSDVGDMIFMKPPETFLQYAERGVRKIDHHILQLLRRTTSELLVHVFSKCRSPSVVDHSTERIAKAFKALVQKLFLTFKGRFTDSRKTDDLMPLIPTLYALHSLSEFICPFELLNLVHWLFSRIDLNDTAVSISCQRCGLSVGLQIASWAFDSLSLYMLEPHAKRTLFNFFMGTGNRSFDITLFERIFNSIFEIATHTQLEVADICLFKAVKIIKMHKCMEKTSLPFVMATSRLLPSIPVSFISYCLDKTTKTKCDFLFLLSEMSSLHLCVFGHLVSGKISNNQALKVNKEENCNRPQYSEEFLMLLPTVLLYLRSNFLKFGGQFGKHVENTSSFFWKILLHGFSNWKSFVSEEIFEIKLVECSSLCMEDFSNLFSSSLLGKAVLLMRHYLAVSGHLVKMKRLLSTFDSVCPHASAQNDLLDCDAREIGVCSLELSLNFVNKIIAKICLCRMLLFPEHNNLQSVVKDGKKKGIESEVSILRIRFLSMLVHSWQRLVENFHTCRQGENIRASLFRFLEIFIAKNIVELVREMHDCLVELHSLPFLDQLAKYSLLHRFDDPTTIRMLRTVLISLSKGKFLCISILQLLLAHSQFAPTILFAHSSTVCTQFGMSFAPAPSIMRLFTVLHTEENTVDGKKDAHEIGPHMKKLELIKLLRVLIHILGQQHYLDSETSHGLNLKELVLVLLSSYGATMDEIDLEMYSLMNEIEAIDKSVSEGIAEMDFLWGSASLKVRQEREQKQSVSSLSNSYDNEVVGERRRIQFRENLPIDTKLCAKTVLCFPHDRFADGSLSKLQTDDSDEGYNANSKKVQLYDPVFILRFSIHSLAMEYIEPLEFASLGLLAITFISLSSPDADTRKLGYEAVVRFKSAVEKCRKRKDVMRFRLLVSYLQNGIEEECQRIPSITAVFIAEASFVLLDSSHDHYSAISKCLMQSSGANMKGVPLFQEFFWSSSVTFKSERLWMLRLLNTSLTMDDDAQILVRNSIYEILLNFYASPLSDDESKELIVEMVKKSVKINKLAWHLVVRCGIISWLSSHVASFYGILLRDQRSFSFAKLAMVLEVANDVIMSRNTSEWLQKYALEQLSELAAHLYRILVGCSRHIQEKTRIIDLILELLMSTLKISQKRKVYQPHFTISFEGLYHLYEAVDVCCSGTFSSTAETGLKAVLMSTPPVSILHMDKNKLLKFVSWAISTAVQSNLMEVPESEAMYSNALRFSEQSEEDLVSKLLRWLTASVILGRLSWKLSDLNSTSSSDILKLDNLHCIMDYCVKECGENQENFGSEEILAVSIFYLQQLAGIKWNFLPSVVAALSLLLYSGPSSSDSDSLHGDGSPWVSLCQKIHCPAEANPSWRWSYYQPWRDLSLKRAAVQKLEEIHACQKLLVLILKKLGNNSLFSQFLSLQDVENFDVFKWERSIIEPH >CDO99844 pep chromosome:AUK_PRJEB4211_v1:2:8365389:8371998:1 gene:GSCOC_T00029540001 transcript:CDO99844 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTHLLLEEPIRMASILEPSKPNFFPAMTKIVGTLGPKSRAVEIISGCLKAGMSVARFDFSWGDTEFHQETLENLKVAIKSTKKLCAVMLDTVGPELQVVNKTEHPISLKAESLVTLTPDQDKEASSSLLPINFTGLPKAVKAGDTIFIGQYLFTGSETTSVWLEVTEVKGEDVVCLIKNSATLAGSLFTLHVSQIHIDLPTLTDKDKEVISTWGVRNNIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTHIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKAYNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWTFSGAFEARQSLIVRSLFPILADPRHPAESTNATNESVLKVALDHGKASGVIKPHDRVVVCQKVGDASVVKIIELED >CDO97217 pep chromosome:AUK_PRJEB4211_v1:2:17371214:17372775:-1 gene:GSCOC_T00014485001 transcript:CDO97217 gene_biotype:protein_coding transcript_biotype:protein_coding MELHNSTQRNEAQSTKHIFILSGQSNMAGRGGVNRDHHSKHKHWDGVVPPECTPDPSNIFRLTAHLRWEAAREPLHHDIDTKKVCGVGPGMSFANAVKERAGIVGLVPCAVGGTAIKEWERGTHLYENMIKRVKAAVHHNGGGGEIKAMLWYQGESDTSSHKDAECYEAKMEALIKNVRADLNLPSLPIIQVAIASGDGKYVEKIREAQMGMKMDNVVCVDAKGLQLKEDHLHLTTQAQVQLGHMLADAYLSNFASSSSSSSSSSV >CDO97314 pep chromosome:AUK_PRJEB4211_v1:2:18084663:18087149:1 gene:GSCOC_T00014624001 transcript:CDO97314 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTFGISCEMIRDTGGLKATRNMSIEEIVAMFVYVLAHHKKSRTICGLFWRSRETVSRQFNLCLLTVLKLHTILLKKSEPITEDCTDERWKCFKNCLESIATNVLGVCCYYLVDAGYCNADGFLAPYRGQRYHLNEFNVMKKMENDEIVRGRGKNKCFWTGEEVKVLIESLQELACDPMWKSDGGFKNNYISDLLKIILCKQPTFTKQVSPHIESKVKWLKNRFHAIVEMCKESGCSWNDAEKKISCEKQWYDDWCKTHKHAKSLWNVKFPYLGDLEIVYGRDRATGNVAEDFAQAVQDMEDVQNLEEGDEGLDAMSNSDNDKVEEDEVNSMEQSTQPSSTSTRNSKKQKKQSPPIANVSKKMKSASTTRGDLDASLQLLTSKFRNFVKGIQANFTTMAAAMSNEDKREQLVSDRRNQVVAELMKLALPSGDVMNAADILSEQIFKLYVFYNLPAEMKRQYVINLLYPPSTR >CDO97496 pep chromosome:AUK_PRJEB4211_v1:2:19498697:19501878:1 gene:GSCOC_T00014855001 transcript:CDO97496 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEEIQPIVCDNGTGMVKAGFAGDDAPRAVFPSMIGRPKHTAVMVGMGQKDSYIGDEAQSKRGMLTLKYPIEHGIVSNWDDMEKIWHHTFYNELRIAPDEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTEYLRTILTERGYVFTTAAEQEIVRDVKEKLAYVAYDYEQELETAKSSSTLEKNYELPDGQVITIGSERFRCPEVLFQPALIGNEVAGIHETTYRSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKELIALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWITKSEYDESGPAVVHRKCF >CDO96760 pep chromosome:AUK_PRJEB4211_v1:2:12858803:12863704:1 gene:GSCOC_T00013882001 transcript:CDO96760 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFVEMGVGYDQHGQDITSAAMRACRDAISSNSIPAFRRGSIPGVSFNEMKLQIKLGVPRPLQNSLEIEKVKSVFPYGSILSVEVVDGGLICSSGVHVEEMGDKNDDCYIVNAAVYVGY >CDO97677 pep chromosome:AUK_PRJEB4211_v1:2:20940221:20942844:-1 gene:GSCOC_T00015084001 transcript:CDO97677 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAATMSQENNNKDEHEHDHDMVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTENFRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPQHETERLQKAEISLCRVYKRAGVEDHPSLPRSLPTRASSSRGTTSSSAKKSQEATNHASMERFQAFVGNPQQLDEKLSETSGSSCTDIGTSLGLSKHNTFMSLAPMTTTLSQLCSTTLAPDCTTIFAGSSFVPTVNTTLDDLHRLVNFQQASMSQHQQQYHNNPNHPSQFSSLQPQVQQSLALNMLPGPLQAAFTDRLWDWNSINEASKDFNNSPFK >CDO96800 pep chromosome:AUK_PRJEB4211_v1:2:13206047:13210266:1 gene:GSCOC_T00013935001 transcript:CDO96800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPVFKVPFNGYSVKFSPFYESQLAVATAQNFGILGNGRLHVLQLSPSPANPGQPIIELSSFDTADGVYDCCWSESHDSLIVAAVADGSVKLYDLSLPPTANPIRSLQEHTREVHSVDYNVVRKDSFLSSSWDDTVKLWTVDRPSSVRTFKEHAYCVYSTAWNPRHADVFASASGDCTARIWDVREPASTMILPAHEFEILSCDWNKYDDCVIATASVDKSIKVWDVRSFRVPVAVLNGHGYAVRKVKFSPHRGSVIASCSYDMTVCLWDYMVEDALIGRYDHHTEFTVGVDMSVHVEGLLASTGWDELVYVWQHGMDPRAP >CDO96919 pep chromosome:AUK_PRJEB4211_v1:2:15009788:15014421:1 gene:GSCOC_T00014105001 transcript:CDO96919 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQHYRILVLALLLLLASSAAGVGRKKKTVTYDSRSIIIDGSRELLFSGSIHYPRSPPEMWPELIQQAKEGGLNVIQTYVFWNLHEPVQGQFNFDGNNDIVKFIKMIGEQGLYVTLRVGPYIEAEWNAGGFPYWLREVPNITFRSYNEPFMHHMQRYTDTIIDLMKKNKLFAPQGGPIIMAQIENEYNNVQLAYRDLGKKYVDWAANMAVSLYDQVPWVMCKQKDAPPTVISTCNGRHCGETFRGSNGPNKPTLWTENWTAQYRTFGDPPAQRAAEDIAFAVALFFARNGTLNNYYMYHGGTNFGRTSSSFVTTRYYDEAPLDEYGLPREPKFGHLRDLHRALRLSKKALLWGTPSVQQIEQDLQVITYDNPNVQNVCAAFLINNRTTTPATISFRGVDYYLPAKSISILPDCKTVVYNTVTIASQHNSRNFEESSKVGVLDWEFFPESIPSMDQLSQESKAPLELYGLTKDTSDYAWYRTSIHFDKRDLPMKAGVLPVLQALSLGHALLAFVNGEYIGFQHGNNIEKSFVFQKPISLKPGVNEISLLGMTVGFPNSGAYMEKRFAGPRVVTLQGLMSGTLDITMNNWKHQVGLNGERLQYFTEEGSSKVGWKKLNAAGNLGGVNAPLTWYKTYFDAPEGNGPVAIQMDKMAKGMIWVNGRNIGRYWVSFLSPLGQPTQSEYHIPRAFLKQTKNLLVVLEETGGDPREIKLVTVNRDTICSFITEYHPPQVKSWVRTGDQMRAVVENITSGAHLNCPGNKVIKAIEFASFGDPYGVCGTFAIGECNAPNALQIAEQYCKGKKECTIPMDKGLFFGANDACPHIAKTLAVQARCGNPGNNSS >CDO97609 pep chromosome:AUK_PRJEB4211_v1:2:20341974:20344947:1 gene:GSCOC_T00014995001 transcript:CDO97609 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSSCYFHLSSMEDGQSDLLSLKVVVEKEKKRVVFLEADKYFLDVLSSFMTMPLAMIIKLTRGHSLKGEIGCLSSLYESVENLGEDHLQSTDHKDMLLHPRSAAEIYHSDLLKDNSIERTAADYYACSEGGCTFLSYYRSTHCRCGSAITLRLDFSDSASIPQERGGFVKPTVHFMISDDFQVMPMSTKTGLALLEKVSRLDGSRIEERNINIGRNEVLKLLMHSLVSRTPFTDTLLEAPMSKGIFSVCHGKYGPRRKSDIPETIAKKERIILKLIVSKSKNKAIYAEAKEDFVNLLCSFLTFPLGYVFSEFPSLSFKGCINNFYQTIKEFDSNQFMSEEMKEAIVYPKLAPGLPVPTKLIAIMEAVEPSYSTFHSLFNVNNSKVGPKSNFFGKAEGFIKGPSMFMVTDNLTVTPLSAISGLSLINKLRIPLIDIEEQQVDVGEDEALRLLVATLVSKYTLTDAFLHKEEKQES >CDP18672 pep chromosome:AUK_PRJEB4211_v1:2:30038713:30051520:-1 gene:GSCOC_T00010444001 transcript:CDP18672 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEDSIEGAAATRRQRLRALRAAQELLNTPDQDDLPHHHAAPAPPPGPPDRDADNDDEKEEEEEGQEEREDNVNMKFRNYLPHDKQLQEGRVAPPVLPKFEDPVAEAPPCQEKKEDPFLNIAPKKPNWDLWRDVQKKLDKLEKRTQKAMFQLMAEEEKRRRLSEEGADGED >CDP00146 pep chromosome:AUK_PRJEB4211_v1:2:5790291:5800580:-1 gene:GSCOC_T00029956001 transcript:CDP00146 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAGKYDQNSFSLAASQVPSQSVILPPCSSRSLSTVASGTKAPVILRRRRTPIGFVLLPAAKMSQGDNGSGKPDVSPYDEAMAALSSLITKRSRACKSNKGDRFDLLFEYLKVLELEEPVKQMKIIHVAGTKGKGSTCTFAEAILRNCGFHTGLFTSPHLIDVRERFRLDGVDICEERFLAYFWWCWDRLKACKHIFLPENTSEEVPMPTYFRFLALLAFKIFAAEQVDVAILEVGLGGKFDATNVIETPVVCGVASLGYDHMEILGNTLGQIAGEKAGIFKRGVPAFTVPQPDEAMCVLEDRASELDVPLQVVSPLDPDLLSGLSLGLEGEHQFVNAGLAIALCSTWLHRTGNVGINYLKDTTNLPEQFIKGLTTAALQGRAQIVPDRLVESESPGDLVFYFDGAHSPESMDVCAKWFSLAIRQGHGQQKPLHDESHQPAQSDFHIISRKNSMQILLFNCMSVRDPQLLLSRLMNACANQGVYFQKALFVPNTSVYYKVGTSSVPPADTQVNLSWQLSLQRIWENLMCDLKGRDVKNVDLSLEEGIDGDNSLICEHSSVFPSIPLAVNWLRECIRRKKSVRFQVLVTGSLHLVGDVLRLVK >CDP06822 pep chromosome:AUK_PRJEB4211_v1:2:37738531:37740239:-1 gene:GSCOC_T00023821001 transcript:CDP06822 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLGKILHSRGFSIIVAHTKFNSLNTLNHPEFVFLPLEDNVSAGLDTSIGNISAVITAINENCKVSLQDSLAQMMKDRENHGHVCCIIYDAVMHFSRSMANHLNISSMVLGTFSAFYMQTHHTILRLGAENSIPLQESKLLEPVPELHALRFKDLLIPVNTEIPQTVLELLKDISNVGSSIGIIWNTTEDLDHKSLSELRQLYKVPIFPIGPFHKIVPASSTSFLKEDTSCMAWLDKQAPNSVLYISLGSLACIEEKELEETAWGLAKSGQPFLWVVRPCSVNGSNWIEQLPNGFQDCIGERGQIVKWAPQKEVLGHPAVGGFLTHCGWNSTLESLCEAVPMICRPCFSDQMANARYITHVWKVGLELEEVNDREVIGKTVKRLMIENEGKQVRQRVLDMKQKLDTSMKKSGASYESLSDLTDFINSFPL >CDP15158 pep chromosome:AUK_PRJEB4211_v1:2:50102997:50106326:1 gene:GSCOC_T00042757001 transcript:CDP15158 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTANSFIFLPLFLLPPLFWLILNYIKSRNQSLPPGPKPWPIVGNLPQIGSKPHVALAQLAQDYGPLISLRLGSQLVVVGSTPAAATEILKTHDRILSGRHVPHVSYAKSPLMNYVSVGWTYECTDQWKFLRTLCKSEILGAKVIENQSHLREQKANELVQFLVSKEGQRIKIAEVVFVSVFNFLGQIFFSKDFLSYDEVENGGGMSELIREVMELWTAPNISDLYPVLGGLDLQRLSKKASVCHNKICSAWQEIIRERRGKKYQDSTRQKDFLDVLLQNDFSDDQINYLHLELFAAGSDTSTSTVEWAMAELLRNPKFLDMIRQELDQIEYSVENVIKESDLTRLPYLQACVKEILRLHPPAPLLLPRRATETCQVMNYTIPMGTEVLVNVWAIGRDPNIWEDPSSFNPERFLSCDLDFKGNDFEFLPFGAGRRMCPGLPMAARQVCLTLASLIYHFEWSLPDNMLPQHMDMSEKFGITLQKEQPLVIMLSRRK >CDO97007 pep chromosome:AUK_PRJEB4211_v1:2:15730517:15731684:1 gene:GSCOC_T00014217001 transcript:CDO97007 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHI-related sequence3 [Source:Projected from Arabidopsis thaliana (AT2G21400) TAIR;Acc:AT2G21400] MMRQDESGGAVGSSSSTTRCQDCGNQAKKDCVYLRCRTCCKTRGFQCQTHVKSTWVPVSKRRPRHPHQLISSTPQHHLSDPNPKRSREELIEGNFPAELNVPAVFRCVRVSSMDNVVDQFAYQTSVNIAGHVFKGVLYDQGPDNQYNIPGESSSGGGGGGGGSFQQPNLITQTTSTSTPSPHSTYPSPYSAFMPGAHFFPYPKS >CDP17772 pep chromosome:AUK_PRJEB4211_v1:2:51504363:51509979:-1 gene:GSCOC_T00003888001 transcript:CDP17772 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGIEVKPGKPVTHSFDKVRRRLRISQATLGIGSAITKSLVQCNVGNKSPVFLCALLPDKTESCHLDLEFEEADDVVFSVIGPRSVYLTGYYVSNDQHPALNSDTESYGEDIANTETEESGLRSDEDEYEDSFIDDSDPVLSPPSPDGVVKEMDDVKEMRERKGSKRLQGKKFVIIDSDDETTSQESEDDDNHLISASKNNMVAKDKVTVETIAEPADCGASGHVSKEKADPVTMPKEPKRKTLPCNASFPADEVKLDKEMEPKEIRCLSQEAETFEDNSVNPIDSPVHQVHANLAKVDDASGSLHASKKEDKRQAISFSSRAVSDFHSVYVNTTSELAPVNNKKSKRKRKEQADGDKIIEGSNSNHKMSLKEDKVMQAVAETDNKEQDLPMPNESNQEPTINGKIDLDGPSSLPHPEKDQPSKKRRKKGSIKERSDGSNDATSKKILVDDQLKENLLKDEKIVSDLPATTSEDKKQTADEGTNFDFAAEGNQLEKPKKKKKKAKTVDNKENMNVSGSLLSENESREPSKSCQVRTLSNGLVIEQLALGKQEGKIAAIGKKVKVYYTGMLKESGHVFDSNVGNSPYKFRLGDEAIIGGWNIGLEGMHVGDKRRLIIPPALGYGSKGAGENVPPNSWLVYDVELAGVR >CDP07765 pep chromosome:AUK_PRJEB4211_v1:2:25988516:25988866:1 gene:GSCOC_T00025137001 transcript:CDP07765 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGARCSSGNGEVCCCCWCRSLSSRNYDRFVSHIIRPSSGSKAPIWRQLWTKMKNEKKRTFYRSTSTRFAYDPHTYSQNFDQGLTWADPDDISRSFSARFAVPSRIFDDKDVLDV >CDO96937 pep chromosome:AUK_PRJEB4211_v1:2:15187775:15192290:1 gene:GSCOC_T00014128001 transcript:CDO96937 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGHQNDMFKQLENASAGLSSEPLNHSAEEQDGIPTSMAKGSQDFDDKPHEVILTHSVSAIEHQEIIPRQQDDLQPSLEEKAENTEFERSFASQDSVVEKSEKLGVRSAGLLAESLEGPKSQLPGTTVDDYEAMLDEPENKDEHKATNQAILQKSADFVAESSGGSQHDLVNPNASVSESVLKEPEKKHKDEEYVEMNQSILRNEGEDVRPAANASATARSSKASHPAGLGHSPLGTREQQVKPTADIPSSSLGSATSAPVPPRPAGLGRAASLLEPAPRVAQQPRVNGTVSPIQNQLVEEPTNGEADETDETREKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVAAFSFDRASAMAEQLEAAGQEPLDFSCTIMVLGKTGVGKSATINSIFDEIKFGTDAFQLGTKKVQDVVGTVQGIKVRVIDTPGLLPSWSDQRKNEKVLQSVKQYIKRTPPDIILYLDRLDMPSREFGDMPLLRTITEIFGPSIWFNAIVVLTHAASAPPEGPNGTTTSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANTLLKLQDSPPGMPFAPRTRSPPLPFLLSSLLQSRPPVKLPVEQFGDDNDSLEDDLDESSDSEDESEYDELPPFKALTKAQLAKLTRTQRKAYYDELEYRERLFMKKQLKEEKKRRKMMKKMQAAANDIPTEYRENVEEEIGGAASVPVPMPDLALPASFDSDNPTHRYRYLDSTNQWLVRPVLEPNGWDHDVGYEGINAERLFVVKEKIPISFSGQISKDKKDTSLQMEVASTIKHWEGKATSVGFDLQSVGKDIAYTLRSETRFSNWRKNKAVAGFSVTLLGDILTGGLKVEDKLIVNKQGQLVVSAGAIMGRGDVAYGGSLEATLRDKDHPLGRFLTTLGLSVMDWHGDLAIGCNLQSQIPVGRTSNLIGRVNVNNRGSGQVSIRVNSSEHLQIVLISFVPLVRKLLSYYQPVQYG >CDO97196 pep chromosome:AUK_PRJEB4211_v1:2:17216550:17222471:-1 gene:GSCOC_T00014462001 transcript:CDO97196 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTWLWRKRSSEKTIIANGKADCDVKGKEEILPNEKEIALEESVKILNEKLAFLTTESNAKEELLQNHAKMAEEAMAAQKKVEAEVLHLRNELDEALKQKLAANDRLGNLNAALKDCMQQLNVVREEQEQRVNDAIMRTSKEFGNAHKKLEEKFSEANKKLANFTAENSHLSEAIQVKESLIEDLRIRRSQVEAEFDALMARLDSVEKENAFLRYEFRVLEKDLDIRNEEIEFTRRFADASQKHYLESMNKVKKLETECQRLRVMVRKRLPAPALLANLKAEVELQGRRQIETRRRKTNPVSGGLVVRESTRENLDITSKRISFLVDRLQNAEQENKILRDLLAKKDNDLSHSVTSCTHIVPISAQGEAQLKEPHKVERSLELAVLNTVTLGISDMSLMDDFVEMEKQAIVAINALQTSDSSSTKPCDWLQAVLNMILEQFHVSERSIDELLEDIRTAVHRETYEVRSSAHSRQNELLPISGYITWKSQTASPLNFLKSLQKDLELNLQSARDKSEALTSDVEQSQQSIKGLETELETLSESKRMMEEQFENQKLINEELDTHLTVAKARLNDVSQKLSSLEVELEDKSHCCEELEATCLELQLQLESFTSKEILRDDINQEGKLLQTGWEIKAASAKLAECEATILNLGNQLNALTPPKEASSANKTLSIPSKNNKKLNQRLSLLDRMLSEESTKMNDLKPSQSKELIMSTAETQMQPPSSKNSKAGALVVVPTKKRGRAIGFVRRLLLGRIRGSSKKTPFSFAT >CDP15828 pep chromosome:AUK_PRJEB4211_v1:2:44266068:44267124:-1 gene:GSCOC_T00016698001 transcript:CDP15828 gene_biotype:protein_coding transcript_biotype:protein_coding MNILSKEICAPAGTLRVWGRLRNDEDEAIRRRRGLKRVDRELEKGNFKAALSLAKQLQGKPGGLRGFGAVKLVPKRISVVDELELNDADKMSLHSSVDSILRLVKCCRQFASEEKVGKFYCTHKKAARLTFFLDFALPCFLFALSCFRNCNLNRRMYGKLLFCVPRL >CDO97438 pep chromosome:AUK_PRJEB4211_v1:2:19048503:19050987:1 gene:GSCOC_T00014783001 transcript:CDO97438 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVTCKTCHRISSATKHTTKIRCHGCQGTILIGNSQVSVPLDMSKWPSHDQDNIMTERKGFRYFCKKISGISPRSSPSFSQFPTARLSDTPPRGKRALLCGVSYNQNKKLKLRGTTPDVMNMAKLLVQQFGFPTNAILVLGGIADTKQLVDFTSYETPTRMNIIRAFDWLVKDSQSGDSLVFYFSGHGVRQLDHDGDEIDGFDEAICPLDFETAGIIIDNEINKMIVEPLKQGVTLHAIIDACHSGTVLDLPRVYDHNRGRWKDNYPASGAYKGTSGGKAICFSACEDYQQASDTSAFSPEMAGAMTFTFIKAVVENKEITYHGILDFMHNAIENANKSQRGCGMLNRMFYPKMLQDPMLSSSESFNVNSSFNL >CDO97331 pep chromosome:AUK_PRJEB4211_v1:2:18188217:18190297:-1 gene:GSCOC_T00014647001 transcript:CDO97331 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRIAAILLKEAAELRRQAEKDGVEAYLRQPKVRGRPNSRFLTATVLGVQHANRAVEENEMWRARQKERELDDRLRGKMRDEGSGGWSSGGIRTEAGRSSKRQLDTDTDASSSCSLRKGDDEGLRDDEVEEFLHSRIKRGRGAVGSRMDETGPYLSSCPEDTGDKQLMSLDGYQREVAVKSKVLGPEKPSLLGHCESSDDDSFLEQKKTKKASPSKQHSKKHKLKHKDKENKKRERRREEKRHKHRK >CDP06785 pep chromosome:AUK_PRJEB4211_v1:2:35854046:35856489:1 gene:GSCOC_T00023753001 transcript:CDP06785 gene_biotype:protein_coding transcript_biotype:protein_coding MTNACITRFNSFHKATVAIPRRRKFGIVFLRSFCTCASACLSQSVPLFHHPSLFLTAHLKASDAPQRSDEWFALRKDKLTTSTFSTALGFWKGNRRYELWYEKVFSPDEQLVDASRRYAMDWGVLNEAAAIERYRSITGRDVSSLGFAIHSEERFEWIGASPDGLLGHFPGGGILEVKCPYNKGKPEKCLPWKRMPYYYMPQVQGQMEVMDREWVDLYCWTPNGSTVFRVCRDREYWELIHGILWEFWWGNVVPAREALSLGREEEAMSHKPTPTHRQTGLVISRSMKLAGEVKLLCREIAGHVEFFR >CDO97093 pep chromosome:AUK_PRJEB4211_v1:2:16461919:16468976:1 gene:GSCOC_T00014329001 transcript:CDO97093 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLRPPQSVTLTNYVALPCGLSTKRPLFLRGQVAKLGSSNIVRSPGGHGQTLKPIHVRIRPHASVAVKVSVKTAESAPSDVVLKDGNLIFVAGATGRVGSRTVRELLKSGSRVRAGVRSAQRAESLVQSVQQMKFDAAETGLTPPIERLEIVECDLEKKDQIGPALGNASIVICCIGASEKEIFDITGPYRIDYQATKNLIDAATIAQVDHFILLTSLGTNKVGFPAAILNLFWGVLIWKRKAEEALLASGLPYTIVRPGGMERPTDAFKETHNITVSQEDTLFGGLVSNLQVAELMAFMAKNRSLSYCKVVEVIAETTAPLTPMGELLAKIPSQRAEVFSPGKLDDAVGPGTAATEIATSGTPSTTVEKETVQPKAAEKTPLSPYTAYEDLKPPSSPSPSPSGPKEVYASNDILSELTGGNDVGTINIGEENYPQKLASRHSPYLVYPDLKPPSSPSPNAPVSSTSNEMLPSIADKLGN >CDO96923 pep chromosome:AUK_PRJEB4211_v1:2:15059032:15061147:1 gene:GSCOC_T00014109001 transcript:CDO96923 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHGLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPTTHRPISGGEQPSAAAAAASAPPAAAEVRTISFSSGGGVGATDNTNNNEVVQGKMMLLSCGSTSNRNMSGATGPRRTASGSSAVSLCFTCSLGIQNSKDCTCHNNSAAIGTSNLNIGGAYDFLGLKGSSVLDYRSLEMK >CDP15493 pep chromosome:AUK_PRJEB4211_v1:2:45408780:45411682:1 gene:GSCOC_T00015338001 transcript:CDP15493 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVKNKAFWLLLLFVSEFLAGVTLAAEDSQKQNLGTVIGIDLGTTYSCVGVYRNGNVEIIANDQGNRITPSWVAFTDTERLIGEAAKNQAALNPESTVFDVKRFIGRKFNDPEVQRDMKLLPYKVVNKVGKPYIDVKMKNGEMKLLSPEEVSAMVLQRMKQTAESYLGKEVKNAVVTVPAYFNDAQRQATKDAGTIAGLNVVLSTNGNTHLGGEDFDQRVMDHFLRKECERAKRALTTFEELNMDLFKKTMAPVKQALKDAGLEKSAIDEIVLVGGSTRIPKVQQLLKEFFDGKEPSRGINPDEAVAHGAAVQGAILGGHGGEETKDVLVIDVTPLSLGLETVGGVMTKLIPRNSGIPIKKSQVFTTYQDQQTTVSIKVYQGERSLTKDCHELGKFDLSGIPPAPRGVPQIEVTFGVDANGILQVTAMDKAAKKSNSITITNEKGRLTPEEIDRMVKEAEDMKSSIRDDEKVAGKIDSDDKESIETALKDALEWLDDNQNAEKLDYDEKMAELEAAFNPIIRKAYESSAGSSADPEDESNYEL >CDO97429 pep chromosome:AUK_PRJEB4211_v1:2:18999607:19000064:-1 gene:GSCOC_T00014771001 transcript:CDO97429 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPIIIAMRLRVTTQNYLSLSTQPSSVVIVAPDVLEARCLDDWSNANISELVRMVFDDMAYLDPCILLPPVRDATLTPIYNVISQSKSVSDSVL >CDO96963 pep chromosome:AUK_PRJEB4211_v1:2:15367575:15372397:-1 gene:GSCOC_T00014161001 transcript:CDO96963 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGNEQVFSNFVDHKFQELDKDCDGKLSVKELQPAVADIGAALGLPARGSSPDSDHIYSEVLNEFTHGKQEKVSKKEFKEVLSDILLGMAAGLKRDPIVILRIDGEDLLEFLKSPSFESEVLSIFSEIELPNASVQDYIIKALEKLTVEQGVPPASDSWVRSNIIEPALQSCGGVPDHPVSQDTFLGELKNVAETVAKLLKEQPVIVAHTENTFDGSGIRRLLSNKFELDKALDTSLQSIPRDRSGKLSKQYLRVALDILAPSSGLPPVGGVDEIDKIINEAFKMLDADDGKLVKEDEFKKLLTEILGSIMLQLEGNPISVSTNSVVHEPFASSSTLLDAPPS >CDO96971 pep chromosome:AUK_PRJEB4211_v1:2:15417714:15417998:1 gene:GSCOC_T00014170001 transcript:CDO96971 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQAPRIFHAKQILNRILENPQGTDRPKGHCAVHVGETSWKIYVKPVSYLNHPSFCHLLHQVGEEFGFCHPMGALTIPCNEEIFINLCNLCSL >CDO97458 pep chromosome:AUK_PRJEB4211_v1:2:19199151:19210482:1 gene:GSCOC_T00014806001 transcript:CDO97458 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSMYEFGDIVWDEFCQSEDHIVPHPVGEAASEQLFVGDSCKKPRHQFSVLGNSKEQSAKDIVCQEKDLGNTSVLNNRRKIMLEKNSWSDTPDGIFPSSCNSNSVKEMPSLASDSARASSHCFKSINTDLLGNELCTTDSMLIDESAAVDSNSYNYPLDPLSQTDDDLSFFDSDQNGKDSSDFLYYSWPDIGNFEDVDRMFRSCDSTFGLGASNEDELGWFSSSDAIGGSGDALKSEFKASCPASGAMAKDSENHEPVGLNDTCCSLNDTSFEVASVSCKNNSWSSDKDESNTLGDMSGTCSYDSKDNYRPRKQAVELNNEVQQRISATNISTTGSTGMSNVHKKQQKNQSRYDSKRKDPYGGSFYYNGSLPTEDKEAPFEATSDQSLPSGGIQQQKQASGPESFGYLQNDIPYMQDCSHISDHTSIFPTLSAVKSDTNGFRNISPKESSYSSNQVLSSDSSHSPAFQLATGVHSEKREIAHHQQPLQSSFSSKAESMEMGVQVPLCDPVSVEKKVLYLGKNFESHTDGEGVPGEFGSSTMQESSSASSGFDEISLEAASFRQLQLVMEQLDLRTKLCIRDSLYRLAQSAEQRHNQANLKGSYSGDNRDARGVPLAEGTNKCTGFMDMETGTNPIDRSIAHLLFHRPSESPIITAQDSLSFKSPTLMEPDPIEVTKNRFMVLSVVHL >CDP15516 pep chromosome:AUK_PRJEB4211_v1:2:45867404:45873563:-1 gene:GSCOC_T00015374001 transcript:CDP15516 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCSNLSCYFPSAPKPKLPPSTTLYPSFLCPSNTFGCNGVSLHKAVSNSRMHAKFEKFEGQENPAAADDGTSHSSSLDTQEHFIQEEEEKEEDDSCLPSDLEGAVRQSGQASATFVSSGGMRAIVEFLIPQLQFLDDEGAQAELWELSRLFLDTLIEETGCQKVKAIFPDAGATALLKYRWKDAAFGFSSLGDRKPVTRDDEIVVMVVPDYQMLEYVERIASELSDDPPRPLLMWNPRLVSEDVGVGINVRRLRRNFLSTFTVVYSMRPFPLGAVFRCYPGLWKVFYDDKDRPNRYLLAKELISRPDAEELEIIFGGVEEKPEKGTSLFDQAAGIFSSLNRFMKVISR >CDP08605 pep chromosome:AUK_PRJEB4211_v1:2:39462303:39474839:-1 gene:GSCOC_T00027611001 transcript:CDP08605 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMRNLWASSSFALTEFKPQSRAQIRCSAAQESSILSTDTVRLNGVSSAKEQEITNPMMDRGNGHLPAGASTREKKKKGEEEVMQEKLKPLWDDGYGTRSVKDYMDSAKDVIKPDGGPPRWFSPIECGPPLKNSPVLLFLPGMDGVGLGLMLHHKALGRSMKMSMFLLHYLLLMDDHSCRVFEVWCLHIPVKDRTPFEDMVNFVEETVRNQHASSPNKPIYLVGDSFGGCLALAVAARNPVIDLVLVLANPATSFNRSQLQPLLPFLEAIPIELHFTVPYLLSFVMGEPVKMAMATVDATLPPQIALEHLAGNLTALLPRLSVLADIIPKDTLLWKLKLLRSAASYANSRLHAVTAEVLVLASGQDNMLPSGDEAQRLERSLGNCKVKYFKDNSHAILLEDGVNLLTIIKGAFKYRQSRKHDVVMDFLPPSDSEFKQTLESHKIYRYLIGSVMFSTLEDGKIVRGLSGVPSEGPVILVGYHMLLGLELVSLVEEFLRQRKILVRGIAHPMLFSQLVETDIKEFTFFDTFKIYGATPVSATNLFKLFKTKSHVLLYPGGAREALHRKGETYKLFWPDQPEFVRMAAKFGATIVPFGVIGEDDMAELVFDYNDLMKIPVLNDYIRKKNEDWNFRARAHATGEVANQELYLPGFLPKVPGRLYYLFGKPIQTKGRQELLKDREKARELYLQIKSEVENSMAYLLGKREEDPYRTILDRTAYRAFFAPIDQVPTFDP >CDP14054 pep chromosome:AUK_PRJEB4211_v1:2:5418066:5423315:1 gene:GSCOC_T00039237001 transcript:CDP14054 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKSQQISARPIEKVVVHPLVLLSIVDHYNRVARDTKKRVVGVLLGTSFRGTVDVTNSYAVPFEEEDKDPSISFLDHNYHESMFSMFRRINAKEHVVGWYSTGPKLRENDLNIHGIFNAYVPTPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSGKLTALKGLDARLQEIRSYLDNVIDEKLPLNHEILYHLQDVFNLLPNLNVAELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPVAVPTAAGS >CDO96734 pep chromosome:AUK_PRJEB4211_v1:2:12471324:12486465:1 gene:GSCOC_T00013846001 transcript:CDO96734 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFWKPGTERPRLIDDEEGGVLFYSTSPLSSSSSGYGFASVEKQRQRLPVYKYRTAILYLVETHATAIIVGETGSGKTTQIPQFLKEAGWAEGGRIIACTQPRRLAVQSVASRVAEEMGVKLGEEVGYTIRFEDVTNTDLTRIKFLTDGVLLREMMDDPLLSKYSVIMVDEAHERSLSTDILLGLLKKIQRRRPELRLIISSATIEAKKISSFFSPSKRRQALLTEDHGPNAEPAILSVEGRGFNVEIFYVEDPVPDYLQAAVSTVLSIHDQEAMGDILVFLTGQDDIDAAVQLLSEESRNGQNQAGLIILPLYSGLPRAEQDLVFSPTPRGKRKVVVSTNIAETSLTLEGIVYVVDSGFSKQRFYNPITDIESLIVAPISKASARQRAGRAGRVRPGKCYRLYTEEYFLKEMSAEGIPEIQRSNLVSSVIQLKALGIDNILGFDWLASPSSEAMIRALEVLYSLGVLDSDAKLTSPDGFQVAEIPLDPMISKTILASNQYGCSEEVITIAALLSMQSIWISARGTEKELDEVKLRFAAAEGDHVTFLNVYKGFIQSNKSSKWCHKNFINYNAMKKALEVREQLRRIAQRLGIVLKSCEGDIQASQFAILD >CDP15826 pep chromosome:AUK_PRJEB4211_v1:2:44259979:44260818:1 gene:GSCOC_T00016696001 transcript:CDP15826 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEGSTKGGRGKPKASKSVSRSQKAGLQFPVGRIARFLKAGKYADRVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVMPNIHQNLLPKKVGKGGKGDIGSASQEF >CDO99601 pep chromosome:AUK_PRJEB4211_v1:2:10927419:10930889:1 gene:GSCOC_T00029241001 transcript:CDO99601 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNTLVEAMDVEKKTIHHQKEEMIEREDLEELQKAAEVHRRLQPWKKQITVRGVIVSVFIGSIYSIIIMKLALTAGINPHLNVSAALLAFIIVRTWTKLVRKVGLVSVPFTPQENTMIQTCAVACYSIALGGGFGSYMLALSKKTYEQTGVTTEGNPPGSYKEPGVGWMTGYLFAVCFIGLFVLIPLRKILIIDYKLTFPSGTATAILINGFHSMDDKMAKKQVRGFTKMFSLSFLWGFFQWFYSGQGLGECGFSKFPTFGLQAQRQTFYFDFSFTYVGTGMICPHVVNLSMLLGSVLSWGIMWPLIKKQKGHWFPEEIPEVSMRSLNGYKVFIPIALLLGDGLYNFIKITCITVSSMYAMFKGRKISSEESNNNDAIDNRAQDEVFIRENIPMWIAACGYISLGVVSAITIPLIFPALKWYYVVVAYICAPALAFCNAYGAGLTDLNMGYNYGKVGLFLIAALVGKEHGVVAGLAGAGLMKSVISVSCVLMQDFKTGHLTSTSPRAMFLSQAIGTATGCVVAPLIFFLFYKAFDVGNPNSEFKAPYGIIYRNMAILGVEGFSALPRYCLDLCYGFFALAVGINIVKDLSPARIGKWMPVPMAVALPFLVGAYVAIDMCIGSLVVFVWHKLNSKKAELMVPAVASGLICGEGLWVLPASILALAKVKPPICMKFLAS >CDO99602 pep chromosome:AUK_PRJEB4211_v1:2:10908576:10920376:1 gene:GSCOC_T00029242001 transcript:CDO99602 gene_biotype:protein_coding transcript_biotype:protein_coding MLMADDRSNLWSSEDYSLEADDLRDDLTIDIGTILDILNENPDSSEGKLEDLSFNDASEGLPNNEGALLPRDHLSGPTSFSPSSDIRNGISDASSDYTEKSGVSDGSKRDSRLQLGSPVHSSSGSLTDWIPFQGHGHGNFCLDKDIVSRNASSNSLQDCRETHQELRNCSETFSFPAGYFSNHVADNREVSNVDQRNHELELQLACSEGVDCDDDSYETPSNKKNMLEVSVASSMDEFSGSSMYNGRLAGYGDQYSNAPVFVNLVDPSFSAFSNEFSPCDFGFNLLPNNDNKMIGMMKEKVEHLTQDTSSNCVMSNQVQKLDDADWEVLAPGDLAGKGLSAASVGVNYASLGDGGSFSRLSNEFFMKQENDAKLNRLIASEVIAFQHGIDITSMKSSADTFGVSFGQGRKPSESGYWMSNSSENHEFSIEDERNLIPESKRPRLGLDEYDGTASGNPSSVFHDRYLDLLNSEPSGVPVSSTIKHQFLHANVTKESWPSLSGQEVRSDSSVQTCSTDDDEDVCILEDISGPARPYFSAFNGKSFFVPKSTVICNSFNSVGLGHKKANGERFVFRAALEDLCQPKSEDAPPEGLLAVPLLKHQRIALSWMVKKENDAVRCSGGFLADDQGLGKTVSTIALILKERSPSSTKSNGKESEAETLNLDDDDDDDDDDPGSDGGKPNEGVESCQVSGSFCGQKMAAVHAKRRPAAGTLIVCPTSVLRQWSEELQNKVSSKANVSVLVYHGSNRTRDPYELANYDVVLTTYSIVSMEVPKQPLVDEEEDETKSLTELSSSKKRKYPPSASKKSSKSKDNLNGESLCNRPLAAVGWFRVVLDEAQCIKNHRTQVARACWGLRAKRRWCLSGTPMQNAIDDLYSYFRFLKYDPYWIYKEFCTGIKAPINDNPSNGYKKLQAVLRTIMLRRTKGTILDGEPIINLPPKTIKLCKVDFTQQERDFYCRLEADSRAQFAEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVKGFASGSNSISSLDMAKKLPHEKQIHLLNCFETSLAICGLCSDPPEDAVITSCGHVFCNQCICEHLSGDDTLCPTAKCKAHLNRSSVFSVATLRCSLSDRTTLESNSNCSFDCAYDSSKIKAAIEVLQSVTKRQNFSQVSSSSSVEEGISSVENTSSVHSGGLHGKTCSKEEIKGMAESSCGSVTVVGEKAIVFSQWTRMLDLLEGCLKDCSIQYRRLDGTMSVVARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVFRLTVKDTVEDRILALQQKKREMVANAFGEDETGGQATRLTLQDLEYLFRAKG >CDO99964 pep chromosome:AUK_PRJEB4211_v1:2:7414671:7419089:-1 gene:GSCOC_T00029699001 transcript:CDO99964 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPMMAAYYPSNVTTDHIQQFLDENKSLILKIVESQNSGKLSECAENQAKLQRNLMYLAAIADSQPQPPAMHSQQFPPVGIVQPGAHYLQHQQAQQMTPQSLMAARSSMLYGQQPFSALQQQQALHSQLGMSPGGSSGIHILQGEAHGAGSSGVLGGGGFPDFGRGTAGEALQVAARGMGSGSKHDLGGAMSAEGRGGSSGGHNGDAGETLYLKSADDGN >CDO96718 pep chromosome:AUK_PRJEB4211_v1:2:12361221:12363308:-1 gene:GSCOC_T00013826001 transcript:CDO96718 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFKSKPCNSQHQWQHEINSSLISNGCQRAPSCASSGSEERSPEPKPRWNPRPEQIRILEAIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKQRHFQSTTKSQPPQIPHITSTPPATKTTSLSSSSSSSEKSSQNSADKTLSLGPKNLFDTSSSPTASVNQHFFQSHSAEFLPAPFFFPVQQPPSAAESTPPAAFSQGFCFSDAPSLSHLADNTIQNSSSILLSDLLLMNHLPTKKVQDEKIKLQQQLNYTALTTPPITTSQSIFSPTRVAAVSSIDHVHGVGETGSADPTKSTVFINDVAFEVTSAFFNVREAFGDDAVLVHSSGQPVMTNEWGVTLQPLQHGAFYYLIRTSTSSSHDTTIDLVLNLRVVCFHFRSLRNFY >CDP17970 pep chromosome:AUK_PRJEB4211_v1:2:43911898:43916587:-1 gene:GSCOC_T00001217001 transcript:CDP17970 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPRLQLIRNLYRAKNQIPEFSRLLGSSGRYSNVISHDSKSWGNSSCLDGRYLNHQSCNKWTVPLAICRTMSSCVTKDPRGLPWTPGTKIILQATTVAELSNFGYARFVTTQAKAPAQARLMGALQVSMQSPGIVYEPYAPREKLPFWRRWFTRSGWRRTKDDLILELKTAYAIAKLRKSGYSKQKFYNEAFDLYKEISTKVANGDKTSLRKLVTEKMYSALKNEIKQRESRWSKMYWELVEPAVQIRTLRARLASIYEH >CDP09391 pep chromosome:AUK_PRJEB4211_v1:2:22903180:22904303:-1 gene:GSCOC_T00028738001 transcript:CDP09391 gene_biotype:protein_coding transcript_biotype:protein_coding MLWILVVWRMVTRSPAGSVSALLLLYLRRNLSMKLPTCLTRLKCSSAFFVNWSLSKQDIISAY >CDP19641 pep chromosome:AUK_PRJEB4211_v1:2:5633022:5633495:-1 gene:GSCOC_T00009477001 transcript:CDP19641 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSIFGGRRSNVFDPFSLDIWDSFPFSDASLANVPNTARETSAFASSRIDWKETPEAHVFKADLPGLKKEEVKVEVEDGRVLQISGERSREQEEKNDKWHRIERSSGKFLRRFRLPENAKLDQVKAGMENGVLTITVPKEQVKKPGVKAIEISG >CDO99732 pep chromosome:AUK_PRJEB4211_v1:2:9398831:9404642:1 gene:GSCOC_T00029410001 transcript:CDO99732 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGKPLPSTTLPRLPIMTFGEPWPDLNDGLTYRDLIPPPHAGPYQPSQSFTYMRLIMFLALLTIFRSLFFIFWLPNNLTGLTVIEYYSKKYKNSAPVQGWLQRIKNKQIKVDGAVITDPNTILRAGSELVYHRLPWKEPHAPYLLEVLFEDNDLIALNKPSGLQVLPGGLFQQRTVLSQLEWRTNKGTSSCKQPHAVPVHRLGRGTSGVLLCAKTKLAKSCLAAYFADGTSAVGNESCNNARFNSTRKISKFYRALVSGIIHQDQVVIDQPIGLVKYPGVAKGLYVASASGKPALSKVSILERNVKNSSTLVQVEIQSGRPHQIRIHLSFIGHPLLGDPLYVAGGQPSCFDPEFIDEGFAQDGGYQRPENPVPGDCGYHLHAHQLFLAHPTTHEPIKIVAPLPSILATEEECRG >CDO96769 pep chromosome:AUK_PRJEB4211_v1:2:12915141:12916013:-1 gene:GSCOC_T00013893001 transcript:CDO96769 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDAHCHLQDPRIHKISPQIIKTALDAGVVHIAVNGVSEKDWQSVKEMSGSNLCIIPNFGLHPWFIPDRTPNWLNNLKEFLESSPEAAVGEIGLDKAPWASHVDYADQLEVFSQQLQLAKELNRPASIHCVEAFDDMIEILKSLGPFPAGFLLHSYMGSAELVPELANLGAYFSISGHLMPVEESKAKKILQAIPLERILLETDAPDALPKSLNSGCLPIVAKEASVLQDEGGDRSELAIDTLNHPANIHHVLNYVAFLLEMDREELAQISYENARNIFSYEGSKISA >CDP16464 pep chromosome:AUK_PRJEB4211_v1:2:30999424:31000919:-1 gene:GSCOC_T00018392001 transcript:CDP16464 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTNLSLLTLNQASYGNVLNPELIQTIRECLDVVKSEATKESVLITTGEGEVFCKGFDDRYAREQAKGSNESYKRHYEMMIDDFKHVVSDLISLPMPTIAAINGYTQEAGVMLGLSHDYLTMKNGTRCLQLSLSAKQMALPAYFAALIRSKVAYPLAYRNFVLCDSHYDTKAAAKIHILETKPEPGDNALEVAEEKANHLAGEQQKLDKWNGEVYAELRKALYPELCKELGLTCSTILPDLNS >CDP04917 pep chromosome:AUK_PRJEB4211_v1:2:128176:132898:1 gene:GSCOC_T00019786001 transcript:CDP04917 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCLPIDISRLLFYSRVHADKVKYNELHFHMDCCVIPYISLLFIGMLIVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFVSSILLIRKSLATEYRTIITDILGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQADKHPID >CDP07702 pep chromosome:AUK_PRJEB4211_v1:2:24950737:24957197:1 gene:GSCOC_T00025044001 transcript:CDP07702 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDQAYGIHLATNIQKRHFQLIHLTPNKISDHTAGDVADDFYHRYKVSNSEIICNGFRLSISWPRVIPTGKLRRGVNKAGIAFYNSVINHLIPNTGITPFVTIFHWDRPQPLEDEYGGFLSPRLIFWISQSFVSMNFGDRVKHWATFNEPWTFSQGKLTLLSQKGHIGTVLVPHWFVPCSNSKADIETAQRAPDFMYGWFLDPLVFGDYPKSMRGLVGKRLPKFTPEQSKLVKGFLDFLGLNYYTANTHYNELKLEPLYFKFSPFTAMRNGKPIGEPEGVPIFFVYPKGLREILVYTKNRYNNPPVYVTENGMGEANITTVKQGVKDYQRVRFYRNHLKAVKEAIG >CDO99635 pep chromosome:AUK_PRJEB4211_v1:2:10477791:10478289:1 gene:GSCOC_T00029286001 transcript:CDO99635 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSHSSVMFLSSSDKYLFILPLYFGFQYGSLVKLFLVVQLERNLMFGDTKLSLLVYLHGGGFLIKYAFSPTYHVYLNVVVAEVGVVAVSINYRLAPKHLLPIAYDDS >CDP07781 pep chromosome:AUK_PRJEB4211_v1:2:26350883:26354010:-1 gene:GSCOC_T00025161001 transcript:CDP07781 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAVRSFTDARGCACLCLDSMVSGSLSVKTNVDLVLSKNLSGRRNMKKLKSVDLGSSFLDTRSMKRLSCGTRGSSKKKKKLRHLSIVDEFGGQYEEGFDDVKDEIINYLTYKAVRTVLNQLYEMNPPKYTWFHNFVADNVPNTGKGFLQKLAKERQDLAERVMITRLHLYGKWIKACNHGEIYNKISDQNLELMRERLMETIIWPSDETNTEKRD >CDP17222 pep chromosome:AUK_PRJEB4211_v1:2:47935130:47938506:-1 gene:GSCOC_T00000703001 transcript:CDP17222 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLSTMFNGLARSLSIKQRRSYFGSCRGREAMEAMTEEAKKEGLILRTSGTVNVDVSKNFAAVFSKRGEKGVNQDCCIVWEEFGGQKDMVFCGVFDGHGQWGHFVAKSVRESMPSSLLCNWQETLAEASLDPSFDLASEKKLNTFNKWKQSYIKTCAAVDQQLKRQRKFDTFNSGTTALTIVRQGDLIYVANVGDSRAVLATTSDDGNLVPVQLTIDFKPNLPEEAERISKCRGRVICLEDEPGVHRLWLPDEESPGLAMSRAFGDYCVKDFGLISVPEVTQRHISGNDQFVVLATDGVWDVVSNQEAVQIVASTPDRAKSAKRLVECAAHAWRRKRRGIAMDDISAIVLYFHNSDLCQQIYPVYSSK >CDO99544 pep chromosome:AUK_PRJEB4211_v1:2:11460831:11461791:1 gene:GSCOC_T00029171001 transcript:CDO99544 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAKRVPIFLLRLLALGASVTAAVVMVSARDSAQVFNMTFEANYTNSPTFKYFVIINAVAGGYTLIVLFCPSKVSLFRFLLVSDLIVTLLLDSSVSANIAIGQVGKHGNSHAGWLPICNQVPKFCNHVAGALIANFVASLAYLVILLYSLHIVLNLPKP >CDP17192 pep chromosome:AUK_PRJEB4211_v1:2:47603752:47606736:1 gene:GSCOC_T00000658001 transcript:CDP17192 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADSKTATKSSFESIREWVVEHKLRTVGCLWLSGIGGSIAYNWSQPAMKTSVKIIHARLHAQALTLAALAGAAVVEYYDHKTGKTQDRYPKYLPLDTYSQKD >CDO97687 pep chromosome:AUK_PRJEB4211_v1:2:21048619:21051086:-1 gene:GSCOC_T00015096001 transcript:CDO97687 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKEIEKGRVCVTGGSGFFASWLIKRLLEGGYSVNTTIRSSSERKRNIDYLTDLPGASGRLQIFSADLDKPDSFNAAIEGCVGVFHVAHPIDIESKETEESKIKKSVSGTIGILQACLNSKTVKRVVYTSSASTVTFNDKGLDVMDESIWSDVDHVRRNFGDHGMSTYAITKILTEKAALEFAEKHGLDLVSVIPTWIHGPFVCPFLPGSVRMSMVMIFGYQDEYKHLLSTPFVHTDDLARAHIFLFEYPDAKGRYICSAVEITIDKLAECLSARYPEYPIPSADSLRDMTGFKYGGVSSKKLLDTGFEYKYGIEGMFDAAIQCCKQKGFL >CDP05360 pep chromosome:AUK_PRJEB4211_v1:2:3606454:3608201:1 gene:GSCOC_T00020371001 transcript:CDP05360 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRVLFYVWEGGVTSMESFLKKFFPDVYAKMEETTEVSNYCRFDSQLLTAFTSSLYVAGLVASFFASSFTRNFGRKPSILVGGASFFIGAVLGAAAFNVYILVLGRVLLGVGVGFANQSIPLYLSEIAPPKYRGAFSNGFQLSVSLGILVANLINFGTEKIKGGWGWRVSLGMAAIPALIMTLGEVFLPETPNSIIQRSGDYEKAKQLLQKLRGADDVEAELDDLVKASDFSRSIKHPFKKIMQREYRPQLVMSIAIPFFQQVTGINVIAFYAPILFRIIGLKESSSLLSAVVTGAVGMLTTMVSVLVVDKLGRRVMFAVGGILMFVSQIVIGTIMAIKLGDYGEINRGYAFVLLLMICLYVAAYGLSWGPLGWLVPSEIFPLEIRSAGQSVTVAVNFLFTFVIAQTFLAMLCHFKAGIFFFFGGWVAVMTLFVYALLPETKGLPLEQMDRVWRSHWFWRRMVIKDHQKGANEVENSLLRQSW >CDO99870 pep chromosome:AUK_PRJEB4211_v1:2:8173638:8179352:1 gene:GSCOC_T00029570001 transcript:CDO99870 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGTNLVMTVIGFAVSTMFIVFVCTRLICARIQLNASRRSFARAHRSDLSILERGLHGLEPVIFANFPTKKYGDNFFSSGENNHSKSCAPNELTYILSVFFFPRVNLVLCSGCGVCAVCLADYHKEDTLRILPSCGHFFHAACIDIWLHQHSTCPVCRVSLRELTERKRFMQPLFSSAIRAQHTIQPANLNTHHSSNSHRLSSTPRDGQRMQPSQDGCRHSERAGVEDRQSNPILNDDRQITKKSGNKEMESPSNA >CDO99914 pep chromosome:AUK_PRJEB4211_v1:2:7826216:7827575:-1 gene:GSCOC_T00029628001 transcript:CDO99914 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNIPSSCLPRASPSVQSRASSNQGLCSLVFLNNNEVSRALGMKPSSTFRNTSTAAYKAKLITPSGEENEVEVGDDEYILDAGEGAGMELPYSCRAGSCATCVGQIVSGSVDQSEGSFLDDTQIEKGYVLTCIARPTSDCVIYTHKEEDLHR >CDP08721 pep chromosome:AUK_PRJEB4211_v1:2:53550296:53554691:-1 gene:GSCOC_T00027789001 transcript:CDP08721 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNPEGLRLDGRRPLEMRQLRAEIGVVARADGSAVFEMGNTKVIAAIYGPREVQNKSQQINDQAVVRCEYSMANFSTGDRMRKPKHDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDLVTSCAAGYLNTTPLLDLNYVEDSAGGPDVTVGILPKLDKVTLLQMDAKLPLDTFETVMQLAIEGCKAVANYIREILLENTKQLEFRRGV >CDO99583 pep chromosome:AUK_PRJEB4211_v1:2:11200206:11202372:1 gene:GSCOC_T00029218001 transcript:CDO99583 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEHKYVEVNGLKLHVAEIGNGSKTVLFCHGFPEIWYSWRHQMVAVASAGFRALAPDYRGYGFSDPPPVPEKANYLDFVSDLHALIQCLGIPKVFLVAKDFGVRVAQVFALLHPEKVEGVVTLGAPFTPLGPPLFLQYLPGGFYISRWQEPGRAEADFGRFDPKTVVRRIYILFSRSELPIAGENQEIMDLVDSSMPLPSWFTEKDLEMYGSLYDKSGFRTALKVPYRSLSEEFNISEMKVDVPALLIMGEKDYVINFPGMNDYIRTEQAKECVPQLETKYIPEGSHFVQEQFPDQANDLILNFLKQHS >CDO99617 pep chromosome:AUK_PRJEB4211_v1:2:10711522:10716256:-1 gene:GSCOC_T00029263001 transcript:CDO99617 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVITSPGGPEVLQLKEVEDPQIKDDEVLIKVAATALNRADTLQRQGKHPPPQGDSEYPGLECSGTIEAVGKDVTRWKIGDQVCALVSGGGYAEKVAVPAGQVLPVPSGVSLQDAASFPEVACTVWSTIFMMSRLSAGETLLVHGGSSGIGTFAIQIAKYYGVTKVFVTAGSDEKLAACKDLGADVCINYKTQDFVARVKEETGGKGIDVILDNLGGSYFQRNLDSLNLGGRLFIIGFMGGTVTEVNLSGMLARRLTVQAAGLRNRSKENKALIISEVEKNVWPAIAAGKVKPVVYKYLPLGQASEGHKLMEGSKHIGKILLVV >CDO97507 pep chromosome:AUK_PRJEB4211_v1:2:19588435:19596327:-1 gene:GSCOC_T00014872001 transcript:CDO97507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase MAGATAMA 3 [Source:Projected from Arabidopsis thaliana (AT4G15570) UniProtKB/Swiss-Prot;Acc:B6SFA4] MALDKNKLEEEACILRFYKIVLSWDYIRILKESQVKDKNNRGDGSSQGLQKVKDTYKDIEEYLATFEPLLFEEVKAQIVQGKDDEEATEWMQGIIAECSEVNGFYMPMVICVDAQSISQNDLLLLSNKKFEDVKGFPTAYAFALVEHRQHDKMRLRLNTSGEVKGLNTNDVHSCSRLLNMQALVTEVQRYVFIMKICSLSTIVREYVALRSIRSLPFKDLILTAAESNYAAEDRAWNISRPLKQFIESNHNLSQIEAINAGLSRKKFILIQGPPGTGKTQTILGLLSAILHATPARVHSNKGKLSRVKRGPELALQDKYTHWEKASPWLVGINPRDELMPIDGDDGFFPTTGNDLKPEVVNSSRKYRVRVLVCAPSNSALDEIVLRLLNTGIRDENDHAYSPKIVRIGLKPHHSVQAVSMDYLVEQKLAGVDFQSGDKQKQGGATKDKDGIRASILDEAVIVFSTLSFSGSALFSKLNRGFDVVIIDEAAQAVEPATLVPLSNGCKQVFLVGDPVQLPATVISPIAEKFGYGMSLFKRFQKAGYPVQMLKTQYRMHPEIRTFPSKEFYDEALEDGPDVMDQTKRSWHKFRCFGPFSFFDIHEGKESQPSGSGSWVNVDEVEFVLAMYCKLVTGYPELKSSSRLAIISPYRYQVKLFRDKFRETFGVGSEKLVDINTVDGFQGREKDVAIFSCVRASKDRGIGFVADFRRMNVGITRARSSVLVVGSATTLKRDKHWQNLVASAETRNSLFKVSKPYTEFFSDENLKSLEAKESMPERDEVPLEDMEISVPIHGAADDAEQGQAGDQDWGDAGEGEGFDGGFDED >CDO97050 pep chromosome:AUK_PRJEB4211_v1:2:16153300:16156100:1 gene:GSCOC_T00014273001 transcript:CDO97050 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKDLSSYLRRETGDDHGQLASLDWITRLKIAIGAAEGLCYLHHDCVPPIVHRDFQASSILLDDNFEVRLGSLSEVCAAAETDPRETRFARFLRLPRAMRAGQSTSGSGM >CDO99645 pep chromosome:AUK_PRJEB4211_v1:2:10365638:10367116:-1 gene:GSCOC_T00029299001 transcript:CDO99645 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVEPLVVGRVIGDVLDMFVPAAELIVQYGSKQVGNGCEIKPSHSAHKPAVRVNGSRDRAASLFTLVMVDPDAPSPSDPTFREWLHWIVVNIPEGGDASEGKELVAYQGPQPPAGIHRYVFALFKQRGRMEKPKTLPEPRHNFTTRQFASHNELGLPVAAVYFNSQKEPAGNRKR >CDO97709 pep chromosome:AUK_PRJEB4211_v1:2:21197364:21199958:-1 gene:GSCOC_T00015127001 transcript:CDO97709 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTEVQFSNFQGEEGGWGACLRNRNAEVVSGGLLSSQFPRSSPLLSNPTEENRKWSQNPSGRRFSMIARKIPSTGYPTSSTILTPKDPRSSPPGVYLGLRVNKTAFSFGRMLYRHFPLFHLARPSVFNNFMSWEWSIRSAADGDRLNSSPTDDSSSGTRLVRAIQAIRAKLETRIRELRKNFPMKLLFFLVGFYSATAFATVIGQTGDWDILSAALAVAVVEGIGALMYKASLPLIGKLRGLIIMFNYWKAGLSLGLFLDSFKY >CDP05153 pep chromosome:AUK_PRJEB4211_v1:2:2012756:2014465:1 gene:GSCOC_T00020102001 transcript:CDP05153 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAMQLLQVPTLSSSSSSVPSTVQRPPFLSTFSVGRKSQLHSKPASSYSYGFVRNNGILNTRSWNHATVKSLSNSPLISPHDNWGIWTALLATGALGLWSEKTKVGSTVSAALVSILIGLAASNAGIIPYEAPAYSVVIKFLLPLTVPLLLFRADMQQLIRSTGTLLLVFLLGSVATIAGTLVAFLLVPMRSLGPDNWKIAAALMGSYIGGGKLS >CDP08797 pep chromosome:AUK_PRJEB4211_v1:2:52928275:52931344:-1 gene:GSCOC_T00027898001 transcript:CDP08797 gene_biotype:protein_coding transcript_biotype:protein_coding MADNEEKEEPAARGNEWEVVSLTASTYAAAPNSDQVNVTEDENGNPAAEDEAAETSRALFMSGHFVFPPSQHENLPLETERNEICSVQGNEDAGFQSVGDEEIQSNTKEQAMMNTKGLTVPDEFPGIQFFDEKGNILPVGGTEYEEGTAFQGLNLVDKEQSLYNSETFSSFHSERMIGRAANVDEDTEITEIEEPYDHGLDSDLSKPTDEEYDESDLPCGAWWKRRAASFYAHAKEANTFWSVFIAAAVMGLVILGQQWQQERWQVLQLKWQFSISNERMSRVMGSLARFKDVIVGGQRRGSLIRASTSTEH >CDO97113 pep chromosome:AUK_PRJEB4211_v1:2:16596118:16598245:1 gene:GSCOC_T00014354001 transcript:CDO97113 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCCCLLPWVVSFILMMVWFKQKPQLSCIRYSISKMMLGSGPMDHFLYKFIYLYRKHIFQLLFYFK >CDP05374 pep chromosome:AUK_PRJEB4211_v1:2:3679733:3700860:-1 gene:GSCOC_T00020387001 transcript:CDP05374 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIASQLQALKSVVKVDTEPPKKPFTRPSILFNPKDAADIDIDTIFSLALSGLEILISKEERFRNYKSTLFGHKSRELDRELMGIDKNNQINNDISSYLRLLSDHFELVAARRTLEYLIRRYKIHIYNAEELILCTLPYHDTHEFVRILQLIDTGNGRWNFLDGVKASGAPPPRKVIVQQCMRDLGVLDAISEYARPKKIQPKIAADFCTAIMMEVLGSLPAVNSDALRIILQYVLSRLESSPKEKLQQKAGALMIVGLLAQKVALAREPRRALIRLVVVVAQDCAKQANDLQWVRMSLMALINIIQLQSLKEIPRNSVDILVKIRCISEVLGGLTEEFNIDKFLTVFLDSLLQYSSDFADQNYHCTLISLLESIPLKFHVDHVVSKLLEVCSTISKKMDQSNVSESVGSQPKEILVSLYKKYPMELRQAIHKILQDTEVQSGKDGSKHEILSRILDGDGDFSLEFPDSKTWFALEHPKAEVRRSAVLGLDAGGILRYKAVNSKMFDIVQDAVLRRLQDDDLAVIQAALNVQSLDHMISPSILLGTIQSVLSRCVKILLMGASNEASIASEVAVSCLQLAITSFKDQDEYMKPLATMIFPLVLILPKTQRVNLKALELAKGLKWPFYRNLIGLSSSKEKFGLERISSVNLDNIGKLAENFRMHHEELIPWLLECSSRFQLSKTLLFLILLQSFMVPKLDFAQFSALYDALFPILQHEWEMLESTGNVAFAEASNLRMLDGDCRMFVERLFDSSVNELASEILVCLFWRLVEAFVTAAPDAVSFDKNAIWLCKLKNLYVFFASQSSQLFKKHLTHLVTKCKSSLSEFLPKLFTEEGVSSRVQVESLHSFVHLSSQSDENLGIQVLAEFPSVLVPLASNDQDVRMAAISCIEGLFTVWSRVNPSGCKNGNSAVWVYFLGEFFSLVVQQKKLILSDQNVLPSIFKSLFSSSTDNLLVQPNIGKRFDASTKGDFLVFLLGSALGLPAFAKLKVLSLLKGLGSKVTEITGVKSLLHDLLERRYQYHVLHNKLSQKLSKTDVDILCLLLEICTMPTSPVDRNQFDDLLLVKALEINGSVSEDPAVVEPCLTLLKNLNSSLYGGLKAETQEILFRSLVILFRSGNADVQNSSTEALLRINISNLVVSKMLDFAAGCISSSSGSAVAKKKKKPVTHQDSDMLVDLFQQGETAIYFLGSLLDILMLKKNMENRSSLLGSLFKLLHLIFMSNEGALGTVDEASKHIEASSGVSQTVSSSRVYIKQALLLILEDIASSTVKDSPEQDDISHVFDLELLVKCASLASDTATRNHVLSLFSTVAKIIPDKLLDHILDILNVTGEYAVSQWDSYSQRVFEDLISAVVPFWLSRTGDMEKLLQIFVDVLPQVSQHQRLSIIVCLLRNLGESRSFGSLLFLLFRSLVSNESLFTFFDGEPSIDALISVINTKWEYSFARQLSAQYSCMTWLSSLVLLLQRIGISPWNEQHYMLLVVAMQFVLEKLQDPEISFLLDSREDIDSIQTTLGALMEQVVYLLHWVNARKKRIGVSLATKNGLKDHCRVVLKTIAEGLVPLSYFKVIIQLLRHDDKNVRKKALGLLSEKVKESGTINKLQERRQSKRSLRNSWLHFDESAQISFDELCLEILKLVDGSDDNLGGASLKLTAVSTLEVLAYRFPSDNPIFGMCLKSVSKNICSNNSAVSSGCLRATSAFIHVLGPRALSELPGIMACMFSRSRDISVSVAEESKSHDVSSSTASRTMRDSVFLSVLITLEAVVDKLGGFLNPYLGDILELLVLHPWYAFAGDVKLNLKADVVRKLVTDKIPVRLLLPPLLRIYTDAVKCGGSSVSAVFEMLQNMVTAMDRSTISAYHVQIFDLGLLALDLRCQCPDSIKDIQVVEEKVISSMVSLTMKLTETMFKPLFVKSIEWSGSYTEEREGRKTIQRAISFYDLVNKLAESHRSLFVPYFKYLLDGCVHHLSEDTQVTLTRKKKKVKLQVAVDENKDSGDELSVGLQFNFLGVCNLSSAFIYSIVLLKPIVSQLLKDPPSSLEQRPDVPSIKEVDDSLVACVGQMAVTAGSDLLWKPLNHEVLMHTRSEKVRSRMLGLRIVKYLVENLKEEYLVFLPETIPFLGEVLEDVELPVKTLAQEILKEMEFMSGESLRQYL >CDP07802 pep chromosome:AUK_PRJEB4211_v1:2:26699203:26701627:1 gene:GSCOC_T00025192001 transcript:CDP07802 gene_biotype:protein_coding transcript_biotype:protein_coding MECLGMWNRMTVVLLIGCVMNAEVSAVAYCLYELSSTIHIIQLGSYVFDRVRVSNELGSGRSRTAKFSIMVVGTFSTLFGLVFALVLFLQRKQFPAIFSTDPEVKQLVDRGANKPNQPEHPSVQIYLSILMSLKFYSNMTLLFTEPNLNELFTEFENYAVQVWLN >CDO97120 pep chromosome:AUK_PRJEB4211_v1:2:16632055:16632306:-1 gene:GSCOC_T00014362001 transcript:CDO97120 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWNEELQAFTYPCPCGDLFQITREELRLGEEIARCPSCSLYITVIYNTEDFADSNRKNKNIEPPKRQPVAVA >CDP07759 pep chromosome:AUK_PRJEB4211_v1:2:25856082:25862315:-1 gene:GSCOC_T00025127001 transcript:CDP07759 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRVQCKFFAHGACLKGDHCEFLHDWNAPQNNICTFYQKGACAYGSRCRYEHVKVSRPLSSASSSSSSSSANPSQYLQSKSILAAHPSGAPSVVIGHASGVTADSSLASRSMLPTTKPAGGEMVRHEDVSEFSDFGETKSRNPADQPICSFAAAGHCPRGEMCPHIHGDLCPTCEKHCLHPFRPEEREDHVKLCERRQKNLDALKHSQEIECSVCLERVLSKPTAAEQKFGILSECDHPFCISCIRNWRSSSPASGMDVNSALRACPICRKLSYFVIPSFIWYSSKEEKQEIVDSYKAKLRSIDCKHFDFGNGTCPFGTSCFYKHTVKPGSYLWNSTLMPDLYCTRFMDSEEEECLSETVNKNALLYLFEHFYSPHISAGVEEDFISEDSENEMAEELAFMMMLGL >CDP00143 pep chromosome:AUK_PRJEB4211_v1:2:5821545:5832422:1 gene:GSCOC_T00029952001 transcript:CDP00143 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNADLTRAFVKDVKRVIVKVGTAIVTQADGRLALGRLGALCEQIQELNSEGYEVILVTSGAVGAGRQRLRYRRLVNSSFADLQKPQHELDGKACAAVGQNSLMALYDSLFSQLDVTSAQLLVTDNDFRDPDFRKQLSETVKSLLSLKVIPILNENDAVSTRKAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKERHEGAITFGNKSRVGRGGMTAKVKAAVYAAYAGIPVVITSGFAGDNIIRVLNGESIGTLFHRDANQWAPAGEIGAHEMAVAARECSRRLQVVSSKDRSKILLDIADALKENENLILAENAADVAAAQQAGYEKSLISRLALKPGKVSGLANSLHMLANMEEPIGQVLKRTELAEGLILEKTTSPLGVLLIIFESRPEALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKIITSSIPENIGKKLIGLVTSREDIPELLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYVDKSADKDMAKQIVLDAKTDYPAACNAMETLLVHKDLVQTGLVNELIVELQIKGVTLYGGPRASSLLNIPEARSFHHEYSSLACTVEIVDDVHAAIDHIHRHGRHVSLIVSVFAHTDSIVTDDHDVAELFLHQLDSAAVFHNASTRFSDGYRFGLGAEVGISTSRIHARGPVGVEGLLTTRWVARGNGQVVNGDVGIVYTHKDLTV >CDP15825 pep chromosome:AUK_PRJEB4211_v1:2:44229330:44231615:-1 gene:GSCOC_T00016693001 transcript:CDP15825 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNEVDLTDSKRVVPLNTWILISKFKLSYKLLRRSDGTFDRHLAEFLDRKVPPNANPVDGVYSFDVIIDRGIGLLSRVYRPAEEGEGLPTLAELEKPITSDIVPVIIFFHGGSFAHSSADSSIYDTLCRRLVGVCKAVVVSVNYRLAPENRYPCAYEDGWTAVKWVSSRKWLQSKKDSKVHIFLCGDSSGGNIVHNVALRAIESDIEVLGNILLNPLFGGEKRTESEVRLDGKYFVTIQDRDWYWRAYLPEGADRDHPACNPFGPNGISLEGVKFPKSLVVVAGLDLLQDWQLAYANGLVKAGQEVKLIYLEQATIGFYFLPNNDHFHVVMDGISNFVSSNRQIV >CDO97686 pep chromosome:AUK_PRJEB4211_v1:2:21039237:21046377:1 gene:GSCOC_T00015094001 transcript:CDO97686 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLPVTVAVSLIVGALIAFLIFGSYFRKRKSEVESIAKPEKLQANQNLSQKQPLKTNKKSQSKIHSHSHAADKEANKRHHPLDLNTLKGHGDAVTGLCFSTDGRNLATACGDGVLRVFKLDDVTNKSFKFLRINLPAGAHPTAVAYADDATSVVVATHTLSGSSLHMYGEEKPKATGESKQQTKLPLPEIKWEHKKVHDKRVILTLVGTKATYGGADGSTVIVSCSEGTDITLWHGKTGKVLGHVDTNQLKNTMATVSPNGRFIAAAAFTADVKVWEIVYTKDGSVKEVSKVMQLKGHKSAVTWLCFAPNSEQVITASKDGSIRVWNINVRYHLDEDPKTLKVFPIPLHDANGTTLHYDRLCLSPDGKILAAIHGSTLQWLSAESGQVLETAERAHDGDITDMAWSPTPIQSGDKKLSVLATASIDKKVKLWVAPMLHPS >CDO97488 pep chromosome:AUK_PRJEB4211_v1:2:19419959:19424386:-1 gene:GSCOC_T00014842001 transcript:CDO97488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinaceous RNase P 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G32230) UniProtKB/Swiss-Prot;Acc:Q66GI4] MKSYGIVPKLRSYGPALFGFCKKSMADKAFEVDAHMVENGVLAEEEELLALLRLSSEENLVEKTYEFMHRMRSTVRQVSEETAGAMEDWFKSETAADAGLKNWDVEKVKEGIVKGGGGWHGQGWLGKGKWSVVRTEMQKNGVCHSCGEKLVCIDIDPKETENFANSVAKLACEREVRADFVKFQEWLAKHGPFDAVIDGANLGLINQKHFSFQQLKHVVNQLRQMSKSNRLPLVILHRSRVFGGPAQYPNNKKLLESWRNAGALYATPPGSNDDWYWLYAAVSCKCLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRLTPARPGLTLHMPPPYSIVIQESEQGSWHIPTVAGDDLETPRKWVCATRTKKKNLHSIFS >CDO99513 pep chromosome:AUK_PRJEB4211_v1:2:11778842:11784281:1 gene:GSCOC_T00029122001 transcript:CDO99513 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSQETPPPPPPPTLPPPASPPPALQQQPPEDRDDDSLQRNQNDNGEQADDADDSDGSASSSDGEKDEFIIMKLSEIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPKYDALIAALYPDIDKYEEEELAFHEEEKARNKQIQASIAQTFRRQTEALGRKRSARAAARRSHGSYRNVRGRRNLRTSEHQGSDEDDDANGIYGGKDSSSADERSPEVKPKRQKRWGGARFSQSSAAANSDAGYDENDSEVNRELLGASAGLIGGTEILAWGKGGMRSHTRHGGFSGGNGKFARNSRLSKLMDSLRCSDVKNEELDVSLELVAMDKEEIPNLQRPYLSCKPTLSVRHLCQYVALQTSMQAEEIEIWWKRELKKGFPPKHNNEAPSASAFLDQSENTERLDEHQTLGDIRTIYSSSQCNLVLAYGRK >CDO97236 pep chromosome:AUK_PRJEB4211_v1:2:17503973:17510987:1 gene:GSCOC_T00014506001 transcript:CDO97236 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGHRWVLVKKPCDRDAWSPTLSSSNTGSNSGSGPLKIRFNEPAKYWTDALPIGNGRLGAMVWGGVPAEVLNLNEDTLWTGIPGDYTDPNAPEALAEVRKLVNEGQYAEATSAAAQLSGEPSEVYQPLGDVKIEFDDSHTKYDKESYHRELDLDTATVTVRYSVDEVEFTREYFVSYPDQAIVVKISGNKPGRLNFTASLDSELHHRSYANGKSQIAMQGSCPGKGIASESLEDDDYPEGIQFSAFLDLQISGSMGTLHVLDGKKLHVEGCDWAIIMLSASSSFDGPFINPSDSQRDPSSEALSTLNSIKRFSYSEVYSRHVSDYQNFFHSVSLQLSKSSKSSIGNGCNLTNNCLAGGQKQSISTADRVKAFKTDEDPSLVELLFQFGRYLLISSSRPGTQASNLQGIWNKDIHPAWDCAPHLNINLQMNYWPSLPCNLKESQEPLFDYISSLSVNGHKTAKVNYQASGWVAHQISDIWAKSSPDFGQPVWALWQMGGAWLCTHLWEHYTYTVDKDFLRNKAYPLLEGCAAFLLDWLIEGPEGYLGTNPSTSPEHNFTAPDGKPASVSNTSTMDMAITREVFSAILSAAEVLDKSKDILIERVHNAQQRLYPTKISTDGTIMEWAKDFKDPEVHHRHLSHLFGLFPGHTITLQKTPDLCKAAEKSLQKRGIEGPGWSTTWKIALWARLHNSEHAYEMVKHLFDLVDPENPESFEGGLYSNLFTAHPPFQIDANFGFSAALAEMLVQSTMQELYLLPALPRDKWDSGCVKGLKARGGVTVSMCWSGGELDEVGLWSEDRNVTKRLHYEGTSVTTTLSCGTVYTFNKHLQCVRSHALE >CDP05383 pep chromosome:AUK_PRJEB4211_v1:2:3780459:3781493:-1 gene:GSCOC_T00020399001 transcript:CDP05383 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKGSSIPSSGNSHDHQDDDASFRVNELPRARFWDAMDICQWEGSWFEPGLVKPAVTFRTTFQAHHDDVLLASPPKTGTTWLKALCLCIMQNPIPIATSDSGVNAEAAADDDDDILAKDNPHFHIQTIESMIYATKPHPDLYSTPSPRLFHTHLPYKVLPDSTKNSPGCKIVYITRNPKDTLISLWHFFNSIFRPNGLEPYPLDRAVDCFCSGVHQYGPFFDHVLEYWLESQKRPTKILFLKYEELKREPKAEVKKLASFLGRPFASEEEVEKVVWRCSLERLRNFEVNKNGSILYGVPNSSYFRRGAVGDWKNYLTPELEEQISRTARSKFEGSGLCLEDA >CDP13954 pep chromosome:AUK_PRJEB4211_v1:2:4584689:4589961:1 gene:GSCOC_T00039105001 transcript:CDP13954 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGQSIPHGELPGETDGQQHECVLNEPIHYPGIWTPEGAHDFLHHALPRLEFQLSAIFLITQFFHLLFRPFKFQRIVSEFLAGVILGPSCMGKISKFSEIVFPAEDEIFLDILSKVGYVFFMFLAGVHMDISTITRSGKKAWTIGLVSVAITMIVVTGFNSVLYNILHQYHVPAAKAIVGIQILTPFAVVASLLIDLKIVNSEIGRLALACTLISDLITSPFTAWMRFAYADMDKSVSVKTTTTSIIIILAAILVLRPLFMWIIKQTPEGQAVRGFYIVLVSLSVLLSAVVSDSIGLQYHFGPFIVGLTVPSGPPLGSTLAEKLETLISGLFAPLIISTCGLKFDILKVYDAEFLQIVWIIIFVFSVVKFISVILPALMCKLPIRDATVLSAIMSSQGIVELALCQNYFMNQAIDRETFASVTSSVLLVDIVTSLTIRLLYDYSRKYTGYQKRDIQDLASNSEFRILLCTHRQDDALAAIKLLHMSNPTRGSPIGVYALHLEKLVGKAAPVLINHELGQKSSSLGFRSTKVIDIFRFQGDLHPGFISVQCFTSVSLPQFMQEDICSLAFDKVTSILILPFHRKWNHQGRLIHDSKVIRTINCSVLDMAPCSVGILIDRKKMSNPSRVPSVLHRVAVLFLGGNDDREALAYGLRMAKSPGVHLSVVRLISREDVVEDEWEKVLDAESLREFKLRSSQQDNVAFKEEMVNDESDTALLVHQVAEVFDLIMVGRRHRGDSPLLSGLIRWTELPELGPLGDMLAAADFQKPVSVLVVQQQMNKIKALASLPAVELVPFLNLYHKKKNEKLKGQKE >CDP08551 pep chromosome:AUK_PRJEB4211_v1:2:41021054:41024387:1 gene:GSCOC_T00027510001 transcript:CDP08551 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPCPSLRPLISLRLGSKFLIVATFPATAREILKTNDRDLSSRFNLRLSNVIPGIKNSIIVLLNECNQRWRFLRSAAHTELFSSRALESHLKLRAEKVQEMLDFLGSNGGEVVAIVDIIYATIVNILTYTMMSKDIVNLKDNVGELRKFSRSLIEFAVPSMADIFPLLGALDFRAKQKAKDYKERTRALWADILTHRLVNGSGPDRNTLFQTRTGHTISDPDPTHLPDGSLLYVSDPDPMRPGSESGPGPLIALLTQNQNASSKLRDEITITIGGTDLLSKSQQAKLPYLQSCMKETLRLHPPSPFLVLHCAVQSCKVMNYDNLDLKGTHYELLPFGGGRRICVGVSLALKQIQLILASLIYAFDWFLPSGMDPKTLDTSEEFSIPMGTEKPLHLILKRKKKQPKD >CDP14034 pep chromosome:AUK_PRJEB4211_v1:2:5275859:5281356:-1 gene:GSCOC_T00039207001 transcript:CDP14034 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKMKSEASSSNSNSSGGQNSSRAESVVTDVGRHRSSCGYCRSASHTSIAHGLWALSLTVDDYQALLDRGWRRSGCFVYKPEMERTCCPSYTIRLEASEFVPTKEQLRVSKRVQRFLDGTLDVGKPEKVMNEWTISGGSCSSSQNESANCVAMESSSGEHEEQKNQGEQIIHCLSNQIDNVVQEYVKSAFSCDLELPKASVKVVAPAKRKLLVEGSEDLLFTSNVSFQISAALRRAKKGVGHVQYSKCSTEENGQYPEISPKLIAEILARHLKEVPESCGLSIRACNGHINFYSTGNQNMYNDIVKSGTMWKQLPAESGRKHSCLNKIFVTSQGKRRQLEIRLRRSSYDPEEYSLYRKYQLRVHNDTPNQVSEISYRRFLVDSPLIFVPPTGDGTVPPCGFGSFHQQYLIDGQLVAVGVIDILPKCLSSKYLFWDPDLAFLSLGKYSALEEIRWVKENQVHCPSLQYYYLGYYIHSCNKMRYKAAYQPSELLCPLRYQWIPFDVVKPLLDRKRYVVLSDFASLTKGEPLPPTTLQNHMEDLHGECKDDVFIHEDVEMIELDSEDSDVDSEPETSSSPPAAAEDGDVGNILIGMKSLRLRFKDLCQAFGSSEMKYMETRLQRYMRAVGAELSERMVYQEIDE >CDP18238 pep chromosome:AUK_PRJEB4211_v1:2:48434398:48435808:1 gene:GSCOC_T00011799001 transcript:CDP18238 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVAEKERKILVAVDEGEESSYALSWCLSNIVNENSKDTLVLVYARPPRAVYSTLDGSGEEGRLPGYLFSSDILASMEKYSHEVAECVLEKAHRICKDFNAIKVETKVEHGDPRDVICQVAEKLNVDLVVMGSHGYGLIKRAFLGSVSHHCAQNLKCPVLIVKKPKSNDGN >CDO99723 pep chromosome:AUK_PRJEB4211_v1:2:9472539:9481376:1 gene:GSCOC_T00029399001 transcript:CDO99723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylation and cleavage factor homolog 4 [Source:Projected from Arabidopsis thaliana (AT4G04885) UniProtKB/Swiss-Prot;Acc:Q0WPF2] MNISTANGGGGGGGGGDGGGSFGNSNRTMANDVVSKPVATSILDRFRDMLKEREDELRVSEGVDVVLLSSDDVVKLYEVVLSELVFNSKPVITDLTIIAGEQRRHGQGIADAICARIIEAPVEQKLPFLYLLDSVVKNIGRDYVRYFSARLPEVFCEAYNQVHPNMYPSMRHLFKTWSSVFHSSVLRKIEALLEFSPPMNDQPSSLTSGRASESPRPTHGIHVNPKYLEARRQLGHATADAAVTERLSLRDHTDNTASGLGAVKMIRPSAARLAGSSSPYGVKHGRSLSPSLDNIAVDGSPRRAAEKASPSQSGFEYGFARTSGRHEEASDWQRNTLTNGTSVKFETPAYRYNNGIDLDRPRALIDAYGIDEREKPPSHKHLKVDHSIVNGINKSASLKTWQNTEEEEFNWEDMSPTLGDSSRNNDLFSSSIPPSANFRTRPGFRTHPDPHLATSDFRSNFSKQAQLPIFSDSSPSENVSAVSSVRGVIKKVAGFRDENKHVSSSHFPKDGFSMPQSHGRSSQQHLSIKGSGRNHQMSFSGMGIAPSSEYKPPSVSNFPNADPRIRGPSAVVSRIGSSGFASLTPEMQSIATPASMGVPPSVNIRASYHQALLASPPMHEQIGYQPDAVGHQGMTMPNFPGQQLGSIENKPVSMPQPSNQPRGLIPPNLQVGPHVNLSYSQPQPLPSQEARQNMVPPVPYLPPSNLVRPPLDHGYVPQVHGVHAIMGTGLQNVIPNVQSSMPVPSIVNASLSLPGVSMPPLQGSRPVSSTMIHITQNPGPVGPNPPAGGPLSGLFNSLMAQGLISLTKEAPMQDSMVLDFNQDTLKVRHESAIKALYADLPRQCTACGVRFKCQEAHSSHMDWHVKRNRKSKNKQKPSRNWFVRVDMWLRNAEALGTDAVPSFLPIEDAVEQNDDEELAVPADDDQKFCALCGEPFDDFYSDETEEWMYRGAVYMNAPAGSTAGMNRSQLGPIIHSKCRSETSGASAEVLSKDRGGYTEEGSEKK >CDO97335 pep chromosome:AUK_PRJEB4211_v1:2:18239908:18243948:-1 gene:GSCOC_T00014652001 transcript:CDO97335 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLIHSCRKYKRPSKLGSFMFFAESYFHILWQTYQSPEVLLSECLPAAVMAECSIFRRWFSSNVYLICALFLFLISKSQSDDVGVLMQLKSTLRSADSPVFDTWTWQNSACNFTGVTCDSNHKVTEINLSLQNLSGPVSFDLICSLESLEKISLGSNFLYGSISGHLSNCTSLQHLDLGMNYFSGKVPDLSSLTKLELLNLNQSGFSGSFPWSSLANLTSLGFLSLGDNDFDRSPFPLELLKLEKLYWIYLSNCSIEGQIPDGIGNLTLLENLELSYNNLVGSIPNGITRLSKLNQLELYTNGLTGKIPVGFGNLTNLVKFDVSTNYIEGDLSELRSLTQLASLQLFENQFSGEVPQEFGELKFLTEFSLYTNKLTGPLPEKIGSWSELLYIDVSGNFLTGPIPPDMCKGGKLCKLLMLQNGFTGGIPASYGNCLSLTRLRVSNNSLSGEVPSGIWGLPKVTIIDLNLNQFEGPVAPSIGDAKSLAELLLANNQFSGELPQRISEAASLVKIDIASNKLSEEIPATIGNLKKLSYFHLEFNSFSGVVPDSLGSCASLNDINLAHNSFSGNIPASLGSLPSLNFLNLSNNQFVGEIPLSFTSLPLNLLDLSNNRLVGHIPDSLSIDAFNGSFDGNSGLCSESIRSFRFCSSDSGMSGKIKTVIYCFIAIACVLIVTLTCCLFLRFRHKNGEIPVKRSDSWDMKLFYVLSFSEEQILKALKHENLVGKGGSGNVYKVVLHCGMQLAVKHIWNQDSVSRNSCRSTAAILAKGKGKGRSKEYDAEVATLSSARHVNVVKLYCSITTEDSNLLVYEYLPNGSLWDRLHTGQRIKMDWMARYEIALGAAKGLEYLHHGCDRPIIHRDVKSSNILLDADLKPKIADFGLAKILLANEPNARESTHVIAGTPGYIAPEYAYACSVNEKSDVYSFGVVLMELVTGKKPVEPEFGDNKDIVSWIYSKLRSEHRTIELVDKSISEALREDAVKVLKIAIHCTSRTPVLRPSMKMVVQMLENAEPCKLSSIVVNTREVYNCKNKEVLYRSSSTTSGSSQF >CDO99847 pep chromosome:AUK_PRJEB4211_v1:2:8344502:8356238:1 gene:GSCOC_T00029543001 transcript:CDO99847 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPRTRVGRSTSLRDPSDTRFTDSDKIEGAGSWETLEWTKIEPVSRSVPQGLQGFLLEAERVIVEGCGVVLVNTDEAGTLFVTNFRLLFLSDGSRNVIALGTIPLATIEKFNKIVMKLPAAPRQTDRSPSRRLLQVIGKDMRIIVFGFRPRTKQRRAVYDALLRCTKPLRIWDLYAFASGPSTFTNSDPKVRLLDEYFRLLGLSSYHASTRMIEDGSFTLSNELWRISSLNSSYTMCPTYPFALLIPRSTSDEELLQASTFRGRCRLPVITWCNRDTGAVLARSAQPLVGIMMNMRSNADEKLVAALCTQIFGSREQRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESLVRLRDYLDTHGSTSSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDSGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTTQLVSLASLLLDPYYRTIKGFQALVEKDWLAFGHPFADRAGMPSLSGSGSMPFELSRQSSTGSFSSSPSRQASGSFTSQAPATSHTQNNYSPIFLQWVDCVSQLLRLYPLAFEFSSGFLVDLLDSILSCRFGNFLCNSEKERQQAGISDSCGCLWVYMADMRASHGGYHVHYNPFYEPSKHNGPLLPPAAALAPTVWPQFHLRWACPMESQAGELEAQCRNMSKRFTELQKAKEETDARVKETTATMESLAAELRNEKVISTSAKELASRATRESAAIKRALQSLGCKVHFSGDGDRTVGIESNPTEIPQKSVYFSSKEESAGSGVSNEKSELSVSVTVLADDVSNNPINRFCESLCPLHTREGGCRWPDAACAQFGSQFVGLKANFDAFDRLSIYDRYFQPE >CDO97011 pep chromosome:AUK_PRJEB4211_v1:2:15790090:15795249:1 gene:GSCOC_T00014221001 transcript:CDO97011 gene_biotype:protein_coding transcript_biotype:protein_coding MERQVIVQNNSSSEPEDPNEKNTKKKEDVAADPEFFGCLLQPSPPDSDPNYIGIRRLLLFRKAQSGVLRRKEWRCNGKGYVAYRNYINRPRNWESLNVPSHSSTPGNSGRWVPSPGPLSRQLSEVDSWSPGRFLQSGSQAFSRSSSNLSDSDFPHKKTEPAYSFVGMHCIFDQCKALVTVIKFGHMSSDLLAYGASDGSLTVCSVSTPPSVIKQLIGHSKDVTDFDFSANNHYIASSSMDKTVRVWDISQGLCIRVVYGISPQLCIRFHPVNNNFLSVGNANKEITVFNFSTGRTISKSIFDSEVTAMDHDHTGQLIFCGDTQGWIYSVTMDSHIGTLSRSHRQRSNSKGKSPITTVQYRTFSLLARGPVLLTFARDGTLSFYSVSSETQGYLTLRCSLKLASRLHSIRASFCPLLSLEKGEYIVAGSEDANVYFYDLTRPRHTCVNKLQGHGYPVIGIAWNYGENLLASSDFGGTVIVWKRAKTS >CDP05372 pep chromosome:AUK_PRJEB4211_v1:2:3673789:3675091:-1 gene:GSCOC_T00020384001 transcript:CDP05372 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCFVVVFELEILVIAITISSILPPRTSLLSLLSLPRVPMTDEVRIDQFTLSIQLLIQESRRITSLSAYASLNSFPELICSLLGPSKFALPQIPYNGCFSKDP >CDO96975 pep chromosome:AUK_PRJEB4211_v1:2:15435357:15437300:1 gene:GSCOC_T00014174001 transcript:CDO96975 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSSSKLPQAAVIKQILKRCSSLGKKHGYDEDGLPLDVPKGHFAVYVGENRSRYIVPISFLTHPEFQCLLRRAEEEFGFDHDMGLTIPCEEVVFRSLTSMLR >CDP07647 pep chromosome:AUK_PRJEB4211_v1:2:24299263:24305853:-1 gene:GSCOC_T00024967001 transcript:CDP07647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine 5'-monophosphate synthase [Source:Projected from Arabidopsis thaliana (AT3G54470) UniProtKB/Swiss-Prot;Acc:Q42586] MENLILQLHDISAVKFGNFKLKSGISSPIYIDLRLIVSYPTLLRQISQTLVSTLPSSTRYDVVCGVPYTALPIATCVSVANNIPMLMRRKEVKDYGTAKAIEGAFEPNQICLIIEDLVTSGASVLETASPLRHAGLKVTDAVVMIDREQGGRENLAENGITLHSMVKLTEMVRILKEKGRVSEETEKMVMHFLEENRKVAVPVAAPEKVKFRLPYGERVRLAKNPTGKKLFEIMIQKETNLCLAADVATAAELLDIADKVGPEICMLKTHVDILPDFTPDFGSKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFKILDWADIVNAHIVSGPGIVDGLKLKGLPRGRGLLLLAEMSSSGNFAKGDYTAAAVKIAEGHSDFVIGFISVNPASWPSGPGNPALIHATPGVQLAKGGDALGQQYNTPFSVISERGSDIIIVGRGIIKAANPAEVAREYRLQGWDAYLLHCK >CDO96900 pep chromosome:AUK_PRJEB4211_v1:2:14808907:14811101:1 gene:GSCOC_T00014079001 transcript:CDO96900 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHVCTFALYSQDMSRQIETHHYVNRLNQDFLQCAVYDSDDCTARLIGVEYIVSDKIFQTLPREEQKLWHSHAYEITAGLWMNPRAPEAIQKPELRDLAQTYGKFWCTWQVDRGDRLPLGPPALMMSPQGVNRGMVMPELVRKRDEKYKVSTDNLRMSRADVTVPETVLLSNADYWMQSEKGFAVDVEQTDMKRIAPFP >CDO96738 pep chromosome:AUK_PRJEB4211_v1:2:12532182:12537095:1 gene:GSCOC_T00013850001 transcript:CDO96738 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSNPSNCFGGSHRLMALAQQLRTYKPPLTPDDDDNPKNEIEERKLQESAGKVVSQVGFPESATPVAHQPERFRPKRAAVLICLFEGDAGEFRVILTKRSSRLSTHSGEVALPGGKAEEADANDAETATREAKEEIGLDPSLVNVVTCLEPFLSKHLLRVIPVIGILSDRRAFTPTPNAAEVEAVFDAPLEMFLKDENRTWEEREWMGDKYLIHFFDYEMDGKNYLVWGLTAGILIRAASVVYQRPPTFLEQNPKFKVPRVVERGTTMR >CDP09439 pep chromosome:AUK_PRJEB4211_v1:2:22352640:22355926:-1 gene:GSCOC_T00028808001 transcript:CDP09439 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWTGILKVPLHPNSSSFYRVAASLCIFSSTKTLAVPSANAIFFNGDQVEGTGNFVIERLSDVQKIAEILVSKFGSTINAWVIEANTFNGPFAVYKDFIPTVNLDGEPQSYNATGLPASSSIVLLLSNCLKEAKSSMLGGQPYQAAPSASCSFKPKTLFLGFSKGGTVLNQLLTELGSMEVQPTSSDSRAKKTMMDEGSGMWEEHQIIPTSKEELLNSIAEIHYVDVGLNSEGAYLTNQDVIEGISDRLTRRAFGMRIILHGTPRQWSDRWRTWICKEKNEFFRLLKLAARKNMGKLMLRERFYFADRPPSLQMHFEIIENLDVS >CDP09312 pep chromosome:AUK_PRJEB4211_v1:2:23948027:23949883:-1 gene:GSCOC_T00028622001 transcript:CDP09312 gene_biotype:protein_coding transcript_biotype:protein_coding MITCSSSFSPHHLINSRDFPFKSLKDFSFLHSCKSIKELMQIHALIVKTSPSIEIQQLLYSKMLPLCACFTPFTALSYIHSLFAQLSDPDINLYNTVIRCFSGSKNKETCTVVLLFYLELMKNGLVADSYTHPFVLRACAQLNALSEGEMIHAHLVKTGFFLDLYVVNTLMRLYGACGVVDSVRKVFDGSPERDLVSWTTLIQGYVDNGQWKEGIDMFFDMCESGQRADEKMMVVVISACAKLGDFSLGKKLHEYVVHNNVNFDVFVGNALVDMYLKCGDADSACRVFKEMPATNVVSWNSLISGLAQKGDFDGAMNLFREMLVNRVKPDATTLIAVLNSCANLGVLDLGKWVHSYLDRSQIKADGFLGNALVDMYAKCGSIVDALGVFERMEHRDVFTYTSVILGLALHGEGEVALKLFTKMLEVGIHPNEVTFVGVLAACTHAGLVEEGQKYFTDMSKVHKIEPQTEHYGCMVDLFGRAGLLSEAMDFIENMPLEPDAFIWATLLGACRLHEKLELAESIMEHLARIEPEKDGGYVLMSNMYASANKWSKALQLRKAMKKRKLKKTPGCSCIELDGIVYEFRSGDTAHPKTEGRYAVPNKISFQLYDAIYVNSGNG >CDP05331 pep chromosome:AUK_PRJEB4211_v1:2:3396528:3397773:-1 gene:GSCOC_T00020335001 transcript:CDP05331 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLALKRLVSSTLLSRSVNSLRPAASAASSRLFNTNVARDYDDDDDERGLDVDRRSNCPLYNRRDYSPYFGVFDPFSTRSVSQLLDLVNQFSEPTFASGVRRGWDIKETAEGLNLSLDMPGLGKEDVKVSVEQNTLIIKGEGQKESEDAERGRRFSSRLDLPEKTYKTDGIKAEMKNGVLKVFIPRVKEEERSDVFHVNVQ >CDO97082 pep chromosome:AUK_PRJEB4211_v1:2:16377804:16384795:1 gene:GSCOC_T00014314001 transcript:CDO97082 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVFRIIVLLGIAIPFLAILCHSFSPENPTDRRVLVLVGDLAIKSSHSLYFKSLENRGFQLDFKLAGDPKIALHRYGQYLYDALILFSPSIERFGGSINTAAIMDFVDAGHDLILVADESASDLIREVATECGVDFDEDPGAVVIDHINYAVSTTDGDRSLIAADDFIKSSIILGSNTIEAPVLFQGIGQMVNPSNDLVLKLLSASPSAYSANPKSKLSNPPAIYGSAISLVSVVQARNNARILISGSLKMFSNQFFRYGVQKAGSPTKHDKSGNEQFLTEISKWVFHERGHLKAVNVRHHRVGEVDEPSMYRINDDLEYSVEIFEWTGANWEPYVADDVQVQFYMMSPYVLKNLSTDLKGVHHTSFKVPDVYGVFQFKIEYQRLGYSSLSLSKQIPVRPFRHNEYERFITAAFPYYGASFSMMAGFLLFTIFYLYNK >CDP08886 pep chromosome:AUK_PRJEB4211_v1:2:52047499:52051302:1 gene:GSCOC_T00028012001 transcript:CDP08886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source: Projected from Oryza sativa (Os06g0127000)] MSTLDVARAELALAVLYLNKSEARDKICRAIQYGSKFLSNGEPGTAQNVDKSTSLARKVFRLFKFINDLHGLISPNAPGTPLSLILLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERTELIGRISLFCWMGSSICTTLVELGELGRLSASMKKLEKELKNTDKYKNEQYRVKLQKSNERSLALIKAGMDIVVAVGLLQLAPKKVTPRVTGAFGFVTSLISCYQLLPSPQKAKTP >CDO99465 pep chromosome:AUK_PRJEB4211_v1:2:12230122:12234065:1 gene:GSCOC_T00029054001 transcript:CDO99465 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLLKLGTLAVKTLSKPIASRLKVQAGRHPKFRNFIVGIAQGNHRITTQMQRRIYGHATDVEIRPLNEEKAVQAAVDLLGEVFVFSVAVAALIFEVQRSARSEAKKEELRRREMEVLRQRDDELAREVELLKSKLQELDQLAQKRGLSGVFGFRHAHGEESKSAAAN >CDO96824 pep chromosome:AUK_PRJEB4211_v1:2:13525716:13530553:-1 gene:GSCOC_T00013969001 transcript:CDO96824 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSHLYFPLGLFLLGSLLSCLATTAPNITTDQSALLSLKAKITGDPHEILASNWSATSSVCDWRGVTCGSRHSRVTALNISNLGLTGTIPPQLGNLSFLMSLDMSGNNFYGELPHELIRLSRLRVLSLGINMLSGNIPPWVGSFQQLRHFSLKNNSFTGFIPPSISNMSKLETFNLQVNSLQGAIPLEIGKLKMLKRIVLDYNQLSGSLPSEMFNISSLEAIGLQNNSLSGSLSSNICSRLQGLTWLDLSINELSGMIPASLSECSKLRVLGLSYNNFSGVMPEEVGNLTALQELYLSENNLIGVIPEGFGNLTALKRLYLRRNNLIGTILALEFEQISYKCASRNINSLRGTILIEIRNLNKPKIASLFLKKKFLCSIPHELGNLRHLEGFNLGFNSLTGSIPAQIFNISTLQVLDLSNNTLSGTLPSNTGYGLINLAMLVLFSNEFDGVIPTSISNASKLTFLELAGNRFSGPIPNSLGNLRLLRYLDLHDNHLTTEPSSRELSFISYLTNCKYLKILDFYENPLHGFLPMSVGNLSTSMEGFYAYGCGIKGSIPDGIGNLSSLIVLNLGGNHLSGPVPSTMEYLQNLQALFLSDNQLSGSIPDRICKLKRLYQIYLGQNQFRGSMPSCLNNISSLREIDFAGNLLDSSIPASLWNLTDLLTLNLSYNSLSGSLPYETGNLKVVTLFDLSGNHLNGNIPSSLGGLQSLAKLSLAQNKLQGPVPDSLNQMLSLEFLDLSNNNLSGPIPKSLETLLYLKYINLSFNRLRGEIPSSGPFKNFTYESFMSNDDLCGAQRFHVPPCPSPRIHKPSQKKVFHMLGILSGIAATIIAATTAAILLLRCRRKDGISRNTDLLPMGLPKMISYYELVQATNGYDESNLLGKGSFGSVYKGILTDGTVVAVKVFTLLAEVTSGSFDTECEVLRSLRHRNLTKVIGSCSNLDFKALVYDFKSNGSLEKWLYSHNHRLDLLQRISIMMDVASALEYLHFSYTTPVVHCDLKPSNILLDESMVAHVSDFGMTKFLDEENSVLHTKTLATLGYLAPEYGLEGQVSTRVDVYSFGIVLMETFSRMKPIDEMFKDDLSLKSWIEESLPNATTQVIDANLLGRQDDHFNEKLECISVIFKLALSCCADCPRDRTNMKDVVAALQKIKHQLESFPNISA >CDO97663 pep chromosome:AUK_PRJEB4211_v1:2:20810625:20811718:1 gene:GSCOC_T00015069001 transcript:CDO97663 gene_biotype:protein_coding transcript_biotype:protein_coding MVKATRFVFMSLLVLAAVAALLPEQAEALMPYSPRSFWDMMLPNEDPFRILEHSPLTVPKGVETLALARADWKETAKEHVISLDVPGIKKEEVKIEVEDNRVLRVSGERKTEEEVEGDKWHRAERTVGKFWRQFRLPGNADLDKVQAHLENGVLEIVVPKLAEEKKKQPKVINIAEEAGSNTGVDVKAKRDEM >CDP06821 pep chromosome:AUK_PRJEB4211_v1:2:37715589:37719064:1 gene:GSCOC_T00023818001 transcript:CDP06821 gene_biotype:protein_coding transcript_biotype:protein_coding MENVNLTLSLAIFLRFLSLAAAQSASNVWTTYHFYNPAQNGWNLKAVSACCSTWDANKPLTSVAKPRFGRWTAFCGPVGPRLQRVLKDESSPQTNELMQTFPTLINAAMRG >CDP05007 pep chromosome:AUK_PRJEB4211_v1:2:843164:844717:1 gene:GSCOC_T00019909001 transcript:CDP05007 gene_biotype:protein_coding transcript_biotype:protein_coding MFNREAKLEPRNKMQLAKDLGLQPRQVSIWFQNKRARWKSKKLEQEYRVLKANFDALCHQFEALKKENESLLEQLHTLNGLLENSNKRQSSSKDSNENAEHTAPPKRDEHRERREDDERTTKVNVDGVGVDEHFGKEDQADFDILTEQGESSSASRKPWCNLVSDGLLDESCCPSSWWDFSDGLC >CDO96831 pep chromosome:AUK_PRJEB4211_v1:2:13638874:13644279:1 gene:GSCOC_T00013980001 transcript:CDO96831 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLDIGITNLTGVIPKDIAKLYTLDRLDLMINQLSGSIPREIYNMSALQKFVLASNHVSGTLPSTFGMTPYSISNASKFMLISLKGSKFTGLVPNSLGNLRNLNFLDLSINRLAKSLNAFCELHMLGELCLSQNLFIGTIPECSVNMTSLNGIYLDSNRLTFRIPASLWNMTRVAKAQPLIKCFNGSLPAEIRNLIKSSLSVLFRNDLRWETITCDARLRRVTVLNISSMKLTGSLPPQLGNLSFLVSLNISSNNFHGELPGELVHLRRLRYLNFGINNLGGELPSWFGSLYKLQYLSLRNNRFTGSIPPSISNMSNLENLGLSFNFIEGTVPIEFQNLHNLKNLIIESNQLSGPLPLHAFNLSSLESISFMNNSLSGILPDNICQRLQKLTWLSLEYNNLIGRIPSTVSQCSQLRYLALSENH >CDO96872 pep chromosome:AUK_PRJEB4211_v1:2:14191758:14195834:1 gene:GSCOC_T00014035001 transcript:CDO96872 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIFELNFIILRPFELAWKLFGYTTLFLPIFFFFLGFKLLYKRSVPNLPPSPTPTFPIIGHLHLLKPPLYRTFHSLSLKYGPIISLKFGNRLVVVVSSPSLVEECFTKNDIVLANRPQFLIGKYLGYNHTGIGSSPYGDHLRNLRRLCAMEIFSTSRLNMFLSIRKDEIRRLLIKLSENSLQNFAKHRGHNKDANTMIDHLLSFQESQPEYYTNEIIKGIILALLNAGTDTSAVTMEWALSHLLNNPEVLEKARDELETQVGTDRLTEEHDLANLPYLHNIILETFRLCPAGPMLVPHESSQDCNIGGYNIPRGTMLLVNAWAIHRDPNIWDDPESFKPERFEGVEIASSKLLPFGMGRRSCPGAGLAQRNNLARITAKMDAFLEGLIDEHRGHNKDSNTMIDHLLSLQQSQPEYYTNEIIKGIIMVI >CDO96916 pep chromosome:AUK_PRJEB4211_v1:2:14979561:14982250:-1 gene:GSCOC_T00014099001 transcript:CDO96916 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLMKNKLFSFLLFFFLLFAPSPTFSLSFPLSNYGTLLSLSHSLAVRVANLRASRGDFTGSARARTIAYKIESVQGFGLWKFTWNLGSDYLKNYAWRDINTVSITDLSFAVSDLNELLRELNELSRVGSDSERVAWVGRNYNRVFTVSRSLFDKLLKIFRQSGPFREVVETMQKEVVEGDLLRDCLELGTNDLKGLIQVLKDMAAQYTASTFSRTDL >CDO99729 pep chromosome:AUK_PRJEB4211_v1:2:9430961:9436404:1 gene:GSCOC_T00029406001 transcript:CDO99729 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAKKKALYRAKLNAQKKEKRIDSPLVRYNEHDQPVCKVCDVVLKSESLWPAHQASRKHHEAINNLKASAAAVNRANGAKPDPSKDMARPKTEQRDDLHNHKPEPSSGLPKPRPSSVLPSDFFDNHEGKRQKKETQAVDPFASNSKLDGFAKNRIADTSVDIQFSKEKGLTSKKTAVPESKQVKGTLPEGFFDDKDADLRARGITPVKLDVKDEYKEFEKLIQEDLQEIDNRLEEEEIDAAEMIEEAETVEQRTYRERVKMLRRKKMELKAARSSVDGNGIQDLGKKSINEESSSDDDSDENLTVDWRAKHL >CDP09483 pep chromosome:AUK_PRJEB4211_v1:2:21739802:21744771:-1 gene:GSCOC_T00028864001 transcript:CDP09483 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTADGGASSPEKSNSAPPQGIVPAFNDDIVEKLKTLEETASPWIDYALKQAQAAQKTIGHHLQDAIEVTKSRLDRIRTTSSAHFNQTLDSLQDAKSDFNAYEDLAIGKIREGFNLAAANPFIAAGSIFAVGLLGLKTPRRILYYKLRRLFASEQVLLSKADAKVKELRQSFEVLKAEAEKLEKAALQAEEEMTRGRTKLRQAGKQIQSVVRSAYKIERQAVGLKDVLRDLPSIEASRFRSQVSNLAKEAKKERRVLNKEVTKISNYGISV >CDP15487 pep chromosome:AUK_PRJEB4211_v1:2:45380381:45380917:-1 gene:GSCOC_T00015330001 transcript:CDP15487 gene_biotype:protein_coding transcript_biotype:protein_coding MALKEFQLHVVDMLICDKDERRIS >CDP17169 pep chromosome:AUK_PRJEB4211_v1:2:50505388:50506122:-1 gene:GSCOC_T00006337001 transcript:CDP17169 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQKLLHESKSKGPKDEDATDACFVKESLKPSLPRKSSPPRRGMTIRKILGIDWLEPSPPKPVIPPTWERASELEWGKFEEPIVQYVGCARNF >CDP15546 pep chromosome:AUK_PRJEB4211_v1:2:46545613:46551584:1 gene:GSCOC_T00015422001 transcript:CDP15546 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRQGTPGSGGKSSSSIFRKSLLRDIASCPIKNPSEDQLVSFLRSNYPRYERTKLRDFSRHVRNLLEETASNSRKSNSKRSRKLDKAEAKLQQMEMQHLINSRRHVGVEETGVSSVSPGSSEEEVSTSSSSSSDAIYGKEYEPEFDLMKSQLRDRYGEKGKGVGKRKRVAANVAVVEMEVVNNNSSKRNQKVDLVKEGRIEKRDWRKKGGGGENNDSDNGGAGVKGPKFKDLGGMSRVVEELKMEVIVPLYHPHLPQHLGVRPMAGILLHGPPGCGKTKLAHAIANETCVPFYKISATELVSGISGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHRIVKPADGNADSENSDARPGYVLVIGATNRPDAVDPALRRPGRFDREIVLGVPDEKARVEILSVLTSNLKVEGSFDLLKIARATPGFVGADLAALTNKAGNLAMKRIIDHRKDELSKENVDGEYAEEWWKHPWSLEEMEKLSITMADFEGAAKMVQPSSRREGFSAIPNVKWEDVGGLHLLRQEFDRYIVRRIKFPEEYEDFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTVFSRARTCSPCILFFDEVDALTTKRGREGGWVVERLLNQLLIELDGAEQRRGVYVIGATNRPEVMDRAVLRPGRFGKLLYVPLPTADERGLILNALARKKPIDATVDLMAIGRDSSCENLSGADLSALMNEAAMAALHDKLLCENRSSDGTPWTIKDEHFKRALEKISPSVSDKQIQYYQVLSESFKAA >CDP05218 pep chromosome:AUK_PRJEB4211_v1:2:2496814:2500111:1 gene:GSCOC_T00020184001 transcript:CDP05218 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGELDVRRWEDLDIDILVKIFQTFDIVQLTSGIGQVCSTWRLAACDPLLWKMLDLSLLKSNFIKIPLEPYVYVDGRSDRTLTRVLKIALNLSRGNILTLIFHYNLYVSDDQLTYAAERCPRLKRLVMPAWNRIKKTGICKAVHMWKDLESLTMPSIANPPYLMEEIGKNCKKFSELKIMGPCDIFFASTLVAFVPNLKVLSLRCSILVRDALIILLDGLQQLEVLNISHCLLIEVPTPPAPKKVVSQIDELILQKASRLRNFITCMNQSCIMCQRTRNDEGLIRWYKYEEELWKVDEVKSLAI >CDP08835 pep chromosome:AUK_PRJEB4211_v1:2:52609258:52610299:-1 gene:GSCOC_T00027944001 transcript:CDP08835 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYISFHIFPFLTLSGNSLNDANSVSGIAVHDECKLKFLELKAKRNYRYIVFKIDDISKQVAIEKLGSHDETYEDFTNSLPADQCRYAVFDYDFTTEENCHKSKIYFIAWSPDTSKIRAKMVYASSKDRFKRELDGIQVELQATDPSEMSLDVIRSRAL >CDO96808 pep chromosome:AUK_PRJEB4211_v1:2:13267468:13269627:-1 gene:GSCOC_T00013946001 transcript:CDO96808 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDSDVNAKLKSDRNEDDTAQTSPDSSLNISSIDLNEEASSNVDEETSSEVPDLSLVDCEKSSEGNSENYCTSVERNEKKVRQYNRSKLPRLRWTPDLHLSFVHAIERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDESGQVIGLAKRGMQGKGPFSGRMDQMCSPVEQFRYQNGAIVVASNPNGGNNIVNILSNASLQSPYETKSLSSRFQQWSYYQDSMMKSQGKSCNSSIFHAMHGATRNGPIRPSSFLEEKRWPPREFSSHHLKEKRVDPTASKWANAPFQPLYNQFHQPENSAGATYFMQRANWNRRDSLTAERVETNGNNPANILKIESPYRHQMMNEHKILDGKEWSPDLQLSLSRSLGSYKDMAQNKGESDVNTRLSLSL >CDP07807 pep chromosome:AUK_PRJEB4211_v1:2:26756332:26766702:1 gene:GSCOC_T00025197001 transcript:CDP07807 gene_biotype:protein_coding transcript_biotype:protein_coding METKLIREVSLTQAFAKDSAAIEKTVQTTVQEVTGLPKPLQDYDLLDQIGSAGPGLARKLYSAKSRDGHAVYLNVCVWLLDKKPQSEARQRAGLSNAAEDAFLDVLRADASRLVRLRHPGVVHVVHALDESKNAMAMVTKPLFASAANALGNLENVEKVPKELKGMEMRLLEVKHGLLQIAETLDFLHNNAHLIHRSVAPETILITSNGALKLGGFGFAISTDQSSSQFQLKSSLMLPFQEYDVENSILPLQPALDYTAPELVRSKTSTVGSASDIFSFACLACHFVARKPLFNGHNNVKMDMNTLTYLSSEAFSSIPWDLVSDLQRMPSSNKALRPTAMDFTGLPFFRDDTRLRALCFLEHMLYSYSNSALLQERDNMQKTKFLKALSDMWKDFDPRVLRYKVLPPLCAELRNLVMQPMILPMVLTIAESQDKNDFELSTLPALVPVLNSAAGETLLLLVKHAELIINKPLPVKNLCLSELVLLCHLMYKWLLSVLKFPIPVTPDTTQLKLLSLLGLFYVMVLNNFPRSIDSLLGSWYGIRGIDAIAVSFLIQYEMGVDYQMWGYSQSIEPLKFYMQKLFWSFIFAYNSVLSVYDVMKISKKLLPVAALLFTLEFINGNFPNGVMGKPYPAFQEWVMTMAYTHLTSNFYMFYQASHEHLISHVLPMLVRAYDDTDARMQEEVLKKTASLVKQLDVQVIGKCIAILIVLVCISLVLLRTLFSCQFGYSCVSFAVANSYYVVVI >CDP16449 pep chromosome:AUK_PRJEB4211_v1:2:30558464:30559378:-1 gene:GSCOC_T00018361001 transcript:CDP16449 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGQFETMLLFFRSFSLFFYFSLNFSVSCGIFYSSQLWITGGSYNSDLNVIEAGWHVSFNENKFFIYWTRNNFRSTGCYNLLCPGFAQTKNEYTLIFMSYSLILLGWFSI >CDP15237 pep chromosome:AUK_PRJEB4211_v1:2:48937141:48942871:1 gene:GSCOC_T00042877001 transcript:CDP15237 gene_biotype:protein_coding transcript_biotype:protein_coding MAANHSTIILSQNPEEAEERQQEKEDYGVLLYYKYFNTPIPDLQHLLDFYNSNCNSLSLLGRVRLSPTGVNVTVGGKMSALEKHIEAVKSNSLFEGTDFKLASCHQPLNDKVARECGFTSLSVRIVKELVTLSSNPLLKSPEISNAGRHLSAVEFHSVLQDAGKIQDKSNSSSDRRLILLDARNLYETRIGKFQNVNVKTLDPQIRQYSDLPSWIDDNSEQLRGNSILMYCTGGIRCEMASAYIKSKGVGFENVFQLYGGIQRYLEQFPDGGFFKGKNFVFDHRVSVGSLDSDVLGTCLLCGSSYDGYSSRCRCRYCRMLVLVCDGCQKKSPSYICELCKRSGKCVDSVSEMEDDNSEASEPAEIKLVSNSNQRKIPSSPALVNGSRTSKRLRILCLHGFRQNASGFKGRSGSLAKKLKALADLVFVNAPHELPFIYQPCLADQHNSISPSQDPCPPKVCSKKFAWLVGSDYQGKSDAGWTTADVPFDLLQYQQQTEGIDVSLAFLRTVFSQAGPFDGILGFSQGAAMAALLCGQLGKLKGDVDFRFVILCSGFAINMEGFQQGSINLPSLHIFGNDKGRDRQINSQASRQLASLFEDGCSEIIEHDLGHIIPTQSPHIERIKNFLQRFL >CDO96810 pep chromosome:AUK_PRJEB4211_v1:2:13302330:13303043:-1 gene:GSCOC_T00013950001 transcript:CDO96810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DOG1-like 4 [Source:Projected from Arabidopsis thaliana (AT4G18650) UniProtKB/Swiss-Prot;Acc:Q84JC2] MRTKIEEKFSNFFEIWMSKLEEYVQHHLTLKKENKSSDEEVHYVPLVNKLTQHHKDYYTAKWACASEDVLAFFTPVWLSPLENAYLWITGWKPSMIFRLVDSLRKGQVPGATSLADLSEEQVKKIEGLRSRIRVDEEKVEREMERQQVAMADRKMVELARLVSLTKNGEHLAAASSSQINGLVEMAIKELLAGLEKVMKMADCVRLKTLKGVLDVLNPMQSADFLASSSVLQIQMRK >CDP09322 pep chromosome:AUK_PRJEB4211_v1:2:23697858:23707294:1 gene:GSCOC_T00028639001 transcript:CDP09322 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNKDMHMEAGSNNLASRPNMATNSITKNFKGSDNHHNFQDQAAVELYSQSRAKESEIMFLREQIALASMKESQLLSEKHSLERKFSELRLALDEKQNEAITSASNELARRKGDLEENLRLINELKIAEDEKYIFMSSMLGLLAEYGIWPRVTNAFTLTNSIKHLHDQLQSKIKTSHDKIEELNAIAGNHTGKENPRAGPIMGQFPNSSMGMGGSSHQNHYLDGHQMEPADGVPTYLQENDHQQTGSLMLNHGIYGSRSADNHSKLTSDNDRQVWGSAGLLIWSEYAMKI >CDP04908 pep chromosome:AUK_PRJEB4211_v1:2:20522:22903:-1 gene:GSCOC_T00019776001 transcript:CDP04908 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARPNAAALWVGRCRLFCCRTLVSLPARREGRHFSALIFSNVKLSSRIGLTAVPAVSRREFCVRAATGVNDSGSVDSTLIQSMGRKIKEQLNAESVIVKDSYGDGRHVSIDVISTAFEGQSAVGRQRMVYKAIWEELQNTVHAVDQMTTRTPAEAAAEG >CDO99654 pep chromosome:AUK_PRJEB4211_v1:2:10178504:10181224:1 gene:GSCOC_T00029310001 transcript:CDO99654 gene_biotype:protein_coding transcript_biotype:protein_coding MELMDCGLLKQLESGHVGMTEAKYDLDDFDYCKFVQTTQLGLASVFDGELGRVVQAKGMIKTSGAGEIRKKISTLLLDLDCLDSEVPSDFFHCGKELEVLAIFNPGLQPFPLPLFEMDKLHLLVLRGCQLLVKVRSLKMLDKLEVLDLSGARSLKTIQDKCLYSNQELRILNLSESQITSLPLLRDLKKLTHLLLRDCTNLERLCKITALSNLQILDLSGSTNFKEFVDPSFEKLASLKVLDVSQTAVDKLPLDIGSLHQLCVRDCPQLKELPLTESVKGLLILDLSGSCYMEDIPESFFSHPTSIGVLNLSQTNIKRLPALSDLRNLRHLLLSQCISLETLGELKSTTKLEILDLSGCKALKEVQGRSLENMHRLQKLDLSGTNITCLPSLSSNLHHLILKGCSNLKELPPLNHLSRLEELNLSGVSSTKKVAHDLEHMVNLQILDLSDTQLEKLFSLLKLKNLKYLSLRDCPSLSEVPGLEALTKLEVLDLSGTGIKDLPSLENFGNLHRLLLKGCLSLEKFKDLKMHEVLKATIEELPYEISKVTCLEQLELPIFRVGELDSEKDKLPSEDQSQLPWSISNWPKEPAFDNKLIITVNSIDFLQFLKDNPSFWNASFTQFHFFVHPTEAYGRYGDKNFYRNELLLRDLYFNTRQHSAPMGRERSLEIRGFISFPKCLGLILAHAECIILVDDPFLTCLSDVGTGNIKKMNACWIERCNEMKDLFHTEKQDAGDLRIGENLEVLCVTHAASLRSICKGDLQSSSLRNLKCLLLEHCPQISALAASPEQLGNLETLCDDPTSP >CDO97461 pep chromosome:AUK_PRJEB4211_v1:2:19223630:19226677:-1 gene:GSCOC_T00014809001 transcript:CDO97461 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEKDSPKKPYGTNCSNNGNIKNKKKKKRGGSKRKLSLEQTVAYKSVSEWVFLDNSANSSSAAEIIDDFGVVHWNHPKERLVFDFHCHSIHSDGFLSPTKLVERAHQNGVKVLALTDHDTLSGIPEAQEAAFRFGIKIIPGVEVSTVFYPRGETGSEEPVHILAYYSVCGPTRIEELEKLLLGIRNGRFLRAKSMVSKLNKLKLPLKWEHITKIAGTGVAPGRLHVARALVEAGHVENLKQAFSRYLYDGGPAYSTGSEPNAEEAVELICKTGGVAVLAHPWALKNPVAVIRRLTEAGLHGIETYRSDGKLAVYSDLADAYGLAKLGGSDYHGRDGQHESDLGSVSLPMSSVHEFLKVARPIWFKAIVDILEKYVKDPNDANFHFITKFEKIRLRKGISAFSSASDLINHLLSLWLTKEERLNPELEAIKLKLSALSVSHAQPETDAARTK >CDP13835 pep chromosome:AUK_PRJEB4211_v1:2:33531286:33533801:1 gene:GSCOC_T00038928001 transcript:CDP13835 gene_biotype:protein_coding transcript_biotype:protein_coding MANLKGKKHLQELTLEWNGAVNDSQVVRDVLDNLQPHSSIKHLKIIGYGGTTFPDWLGNSSLSRLESLSLSNCENCFSLPALGQLQSLQSLEIVGMSYIFDLVENFYGDVSATKPFPSLKKLRIEKLPEWEKWYIPEGEVFNRLGELSIIDCPKLIGELPQQLASLQSLEISGCDKLVCPSALKNLRELPLQLNQLSQLEELTVDDCGSLSPSHVSRPPASLKSLVCKGRCNLELESSSGEVEWLASFPMLKDVKIKCCETLEMLSVPAAPVPGIGNQSGMTTATPTTSTSSVMTSLQTLYTRGCDNLILSFPLPNLTWLQIWDCEKLTSLPQRMESLLPSLRSLVLVRCPKIECFPDGGLPSSLQLLGIYNCKKLMSRRREWGLEKLPSLTDLIIMGPCDEVESFPEEDWRLPCTFKISTCGPFRI >CDO99899 pep chromosome:AUK_PRJEB4211_v1:2:7936304:7937006:-1 gene:GSCOC_T00029611001 transcript:CDO99899 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLQTLSLKSPAITSPPGRRRRVQSPITSVSPSGSRSLSGGGNSCDVHFIHLPISSKSIRASSSLSHQGDGSKEQLPQVKAGSWTKSLLKFASNNFLPLGKFMSQVLCL >CDP05294 pep chromosome:AUK_PRJEB4211_v1:2:3082081:3084601:1 gene:GSCOC_T00020289001 transcript:CDP05294 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRKKTAAMAYPKTLLSIVASLGGLAIFLILASSSLISGPFRSGGIVNLIGTASDEIVVDFSRRGYVSSSILRSTRDGDDFQESDGGFGDSRKAKSISQDNRGNDLIKNQPTLSGDKNELIDSRQQQEVGKDLAEPPAGFGSRDATAQLKQSHAAQQEKGIEDSSFSTGGDGVQIALSLSSNLSNTSNLQMDSKISTRLPSLGSSLKDVNPSPPMFTNSSPGSSVDSGCNLYHGKWVYDSGGPLYKNDSCPVLTQTQNCQGNGRPDTEYEHWRWKPTECDLPRFDARRFLDLMKGKTIAFIGDSIARNQMESMLCILWQASHIPPRELKVTSNVKIMQRFYFASTSTTIIRIWSAWLVNQTSEPFDFAPAGVAKLQLDAPDESFMEFITDYDVIVLSSGHWFAKQSVYILQDEIVGGQLWWPDKSKTMKINNIEAFGVSVETALTAMARHPDYTGLTIVRTFSPDHYGGGNWNAGGSCTGKVRPLKDGELVEDEFTNVMHQKQVTGFSRAVKKKTNKSKLKLMDITEAFEYRHDGHPGPHRSTDPNKITKRGPDGRPPPQDCLHWCMPGPVDTWNEILFEIIKREL >CDP05261 pep chromosome:AUK_PRJEB4211_v1:2:2802703:2804346:1 gene:GSCOC_T00020242001 transcript:CDP05261 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVQHIVVVLLIAIPLTKAVLDAHYYDKTCPQAESIIFQTLRNASLYDRKVPARILRMFFHDCFIRGCDASILLDSTPGNKAEKDGPPNISVRSFYVIDDAKTNLESACPHTVSCADIIAVAARDVVAMSGGPHWNVLKGRKDGRVSIANETIDLPAPSSNSTQLIQSFAKRGLGVKDLVALSGGHTLGFSHCSSFEARLRNFSSLHEVDPTLNTEFAENLKKKCPKHHTDSNAGQFLDSTASTFDNEYYKRVIAGKGVFASDQALYGDHRTKWIVESFARDQALFFSEFASSMVRLGNVGVNEHGEVRTKCRVVN >CDP05140 pep chromosome:AUK_PRJEB4211_v1:2:1928352:1932249:1 gene:GSCOC_T00020087001 transcript:CDP05140 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFILYDVSNWSHNAVRGGLIELLHNRVTGGRLVFILLSLCLSLRRCRPPSPPSSQFSTAAMIIPEKNRREISKYLFQEGVCYAKKDFNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSAKPLGRPMGGPPGDRPRGPPRFDGDRPRFGDREGYRAGPRGPPGEFGGEKGGAPADYQPAFRGSGGRPGFGRGSGGYGSAPPSSSFS >CDP05231 pep chromosome:AUK_PRJEB4211_v1:2:2585783:2590513:-1 gene:GSCOC_T00020201001 transcript:CDP05231 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDFVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADANSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFAAVNGHVRCMRLVVADFVPSAPFESMETQKIGDTNDVSIVKSKHEQSALSKFVNKAADGGITALHMAALNGYFDCVQLLLDLHASVSAVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLAKGANRMTLNCNGWLPLDVARMWGRHWLEPLLTPNSNSIIPVFPFSHYLSLPLMSVLNIARECGMQMSATPSDDGDTCAVCLERACSVAAEGCGHELCVRCALYLCSTSNIPSELLGPPGSIPCPLCRHGIVSFSRLPGTPAKESKLHLSLGLCTPCMLHPREQEQSTPSGSQDIRKNRVVAVSSDLFCPVTCSPFPSVAIPLCTCNDGPCPNFEAGENQTQGDSPTPSQSASVDSDKLEAVRLGKATCSSMFWGRRSCSREHRCNAEINA >CDO99543 pep chromosome:AUK_PRJEB4211_v1:2:11464987:11467697:1 gene:GSCOC_T00029170001 transcript:CDO99543 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRTGALITTSHFASTSIFDDFKVSTEFHRPKSCSSVRIQCSSSSPHLHVTTEKFGNDASLTGGAYDFEKATTSLTQKLLFTPKKVTLVRHGLSTWNEEGRVQGSSNLSTLTETGVAQAERCKKALVDTHFDQCFSSPISRAKSTAEIIWQGREQPLVFLDSLKEAHLFFLEGMKNVDALRMYPKEYSTWREDPSNFIVNGVYPVRKLWDTASDAWKEILLTPGENFLVVTHKSMLRALICIALGLGPERFRAVDINNGGLSVFNFNKQGEAMLQSLNMTAHMYTDHIYRHQQKVK >CDO96805 pep chromosome:AUK_PRJEB4211_v1:2:13244718:13246484:1 gene:GSCOC_T00013943001 transcript:CDO96805 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRELVELPLRHPQLFKSIGVKPPKGIVLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVEKRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVNLEKISSNTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVTNEHFQTALGMSNPSALRETLVEVPNINWEDVGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLSMWFGEAEANVRDIFDKARQSAPCVLFFDELDSIAVQRGSQAGDSGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRHQIFKACLRKSPVSRQVDLRTLAKYTEGFSGADITEICQRACKYAIRENIEKDIEKERKRRDNPDAMEEDIKDEASEITAGHFEESMKYARRSVSDADIKKYQKFAQTLQQSRGFGTEFRFSESSGPALGSDAYATSAGGAAEDELYA >CDO99525 pep chromosome:AUK_PRJEB4211_v1:2:11667942:11668316:-1 gene:GSCOC_T00029139001 transcript:CDO99525 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGYLHCLLILLLARVFLTAESSFADYKEALFDIDSVIPSHGIATCNGRVGDCIDEAEEMMLDSEASRRVLAQTRYISYDALRRGNIPCSRRGSSYYNCGSHQKINPYSGGCTRITNCVRNTR >CDP04977 pep chromosome:AUK_PRJEB4211_v1:2:535220:539482:1 gene:GSCOC_T00019861001 transcript:CDP04977 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLIESLSTLLIFPFIIGFVDAIQGNYHEEYANQVLESAKMHKDWLVSVRRKIHENPELKFEEYNTSALIRSELDKLGIFYEYPFAQTGLVALIGSGTSPVVALRADMDALPVQELVEWKHKSKVEGKMHGCGHDAHTTMLLGAAKLLNERKHYLKGTVRLLFQPAEEGGGGAAYMIKEGALGSAKAIFGMHIDYELPTGAIASRPGPASAGASFFEARIEGKGGHAAGPHNTMDPILATSSVVLALQQIVSREVDPLHSQVLTVTYVRGGNALNVIPPYVELGGTLRSLSTEGLHRLMERVKERS >CDP05281 pep chromosome:AUK_PRJEB4211_v1:2:2975422:2976924:-1 gene:GSCOC_T00020268001 transcript:CDP05281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan galactosyltransferase XLT2 [Source:Projected from Arabidopsis thaliana (AT5G62220) UniProtKB/Swiss-Prot;Acc:F4K6F1] MTTPPSKPPSKPKNHPELSSNRKNYSNLFQPYLSFRHPGTCLLLAILLLHIFLLLSARSLPFFTTSHPSKILFPPSTTVTPGHSATLDVDGSNQTALNLTVLCPYGKVYVYDLPSFFNHELLQNCHELSPWNSGCDLFSNHGFGKVANGISKLVPENLRHAWHWTDQFPLEILHHNRMMNYRCRSLEPESATAFYIPFYVGLAVGKYLWYNHTFEDRDRHCEMMLDWVNNQPYWNRSHGWDHFITMGRITWDFRRIEGRVWGSSCIYMPGMRNITRLVIERNPWDYFDVGVPYPTGFHPSTASDVTGWQNFVRQRQRKTLYCFAGGTRGFYRNDFRGVLLSQCYNNSDACRVVDCTGSKCSNGTSAILESFLDSDFCLQPRGDSFTRRSIFDCMVAGSIPVFFWRRTAYMQYAWFLPSQPDSYSVFIHRREVQNGTTSIRAVLESISKEKVKMMREKVIEYLPRIVYAKPNEGLEGIKDAFDIAVEGVLRRVKQKEGVYR >CDO99699 pep chromosome:AUK_PRJEB4211_v1:2:9740558:9741629:-1 gene:GSCOC_T00029372001 transcript:CDO99699 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVYYLVGLLIMAMDVAAGILGIEAEVAQNKAKNLRVWIFECRDPSYEAFKLGLVATVLLTLAHAAAVMLSGCVCIWSKEELDQSSNNKQLAAASHVLAWVIMVIAFSLLISGTISNSRSRQNCGISNHNQLSIGGILCFVHGLFAVSYYISATTIIQEEKMLKHPATAGAAANA >CDP00010 pep chromosome:AUK_PRJEB4211_v1:2:7024425:7025303:-1 gene:GSCOC_T00029764001 transcript:CDP00010 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CDP06814 pep chromosome:AUK_PRJEB4211_v1:2:37224567:37227815:-1 gene:GSCOC_T00023808001 transcript:CDP06814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 13 [Source:Projected from Arabidopsis thaliana (AT3G07560) UniProtKB/Swiss-Prot;Acc:Q9SRR0] MVSNSPASVGICGVAGSNPPAKPWERAGSSSGPAPFKPPSPGSTSDVVEASGTARPGEIVSTADRSASTVNANAVGRPMPSRPWEQQQQSYGGYGSSLNYSTGYGSGMHNSYGGLGSYGGLGGSYGSGLYGNNMYRGGYGGLYGGGMYGGGMYGGGYGMGSMGGMGGMGGMGAPYGDQDPNNPFGAPPSPPSFWVSLMRVMQGVVTFFGRVAILIDQNTQAFHMFVTALLQLFDRSGLLYGELARFVLRILGIRTKPKKGQPPGPRGLQGPHNPHGNQNYIEGPKAPPSGGWDNLWGDNTNN >CDP17815 pep chromosome:AUK_PRJEB4211_v1:2:27041252:27049481:-1 gene:GSCOC_T00009428001 transcript:CDP17815 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITTNVPFSVQRLGTETFVTVSVGKAWQIYNCAKLSLVLVGPQLPKKIRALTSYREYTFAAYGSSIAVFKRAHQVATWSRHGAKVNHLLLFGAHILSVDVEGNIFTWAFKEMDKSLAPVGHVMLEDNFTPSCIMHPDTYLNKIIVGSQEGSLQLWNVSTKKKLYEFKGWKSSISCCVSSPALDVVGIGCADGKIHVHNIRYDEEIVSFSHSTRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLQSIIREAHDCSITSLHFFANEPVLMSSSMDNSLKMWIFDTSDGDPRLLRFRSGHSAPPLCIKFYANGRHILSAGQDRAFRLFSVIQDQQSRELSQHHVSKRAKKLRLKEEEIKLKPVIAFDVAEIRQRDWCNVVTCHRDTAQAYVWRLQNFVLGEHILTPCTENQTPVKACTISACGNFAILGTAGGWIERFNLQSGISRGSYLDALEGKGAAHDGEVIGLACDSTNTIMVSAGYHGDIKVWDFKGRELKSRWEIGNSLVKIVYHRANGLLATVADDLVIRLYDVIALRMVRKFEGHTDRITDMCFSDDGKWLLTSSMDGSLRIWDVILARQIDALQVDVSITALSLSPNMDVLATTHVDQNGVYLWVNQAMFSGSANFESYGSGNEVLSVKLPSVAPAEGSPENDSEKAILDAPLQDTSHSTKLDKQIPDLVTLSLLPKSQWQSLINLDIIKARNKPIEPPKKPEKAPFFLPSIPSLSGEILFKSGKSSDKEKDTQAGEALSNGKKSDLPPSQFLQYLQSSVDVGNFSAFTDYIKSLSPSALDMELRMLQVIDDDDDDDQEMDKRPELYFIELLLDYFEHEISCRNNFEFIQAVIRLFLKIHGETIRRQSKLQDKARKLLEVQSAVWQRVDKLFQSTRCMVTFLSNSQF >CDP17795 pep chromosome:AUK_PRJEB4211_v1:2:51284500:51286504:-1 gene:GSCOC_T00003920001 transcript:CDP17795 gene_biotype:protein_coding transcript_biotype:protein_coding MGCITQIPVDILIDIVSRLSLKEAAKTRAVSRRWKNLFKFYSGCLDFDALDTIALIYMGKKRDRVESPKYIDWVNQVLASHQAQTVEEFRVSFDLDNTYAGILDSWTCFAAAKRVHRLELDLSPFHSRKTSLDEIYYCFPRDLEWKALTSLVLNSVNVVEEQLLCLLSDCPVLEKLSVSRAPSLYNLRLRSPNNKLKHLGLISCLGLEAIEVVSALNLFSFEYQGCRINMLFKDVPQLSSVSLKWAPNVSCDLCEDLLITKFSQVPFSISQLKTLALDLKLLVAMEFYLFPKTFSQFSNLQQLELQFFALYEQSILFLCSFIHASLRVCINWCSSTLSAGSQRSCQ >CDO99594 pep chromosome:AUK_PRJEB4211_v1:2:11064535:11065484:-1 gene:GSCOC_T00029231001 transcript:CDO99594 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTASSAKKTGQLLFRYRNQILTPNQRQKPCDVFINHRGIDTKKNVAGLLYDHLKRLGVSPFLDSKNMKPGDKLFEKIDVGIHQCKVAVAVFSPNYCKSHFCLHELALMMELKKKVVPIFCNVKPSELRVMDNRTCTSENLERFSRALEEAKYTVGLTFDTSTGDWSEFLTSASDAVMKNLVEVEGDRTYWRRHKFSSS >CDO96849 pep chromosome:AUK_PRJEB4211_v1:2:13897563:13900328:-1 gene:GSCOC_T00014005001 transcript:CDO96849 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCAKEGLNRGAWTPLEDKILTDYIKSHGVGKWASLPRRAGLKRCGKSCRLRWVNYLRSDIKRGNITDDEEDLIIRLHKLLGNRPATLLGRRNLPVFLPRIPVHVNFQTYHKKKKKKLFTLLICIVWDFKESVQEWSLIAGRLPGRTDNEIKNYWNTKIVKKIQGRQHLPACSSAPLTKPSSSRSRSPPLLQNLTRTDQPPNSEKLELESCTHVIRTKARRLTKVFIDEDLQTSAGTQQPGIIAPAEAQAPPSSADAKENFNKTPTDHNNTVGAEQPLGAVMESPSTFSGEEGYSSSSGFMMDFEMDDKYLFDFLSTDVVQFTHDFFEGVVQGTNIETNHEVETNDFPPNSCPTALSHDDDDDHNLADLGSITTFLHPALAWFHED >CDP00148 pep chromosome:AUK_PRJEB4211_v1:2:5775199:5781846:1 gene:GSCOC_T00029960001 transcript:CDP00148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEDD1 [Source:Projected from Arabidopsis thaliana (AT5G05970) UniProtKB/Swiss-Prot;Acc:B3H5K9] MNTTDSIKILLAASGGDTVKLFDVSVEPRDPCILSYAPSPGFQVNSIKWNHTNLVVASAGDDKKISLYRKNGQNLGTIPLAGTDSGDNIEESISTINFSNKASRYICSGGSGQVVRIWDLQRKRCIKWLKGHSDTITGVIYNCKDEHLASISLNGDLMLHNLASGAKAAELKDPNEQVLRVLDYSRISRHLLVTAGDDGSIHLWDTTGRSPKVSWLKQHSAPTSGVSFSPSNDKIIATVGLDKKLYVFDSGSRRPSFCIPYEAPFSSLSFTDDGLTLAAGTSNGRVVFYDVRGKPQPLTVLRAYGNSEAVTSLCWQRSKPVIVNESNCTTESALLGGAVEDSILMPDPLPSASTGTSVSTTASVPRNSGRLGTLTDSFSFLSGSGASTSSTPDISTADETPLRSSLWTGGNLARLHAPRSYNIKDDMEVFSPLVEVQPITPSLDKFWDENEGMKKDRDKKPSLVFPSSKRIPFCEDGGGDMHPISDWKSSSISKQDDAYSTFAQFASTPTASSRSTDASSITPPEAWGGEKISDKLSQLRQSVNMPSRFATLNSSNVTSRSMFSGLQDVTLTTSQTMGSLISSSLGLANLRVRETSTQEASFGSSEHVSYTSSSLTQAMRSSISQASLDSLGPSLSLPRRFSSYAERISTAPSFTDGTSLSVGSPKTKKTGAETREELVNSWLARSDKLSTSEAGVLPAMNGGVLQSLRPTAQPDSQQGSSFTLQLFQRTLEETLSSFQKSIHEDMRNLHIEILRQFHMQEVETSSVMRLILENQAELMKEVQSLRKETQQLRQLL >CDP05213 pep chromosome:AUK_PRJEB4211_v1:2:2457803:2462074:-1 gene:GSCOC_T00020177001 transcript:CDP05213 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLSSKLAANSAALQPDWQIGECVAIWWRPNFETIMYPYCPPHITKPKECKKLFLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMIHP >CDP05051 pep chromosome:AUK_PRJEB4211_v1:2:1147271:1152048:1 gene:GSCOC_T00019968001 transcript:CDP05051 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMKMEELSLPALFEQARKIHLAACESTVDQETLKKGCENLAQCEEMISKLGLFSTNETKDDISTTNLKYLLVLFYLGELTEKVAQDDRIQVLKVSQAKLKEFISFCEAMELVPEEELQMSSSGGANSFADLRAKKVARFRRQKAAEAKLLEIKERKERRGRSTRAAAISTPVETGEEDLLDDDGEEEREAWLTTISLALCKAFDLLEMLKKEEEMLSAINEKKLQGGDGEVSPAILDERAKKAEAWHRDAASRARFTKPAAPITCATFAQDVIEGRKRVSDVHEHKHQPMIFGPASLVAKNPTSERERLAAQVFQPHYRLPTMSIEEAGLKEMEIMNKWQERNAKLMEEANSSWYKDKLRPGEEEEDDDAAQEKARQWDDWKDDNPRGAGNKKLTPCG >CDP08864 pep chromosome:AUK_PRJEB4211_v1:2:52370322:52375250:1 gene:GSCOC_T00027981001 transcript:CDP08864 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTARDQKKGIEAVQSLKARASCDNVIFHQLDVGAPSSIASLADFIKTRFGKLDILVNNAAVLGVKVDSNALDSLADHVPGGYINWNEVSTQAHDLAEECLKTNYYGPKSTTEAFAPLLKLSDSGRVVNVSSSSGKLKFVANDWAQTILSDADCLTEDRIDEVLNEFLKDFKEGFLNAKGWPSFLSAYTLSKAALNAYTRIVAKKYPELTINCVCPGYVKTDMNYHRGVLSSEEDFYWTRIFRICKITIQFPLGWALSLLQHISGLIFLYVTLSLHYWLEFWELLDSEQTKTLWSTRNKKLRWIFRRVGEREGKIIGWTIEKLDSGYHRSLVLTFHRKSPLRKHLSKLVMVQSLSNFLLGPFGSSSPPNIEMTSFMLK >CDP05088 pep chromosome:AUK_PRJEB4211_v1:2:1469627:1476724:-1 gene:GSCOC_T00020018001 transcript:CDP05088 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGSNRNQAFIEFAELNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGNDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAQTASSAKDALDGRSIPRYLIPQLGPCTLRITYSAHTDLSVKFQSHRSRDYTNPNLPVAPSAIDASGQLSLGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGPVLKIAMFDKNGGVQALIQYPDVQTAVVAKEALEGHCIYDGGFCKLHISYSRHTDLSIKVNNDRSRDYTIPNAPMMSSQPSILGQQPIAMGGPAAHQYNGAQYPPPPESTAMPQHSVGWNTSAPAVPPPMPMQMHNHPYMPPASMPPEMGPGMMPLHGQNGLPHSAAMPPYHPQ >CDP19303 pep chromosome:AUK_PRJEB4211_v1:2:28868480:28873702:-1 gene:GSCOC_T00011532001 transcript:CDP19303 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGASGYVASWLVKLLLDRGYTVKASVRDLNDPDKIEHLISLDGAKERLHLFAADLVKDGSFDEMVDGCEGVFHTASPVKTVVSDPQAEVLDPAVKGTLNVLQSCARVSSVKRVVVTSSMASIAYNRAVKDGVVVDESWFSEPSYCVERKLWYMLSKTLAETAAWKFSKEHGIDMITIHPSWIVGPHLQPSINISVQLILNLLNGDESFPYATFNWVDVRDVAYAHILAFENPSASGRYCLVESAAHTSQVVKILQELYPTHQFPDKFSHDSIHINADYSVSNEKAKALGVEFMPVEESLKDTVQGFKKKNLVSL >CDP00038 pep chromosome:AUK_PRJEB4211_v1:2:6704015:6715160:-1 gene:GSCOC_T00029798001 transcript:CDP00038 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLENSGDAGPVEVEEEIDAEAEEIEPPPAAVPFSRLFACADRLDWVLMFFGSLAAAAHGTALVVYLHYFAKIIQLLRHGSEPADALFHKFTELALIIVYIAVGVFVAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGFANCWQIALITLGTGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVSHGKAHGGQIITALFAVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSSSTANHDGTTLASVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSINENIAYGRDASPDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLSNPSILLLDEVTGGLDFEAEKSVQEALDLLMLGRSTIIIARRLSLIKNADYIAVMEEGQLVEMGTHDELINLDGLYAELLRCEEAAKLPRRMPMRNYKETGTFQIEKDSSAGHGFQEPSSPKMMKSPSLQRAGLHAFRTADVTLSSQESPRVRSPPPEQVAENGVPVDVMDKEPSIKRQDSFEMRLPELPKIEVQSAHRQTLNSSDPESPVSPLLTSDPKNERSHSQTFSRPHSEFGDIPIKRKESRDSRHREAPSFWRLVELSLAEWLYAVLGSTGAAIFGSFNPLLAYVIALIVTAYYRDEKHHLREEVNKWCLIIACMGFVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENSADNLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAVLIGLLLQWRLALVALATLPVLMVSAVAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLRKIFKKSFFQGMAIGCAFGFSQFLLFACNAVLLWYTALSIKNHYMTLGTALKEYMVFSFATFALVEPFGLAPYILKRRESLASVFEIIDRVPKIDPDDNSAMKPPNVYGSIELKNVDFSYPSRPEVLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIQRFYDPVAGQVLLDGRDLKSYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASEAEVKEAARIANAHHFISSLPHGYDTHVGMRGEGTHDSLMAKNGLYVRLMQPHFGKGLRQHRLV >CDO99689 pep chromosome:AUK_PRJEB4211_v1:2:9832600:9834886:-1 gene:GSCOC_T00029360001 transcript:CDO99689 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNINRLRSLFSRIPSPTSFGHKLTTPRTPTNTKLTPKAETPVPISSQDKKINSLVNKFKKSTDNPIFRRYHHEHYEATVRRLADGRHFSAIKDILEHQKKYPDIRDEMFAVRLISLYGRAKMLDEAQKLFDEMPSLNCERTLRSFNALLEACVHSKNFDKVRELFKELPEKLPLKPDVVSYNIVVRALCHMGSPDSAVALMDEMETNHVKPNAVTFNTLLDAFNKMNRVEEAERLWGLMEKKNVTPNAGSYNSRLHGLVVDGRILDAVLLIEEMSKKGVKMNIFCYNAVMKGFVDAGNVNEAKVWYKKMAANEHRLRPNWATYGMLIPFACDKDDFDYAFELCADAIRKKRTVDIFVVQRVIDGLVARSKNDEAEILMEIANFKQSLVY >CDO97135 pep chromosome:AUK_PRJEB4211_v1:2:16712152:16714674:1 gene:GSCOC_T00014380001 transcript:CDO97135 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g49510 [Source:Projected from Arabidopsis thaliana (AT1G49510) UniProtKB/TrEMBL;Acc:Q9XIB8] MNMTAGRSDESGKLKFDHIVHKAKQLWDGSPQPVKSFPWNRAFDNFIQLILDTALVVIKYLSLPVFAVSCLSEMSYCAHERKLYFVPLPFLVGAAVAGILRTAALESSPSLKNAEVPWHLIAVAIFFTLIKWPGPYYPYWGRLLIPHFANGAFHQKLQWVHPRLVQKTPSDMLKGHLTVLLPFANYVEHSEEGTSAYQLKNNKTFLVLAQIFELTTCFWSNLFG >CDO97256 pep chromosome:AUK_PRJEB4211_v1:2:17637107:17639219:-1 gene:GSCOC_T00014529001 transcript:CDO97256 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIQTKSPRTSAISVLADKKEGKVGSSPPKRQGSGTVADIKNSDKIPCTILKKQRDISRARSALEKYVHDAQYRFLHNQISNLFADLLRDDLQFLASGRIDELSMASKLCPSLDSVCDKSTLICEGIARKLFPPESDEEYKEIDETHYAYRVRNRLRKQVLVPLRKALEAGADRLTMPTTKKDLGKKLFMLYQKDKRLLTGDSEERLTILLDIYTKGRSKVGPHHIVACLNDESVQYDAVEIRWSNIVEACAKKQEWRNCLAIYNVSGGTRNAAMDFALGMGLLISEISDEPWKGKVLTFGTDPIFCRIEGSDLRSKIEFMRKLRPCENIRFSKVFDQVLEAAVAGNTSLDRMVKKICVFTDVDFEKAASNFWATWASLRKYQSRGYEKLPEIVFWDVKECVSVPQVKKFDGLVIVKGFSDSWANAWLRKGEFATPEEMLKMVPTPEELMRSALHRKELQNLIVFD >CDP05148 pep chromosome:AUK_PRJEB4211_v1:2:1979931:1980841:1 gene:GSCOC_T00020097001 transcript:CDP05148 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKLPSGVRKVKKKQVKDELDRIKQAEKKKRRLEKALATSAAIRSELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDSCKVIMKKDEELNRWDCCDNFDILMGSREAVVPHEDLSNYSFKNMEWVSDTQRYGYGWSDWGNTGWMVSSEPMGRELYPQYLAEGGWDTAGISAGLVAAQAVSSLKIAEDAQVDKYVYNRMLRG >CDP16428 pep chromosome:AUK_PRJEB4211_v1:2:34843446:34845871:-1 gene:GSCOC_T00018313001 transcript:CDP16428 gene_biotype:protein_coding transcript_biotype:protein_coding MFMETGIMFIATMSKTRPGAYKRIIDVIASVPIQSQSRYFIHVEVGIYVEIVEVWVHKTNIVLTEYGKNSEVLTNSGTYESLLKSHYISLFAAVKGNRFMAKYITLENSAGEATQAGKRSCDEISGFVIQNSTLTAAPDLQSNKSQVHAFLGRPWFAWSTVIVMQSFLESIIDPARWYEWPGHRTDELTDREYGNWGAGADTGRRISWVGYKALNRSKEVIAFTVSKFIQADSWIPDSGILYTSGLY >CDP09397 pep chromosome:AUK_PRJEB4211_v1:2:22852713:22855412:-1 gene:GSCOC_T00028744001 transcript:CDP09397 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKRSNQMRIGNIGPLADSLFQQTRTSHPPVGATNRTGHATTAQYKGFAASSFSPRLCGESSQLFNMTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYLCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >CDO97364 pep chromosome:AUK_PRJEB4211_v1:2:18453912:18454796:-1 gene:GSCOC_T00014686001 transcript:CDO97364 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRKIQRYLQIDSPGLSTHCAWEATAVHIRKQHGTQLNCQHNCRNLLGEELSRLGIEDLQNLQNHLQVSLKSEEILLTDEIKELHRKGDLLYQENVELYKNINLIHQENTELQKKIHRQGCTNEAGRGTNTTHEVSNSNMNDLHAPIDLRLSQPHSQRNGPPADLITLG >CDP00075 pep chromosome:AUK_PRJEB4211_v1:2:6376100:6377275:-1 gene:GSCOC_T00029862001 transcript:CDP00075 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDSSTLIPKLIICFIAAASAAVVVTIYHCITAGHIRALLRLGPGYRTTFVLRHHHHQPEIPQSSMENSLAELIPSHKYQKGSGLVSEHDGMCAVCLSEFEEAEDLRTLPECVHSFHAECIDMWLYSHSNCPVCRTNAAPSPQMLMHLLESNVETPHEQHNSPILSVHHHHQPEIPQSSMENSLAELIPSHKYQKGSGLVSEHDGMCAVCLSEFEEAEDLRTLPECVHSFHAECIDMWLYSHSNCPVCRTNAAPSPQMLMHLLESNVETPHEQHNSPILSV >CDP09478 pep chromosome:AUK_PRJEB4211_v1:2:21814279:21815397:1 gene:GSCOC_T00028858001 transcript:CDP09478 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSISISPRKLRNDLYSHSYQEDSNIPLVISVLSSLIERTLARNERIAKKCTWTSTTLSKDVRTRVFDCHESPDMTIQSYLERIFRYTRAGPSVYVVAYVYIDRFCQLYSEFRISPRNVHRLLITTILVASKYVEDMNYRNSYFARVGGLTTKEINKLELEFLFLMGFKLHVNVSVFESYCCHLEREVSIGGGYQIERTIRCAEEIKSKQREQRGYSQIARVLL >CDP00011 pep chromosome:AUK_PRJEB4211_v1:2:7016198:7022777:-1 gene:GSCOC_T00029765001 transcript:CDP00011 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGKEEPRVLSRKSSTDISAGIPLKKRRFLLSPPSSPPREEDSIASRPGLKNNQISSSNPGPSSINVAVRSPEKSDASKINLLEVKKECVSAPNANLDNLDTDVSGVKLPELSPMFNLGSANRVESNTDLLLTGKLASSGVPGTPVGAALVRVKKEMHNKLVEDDFKLKLATGLGNNGKLALGPKEFLIPGLNVDPSLLSLSLSKEKHVTEERSGDTSLKGDSKSECANRSNWDLNTTMDAWEGSIGDTTFQGTIGGSGKTISLNDRRLLSSNSIVNVSSVKEKQVNATREPRSSFPNSSVQLIKPSEDSLRLTLSSSFGNVDFVRERTGLSAEVAPRMDISTNRHSGLLSTEDKKSPGVSVVKLEPSDENSKNSSVGTIKRSVELSDFNAVKREPIEKHNAEAVKLLASSPQDTTEQRSIKPEPTNEVSQELCRTSDLRLQQSIPMFVHSQESFSSSSVLPTPLTPQKPSPSRIPTSSDLSTSADVSNQSERSTHTKEPHMGSDGFLQASADMNPKTAHHRVREENMAAHKMSNNEAEDMNVDHPELKRTKEHVHDLRAHGEGSVSDEEKINISAETMEDESYGSECESDGKQVVVSKFLHGRVGRDDDDYEDGEVRDPLENSKIEELTADGSADSAKHGDCDNKHCPSGFPGGDTYTDQSCLVHKENDLKIHDNTAVDCIKESVGTVSNKNCEQLMAKDGHSDKLPLDGMATTDADEEPCSSSQRKLLEPTGKKSSQKSIEKDMSCDGTTSSGIRTVPAAGEPKGQIAKAVNTDEKPDSSLSKVEDSLNGNSAAKNSTSGGNKSRIINLPRASAISPSKTRSIPDRLLSSRNGRGRYSDPDGEKFIPRGKRDEIDADNPHRFLRERIQDQSFRNSRSNYTRGRGKFSGRLDTSRGEWGSNRDFAFGSYNDDYRFTRNKHAAAIADTELECNDFVIPPDGASLSIGRGRKSLNDDLPSFRRPSSRRLSPGGRDGPGSREIQMVHRIPRNISPGRLNDDNGVDLVGLRQDGKYARDLPDGIIEPAYTRPNSMYEGGNTQFVRGNRNFSTFQRRGFPQVRSKSPVGSRTRSPGPWTSPRRRSPAGFGGLQQLAQHRSPAMYRVERMRSPDRSCFPEDMVARRRGSPSFLARPSDDVRDVDSAREHGHPRPINSSRRSPSDRVFSRSTRRVDVLEPRIRTSGDEYFGRPVPSGRFQEFHGEGSSEERRKCGESRGLIRSFRPPYISDKGNYRESGQVWHDDGFNEGSGYKRRRF >CDP17778 pep chromosome:AUK_PRJEB4211_v1:2:51456081:51461445:1 gene:GSCOC_T00003896001 transcript:CDP17778 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 4 [Source:Projected from Arabidopsis thaliana (AT3G60840) UniProtKB/Swiss-Prot;Acc:Q9LZY0] METTCGSLLSELQKIWDEVGEPETERDKMIFELEQECLQAYRRKVDQASQCRAQLQQAVADSDSELAFIYAALGERPMHVRQTSGSLKVELQAVTSELEQMRKRKMERKNHFIEVVEQIDNISKELSVSSEETLSMTIVDDSDLSLKRLEDLKTQLLALEKEKSNRLKQVLDHLNTLNSLCLVLGLDFKLTVSEIHPTLDDSSSVRSISVQTIERLSIAIRRLKDLKIQRVQKLQDLAATMVELWCLMDTPVEEQQRFQNVTCNIAASVNEITQPNTLSLDFLEYAEAEVLRLQQMKSSKIKEVLFKKRLQLEEICRGAHMVVEDHNPIDFSIETIESGAIDPSYLLEQIEVQISKIKEEAFSRKEILEKVEKWLVACEEERWLEEYNRDDNRYNAGRGAHLILKRAEKARGLVNKIPAMIEVLTSKPKAWEKQRGVEFLYDGVSLLSMLDQYRILKEEKEQERQRQRDQKKLQGQLMVEKEALFGSKPSPTKSSRKIFGTSAGGGSSNKRFSVGGMMLQNSYPEKAVHTSQFSNKSNTSKLHVLQNFQPHGGTILSSGKRHISGVQSKKPSSYGSDQRQTELRSIRKPLSPLYSSFSSNANYANLQDEKNRNQELVDTLPSNKADMVTPNKRIPAFEGNETPKCMPIPMPLTPSTVSSTMRMAMTPATPCVPPGNGGIEYSFEERRAGFILPKSQCDIQGQY >CDO97492 pep chromosome:AUK_PRJEB4211_v1:2:19444177:19447065:-1 gene:GSCOC_T00014847001 transcript:CDO97492 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLVLILILSSGYLVGFSQGQLRVGFYGNACPDAENIVRDVVGEAAASSKDVAPHLLRLHFHDCFVEGCEGSILIQNGTTAEKGAFGHQGLQGFDVIENAKAQLEAVCPGVVSCADIVALAARDAIVLANGPFYQVETGRRDGLVSDKSLADNMPDVGDSIQVLKTKFQQKGLTAKDLVVLSAAHTIGTTACFFMTNRLYNFPPNGGSDPSIDPQLLPELTSTCPKNGDVNARLPMDRGSGQTFDDQILRNIRSGFAVLRSDASLYEDEATRSAVDSYFGFLAPFLGPSFEQDFAAAMIKMGRIEVKTGSQGTIRRVCSAFN >CDP17776 pep chromosome:AUK_PRJEB4211_v1:2:51480639:51484343:-1 gene:GSCOC_T00003893001 transcript:CDP17776 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLISWLLSFFILIALLGIILYQLMCLADLEFDYINPFDSASKINNVVLPEFITQGVLCFLHLVTGHWMMFLLCLPYLYYDFKLYTERRHLVDVTEIFNQLPWEKKIRLYKLGYLIVLMACSIFWMIWSIVEDEHY >CDO99466 pep chromosome:AUK_PRJEB4211_v1:2:12226647:12227899:1 gene:GSCOC_T00029055001 transcript:CDO99466 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDYTLQIALGQISSYVLTAYALQLYLVRSVIIDMLASFGSFIILSTLCAIFTLAFPPSVSLLRLSLLSGVFLLSLHLVPAFGDFCFQFPPSGSLQSAQLNHPIRSLLFSYNCIWVGNVNFLRR >CDP05075 pep chromosome:AUK_PRJEB4211_v1:2:1339124:1343383:1 gene:GSCOC_T00019997001 transcript:CDP05075 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSILGGDEEQQQREDNLLDEPEGLFSLSPTQRVYAFAACLLAGLVCMFLSMIVFVKPIKFALLFTFGNMLAVGSTAFLIGPGQQIRMMLDPVRIYATAIYVGCVVVALICALLIHSKVLTIIAIICEICALVWYSLSYIPFARRMVSSVTIRLFDTEI >CDP09344 pep chromosome:AUK_PRJEB4211_v1:2:23416875:23425694:-1 gene:GSCOC_T00028670001 transcript:CDP09344 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKTANVQSPDQEPSQPDSKPDLVNGDQIDQDQVPVFKEFGLAELRAATNGFSSELIVSESGEKAPNVVYRGKLRNNRLVAIKRFSRQSWPDPQQFVAEAAGVGKLRHKKLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRVRVAYHIAQALDHCIAENRKIYHDLNAYRVLFDEDGDPRISSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNVLLLMDSSLEGQYANEDATALVELASKCLQYEARDRPDIKFLLSAVAPLQKQEEVTSHVLMGLTKTPVVLPTMLSPLGKACTRMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKSAIDYYSKLVSMMSVPSGTVFVRRALSYLMIGQPELALRDAMQAQVCLPEWPTAFYMQALALSKLGMETDAQDMLNDGASFEAKKQNSWRI >CDP05116 pep chromosome:AUK_PRJEB4211_v1:2:1666245:1670107:-1 gene:GSCOC_T00020056001 transcript:CDP05116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:Projected from Arabidopsis thaliana (AT5G52920) UniProtKB/TrEMBL;Acc:A0A178U9G2] MWQGPEVRSGDLPQPIMLQPGQEFTFTIKRGVGTADCVSVNYDDFVNDVEVGDMLLVDGGMMSLLATSKTEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAAVVHELKNYLQSSGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRICRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVSLRTEATMVGGERPHNLGQAFKKHMSEMFAFHATMMSNTLGTSIVVFTRTGFMAILLSHYRPSGTIFAFTNEKRVQQRLALYQGVCPIYMEFMDEAETTFSNALTLLQKQGMVKAGEQVALVQSGTQPIWRLHSTHNIQVRKV >CDO99947 pep chromosome:AUK_PRJEB4211_v1:2:7558011:7559271:-1 gene:GSCOC_T00029675001 transcript:CDO99947 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMKGKLLKKLKTIKTIGYPKPDRILQVSAADGYVPDSPPNSAIKAQNQSVLLQEETKKIIQRTVRDQEPEIIDVSELMKDLEDQEMEIDDDDINDKENIRPQSSAKNPVFNTENLESLSPLKQKNDSSVPKELRGGDTKAAPLAEINGSNFRRPNLDSGTLFDPNLLEAFEQAVMEVKAQEAERRAKIEEKIWQGIEEEPPLKARKIEETADPLLNFEEKCPPGGSDSVILYTTGLRGIRKTFEDCHSIRFLLENFRILFFERDISMHSEFREELWQIFGGKVVPPRLFIKGRYVGGAEEVLGLHEQGRLRPLLGGIPIDSSNGHCEGCAGVRFIMCFNCNGSRKIKLEDGGGGESVNCPQCNENGLLICPMCC >CDO96743 pep chromosome:AUK_PRJEB4211_v1:2:12590503:12592206:-1 gene:GSCOC_T00013858001 transcript:CDO96743 gene_biotype:protein_coding transcript_biotype:protein_coding MELWTMQASSYSSLPSLLSLLILLLVTIQAGSVQLHDGFVQCLASQNSSSISDIIYTPENSSYLSILQSSAQNLRSTSISSSEPAFILTPCVESQIQAAIYCAKKLGIQIRVRSGGHDYEGLSYISRIPFVLVDLRNLSSISVDTENYAAWVQAGATLGELYHRVAEKSRRLAVVGGTCHTVGVGGHFSGGGYSMMSRKFGMAVDHIIDAKIIDVNGQILDRKSMGEDLFWAIRGGGGASFGIIVAWKISLVSVPENVTIFNVTKTMDQNAIELVHKWQYIADKIDPNLAIRLEFNAVSSPQDGNRTIRASFVALFLGGVDDLLYLMQQSFREMGLVKEDCSEMSWIESTLFFALFPRDESIDVLLSRTPVYRLYFKGKSDFVRHPISVNGLKGMLERILEDDSTNAHRSLQFSPCGGRLSEILESATSFPHRGGNVYIIHYWAAWSREHNNAENTREMKWIRRLYKHMAPYVSKSPRAAYLNYRDLDLGVNNIDGITSYEKASIWGTKYFKQNFDRLMEVKTMVDPGDFFKNEQSIPPLSGGTKEISNYASSNPWQSKGKNEIKSW >CDP08837 pep chromosome:AUK_PRJEB4211_v1:2:52585917:52591457:1 gene:GSCOC_T00027946001 transcript:CDP08837 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGLHLLQQQVTSSTTSSPSPSPSSSQSQPKIWGEKVSEAVLSAYNSLSKKGKPQGREVTVLAAFLISSPLKELEVLALGTGTKCIGRSRRSSKGDVVNDSHAEVIARRALLRYFYSEIESLSELCKKCRDSCGRIPLEGDDIATPLSCVEKNGFSPKKFKMKQGWKLHLYVSQSPCGEASVSSETLQDTISSGEISSCFFGRVSNVIVGVDEPNAGHNGTVQRKPGRGDATLSVSCSDKIARWNVVGVQGSLLSYFMEPVYVSSITIGLSHVNTEISVMENNLRRALHERILPLADKLIDPFQVNKPVFCIAGVPPREFQHSEAALSTLTCGYSICWNKSGLHEVILGTTGRKQGTSAKGAIYPSTESNLCKKRLLDSFLSLADQWAAEYAVNKKSYRELKDRASDYSFTSKIFKGSPYFSNWSVKPREFESFIVQDNEGPTSEGKSGMVKKKN >CDP06812 pep chromosome:AUK_PRJEB4211_v1:2:37151878:37152377:-1 gene:GSCOC_T00023805001 transcript:CDP06812 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKSGSRELRPKSAYSPVSIPTALTLLQEGLLGVPYHDFHGNLPIDVGLTLPNLKLLAVTENNFSGIFPTSITNASGLEEGT >CDP15489 pep chromosome:AUK_PRJEB4211_v1:2:45384065:45387321:-1 gene:GSCOC_T00015333001 transcript:CDP15489 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSISSSPPMQQSQSLNVKSLHTSLFCTLIHLFLRCHRLSKAIGAFSAMRSHNLTPDIRSWNRLLAHFNDAGLVDQVMILYSEMVSSGVSPNVGTRNIVIHSLCKVGKVKEALVMLRGYNLSDTVSYNTVIWGFCKNGFQKAGLGLVSDMVKRRVKIDTFTCNILIKGFCEMGLLETAEFVMQMFSGSSNVYRDIVGFNTLIHGYCKVGDMNGAVRLTEKMREEGVLPDIVSCNILIYGFCKVGDFDRAKSLMDELLHSYEDVNIYNNKQQQNSDQGENKESLVRRLDVQPNLITYTTLISTYCKQHGVEEVLAIYEELIVAGLFPDVVTYSTVICALCKAGRIAEAKLLFEDMKMVGVDPNHVTYSILVDTLFKAGNGIAADSIQSQMVVRGIAFDVVVFTTLMDGLFKLGRNKEAEGMFQILVKSNIVPNHISYTALIDGRCKLGDIGGAESLLEEMVHINVLPNIVTLSLVINGYVKEGMLDAASNLMKKMVSMNIMPNVFTYGILIDGYFKAGKREIAYGLYEKMKLRGIKENCFILDILVNNMKREGRADEAEALFRHKVSSGFLPDHVNYTSLMDGLFKAGRESVALGIAQEMAEQNLGFDVTAYNVLVNGLLGLGQYEMQNVYEGMRQFGLVPDIATYNTMISAYCREGKLEKALEIWKEMRSCGVMPNSVTCNVIVKGLCEVGEVGKSMDLLNEVIVLGFTPTLTTYKSVVDAASKCERAEAILQIHSQLVDMGLKLNVSVYNTLITVLCRLGMTRKAKSVIKDMKEKGFSADTITYNALIRGYCKSTHIQKAFVTFTQMQVEGVAPNIATYNALLEGLSTAGLMDEASELRQKMRKKGFIMNANTYEILISGNGKAGNKRESIKIYCEMITKGFVPRVGTYNVLMHGFAKLGKMKQARELMNEMEHRGVRPNSSTYDILITGWCELSTQAEVERSQKLAYQAEARRLFKEMNDKGFTPSETTISCISPALAKPGKRVDAQRWLEKFYKRKST >CDO99991 pep chromosome:AUK_PRJEB4211_v1:2:7167508:7171050:-1 gene:GSCOC_T00029741001 transcript:CDO99991 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSAAAASSSSSAASSQFTYTTGTYFPTPFHLQQPQTYVAAAAAASIPQFPAPPPAVPHVYPAPATVPTVYSLPQYQQAQQLFQRDAQTITPEALESVKAALASSEIEHKAETKKKAVPRKAAGQAWEDPTLADWPENDSRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPSDLAAALKEMNGKYVGNRPIKLRKSKWTERTNFEALEKQKSHPQKKPKLAKKFVMHK >CDO97338 pep chromosome:AUK_PRJEB4211_v1:2:18258833:18260942:-1 gene:GSCOC_T00014655001 transcript:CDO97338 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYNFRSLAELGMDHGFSNHWSMSSLDELSTISSMAAPYHDNLHMHSSQPIFSQKHSMEMSPNDANRPMKQQKTSSWSSSNSDHLSNANFHVVNSSIYSDQVATVKPKEETMSSVTMSFSPDMIVSHGSYDQNQNYVFKASQGAKRVSTNARLTQAQDHIMAERKRREKLSQRFIALSALVPGLKKMDKASVLGDAIKYLKQLQEKVKTLEEEARENSMERVVYVSKYTLCDDGHEDSSSDENFCDEPLPEVEAKFCNKEVLIRVHCEKRKGIQEKTIAEIEKLPLSVINNSAMTFGGSTLDITVTAKMEEEFAMPIKDLVKHLRSALKKFM >CDO97538 pep chromosome:AUK_PRJEB4211_v1:2:19792157:19797789:-1 gene:GSCOC_T00014913001 transcript:CDO97538 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSNFQTAQIMPIKTIPSTQTLSSKISLLPPSTLCKPLLIKASSTSSSSSSGLVDRVGDTVPHLERCFQALPAVDSAAASLSSSPVMKKDYGAFGAVTLEKSKLDMTQKQAKSSPELATGGGGGDIGKKINHGGGDGGDDDGDDDSYFDDFDDGDEGDEGGLFRRRIVFQELFDRKFVDAVLSEWQRTMMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTTTRFISRSLPQGLSRAFIGRMIADPAFLYKLLLEQATTIGCSVWWEVKNRKERIKKEWDLALINVLTVTACNAIVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFEMSYPMREFDLQKRVHSFFYKAAGLCMVGLTAGAVQGAVSNLAASKKEGRLSVTIPSVSTNALGYGAFLGLYANLRYQLLCGFDRAVVNYFDVIGVGLFFSTALRVLNVQLGETSRLAWLGVEVDPLAESDLLKAYNRPSESVNRTSPSKWFISKNAIVSGLGLLGIKQLEGDSTTEGEAPTPKVRRKRVVRKKVARSQV >CDP05058 pep chromosome:AUK_PRJEB4211_v1:2:1203480:1213551:1 gene:GSCOC_T00019977001 transcript:CDP05058 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSKKRGRPKGSKNKKKLDLEKVGGVDVVDQVGGLDVEAIATKGQVDVGVGENVNKSSGMGSKKVGRPKGSKNKKKLTFQKNGVVSNVDSGEVGLTRGVRLSTDCTCSTINVDDFVDDNKEQIGASAKIDEVVDQVGGLDVEAIATKGPVDVGVGENVNKSSGMGSKKVGRPKGSKNKKKLTFQKNGVVSNVDSGEVGLTRGLRLSTDFTCSTINVDDFVYNNKEQIGASAKIDEVVGQVQLVNGRGESGDGFFKKKDGRGRPKGSKNTNDCPGMPIGSKSTKNHRGRPKGMKNKEEDVAAQEIEEVPFLSDVGCNGGNEGRLIEDNKHARFEKVRKTRSGEGSKKIGRPKGSKNKKKLTFQKNGVVSNVDSGEVGLTLGVQLSTDFTCSTINVDGFVDDNKEQIGASAKIGEVVDQVQLVNGRGESGDGFFKKKDGRGRPKGSKNKKKRQGRPKGSQNTEDCPGMPIGSKSTKNRRGRPKGMKNKEEDVAAQEIEEVPFSSDHKGFKKIPLLPDADGVFTCTDGKDRDFAGSKGSEEFFTYVDGFHERPKERPRKFVNKSLRCAIMGQKSVITASGMADATSCKGQRSLTCHQCKSNDKIGIVFCSKCKKKRYCYDCIGKWYPERTRKDVEDSCPFCYGICNCMACLQANVATKACHKETHENARLETTLYLLANILPLLRNIQREQRSELDFEARILGARLPEEDITKSVLEVDDRVYCDNCNTSIVNFHRGCPNPGCSYEICLNCCRELRDGDKWAYKKGNGQAGFLQELPEWTVKPDGSILCPPKERGGCGSGLLELRQIFDANVVDELIRSAEEITSKYQLRDVDFSQECALCCPTSSVLDGNNHLKKRQAACRTNSDDNFLYCPNAVDLGDSDFEHFQMHWRKGEPVIVTNVLAKASGLSWEPMVMWRAFRGAREKLKEKSFCVKAIDCLDWCEVEINIHQFFRGYLEGRRHYNGWPEILKLKDWPPTNSFEECLPRHGAEFVAMLPFSEYTHPRFASLNLATKLPDGASKPDLGPKTYIAYGYPEELGRGDSVSKLHCDISDAVNILTHTTEVKIAPWQCEMINKLRKVYDDEDKNQLHQDIDEGQGTPETKLVQQLFKPEVRDTKCGDVQCKGPSSNSMLLGTAKSDNPLLIPKCSTEVPNMFRTSEQAEASSGVPPVVNQNNGLDHQMIEETSSTPINGCNETNSFSGLLVGMTNDMVVKDVRSTDSANWKSEIGDVPNNRCLDVVENNSMPTGPNMSISNKLLTNASAPVSENHPLRNENASMATHGGAVWDIFRREDVPKLAKYLQKHWKEFRHINNAPVNSVVHPIHDQTFYLNERHKEQLKQEFNIEPWTFEQYVGEAVFIPAGCPHQVRNRQVKHC >CDP08696 pep chromosome:AUK_PRJEB4211_v1:2:53753499:53756796:-1 gene:GSCOC_T00027751001 transcript:CDP08696 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSYLNRRNRMQGSHQPTEHPCSSSVVKHIRAPVDIVWSLVRRFDQPQRYKPFVSTCIVNGDLKIGSVREVNVRSGLPATTSTERLELLDDEKHILGIKIVGGDHRLKNYSSILTVHPEIIDGRPGTVVTESFVVDVPQGNTQDETCYFVKALINCNLKSLADVSERMAMQENIMPIN >CDP04944 pep chromosome:AUK_PRJEB4211_v1:2:312840:322277:1 gene:GSCOC_T00019815001 transcript:CDP04944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MSIENYHVIELVGEGSFGKVYKGRRKFTGQTVAMKFIPKHGKSDKDIHNLRQEIEILRKLKHENIIEMLDSFESPQEFCVVTEFAQGELFEILEDDKCLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSIVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIIKDPVKYPDNMSSNFKSFLKGLLNKVPQSRLTWPALLEHPFVKESTMDVDEKQIHDLSSPARGHNVAPKTKVSTVASPESKSHSVVNGEGHDLDPHPDAFSKNHTSAGGDLIKEEFPGFPGSVDVVQSGCEVLDRLENHSRTVKGAQKIGQDREALSVILVPLNNWCSGSQNSSRVQDVTLNQSLRILSNIAAAGAFTLSGIVDEVIVQLLGFNSDILKLKPNDGNDLMAKSFSIVKKLLDSSESCNGGSYFKHWKTLLELYSQVVSCLDGVSGRALYESTACITVILSIAAQALKTFAATSAPREISASTVVDERLDQVLEHAKTSGLAEILCLCLAKSGSSLMSGSSNLLRAGCEACRAIWLLVNAFEFLSCKDNARPFPLYSLRSHSLFQLDISGCGQGSLSETDLAAIVDGVTKAFIRSKAIQIAMYYCLHQRVEPTLSAAVQLILRFCLTSGTVASILCGLPTSLPVTTVVNGGGDGTIVSQIFSILSFCSSSTKETHGGEAVELKSKATDPYNLVQHCCLVIGTVAQILKLPGRNCALLMLTSSSKKQFSRLSLLAQHFSSDERMQSTFPPSRSSAMLAFASILSLENGVSVESTVAEIAVPLVPRTATLCDYLKVLPCEDSAVRYNVVSGMLSYWHGLRDGCVGLLESRLKWGGPLAVQQLCACGIPQLLMDVLSNNFAHSSSQISSCTEDHIGLSPVGVVWTLSLVCQCLSGGVSIFRQILLRKEHIKLTSDLISDAHLKLVRCWNGPGGRKDGVRDLINAVVDLLAFPLVAIQSAPGPAATASVNSGFLLNVGSPGGRVCAEDKDMAKAIEANMGKYIQLLLEIAIPGTILRCLEHIELKDVARPVAFLAKMISHRPLAVQLLDSGLLDPSRMRRLLGSLCPREVTLDVLMIISDLARMDKAFYQHIDGADILEFLKDFLTHEDPNVRSKTCSAIGNMCRHSSYFYSLLAKYHIINLLIDRCADSDRRARKFACFAIGNAAYHNDLLYEELRKSIPQLSNLLLSSEEDKTKANAAGALSNLVRNSDKLCEDIVSKGAMQALLKLVADCATVALNPSRRDAITESPLKIALFSLAKMCAHPPCRQFLCSSELFPVIKRLRQSPESTISKYASVIISQAAEVSS >CDP13908 pep chromosome:AUK_PRJEB4211_v1:2:4269940:4273070:1 gene:GSCOC_T00039046001 transcript:CDP13908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable chalcone--flavonone isomerase 3 [Source:Projected from Arabidopsis thaliana (AT5G05270) UniProtKB/Swiss-Prot;Acc:Q8VZW3] MADLSGVVTSNTRLFSANKESAAGFFRNNFQMGTEVVKVDEIPFPLQVTPSTTKPLSLLGHGITDIEIHFLQIKFTAIGVYMDSEIVTYLQQWKGKKCTDLAEDDDFFEAIISAPVDKFLRIVVIKEIKGSQYGVQLESAVRDRLAADDRYEDEEEAALEELIEFFQPKYFKKDSILTYYFPAGSSASAEIAFTTEGKEESKIKVENANVVETIKKWYLGGTRGVSQTTISSLANTLAAELSKE >CDO97550 pep chromosome:AUK_PRJEB4211_v1:2:19883489:19884865:-1 gene:GSCOC_T00014927001 transcript:CDO97550 gene_biotype:protein_coding transcript_biotype:protein_coding MIALLQEFFLTDSSSFLAAAVSHVYFHLLVTTILLLVVYLFYIRSKCIYLIDFSCYLPPDNLRVPASHFIEHSERCQVHEREAIDFHTKVAERSGVGNEACAPLSVHQMPPDSSLIRCREEIETVLFTVVEDLLSKHKVNPKSIDIIVSNCSIFCPIPSIPAMIINRFGFRSNVKSANLSGMGCSAGLLAVSLAKDLLKVHSNSLALVLSIEAVTPNGYRGNVKSMLLANALFRMGGVAILLSNKKRDRQTARYKLKHLYRTHMGSNDQSYMSVYQENDKSGHLGVSISRSLLHVAGNALKTNITDLAPAVLPYSEQLLYGWSVIRKKIWAVSTQNEIHLPNFKKAFDHFCIHAGGRAVIDAIEENLGLNKEDGEASRMTLYRFGNTSSSSVWYELCYLESKGRVKKGDRIWQISFGSGFKCNSAVWECISHPDPNVRNAWSDRIHLYPVEIPIIADH >CDP08588 pep chromosome:AUK_PRJEB4211_v1:2:39753972:39759160:-1 gene:GSCOC_T00027582001 transcript:CDP08588 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLRFTPVSFTKWWEPVQFHRMNKNVVVCAAKGPRPRYPRVWKTRRKIGTISKSIKLVECIKGLSNVKEEVYGALDSFIAWELEFPLITVKKALKTLENEKEWKRIIQVTKWMLSKGQGRTMGTYYTLLNALAEDGRLDEAEELWTKLFSENLESMPRLFFEKMVSIYYRREMHDKMFEVFADMEELGVTPTRSIVKMIGDVFQKLGMMDKCLKLNKKYPPPKWVYRYIKGKRVRIKATDLYDSDRNSVGDSDDDANSASSGSTENTDIQMDEQGEVDHHQNQATDVRSLESVKPIESKVF >CDP15515 pep chromosome:AUK_PRJEB4211_v1:2:45863177:45867129:1 gene:GSCOC_T00015373001 transcript:CDP15515 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLFCNQNAVGNLSNFQETVKDIIVNRRNSPSTCSFPSALTPPTLKTSLPFLSSFSSCSFRLNCYRKDDEHLSTSLAYDVLGLAPDCTPAELKAAFRAKVKEYHPDVRQDKGSSDMMIRRVIKAYEILSNCSRSEIIERECMDPFDEPECEAFDVFVNEVLCVGKGCPYSCVKRAPHAFEFSSLTGTARATSQGHGKDYQVQSAVGQCPMSCIYFVTPSQRIILEELLDSIIGAPYDTSAEADLLYSLIVKAKFENNRYRKPKQQPKVSTKHVDWF >CDP08644 pep chromosome:AUK_PRJEB4211_v1:2:54163334:54169166:1 gene:GSCOC_T00027679001 transcript:CDP08644 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSADEKPFHAWSWPVEQCLKEYSVKIDKGLSTYEVEKRLEKYGWNELQKERGKPLWWCVLEQFDDTLVKILLIAAFISFILAYLHGSEAGDSGLEVYVEPIVIILILVLNAIVGVWQENNAERALDALKEMQCDSCKVRRDGHLVPGLPAKELVPGDIVELRVGDKVPADMRVAALKTSTVRVEQSSLTGEAMPVLKGTDPIFLDDCELQAKENMVFAGTTVVNGSCICLVVNTGMHTEIGKIQKQIHEASLEESDTPLKKKLDEFGNRLTTAIGIVCLIVWAVNYKYFLTWEIKHGWPTNFRFSFEKCTYYFKIAVVLAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVTEFFTLGGKTTISRIFSVEGTTYDPKDGGIVDWNCYNMDANLQALAEVCALCNDAGIYSDGQLYRMTGLPTEAALKVLVEKMGVPDSKARNKIRDAQLAANYLIDHNTVKLGCCEWWTRRSKRVATLEFDRVRKSMSVIVREPCGRNRLLVKGAVESLLERSLYIQLADGSIVPIDEPCRQLLLSRHSEMSSKGLRCLGMAYKDDLGELSDYYAEGHPAYKKLLDPSCFSLIESNLVFVGVVGLRDPPREEVHQAIEDCRGAGIKVMVITGDNKSTAEAICREIHLFSEDDDLAGRSFSGKEFMELSSVEQMKILNEPGGKVFSRAEPRHKQEIVRMLKEIGEIVAMTGDGVNDAPALKLADIGIAMGVTGTEVAKEASDMVLADDNFSTIVSAIAEGRSIYNNMKAFIRYMISSNFGEVIAIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRRSNDALISSWVLFRYMVIGSYVGLATVGIFILWYTRASFLGINLVSDGHTLVELSQLRNWGECPGWSNFVAAPFTVAGGRVITFSNPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEDTSLIKMPPWRNCWLLLAMSVSFGLHCLILYIPLLADVFGIVPLTLNEWLLVLLVSAPVILIDELLKFVGRRKTQRRKVKAA >CDP15854 pep chromosome:AUK_PRJEB4211_v1:2:45159233:45161434:-1 gene:GSCOC_T00016746001 transcript:CDP15854 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEFRIKLNLANSCIQLSLAAVLVVLASLTFPSDCFHHDDHHPEITAALFVFGDSLIDPGNNNYINTSTPFQANFPPYGESFFKYPSGRFCDGRVIPDFIAEYAKLPFIQPYLQIGYQYQLAYGSNFASAGAGALVETFPGFVINLKQQLWYFNEAEKQLRSNLGKTGAERILSNSVYFFSIGGNDYTTDSRTSGIYKSFTPEDYVAMVVGNITAALEEIYKKGGRKFGVLNLPPLGCIPVYRAADLAAGGTGECNGEITALVKLHNVLLSKKLEHLQKQLKGFRYSYFDIFAVVDEIFDNPSKYGFKEVKSGCCGSGPFRGADSCGGKRGIKEYELCDNPQDYFSFDSGHPTQAANQLFAKLIWAGPANITGPYNLKSLFQISFVT >CDP15521 pep chromosome:AUK_PRJEB4211_v1:2:45987265:45992001:1 gene:GSCOC_T00015379001 transcript:CDP15521 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLGSLSEATSGAVGALVSTTVLYPLDTCKAKFQAELRTHGQRRYRNLSDVLLEAISTGQVFSLYQGLGTKNLQSFIAQFVYFYGYSYFKRLYLERTGYKSIGTKANLILAAAAGACTAIATQPLDTASSRMQTSAFGKSKGLWRTLTEGSWSDAFDGLGISLLLTSNPAIQYTVFDQLKQRMLTSKQANNDVGSSAVALSALSAFVLGALSKSVATVLTYPAIRCKVMIQAADANDEVDKKVKVDSKAKPKSRKTISSVLCSIWRKEGIPGFFKGLQAQILKTVLSSALLLMIKEKISATTWVLILAMRRLLMLNQARLKKA >CDP15494 pep chromosome:AUK_PRJEB4211_v1:2:45418518:45419380:1 gene:GSCOC_T00015340001 transcript:CDP15494 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLIPRNSGIPIKKSQVFTTYQDQQTTVSIKVYQGERSLTKDCYELGKFDLSGIPPAPRGVPQIEVTFGVDANGILQVTAMDKAAKKSNSITITNEKGHLTAEEIDRMDALEWLDGNQNAEKLDYDEKMAGLEAAFNPIIRKANESSAGSSADPEDESNYEL >CDP05302 pep chromosome:AUK_PRJEB4211_v1:2:3148560:3149345:1 gene:GSCOC_T00020298001 transcript:CDP05302 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWSAENATKAFLKTMKMGKGTKEPNGAEFISALAAGNNVQLIVVACSSVVDCTTLALVAAAQQTGGRVICILRGQEELDMSEKILGSNATQVQFVMGDAHMMLSNYCKEADFIAIDCNLENHGGVLRTIQESTRQKNTIVLGYNAFCKGSWRKGGLHTQLLPIGEGLLLTRISANANFNYYPVKSVKTSHWVVKVDKCTGEEHVFRIRSPQGRVVKA >CDO99879 pep chromosome:AUK_PRJEB4211_v1:2:8109170:8119639:1 gene:GSCOC_T00029582001 transcript:CDO99879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller domain containing protein [Source: Projected from Oryza sativa (Os03g0835800)] MEYFGHTAVNIGKSKIVVFGGLVDRKFLSDLFVYDIENKLWFQPECTGTGSDGQVGPRPRAFHIAVAIDCHMFIFGGRSGGKRLGDFWVLDTDIWQWSELTSFGDLPSPRDFAAASAIGNRKIVMYGGWDGKKWLSDVYVLDTISLEWMELSVSGVLPPPRCGHTATMVEKRLLVYGGRGGGGPIMGDLWALKGLIEDENESPGWTQLKLPGQAPAASCGHTVTSGGPNLLLFGGHATGGWLSRYDVYHNDCVVLDRVSVQWRRLPTNNDRPAARAYHSMTCIGSRYLLFGGFDGKSTYGDFWWLVPEEDPIAKRLTTSLPTVIPENKNASIANDSFISQDKEGQTEGSIFSELHRKLEVSVSLNHHKLIMDELEDREFAELASQVNGEKFPSNVQALRHHWKMSSPESIQLKELSPLLRDYQRLIIRHHLNKVDLKSQPVESGFPERETFRYYHIRNAMQLRIDDIPSLLAEYKELLSYVKEN >CDP16349 pep chromosome:AUK_PRJEB4211_v1:2:28280569:28290752:-1 gene:GSCOC_T00018176001 transcript:CDP16349 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSGLRPPPQPAAKKKQKTTIHALSHDILCMIFTFLDLVQLIRCSAVCKSWSTVINKLKLLQIQYHKQQDADAFSLPAASIQLGRSLNIHMEQIAIEQHRFAFHKGPANVFQWKGHSVGVNKCRMKTGLFLTGVGDKMMRLWSAESYNFLDEYSLPDKAPLIDFDFDENKKTEMYIVVYVALEGGRIWILMVCRYRFLMSHQFTNMEDFMARIDLPLFVLLSVGCGGFCWLSANIHSQSSHNLGQCSSAANFWLLALLIPLHIEVVGLVGTRMCIWTRTGTRNIFSSRDGMFTKGLCMSYVDPEAVVGCEDGKACVFDMYSRKCSQIIKMHPGPITCLSFNDDQLLISGSSLGSISMSDISSDQQVTTLKPLSSAGIKTLCFNPRSNLLFAGSTDGHASCWDIRTLRRLWETRVSPNVLYSINHLRNDTSTLVIGGIDGIIRILDQDTGQVLSRCIVDDATGVSSRSKDRHHQIIETKKVRRLSEDVQVDRLPIAPRPPITCLAVGMQKVVTMNNDKCIRVWKFRTD >CDP07812 pep chromosome:AUK_PRJEB4211_v1:2:26782079:26789279:1 gene:GSCOC_T00025204001 transcript:CDP07812 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLRSDVKQSATIFRHNVRQIRHWLEEESASAAKEMERAKPKEIPGKDAPKEEKHSRKGKGSQRSLVSKESLVC >CDP00033 pep chromosome:AUK_PRJEB4211_v1:2:6766704:6767627:1 gene:GSCOC_T00029793001 transcript:CDP00033 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKISFKLLIDTNNNKVLFAEAGKNFVDFLLHIFSLPLGTATRLLREQETIGSLENLYHSIENLDEAYILANQNKDILLRPKPPAGALVTLLALEDSPKPEITQGKAFYRCGNSCRSGSRYVTDDPRAVCPNCRGTMNSVMNYVVPPQTSDSKEGGFVKGATTYLIMDDLEVKPMSAISSIALLNELNVKNLSALKEKEVDLSMNEALKLLKESLESKTVLTSVFVGVKSEIP >CDO97146 pep chromosome:AUK_PRJEB4211_v1:2:16815491:16821261:1 gene:GSCOC_T00014395001 transcript:CDO97146 gene_biotype:protein_coding transcript_biotype:protein_coding MERPRCFLDISIGGELEGRIVVELYNDIVPKTAENFRALCTGEKGIGPHTAVPLHYKGSRFHRVIKSFMVQGGDISAGDGTGGESIYGLKFEDENFDLKHERKGMLSMANAGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGENDCPTLDVIIVDCGQIQEGADDGIANFFKDGDEYADWPADLDNCSGELSWWMTAVDSIKFHGNDYFKKQDYKMALRKYRKALRYLDVCWEKDGIDEDKSMHLRKMKSQIFTNSSACKLKLGDSKGALLDADFAMRDGENNAKALFRQGQAHVALNDIDAAVESFKKALNLEPNDGAIKKELAAAKKRIADRRDQERKAFARMFQ >CDP04927 pep chromosome:AUK_PRJEB4211_v1:2:191187:195852:-1 gene:GSCOC_T00019796001 transcript:CDP04927 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPPPLPRRVPGGCCRRRRLVFSCLVTDPDCFQVGRLIGTYGFMNITSYSGLRSEGMLGSAPLQLEDSGIFGSFKVQDVGEGNFQIRLYQGRISQGPFRGTPVVFKVYPGQQVGGIEADLMAANELNAHAFLQSNQNDACQNIQLLVGGFETKTGEQWLAFRNDGIYSAADYAKVSSQSMSKDPDHGDSRFWNPFDKDLTLRRRRYFVTKLFQGTMRGLAYMHDNDRLHQSLGPYSVVLNTMVEKEAAYLVPRLRDLAFAVDVRYPQLENDSGTLSEGLWRRASAAGAFTPMEKRAFGIADDIYEAGLLLAYLAFLPFCEAGTMDSLSLQRLLENTFQLDLEATREYCLADDRLLEGVRYLDLRDNAGWELLQAMLNRDFRKRPTAEAVLNHRFLSGSSL >CDP08547 pep chromosome:AUK_PRJEB4211_v1:2:41220229:41220483:1 gene:GSCOC_T00027501001 transcript:CDP08547 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYLAKMGDQVLSESQISLDTESVYIYSVTAGMYCMSRYATAIGVRTDVIKVAVEDLAVNLGWPGNREEVRAETQLSNEQIGQ >CDP14025 pep chromosome:AUK_PRJEB4211_v1:2:5178508:5181855:1 gene:GSCOC_T00039195001 transcript:CDP14025 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPAVLLWALVLLGSLALILNRLGDPGATSEHPEELASSRITEKAKNLEDEEEVTHKVYFELQINGKPAGRIVIGLFGKVVPKTAENFRALCTGEKGVGRSGKPLHYKGSSFHRIIPSFMIQGGDFTHGDGRGGESIYGDNFADENFQLKHTGPGLLSMANAGPDTNGSQFFITTVITSWLDGRHVVFGKVLSGMDVVYKVEAEGRQSGTPKSKVIITDSGELTL >CDO97703 pep chromosome:AUK_PRJEB4211_v1:2:21154134:21156851:-1 gene:GSCOC_T00015120001 transcript:CDO97703 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) UniProtKB/TrEMBL;Acc:F4IW45] MEVSSLPISASHRAKLISAGYISISSLSSISPAHLARDLGISENEATGILKVASQRGGLGRTIPSHHSIVDGAQTAWDMLHEEESCRRITTSCADLDDILGGGISCKEVTEIGGVPGIGKTQLGIQLAINVQIPLEYGGLAGKAVYIDTEGSFMVERVQQVAEACIKDMQEYDSFLRKDLPARQVDLQANDFLANIYYFRICSYTEQIAVINYLEKFISEHKDIKVVIVDSITFHFRQDFDDMALRTRLLGGMALKLMKLAKKFTLAVILLNQVTTKYSGGSFQLTLALGDSWSHACTNRIILYWNGNGRYAHIDKSPSIRSASAPYAVTGRGIRNLASNCKRVKLM >CDP05188 pep chromosome:AUK_PRJEB4211_v1:2:2253052:2259636:1 gene:GSCOC_T00020150001 transcript:CDP05188 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQLVIGCFIALSCAPWWVYSQNGDNRTLVNMTLVRNASVLGAYCLDGSLPAYHLDRGFGAGARNWLLQFEGGGWCNDIPSCLERAKTHRGSTRFMNKLEVFSGILSNNASLNPDFYNWNRVKLRYCDGASFAGDAKFDNGTSLLYFRGQRIWRAIIQDLLPKGLGQARKALLSGCSAGGLASFLHCDNLTNYLPKNTSVKCLSDAGFFLDERDISLNHSMRSFYESLISLHGVEQNLDKSCTGFRYYPVQCFFPQYALKYIRTPFFVLNSAYDVYQFHHILVPPSADPKGHWYHCKLNPAACNAAQIRILQGFRKDMLLALSFFYRHSRRGGMFINSCFAHCQSESQETWFAADSPRVNNKTIAEAVGDWYFGRRVSKEIDCAYNCDHTCANLIG >CDP17825 pep chromosome:AUK_PRJEB4211_v1:2:27260201:27262417:1 gene:GSCOC_T00009444001 transcript:CDP17825 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPTIPFWLLVVLFSLIGYCIHVVITNFSKHRAKKLPPGPSLFQTIGVFRTSEPFHASLAKVSQTYGPLLSIKLGSRTIIVVSSPEIAKKFLHEHDLEFSVRMLPDAVTAFDHHKVSIFWSPPQGPWRILRKVCKEHIFSSERLKAKCCINGQAVNIGEAAFSTSLSLMWNTFFSVDFGQSDSISSEEIKETVWSMMKTFGSPNLADIFPILKAIDPQRLKGRAKFYMGKLLDIIDGIIRRRVQERDASLTYTRKNDFLETLLDLNQQNEAVLSYHSLKHFLLDLFIAGSETSSTTVEWAMAELLRSPEIKSKARDEIMEIVGKSELVQESDISNLPYLQSLVKETFRLHPAGPLLPRKAEILVNLWAMGRDPSLWPNPNSFLPERFMDRNIDAKGNHFELLPFGTGRRICPGLPLAHRMVHIMVASLLHKFDWKLEEEIKPEQLDMSEKSALTLHKAVPLRAIPVRTTV >CDP04922 pep chromosome:AUK_PRJEB4211_v1:2:169309:170875:1 gene:GSCOC_T00019791001 transcript:CDP04922 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKAKEGEEEKQTKEEEEVVVVDCKTCKRCRHSYSPKSNNPNSCRYHPSFFVCRRHDDQKRYYELGADDPPYAAKFYDCCGAEDPQASGCVTSFHISYDDN >CDP08743 pep chromosome:AUK_PRJEB4211_v1:2:53393310:53394425:1 gene:GSCOC_T00027822001 transcript:CDP08743 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGALLGFSWVQVTFLGHDSGHYQIMSTRGFNKLAQILTGNCLTGISIAWWKWTHNAHHVACNSLDHDPDLQHLPVFAVSANLFKSLTSKFYGRKLTFDSMARFLVSYQHFTFYPVMVVARINLYLQTLLLLFSKRKVPDRALNILGICVFWTWFPLLISCLPNWQERILFVLASFFVSAFQHIQFCLNHFAANTYVGAPQGNDWFQKQTSGTIDISCPSWMDWVYGGLQFQLEHHLFPRLPRCHLRRISPLVQDLCKKHNLPYRSYGFYQANLTTIRTLRAAALQARDLTNPVPQNLLWEAFNTHG >CDP08861 pep chromosome:AUK_PRJEB4211_v1:2:52382796:52384946:-1 gene:GSCOC_T00027977001 transcript:CDP08861 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASIGNSPKRCAVVTGANRGIGLEICRQLASQGITVVLTARDEKRGLDALHRLRDSGGLSGNLLFHQLDVGDSSSVASLAEFINTELGRLDILVNNAGIGGALVDEAAIRAAAAAGTEINFEEIATQTYELAVECLQTNYYGAKRMVEACVPLLQLSESPRIVSVSSSMGKLECIKYGWAKRTLGDAENLTEERVDKVVKEFLQDFNEGYQEAKGWPLAYVISKAALNAYTRILAKRFPNFKVNCVCPGFVKTDINYNTGTLPVEEGAESPVKLALLSNDGPSGLFFSSNQVTSFE >CDP17984 pep chromosome:AUK_PRJEB4211_v1:2:43690360:43691475:-1 gene:GSCOC_T00001241001 transcript:CDP17984 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEVENIKSKRTSPALTKFFGHVRSRKMASSETVENDDRWHEQVDLSKLLLGPKLGEGTHGKIYRGNYNDESVALKVSKKKFNQESALLLRLHHPNVLKFVGVWRQPPVFGIITEYLSGGSLRSYLNKIEHQHKSVPLPTVVSMALDIARGMAYIHSQGIVHRDLKPDNVLISEDFHLKIADFGDACEEAHCHLLADRTGTLRWMAPEMMKQKKSYNRKIDVYSFGLMLCEMIAGTIPFEGMAPEAVVFGVLNKDLRPTVPQRCPPAMRELIVQCWSSNPGKRPEFWQIVKVLEKFETSLASEGSLNNLVLNLTSQHDKKRLLLHWIQKLDPIHHSDKLINTAYNRRPIMVS >CDP07713 pep chromosome:AUK_PRJEB4211_v1:2:25306224:25307672:1 gene:GSCOC_T00025061001 transcript:CDP07713 gene_biotype:protein_coding transcript_biotype:protein_coding MQRARRKDPYADFRQYPTNKLADLDLIHNYIRQIKESDGFDVDVYPGRCFAAVYVPRPEFKEPCQLRTDAIEMSQLALAQFNSDNRDSKGVPYEFQGIERVVSYSCNGEIFLITFQAREAETDDIKTFQAKVFDPPGLDEEEEREVMLVRLKEF >CDP16361 pep chromosome:AUK_PRJEB4211_v1:2:28586196:28590553:-1 gene:GSCOC_T00018194001 transcript:CDP16361 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKAQILLLSALLLSSLVVKTLGKSHSEAHHQLFRANLKPNSDIDKSHFTTFENLPEPIQDGLKEKDIIQELPGQPPVNFKQYGGYITINATAGRAFYYYFTEAQDPKKAQDLPLLLWLNGGPGCSSLGFGAMQELGPFRVGSDGKTLYKNQYAWNHVANVLFLESPAGVGFSYSNTTSDFVKGGDRKTAADNYIFLLNWLERFPEYKNRDFYIAGESYAGHYVPQLAHNIVYHNKKANKTIINLKGILIGNAVINQETDSIGMIDYWASHALISLESSIKIHKYCNFSLDAQRSDECNSALDEAGNMVGDIDIYNIYYPLCFDGNVTSIPKRFSIMEIDPCSGYYVYSYFNLPEVQEAIHANVTKLHYDWQPCSDVLEDWEDRQSTVLPFLKELMEKEIRVWIFSGDTDGRVPVTSTQYSLEVMNITTLNSWRAWYRDREVGGYVQEYKDNLTFVTVRGAGHEVPSYRPDRALSLVSYFVAGIPLPKK >CDP08744 pep chromosome:AUK_PRJEB4211_v1:2:53386660:53388518:-1 gene:GSCOC_T00027825001 transcript:CDP08744 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSQPLATDSFSYSWLINQEPSLDGLLESLRPSVDFSNETVPMVHHLNKIFKDDQEFDFNVTPGSRSSLALVDADEIFAEGCIKPIFPNRNRTKMQPSLSVPATPLSSVSSRTLSVSSAYQGKYYSSRKWTKSSNKVLQRCFSLLKPFCQGFGWSRKSIRVDDLDRKVLEVQSRSNSQQASPDRRTAYSAANWAAVKQTSNTLDACRRLQNSETKILSNSPQASTPRSPSHYTDSRLDIESSIHEAILHCKRSFANRET >CDP14044 pep chromosome:AUK_PRJEB4211_v1:2:5335756:5339150:-1 gene:GSCOC_T00039220001 transcript:CDP14044 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRPRKSRDTRMDAAVDAMTKNYGFPEEIVKRVAKELLKEYEGDQGDEGWFFIEDCSYQVLVDALLLDQELNDRHDAPPNQKEDALPQNISLQDERAAEHALAEPSGVVSEANCSKVVENQLEANTKEDAGQLPSSGRDGKGWKDIGLDQSSSEKGRAAGISDKDEIGGSSQDCANSVILTPLSLTPTTPGGSTVSSPPENCPVLSPFPKISPPNVKRVQSKRCQPCNGWIFESDEEEDEDMIDLKPAIEWEHLSWGTVKKAKRGSRWDLRPEDI >CDP17163 pep chromosome:AUK_PRJEB4211_v1:2:50436874:50441223:1 gene:GSCOC_T00006331001 transcript:CDP17163 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAFRSGFSSAFFQVLFEKIGKFAAKEINGVGLQVLRDGPLLKFWSLRVLDLRKAGLQKISVPVDHLKHLRYINLSENKIQRLPEGICKLLALQTLKLINCDELRELPSGLKNLINLRHLDLNTWGKLEVMPSKLGRLTNLLTLYVFKIGKDEGCGIQELGNMRFLTGSLCIMNLSFVANATQAKEANLKEKPCLDKLELESRDDITNSSDQEEVLAGLEPDMNLKELVITNYSGNRFPGWLSLLQLKLTNIQLQGCSSCSILPALGQLPLLKTLYIEGMSSLVQVN >CDO96996 pep chromosome:AUK_PRJEB4211_v1:2:15617877:15622648:1 gene:GSCOC_T00014203001 transcript:CDO96996 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACKRLTHCGLSKLRGRNTFTAPNSASVNKLQSNCSPVNFYGSLSSSDSSANRFDIRHISQLAKPNGNRAFLVDTLKLVRSLEAQGVPPKQAEAITSAITEVLNDSLENVGHSFLSKAEMQKAEMLHEANLSNFKAEVQSSQDNHFSLLQRETEKLHNDIEKMRSELRYEIDKVTAGQRLDLNLERGRLRDELAKQSSETTNLTNKLDREIHALRAQLEAAKYDVMRYCIGTLASITAVGLAVIRIITAADKK >CDO97680 pep chromosome:AUK_PRJEB4211_v1:2:20984620:20986631:1 gene:GSCOC_T00015088001 transcript:CDO97680 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPAPCSRSWSISEDSLRRYVFYASENCIQELLSASDSNKVGSGNDGWKVLGMDNGVEISKRRSGSLHTFRSRWLLKSVSPQQFITVANAIDAAKQWDSDIVEARYIKDLEEYLSIIRLKFGDCAKPLFRNREFIVYERRETMDDGTLVVAVASLPKEIASGLSPKQNNSIRALLLQSGWVVEKLDDDSCMVTYVVQLDPAGWLPKCFVNRFNTKLVMIIENLKKQVLACPTRGDS >CDO99548 pep chromosome:AUK_PRJEB4211_v1:2:11418813:11421051:-1 gene:GSCOC_T00029175001 transcript:CDO99548 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEQQLAQDLKSIPASKIADSEKAPACFDCSICLESANDPVVTFCGHLYCWPCIYKWLELQMSSLTQDECTYCPICKAEISQESLVPLYGRGRSLSEPVSDDKVTSSSKIMAIPPRPNASARLAQTTSANLRQQLPSNSPYLNLQTDPTAPSESEDSSSPALTVPLAVCHPMNGNYGEMIYARAFGNWESFYTFPNSYHAEGSASPRVRRQEIQAHKSLNRISVFLFYWILLCLFVF >CDO99624 pep chromosome:AUK_PRJEB4211_v1:2:10642256:10652103:1 gene:GSCOC_T00029271001 transcript:CDO99624 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAASTASLAKVCFNSNCKETLERPRKGWRRRTGEFADLCDRCASAYEDGKFCESFHLDASGWRCCESCGKQIHCGCIVSFHMFVLLDAGGIECITCARKSFILTPNPAWPPPSLFLPLQPERIKDISVKNWSPIAGSGPVPWRQAPSLFNGSAIQSELQPRVPTPIEFDVSGGVDRLQLNERLSSSLEKKKKEESFERLMNGSLRICSSEFGSGTFGAMGKEQSFPFSNASPETGYPSTSDFSVATAPALENGSNNHTKVSGTLIQQTTQPTPSEKRPCGHNPADSSEGQPRNGRARPEVRARSQLLPRYWPRITDQELQQISGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDLKGKEWVFQFRFWPNNNSRMYVLEGITPCIQSMQLQAGDVVTFSRIEPEGKLVMGCRKASCAPSDQGNEASNAGQGYSSNGDVAPKSNKAGEITKPGELVSTNSRMKGYSASSSCPSLNRAAAKSGSSWPEHDKPVHVAKVVEAKPAVRSKRKNSTLGLKSKRLRIENEDMLELKVTWEQVQGLLRPPPNKAPSVFVIEGCEIEEYEQDAPVIGRPTIPGTDHQGKKIQWAQCEECSKWRKIPADALLPSKWTCSKNLWDPERSLCSTVQELSMEKLQDLLPAISKTASKKKIRAPKQDPDSVEALEGLDALANLAIQEKSGSFQASQATTKHPRHKAGCSCIVCIQPPSGKGPKHKQPCDCIVCKSLKRRHKTMMLKKEKNLTEKIPETSDQMLSMQELPEQVPNGLVNKSPKEEMCSALPFKGQIDLNIQPEKEEELSPSFCSGNIPRSMLETRERHNR >CDO97587 pep chromosome:AUK_PRJEB4211_v1:2:20169347:20171639:1 gene:GSCOC_T00014969001 transcript:CDO97587 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDVVVAESPAPALGEPMDIMTALQLVLKKSKAHGGLARGLREAAKIIEKHAAQLCVLAEDCDQPDFVKLVKALCADHNVSLITVPSAKTLGEWAGLCKIDSEGNARKVVGCSCIVVKDYGEETEGLHIVQEYVKSH >CDP17216 pep chromosome:AUK_PRJEB4211_v1:2:47882739:47884585:-1 gene:GSCOC_T00000694001 transcript:CDP17216 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMNLELLPEECIVQVFSRTSPRAACQASLVSNGIRDAAESEVLWEKFLPSDYLDILSRLVSPLEFKSKKDLFLKLSHPLLIDGGNKTFWIDKFANKKCYMLSARELSITWSSNHLYWCWKPFLPSRFPEVAELIMVTWLDITGKINTRMLSPKTTYAAYLVVKLANRAYGLHSYPLEVIIDAGDQKSWGITLLRHKKCSICLLRTLDEVVTPGFCERNERILHEREDGWMEVELGEFYNDGSEKEVKMCLREVDGQHIKGGLIVEGIELRPKS >CDP08656 pep chromosome:AUK_PRJEB4211_v1:2:54071719:54090196:-1 gene:GSCOC_T00027696001 transcript:CDP08656 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGAATNSSSPPLFDIAHHLPLRLIRSEIIPPAPNLTNSASGPAIDWLYDFAGYTWIAYGASSLLVISHFPNPLSHSETLIGPIFRQVFQLSVDGTGIVSAVSWSPATPSAGDLAAALDNCIGVFSYNSDIPPSNSSFCWSQSAILVQSTKAEVIQWTVSGDGIIVGGIEIVLWRKREKSWERAWKFKRTVPHTLVSASWSIEGPLATAPFGKLQVGILSSPVNEACNSVAVNFGHGDSKFFQSELRHPLPISMIQWRPLTGRPLNGDVRQPPRLMLLTSCFDGSVRLWSQSDDGKVKKGGKDSCDHAMTKLSFRVVAVIEVNQALNGTLGSTVFVTWATEIDSIARPQFFSPDYQYNKTGYCEWLIGFGPQLTVTLWAIHCLDEFSPLRFPRVTLWKRQELISPQVGSGGLLLNKVFIKRNKMFTPPTMCSLLQVLPCNSLALLHSHSQASPDAQDRSTNNCYDKDLLSSCASGILDIDSHTGTILKVVVHPYLFEAGLAASLDTNGLLLFWSLSTASNGVAGLRTLNPSCKLYRRSVFSETHAKCTSLAWAPAIFNEVRVLFMGHAGGIDCFIVKVMDNEEDKIAVHRLCTIQYGSQDFDRGPTTLSSIPVLSACNRTSVSGSFMVIAVWKNSFQALSWNITIHHCDLLRNCFKCSCNIGDTAENNLWTFESDFSGTRYFISIHPYSSVLPAPYDEDMISSFAVVHPSNFFSFEEQGWSSADEFSPSYSTYHMVTGCSDGTVKLWRSLPANLSSLKSLWDLVGVIAAHQGPVLAISPSVCGRKIATVSHAGCLSSASTVHVWECVHFGTGGKFILEDTICFEGEVVALNWLMLGNGHLLLGVCSQNELKIYAQRRCGGQDSLKSEEHVEGNIWVCIAVTSKYPLIQDFFWGPKATVGVLHHDYFSLFSPFSLLDKKNLLFCCPKSTHPSILNDGCNEYLLPAVFIDSDICGTEGSSVEDCGQQLKPRPSVNMIAEDNLLPFLDVERSKQNLKFDSLINFWSLSEVSQKLGGSLSAFHPEALLLNISKGNWKRAYVTLQYVLENIASAKIHGKMYCLGKGGHVVSQVPLSNYLEGLPFSSSGDKSFQQNGAADSIASSSQFQKGAFAFGSSWAQSANALPSFSVRSEPTDFVDVLGKLYESAGITNTEKMQMHAIIDILQEVINHHTVSVYGSLDEPGRRFWVAVRFQLQYFAKIYGRLPLAGELVVSSEQIGWAFHSDCEENLFDSLLSNEPSWQEMRDVGVGYWYTNTSQLRLKMEKLARQQYLKAKDPKACILLYIALNRIQVLAGLFKMSKDEKDKPLVGFLSRNFQDEKNRAAALKNAYVLMGKHQLELAIAFFLLGGDTYSAVNVCAKNLGDEQLALVICRLVEGYGGPLEHQLISKIILPSAVSRGDYWLASLFEWILGNYTKAYLSMFGDQTSLINKESAVSTSKKSLLDPSIGQYCLMLANKTNMKNAIGEQKAAVLSRWAVLISAIALSRCGLPLEALECLSSCRNAFGAQNQGTVLENGDVELLNQVLELSPVGDSSNWTFCDLAKQKELLAKSDLAMQYLSPLLKEHPSWGDIMVPFGGCNYMESAYEEYKRSVENFYGKLTVTLEYFQQKFSLNPFHLIDKIVLFLHNNGLQYIGYHIFRVCGSRFLSPEQSCRFDAFLSHPHKLLFRMTEEVSTVSRFIVSSSLSCSHLKVSSTKSGIATETCSHLLVALEFYQWNLIRSLQCIRATLKLFFGSSTEDILHMPLTVIDLAEYYVYFASSWSQMNLSHLALISKPILKRFSQEDTPQEILKDLNKILSEIRKILADELPLNDIGAFEINEEMRHEQAGDILVKIPEDDRWLVIVVSFWGQISSFLKHLLDLLIEVLEESSSVQSPRGLPLLTMPTLSVVGPDGKDVQLPTAVLPFSKLLDVTCSHISFYCAKQLASYLLLKGDTRITTILLSTEKDYSESFSQSKYFSQRVDTVDMWENEADLSPHEIFWHICADPKIIPGFVKENLKWFECIKKKSSRGWVDVYASILREYEGGEIDREDDRLGSPCKAAGSPVACLTPNEHPFIASGGKDTEKVVPFKTPAEIYKRSGELLEALCINSIDQCQAALATNRKGIICFNLEDGLPCGDESKYVWADADWPQNGWAGSESTPVPTCVYPGVGLGSRKGARLGLGGATVGAGLFAESGKELKDGGAFGLPGYSGMVGSSLGWGVQADFEQFIDPIPTVGSVNASSFSTHPSRPLFLVGSSNTHVYLWEFGKDRATATYGVLPAANVPPPYALASISAVRFDHCGHRFVTAAQDGTVCTWQLEVGGRSNVGPTESSICFDNHTSDVTYVTPSGSIIAAAGYSSTGINVVIWDTLAPTATSRASIMCHEGGARSLCVFDHNLGSGSVSPLIVTGGKAGDVGLHDFRYIATGRTKKHKHTDNIEQNANLSSTEDMHNKTGDQNRNGMLWYIPKAHAASVTKISTIPNTSYFLTGSKDGDVKLWDAKRARLVFHWPRLHERHTFLQPSSRGFGGVFRAAVTDIQVVSHGFLTCGGDGTVKLIKLKDFSHF >CDP08710 pep chromosome:AUK_PRJEB4211_v1:2:53642992:53645386:1 gene:GSCOC_T00027773001 transcript:CDP08710 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAESFGVAPRRNMGMLEEMPLSLDPEDVIAEFEAMTRDAGRVQIETLKKILEENGRTEYLQRWGLDGRTDPESYKSCVPLVTHEDLEPYINRIVDGDDSSILTGNPITTISLSSGTTRGMPKFVPFNDELMESTMQIYKTSYSFRNRQVLHFLASRKFDWFSGFPYMAFRSHIDLSCGSPCLNYV >CDO99902 pep chromosome:AUK_PRJEB4211_v1:2:7916438:7921432:1 gene:GSCOC_T00029614001 transcript:CDO99902 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKSWVLMVTAQTPTNIAVIKYWGKRDESLILPINDSISVTLDPSHLCTTTTVAVSPGFTHDRMWLNGKEISLSGGRYQNCLREIRSRASDVEDEKKGIKIAKKDWENLHLHIASYNNFPTAAGLASSAAGFACLVYSLAKLMNVKEDNSQLSAIARQGSGSACRSLYGGFVKWIMGKDDNGSDSIAVQLVDEKHWDELVILIAVVSSRQKETSSTSGMRETVETSPLVLHRAKEVVPKRVVEMEEAIKSRNFPAFARLTCSDSNQFHAVCLDTSPPIFYMNDTSHRQAIWIISCVEKWNRSEGTPQVAYTFDAGPNAVLIARNRKVAALLLQRLLFHFPPQSDADLNSYIVGDKSVLMDAGIQDLKDVEALPPPPEIKDNVPTQKFKGDVSYFICTRPGRGPVLLTEESQALLNPETGLPK >CDO96915 pep chromosome:AUK_PRJEB4211_v1:2:14968275:14971860:-1 gene:GSCOC_T00014097001 transcript:CDO96915 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALKGGELKTFLVGCLLLVLNLDNGVYGEPQVPCYFIFGDSLVDNGNNNNINSLAKANYLPYGVDYPGGPSGRFSNGKTTVDVIAELLGFEGPIPPYAEVSGQEILKGVNYASAAAGIREETGQQLGARISFTAQVNNYKNTVSQIVNILGDENSTADYLSKCIYSIGVGSNDYLNNYFMPLYYSSSRQFSPEQYSQVLIEQYTEQIRTLYDFGARKFALIGVGQIGCSPNALAQNSPDGTACVQRINSANQIFNNGLRGLVDQFNNDRSDAKFAYINAFGIFQDLINNPSAFGFRVTNAGCCGVGRNNGQITCLPFQTPCANRDEYLFWDAFHPSEAANIIVGRRSYRAEKQSDAYPVDISRLAQL >CDO96978 pep chromosome:AUK_PRJEB4211_v1:2:15465987:15470280:-1 gene:GSCOC_T00014180001 transcript:CDO96978 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAAEASVLEQPSFRENPQNSKPDDDASAPAAAPAPAPLTPEELVAKAIAPVKREFLRPPPIRTSNSTTSQHHDAATEPNSAPLIKEKKSKRQLKRERRQELKSALHLCPEVAKSGDVGSCCYGEKCRFSHDVEAFNAQKPADLKGSCPFLKIDQGLCPYGLACRFSGTHTANGVVAAGTLRNEVNSLNKDVQKLLWKNKMRFPKADATLKLLGLSGKIKKLVDTEDNQVATNGSAEENAKKDSAEIGSVSDVNCCSELLEEDKPEDADATEDIRPVKKAKSSSDESYGSTEVNAGYGVHGKEDISSKPNGSKSVDIADTVTVESDKILKLHPREKKLIDFREKLYLAPLTTVGNLPFRRVCKLLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICAAYPDTVSRTVELIEQECSVDFIDLNMGCPIDIVVNKGAGSALLTKPMRMKSVIQAASGTVDTPITIKVRTGYFEGRNRIDSVIEDIGNWGASAVTIHGRSRQQRYSKLADWEYIYQCAQKAPDSLQVLGNGDVFSYLDWNKHKFDCPQLSACMVARGALIKPWIFTEIKEQRHWDISSAERLDIFKDYVHFGLQHWGSDSKGVETTRHFLLEWLSYSCRYIPVGLLDVIPQKINWRPPSYYGRDDLETLMASDSAADWIRISEMLLGKVPAGFTFSPKHKSNAYDSAENG >CDP05296 pep chromosome:AUK_PRJEB4211_v1:2:3093654:3099425:1 gene:GSCOC_T00020291001 transcript:CDP05296 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEGMNSSVGVPVKGDETSESFRVEPSVENPSQFSGSAVSTTEATPVSVATPATEGKKKRGRPRKYGPDGSVTMALSPMPISASIPLTGDYSAWKQSRGRPIDSYKKKHKLEFESPGDRVAYSVGANFTPHVITVNAGEDVTMKIISFSQQGSRAICVLAANGSISNVTLRQPNSSGGTLTYEGRFEILSLTGSFMPSDNAVAKSRSGGMSVSLAGPDGRVLGGGLAGMLLAAGPVQVVVGSFLPGHQLEQKSKKQKFEQTAIYAAIPAQPLPAERTEGAYSAPRPSLTSSASFHGDDVVSVNALNTKISAPESIVTATAAEYKDQSQSKCEVSC >CDP13894 pep chromosome:AUK_PRJEB4211_v1:2:4154895:4156621:-1 gene:GSCOC_T00039028001 transcript:CDP13894 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPATSGGLFKVLKPSFRVQSTDIQAAASWGIAAGVTAIWLVQPFDWLKKTLFEKPEPEGNA >CDP13901 pep chromosome:AUK_PRJEB4211_v1:2:4204608:4209209:-1 gene:GSCOC_T00039037001 transcript:CDP13901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MKKTKSTHHLLCLFLLCCVGGAKPMVASLQLESPLPSAYYSHHFFPVSTSNNRTTSQLDWSRCHYQRRPPTCSLVVQKFPVKSSSVVLYASSGGSTAPADDETMEPPSSPPEGSKDRRKVVQVAWEKLVRWSRSWRSKAKTDVLERANKVVVLGGGSFGTAMAAHVAERKAQLEVNILVRDPQVCRSINERHCNCKYFPDHQLPENVIATTDAKAALMGADFCFHAVPVQFSSAFLEEIAAFVDPGLPFISLSKGLELNTLRMMSQIIPKALKNPRQPFIVLSGPSFALELVKKLPTAMVVASKDKKMADAVQQLLASRNMRISTSSDVTGVEIAGALKNVLAIAAGIVDGLNLGNNSMAALVAQGCSEIRWLATKMGAKSTTLTGLSGSGDIMLTCFVNLSRNRTVGVRLGSGEGLDDILSSMNQVAEGVSTAGAVITLAQKYKVKMPVLTAVARIIDKELTPTKAVYELMALPQVEEV >CDO99797 pep chromosome:AUK_PRJEB4211_v1:2:8804739:8809093:-1 gene:GSCOC_T00029489001 transcript:CDO99797 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGRKPLARFPSGDWVISEQPVKLEDLGHAISKVGDFSDDNRSGIDHSLHRISAIRNRKMQIIGLTCRVGRAVSGSAEIIRDLVEEGGSILVIGPPGVGKTTLIREIARMLADDQNKRVVIVDTSNEIGGDGDVPHAGIGRARRMQVPNVHMQHNVMIEAVENHMPQTIIIDEIGTELEALAASTIAQRGVQLVATAHGVTIESIIKNPSLQILVGGIESVTLGDEEARKRKVQKTILERKGPPTFTCAVEMISRTECCVYHRLDATVDAILAGKSPLFELRRVDDEASSSLKPSLSTQKDPVQDSKLVNSQSEKVGTTSDDEGVDYYRKSSKLNNDRYLPQRSSPVCVYTFKIQEADLTQVTAVMGLDGEIDVTDDVGAADCILASSFEMKQNPWIRGIAKFHHLPIFVIKSNTMAQMVKAIRMILGMDYFGSSTKQLVKDTLDIEVEDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRRSEIVARQLELVKSYQLAAEKSGTESNPRLQILPQRLTKKTSKFSRFGSSSPKDTSL >CDP00109 pep chromosome:AUK_PRJEB4211_v1:2:6179426:6180484:-1 gene:GSCOC_T00029904001 transcript:CDP00109 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKVYLKALEDKISDMEDEHQALITKERMMNDELQDARKEAIRALQGLSTHHLRKFQIKRMGQIDTKPFEALFSKKCSSEDRHAESLKLCSLWEENVRSANWHPFKRVENRGRLIEIIDANDEKLKQLRSEYGEDVYQAVTNALMELNEYNPSGRYPVSELWDCQKGRKASLKEIIEYISNKLKTLQPKRKRS >CDO97057 pep chromosome:AUK_PRJEB4211_v1:2:16192431:16197044:1 gene:GSCOC_T00014281001 transcript:CDO97057 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLIQRLESAVARLEALSCGRGGSPDIGGDAASLDPSIVAYEDLIAQFVGRVSSAAEKIGGQVLDVTKIMEQAFSTQKELLIKVKQSQKPDMAGLVEFLKPLNEVIVKATKMTEGRRSDFFNHLKAATDSLTALAWIAYSGKDCGMSMPIAHVEESWQMAEFYSNKILVEYRNKDANHVEWAKALKELYIPGLRDYVKSHYPLGPVWSASGKTVVFAPTKASASGAPAPPPPPPASLFSSEPPKPSSSQPKEGMAAVFEEINSGKPVTSGLRKVTADMKTKNRADRSGVVSAGEKERGVSSSSFSKAGPPKLELQMGRKWVVENQIGKKNLVIDDCDAKQSVYIFGCKDSVLQIQGKVNNITIDKCSKMGVVFKASGRIYLIDVVAACEIVNCNGIEVQCQGSAPTISVDNTGGCQLYLSKDSLGASITTAKSSEINVLVPGAGQDDDWGEHALPHQYVHVYKDGQFVTTPVSHSGG >CDP08882 pep chromosome:AUK_PRJEB4211_v1:2:52068853:52072069:-1 gene:GSCOC_T00028008001 transcript:CDP08882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A13 [Source:Projected from Arabidopsis thaliana (AT3G61060) UniProtKB/TrEMBL;Acc:F4JD33] MGANASSMEQSDPNGLLLSPSLKAKLSDIPESCVALVLSHLDPPEICKLARLNRAFRDASSADFIWEPKLPSTYPYILKILLQDEPFTPLGKRDIFALLSKPNSFDAGTKEVWIDKKTGGVCLSISWKAMTITGIDDRRYWKHVATDESRFQTIAYLQQIWWLEVVGDFKFQFPVGTYSLFFRLKLGKATNKRLGRRQVYASDNIHGWDIKPVQFQFTTSDGQHAVSRCVLDNLGSWVNYHVGDFIVEDSNALTRVKFSLTQIDCTHSKGGLYVDSVLICPCNLGKNVVDLCCRP >CDP13933 pep chromosome:AUK_PRJEB4211_v1:2:4458783:4460858:-1 gene:GSCOC_T00039077001 transcript:CDP13933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 52 [Source:Projected from Arabidopsis thaliana (AT5G05340) UniProtKB/Swiss-Prot;Acc:Q9FLC0] MASFPVSSSLTICVLFLVIGSTSAQLSTNFYYHSCPNLFSTVKSTVQSAISKEARMGASLLRLFFHDCFVNGCDGSVLLDDTSSFRGEKRAAPNFNSARGFEVVDNIKSAVERACPGVVSCADVLAIAARDSVEILGGPRWDVKLGRRDARTASQAAANNSIPPPTTNLNALISRFSAVGLSARDLVALSGSHTIGLARCTNFRARIYNETNNLDSSLARTRQSNCPSVSGSGDNNLAPLDLQTPTNFDNNYFKNLVNRRGLLHSDQQLFNGGSTDSAVRAYSNNPGSFASDFAAAMIKMGDIKPLTGSNGEIRKNCRRIN >CDO97026 pep chromosome:AUK_PRJEB4211_v1:2:15978087:15981753:1 gene:GSCOC_T00014241001 transcript:CDO97026 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSFKASRSNLSTVSDMSESQNGKPPLPPHMRYGQRNTSGKYVNLSKDDLDSELGSGDYMRYIVQITNTSDHQPMDSISQKVEEQYVSNSLFTGGFNSTARAHLLDKVIESEAKHPQMAGAKGSPCSIPGCDANVMNDERGNDIVPCDCGFKVCRECYIDAVRTGDGICPGCKEEYKDAESDELPENEQTLSLPVPRAMSRLARRLTLMKSTKSILKRSQTRDFDQNRWLFETKGTYGCGNAIWPEDGGFVHGKDDEVVDPTDLRMNKQWRPLTRKLKIPSAILSPYRLLALIRMVVLALFLAWRINHPNKDAIWLWAMSVVCEIWFAFSWILDQLPKLCPVNRATDLNVLKEKFETPTQINPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIQPRNPESYFTMKKDSYKKKVRPDFVKDRRRVKREYDEFKVRINGLPNSIRRRSDACHAREEIKAQQQQRQNNDDELVENVKILKGTWMADESHWPGTWINPAPEHSRGDHAGIIQVMLKPPSDEPLQGNADDGIIDLTDVDIRLPMLVYISREKRSGYDHNKKAGAMNALVRASAIMSNGAFILNLDCDHYVYNSEALREGMCFMMDRGGDRICFVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRYKEDRSGSCFGRQKRHSKFANSLEETQALRIGDSDSEEMNLSLDPNKFGNSVFLLDSIPVAEFQGRPLGDHPAVKNGRSPGALTIPRELLDASTVGEAISVISCSYEENTEWGSRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKVDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAILASSRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGHFIVQTLNVTFLIYLLIITLTLSMLAILEIKWSGIELQDWWRNEQFWLIGGTSAHLAAVLQGLLKVIARFEISFTLTSKSAGDDEEDEFADLYIVKWTSLMIPPITIMMVNFIAIAVGISRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLVAIIISLLWVSINPPDATNQIGGSFQFA >CDP06810 pep chromosome:AUK_PRJEB4211_v1:2:37109116:37109922:-1 gene:GSCOC_T00023801001 transcript:CDP06810 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYISSASLVVTTALVLLSFYSTSLLLCNAAAYPPHYRHPRFASHNYRDALSKSIIFFEGQRSGKLPSNQRITWRKDSGLSNGSAMHVDLVGGYHDAGNNVKFGLPMAFTTTMLSWSVIEFGGLMKGELQNAKDTIRWATDYLLKASAHPDTIYVGDASRDHACWERPEDMDTPRSVFKVDKNTPGNEVAAETAAAIAAVSAS >CDP05351 pep chromosome:AUK_PRJEB4211_v1:2:3526835:3531639:-1 gene:GSCOC_T00020358001 transcript:CDP05351 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNLSPSTPRRVKFAPKGPPPRRKSTPLQPKTEVAGGGDHGGDDEINESLLRKVNEHLTRRWPQAEKKSSVQVAFSHGVASSTSLRTYGSAKEGSGDRSNGTGLRDSAADTRGDIHSLPSTSKIDWMVESSEDATVTSFKQKKREYKEPWDYNHSYYPVALPIRRPYSGDPEVLDREEFGEAKAASEYDESAIHSASDLGLLEEVDGEKMLFLQFPANLPFVKRESGPGSTGTSEHASASVNHKHRAGSSRPGGSVAPTTAKGKEIPGSFPMVSGDAKGKEIVNSSVSSVVGTNKNVCSLEELPPGFMGKILVFKSGAVKLKLGDMLYDVSPGSNCTFAQDIVAINTSDKHCCNLGEVSKRAVVTPDIDHLLTPVIYLA >CDP05186 pep chromosome:AUK_PRJEB4211_v1:2:2237644:2240834:-1 gene:GSCOC_T00020148001 transcript:CDP05186 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVKPLCGVYNENPLAYLVSVDGFNFLVDCGWNDHFDASLLEPLSRVAPTVDAVLLSHSDTLHLGALPYAMKKLGLSAPVFATEPVYRLGLLTMYDHYLSRKQVSEFDLFTLDDIDSAFQNITRLTYSQNYHISGKGEGIVIAPHVAGHLLGGTVWKITKDGEDVIYAVDFNHRKERHLNGTVLESFVRPAVLITDAYNALNNQPSRRQRDQEFLDAIMKTLRADGNVLLPVDTAGRVLELILILEQASWCRV >CDP07753 pep chromosome:AUK_PRJEB4211_v1:2:25770944:25771549:-1 gene:GSCOC_T00025113001 transcript:CDP07753 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQPFFSNILIKSQLTPQENPRFFSTSNASSSSSSDQEKAGKPESQSEYPSQNPAFKHQEIDGPTVERDVSALANETREVLETMMKTVYSLSKALAGLGLINLGLGAWISYVTTASPVVEVSVQSILAFGLPFSLAFMLRRALKPMYFFKKMEEQGRLQILTLTLQVAKQLNLLFIRVRGVSYLCIAGASVGLVYVALAR >CDO99688 pep chromosome:AUK_PRJEB4211_v1:2:9835105:9836639:-1 gene:GSCOC_T00029359001 transcript:CDO99688 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAFNRLRGLFSKSPSPIITTIKANRAAEIRAPISSKDKKTLSLVKKFKETTDNPRFRRYHHYYEATVLRLAREQHFSAIRDILEHQKKYPDIMDQKFAVRLICLYGKAKMADDAQKLFDELPSLNCERTVVSFNALLGACVNSKNFNKVTELFKELPEKLSIEPDIVSYNTVVKALCDVGSVDSASVVMNEMESSNIQPDVVTFNTLLDAFYKNNRIEEAEKLWCLMEKKNVLANVRTYNSRLRGLVADNRVSDAAELIEEMGKKGVKPDNYSFNALMKGFVDVGNLEEAKVWYKKMMRNGCGPDRATFGMLIPFACDKDDFDYAFELCNDAVKAKQSVYNSVVQRVADGLVERSKNNEAQKLMKMAKLK >CDP06791 pep chromosome:AUK_PRJEB4211_v1:2:35992282:35998353:-1 gene:GSCOC_T00023763001 transcript:CDP06791 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQVEIPTVRLGSQGLEVSKLGFGCMGLSGILNDPVPEEDGIALLKEAFNKGVTFFDTSDSYGIDNANEYLIGKALKHHDRVIVKGTPEYARSCCEGSLKCLDVDYIDLYFIHRIDTTVPIEETMGELKKLVEEGKIKYIGLSEASPDTIRRAHAVHPVTALQIEYSLWTCDIEEEIIPLCRELRIGIVPYSPIGHGFLAGKAVVESLPQSSFLGGRPRFTGENLEKNKNIYFRLQALAQKHKCTPAQLALAWVLHQGDDMVPIPGTTKIKNLHDNIGSVKVKLTKEDLKDIADAVPPSEVAGLSINEAFFHTEWRFSNTPPPKVPTD >CDP05166 pep chromosome:AUK_PRJEB4211_v1:2:2100975:2104902:1 gene:GSCOC_T00020119001 transcript:CDP05166 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVHNSVETVNAAATAIVTAESRVQPPTVQKRRWGSCWSFYWCFGSVKNSKRIGNAVLVPEPTVPGSAVPVPDNLNHSATIVIPFIAPPSSPASFLQSDPPSATQSPAKFLPLASFSVNTYSPSGAASIFAIGPYAHETQLVSPPVFSAFTTEPSTASFTPPPEPVQLTTPSSPEVPFAQLLVSSLTHNRRHSGTSIKFPLSQYEFQPYQCPGSPGSHLISPGSAISNSGTSSPFPEKRPIIEFRIGEAPKFLGYELFTRKWGSRVGSGSLTPNGWGSRLGSGSLTPNGGISRLGSGTLTPNGGEPAARDSYLLENQISEVASLANSDNGTHNEEGLMDHRVSFELTAEHVPNCVEEEMKGQNFCEDCTGDSIHNITRKALDGQEGKQCLKNNRTFSLGSSKDFNFDNMKQESPDKSTIDCEWWTNETAAAKELGSKNKWTFFPMLQPGVS >CDO97533 pep chromosome:AUK_PRJEB4211_v1:2:19769825:19769980:-1 gene:GSCOC_T00014906001 transcript:CDO97533 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSDIKLIRTDTTLDLSQKAEKGMLCPGLNRSFYSLLSAFLLPSRTDVG >CDP08795 pep chromosome:AUK_PRJEB4211_v1:2:52938990:52940419:-1 gene:GSCOC_T00027896001 transcript:CDP08795 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIGFSGTLALIFVLLIATGTGGFYRLAEGAKRRIHVADNLDDVVDDEEDEAWKEWGKKKSTTRPQFDPPPDDFSNMDVAEMQSEMLKRQVGPVFGFAKLRIGPRRTPDMVSEIAMKWSKVARTGGIEANFMGVDVSTIMFTMEKGQDAIELQEFILSQPDAYEVKIGDQFFRRPGDPSFDEVFSKHESEKSGEDHDKHSEL >CDP05203 pep chromosome:AUK_PRJEB4211_v1:2:2393364:2395237:1 gene:GSCOC_T00020167001 transcript:CDP05203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 31 [Source:Projected from Arabidopsis thaliana (AT3G48970) UniProtKB/Swiss-Prot;Acc:Q84K70] MVEVRVPNLDCEGCAAKTRKAIFKLKGVEEVDVEMETQKITVRGFGLEEKRVLKAIRRAGKAAEPWPYPAGYSYFASFYKYPSHVVNHYYDTTKNVAAPSVYSFFHTPAVYSVAVASDEAVASLFSDENPHACAIM >CDO97296 pep chromosome:AUK_PRJEB4211_v1:2:17955224:17955316:-1 gene:GSCOC_T00014591001 transcript:CDO97296 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNRGRIMDGLDSEFYIDTRPSMSDAEDA >CDP09340 pep chromosome:AUK_PRJEB4211_v1:2:23480992:23485598:1 gene:GSCOC_T00028664001 transcript:CDP09340 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWGFICMLIMAVFGGFMALKCLLKSVNWWRFEAKLGDRRFSLPPGDLGWPFIGNMWAFLRAFKSSNPDSFISSFVNRFGPVGLYKTVMFGNPSIIVTTPEACRRVLTDDEAFMPGWPSSTMKLIGRKSFIGISPEEHKRLRKLTAAPVNGHEALSIYLKYIEDNVIEALEKWAGMGQIEFLTQLRKLTFRIIMHIFLSSESEQVMEALEREYTVLNHGVRAMSINVPGFAYYNALKARKRLVAIFQSVVTERRARRQENPTAEKMDMMDALMDAVDDKGRKLDDEEIIDVLVMYLNAGHESSGHVSMWATLFLQKNPEVLQKAKAEQEAIVKKRPPGQVGLTLKEIRQMDYLSKVIDETLRVVTFSFVVFREAKKDINITGYTIPKGWKALVWFRNVHFDPELYPDPKRFDPDRWDGLTAKAGSFLPFGAGTRTCPGNDLAKLEISIFLHYFLLDYELERQNPSCPIMYLPHQRPKDNCLGRIRRVSPSSVRKKED >CDP14078 pep chromosome:AUK_PRJEB4211_v1:2:5599944:5602848:1 gene:GSCOC_T00039268001 transcript:CDP14078 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPEENGLKVNSAVVDVDQAVVVDGCGDAMEGTTDDTGGGASGSGGRSGRVRGPWSPEEDAVLTRLVSKFGARNWGLIARGIPGRSGKSCRLRWCNQLDPGVKRKPFTDEEDQIIISAHAIHGNKWASIAKLLSGRTDNAIKNHWNSTLKRRFSSMSQNEMIQDGSIDTLVASSGETMSPGLINSLNSPKEEYISPMEEIPRQTEGKDPMNGDQFYSDNCQCNVSERNQHCIGEKNSSNIHRPVARIGAFNIYNPSGSDYALPRTTPMQGPLIRASTPDFGFFTYMEGELDEPVIPLQCSHGCCRSSGLGSSLKKSSLLGPEFVEYEELPPCSGHELAAIATDLNNIAWIKSGLENSLRVPETASGPMVPRTVPVQMNAHEHALQPLHFDKVQHQSRGIMTNVFPAKMPLPTCIFSS >CDO99521 pep chromosome:AUK_PRJEB4211_v1:2:11707335:11708577:-1 gene:GSCOC_T00029132001 transcript:CDO99521 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33800) UniProtKB/Swiss-Prot;Acc:P93014] MLNSVLLITDHFEPTPSILWPSLQPFSLPSSSSPFTPNTPEQTGTNDQRRETFLGISKQPFTTTKKKTRKCSLLSTLQNARKASSHQEPFESAEEEIAQAYEELYGPAYSGESFLGNDVREKRPVKDEFEERVVQLKRMDKVVKGGKMLRFRAVVVVGDKKGRLGVGVAKAREIVDAIKKSAMDARKNFITVPMPHGSEANYGAAKVMIRPVSSGTGIIAGGAVLDMAGVQNASGKELGSRSPLNIAKATIIAVQKMRHFSEVAEERGIPMEEFWK >CDP15512 pep chromosome:AUK_PRJEB4211_v1:2:45832913:45834922:-1 gene:GSCOC_T00015369001 transcript:CDP15512 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRWLLQCSSCCLPFFWAAYRSSHLKTFNFSTPFSPETPKYSRNHVEISSLLSTCGREGNLRLGSSLHASIIKNPEVYNLENDRIVRNSLVIWNSLLSMYSQCGQLSDAVRMFDEMPVRDTISCNSIISGFLRNGKFEMGFGYFKEMIRSEFRRFDRASLTTVLSACDGLEFLGVTRMLHGLVVLSGFGREVTVGNALMTSYFRCGCSNLGKRVFDEMVERNVISWTAVISGLVQNEFYEESLDLFVEMYHGSVAPNYLTYLSALSACAGLQALKEGCQIHGVVWKLGIQSDFCVESVLMDMYSKCGCVEDAWQIFESAKVVDEVSTTVVLVGFAQNGFKDEAIQIFVKMVRAGIKLDPNVISAVLGVFGVETSLDLGKQVHSLVIKKGFGANPFVSNGLINMYSKCGNLEESVKIFNEMPQRNPVSWNSMIAAFARHGNCFRAFELYEEMTSEGREPTDVTFLSLLHACSHVGLVHRGMGILETMQRVYGMVPRMEHYACVVDMLGRAGLLKEAKHFIKGLPIEPGALIWQALLGACGIHGDNEMGKYAADQLLLAAPDSPVPYTLMANMCSSEGKWNDRATIIREMKERGVPKETGTSWIEIEKKIHSFVVADQMHPQNEFIYQSLLGLLKHMTDEGHLLENRLILYSRRPKQNGILDAKHFEFIE >CDP08554 pep chromosome:AUK_PRJEB4211_v1:2:40885650:40887096:-1 gene:GSCOC_T00027514001 transcript:CDP08554 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFRIGCLPQPHQMLLLPKQRNHGVLQCCSNNPNSRKERTQTPKFLKLAVTGVTELLRLLSQSDKNSCYADRTQ >CDO96924 pep chromosome:AUK_PRJEB4211_v1:2:15071164:15074275:-1 gene:GSCOC_T00014110001 transcript:CDO96924 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTIVLGVEKKSTPKLQDSRSVRKIVNLDDHIALACAGLKADARVLINRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIIGFDPYTGVPALYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKETAGQETVKLAIRALLEVVESGGKNIEVAVMTKKDGLRQLEEAEIDAIVAEIEEEKAAAEAAKKAPQKET >CDP08566 pep chromosome:AUK_PRJEB4211_v1:2:40637082:40637639:-1 gene:GSCOC_T00027540001 transcript:CDP08566 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEFPSLYPLHWCKTVCMLRESIMWKEIRTIKHAYTSPEYFDAHLTPIGWQQVDNLRKHVRTSGLLNRIELVVTSPMLRYQFFVY >CDP06839 pep chromosome:AUK_PRJEB4211_v1:2:38354217:38354899:-1 gene:GSCOC_T00023853001 transcript:CDP06839 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHLRVGLQKRENDPDFFINDGVSDVTVKVGSTETIKIPLPKAGSTLVWDLTMIGWEVNYKKKFVPMCN >CDP04966 pep chromosome:AUK_PRJEB4211_v1:2:465450:469495:1 gene:GSCOC_T00019845001 transcript:CDP04966 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein BIN4 [Source:Projected from Arabidopsis thaliana (AT5G24630) UniProtKB/Swiss-Prot;Acc:Q9FLU1] MSSSRSSREDSPDWLRSFQAPTQSALTISSGSVSPLSDDEDEVDLNKLFRKERSDGQVGGHSQADQKTLKTSAPGKNQKAELQTPRRKRKKEREDHCARGGERRAEKRAAETRASEMPIPPSEPNDSVWTLSSDSDSLPDSKPDALITKTLNKKLKSEGDKPSTPEKVHLLKNRETVGDLDIEENLAEKCSGLHASSRLPLVLTEKVQHSKALVECEGDSIDLSGDVGAVGRVVISDDSSGNHEMLLDLKGMYRTIYRTNILPSRTFCVVSFGQSEAKIEAIMNDFIQLKPQANFYEAETMVEGTLDGFSFDSEEEVDNLPKALNNQGEGAMEQCKGKIRQKAEKVSGALQKQSKTGGGKKVKRKAQAPKKSRTKK >CDP17819 pep chromosome:AUK_PRJEB4211_v1:2:27146872:27150547:1 gene:GSCOC_T00009438001 transcript:CDP17819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--nitrite reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15620) UniProtKB/Swiss-Prot;Acc:Q39161] MASLSVKFLAPNPLPNSKTFRLHATPPASQTVAPPASSSGGAADVDALRLEPRVEEREGYWVLKEKYRQGINPPEKVKIEKEPMRLFMENGIQELANIPLEEIDKSKLTKDDIDVRLKWLGLFHRRKHHYGRFMMRLKLPNGVTTSAQTRYLASVIRKYGKEGCADVTTRQNWQIRGVVLPDVPEILKGLEEVGLTSLQSGMDNVRNPVGNPLAGIDPEEIVDTRPYTNLLSQFVTANSRGNPSISNLPRKWNVCVIGSHDLYEHPHINDLAYMPATKNGRFGFNLLVGGFFSPKRCADAIPLDAWVPGDDIIPVCKAILETFRDLGTRGNRQKTRMMWLIDELGIEGFRSEVVQRIPQQELERASSEDLVQKQWERRDYLGIHPQKQEGCSFIGLHIPVGRVQADDMDELARLADEYGSGELRLTVEQNIIIPNIENAKLEALLKEPLLKNRFSPEPPILMRGLVACTGNQFCGQAIIETKARALKITEEVHRQVSVTRPVRMHWTGCPNSCGQVQVADIGFMGCMTRDKNGKPVEGADVFLGGRIGSDSHLGEVYKKGVPCDDLVPLVVDLLINQFDAVRRESEEGEE >CDP08638 pep chromosome:AUK_PRJEB4211_v1:2:54214902:54219997:1 gene:GSCOC_T00027671001 transcript:CDP08638 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVDFPLSRFSQGGSYSLLLLLLGKHSFFFFFFLFETRDHISPLLPITSTQMKIFNWVHRRFIPRDGLGQNHVKKHEEIPLANSNDDHAEDTAALLLDKVVPDDDDDDEMLQLFQGHNYDGWGGAGAGILSIGTFGLDKTLGINIHPEPNTKEFFGLRGKDDDQEPESEDDDDRHRSDEEEESDHHGAVEDDNDEIDCEEEWNPLVYDKSGAQRPTNASALVIGNSSIHNDAKPVRPPGNLLQLQPDTPDHDEHIHSNYSSYRRIMINTKKEKERITLADLFSADSDDAIWMEGTAKNNIPSCDYLQAQCIKNKAASATPHPPDRAKPKNGTLLFPKKLIPLVKQDSRPIQKLHGLMKRMLKRKIHPDVVAAGKNNTCAANRQFGINESVSLLQSQGQFCADKNM >CDP13999 pep chromosome:AUK_PRJEB4211_v1:2:4971368:4977116:1 gene:GSCOC_T00039157001 transcript:CDP13999 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFSTSNTSIAALLITLYPRLPAGPNDNRCHLQAFRHLYVLATEARLVQTVDVDTGMPVYAPLEITVRETEHYAETSFCEVTPCILPERAVLKTVRVCGPRYWPHVIELIPEEKPWWASGDKDDPFNSGFLYIKRKVGACSYVDDPVGCQSLLSRAMHKVGLACLRTSSTRTERMGAVTLDQLISTFSSDPSLIAFAQRFCEPSLNNSSDVDFQEFCLQVLFECVSKDRPAFLQVYLSLYTTIGSMVDEITSATCSLGDSLSLWSIKLALAYNEALLNGRLTIPNGGIVQSTFLGSLKKRLEEILNFSLCVTNDVHEYLLSGRWPKKDTTGWKRSILLSWYLQWHGVPPSIGVRSAREKIKLVNISSSVPLMHLLFPGTHVTAIGEIYRCWLSSRVDK >CDP13945 pep chromosome:AUK_PRJEB4211_v1:2:4538695:4542249:-1 gene:GSCOC_T00039093001 transcript:CDP13945 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQQQQQQRDAGASVPLLPEVAVQSDGVGISEGASMYSAIFNVSTSMVGAGIMSIPATFKVLGIIPSFAVILIVAFFVEVTVEFLLKYTRHTGELDSYGALMAESFGKPGAVALQVCVLVTNLGAMIIYLIIIGDVLSGNCSGGSIHLGVLQEWFGTHWWNSRAYALLFVVLFIMLPLVILRRIDSLRYASAASILLAVLFVVLCSGMAIQAMWEGKTQKARLVPDFAHGMSFFDLFTTIPVIATAFGCHVNVHPIRAELGRPSQMSLAVRISLVLCIAIYFAVGFFGYVLFGDSIMADMLVNFDAVSDSVIGNLLNDTIRLSYAIHLMLVFPVMNFSLRANVDELLFSKTPLLATDSIRFLSLTCVLLAFTYVAAVAIPNIWYFFQFMGTTTVVCLMFIFPSSLILRDVHCISTCRDRILATLVIVLAAGTSLIAISSNIYNYFGKK >CDO97577 pep chromosome:AUK_PRJEB4211_v1:2:20100867:20102241:1 gene:GSCOC_T00014959001 transcript:CDO97577 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSGTMVSTSFLQRKPVTGLSSLPCVNRALFGLKGVAGAGRGGKITCMAGYKIKLITPGGEVEHVVDGEEYILDAFEEAGYDLPYSCRAGSCSSCAGKIVQGTVDQADQSFLDDDQMDEGWVLTCVARPTSELVIETHKEEDLVG >CDP15208 pep chromosome:AUK_PRJEB4211_v1:2:49381221:49383357:-1 gene:GSCOC_T00042833001 transcript:CDP15208 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCDKEFQDTAAARKRHLQGVHHQRAKALWYDSLRFLQMLLFLLADVNQIPDPGTLGKQGVCNRFVRTGSCQYGDSCKYYHPKQNPNDQVLPGQMSSQNVQISWGNLPPSLMPPPEAGYPPLPFIDWG >CDO99616 pep chromosome:AUK_PRJEB4211_v1:2:10722835:10728286:1 gene:GSCOC_T00029262001 transcript:CDO99616 gene_biotype:protein_coding transcript_biotype:protein_coding MISLKSWWIGSVLSLLLLSLLVPGTFGWGKDGHHVICEIAQGYLSEDALVGVKQLLPDYAEGELSAICFWADEIRWHYHWSRPLHYVDTPDFRCNYQYCRDCHDSAGHKDMCVTGAIYNYTKQLTSSLDVPDSEIKYNLTEALMFLSHFIGDVHQPLHVGFIGDEGGNTITVRWYRRKTNLHHVWDTMIIQSALKTYYNSDLTTMIQAIQQNITSEDISSWENCNGTVCPDPYASESVNLACKFAYRNATPGSTLGDDYFISRLPVVEKRLAQSGIRLAAVLNRIFSSNLPIAKE >CDP08894 pep chromosome:AUK_PRJEB4211_v1:2:51965343:51967767:-1 gene:GSCOC_T00028026001 transcript:CDP08894 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPRLASYSCERRPRLLKDFLTDDSAPSCSSNGRFMNSFHKNSSNTQLLRSRSKATSISISAIHKASEMVINAIKFLPFASMVKSHSILPRSISRKLSRSRDHKDSKLAPVVPGAEEVSVATPKIKDILRWKSFRDVVEELSTSWYERDFTAEDLPSWGGDQNTEFMDHNRKKMEGQFSIDENELHSPVSVLDNSPFREDGGFVSFFNRSIDTMERRKCILMQRIEEFENSIAEELDEESNAVEAKARELLSQVKATASSAKRFEADENHLLLDFFRHELAITTSKRQEDAEPAGYELLRLAKSWIGREHCDGTFDWEKAEASLRDVENGLRWDKFDEEQQELGRELEIHVLNYLLDELLSDLVIQLSSGKQF >CDP07800 pep chromosome:AUK_PRJEB4211_v1:2:26686916:26687467:-1 gene:GSCOC_T00025190001 transcript:CDP07800 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNVGISGPPCSNIRNFELSEFEKVFDVNVKGAFLGMKYAAGIMIPLKKGSIVSLSSVASAIAGAGTHAYVGSKHAIVGLTKSAAAELGKHNIRVNCVSPYAVATPLAFAYVPEDERTEEAIDGFRSFVGRNANLQGVELTVDDVANAVLFLASDEARYVSATNLMVDGGFSCVNHSLCAFR >CDO97285 pep chromosome:AUK_PRJEB4211_v1:2:17842579:17845832:1 gene:GSCOC_T00014565001 transcript:CDO97285 gene_biotype:protein_coding transcript_biotype:protein_coding MNASIFQCLTNPLEPQYGGGIVLNPDISEGLNGWSKFGDAKLELRISKDGNKFIAASDRNALHDSPSQTFFLRKGTFYVISAWLRISEGNSPITAAFKTSTGIKNAGTVFARSGCWSMLKGGLVVNASGPAQLHFRSENATVELWVDSISLQPFSEDEWKAHQDQTIEKVRKSKVIFRAVDPQGRPLANASISIRQLIGNFPFGCAINKNILPNPAYKSWFTSRFRLTVFENEMKWYSTETSPGKEDYSVADTLLRFATMNGAVVRGHNVLWDDPQYNPSWVQALSPGDLEAAATKRVNSIVTRYKGQLIHWDVVNENIHHNFFESKLGETASSVFYGQANQIDGKAIPFLNDYNTIEKKDDQTSSPPKYLAKIKEIRSQGYQGPLGIGLEGHFGAPDLAYVRTSIDLLASTKLPIWVTELDVSSQPNQATYLDQIIREVRGHPAIQGLLIWAAWSPQGCYRMCLTDNNFRNLPTGDVVDKIIKELKHEDLIGTTDDEGHFETSLYHGDYEAIISHPAMPSSSSSVGMKFNVAPTTNQATLDVKFSSISFS >CDP05318 pep chromosome:AUK_PRJEB4211_v1:2:3262119:3262718:-1 gene:GSCOC_T00020315001 transcript:CDP05318 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNYNLTKFLCTFLALVSSISLVQPTSAATTPSAYTNFVKTRCSATTYPAVCIRTLYPYASYVQTNPLKLCKTALSVAIQGAGNTTAKVSKLASRKGYYSRMEAAALKDCMYDVKDAISELKQALGAMTRLGGADRQFQWANAKTWGSAAITDLESCLDGFAGRKISPALRANIKSFVVPPQRLISNALYLINHLYQQ >CDP13984 pep chromosome:AUK_PRJEB4211_v1:2:4827253:4831730:1 gene:GSCOC_T00039140001 transcript:CDP13984 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFRRLSTERVPVRVHDVLIKGNAKTKDSLIEAEVEALKTATTVQELLQAAGIANARLQRLEIFDSVNITLDSGPPELPGTANVVVEVVEAKNPLSGDFGIFSKPEARSWSLEGSVKLKNLFGYGDLWDGSLAYGWDQTSEISAGLSLPRFKGLMTPLMARISLLSQDWSKFSSYKERALGFSLGLLSSRNHDLSYNLSWRTLSDPSQISSRTVRRQLGHGLLSTLKYTFKVDRRNSPLRPTQGYAFVSTSQLGGLVPDYRSLRFLRQEFDLRYAFPLGLLNAALNFGISSGVVFPWGNGFLNRPSYLPERFFLGGNSSPVCTLGGPTSILGFKSRGLGPAEPRRQVGENSTTESADASSEMDFLGGDFAVTGFADLSFDIPLKVFRDAGIHGHAFACTGSLTSLTENSYREFSLTKFKESFRHSAGFGIIVPTKLFRMEVNYCYILKKHEHDRGKTGVQFSFSSPL >CDP07641 pep chromosome:AUK_PRJEB4211_v1:2:24200367:24204904:1 gene:GSCOC_T00024961001 transcript:CDP07641 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRCKVLELIHCSIGIDEKQCTSTWRAYKYSLLATFTNDTTNFKQQFGLGKMESKAIHCFLILLILSSICDASRLSQLNKTPLKSIESKDGDVIDCVHIYHQPAFDHPLLKDHVIQMRPKHQPEGLLAENRQLNRRKSITQLWQSSGSCPEETIPIRRTKQVDASGASFIPSINLHNKGANTELIGQAPTLQGPHQSKLNSTSIFILQCTNESEFVATLVGGDQYHGATAHINVWEPQVQQSSDFSSSQLSFTAGSDVSNFDSIQAGWHVYPLLYGDSSTRLFIAYFTIHNSQTIGCYNLLCSGFVQTSNEIALGAAISPLSTFHGAQFGIDVLIFKDPSQDVWWLHYEGTPIGYWPSKLFADLANTASAITWGGSVLSSESDGQQTTTQMGSGHFPEEGSGGASYMRDLYLVDESLKLKPLGNPTTSAKQPNCYNITLGRNEENIYHYHYYIKSMNFGIRECKCNHFFILVFVIPKLSSCLLIRIIAF >CDP08571 pep chromosome:AUK_PRJEB4211_v1:2:40574181:40581422:-1 gene:GSCOC_T00027547001 transcript:CDP08571 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKVVEAKDGTISVASAFAGHQEAVQDRDHKFLTRAVEEAYKGVECGHGGPFGAVVVRNDEIVVRCHNMVLEGTDPTAHAEVTAIREACKKLNKIELSDCEMYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALREQVFEKTKAKFPIY >CDO96813 pep chromosome:AUK_PRJEB4211_v1:2:13332001:13333705:-1 gene:GSCOC_T00013955001 transcript:CDO96813 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAAPTAATSTSSRKKELQGLILGRYEIGKLLGHGTFAKVYHARNVKTNENVAIKIIDKERVLKIGLIAHIKREISILRRVRHPNIVQLFEVMATKSKIYFVMEYVKGGELFNKVAKGRLKEEVARKYFQQLISAVGFCHARGVYHRDLKPENILLDEDGNLKVSDFGLSAISEQIKQDGLFHTFCGTPAYVAPEVLARKGYDAAKVDIWSCGVILFVLMAGYLPFHDQNVMAMYKKIYKGEFRCPRWFSPELTRFLTRILDTNPETRITIAEIMENRWFKKGFKHIKFYIEDDKLCSVNDEVWEGGRGETLGEGDGFESDQSFSEPEPEVESRRRLATLPRPASLNAFDIISFSRGFDLSGLFEEGTDGARFVSGAPVPKIISKLEEIAKVVSFTVRKKDCRVNLEGSREGVKGPLTIAAEIFELTPSLRVVEVKKKGGDRVEYEDFCNRELKPGLQNLVLEGAPYALPSDTE >CDP18671 pep chromosome:AUK_PRJEB4211_v1:2:30010022:30021015:-1 gene:GSCOC_T00010443001 transcript:CDP18671 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLVSTPKDTGGNRRRPGNIGEVSVFIPGLRIPKAVDFSQALGDYLSKSLVERLSALRTRIVVMAGQEAPTITRTRRRTATQHGGSTLADLLQALEDYLPVLLGLVKDGSPLQHRVQFVWINQEDDDEETAMHSTWYEVLSVLHLMAMLSLSQANLLLLPRISADGYQPKVSEESRRCCIDVFLKAAGYLDCAVRQVLPQFPSELRRKLPVDLAEGVLRSLCLQALGQGVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLSNGWGEKHRLFTKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYLKESKKACEAFNLAIPLSRNPPLWGTMKFLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPEEYGLPIVDPSWNEENTNNG >CDP17224 pep chromosome:AUK_PRJEB4211_v1:2:48004876:48008204:1 gene:GSCOC_T00000707001 transcript:CDP17224 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPSAKSIRGTNPQNLVEKIVRSKIYQNTYWKEQCFGLTAETLVDKAMELDHLGGTYGGSRKPTPFICLVTKMLQIQPDKEIVVEFIKNEDYKYVRVLGAFYLRLTGTDIDVYRYLEPLYNDYRKLRQKTNDGRFGLTHVDEFIDELLTTDYSCDISLPRIKKRWTLEALGSLEPRRSALEDDFEEEEEKDEDDQLAAGSDDGDHEKDYYRGRSPTRERDRDRRRDSHRYRDRDYDRDYDRDRDYDRDRDYDRDRDYDRDRGRGRDRDRDRERERDRDRHRLREDKEYGREREREREGRERDRRDRDRGRRRSHSRSRSRSRDRKDRDEERRKRHARSSASPRRRGDGPDDSTTRDEPKKKKEKEKKKDDGTDHPDPEIAEANRLRASLGLKPLKL >CDP09380 pep chromosome:AUK_PRJEB4211_v1:2:23003851:23005748:-1 gene:GSCOC_T00028724001 transcript:CDP09380 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRTAMDAGFWDLNIATPQSLDGVARSIPGDPIPFDGATASRALRIQQLSLLGNGFPLGIIPTWSPTPHKELGSFALHSLVFRAAPTANWWLGLIGQFRPKKLISSIKAEVSAGDEWELPAFRDVARHFLNKSLYSIGLCSQLALTSSASILLSSEKHGERKGRRSRAMLFYKATIQNLLGFLYLSCLFYVISVIWTAIFASPLPNSQFFLSDFGLVRPTSLVLLRLHVYFMGCC >CDO99743 pep chromosome:AUK_PRJEB4211_v1:2:9315803:9323302:1 gene:GSCOC_T00029423001 transcript:CDO99743 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLHRSSSASSKNALPPQELLDDLCSRFVLNVPKEDQQSFERILFLVEYAHWFYEDNAMEKNPSLKSFTLKEFTSLMFNSCDVLKPYVAHIDDIFKDFTSYKVRVPVTGAIILDESFERCLLVKGWKGTSWSFPRGKKNKDEEDHTCAIREVLEETGFDVSELLNKDDYIEMIFGQQRVRLYIVAGVKDDTAFAPLTKKEISEIAWQRLDELQPANDDVISRGITGLKLYMVAPFLTSLKAWISANQPPVPPRSDRPLKGISVWKAKSSSTGSSSAVTENQVNKSTLDPRPPDTGPGRSFKNFRFDTAKILHAVEAAFTT >CDP05342 pep chromosome:AUK_PRJEB4211_v1:2:3443531:3445313:1 gene:GSCOC_T00020347001 transcript:CDP05342 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIYTRQVRLNNGVWMPVVGLGTYSSENDSETTQQAVRMALQMGYRHFDTAKIYGSEPALGNALRSAISDRMIQREDVFVTSKLWSSDHHDPVSALEETLQKLGLEYVDLYLVHWPVKLKPGVLNPVPGEEDFDILDLESTWLGMEKCLAMGLCRCIGVSNFSSRKVQQLLDFATVPPAVNQVEMHPMWRQRKLREVCRKHNIHVSAYSPLGAPGNCWGSTAVVDHPILKSVALKHKATPAQVALAWGMSKGSSVIVKSFNQRRMKENIGALHLALDDSDTLKIQKMEEWKIMRGDFFTNETTSPYRTIQDLWDDEI >CDP08712 pep chromosome:AUK_PRJEB4211_v1:2:53620390:53621139:1 gene:GSCOC_T00027776001 transcript:CDP08712 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESGMTAGGGDRDVEELQEEERDEDNIIGMINSRISSDTSTSGVNPSSPLWELNLLILLSFLSLSPEECLDLENFNENTHKDSRPRQPLSPNLQIIRKRQMYEFRAPPERQSARFQTKVRDCRHFRRV >CDP19515 pep chromosome:AUK_PRJEB4211_v1:2:21329783:21333157:1 gene:GSCOC_T00002343001 transcript:CDP19515 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKEAGSSLECLMTSFNNRIAQLQQLLIARNMYPASNISDLTAIDAALKGMELQVHKIKDRLREERQAIPKAQKLIKASLRQQKILKDISACVPAYLPEMMTSRNQIAQNCVPSVPQQCQDYQSIESLKLEEPAAPKEKKGRASAPLWYITADELTSLPQYMKGRLTLDKVNAAINDMAAYADANAQLIAAPRKKLNESTLERALELREIATSEVIKGKHFFLETDIKGPTLKFDTTGKGIMTVLRHLGRITEDRIGHHRVIILLRPQ >CDO97192 pep chromosome:AUK_PRJEB4211_v1:2:17191058:17192658:1 gene:GSCOC_T00014458001 transcript:CDO97192 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPNNLNRSRALAALLSSLVSQLLLLLLLFCPSSTPFSLLNSFSSTHQFFFPLLHHFLSTSETSATFSLLSSFSRKRKRTHSPNSDDPTHANAVSGSAPDSVIPKNPDSYKQTFKMNCSTFEWLCGLLEPLLECRDPVQSPLNLPVETRLGIGLFRLATGSSYQEISRRFRVSELIAKFCVKHLCRVLCTNYRFWVGFPAENELYSVSTQFEKLGGLRNCCGIINCARFKVKGSDSVLKYSHLEDTVAAQLVVDASSRILSITAGFRGNKSNLAVLNSSSLYKDAETGALLHTRTLYINNVAVPQYLIGGGGYPLLPWLLVPFADPLGGSSEENFNNVVKIMCVPMLKTIASLRGWGVLSGPIDAEFKTAVANIGACSILHNMLLAREDYSAFCDEVSEFRVDDQSFDYTLDENLNEKGSAIRTALSTISKRV >CDP15497 pep chromosome:AUK_PRJEB4211_v1:2:45578571:45582125:-1 gene:GSCOC_T00015348001 transcript:CDP15497 gene_biotype:protein_coding transcript_biotype:protein_coding MVACVMVPLALGFNGLLRKPRTFVQEICQREIAGGRLLTPVTTNIDFNWGSCSARGMIAVNRISIHGMIFGRSFCDPISHYFNVSSSAHVIISGFWVGPDIEDGWGFVEAFVHRIY >CDP13926 pep chromosome:AUK_PRJEB4211_v1:2:4410979:4415054:-1 gene:GSCOC_T00039069001 transcript:CDP13926 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIYSSVSSFSIPSNSNFSSWRLNSKPSPSICCAVGGTVAKPKTVSVTEPLLLNAARGKVVDRPPVWLMRQAGRYMKAGLYSYQAICEKYPSFRERSENVDLVVEISLQPWQVFRPDGVILFSDILTPLSGMNIPFDIVKGKGPVIFDPLSTAADVEKVREFIPEESVPYVGEALTILRKEVNNEAAVLGFVGAPFTLASYVVEGGSSKHFTKIKRLAFSQPKILHALLQKFATSMAKYIRYQADKGAQAVQIFDSWATELSPVDFEEFSLPYLRLIVDSVKQTHPELPLILYASGSGGLLERLPLTGVDVISLDWTVDMAEGRRRLGPDVAVQGNVDPGVLFGSKEFITNRINDTVRKAGKGKHILNLGHGIIVGTPEENVAHFFEVAKGLRY >CDO99924 pep chromosome:AUK_PRJEB4211_v1:2:7720841:7723027:-1 gene:GSCOC_T00029645001 transcript:CDO99924 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFRIQRLENLYLKFRHRNLSLAANLTIDELTQEQVRVWDHNNIPILTLQYLVQKCARERTIWEGKSCHAQIIRLGFLADNLTFNMLINMYTKCCDLGHARKVFDEMPHRSLVSWNTLIGGYTQNGNAEEALILFKEMHRDGPYSFSEFTVSGVLCACAAQLALIECKQLHAFALKVSLELNLYVSTALLDVYAKCNFIKDANWIFESMQQRSDVTWTSMVVGYVKNELYEEALLLLRRSLRAGVEYNQFTVSSALSACAALTSLIEGNQVHAVVCKSPFCANVYVASALIDLYAKCGMIEDAHAVFSTTEEKNIVLWNSMVSGFARHGRSLEAMVLFEKMQLSGLQPNEVTYVSVLSSCCHMGLVERGRRYFDMITKEHNLSNNIFHYSCMVDILGRSGLVHEAKDLIDKMPFEATPSMWGSLLASCKVYGNVELAEVAAKYLFEIEPNNAGNHVLLSNVYASRRKWEDVARARTLLKESDAKKVRGKSWIEIKDKVHTFMVGERNHPRIAEIYRRLDDFLEEMNKQGYRGENEHDLHDVEESRKQELLKHHSEKLAFTLGLMCLPPGVPIRIMKNLRICGDCHSFMKMASSITGRIIIVRDNNRFHHFRNGFCSCGEFW >CDO96755 pep chromosome:AUK_PRJEB4211_v1:2:12749537:12752064:1 gene:GSCOC_T00013872001 transcript:CDO96755 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSIRTMKIVVLMVVVLLKAVSANSLGYDSIFSFGDSLADTGNFLRTGALAFPVIERLPYGETFFHHATGRCSDGRLVVDFFADAYGLPYLKPYLAVARDGNFQHGVNFAVAGATALDPEFFYHQKLGPILWTNDSLSVQLGWFKKVKSTLCSTPQECKNFFKKSLFLVGEIGGNDYNYPFFIGGTIKQVKATVPVVVEAIAATISALIDEGAVELVVPGNFPIGCSAVYLTLFETPNKAAYDEHGCLKVYNAFAKYHNAQLRLGLEKLRQKYPQAKIIYADYYGAAKRFVHSPKHYGFSKDRLVACCGGGGPYNFNNSARCGHTGSTTCTSPSAHANWDGIHLTEAAYRYVAMGLINGPFSSPSLSCPPIKKI >CDP05267 pep chromosome:AUK_PRJEB4211_v1:2:2848325:2856422:1 gene:GSCOC_T00020249001 transcript:CDP05267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51820) UniProtKB/Swiss-Prot;Acc:Q9SCY0] MALYAPKHAKLCTSAAYSSNPCRVQCLLHSSARSLSFLNSTAAPPLFPYLSFNKPNYPPSSSFSPLSVTASSPSSSTTLAQSQGLNIKLIPTKPIEGQKTGTSGLRKKVKVFMQDNYLANWIQALFNSLPPEDYKDGVLVLGGDGRYFNREASQIIIKIAAGNGVAKILVGKEGILSTPAVSAVIRKRKAMFNTFLIFDFLANGGFIMSASHNPGGPDYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKVADIPDVDLTSLGVTKYGNFTVEVVDPVADYLELMEDVFDFSLIRSLLSRPDFRFIFDAMHAVTGAYAKPIFVDKLGAGLNSVANGVPLEDFGHGHPDPNLTYAKDLVNIMYGENAPDFGAASDGDGDRNMILGGEFFVTPSDSVAIIAANAQEAIPYFQSGPKGLARSMPTSGALDRVAHKLNLPFYEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHVREKDGIWAVLAWLSIIAYLNKDKKPGEQLISVADVVKKHWATYGRNFFSRYDYEECESEGANKMVEHLRDLVSRSKAGDKYGNYVLHLADDFNYTDPVDGSVASKQGVRFIFTDGSRIIFRLSGTGSAGATVRLYIEQFEPDVSKHNLDAQAALKPLIDLSLSLSKLKDFTGREKPTVIT >CDP06789 pep chromosome:AUK_PRJEB4211_v1:2:35950056:35952513:-1 gene:GSCOC_T00023761001 transcript:CDP06789 gene_biotype:protein_coding transcript_biotype:protein_coding MNINEHANFFFFGKTFLLSNSCLYKFTTRPKKKGKSTSSINHSQIISCKSKGSSPCSPALYSAAQMSSQASADESSHCNGSFHEESNENGSDAEDAYLPSSALELEQIQKAFTKMVCVQEVPPMMIECPIFKPFVSSLNPFVELGDFESLKNDCLKYYETEMMKIKHVLRNMDAKVNLSVEMLKNDAFGDILCLTAHFVDDTWKWKKWVLRFQVLNWESYSGAIVKSLEYWGIMDKVFAITVKSDIFDAETLEWIQDYIQKKTEAQVKGKLFHVHCCAWFLSSMAQDAFQEISMIVDKVRDLIIWGKSEPVWSITNSKLKEALDLQSRGQLDTEFVDDSCLLSPEEWKRLEGVSKSVDKLHSVAELLFHAKYPTATTFLKNLYDLRVSLELDFPNSCSYVNTILEKLLQKFDRYWEDTFLVMAMAAVMDPRCKMFSPERDF >CDP07701 pep chromosome:AUK_PRJEB4211_v1:2:24947615:24948926:1 gene:GSCOC_T00025043001 transcript:CDP07701 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVGKRLPRFTREQSQLVIGSLDFLGVNYYTSNFAANAHFHNGPNNSYTTDNQVNLTTERNGLAIGEAVLIRVLSHFTNSFYDVQEGVPLYVYPQGLRDVLVYTKNKYGNPTIYITENGFGETNITKVEGGVKDLQRARFYQAHLRAVKEAIGNGVNVKGFFPWTFMDDWEWNSGFTERFGLVFVDFKNGLKRYPKSSALWFKKFLQS >CDP13931 pep chromosome:AUK_PRJEB4211_v1:2:4443687:4445555:1 gene:GSCOC_T00039075001 transcript:CDP13931 gene_biotype:protein_coding transcript_biotype:protein_coding MIISHFTKKRKKEKKDHGTSLIIDHYFFFSFFGGDGIRRGSSVLPGRCLSVIRPCAACKQMRRRCSAKKCPFSPYFPPSEPLRFASVHRVFGASNVSKMLAEVPESLRAEVAISLVYEADARLRDPVYGCTAEISALQRQVESLQQQLNSAWDELLKYKNPHHEADIFNAEPPPSHDVALLPSVATTAAFPPPPSPTVLLVPPPPPTSSGSLLLSSSASSNQYCPLSAAADFSTISN >CDO99730 pep chromosome:AUK_PRJEB4211_v1:2:9423146:9427579:1 gene:GSCOC_T00029408001 transcript:CDO99730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:Projected from Arabidopsis thaliana (AT3G07990) UniProtKB/TrEMBL;Acc:A0A178VCK8] MGRHYLTLFFVVVLPLFLLFGTCYSRINQDQERDRIKSLPGQPANVDFDQYSGYVTVNKKAGRALFYWFIESPASRAPESRPLLLWLNGGPGCSSVAYGATEEIGPFRVKPDGKTLFFNPYSWNKLANLLFVESPAGVGFSYSNTSSDLYTAGDQRTAEDAYTFLVQWFERFPQYKHREFYIAGESYAGHYVPQLSQIIYERNKGIENPVINFKGFMVGNAVTDDYHDYIGTFEYWWTHGLISDSTYKVLRETCDTGSSTHPSDDCVKSLLQAEKEQGNIDPYSIYTPPCNASSSLRRRFRVHFPWMPRAYDPCTERHSTVYFNLPEVQEAFHANTTGIPYPWKTCSDTVGIYWTDAPLSVLPIYQGLMAAGLKIWVFSGDTDSVVPITATRYSIDALKLPTITNWYPWYDNKRVGGWSQVYKGLIFVTVTGAGHEVPLHRPRLAYILFRSFLENEPMPSS >CDO99894 pep chromosome:AUK_PRJEB4211_v1:2:7960829:7963659:1 gene:GSCOC_T00029604001 transcript:CDO99894 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLGRLMWRAANYGKRSLSSQKVAGINGLRCLPKRFIYCYAAATSNSILDFNSLKSSLLPSTTHFAGQRRTMFIQTQATPNPLSLMFFPGKPVMEGGSADFPNARAAMNSPLAKALFGIDGITRVFFGSDFVTVTKSEDASWEFLKPEIFAAIMDFFTSGKPLIMDSNSLDSMDTAIHEDDSETVAMIKELLETRIRPAVQDDGGDIEYRGFVPETGIVRLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDTEDEDPALTGAAYE >CDP17191 pep chromosome:AUK_PRJEB4211_v1:2:47564714:47572063:-1 gene:GSCOC_T00000657001 transcript:CDP17191 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGTHIRFQKSNSFFFLSLYIGRISPRTLNISQTLSTHPRNEVSGRILYQEEMGRSSRSSRTIYVGNLPGDIRESEVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFDDYRDADDAIRGRDGYNFDGHRLRVELAHGGRGSSSSYDRYSSYNSGGSRGGVSRRSDYRVLVTGLPPSASWQDLKDHMRRAGDVCFSQVFRDRDGMRGIIDYTNYDDKKYAIRKLDDSLFRNQYSRAYIRVEEYDLRRSFSRSPSRSPGYSRSRSPGYSYSSRSRSASPRARYSRRSPSRSLSRSVSSGALSDSPHYVSRSPRKFRSPILSRRKYASRSPSLSRSRSRSISGSLSPVRSD >CDP08891 pep chromosome:AUK_PRJEB4211_v1:2:52003174:52008002:-1 gene:GSCOC_T00028020001 transcript:CDP08891 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAMLHVGFISFSSCIPSFSSGGLSRRCTRFSFTKLHKPLAMSSLSNDPIREWILSEGKANEITRISPVGGGCINLASRYDTDAGSFFVKTNRSIEPSMFEGEALGLNAMYETRTVRVPKPFKVGPLPTGGSYIIMEFIEFGASRSNRSALGRKLAEMHKTGKSENGFGFHVDNTIGSTPQINTWTSDWVEFYAEHRLGYQLKLARQQYGDSTIYERGLRLAKNIRPLFEGVAIEPCLLHGDLWSGNITSDRNGEPVILDPACYYGHCEAEFGMSWCAGFGGSFYNAYFEVMPKQPGFEKRRELYLLYHYLNHYNLFGSGYRSSAMSIIDDYLLMLKA >CDO97301 pep chromosome:AUK_PRJEB4211_v1:2:17977135:17991235:-1 gene:GSCOC_T00014606001 transcript:CDO97301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40B [Source:Projected from Arabidopsis thaliana (AT3G19670) UniProtKB/Swiss-Prot;Acc:F4JCC1] MANNSQYTGMQPHRPPLIGSLGPPQSALPSMPLQYRAVPPPQQTQPYVALAPQPFLGHANVRMPPPPLPPAPQIQFHQPMHQLPLIPPPAGEGLPQAQARPLQDFQQSGPPTPVPGQPQQSTQISNNYMPGFGGPRMPLSSSNTQINVDPASQHQPMSQTTLLNFPAEGQAWLSNGNQGTKPITPVQQTQEPCATAANPEITSKPDLGEKVSLLWIEHTARNGKKYYYNRLTRLSTWEKPLALMTPIERADASTDWREYTSPDGRKYYYNRVTKQSKWRIPDEVKLARERVNLDSLNKTQERKDASSQDSAAVSTSEVNASSSSIEASIFPAQPAVSSPNPVAPIVTEQSLVTPESSIPAAEVSPVAMDDVDMQTSLESHTPFVAVLDKDAVSVALETTVRTPVSSCAVLSAPDTSVAGVSPGNSEEAKKDIKDSARSEEKTVEQGPLVYENKLEAKTAFKALLETENVGSDWTWDQAMRVIINDRRYGALKSLGERKQAFNEFASQKKKQEAEERRARQKKAREDFKKMLEECKELTPSIRWSKAISIFEDDERFKAVERAKDREDLFENYKEELEKKVHSNLIYRFTNQLNSNEFVSDTIFFFHCCYGLRASPVMERAKAMEEQKRNRVEYLEFLKSCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYIRDLEKEEEEQLKLRREEQRKAERKNRDEFRKLMEEHVAAGILTAKTLWRDYCIQVKDSPAYLAVSSNSSGSTAKELFDDVSEELVKKYLDDKAQIRDAVDIREISLTSSSTLDDFKAAIAKDVGSPVSDVNLKLVFDELLERAREKEEKEAKRRKRLADDLYQFLHGSKEISISSRWEDWKQLIEHRQDNIVG >CDP15198 pep chromosome:AUK_PRJEB4211_v1:2:49559875:49563902:1 gene:GSCOC_T00042817001 transcript:CDP15198 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTTLFSERQPTFHYSLGHGLTFKTSAILSTSSSCSISPPVKTLLVTSKLGEQQLLRRSGNYQPSTWDFCYVESLSNQYSGKRYINRCLVLKEQVKMMLDEEVDLLIQLKLIDDLQRLGIAYHFQNKIQSILSGVYKENHLGNTSREKDLYATALEFRLLRQHGFDVSQEVFNCFKNEKGNFKANLCEDIKGMLHLYEASFLLVESESTLEMAREFSSECLENVLNHEGISEELILLVQHSLELPLHWRMQRLEARWFIDMYEKRANRNPTLLDLAKIDFNLVQATHQDDLQYASRWWQSTCLAENLAFARDRLVENFFWTVGVIFDPQQGYCRRMSTRVNALVTTIDDVYDVYGTLDELELFTAAVERWDLGVMDQLPSYLKLCYFALYNSINEMAYDVMKEQGVHVISYLKNSWTDLCKAYLQEAKWYHSGYVPTLQEYLDNAWISISAPVILVHAYFFVSSPITNEALECLDRYHNIIRCSAMILRLADDLGTSTDELQRGDVPKSIQCYMNESGASEEEAREHTRFLIGEAWKQMNKERVADSPFSQTFIGMAMNLGRMAQCMYQYGDGHGSSNSQTKDRIRALLLDPVGLSF >CDP17761 pep chromosome:AUK_PRJEB4211_v1:2:51631962:51643884:-1 gene:GSCOC_T00003872001 transcript:CDP17761 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSVKDFEDDDTPTTTRNSQILRFAAEADIEVPQVSSKKSAAEIPTPQFVVVDTYERDYSRTFGQPTSYLRARGARAEIGEFIEYDLDNEDEDWLQEFNRERKILLAEKFETILFKLEVLDHKARERAGIITPTLGPPVPVLLTLDAAVEALQSLSIKYGVFQSIYNYWKEKRERWQKPVLRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTILDALVRREEKKRDVMESEVSLQRIQMKYKNETELLEDSLALPGLPSFPSKVVSSEEEFVDSDDVANSRPHLRPVAAQNPPFMDSKLVMASAASTRRDVKRQNGWLNKLDPDEPVMLFTKPLDPEKLAAAGIVPPQASLIPDGESTPSVNFPSFRGRIGRGGRIIFDRCNPHMLTPPDSGETCYVPPKPRQAVNP >CDP05164 pep chromosome:AUK_PRJEB4211_v1:2:2088841:2091228:1 gene:GSCOC_T00020116001 transcript:CDP05164 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELSKDEQHGPLGDVKILSASYVKPRKALGRKECQLVTFDLPYLAFYYNQKLLIYKLGDGEFDKAVEELKESLSLVLEDFYQLAGKLGKDEEGVFRVEYGDDMNGVEVVVAEAPETAVADLTDEEGTLEFKELLPYNNILNLEGLHRPLLSLQLTKLKDGLSMGCAFNHAVLDGTSTWHFMSSWAQISSGANSISIPPFLDRPKARNTRVKLNLSNPSEAPEHAKSAPNGGVNEVKPGPQFREKVFRFSASAIDQIKAKVNADANRSDGSKPFSTFQSLSTHVWHAVTRARLLKPEDITVFTVFVDCRKRVDPPMPESYFGNLIQAIFTGTAAGLLLANPPEFGAALIQKAIENHNSEAIDARNKEWESNPKLFEFKDAGANCVAVGSSPRFKVYDVDFGFGRPETVRSGCNNRFDGMVYLYPGKSGGTSIDVEISLEGSALERLEKDKDFLLDF >CDP08889 pep chromosome:AUK_PRJEB4211_v1:2:52029001:52031449:1 gene:GSCOC_T00028018001 transcript:CDP08889 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFCGNLEFDARQSDVERLFRKYGKVERVDMKSGLLGLSMIFLDGWFSASLFWLVPKIHVNVFPKGPI >CDP15835 pep chromosome:AUK_PRJEB4211_v1:2:44433653:44436122:-1 gene:GSCOC_T00016709001 transcript:CDP15835 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQVCHRLSIHGVDKIRPLLCLQRAHFHSGQISFAPRSFFGVEDFLDDDNSRPYTYQKEKKTKNPNKHISFKQRTIAYMEPFTLDVLISKRFVSASITHRVTSKQVAVAGTNSKDIKAVLKSRSDIPACLAIGRILAERAREADVYTASYTPRDRDKFEGKIRAVVQSLIDNGIDVKVYLE >CDP05089 pep chromosome:AUK_PRJEB4211_v1:2:1477876:1480165:-1 gene:GSCOC_T00020019001 transcript:CDP05089 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLASARESRMYGPRSSRNRLEYINAGLYAFATVVLLSGFAAQLSGEPRSGLVLLLVALPVIALVNVHDLIAHLSGIDCRLNILKFDTQFAAVEFAAPVLQTFGTVLFFLGILFLFLQDERGYGYRKVERHALNMLIAGSALWLLGSIQNSCQIYERAGGHVQILQESIQIPFSMGSLLFLVGAILNSREQTGHIHHGMKLLGLTWIWIGIFGSLLFFAGGLANLVKVFKMQQGDGLQLEKLRGGAQERLLDLREGQVPFILEESSRRRERPAEEGKTAIVPATPYKDVLLSQT >CDO97579 pep chromosome:AUK_PRJEB4211_v1:2:20113769:20119315:1 gene:GSCOC_T00014961001 transcript:CDO97579 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSLRLRRALSLSSFLLHQHFHSPKFLSPLHSSSSALFGPQNPRTTPSFSDFHSFRHFRSSPISLSSRNRRFESDDQMEITADTILFEGCDYNHWLITVDFPRDVDIPAEQKVQKYVEIAAGVFGSEEEAKKRIYACSTTTYQGFQVECSEETSEKFKENPAVVFVLPDSYIDPVNKEYGGDKYINGTIIPRPPPIMSVRRNPRQFSRPQRPENWGSGPGDARNFGPQQSHPSQQNFGPQQNHPTQQNFGPRQNHTTQQNHPTQQNFGPQQNYTAQQNFGPPRGPVSQQNYSPPQNTPPQQTYGQQQNAPPRQYYGTPENTTAHQNYGYHSPQHNYGQDRRSKLHAFTRWDLSSGHRRSSSTGNGPCIWAELPKARRRSEILRGRPEKQIPEWGPKEFCLTMGGAKKKKSTLPMRFGNQKCREDSMWTNSSLLCCSS >CDP09419 pep chromosome:AUK_PRJEB4211_v1:2:22669927:22671224:-1 gene:GSCOC_T00028775001 transcript:CDP09419 gene_biotype:protein_coding transcript_biotype:protein_coding MHASFCDDHQNRSDDAEQEQAEAFVDHLVQDKGVRQRPQQKQVKKCYFFGTHQVNKRDFALWDESNEENDIHKQHVV >CDO99651 pep chromosome:AUK_PRJEB4211_v1:2:10321672:10325275:1 gene:GSCOC_T00029307001 transcript:CDO99651 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAGSSGSPTPGRLEGKRAAMVVCWFLGLGSLVSWNSMLTIGDYYYALFPHYHPSRVLTLVYQPFAVGTVATLAYNEARIDTRKRNLAGYTLFFLSTLALLLLDLGTSGKGGLGNYIGICILVAAFGVADAHVQGGMVGDLSLMCPEFIQSFLAGLAASGALTSALRLMTKAAFENSNNGLRKGVILFLAISIFFEFLCILLYAFIFPKLPIVKHYRAKAASEGSKTVSADLAAAGIQTEANETVDDDKYPERLSTKQLLFQNFDYALDVYLIYVLTLSIFPGFLYENTGHHKLGSWYAVVLIAMYNAWDLVSRYIPLMECLKLKSRKGLMLATLSRFFLIPCFYFTAKYGDQGWMIFLTSFLGLTNGYLTVCVLTAAPKGYKGPEQNALGNLLVVFLLGGIFSGVALDWLWLIGNRKF >CDP15247 pep chromosome:AUK_PRJEB4211_v1:2:48769704:48776467:-1 gene:GSCOC_T00042893001 transcript:CDP15247 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLCPRLCLFIFSLAVLAFTGVLQLVTSHEESGEWDCKPDSEARVPAQFRPGVVTLDGHADDWEDVYGFEFSLLPALDPDEENAYKGGKMTVKALHDGKDMYFLLQVDGNYIYSKGDNHGCPSVALMFQVGESATYHRMGGCKESPNTCNKTSCRGYEVDIMHFSIGNAVPGRLYGGNPIDSSEGNGGDRFGHLVDTYAWNPHCRNLDGIGPSGNDSTAQNDWRGAWWHSSFTTHTGFVAEDSPYASSGQKGTYYFEFSRPLRSMDNLQQDVQFTIGQSSKFSAAFWYPSDGNPWHGSAHYSISCDWVPLDVSPGSSSPGKGKSGSAWGAASGFALLLSVVSFCISIFVGYRVSINKAIPFTPMDRL >CDP17799 pep chromosome:AUK_PRJEB4211_v1:2:51253223:51257328:-1 gene:GSCOC_T00003924001 transcript:CDP17799 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRCCCPASVAVAFLFLATIAFAVRIITSKIPEKLEKKKRRHHPIGGTMLNQLINFNRLHHYMTELATKYKTYRLISPFRNEVYTSDPANVEYILKTNFENYGKGLYNYTILKDLLGDGIFTVDGDKWREQRKISSFEFSTRVLRDFSSVIFRRNVAKLANIIREATNSNQTFDIQDLFMKATLDSIFRVAFGVELDSMCGSNEEGKKFSNAFDDASAMSLRRYVDIFWKIKKALNIGTEAKLKEKIRVVDDFVYKLIRSKTEQMHESSDDCSWKKEDILSRFLQVSETDSKYLRDIILNFIIAGKDTTAATLSWFIYMLCRHPDIQEKVAQEIKEETNGRKTTDIAEYTSELSEEALEKMHYLHGALTETLRLYPAVPVDAKICSSDDILPDGFSVKKGDMVAFQPYAMGRMKFIWGDDAQEFRPERWLDVNGCFRPESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLRFFVFKLGDERKAVNYRTMINLHIDGGLHVRAFHRLGC >CDP05217 pep chromosome:AUK_PRJEB4211_v1:2:2493217:2495502:-1 gene:GSCOC_T00020183001 transcript:CDP05217 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGPYCRPKHWKRNTAIAMAGVFLLCIPIAMISVQLEQRPHMPVRPIPSQLWCKNFGKKDY >CDO97400 pep chromosome:AUK_PRJEB4211_v1:2:18795702:18798140:-1 gene:GSCOC_T00014738001 transcript:CDO97400 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVTSEPERIASSNLNNKPRYSKQHTRSSSSKISQFLHYSPKLSLYFLVTFTFLLILFQIQPLQIPSLPPTTNSWTFMSRWQNVINNTNLSTLAKQYCAKDLESTASKLRDAVTFLPLKDLRYTSSAQQGHTWFMSSMYDTHEEGEVQYQQFPSEASKGRLLCLKGRDTHEGAWNSYALAWPDTLPHNATLLKGLTFVSYNHYNYDNIWHGLSAMVPFVAWHIKNGCAAPTRWVLYHWGELRMKMGPWLRALMEATFGEPLYVEGFTHDQEVGGPDDHGNNDDDDDENPFCFEEAVVMRHNEGGMSRQRRIEVYDLLRCKARIYCNVSIEGRNAEADEKAGVPVIGMTMFMRTGARSFRNPSAVIAIFEKECNMVEACRFRVAYSNNLTFCEQVKLMSSTDILISPHGAQLTNMFLMDRNSNVLEFFPKGWLKLAGVGQYVYHWIASWSGMKHQGAWRDPDGEKCPYPEDDRRCMSIFKSGLIGHNQTYFSEWARNVLDDVKMRKMEELASLMKKNSANTKTTIVSGCPLQL >CDP13844 pep chromosome:AUK_PRJEB4211_v1:2:33794580:33796954:-1 gene:GSCOC_T00038951001 transcript:CDP13844 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDYASSSDEEEEAEAEEQEPKVSASEQPRETDTVVADDVKRRRPEATVSQIPAPSSTEDHNNRRSGSSVNQRGEEVPERLETPLLVLPDASLLLNSPALPSHMGNHSDHSSRVAAAMAESESRKRDLNGSSSSNHTRNKVPRGNLPSSRRVPDTVGGHLLPPQLAGRSNIVTEDMTKLFVKKHVNSSSE >CDP13980 pep chromosome:AUK_PRJEB4211_v1:2:4803813:4807952:1 gene:GSCOC_T00039136001 transcript:CDP13980 gene_biotype:protein_coding transcript_biotype:protein_coding MSISALRSLLKERKYASLLFLFVLLIFVTFLLISNSQSFVYDYTGISISEPQSPSQNGGNTFIAANMESSLPRWRLCEGPGMVDYIPCLDNWKAIKTFRKLERKERHCPKGPSPRCLLPLPPGYKTPVPWPKSRDMIWYDNVPHPKLVEYKKEQHWVVKSGEYLVFPGGGTQFKEGVAHYVEAIEKILPVIQWGKHTRVVLDVGCGVASFGGYLLDKDVITLSFAPKDEHDAQIQFALERGIPATLSVIGTKKLTFPNDSYDVVHCARCRVRWDADGGKPLIEINRILRPGGYFIWSATPVYLKDEEHQKIWQAMVALTESICWKIVKKTSIDGLGIGLVIYQKPVTSSCYEARKKDIPRLCDPNRQPNISWYEPLDGCLPPIPAVSEDGIYNWPAPWPERLNTKPSSLSKESDSLKNFMEDSNHWSALVSDIYLEGLTVNWSSIRNVMDMNAGYGGFAAALIDLPLWVMNVVPIHEPDTLPVIFDRGLIGTYHDWCESLSTYPRTYDLLHSSFLLKNLTQRCNILDVVMEMDRILRPGGTLLVQDTMEMMNELTSALNSLHWSSSLYQDQFLVGKKGFWRPHSDATA >CDO97424 pep chromosome:AUK_PRJEB4211_v1:2:18913157:18921602:1 gene:GSCOC_T00014765001 transcript:CDO97424 gene_biotype:protein_coding transcript_biotype:protein_coding MEILTRPKLLFLDEPTSGLDSAAAYCIVNRIVRLARHYGMTVVASLHQPSSEAFKLLDNLCLLCLGRTIYFGPAYAANHFFAVNGFPCPALQNPADHYLRMVNSDFSEDIQYGAGGKTTTREAIDMLAESYRSSDAYKDILRQAAEMDKQLQSIGTMEKKGCPASFFIHCLILIERSFLNMYRDVGYYWLRLGIYIALGFGLGTVFHNVGNSYSSINARGSMLMFVASLLTIMAIGGFPSVVEDIKVFKRERLNGHYGVAAFVVSNTVSSLPFLLLISMIPGAISYYLVGLQQGSDRFLYFIMVLIACLMLVETLVMIVATIVPNFLMGLITGAGLQGLMMLSGGFFRLPSDLPKIFWKYPMYYIAFHKYAYQGLYKNEFQGLAFPKNLLAGGSSNLVGGETILRDIWQCLINKNPSAEFVCIFFSTRILTACLILIIINRIISTILSYLSNLPLFSRNYFSMACPNLASPSRLSNDDCADVLMNSPHTTVSEIKIPLEVDGDHEDGKENAGPDYCKSNSNSKGVFLTWDDLWVSVPNGKKGCKAILQGLTGYARPGELLAIMGPSGCGKSTLLDALAGRLDSISKQRGEILVNGRMQQLAYGTSAYVTQDNILTWTLTTREAVYYSAQLQLPNTMSKAEKIDRADRTIREMGLQSCIDTRIGGWGSKGLSGGQKRRVSICIEMLTRPKLLFLDEPTSGLDSAASYYVMNRIVELAKEYGMTVIASMHQPSTEVCNLLDNLCLLSLGRTIYFGPSFAASQFFTRNGFSCPGLQNPADHYIRMINTDFDEASDIESAAEGKTTTREAIDKLVDSYTSSDIYNLTLSVVAQINGQQLRVMAKKRRRASFFMQCLVLTERSFVNMYRDLGYYWLRLAIYITLAFGLGTVFYNVGNSYNSINARASMLMFVASLLTLLSIGGFPSFVEEIKVFQRERLNGHYGVAAFVISNTVSSVLFLFLIAVIPGVIAYYLVGLHRGTERFLYFTMVLFASIVLVEGLMMIVASLVPNFLMGLIIGAGTQGVMMLSGGFFRLPDDLPKIFWKYPIYYISFHRYAYQGLYKNEFEGLKFPSNELMMGGSSPIDGETILRDTWQVERGYSKWIDLAILFLMVIIYRLLFFGIIKVRESIKPLVKRFHLGLSYKKE >CDP21032 pep chromosome:AUK_PRJEB4211_v1:2:48217394:48218904:1 gene:GSCOC_T00004425001 transcript:CDP21032 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCLLLIWLSRKLSRPVRIALPQRLLPLAATAKTLSAVSRTALPPETGDEGAGRTLDSSSTSFVA >CDO96786 pep chromosome:AUK_PRJEB4211_v1:2:13048442:13052293:1 gene:GSCOC_T00013916001 transcript:CDO96786 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNVFLECILGCLLKIKKFLEYFLQIVGIFEKQANPNGVEDNVEALEQCDQAHEEEHMPYVPSELVGCPGNDSETLYHCYLIALQPDFSCDTKPHDILLARRARLEFDDETLTFELDVDRGKLKIRMNYIRQIKLTSEEVVLCQKFQVTLLRLLLDHNQKKLKEALSGLNRNSQRDVFDYLLIPSTGPHENPSIDWTCVSSVLFPQENMSDKHMDGCSNRVQGRYVHTIDGLICCCTLQNSLVVTPHDGIIYCTTGILDDLNSNSLLKRKSRGSITYKANFAKWHGITLCFEGEPFLSGQCLFTVQNYLLGCRNEKPKGSRKASVELPPELCKVMMSPISIGSVSSFAYAPSIIQRIESLLIAGNLKRMLMDDCKLNDMIPTIKVLEAITTENCQENFNLKLLATLGDSFLKYAASQQLFKRHQNHDIGPLTVDRINIISNKALCKLGCHKKIPVERCKRKSRQGGEMQSRLLRNFR >CDO96898 pep chromosome:AUK_PRJEB4211_v1:2:14789600:14790374:1 gene:GSCOC_T00014076001 transcript:CDO96898 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNEEVSMDCFLILRVLVYPACKCPEASTILQSLGGVKDFFKSTGSPFLAAPWPIMRVHLMDMNFFPPNFGCDILKAKWIRSYASPTDYRMHAVTGNSAITEVIQAYVAAFHTRKLELPEDSSTLYKMSLDNEAAIQAEFLPHNADFKFLDKAAIQKFTTESEKPNPWKLCRVTHVENAKILLKMLPIFC >CDP08761 pep chromosome:AUK_PRJEB4211_v1:2:53251670:53253939:-1 gene:GSCOC_T00027851001 transcript:CDP08761 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAENQLSFDLVEEWITKHPSASICTSEGVQMFRDIAIFQDYHGLTEFRNAVARFMGKVRGGRVSFDPARIVLAGGATGASELITFCLADPGDAFLVPTPYYPAFDRDLKWRTGIQLIPVICESSNNFKITKEALEEAFQNAQKSSIKVKGVIIANPSNPLGTTVERETQITLVNFINEKQIHLVCDEIYSATIFSRPNFISIAEIIEEVECNRDLIHIIYSLSKDMGFPGFRVGIVYSYNDAVVNCGRKMSSFGLVSSQTQHFLASMLSDDEFVDDFLKTSSMRLAKRHQTFTSGLEEVGIKCLNSNAGLFCWMDLRALLKEPTFEAEMVLWRLIIHKVKLNVSPGFSFHCVEPGWFRVCFANMDDATLEIALKRIRIFIQATVKKSDVPAKKSKQWQKNLRLSFSGGSRCEYEESLKSPSVMSPHSPMSPAQLVQTRT >CDP07707 pep chromosome:AUK_PRJEB4211_v1:2:25181935:25183408:1 gene:GSCOC_T00025054001 transcript:CDP07707 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVTFLVALLLLPIHLLNARTVITSFNRSSFPDDFVFGASSAAYQYEGAAFEGGKGPSIWDTFTHKYPGKILNGSNGDVADDFYHRYKQGRRGI >CDP09471 pep chromosome:AUK_PRJEB4211_v1:2:21887577:21889466:1 gene:GSCOC_T00028850001 transcript:CDP09471 gene_biotype:protein_coding transcript_biotype:protein_coding MHHENVGSPVDGTSGTEYGAAAAAAAAAGKCCSGSVFGPAPSRLEVEKAMTDLQRFLHGEEAKSNFHWLQPIVYPSDSRMLQSPGYRRIKDAFGMLQREPSVQEIDVWYSWFEDSAETETSRPSRNRLGLGRDDTATIRDRDTRLAEKSAETSPRRVVTVESPRVTPSHPESRLLNSWPSCSSLLLIGIPRWKLPG >CDP07652 pep chromosome:AUK_PRJEB4211_v1:2:24364476:24366422:-1 gene:GSCOC_T00024974001 transcript:CDP07652 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWLTSSACSRGGASSYAKLLSHQQRDSHDVKELVKGVIEGETRVLAASMTMEEIFRGTKDFKREVFEKVQLELNQFGLLIYNANIQQLVDVPGHEYFSYLGQKTQMEAANQAKIDVAEAKMKGEIGAKERQGLTLQNAAKIDAETKIISTQREGEGRKQEIMVKSQLKIYENQKEADVAEANAQLATKKAAWARQAKLAEVEAEKSVAIREAELQKEVERKNALAKTEQLKAQYLSKASVDYDIKVQEANSDLYVKQKAAEAVLYEKERAAEAQRVAAEAQLYARQQAAEAELYAKRKEAEAMVAQAEAQGAYIRTLLTSLGGNYNALRDYLMIDGGMFKDIAKIKAEAIRGLQPKISAWSNGGEAIDGAGTGNAAMKEIASVYRALPPLLQTVHEQTGMSPPAWMGSLPSSSASQPAN >CDO99533 pep chromosome:AUK_PRJEB4211_v1:2:11583323:11596523:1 gene:GSCOC_T00029155001 transcript:CDO99533 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCPNCVRDCRVDRDHASGYICCSECGRIHYQDVFTDEPTFVKGPGGVSRLAGSFVRSVQSDCSESFKRTLENGSRQINDLTIKLDIPDESLGAQAASFYRIAVERSFTKGRRTAHVAAACLYIACRANDKPYLLIDFSIWLSVNVYVLGAVYLQLCKVLNLEEHPFVQKPIDPSLFMHRFTSALMKGDYKNKVWKTALQIVASMKRDWMQTGRKPSGICGAALYISALSHGCSYSKSEIVKIVHICEATLTKRLVEFENTKSGSLTIEEFNQNAEEFEKENHSSTSPDIYLQESGKKEVLCQHKGKEPHFAHGLCRSCYKDFIELSGGLDEGCEPPAFQVAERDRLARASAEKEGSTDQANQDSCNHEKEAVPVSASASARQNLDKSCDDTFRKDDDIPSVTVETDNLSDIDDVEVNSYLNSKEESHYKKIIWEQMNKEYVKEQAAKEVAAALRDCGEVTDDVLAARKLAAAAAAAVAKSRDQRKLKRAESKNAGPAPTAAEATRQMLMKKGLSSKINYDVLEKLFDESSPPSTKKPRMEPPRNDHNASGKYANKKDNEAE >CDO99875 pep chromosome:AUK_PRJEB4211_v1:2:8129336:8130226:-1 gene:GSCOC_T00029576001 transcript:CDO99875 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKRSFRILMLPWLAHGHISPFLELAKALTKRNFYIYVCSTPVNLSSLKQNLSEKDSISIKLVELQVPTLPELPPHHHTTNGLPPNLMPTLKEAVDMAKPSFHNILRTLKPDMVLYDFLLPWVPALASAQNIPAVSFIATGAATFSYVVHYKLNPDSEYPFSSIYYREYENHKLTGMADATASGIKDSDRVINCVEQSSGMILIKTCREIEGKYIDYLSRLSKKKIVPVGPSFRRQQWKTRPRKSSNGLARRIVVPRYLLPLAVNISCPEKIWSRLLLDWSSAMLISYGLLGSL >CDP05309 pep chromosome:AUK_PRJEB4211_v1:2:3202493:3204309:1 gene:GSCOC_T00020306001 transcript:CDP05309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXORDIUM-like 3 [Source:Projected from Arabidopsis thaliana (AT5G51550) UniProtKB/Swiss-Prot;Acc:Q9FHM9] MGSPPAPVTLLFTILLPLFVSFLAGAPLALGWRPWPNQDKPNATDFIYGASKKYEGSSDFVHLKYHMGPVLTANITVYPIWYGVWQNSQKRIIREFITSFSAFNSKPPSVSGWWKTVQLYTDQTGANISSHVHLGEEKNDRFYSHGKSLTRLSVQSVIKSSVTARTKPLPINPKSGVYLLLTSEDVYVQDFCNNVCGFHYFTFPSILGYTLPYAWVGNSAKLCPGVCAYPFSVPDYIPGLKAVKAPNGDVGVDGMISVIGHEIAELSTNPLVNAWYAGQDPVFPVEIADLCEGIYGTGGGGSYTGQMSNGEDGATYNMNGIRRRFLLQWVWNPLLNYCTGPNALDQ >CDP13879 pep chromosome:AUK_PRJEB4211_v1:2:4052537:4055566:-1 gene:GSCOC_T00039009001 transcript:CDP13879 gene_biotype:protein_coding transcript_biotype:protein_coding MKILHIPCLEDNYSYLVINEKTKEAAAVDPAEPHKVFRAAQENGVHLKLVLTTHHHWDHAGGNDKIKQIVPGIKVYGGSVDNVRGCTNKVENGDRIPLGDEISILCLHTPCHTQGHISYYVTGKDGEDPAVFTGDTLFIAGCGKFFEGTAEQMYQSLCVTLGSLPNPTRVFCGHEYTEKNFQFAITVEPDNEKIRQKLAWAQQQRRSGLPTIPSTIGEELETNPFMRVDLPDVQRMVGCKSPVEAIREIRQRKDTWKPTA >CDO96804 pep chromosome:AUK_PRJEB4211_v1:2:13239892:13243636:1 gene:GSCOC_T00013941001 transcript:CDO96804 gene_biotype:protein_coding transcript_biotype:protein_coding MQMTTMTHASLLLLILFLVLVTFTTLSSSLLNDQYSIIGKNDEEFLSEERVAEIFQEWKEKHGKVYKHVQEAEKRFQNFRKNLKYIVEKNSMRQSNSGHVVGLNKFADMSNEEFKKVYISKIKDGKRITDQRGEFNIALRKSKAASCEAPTSLDWRKHGVVTGVKDQGGCGSCWSFSTTGAIEGINALVTGDLISLSEQELVDCDSTNDGCEGGYMDYAFEWVISNGGIDTESDYPYTGIDGTCNITKEERKIVTIDGYKDVADEESALLCAVVQQPISVGMDGSAIDFQLYTGGIYDGECSDNPDDIDHAVLIVGYGSQGGEDYWIIKNSWGTYWGMEGYAYIRRNTKLKNGVCAINAMASYPTKESSSSPSPYPSPAVPPPPPVNPPPPPPPSPSPSDCGDYSYCPPDETCCCLLEFRHFCFVQGCCDYENAVCCTGTDYCCPSDYPICDVDDGLCLRNFGDFLGVAAKKRTMAKHKFPWRKTHEVKKTYKPLLWKRNQLAAMR >CDO97311 pep chromosome:AUK_PRJEB4211_v1:2:18038041:18044222:1 gene:GSCOC_T00014619001 transcript:CDO97311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G33770) UniProtKB/Swiss-Prot;Acc:O81893] MKFVLMMDCSKSILHTSSLLVTVIASMLVPTALSGNSSKGILFIAVDVKQPLSDQGPFDVVLHKLAGKEWSQMIEDYGQKNPNVTVLDPPDAIEHVNNRQSMLEDVADLNLPDYYGRVTVPRQLVFTTDPSSIPHEVTKEGLKLPLVAKPLVVDGSAKSHELFLAYDKVSLAKLEPPLVLQEFVNHGGVLFKVYIIGEFIKVVRRFSLPDVCKRELSKIAGVFPFPRVSCASASADGADLDPKVAELPPSPLLEMLARELRLRLGLQLFNVDIIREYGTRDLYYIIDINYFPGYGKMPDYEHTFTEFLLSLEQSKYEKVLVA >CDP13830 pep chromosome:AUK_PRJEB4211_v1:2:33322057:33326284:1 gene:GSCOC_T00038918001 transcript:CDP13830 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALAVGSSVLSAFLQVVFDRMATKEFVSLFQKRKKNLLQKLKLNLLALGAVLDDAENKQTRNQSVKGWLDELHDTIYQADELLDEINTEALRLEVEAEHRSSAGQVSVSTYSKSSSNDFLKKMMPEIEKMVVKLDWFVQQINPLGLQVVEQKIQSCRRLPSTSLVDETTVYGREVDKEKIIEVLLSESVNRFNVTVIPLVGLGGIGKTTLAQLVYNDKWVQDHFSIKAWVCVSEDYDATRITKELLGELGIPFSDMSENLNSLQMKLQLGLTQKKFLLVLDDFWNRDYSDWDKLKVLFKGGLQGSKIIVTTRDEKIALMMCKKESINHLDLMKEGDSWSLFKKHAFENIDGNQSSELEQIGKKIVKKCGGLPLAVKTVAGLLRSETTAEEWKDILVSEVWSQTDNQDGILPALRLSYNHLPSHLKRCFAFCAIFHKDYQFEKEEIIQLWQAHDLLEHPRGNRGIEEIGEEYLREMRLRSLFEQSTANFFIMHDLVNDLARFVSGKYCLRLEDHHLGHGTTGRISNFSYHPSSYDTYKKFELLRETKNLRTFLSLSISKNSNQKYEVSPKFLHGMLPKFKSLRVLSLLGYHIIKLPDSISHLKHLRFLNLSSTDVNTLPEWICTFYNLQTLLLPNCKKLQELQVNLAKLINLSYLDISGTPLKTIPLHMGRLRNLQVLTNFIVGKSCGSMIEELGIFHKLRGGLFISNLQNVSCGRDASMVNLKGKKHLDKLALKWNADTNDSQVAKDVLDNLEPHSSIKLLKIVGYCGTTFPNWIGSPSLTNLKSLSLSSCEYCLFLTALGQLRSLQSLEIVGMSCISALTEDFYGDTRATMPFTSLEKLRIEKMPELEKWHIPKYEVFNNLEELYIIDCPKLIGELPQQCSSLRILEISRCDSLVLPNGQLSIFNGNNIQQFTSLCDLKISNLKSLKVCLELNQLVKLQRLSIVDCGSLLPFLPSYLPSSLKVLNYEGCCNLEVESENWQLEDLALVNYDSHKVMALGRFSMLKSLEILNCKSTGIGSQNSGAATSVMTSLQTLTISGSVDLISFPEGGLPAAPKLTQLHLWNCKKLKFLPQQMDSLFPSLRHLFISCCPNIECLPEGGLPSSLQCLDISTCKKLISRRREWGVAKLPSLTQFRIGGIDDEVVSFPEEDWLLPCTLQSLQLWAHKNLKKLSYSGLRHLCSLQTLYIRNCTRLQSLPEEGLPASLTTLEIEKCPLLKPRLRWKKGQDWPKVGHIPCIIVDLELVP >CDO97282 pep chromosome:AUK_PRJEB4211_v1:2:17825990:17828131:-1 gene:GSCOC_T00014562001 transcript:CDO97282 gene_biotype:protein_coding transcript_biotype:protein_coding MADIIEASKRQNLDLHIQSLGPFFRITAKSLKTGNELGRAEGLVRLWLGGKILHLDSIKLRRKTLEMEKSIFGIGLYVGAVAIRYGYDCGCKKAELLAINDTDLYHSKLVRFYKRIGFRSAYEVTGSSFGDLADMLVWGGIGTRMDADIEELMIKWCTRFKPQVLD >CDO99981 pep chromosome:AUK_PRJEB4211_v1:2:7243422:7248088:-1 gene:GSCOC_T00029724001 transcript:CDO99981 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLKDSGHGRLHLQSSLSIVDVFSQQIVVGLTLSAEIELHSIISAAQNFEDQSWPLTVQGRSSAPNPGLSVVALLAVYVSQHIYRWRNPKCSGALPPGSMGLPMIGESLQYGPIFKTNVAGRPVIITADPEFNHFLLRQDGKLVDTWSMDTFAEVFDQASQSSKKYTRHLTLNHFGVESLKEKLLPQMEDMVCETLRKWSSEESIEVKGAAVTVSIELNHLPCMTNTWLHVQELHFDIFLPWQMTINFAAKQIFSGGLENAPLNISEMFKDLVEGLMSFPINIPGTAHHKCLQIHKKVRKMMKDVVQKRLAAPERTQGDLLDHIIQDMDTETYIKEDFVVQLMFGLLFVTSDSISTTLALAFKLLAEHPAVLEELTVINEVLRLGNIAPGFFRRALKDIPVNGYTIPEGWVIMIATAALHLNSNQFEDPLAFNPWRWKNIQPSVVSKCFMPFGSGMKQCAGAEYSRVLLATFLHVLVTKYRWAMVKGGKIVRAPIIRFPDGFHFKISEKSN >CDO97445 pep chromosome:AUK_PRJEB4211_v1:2:19090962:19095273:-1 gene:GSCOC_T00014791001 transcript:CDO97445 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFCCFSSQAGGRSSCGSGKGRSQLGPVKYGFSLVKGKANHPMEDYHVAKFVQIQGRELGLFAIFDGHLGDSVPAYLQKHLFSNILKEEDFWTDPSRAISKAYERTDQAILSHSPDLGRGGSTAVTAILVNARVLWVANVGDSRAVLSRRGHAIQLSIDHEPNTERGSIEDRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKSHLRSDPDVTNASIEAETDVLILASDGLWKVMSNQEAVDIALKIKDPQKGAKQLVMEALHRESKDDISCIVVRFMG >CDP05109 pep chromosome:AUK_PRJEB4211_v1:2:1626868:1634353:1 gene:GSCOC_T00020047001 transcript:CDP05109 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLWSMFCGASDCTDSSRKFCGANLVSAALSSSCINHALIISFDVLLLILFLAIFFSRPSSKFPWMHAQTRGISGLQLISATFNGLLGLSYLCVGIWELVRELRKTHSALPLHWWGKVFSQAPLRLLSILVFLFSGIACGFSLVTAILDKEVSFSMGLYILSLIGACLLLLCTYKGYKYEDSTENDLSAPLNGVASGKGKLDSVGSVTTFEKAGFISKMSFWWLNPLMKRGKEKTLEDEDIPNLREEDRAESCYLLFVDIYNKRKQVDPLAQPSVLKTILLCHWREIFTSGFFAFLKIVTVSAGPLLLKAFIQVAEGKESFEYEGYVLAVLLFISKNLESLSQRQWYFRSRLVGVKVRSLLTAAIYKKQLRLSNTAKLMHSSGEIMNYVTVDAYRIGEFPFWFHQTWTTSLQLIFALLILYRAVLKLYAWESHFKKVIENLRQVEEKWLSAVQLRKAYNSFLFWSTPVLVSATTFGACYFLGIPLNASNVFTFVATLRLVQDPIRSIPEVIGVVIQAKVSFKRIVKFLEAPELDVANVRQKCLGENTSRNIIIRSACLSWEENSLKPSLRNINLAVRPGEKVAICGEVGSGKSTLLAAILGEVPVTCGTVRVHGTIAYVSQSAWIQTGSIRDNILFGSPMDYQRYQQTLEKCSLVKDFELLPHGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATSLFNDYVMGALTGKTLLLVTHQVDFLPAFDCVLFMSDGEILNAAPYHQLLAFSKEFQSLVDAHKETAGSERISEVTSSPSPKAPNKEIRKTYSEKGSKASAGDQLIKQEEREVGDTGFRPYIQYLNQNKGFLFFSLAAFSHLTFVIGQISQNSWMAANVDNPEVSTLKLIVVYLVIGFSSTFLLLSRSLSTVVLGLQSSKSLFLQLLNSLFRAPMAFYDSTPLGRILSRVSVDLSIVDLDVPFNLIFSVGATINFYSSLVVLIVVTWQILVVSIPLVYLAIRLQRYYFASAKELMRINGTTKSFVANHLAESLAGCMTIRAFEQEERFFVKNLELVDTNASPFFHNFAANEWLIQRLETVSATVLASSALCMVLLPPGTFSSGFIGMALSYGLSLNMSLVFSIQNQCTLANYIISVERLNQYMHIPSEAPEVIECNRPPTNWPIVGKVEIQDLQIKYRSDGPLVLRGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPVGGRILVDGIDICTIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLGQHTDPEIWEVLEKCQLKDAIREKEEGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSKILVLDEATASIDNATDLILQKTIRAEFADCTVITVAHRIPTVMDCTKVLAISEGKLVEYDEPMELMKREGSLFGKLVKEYWSHYRSAELQ >CDP09452 pep chromosome:AUK_PRJEB4211_v1:2:22248953:22253049:-1 gene:GSCOC_T00028824001 transcript:CDP09452 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHVEADNAEAIIARIEHKSRKIESLLKQNKPVEALKTALEGSPPKTKDERCKSANWIVVHRAIMAIKDVESLFFALDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTEKAGLGCILRSLADTVNTV >CDO97370 pep chromosome:AUK_PRJEB4211_v1:2:18501759:18513684:1 gene:GSCOC_T00014693001 transcript:CDO97370 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLIGKIIYSDKLLSFFSLSFSFLFLQSRTDRSLRLYQVMTSYMELNDTLQMLPPPPGSFIDREELIQHVGEFALSQGYVVTIKQSKKDKVVVLGCDRGGVYRNRRKPVDESSGELARKRKTGSRLTNCPFELVGKKEDGLWVLTVKNGSHNHEALKDISEHPSARRFTEKEVMLIKEMTEAGLKPRQILKRLRQSNPELLSTPKHVYNVKAKLRQGNLTVRRLKTLRPQASVEGNSQPSTTNEPSWRKRYPPRVPNLIGGRFVDSQSSISIDVINPATQQVVSQVPLTTAEEFKAAVFAAKRAFPSWRNTPVTTRQRIMFKLQELIRRDVEKIALNITTEQGKALKDAYNDVDRGLEVVEHACGMATLQMGDFASNISNGIDSYSIREPLGVCAGISPHNFPAMIPLWMIPIAVTCGNTFILKPSEKAPGACMLLSELAMEAGLPNGVLNMVHGTNDIVNAICDDENIKAVSFVGSDAAGRYVHERASASGKRIQANIGAKNFAVVMPDANVDATLNALVAAGFGAAGQRCTAVSTVIFVGRSKLWEDKLVERAKALKVNAGTEPDADVGPVICKPVKERICKLIQAGVDDGARLVLDGRQIVVPQFELGNFIGPSVLFDVTEDMEIYKEGVSGPVLLCMQANSLDEAINIINRNKYGVGASIFTASGAAARKFQAEIESGQVGINVAVPAPLPFVSFTGSKGSFAGDLNFYGKAGVHFYTQIKTVTQQWKDFLNGDGASAEFPSQDLLGLTPTMQPPDFPSNDTVSLGLNSRDFSNGDGASLGLNDGEFSSSDGESLPEKHSKDVIPNSGDGISPTVSPSNGLNWTLNF >CDP00086 pep chromosome:AUK_PRJEB4211_v1:2:6306258:6307777:-1 gene:GSCOC_T00029876001 transcript:CDP00086 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKFGGGKPPTGTPSLAWSCAVVIVSLLAGASVVHNIFKPDLTLPPIEKSVDGAQREPVEKH >CDP00115 pep chromosome:AUK_PRJEB4211_v1:2:6111173:6117875:1 gene:GSCOC_T00029912001 transcript:CDP00115 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12380) TAIR;Acc:AT3G12380] MPFISKIERQTDYTQFDSTVPIVIDNGGSYFRIGWAGEDEPRVIFRNIVQRPRHKTTGETVTVVGDHNPALLRYFDCTRSGPRSAFDGNVVYQFEIMEYILDFGFDRLGADQSQIDHPVLITECACNPIQSRCRMAELLFESYGVPSIAFGVDAAFSYKYNQQLGICNSDGLAICSGFMTSHAIPFINGEPAYEACCRTNVGGYHVTDYLKQLLSLKYPHHISKLTWEKVEDLKMEHCYIAPDYTSEVRIFKKGAKEAEEKTRCWQLPWTPAPVEEQPSEEELARKAALKERQGQRLREMAEAKRSSRINELENDIRGLEFLLKQLKQVEENNVPAFLRETGYASKQEIESNLAKAIQSLRKAKGEQVETEEKMDASTADKYNLVNVPDDVLTPEQLKEKRKQLFLKSTTEARQRAKQKRIEEELEREKQMKMEEEKRLENPERYLEDLRAKYRELSEKVEQRKRLKTNGNNTNGNQNGSGGVGRGERLNAAQRERMRLLTTAAFDRGKGEDTFGIKDEDWQLYKLMSRDNDDEEDEKSNEDEADLARVSSRLREIDPTFFPKAESGSSTAEPPRFRPLTKEDFQLILGVERFRCPEVLFQPNLIGIDQSGLDEMAGVSIRRLPCKNQGLEEKITKSILMTGGSCQYPGMAERLEAGIRMIRPCGTPITVVRASDPSLDAWRGASVYAAAMQFPNQTFSRTDYYEKGEDWLRRYQFNYTL >CDP08756 pep chromosome:AUK_PRJEB4211_v1:2:53294471:53295067:-1 gene:GSCOC_T00027845001 transcript:CDP08756 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKILYLIEFLFAINRSHIQGLRYSNDKPKILITSKIVRRILYLTEFLFASTPKRNIKVRRREKKKKPFSCEQTFSFLKMVFSIKLNKTRFVKIITYLLT >CDO97269 pep chromosome:AUK_PRJEB4211_v1:2:17725074:17731293:-1 gene:GSCOC_T00014547001 transcript:CDO97269 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQTDGNNVRYVASELATDIVVNVGDIKFYLHKFPLLSKSSHLQKLVASADDGNDEVNIHDIPGGPVAFEICAKFCYGMTVTLNAYNVVAARCAAEYLGMHETVEKGNLIYKVEVFLNSSIFRSWKDSIIVLQTTKSLLPLSEELKLSSHCIDAISSKASGDVSKVDWSYTYNRKKLLEENGNDPTWNGIRSRTVPKDWWVEDLCDLEIDLYKRVIVSITNKGIVPHEVIGEALKAYAVRKLPGFGKGGIQCGDVSKARTVLDAIVCLLPAERGSVSCGFLLKLLKASILADLGEMPKRELVKRIGQQLEEASVSDLLIPSQNGETTIYDVCVVQHILKEFMMQEANAATEGDEIQEARKPGILSEASKLMVAKLVDGYLAEIAKDPLLPLSLFVDLAEMVSSISRPAHDGLYRAIDMFLKVHPGISKSERKRICRLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRAAASSGSSTPDLPKVLKDLNSASHGSSRTATTNTEEDWDAVASAEELRALKGELAALRLGNGSISERNGGDSRSHNNDRAAISKMRSLLMSKKIFSKIWSSKGGGQGENSGSDSSESLGSANLEEAKSTPSRRGRHSVS >CDP13971 pep chromosome:AUK_PRJEB4211_v1:2:4723561:4729413:1 gene:GSCOC_T00039125001 transcript:CDP13971 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQGSNRNRALTDTRFSDLKPPLSQPVLDALTNSGFDFCTPVQAATIPLLCSYKDVAVDAATGSGKTLAFLVPLVEILRRSSSPKPHQVLGLIISPTRELSSQIYHVAQPFISTLSNVKSVLLVGGVEVKSDVRKLEEEGANLLIGTPGRLFDIMERMDLLDFRNLEILILDEADRLLDMGFQKQINAIISRLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVQAEMKMLDNPTSSQQQAPSKTPSGLHIEYLECEADKKPSQLVQLLTQNMSKKIIIYFMTCACVDYWGVVLPQISALKRLSIISLHGKMKQTAREKALASFTSLASGVLLCTDVAARGLDIPGVDCIVQYDPPQDPNVFIHRVGRTARLGRQGNAIVFLLPKEEAYVEFLRVRRVPLEERESPDDVCDIVPQIRSAAKKDRDVMEKGLRAFVSYIRAYKEHHCSYIFRWKELEIGKLGMGYGLLQLPAMPDLKHHNLSTEGFTPLEDISLDEIKYKDKSREKQRKKNLQAKKAAEQQQKVQKPKAASTTTATVMRKKTARQRRAAQSVEDDDEMAQEYRLLKKLKRGAINESEFAKLTGTEDLL >CDP13855 pep chromosome:AUK_PRJEB4211_v1:2:34113406:34114939:1 gene:GSCOC_T00038973001 transcript:CDP13855 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTHLFHPLHSLSLPLKTRCKSPDFKPKLKPSTFIISSKLRAAVVGGGPAGSSAAETLAAGGIETFLFERSPSTAKPCGGAIPLCMLDEFSIPPHLIDRKVTRMKIISPSNLAVDFGKTLKPHEFISMLRREVLDDFLRRRAESCGAALIKGLVTNVEVPLTSSSSYVIHYNKNNSQKSLAVDVVIGADGANSKVAKAIKAGDYTCAIAFQERIKLPEEKMEYYENLAEMYVGNDVSPDFYAWVFPKCDHVAVGTGTVCSKKDIKMFQHGIRARVRPKTHGGQVIKVEAHPIPEHPRPVRVRGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGEAIVKASEGGERMISEEDLKREYLKKWDEKYLTTFRFLDLLQRVFYGSNAAREALVELCGDEYVQRMTFESYLYKKLARGNGWDDIKMVMNTIGSLIRCDIVGRGIEMFDKRVLAQL >CDO97542 pep chromosome:AUK_PRJEB4211_v1:2:19821838:19824308:1 gene:GSCOC_T00014917001 transcript:CDO97542 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPDAVVQFILSHINNAKDVASCACVSQRWKDSIPHFRSLYFPRNIFDNLKCGLTPDLVVSRMVSSIDRLEDLVVYCPFTSLGLASWLLHQGPFLRNLELRMDNIIDHQSCVDIPSKLDCIRAASNLESLKLWGVLMIHSPKWDTFHRLKNLEIVGARMEDPALSAALRACPSLTNLSLLGCEGLGSVSIELAFLQQCKLDFYGLGNCSISITSPRLELLEVQGCSWIRVRETQCLRDLTIANNSGRVYIVDFGKLVALESLSMRGVQWCWNAISTMLQLASEVKHLYMKVEFTGDFDALLPFPEVDLVDFFNSHPKLLTFDIHGAMFAALCQKNSLKNVDSRFVIPCLEKLVITIRSPLNAEQKMSTLESLLRYARNLRKMTIKILQMKSSHSSADDFFEDICKFRYINRRIVSIE >CDO97064 pep chromosome:AUK_PRJEB4211_v1:2:16237689:16245168:-1 gene:GSCOC_T00014288001 transcript:CDO97064 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT4G34430) TAIR;Acc:AT4G34430] MEEKRTGTPPPAASSAEAPVTDAPASSRRRGGGQKRKASATGSGSSSTPQTTSSKRQAREKPPPVPFPPIHNGPLTRARQQPNNGAAFVPSPSGVKNELDEVAKREAGGGEVLKGDEPNEAAKEDLQALEAKFEADYEAIRSRESIAHVVPNHAGWFSWTKIHPLEEKTLPSFFSGKSESRTPEIYMEIRNWIMKKFHANPNTNIEFKDLSEISVGELDARQEVMEFLDYWGLINYHPFPKDDLTTVSITGDAHKDGKAESLLESLFRFESDQSCMRVIPRNCEATPSVSSGLFPESAISEELVKSEGVEYHCNSCSADCSRKRYHCQKQADFDLCTECFNNGKFGSDMSPSDFIVMEPAEAGGASGGNWTDQETLLLLEALELFKENWNEIAEHVATKTKAQCILHFVQMPIEDTFLDSCDESDIPSKGNSDAVPINDDTSAPKDGPETAESKVKAKDDDPSSSPMESSKPEDTDGSTVCEVGENFAVKALTEAFEIVNSLPSPGERLSFAEAGNPVMTLVAFLVRLLEPNVATASARSSLKSISGNCTGDQLAMRHCFRLEDPPDEKNSVLSERPAEMVEQETPRSDEQYPEKREENLSPVVDGAHLSTEEDNKIKKDSVVEEERPLASPSLACVDEPAFAKETNETTTNEESEPTHVIESDKPDIPKEQEPANAEKSDDLAMEVEVPPGFEKEPDDAAPLGEPSESADVSKDMDLEMKDRVELTASNLVAENEANKEAKDIIDEEKCASGMKNDLATDKIKRAAVTALSAAAVKAKLLAKQEEQQIQRLAALLIEKQLHKLETKLAFFNDMENVVMRVREQLERSKQRLFHERAQIIATRLGKPGSRTMSQQLPVNRVAMAFANSAPRPIIGSSVQPSNQDQPSSVVTK >CDO99774 pep chromosome:AUK_PRJEB4211_v1:2:8997284:9004397:1 gene:GSCOC_T00029464001 transcript:CDO99774 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVSEQSFYIESDEEDEEKALEKEDSEDGNNSDSSTYSNEDERPESKSITTSWPQSYRQSIDLYSSVPSPSLNFLGTPTLSRLGSSFISSSLTRRHTPEVLPSLQKPLLPPVADARQPPERRSSHSLLPPMQRRPSLRKGTADRKSSKVSHEVSLPRQSSYGQAVVNGINVLCGVGILSTPYAVKEGGWVGLSILFIFAVVSYYTGILLRSCLDSQPGLETYPDIGHAAFGNVGRIAISIILYAELYACCVEYIILESDNLSSLFPNAHLSLGGLELNSKHLFALLTTLTVLPTVWLRDLTLLSYISAGGVIASILVVSCLFWVGLVDKVGFEPEGTVLNLSTLPVAIGLYGYCYSGHAVFPNIYTSMEKPSQYPAVLLTSFGICTLMYAGAAVLGYLVFGESTKSQFTLNLPQDLIASKIAVWTTVAVRFAKL >CDP08541 pep chromosome:AUK_PRJEB4211_v1:2:41399548:41402403:-1 gene:GSCOC_T00027489001 transcript:CDP08541 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLNLLMSLPDSPYLTATQTLASNLLRKVEKGNTGSVISLPKHSRKVTHHEYELETPKAVTPRAVTKGTKGQVHTNSSNIGDLVSEGSLNPYADDPYAFD >CDP05003 pep chromosome:AUK_PRJEB4211_v1:2:807651:809077:1 gene:GSCOC_T00019903001 transcript:CDP05003 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILKRQGAPAKQDEGPTPEHDGGGAADSEGERPLDKSLGYPKNFVAKYELGKEVGRGHFGRTCWAKGKKGELKNQAVAVKIISKAKMSNLILKAMSGHKNLVQFHEAFEDAQNVYIVMELCEGGELLDRILSRGGRYVTERDAKSIIVQILSIVAFCHLQPRGISRANLSA >CDO97156 pep chromosome:AUK_PRJEB4211_v1:2:16869664:16875972:-1 gene:GSCOC_T00014408001 transcript:CDO97156 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDLTSQQQQHPHQHQQQQQQQQHHQQWMAMQQQWMSMHMQYPAAAMVMQQQMMYDRQYVPAAAYYHHQLYQQQHQLYQPQPQHKQQSQIQNSSEENRTIWIGDLQQWMDEAYLLSCFSQASELISVKVIRNKQTGQSERYGFVEFSSHAAADKVLQSYNGLPMPNTEQVFHLNWAAFSMGNRRQEAGAVDLSIFVGDLAADVTDSLLHETFASRFPSVKGAKVVVDPNTGRSKGYGFVRFADEKERTQAMTEMNGAYCSSRPMRIGVATPKKASPQQQYSSQAVVLAGGYPSNGVVPQGSQSDSDSSNTTIFVGGLDSDVTDEELRESFSQFGEVVSVKIPSGKGCGFVQFSNRSNAEDAMQRLNGAAIGKQMVRLSWGRNPVNKQLRMDSSYQLNGSNYGRQGQNGYGYPMPQYAAAANGAASNGHGGYQQPVN >CDP09373 pep chromosome:AUK_PRJEB4211_v1:2:23080343:23093350:1 gene:GSCOC_T00028711001 transcript:CDP09373 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSRKLRLSSTLLKRLQQNVTAVNSKNVCRSFTTTEGHRPTLVHKRSLDILHDPWFNKGTAFSMTERDRLNLRGLLPPTVMSSEQQIQRFMADLKRLQVNARDGPFDPNALAKWRILNRLHDRNETMYYKVLIDNIEEYAPVVYTPTVGLVCQKYSGLFRRPRGMYFSSEDRGEMMSMVYNWPSDQVDMIVVTDGSRILGLGDLGVQGIAIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLHDPLYLGIQQHRLEGDEYLAVIDEFMNAVFTRWPHVIVQFEDFQSKWAFKLLQRYRNNYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKMKIVVAGAGSAGIGVLNAARKTMARMLGNTEVAFESARSQFWVVDANGLLTEARDSIDPEARRFAWKVKEADRQGLKEGASLVEVVRQVKPDVLLGLSAVGGLFSKEVLEALKDSTSTRPAIFPMSNPTTNAECTPEEAYSIVGDNIIFASGSPFKNVELGGGRIGHCNQGNNMYLFPGIGLGTLLSGSRIISDGMLQAAAECLAAYMTEEEVLNGIIYPPISKIRDITKEVAAAVIKEAVEEDLAEGYREMDSHELRKLNQEEIANYVETNMWSPDYPTLIYKED >CDP05019 pep chromosome:AUK_PRJEB4211_v1:2:900039:902374:-1 gene:GSCOC_T00019921001 transcript:CDP05019 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTSVNPHSTCSLHRNFHSRIAAFNYNYCPNSANEPSSCCLTPKAIIQNSSRTNSGSTAARFTVRSAATKPAKSPAEEDWSIKRKYLLEKKVRSVEVKEALRLQKENNFVILDVRPEAEFKEAHPPGAINVQVYRLIKEWTPWDIARRAAFAFFGIFQGTEENPDFMQSVESKIDKKAKIIVACSSGGTMKPSQSLPEGQQSRSLIAAYLLVLNGYSNVYHLEGGLNTWFKEGLPAASEDSG >CDP08716 pep chromosome:AUK_PRJEB4211_v1:2:53594525:53598408:-1 gene:GSCOC_T00027781001 transcript:CDP08716 gene_biotype:protein_coding transcript_biotype:protein_coding MKWYVVASLLTVLTSSQGILTTLSQSNGKYKYDYATVPFLAEVFKLLVSSFLLWRECQGVPPPKMTTEWRSVRLYPIPSIIYLIHNNVQFATLTYVDTSTYQIMGNLKIVTTGILFRLFLKKKLSNLQWMAIVLLAVGTTTSQVKGCGEASCDSLFSFPIQGYMLGILSACLSALAGVYTEFLMKKNNDSLYWQNVQLYTFGAIFNMARLVLDDFRGGFEKGPWWQRLLNGYSITTWLVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSIFLFTFKPTLQLFLGIVICMLSLHMYFAPPSMLVDLPLTAKATPEHLVEVSVDRRTDS >CDO97177 pep chromosome:AUK_PRJEB4211_v1:2:17059247:17063059:-1 gene:GSCOC_T00014436001 transcript:CDO97177 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIVSTIIYILILFSTALYYCLSKNKERTNKGRAKLPPGSMGWPYIGETLQLYSQDPNVFFAQKQKRYGDMFKTKILGCPCIMLASPEASRFVLVTHSHLFKPTYPRSKETMIGPWALFFHEGNYHTHLKKLVHNSLSPEALRKLVPDIEAIIISCMQTWESGLITNTFHEMKKLSFEVGILAIFGHLEIKYKNALKENYSIVDKGYNSFPTNLPGTAYHKSLLARERLNQIIREIISERKQKKLLDKDLLGYLLNFKDERGQTLTEDQIADNTIGVLFAAQDTTASVLTWILKYLHDNQKLLEAVKIEQRAVWTLNQGGEQPLTWAQTRNMPLTHKVILESLRMASIISFTFREAVTDVEYDGFLIPKGWKVMPLFRNIHHNPDHFVDPKSFDPARFEVAPKPNTFMPFGSGAHACPGNDLAKLEMLILIHHLVTKYRWELVGSKGGKVQYSPFPVPLNGLPAKFYKEPTTNQ >CDP15162 pep chromosome:AUK_PRJEB4211_v1:2:50042007:50044136:1 gene:GSCOC_T00042762001 transcript:CDP15162 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLIKHINKYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSLEEENLIIELHAVLGNRWSQIAAKLPGRTDNEIKNLWNSSIKKKLRQRGIDPNTHQPIPEAENEEKGSATSKNNEKTSEGSNDQLNYGEAAAESSEQKMAPPDLQDYFSFQQLNYGSSTNIGLSMNPNASSFFNLTTSRSAEMVSDQFNSAMSNSIMSAPSARMKPSISLPSENNSLGNFNVNKFPSWDACAISANNGSTSNASSSSIELQSNCSFFDNNGFSWGAADCGKAEKDVVHMHSSVGDTEDIKWTEYLQAPFLLSNSAAATIQNQTAQDIYSAETKSGISFTAPEGSVSTTTSWLQNHHHQQQPTIQAVAELYNKHFQRLPAAFGQFS >CDP14001 pep chromosome:AUK_PRJEB4211_v1:2:4986668:4989371:-1 gene:GSCOC_T00039159001 transcript:CDP14001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTIFASILYLLLVFANNVVSIDYGLALRKSLLYFEAQRSGKLPPNQRAQWRGDSALRDGNDVGVDLVGGYYDAGDNIKIGFPMAFTVTMLSWSAVEFESRLVAKGELSNALEAIKWGTDYLMKAHPEPYVLYGQLFDFATKFPGKFSDSIPDLRQLYSSSGFEDELLWAAAWLERATDGKTYAQYLNQAASSGGTRSMFSWDDKYAGSQVLVAKGLLEGRFSGYGNLGQYKSSAEQFICNCIQKGSSNVQKTSEGLLWFDFWNNLQYVTTAAFTISTYADSLARAQTTLQCPAASVTPDKLITFVQSQVDYILGSNSKGFSYMVGFGPNYPQQVHHRGASIVSIKEDKTPVSCQQGFSDWFNRNAPNPNVLDGAIVGGPDQNDQYTDKRSNYYQAEVATANNAPLVGILARLA >CDP15240 pep chromosome:AUK_PRJEB4211_v1:2:48905790:48908930:-1 gene:GSCOC_T00042880001 transcript:CDP15240 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRQFLSSNKGSISSASYYISGLAHFSSKSYPYIVKVGIPEFLNGVGKGVEAHVQKLEAEIGDLQKLLVTRRWKLKNLDIPVKHRKLIFNYAHKYRLGLWRPRAQPAKSK >CDP18252 pep chromosome:AUK_PRJEB4211_v1:2:48592558:48593943:1 gene:GSCOC_T00011816001 transcript:CDP18252 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQKDALVQKVCEFYEKISSLESLKPSKDVDMLFTQLVLTCIPPNPIDVTKLCKRVQEMRSKLITLCGQAEGHLESHYSTILGSFDNPLDHLNTFPYFNNYLKLGRLEFNILSQHLTNIKVPSRIAFVGSGPLPLTSIVLASYHLTTTSFHNYDIDPSANSMASRLLASDPDLSKRMFFHTKDVMDVTSSELKEYDVVFLAALVGMDKEEKVRVIDHLAKNMAPGAILMLRSAHGARAFLYPIVEPRDLQGFEVLSVFHPTDEVINSVVIARKLSVGMPVQSLDQGLGAHVMLPCKCAEIPAFNPLNKMNMIEEFAAEDRLL >CDO97422 pep chromosome:AUK_PRJEB4211_v1:2:18903584:18904340:-1 gene:GSCOC_T00014763001 transcript:CDO97422 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWLHIIGLARFAPLSSMDNVLFPLWGKFPTSNFLYPSPAVYLASSIAVHGIMGITSNSLSLYFFSSGNFNCERRLCLLVSWSSFWVLLVCFSSRIGYGSYILLPEPCFWPQQTQQSLSLQRQQYKASPLIFLCSPFSNVISHIFCATTCSYDAKFEIKKTSFRLLSSLLAWTLSPKFWQPPTEKKKKKVGCVGGGGGV >CDP05161 pep chromosome:AUK_PRJEB4211_v1:2:2070236:2073984:1 gene:GSCOC_T00020113001 transcript:CDP05161 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPLLSGSYYDGGEELVGPDGEYRQARGLKEWWYVFRIETVKLWALGLPIALNIICQYGMNAATTMYVGHLGDVELSAISISLSVISTFSFGFLLGMGSALETLCGQAFGARQVHMLGVYMQRSMIILFVTSVILLPLYIFATPLLKLLGQQEQIADPAGKYSILIIPQLFSLVVTFPTQKFLQAQSNVAITAYIGLVALLLHVLLLWLFIYVLGWGITGAAVAFDISNWAIAIAQFFYVIGWCKDGWKGWSISAFREIWSFVRLSLASAVMLCLEIWYMMSINILTGHLHNAVLAVGSLSICMSLNGIEAMIFIGVNAAISVRVSNELGLGHPRAAKYSVYVTVSQSLLIGIISMVVVLLARNHFAIIFTNSTDMQKAVANLAGLLSITMVLNSVQPVISGVAVGGGWQALVAYINLGCYYVFGLPLGFVLGYVANLGVKGIWGGMIAGIGLQTLLLLVVLYKTNWNDEVDQTSKRMREWGGQGVDSEKLQNNRPPFGNGQT >CDO99731 pep chromosome:AUK_PRJEB4211_v1:2:9404889:9415786:-1 gene:GSCOC_T00029409001 transcript:CDO99731 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPLPWDRKDFFKERKHERQEPYHHHHHHHHLHPTGGGGGGGGGGYGGGIGGFGGGPRWREPPHPHPHPYHYASPRWVSDFRYRPPPGYGKQGGRHLYPEESSHGFVPSRPSDRVFEDENCRASVSGKYSRSNRESRGPFGQKDWKGQSWEATPSPNAPGRPLETSDQHRSVDEMQTCTSSHPHLDSANSWDQSHLKDQHEKSSGVVNALGSSGQRLERENSLGSMDWKPLKWTRSGSLSSRGSGFSHSSSSKSMGADSNEMKAEVQPSNVTPVQSPSGNAATPVAAPAAAYETSAGASEEMSSRKKPRLGWGEGLAKYEKKKVEGVDDTTLKNGTIICSSSREPLHLHSSHLADKSPRITAFSDCASPATPSSVGCSSSPGLEEKQFIKAPSVDNEATNLSPSIVSQDHRDHIEGATFDLENLDLAESGHFNSAINELLLSDDLISVDSGFVKSTAINKLLVWKGDVLKKLEMTESEIDRLEGELKTLASIPESSCHHPAVSSSLPMDCFSKPAEEQDVTSSISHRPALLDLGSSGHNDAEKMPNVLVDDHTEVKDEDVDSPGSATSKFVEVVSSGKDASPSELGNEPGIDSVCISNTDCAMSKNLELRYVGNGVHEDNGGENFQLVASCSPTHLDEISLCDDKELKLCESIFASNKESASRAAEVFNKLLPADLCKFDISGVCSLKSNPMVKENFLRRKRFQQFKERCIALKYRALQHLWKADVCSLSMRRFRVKSHKKLDLSLRTVLNSSQKHRSSFRSRLSSHDGNVSSGSNTVMMNFISKLLSDSQVKPCRDTLKMPAMILDKKEKMISRFISSNGLVEDPSAVEKERSMINPWTSEEKEMFMDMLAVHGKDFTKIASFLVHKTTADCVEFYYKNHKSDCFKKTKKHPEYPKQGKSYTANNYLVASGKRWHCEANAASLDILGAASAIAANVDHGMEIQQTPTSKYLLGRSSDYKSSKGDNGLLERPSSLDADNNERETVAADVLAGICGSLSSEAMSSCITSAVDPGEGYREWKYSRVGSSSRLPLTPEAMQNGDEETCSDESCGEMDPTDWTDEEKAIFIQAVSSYGKDFAMISRYVSTRSREQCKVFFSKARKCLGLDMISPGPGNVVRRDASGGSDTDDVGVVETGSITCSEKSGVKLEVDLPCPEVKLNIEPDSAGLANVNPDLNRLEEISGTGDRAAVEAGLQSKNLTDDSQMEEKPEQEADGSGDIQSVPSGEVEQGTAVTTTGVGDTSDSANTLDTQIHSGALEKRDEHLDAEMEGLSPVSWESSINDRKEKDDANQKDVNGMDQDLKSTPHGDISGDRQIGVLETDSAGKPCVGPIEQNGFPAPMKSVPQSCAVKCQTPNEATLSALEVVKISGEQGHQVTRVGEKLRSGSSLLGSVDPCHILKGYPLPPSTTREVNGNSSCRRSATPQSIPKLGNNFHRDCHLARDSYLQKCNGVKHYSSIAELPFKFREQSRDTNPDHQSGSLSDVEKPRRNGDVKLFGQILTKPSYQPKSSSSRQQNGGNENQQSKIGKPLGTKFASDQAIGGNLSQTKLDRNNLLGTENLPVRSFGYWDGSRIQTGLHSLPDSAMLLAKYPAAFGNYVLPSSKLEQLPVHGVNNGERNLNGSAVFPAREIGSSNAAAAAAADYQAYRSRELQPFTLDMKQRQDAVLSEMHRRNGFDVVSGMQQAARGLVGINVVTAIKMHYSKAEQLNGGQTASIIREDDSWRGKGSIGR >CDP08734 pep chromosome:AUK_PRJEB4211_v1:2:53453501:53454391:1 gene:GSCOC_T00027809001 transcript:CDP08734 gene_biotype:protein_coding transcript_biotype:protein_coding MYREREKRNSSMEREDQEGDQILEINLISAQGLKTPSGSRRRMHTYALAWVDPTAKLRTRTDRVGAENPTWNDKFLFRVSSRFLACETSGVTVEIYAVGYIRDYLIGTVRFLLSSCLGKFPSSADAIAIGTPAFTAVQIQRPSGRFHGVLNIAASVCSSACSDFEIFSGASAISFRDLVGAELEKEKEVDRRQRRRLSRIGSSSSVRSSGGESCDFDFSSLDLSSDGAESTTSSSSTASNALKEWNGVRTEVAQKLKEMKNKGGGEGLLCGLMLQRRVRFCQSDQNLRFWEESLES >CDO97664 pep chromosome:AUK_PRJEB4211_v1:2:20817376:20834982:1 gene:GSCOC_T00015070001 transcript:CDO97664 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRSLIENGVRMRHRSMFVIIGDKSRDQIANLHYLLSKAVIKSRPTVLWCYKDKLELSSHKKKRAKQVKKLMQRGLLDPEKVDPFSLFIESGGVSFCLYRDSDRILGNTYGMCILQDFEALTPNILARSIETVEGGGLVILLLRSLSSLSSLYTMVMVWLVLKLLSLYTSSSFLFLFFVYFVFSQGDVHERFRTEAHHNIVSRFNERFLLSLGTCKSCLVMDDELNILPISSHVKSMNMSSIKEEKHASGCHDFLFELVVGQLVSIEGQDLLNVKDQLHDVFPIGPLVKICTTLDQTKAVSTFLDAILDKTLQTTIALTASRGRGKSAALGLAIAGAIAVGYSNIFVTAPSPQNLSSLFEFVQRGLRALKYEEHLHYDILRSDASDSRKTAVQINIYKQHRQTVQYVQPRDHQKLSQVELLVIDEAAAIPLPVIKSLLGRSLVFLSSTVNGYEGTGRSLSLKLLSHLEKNSQISAAGKNDCHSVRKFQKLELKESIRYAAGDPIESWLNNLLCLDATSSVPPLSRFCHPSECKLYYVNRDTLFSFHKESEIFLQQIMSLFVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNILPDIFCVLQVCLEGQISRDSALQCLHKGRLPSGDQIPWKFCEEFEDTGFPSLSGVRVVRIAVHPSALAHGYGSAALDQLTRYYEGQLSHISEIDIEMKEETTLPKKVTEAAEKVSLLEERVSPRENLPPLLVHLQERLPEKVDYIGASFGLTGDLFRFWKKHKFVPFYICDTPNNITGEHSCMVLKPLETNEMEGSSVCSPGFLHPFYQCFRQRFMRRLPLCFQELDYKLAMSILDPKINYLDHEPLMSSNRERSMLMKLIISADDLKLLEAYANNRKEYTKVRDMVPLLAQCYFQEQLPVTLSYLQASILLCMGLQLHDVTYIEGKMNIERQQVLSLFRKVMTRFHKYLYHVLTEEFQTSFAKQKEVELLPHDISMEEDLEDGAKRVRKEMNQENVGFIDPKSHLLQQYSVVDREADFEHALRSCTAVSPGTLLSVKSNTNGNGKSGSKRKARETTKRKRGHSTGSKLDPMA >CDP08544 pep chromosome:AUK_PRJEB4211_v1:2:41267807:41270979:-1 gene:GSCOC_T00027495001 transcript:CDP08544 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLTKLSQLFAQKQARVLMVGLDAAGKTTVLYKLKLGEVVTTIPTIGFNVETVEYKSISFTVWDVGGQDRIRPLWKYYYQSTQALIFVIDSSDRDRVAEARDELHRMLNEDELRNAVLLVLANKQDLANAMNVAELTDKLGLHSISQRQWYIQSTCATTGEGLYEGMEWLSRNIADKVEYYQIARR >CDO96846 pep chromosome:AUK_PRJEB4211_v1:2:13851294:13852055:-1 gene:GSCOC_T00013999001 transcript:CDO96846 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEVIALFDFCWFNQEIFKKSSSSPTSSSLKKSPDHQIGEDSPKAEFSSQLLSIHPRSNSDYTMSSKTSTNSGLFSPDSVLYVLSASQLEIVLSETEGKAEFPGTPESRKVKTDDNEKKKKKKKKKRRKSNDKLKKGLSKSLSELEFEELKGFMDLGFVFSEEDKNDSSLLEIIPGLQRLGREKDVGEQRRNSAPDESSVTRPYLSEAWEVLDRRNRENPLMNWKVPAVSNETGMKNSLKWWAHVVASTVR >CDP16534 pep chromosome:AUK_PRJEB4211_v1:2:42062481:42084545:1 gene:GSCOC_T00018907001 transcript:CDP16534 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNITTTGLRLLRCYSQHLRPVTAQFSGLRKVRVSELRFLSSSVPIEKLPVVKAKRREAEDAVLDDGGGDNGSVVLAARDKIGGGSGGGEGRIIVSELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSRKPHKKCARVVGEVLGKYHPHGDTAVYDSLVRMAQDFSLRSPLIRGHGNFGSIDADPPAAMRYTECRLEALAEAMLLADLDQDTVDFVPNFDNSQKEPSLLPARIPNLLLNGASGIAVGMATNIPPHNLAELVDALSVLIHNPEATLQELLEYMPGPDFPTGGTIMGNIGILAAYRTGRGRVIVRGKTDVETLDSKTKRTAIIIKEIPYQTNKASLIENIAQLVENKKLEGISDIRDESDRSGMRIVIELKRGSAPSIVLNNLYRMTALQSSFNCNMVGILNGQPKVMGLKELLQAFLDFRCSVIERRARFKLSHAQDRNHIVEGIIVGLDNLDGVIDIIRKASSHSGATAQLMKEFNLSEKQAEAILDISLRRLTLLERNKFVDERKSLTEQISKLLELLSSKKRILQLIEEEAMEIKNKFSTPRRSMLEDSESGQVEDIDVIPNEEMLLAISEKGYVKRMKPDTFNLQKRGTIGKSVGKLRDNDTLSDFLVCRAHDHVLYFSDKGIVYSARAYKIPECTRAAAGVTLVQILSLSDGERITSIIPVSEFSGDQFLLMLTVNGYVKKVSLNYFSSIRSTGIIAIQLVPGDKLKWVRHCANEDFVAMASQNGMVILSSCEKIRALGRNTRGSVAMRLKGEDKVASMDIIPAALSKELDNLSHRRHCKGLTGPWLLFVSESGFGKRVPLSSFRMSPLNRTGLIGYKFASEDQLAAVFVVGFSLGDDGESDEQVVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIKSASLISAAETDSDEVEAEVAAA >CDP04962 pep chromosome:AUK_PRJEB4211_v1:2:433854:437407:-1 gene:GSCOC_T00019840001 transcript:CDP04962 gene_biotype:protein_coding transcript_biotype:protein_coding MECYSFCPVCNLQVLSKEIERHANDHFADHELARDLEFAQQIQLAPPTPLVDSAMHCQSNFSDTHQSTSLLRDAATETDACEGSDVDGKFCSLISLQLKETFYQVEGGLITLLRNCLASESQDTTSILSGYVDHFQSREWEDIGWGCGWRNIQMLSSHLITQRQEAREVLYGGAGFVPDIASLQRWLEIAWELGFDAQGSNDFDREIYGKRNWIGTTECAALFRSFGLRAMVVDFCSKGTPSASSATALHHGKMASEEIVGKGKLTKVYGPMDRYLSRRDNSFTHEIFTMPEDCTHSCVPVGKIKGHGVIIDWVWNYFSDNNSTKPSSHRVVLSEKAPLYFQHDGHSRTIVGIQAKRQTNGNYQYNLLILDPAHRTEALAKSLEQNFGWQKLIKRGIHTLKKPQYQLCFIEPGIASGEEIDQLKVLYGINIEV >CDO97678 pep chromosome:AUK_PRJEB4211_v1:2:20963578:20971593:-1 gene:GSCOC_T00015086001 transcript:CDO97678 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAASLAKTSLFLSAYNGFAGPDTGGYGFCHSYYSSKIVAKKSKKPQAPSPKGSEMPPPRITTNLKQNLQFLRLWKEFQKRKSNTPKPATSYRRKRAEKEEILEEETELYRDPTLSLYYTNQGIETAVPVLLVDGYNVCGYWPKLKKHFTNGRLDIARQKLVDELITFSMLREVKVVVVFDAMMSGLPTHKESFAGIDMVYSSETCADAWIEKEVVALREDGCPKVWVVTSDRSQQHAAYGAGAFVWSSKALVSEIKASQKEMEMMLHEHRSTSMQGKLLKHNLDSEVVDALKDLRDKLSENESRR >CDP15195 pep chromosome:AUK_PRJEB4211_v1:2:49583942:49584224:-1 gene:GSCOC_T00042814001 transcript:CDP15195 gene_biotype:protein_coding transcript_biotype:protein_coding MWIISSRNREKGRNMQVSTRQLFDFKNQYRLTRRMYQISTNW >CDP08819 pep chromosome:AUK_PRJEB4211_v1:2:52722828:52730059:-1 gene:GSCOC_T00027926001 transcript:CDP08819 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMQRFVTSEDDDEEMGMDVKEEDDEDDDDGEKNIESPAMLGVDGGLAASSSNNRFVHHQQYQEQPTPQGGSRRCRPQEEKERTKLRERQRRAITAKILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGARPVGGTCSTVVTSSSSHIPTQQTPPISLRGISSPYQNTVEYNACQMKSVFVPTSSPYDESSSIRTNSSPLLGDGGDVHNDPLLGGSIDSLDNKQVDISTKLQERDFAGTPYVPVYVMLPLGIINMKCELVDSDGIIKQLRVLKSINVDGVMVDCWWGIVEAHVPQEYNWNGYKRLFQIVREIKLKLQVVMSFHECGGNIGDDVCIPLPNWVAEIGRSNPDIYFTDRAGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRAEFDEFFEDGMISMIEVGLGPCGELRYPSYPVKHGWRYPGVGEFQCYDQYMLNSLRKAAENRGHSFWARGPDNAGSYNSCPHETGFFCDGGDYDGYYGRFFLNWYSQVLIEHGDRVLSLANLVFEGTCIAVKLSGAPWWYKTASHATELTSGFYNSCNRDGYAAIVAMLKKHGVILNFTCAGMSMLNQNVDFSEALADPEGLTWQVLNTAWDVCVPVASENALPCHDREGYNYLSEKAKPTNDPDGRHLFSFTYLRLRPLLMERHNFLEFERFVKRMHGEAVLDILA >CDP18221 pep chromosome:AUK_PRJEB4211_v1:2:48243586:48244422:-1 gene:GSCOC_T00011777001 transcript:CDP18221 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRLLISPAFIILPSLLIQSFGLEYIVGDSFWSIPTTNDFYTNWSSSHFFQTGDTLYFDFDSGLHNVMEVSRREYESCSADNPFKVFWDGPASVALMEEGFAPEIPEDLYHLIKKAIAIRKHLERNRKDKDSKFILILVERRIHRLARYYKRTKKLPPNWK >CDP13842 pep chromosome:AUK_PRJEB4211_v1:2:33775039:33779253:-1 gene:GSCOC_T00038949001 transcript:CDP13842 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVVEAEIVLPTHLNFKRVQMYEKYPKGQARGRHWKHLKQIIQAENYQNYAPDEPNYVNIESPPPMHPCKRICDITGFEAPYFDPRTKLRYANAEVFKVIRSLPNDYVQRYLALRNAAVVLK >CDO97043 pep chromosome:AUK_PRJEB4211_v1:2:16092060:16096418:-1 gene:GSCOC_T00014264001 transcript:CDO97043 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVCVLIIGYCSYIYCGSAFISYSVHRCADEAGWYYRTGGTIMNFWVPTQVVSHQNQRPSTNKSPMVLIHGFFADGILTWLFQVLGLTRNYAVYVPDLLFFGDSITGRPERTADFQAECLAKGLMKLGVERCSVVGFSYGGMIAFKLARLYPDLVESMIVFGSVPDSTESNSMATLEKLGFSNWSDILFPETVQGLRRLLSIGSYSSLFTRFPNFIFKDFLQAMFHSRKERTELLEAMVVPDQEFTPTAYSQRIYLLWANEDNILDLDFSGYESYDVTKSSLFCVA >CDO96763 pep chromosome:AUK_PRJEB4211_v1:2:12875844:12876838:1 gene:GSCOC_T00013885001 transcript:CDO96763 gene_biotype:protein_coding transcript_biotype:protein_coding MYISFCLGWGFGQRTRSAGTSLPLRIHWGIVCGTMILQNVNIKTCMFQKFVTFLASLLMMI >CDP07657 pep chromosome:AUK_PRJEB4211_v1:2:24413164:24418444:-1 gene:GSCOC_T00024980001 transcript:CDP07657 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKPGILLKLLQNVDNKEAKVVGEHRSALLQVIGIVPSLDDDPWKSRGFYLRVSDSVHSAYLSVLDEDVELILSDKIQLGQFIHVTRLDPGSPVPVLCGLKPVPKRRPCIGEPKDLISSDVLTVRKNVDSKRVKKKVGLDKKSLVKENLKGKLNGVLDNEGLKSRRLSLSYGNVGGLEVRRLSLDSMRKGWDRSPGGNGSVVSVSKSKFKEGSLGLDSSRSPAGNNGVAPVSKLKPKNVSLVSDSVNSTACNRGVTSVSKSKSKDGSESVLPGKKSADEDSTSKNSSISPLKSKNGIASPKLITKPTRKDLRSSNNETLPAQLKKVYLSFGNWSDSKISSKSVPPTIHNLGKEVKTYRNSSFLSAAHAMEDAAAADGVIRCMSMFAELCESSEKDSAGQLVEQFLNLQGNMQNAAAMIEALVETRTLEAKRGNSCSSQPPSPEMCSKFASKNALSWVQAAVETDLAKFSLLRKDEKKGIQSGQNCFYVMLENAPKKIEAENSPSKNKRSPRNHDKVVSDSNAKNPPRSSRQLPSVTKRATVEIEEWCKGNGLKDAASLAEKLLLVSRGWFLNYLENFLDKDCGLMNGEADSKTASLLGQLKRVNQWLDDSFPQGHGVDERIDGLKKKLYGFLLDHVDSAILSKR >CDO99916 pep chromosome:AUK_PRJEB4211_v1:2:7800762:7804704:1 gene:GSCOC_T00029634001 transcript:CDO99916 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEAALPKWASKPCMMGIDEAGRGPVLGPMVYGCVYCACSYQKALSTLNFADSKTLKEEKREELFENLKTDESIGWAVDVIDPRELSSKMLKKHKINLNEISHDSAIGLVSRVLNLGVLLTEVYVDTVGDAEKYRIKLSERFPSIKFVVAKKADSIYPVVSGASIVAKVTRDRALRDWVLDETAENMHRNFGSGYPGDPETKSWLDDHKHSVFGFPTLVRFSWGTCTSYSKHLVEVLWESDAADEDGLSERTRKRQLKLTNVGFTASKRKSEEIESSGKGRCKFFQARKLELLSEF >CDP05071 pep chromosome:AUK_PRJEB4211_v1:2:1302845:1306726:1 gene:GSCOC_T00019993001 transcript:CDP05071 gene_biotype:protein_coding transcript_biotype:protein_coding MYAETGMMFPYCQSYPQEIQQLPDFYFAQKPNVLAGNLIQSSSISEYDLGAEGDLFKAPEPIIEEPIMGLDPMAAAISMISCGGDVIASQDLKVSDIESSIDNGQLLSEVFYECKKDILAKEATEATFSEVLDVKVPEEKLIAGGDFLSQGSFQKSVSSGCLSSMQWIHGAPMRPNFLDFPGLDLGAVYGMRRAYSDGDIKTLGNGSINLFHSPAGQQPPIITSCTSEDRQEKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEETDSSKKQ >CDO99564 pep chromosome:AUK_PRJEB4211_v1:2:11329240:11333040:-1 gene:GSCOC_T00029197001 transcript:CDO99564 gene_biotype:protein_coding transcript_biotype:protein_coding MNILIARRLVLQLDPQFSTRNFIFHPSPLGQKNLNFINLSPRKLDYYGGWDHPQLGGNFGHSSGYDQLRSFLGFGDKKYYVFVYLLGFICALAISRVKVSSIIGFPACAIVFAVGFSVGFSNGGHISFSGAKKRPKDQNSKESIGKMRDLVDLLSGFDAKFVSLKNGIKDCIDCNQVSLNDLGTFLKNLESINLDALDAKSVAEDCIGNILAEDQDTERDLSQKSSRKKKEVGDNGFSFGQFFVNLFQERPKIPKPIKMRDLSKRELKNVEVNHREQGNILASSVKQRTSKSLYNRSAENENEGLRGPTYQYHNINRGKANNLVNGARRMNVVAENEYLKYAETRDAVKEVLKSNKYTYQKRRLDCISNQEVSWKQSHAGEVERWSSHDRSLESMDFRVSLKHRKTKTSFGQEEKMDNLAGNFEDFDSVKRGENEDYGSFIRGESVISDTRHSQTKDEYTVASEDMEFSTCLAEANVLLKEAKECLVLQGDDEAAEKFLCKSAELLLQALNIRPMSLLAVGQLGNTYLLHGELKLRLSRQLRALLTGNEASVDKWDTAEDLVSRNYKISSILADVCEECEELLINAGRKYRLALSIDGNDVRAMYNWGLALFFRAQLLADVGPGAARDADEVFLAAIDKFDAMMSKSNIHAPDALFRWGAALQQRSRLRPRKSKEKVKLLQQARRLYEDALDFDSENVQVREALSSCVSELKYWPKQREVKPHVSSCKMLPC >CDO99510 pep chromosome:AUK_PRJEB4211_v1:2:11813914:11823205:1 gene:GSCOC_T00029117001 transcript:CDO99510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G28340) UniProtKB/Swiss-Prot;Acc:F4HWL3] MLRPRGFFVLFFISFCFFSSLKPSFPRPAPYVMRISCGARADVRTSPTNTLWYKDFAYTGGIPANGTRPSFITPSLDTLRYFPLSEGPENCYNINRVPHGHYTVRIFFGLVAEPNFNNEPLFDVSIEGTLAYSLPSGWSNHDDEQAFVEALVFLEDGTASLCFHSTGHGDPAVMAIEILQVDDGAYYFGPGHGQGTILRTVKRYSCGSEHQKFGADYSADSWGGDRFWDSRLTFGQSNDHTISTTNSIKVPSSSPNFYPEALYQTALISTDNQPDLVYTMDIDPNRNYSVWLHFAEIDPSVTAAGKRVFDILINGDAVFRDVDIVKMTGAINTALVLNTTVPVSGRGLTISLHPTKGNHAIINAIEIFEIVAAESKTLPDEVRALQSLKTALGLPLRFGWNGDPCVPQQHPWSGADCQFDKVSNKWVIDGLGLDNQGLRGFLPADISKLHNLQSINFSGNSIGGVIPSSLGTISSLEVLDLSYNFFNGSIPESLGQLTSLRILNLNGNSLSGRVPAALGGRLLHRASFNFTDNAGLCGIPGLRTCGPHLSAGARVGIGLGVCVSFLLIATCLTCWWKRRQNILRAQRIGARDAPYAKARTQFNRDVQLTRHHGHEHARTAAENGPSLLA >CDP19637 pep chromosome:AUK_PRJEB4211_v1:2:5607466:5611432:1 gene:GSCOC_T00009472001 transcript:CDP19637 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHQSSVDLESGHGAGDDGGFEGRRHSPVENGGGSSSSLCFSDVNDKSSNEEKKVSSSISGTEIVGVSDNKHKRGESSVSDCSVVVDLEAGGQETEVHLPGSERDCRICHLSLDAANRESGLPIDLGCSCKDDLAAAHKQCAEAWFKIKGNKTCEICGSIAQNVAGANEAELLEQWNEPSEAPSAAAAPAPPSESRNFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >CDP13914 pep chromosome:AUK_PRJEB4211_v1:2:4309342:4313957:1 gene:GSCOC_T00039053001 transcript:CDP13914 gene_biotype:protein_coding transcript_biotype:protein_coding MSESKSFQLGTIGALGLSVVSSVSIVICNKALMSYLGFIFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDPRTVIGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLYLSKRFSRSVQFSLAILLLGVGIATVTDLQLNTLGSVLSLLAVVTTCIAQIMTNTIQKKYKVSSTQLLYQSCPYQAMTLFISGPFLDKFLTNQNVFTFKYTPDVLAFIVLSCLISVSVNFSTFLVIGRTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNIIGIVIALVGMVSYSYYCNHENQKKTIEPTATPEAEEGESEPLTHAENGAAAFNDIAVSRAPERKSERFMHA >CDO99503 pep chromosome:AUK_PRJEB4211_v1:2:11908558:11910765:1 gene:GSCOC_T00029103001 transcript:CDO99503 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGPATYQHSRRGGGGGAGGANAVAKPGLRKPVFVKVDHLKPGTAGHTLTVKVLESNTVLNKKPRNPSSFRGPSFQQQQPSRIAECLVGDETGTILFTARNEQVELMKPGNTAILRNAKIDMFKGSMRLAVDKWGRIEVTEPAEFAVKEDNNLSLVEYELVNVAEES >CDP06846 pep chromosome:AUK_PRJEB4211_v1:2:38707292:38713465:1 gene:GSCOC_T00023864001 transcript:CDP06846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering locus K homology domain [Source:Projected from Arabidopsis thaliana (AT3G04610) UniProtKB/Swiss-Prot;Acc:Q9SR13] MAEPEAEPDQSLDEHEVDGQEENSQPEQAQEPEDDSVAAGGGERWPGWPGESVFRILVPAQKVGSIIGRKGEFIKKMCEETKARIKILDGPPGTTERAVMISAKEEPDSSLPPAIEGLLRVHKRVVDGLDSDSSHAAPVGGKVSTRLLVPAAQAGNLIGKQGATVKSIQEASNCIVRVLGAEELPIFAFPDDRIVEVAGEPTAVHKAIELIASHLRKFLVDRSIIPIIEVHMQKPIQQMEYAPPIPSWGPPPHPFPPGVSGGPGYGINSQFAPAAPRQHENFYSPVEMLPHLEKQPHQGISAYGREAPAAVQSSSNNQPVQSIITQVTQQMQVPLSYADAVIGTSGANISYIRRLSGATVTIQETRGVPGEMTVEINGSASQVQTAQQLIQAMFLTVDFKLILCIAVKSGLKYNQCKYM >CDO99715 pep chromosome:AUK_PRJEB4211_v1:2:9551327:9551925:-1 gene:GSCOC_T00029388001 transcript:CDO99715 gene_biotype:protein_coding transcript_biotype:protein_coding description:NTF2-like [Source:Projected from Arabidopsis thaliana (AT1G11570) TAIR;Acc:AT1G11570] MEEQVEMVGKAFVDHYYHLFDNDRPSLALLYQPTSMLTFEGQKIQGTDNIIAKLVQLPFQQCHHVISTIDSQLSSFAGGILVFVSGTLQLQGEDHPLRFSQMFHLIPTVEGSFFVLNDIFRLNYG >CDO97172 pep chromosome:AUK_PRJEB4211_v1:2:17023350:17024253:1 gene:GSCOC_T00014429001 transcript:CDO97172 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFEVNGSTLCLALFVDVTNSNCYGVRRGS >CDO99772 pep chromosome:AUK_PRJEB4211_v1:2:9009235:9012811:1 gene:GSCOC_T00029461001 transcript:CDO99772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04260) UniProtKB/Swiss-Prot;Acc:Q8VZT6] MSGIMKTHPTNEYLHERMLFFGPTLETRMIFSVPNEKIQSKINRIRLIGGGGNKVKGKAALLANYSDEGSVQGALDNSPISIELEPILSESQFDRVIAEAQQLEEPILIVWMASWCRKCIYLKPKLEKLAAEYNPRVRFFHVDVNNVSHKLVVRAGVTVTLTTQLWKDGQKEGEMIGGHKAHLVVNEIREMIENEYTL >CDO96948 pep chromosome:AUK_PRJEB4211_v1:2:15275610:15281217:1 gene:GSCOC_T00014145001 transcript:CDO96948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH121 [Source:Projected from Arabidopsis thaliana (AT3G19860) UniProtKB/Swiss-Prot;Acc:Q9LT23] MDQFNHQETVYHHQQVQPPNPPLPEFGQPPPDMHLSSNRPNLDSRPEAVPKDSIATRKVQKADREKLRRDRLNEQFAELGNTLDPDRPKNDKASILTDAIQMVKELTAQIDKLKAEHAALNEESRELTQEKNDLREEKASLKSDIESLNAQHQQRVRAMYPWAGMDHSVVMHPASYPFPLPMPIPAGPIPMHPSLQPFPLYGNQNAAVVPSPVSTFVPYMAPNSIIEQQSTQHVSQVTQPGSRSHTSSRQDSRPKACNQKDSRSEKSETSDDVATDLELKTPGSTVEQDVSPGQRKAKRSSRKESNITEGSSSSRCSSSHSVQAASSSSVVGGTKTDE >CDP18251 pep chromosome:AUK_PRJEB4211_v1:2:48576042:48579946:1 gene:GSCOC_T00011814001 transcript:CDP18251 gene_biotype:protein_coding transcript_biotype:protein_coding MTILEKKRVHFLLFLVGVIALSIGAEKCRELVGQEAASKSGEFTFLNCFDGSTGTLACLVKEGVKLYSYNVRSLHVERARNQAIESALGDALAQGLVAKDAAKQAQKEGAKAAKLATRQAKRIIGPIISSGWDFFEALYFGGTMTEGFLRGTGTLFGTYSVGFIGEERFGRFGYLVGSHLGSWVGGRIGLMVYDVVNGVHYLLQVSQFVENKIIDHIADEVYQSAAFGSSEAPEESLVSETPTDEDSRISFEAAEESSISETPTYMSFEATEDSNVYEASDHGNLEFHEDL >CDP05271 pep chromosome:AUK_PRJEB4211_v1:2:2909964:2911706:-1 gene:GSCOC_T00020256001 transcript:CDP05271 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSMLLRCFCFSSENDPSTNSSANKKRDYPWEVYTLKEIVNATNNFHNDNKIGEGGFGSVYRGRTRKGIAVKRLKTLSAKAEMEFAVEVEILGRVRHKNLLGLRGFYAGDDERLIVYDYMPNHSLITHLHGQLAADCLLDWSRRMSIATGSAEGLAYLHHEANPHIIHRDIKASNVLLDSEFVPKVADFGFAKLIPDGVTHVTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIISGRKPLEKLPGGVKRDIVQWVTPLVQKGAFDLIGDPRLKGKLDRSQLKAAVMIAMRCTDGNPENRPLMMDVADWLKWGIGSRKKEIKIVNKVVDDETDGEEEEEEETTDNEGYAMDKSTRRMTGGRAAGGARKD >CDP00069 pep chromosome:AUK_PRJEB4211_v1:2:6437240:6441617:1 gene:GSCOC_T00029848001 transcript:CDP00069 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVSNGENHVTTKPPPEPSPLRNAKFFQANMRILVTGGAGFIGSHLVDRLMQNEKNEVIVVDNYFTGSKDNLKQWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGEHTGPVNIGNPGEFTMIELAETVKELINPEVEIITVENTPDDPRQRKPDITKAKELLGWEPKVKLREGLPLMEGDFRKRLEISRKN >CDO97607 pep chromosome:AUK_PRJEB4211_v1:2:20333840:20339877:1 gene:GSCOC_T00014993001 transcript:CDO97607 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAEADGWERSDFPIICESCLGDSPYVRMTKADYDKECKICTRPFTVFRWRPGRDARYKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKVRPNDTILKLQRTTPYYKRNRAHVCSFYVRGQCTRGLECPYRHEMPVTGELSQQNIKDRYYGVNDPVAMKLLNKAGEMPSLEPPEDENIRTLYVGGLDARITEQDLRDHFYAHGEIESIKMVLQRACAFVAYTTREGAEKAAEELANKLVIKGLRLKLLWGRPQAPKPESEISDEARQQAAVAHSGLLPRAVISQQQNQPLQPPGTHDQPPAMPYFNIPPQPQQERAFYPSMDPQRMGALVPAQDGASSGPSGSGDNRSGPEKQHQGQHYAYPGGPPPPQGQFYQQYYPPYGYMPPPPPPYQQYPPYPASMVPPPPMPTPSPSAPPPATGEQHHARVPSASGEQAGTSQQMPSSETDQQS >CDP18026 pep chromosome:AUK_PRJEB4211_v1:2:46786848:46788324:1 gene:GSCOC_T00008629001 transcript:CDP18026 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGISVKLLHEATGHIVTVELKSGELYRGSMVECEDNWNCQLKNITFTAKVLSFQCYSALH >CDP04932 pep chromosome:AUK_PRJEB4211_v1:2:224335:230050:1 gene:GSCOC_T00019802001 transcript:CDP04932 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRFTYDLLWLFRNTYRDTSNLTSNGSPVHRLIEQHSIDYWLWADLIAPQSERLLRNVVVRVHRQEEADLFYIPFFTTISFFLLEKQHCKALYREALKWVTDQPAWKRSEGRDHILPVHHPWSFKSVRRYMKNAIWLLPDMDSTGNWYKPGQVYLEKDLILPYVPNVDFCDSKCFSETQSKRTTLLFFRGRLKRNAGGKIRAKLVTELSSAEGVVIEEGTAGEGGKAAAQSGMRKSVYCLSPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKIALLVSSADALQPGWLISFLRSISPSQVWEFQSNLAKYSRHFLYSHPAQPLGAEDLAWRMIAGKLVNIKLHIRRSQRVVKDSRSLCTCECRRPNITMPSSLA >CDP00127 pep chromosome:AUK_PRJEB4211_v1:2:5984319:5987632:1 gene:GSCOC_T00029931001 transcript:CDP00127 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSSIGAVNFAPLNLNGSGAGAAVPSSAFFGSSLKKVNSSYTAPKVSPGNFKIVATEIDEGKQTETDRWKGLGTDTSDDQQDITRGKGMVDSLFQAPSGTGTHYAVLSSYEYLSQGLRTYNLDNTMDGLYIAPAFMDKLVVHITKNYMSLPGIKVPLILGVWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTCVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCTGIFRADNVPHEDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVDKVGKKLVNSREGPPQFEKPAMTLEKLLEYGNMLVQEQENVKRVQLADKYLNEAALGDANEDALKSGSFYGKAAQQVGVPVPEGCTDPNANNFDPTARSDDGSCLYTL >CDP07668 pep chromosome:AUK_PRJEB4211_v1:2:24517767:24518930:1 gene:GSCOC_T00024997001 transcript:CDP07668 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFTPSPHPNPTNLYPNPLSFFEPFSPMDPINPSEDTFLLESPYLHRLIHHLMTNTNNIPNQTSPTPPQFNSPASKHAIESLSHVIVDSTFLDLDPSLVCPVCKDQFLVSSEAKVLPCNHTYHADCIVPWLEMNNSCPVCRYRLPSESDERKKNEAVRGRETYIGAARLDELLDDEQDLFGFTSILRNVVRRQQYNNGNDDEEYSSSWNRRVQWDNDVLLSPTQIGEAEGVGVGGGIGAHVERANSAETVSSWPRWPTEGGASGSGSGDGEIGVGVAGGGAVIKGDAVRS >CDO99985 pep chromosome:AUK_PRJEB4211_v1:2:7225922:7226957:-1 gene:GSCOC_T00029729001 transcript:CDO99985 gene_biotype:protein_coding transcript_biotype:protein_coding MRILFSFFLAGNPKQQEEEGGIWQGKQSQQQQHYQGYIIFQGFEVQILAQAFGISQETARKLQNENDWRGDVVRVKNELHAANGLEGTICTMRVRENLANPERADVYTARGGSISSLNSMNLPILKYLQLSAGRGFLRPNAMVAPHWNINAHNISYISRGNGRVQIVGSSRRSVYDGEVRQGQLLIIPQNFAHVKIAGTEGLEWFNVKTNDNAKTSPLAGKRSVIRAMPEDVLINSYQLSRVEARRIKYNRKEVTILSPQFSQSQQAGSSWSIV >CDP07749 pep chromosome:AUK_PRJEB4211_v1:2:25683554:25684123:-1 gene:GSCOC_T00025104001 transcript:CDP07749 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTEKEETEFKVVPETITPCVNNCGVTGNPATNNMCQKCFNATSSASTSSSSSSSSSTSSSVTSSVAGAIKFGEKSPRSSSSKRLSPERTTDLAEIRRDLKEEVKEASSAHATAPATAAAVKREVNRCSGCRRKVGLTGFRCRCGELFCAEHRYSDRHDCSYDYKAAGREAIARENPVVKAAKLVKL >CDP05154 pep chromosome:AUK_PRJEB4211_v1:2:2014527:2016470:1 gene:GSCOC_T00020103001 transcript:CDP05154 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKEAPLCLHLGSLSINYVAISEALGTSSSIVAAGVAADNVICAVYFIVLFGLASKIPSETSFFSNNATTDLPSDSASKLPVLQMGTSLAVSFAICKASTSLTRFFGIQNCDLPVITTIVVILATSFPGYFRPIAPTADAIAVVLMQVFFAVVGAGGSIWNVINTAPSIFLFAFVQVTVHLILILGLGKLFCVDLKLLLLASNANIGGPTTACGMAKAKAWDSLVVPGILAGIFGVSIATFLGIGFGILVLKHL >CDP20618 pep chromosome:AUK_PRJEB4211_v1:2:46680121:46686068:-1 gene:GSCOC_T00013091001 transcript:CDP20618 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAGKHGEANKKKIKGTVVLMKKNVLDFTDFNASIADEVHELLGKKVSLQLVSSVNGDPEKGQQGKLGSPAYLEGWITTNHRLTVGESVFNITFDWEEEVEVPGAFIIKNHHHSEFFLKTVTLEDVPGHGRIHFVCNSWVYPAKKYNQNRIFFSNQAYLPSDTPEPLRRYREEELLTLRGDGTGELQEWDRVYDYAYYNDLADPDKGSDYERPVLGGSTEYPYPRRGRTGRPPTKTDPNAESRLPILSSLNIYVPRDERFGHLKMSDFLAFALKSIVQLLVPEFEALCDRTPDEFDSIEDTLKLYEGGIKLPDGPLLKNIVDNIPLELLKQLLQSDGEEFLKYPTPQVIKDDKSAWRTDEEFTREMLAGVNPVIISRLQEFPPRSKLNSAVYGNQNSTITRQQIEQRLDGLTIDEALKMNSLFILDHHDAIMPYLRRINTTSTKTYATRTLLFLQKDGTLKPLAIELSLPHPNGDEFGAISKVYTPAELGVDGSLWQLAKAYVAVIDSGIHQLISHWLNTHATIEPFVIATNRQLSVVHPIHKLLHPHFRDTMNINAFARQILINAGGIVEITVFPAKYAMEMSSVVYKDWIFPEQALPADLIKRKMAVEDSTSPYGLRLLIEDYPFAVDGLKIWSAIKTWVTDYCSFYYKSDQMVQEDTELQSWWKEVREKGHADKKDEPWWPRMKTLTELIDSCTIIIWVSSALHASTNFGQWPYAGYAPNRPTISRRFMPEPGTPEYEELKSNPDKAFLKTITPQFQTLLGLSTIEILSRHTTDEVYLGQRENPQWTKDTEPLEAFKRFGQTLSEIEDQILQMNGDPKWRNRVGPANIPYTLLFPTSDSGITGRGIPNSIAI >CDP13875 pep chromosome:AUK_PRJEB4211_v1:2:4017345:4021526:-1 gene:GSCOC_T00039004001 transcript:CDP13875 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVSTSQEVLSPVGFGSCRSPTAGVSGPFGHSEPDFNDNDEAELFSVSWNQDYACFAAGTSRGFRIYNCDPFKETFRRDLKNGGFGIVEMLFRCNILALVGGKANAQYPPNKVIIWDDHQSRCIGEFSFRSDVRAVKLRQDRVVVILEHKIYVYNFMDLKLLHQIETLANPRGLCCLSHHLNTSVLACPGLRRGQVRVEHFGLNMTKLIKAHDSQLSCLTLTMDGLLLATASTRGTLIRIFNTMDGTQLQEVRRGVDRADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVVGEDPESDPGTAKNPALFYQNSSSSLDALISPSTGANPGSSLSFMKGVLPKYFSSEWSFAQFHLSESIQFIAAFGSQNTVIIVGMDGSFYRCSFDPVNGGEMVQQEYFRFLKSESSPR >CDO97456 pep chromosome:AUK_PRJEB4211_v1:2:19181036:19184489:-1 gene:GSCOC_T00014803001 transcript:CDO97456 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDKEKAKGSERWTAAITNLSEIAANLDSLQKLLMKKAVYVLEQRVETLERELDAAISAAARARNEKRQAEAAQKAAELRALEVTRELENTTKVFELHMEELRAKQEEISKRDNEIKLLEAIIQTLGGRESLK >CDP18246 pep chromosome:AUK_PRJEB4211_v1:2:48506402:48510942:1 gene:GSCOC_T00011808001 transcript:CDP18246 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSQQQLITPEDVLESLMNDGTIDAIRLKIITQLKANEELKNTTVKMVEQSKVLNTPGAEKQTKRELFDALRQELETSVLEKASKSVWELILDNNGIGKELSQTVEKVFCRLSGREPPLFPPSVELEPEKGKEKETEENGGKEQENVMDNSDSSTKKRKFDEIGTEEGTDEVASRSNAAAPASLDDSSRVPPPSAKS >CDO97088 pep chromosome:AUK_PRJEB4211_v1:2:16435813:16440302:1 gene:GSCOC_T00014323001 transcript:CDO97088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CCS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G49380) UniProtKB/Swiss-Prot;Acc:Q9XIA4] MGSLHLTSSRPQSQSIPLSKLQNGTTGCCTKTRCCYYGYYPFFPKPPFSLRNSQISYSFCCRNNGLALGFTVSYKLETSKDNILTQSKKKKKKEEEEDKKSKIVVPESNEEAPSLSEEGTAGISNGGTRQPATRKSQLGGVGGSWKSSIWRRVLAILSNLPLALAEMAAVAALMALGTVIDQGETPDFYFHKYPEDNPVLGFFTWRWVLTLGFDHMFTSPIFFGTLALLGASLMACTYTTQIPLVRVARRWSFLYSAEVIRKQEYTDILPQASIKDLGVILMGAGYEVFLEGPSLYAFKGLAGRFAPIGVHLALLLIMAGGTLSSAGSFRGSVTVPQGLNFVVGDVLGPSGFLSMPSEAFNTEVHVNRFYMDYYDSGEVRQFHTDLSLLDLNGKEVMRKTISVNDPLRYGGITIYQTDWSLSALQILKDNEGPFNLAMAPLQINGDKKLYGTFLPIGDVNSPDVKGISMLARDLQSIVLYDQQGRFVGVRRPDSKLPIDIDGIKIVIVDAIGSTGLDIKTDPGVAIVYAGFGALMLTTCISFLSHSQIWALQDGTEVIIGGKTNRAKGEFPEEMNLLLDQVPELVESSSPKQSDVISG >CDP17221 pep chromosome:AUK_PRJEB4211_v1:2:47911023:47925133:1 gene:GSCOC_T00000700001 transcript:CDP17221 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEKLIPEKLPSSSAMSSSHSLRCHGETTTRIFEELPMATIVSLSRPEAGEISPLLLSYTIEVQYKQFKWSLLKKASEVFYLHFALKKRAIIEEFHEKQEQVKEWLQYFGIGDHTAVMHDDDEADDGAVPMFSDESVKNRNVPSRAALSIIRPALSRQQTVSEKAKVAMQGYLDHFFGNLDIVNTREVCKFLEVSKLSFSQEFGPKLREDYVMAKHLSRTPKEEAYARCCLCHWTGCCNSKWQKVWAVLKPGFLALLKDPFDTSPLDIVVFDVLPASSLDGKDGSCLAEEIKKRNPLHYTFKVSCGSRRVDLRSTSYAKVRNWVSAINNAGLSPPEGWCHYHRFDSFAPQRGLTEDGTQAQWFIDGKAAFEAIASSIEKAKSEIYITGWWLCPELYLRRPFHKHCSSRLDKLLEVKAKEGVQIYILLYKEVSVALKINSSYSKRKLLSIHENIKVLRYPNRFPTGVYLWSHHEKLVIVDHYICFLGGLDLCFGRYDTNEHKVGDYPPFVWPGKDYYNPRESEPNSWEDTMKDELERRKYPRMPWHDVHCALWGPPCRDVARHFVQRWNHAKRNTAPDEQQIPLLMPQQHMVLPHYMGRSEEIEIKDKATPVNNNYLDRQDSFSSQSPLEDVPLLLPQEANGPDFSSLDDRLSVPASDQYQLNQHEANEEKDEAGASDLENSDDWWERQERVCQVVSPDEATQVGPRTSCYCQIIRSVSNWSAGISRTEDSIHRAYCSMIEKAEHFIYIENQFFISGLSGDDTIQNRVLDALYKRILQAHKERQCFRVIVVIPLLPGFQGGLDDGGAATVRAIIHWQYRTISRPKNSILDNLYSLLGDETDNYLSFYGLRNHGKLSEGGPVVTSQVYVHSKLMIIDDCIALIGSANINDRSLLGSRDSEIGMLIEDKTFVESSMNGNSWKAGEFSFNLRISLWAEHLGLCSGEIDKIRDPILDSTYKDLWMATARSNARIYQDVFACIPNDVIHSRSAFRQAMSNSREKVGHTTIDLGVAPEKVERFENGEVILVDPTRKLGSVRGFLVSFPLEFMCQEKYLRPMFIESEFYTSPQVFY >CDP08714 pep chromosome:AUK_PRJEB4211_v1:2:53604679:53605137:-1 gene:GSCOC_T00027779001 transcript:CDP08714 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIKLSSDKLLKCDTMAFVQ >CDP08723 pep chromosome:AUK_PRJEB4211_v1:2:53538241:53542067:1 gene:GSCOC_T00027792001 transcript:CDP08723 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSDEAKSSKPEKSSSPAPDQANVHVYPDWAAMQAYYGPRVAVPPYFNSAVASGHPPHPYMWGPAQPMMSPYGTPYAAIYPHGGVYAHPGVPLGSHANGHGVLPSPTVTEATAAVPLSLDTPVKSSANTDKGFTNKLKGFDGLAMSIGNGSSDRAGAGSDHGISQSEDTEGSSDGSNGNTTKVGQNNKKRSREGTPSDAGEGKNLTPKSPIRTGEVNGTSAKVMGMTVATANEVEKVMGSVLSPNMTSTLELRNPSGGNMKTSPVSEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELAKKVQSLTAENLSLKSEIHKLTESSERLKLENATMMEKLKNPQLGQTGNLSLSKFDEMRLQPVGTANLLARVNNSGSVDRNDEEGEVFENTKSGAKLRQLLDANPRTDAVAAG >CDO97553 pep chromosome:AUK_PRJEB4211_v1:2:19893420:19895566:-1 gene:GSCOC_T00014932001 transcript:CDO97553 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFNLAPQFTDVTIFEGEGGGYYTWSASLFPLLSEAKLGAGKLVLRPRGFALPHYADCHKIGYFVQGSGRVGIVLPNSPKEVVLAAKKGDAIPVPLGSVSWWYNAGEDNSDVEIVFLGETAQTYTPAQFDYFFLAGVRGVLGGFSTDFITRAFDLTQDESDQLLKSQTGSLIVKLAKNQKLPDPCKDANINMLYNFESAKPSIHVNQGGSLTIATAENFPFLKKVGLSANFARLDPFSMSTPMYAADASFQLIFITKGSGVVEIAGLDGKNALVAKVQSGQLCVVPKFLPVAKIADEEGLEYFCVVTSLEPYFAELAGETSVWKAMDPSVLQASFNVDPGLVELFNTKIGKGKVFVPSEK >CDP09377 pep chromosome:AUK_PRJEB4211_v1:2:23030372:23034458:-1 gene:GSCOC_T00028720001 transcript:CDP09377 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFETSDLRKIGIGLTGFGVVFTAAGVLYFFDKGLIAIGNILFLLGLTLTIGVKSTLLFFTKSQNFKGSISFGVGFFFVMIGWPMIGMIVETYGFFVLFSGFWPTLAIFLQRLPIVGWFFSQPFVTSFFERGRPKRVPV >CDP05038 pep chromosome:AUK_PRJEB4211_v1:2:1060723:1063138:1 gene:GSCOC_T00019952001 transcript:CDP05038 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRISTSLLVYLLILNLLSSGKVEGFSNGVNHMYSADKDGVVIGNNRKVQVLDVALDYDYAGPNPKHDPRGKKPGGGGYKNP >CDP13821 pep chromosome:AUK_PRJEB4211_v1:2:32739207:32739844:1 gene:GSCOC_T00038887001 transcript:CDP13821 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGKSYASFDSKDGKQLTLLDHLILVTTTLAAIGGLWFSTRKLELHPAICSLIGSIVGVVALQVTLRVSTLLSYVPVSPGSWHSSSSWSSNASESDASAQSHRTSADVTSKDSVSCCKNSHLDDHQDNV >CDP05012 pep chromosome:AUK_PRJEB4211_v1:2:868827:871298:-1 gene:GSCOC_T00019914001 transcript:CDP05012 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-E [Source:Projected from Arabidopsis thaliana (AT5G53560) UniProtKB/TrEMBL;Acc:A0A178USU5] MASDQKVHTFDEVVQHNKTKDCWLIINGKVYDVTPFMEEHPGGDEVLLSATGKDATNDFEDVGHSDSARDMMDQYYIGEIDKATVPLKRMYIPPQQAQYNPDKTPEFVIKILQFLVPLLIMGLAFAVRHYTKEK >CDP07675 pep chromosome:AUK_PRJEB4211_v1:2:24590872:24593489:1 gene:GSCOC_T00025006001 transcript:CDP07675 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSARLSKSIPGFYCSSVRFDTVPCIHFLHFRQYAPPTYVNFRLKNSVTLKLLPTCASKTNSSEGSDRKNGETAQGPPFLTILAGFLVFFVLCWIIGSIVLWLIGLSVRAPPSK >CDP08662 pep chromosome:AUK_PRJEB4211_v1:2:54027423:54031905:1 gene:GSCOC_T00027704001 transcript:CDP08662 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVDGFRGGASSAPSHTLPARDTGQGDDMYTELWKACAGPLVDVPRAGERVFYFPQGHMEQLEASMNQELNQQIPQFNLPSKILCKVVNVQLLAEAETDEVYAQITLHPEVNEQEPSSPNPCTPDPPKPTIYSFCKILTASDTSTHGGFSVLRKHATECLPQLDMTQATPSQDLVAKDLHGYEWRFKHIFRGKCQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGGNGELHVGIRRLARQQSPIPQSVISSQSMHLGVLATASHAIITQTLFVVYYKPRTSQFIIGLNKYLAAVSHGFSLGMRFKMKFEGEDSPEKRFTGTIVGLEEVSPQWPDSPWRSLKIQWDEPASIKRPERVSPWEIEPFAVVSSADVAAQPVPNSKRPRPLDLPTSENRAIAAASPFWCPEATQLLELSHYDNITEVQSTDDQSFWPPKPKNLNSNIRTGSSFSSRGTWPCPLANGSLNQENVSAKSHLCDYDSPMSSRASNSHVLGQVERFKRLENSSSCRLFGIDLRSNSKNLSLLDKDGPSTVVPNGANDAEPPVGTSDGDVLKSCNEMKLGQSEVSPKDAQNRQGCSTTTRTRTKVQMQGVAVGRAVDLTALESYHDLISELEKMFELEGELSPQNKWAVVYTDDEGDMMLVGDDPWPEFCKMVRKIFIYSSEEVKKMSAGDKLPLSTLDGEGTVQSLDSELKLES >CDP00142 pep chromosome:AUK_PRJEB4211_v1:2:5832690:5834705:-1 gene:GSCOC_T00029951001 transcript:CDP00142 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNTLRRVASRAVPFASRVFQATTPRYAHRGSALFSAVNRRFDLSHSVFKSSLPSTFHHFSTRPASDESLLKVIQDEIQCAVDADENIDKVEELPSNFPFKLEDNPGLQTVTLTREYQGETIVVEVEMPSTVTGNYEENDDDDGDAGDEKAAQSQLPLVVRVSKSHGPCLEFGCTAYPDDIVIDSLSVKDPDASEDEIAYEGPEFTELDENLQKAFHKYLEIRGVKPSTTNFLHEYMINKDSKEYVMWLKNLKKFVEA >CDP20620 pep chromosome:AUK_PRJEB4211_v1:2:46698748:46702482:-1 gene:GSCOC_T00013093001 transcript:CDP20620 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCFFAGNALKGKLGTPAFLEKWITTLTSLTAGESAFNVTFDWDEEVGAPGAFIIKNLHHNEFYLKTVTLEDVPGHERIHFVCNSWVYPADKYKTDRIFFSNQAYLPHNTPEPLKFYREAELVNLRGDGTGERKEWDRIYDYAYYNDLGDPDKNDPSYVRPVLGGSVEYPYPRRGRTGRPPTKTDPKSESRLPLLMSLNIYVPRDERFGHLKMSDFLAYGLKSISQLLSSELEAQFDSTPSEFDSFEDMQKIYEGGIKLPKSLTENISLEILKQVLPTDGEGLLKYPLPKIIEGDRSAWRTDEEFAREMLAGLNPVIISALKEFPPVSKIDPNVYGNHTSTITREHIENKLDGLTVEEAIKTNRLFILNHHDTIMPYLRRINATPTKTYASRTLLFLHENGTLKPVAIELSLPHPDGDQFGAISEVYTPAEQGVENAIWQLAKAYVAVNDSGIHQLITHWLRTHAVIEPIVIATNRQLSVLHPIYKLLHPHFRDTMNINAIARQILTNAKGVVESTLFPSRKMAVKDSTSPFGLRLLIEDYPYAVDGLKIWSAIKTWVTDYCSFYYKSDQMIQEDIELQSWWKEVREKGHADKKDEPWWPRMKTITELIDSCTIIIWLSSALHAATNFGQWPYAGYQPNRPTTSRRFMPEPGTPEYEELKSNPDKAFLKTITPQFQTLLGLSTIEILSRHTTDEVYLGQRENPQWTKDTEPLEAFKRFGQTLSEIEDQILQMNGDPKWRNRVGPVNIPYTLLFPTSDSGITGRGIPNSIAI >CDP15216 pep chromosome:AUK_PRJEB4211_v1:2:49163388:49165328:-1 gene:GSCOC_T00042846001 transcript:CDP15216 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYLSILSSVKVLRSIKKLEPSDVIIGRCKSVSVGNSADSLNLAPTYFAAALYIDNARWDGVPFLIKAGRGLGKNRVEIRIHFRHVPGNLYLKNTARNNDLATNELILRDAPDEAILVRVNNKVPGLGMELDVSELNLLYKDKYNVEVPDSYEQLLHDVIDGDNHLFMRSDEVSAVWNILSPVLQEMGKNNIPLELYEMGSRGPDKTIYLWAKHRVQWADD >CDP13886 pep chromosome:AUK_PRJEB4211_v1:2:4102692:4102979:-1 gene:GSCOC_T00039019001 transcript:CDP13886 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVAERDNVDVLRLATKRGTRIVALYVKNPAASLTLLYSHGNAADLGQMYDLFSELSLHLRVNLMGCSLFWLLPVVFRPFMHVFICHCYKRFDF >CDP07645 pep chromosome:AUK_PRJEB4211_v1:2:24291268:24293153:-1 gene:GSCOC_T00024965001 transcript:CDP07645 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTFCMFKKLITECLSFCSESVYEDEEFDETQRQLAALLASKIQTCFSCIFNSLLVICYWNELNHSLLYALGADLLFDVSEDSYYVRSILAKAIDECPDLKTKAAESNKVAKIDSRSKFIVKRMLDKKLIQRLLVIVFQKLPSPDYLSICQLLMFLDRPQDIAAVFEKLLRTESNNDALLGFQIAFNLVENEHQAFLLKMKDQLFSLKEDVYAERNNICHNATIYANSIMHAVRTVNTLLCTCFAHHFICCIMIKVVISI >CDO97640 pep chromosome:AUK_PRJEB4211_v1:2:20593087:20595423:1 gene:GSCOC_T00015039001 transcript:CDO97640 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNLGGISTLFEHMERRNQLQTPSERLRLLEYHHAVIPEELEPVIEEIGHDQKGAEMSHESTFSINLNWKWGGNCTSRENKFHGPLSCQYEKQNLPEVSLSVSPNQSASKEKDNKMMASALEVSPEPSLACEDQCNMMLQTDAELCSSSVEVTKQNLPEENNKAFEVSPGLSLASEDQRNGMLQTDAELCILTVEVTKQNLPGENNKAFEVSPGLSLASEDQCNGMLQTDAELCISTVEVTKQNLPEVSLSISPNQSAPKEENNKALEVSPEPSLASEDQCNVVLQTDAELCSSPVEVAMQNLPRASLSISPRQPASKEKNNKTVASAHEVSPRPSHTCEDGQNAMLQVETDAELDGSAVEVTKQNQGMDAEGATSKKTVIVVSSDDESTWSYEPRKQHLEDPYSREWLILGPNGERNEEKYSLSLLKRWSDASSYALKYKAWKENGSEELAIPLADAIKKAFMS >CDP18235 pep chromosome:AUK_PRJEB4211_v1:2:48420248:48420885:1 gene:GSCOC_T00011796001 transcript:CDP18235 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKSSSYREESNFPSDLKEFEKKALNDLRSKLEETILGNKLFKKEVAKEAPKESPKKEGEMKNTRSRWPLCILHSVQMPQVLVHRQ >CDO97228 pep chromosome:AUK_PRJEB4211_v1:2:17440446:17445528:1 gene:GSCOC_T00014497001 transcript:CDO97228 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGFRPLDEKSLLEYLKATPVLAEKLGNQFEDLQIKEVGDGNLNFVYIVVGRSGSFVIKQALPYIRCIGESWPMTKERAYFEARTLREHRRVCPDQTPEVYHFDRAMCVIGMRYIEPPHIILRKGLIAGKEYPLLAEHMSDFMAKTLFFSSLLYLTTTEHKHAVAEFCGNVELCRLTEQVVFSDPYKVSQYNHWTSPYLDSDAEAVRQDNILKLEVAELKSKFCERTQALIHGDLHTGSIMVTSKSTQVIDPEFSFYGPMGFDVGAFIGNLILAYFAQDGHANEGNDRKEYKLWILKTIEETWNLFHKKFTALWDEHKDGPGEAYLPEIYNNAELHLLAKQKYMEDLFHDSLGFGAAKMIRRIVGVAHVEDFESIAEPEKRANCERQALTFAKLLLKERRRFKSIGEVVSAIQQPKP >CDO96853 pep chromosome:AUK_PRJEB4211_v1:2:13981724:13982642:1 gene:GSCOC_T00014011001 transcript:CDO96853 gene_biotype:protein_coding transcript_biotype:protein_coding MSISEISSPSSPVKACSLPEPAGAASPLRIQLVSKSVSEKLLYKFSDVSEFNFDYTQSGLWSPPIQINAFMSSPGRILTQHEMLAKLRSALAAQERRRRRYRLSLNACLCSPKRD >CDP07709 pep chromosome:AUK_PRJEB4211_v1:2:25238676:25250621:1 gene:GSCOC_T00025056001 transcript:CDP07709 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVTFLVALLLLPIHLLNARTVITSFNRSSFPDDFVFGASSAAYQYEGAAFEGGKGPSIWDTFTHKYPGKISDGSNGDVAEDFYHRYKDDVKLMKFIGLDAFRFSISWPRILPRGKLSGGVNKEGVAFYNNLINELISNGIKPFVTIFHWDTPQTLEDEYHSFLSPLIVDDYLDFVEQCFKEFGDRVKHWITMNEPYIFVNRGYDEGTKAPGRCSAWLNHDCPAGNSATEPYIVGHHMLLCHAAAVKLYREKYQATQKGQIGIALVSHWMEPYSRSKLDILAKQRALDFMYGWFIHPLIYGDYPASMHKLVKNRLPKFTPEQSKIIKGSFDFLGLNYYTAKYAVHIASQNNINVSSSTDPLVSLTSERNGKFLGDPTGVSAFYAYPKGLRDLLEYTKKNYNNPPIYITENGIGDANNSTRIKSIKDFQRVDFYHRHLLAVQEAIKLGVNVKGFFAWSFIDNFEWDSGYTVRFGICYVDYKDGLKRYPKSSALWFKKFLRK >CDO97339 pep chromosome:AUK_PRJEB4211_v1:2:18269141:18272453:1 gene:GSCOC_T00014656001 transcript:CDO97339 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSPLELRPLGNTGLKLSSIGFGASPLGRVFGPVSEEEAIASVCEAFRLGINFFDTSPYYGATLSEKMLGKGLKALGVARDQYIVSTKCGRYQEGFDFSAERVTKSIDESLERLHLDYVDILLCHDIEFGSLDQIVNETIPALLKLKEAGKTRFIGITGLPLGIFTYVLDRVPPGTIDVILSYCHYSINDSTLEGLLPYLKSKGLGVISASPLSMGLLTESGPPEWHPALPELKVACQSASAYCKEKGKNISKLAIQFSLSNKDISTILVGMNSVTQVEQNVAAAAELETVGKDEKLLAEIEKILEPVKNQTWPSGIQQL >CDO99596 pep chromosome:AUK_PRJEB4211_v1:2:10980040:10980695:-1 gene:GSCOC_T00029234001 transcript:CDO99596 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSFSSVESLVYEIKKEMFLNQDIHHLVSASAYDTAWLTMIPDPTQVDKPKFESCLNWVLNNQNAGGFWGESYAEGLPTIDTLPATLACMVALKTWNLGEENIARGKRCDFSPDKTCMALEGFNSSRENWLLE >CDP13934 pep chromosome:AUK_PRJEB4211_v1:2:4464787:4469379:-1 gene:GSCOC_T00039078001 transcript:CDP13934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 5.1 [Source:Projected from Arabidopsis thaliana (AT2G40460) UniProtKB/Swiss-Prot;Acc:Q8VZR7] MESKGYTQDGTVDLRGRPVLAHRTGKWKACAFLVGYEAFERMAFYGIASNLVVYLTTQLHEDTVPSVRNVNNWSGAVWMTPILGAYIADSYLGRFWTFTISSLIYVMGMVLLTMAVSIKHFKRTCINGICKKASTSQVAFIYASLYIIAIGAGGTKPNISTFGADQFDDFNPSEKQLKASFFNWWMFSTFTGALCATLGLVYIQENLGWGLGYCIPTAGLILSLIIFYIGTPYYRHKVKKAESPAGDLLRVLVTVITNRKLELPIHPSQLYELDQQYYLNTGKRQIHHTSLFRFLDKAAIKQDGDGSRRQPCTVTQVEESKLILGMAMIWLATLIPSTIWAQVNTLFVKQGTTLDRHLGSTFQIPAASLGSFVTLSMLLTVPMYDRYFVPLMRKKTGNPRGITLLQRLGIGILIQIFAIAIAYAVEVRRMHVIRLHHIKGPEEIVPMTILALLPQYVLLGIADVFNAIGLLEFFYDQSPDDMRSLGTTYFTSGIGIGNFLNSFLVTMVDKISGSHGGKSWIGKNLNDSHLDYYYSFLLIICTINMGIFLWASRKYVYKRESIELKVGCLELESKALDASPLGLPV >CDO99942 pep chromosome:AUK_PRJEB4211_v1:2:7598634:7600478:-1 gene:GSCOC_T00029664001 transcript:CDO99942 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRVLHMSGGEGDTSYAKNSSYQVKPVLEQCIQELLRTNLPYDEKCIRVADLGCSSGPNTLLTVSDIIQSIDKVSQEMDNEFALPTIQVFLNDLFENDFNTVIKSLPSFYRKLEKENGRKIGSCLIAAMPGSFYGRLFPEQSVHFLHSSYSLHWLSQVPNGLVTESGISANKGSIYSSKASPPAIQKAYLDQFTKDFTTFLRMHSEELVSHGRILLTFMCKGDEFDGPNILDLLEVAINDLVVEGSLEEEKLDSFNVPIYAPSVEEVRHIIEEERSFEIVYLETFKLRHDAGFSIDDNQAAHVASFVRAAWEPILASHFGEAIIADLFHRFAKNAATPLRMGKGFFNNLIISLAKKPHKSETCKYLFLDM >CDO99858 pep chromosome:AUK_PRJEB4211_v1:2:8261447:8265523:-1 gene:GSCOC_T00029555001 transcript:CDO99858 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRWSEDSKYLQESGVHRVSHLTNRIKYTNMPAFVAPPRLYHTAWKIGRGIRGNEMYKFTWKIPIDLGFGYLVRLHFCDFDAGMAESRQREFTIHINNHIAENKADLIRWSGGTDIPVYRDYLVMVKGEKEVRNYDLLISLQSVDELVFGLLNGLEVFKLSNPDNSLATPNPLFPRRASKIWNLKIPNLFSVFGQSNAVSTGMTIIIILLSIILYNLKESWEQSFIEEKNSLSAHDESSCRRFSLAEIMAVTQNFSDAFFIGKGGFGSVYKGSIPGISKTVAIKRLNPNSRQGAREFWAEVETLSKLRHIHLVSLIGYCNERKEMILVYDYMSSGTLADNLYKAARNGKDCVPLRWEQRLRICIGAARGLDYLHTGSEYGVIHRDVKDTNILLDENLVAKISDFGLSKLEKFTQSRSYVSTKIKGTFGYLDPDYFMTQNLTRKTDVYAFGIVMLVALSGRPAVDSRNPEEPRSLLSCFRDCIAEGEVDRIVDTSLRGKIPSNSLREFVKSVENCLQHQPKKRPTMAQVVANLESALEQQDSTIFSLRNSAPLVGQSSQIGTPESLKFVKSEVAHTDEERNQSKVAQLQDDIQCPVAQIDELSAMAPPNEETKSKHSQNLAFPVRGKPTWSGWPWKAARHRGKAMKTTELVSPNDLVPPSDSGPRFSIQDIRAATDNLVDGFAITNNGSWKFCIGFIQQLKMTVSIYQFHFEIFGREVLELCREIERLSRLRHPNLLSLIGYCYHKDENKIFIVYDHIGSISLDKHLYGTRNVLPWKCKLRICLGVAQGLGHLHGSLGQATIHHDLRAANILLDEDGNPKISLIGLYKVSHVHQLAKPSTKVQATGVEYLSPDTKTTDSQSFEKSDVCSFGLLLLEVLCCRRPIDSELDHDNDDRYLKHWVKNNIITKKLHQILDFNSKREIAAACLAEFLRVAFSCLLVCETERPPMDDVIKKLESALKLQEQAEAMKQDFKGKGCDDGFNLEDIYHDISISNLADN >CDP08731 pep chromosome:AUK_PRJEB4211_v1:2:53480691:53487259:1 gene:GSCOC_T00027803001 transcript:CDP08731 gene_biotype:protein_coding transcript_biotype:protein_coding MRDANLDLFDPRTAVMDSSVYSPTSSSLDPDFGFAFNDSNFSDRILRIEVLADDSPDSFSAAAACQSLADWARYRKRPREDIKRQNALDITVCPEEQVLNCDQPDADDVIRGENQDEEEFAMIEESPSGDEAANSDDSSCDMDSSRILRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELLNFMYSNSLSTTTAPALLDVLMAADKFEVASCMRYCGRLLRNLPMTPESALLYLELPSSVLMAEAVQPLTDAAKQFLAIRYKDITKFQDDVMNLPLAGIEAILASDDLQVASEDAVYDFVLKWARAHYPKLEERQEIVGSRLSRYIRFPYMTCRKLRKVLNCSDFEHELASKFVLEALFFKAEAPHRQRIQAAEDSTSSNRRYVERAYKYRPVKVVEFELPRQQCVVYLDLKREECTNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVTFAVDYEFSARLKPTEEYMSKYKGNYTFTGGKAVGYRNLFGVPWTSFMAEDSLYFINGILHLRAELTMRR >CDP04983 pep chromosome:AUK_PRJEB4211_v1:2:572352:578295:-1 gene:GSCOC_T00019871001 transcript:CDP04983 gene_biotype:protein_coding transcript_biotype:protein_coding METKVISSGIKYTSLPESYVRPESERPRLSEVSDCQNVPVVDLGFGDRNLMVRQIGDACRDYGFFQVINHGVSKDAVDKMLETATEFFSLPVEEKLKLYSDDPSKTTRLSTSFNVKKETVHNWRDYLRLHCYPLEKYVPEWPSNPPSFKEMVSNYCVQIRELGLRLEEAIAESLGLDKECIKKVLGDQGQHMAVNYYPPCPQPDLTYGLPGHTDPNALTILLQDLNVAGLQVLRDGRWLAVKPHPDAFVVNIGDQLQALSNGIYKSVWHRAVVNADQPRLSVASFLCPCDHAVISAPKPLTADGSPVVYRDFTYAQYYKKFWSRNLDQEHCLELFKN >CDO99828 pep chromosome:AUK_PRJEB4211_v1:2:8552986:8557699:1 gene:GSCOC_T00029524001 transcript:CDO99828 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIKGGWVGQTFALAAYSDSGGRKARIRRSKEERKAMVESFIKKYQNSNNGNFPSLNLTHKEVGGSFYTVREIVREIIQENKVLGPAKFSEEQSYDNVLEHYPLGSISIEAQRSSLPSDELKSVIHISSKQRQGTGEELVANSNGLFFGYEHQNLDSDQVVNGVIETIKDDVGSDGPKNVAHTSSKQSLDSGEEVVANSSGQSFVSEHQKLHNDQVVNGAVETIKDDLGSDECSLIEAATPTHEGTSDEILENLSGQLTGPDCQEFDSKPIGDVSEPTDEDEESNEPVIRSPVSIPNPRVIQEDHQESRGEQVVHSTIDFPGDGHLKADTEEDVNGISQAIGETEYEQPVLAVAVGEDSLDVNKGGTKTVESSNVGMTRGQADIVVEKFPLHPIARKFDDLEGRLEEQSQGTRTLEVNKIEQDSVQVTEHSCSAVQVEAGADLAVSKSKSELVGKTASLNDGNPPLEMSNCSATKKVPVFDMSNAAEIGAKVSSPTEAKPANVPTGTNEKHGDINAGVSHEQSIPDETIGIEDKLKIEGDSSSKEGSNPTLNRINLETWERTSELPAESEVNPVFAFFKALVTAFVRFLNG >CDO97504 pep chromosome:AUK_PRJEB4211_v1:2:19569134:19573528:-1 gene:GSCOC_T00014867001 transcript:CDO97504 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVMSSTSPANPDLPRHSTSTATTSSTCSTSTTGLLQHSSAVFHPPPPPHQQPQAHHHLLHHSDDHSFGSMNMEEILKNIYSDPDTLASAVDGDGSLPAVGAGRNNNVGEVGSKTVDEVWKEIVSGGGAAAGGGGGSHEPRMTLEDFLTKAGAVSEEDVRVPGVSSPAPPPVPAPAVGVGGGGGYGMEAIMNAAASGVQFPPVVHMQNAPGGFGMESQLGAGAGGTGGGSGSGRGKRRAPPVEDVALDKATQQKQRRMIKNRESAARSRERKQAYTVELEALVTQLEEENARLLKEEADQKKKRFKQLMENLIPVVEQQRPPRVLRRVQSMSW >CDO97682 pep chromosome:AUK_PRJEB4211_v1:2:20992592:21001448:-1 gene:GSCOC_T00015090001 transcript:CDO97682 gene_biotype:protein_coding transcript_biotype:protein_coding MFARIQPYLLVIFVQVLVVAAITNPQDFAALQSLKSGWENAPPNWAGTDPCGSGWIGIGCSNSRVVSITLASVGLSGQVPGDIADLSELLTLDLSYNEGLTGSLPRTIGNLAKLTSLILVGCGFSGQIPDTIGSMKQLYTLSLNSNKFIGQIPPSIGALPKLHWLDLADNKLSGSIPVSNGTAPGLDMLVQTQHFHFGKNQLSGEIPSQLFSGNMSLIHLLLENNQLTGKIPSTVGLIQTLEVLRLDRNSLRGPVPQNLSKLTSVQELFLSNNNLNGPLPDLTGMTSLNYLDMSNNTFDASDFPPWISTLPSLTTLVMENTNLRGGVPETLFSLAQLQSVILKNNRLNGTLSLGSSYSNELQLIDLQNNTVKFYTEQAGGYGSIQIILVDNPICQETPQSYCIPPRQANSSYTTPSNCSRPQCRSDQVSSPSCQCAYPYTGTLFFRAPSFSYLGNLSTFLALQERLMFTFQSHQLPVDSVSLSNPSKNLDDYLTLSLEVFPSGLDYFNYTGISAIGFALSNQTFKPPPFFGPFFYRANGYQYFSGALSSSNKSSSSTAIIIGAAVGGSVLLLLLLVAGGYAFRQKRRAEIAAKHIDPFASWDRSKNSGGVPQLKGARNFSFEEVKKYTKNFSEANDIGSGGYGKVYRGTLPTGQLVAVKRAQQGSMQGALEFKTEIELLSRVHHRNVVGLVGFCFDQSEEMLVYEFIPNGTLKDSLSGKSGIRLDWMRRLRIALGAARGIQYLHVLANPPIIHRDIKSNNVLLDERLNAKVADFGLSKPMGSPDRTHVTTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGVLLLELVTARAPIDKGKYIVREVKLAMDKTKDLYNLQELIDPVIVSSVAPGSLEKFVDLALDCVAEEGVNRPTMSEVVKEIESIMELAGLNPHTESASTSDNYDGARKGYEHPYSDDSLFVYSGAYPHSKLEPK >CDP05353 pep chromosome:AUK_PRJEB4211_v1:2:3554051:3561400:1 gene:GSCOC_T00020363001 transcript:CDP05353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:Projected from Arabidopsis thaliana (AT5G61500) UniProtKB/TrEMBL;Acc:A0A178UM04] MVLKQKIHEAFKGAVESITSHRTVSAFKEKGVLSVNEFIIAGDNLVSKCPTWSWESGEPNKRKPYLPADKQFLITRNVPCLRRAASVEEEYEAAGGEVLLDNEDNDGWLATHGKPRESKSDDNENLPSMDTLEISKKNTKKNTIQSIPSYFGGEDEDNIPEMDELEDVDNLIETDPATLETPYLVAHEPDDDNILRTRTYDVSITYDKYYQTPRIWLTGYDESRMLLESKRVLEDVSQDHAHKTVTVEDHPHLSGKHASIHPCRHGAVMKNLIDVLMSRGGEPEVDKYLFLFLKFIASVIPTIEYDYTMDFDLGSSSS >CDP05396 pep chromosome:AUK_PRJEB4211_v1:2:3873532:3875524:-1 gene:GSCOC_T00020421001 transcript:CDP05396 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPRSKHTLNWFPPYAIIDDTGVDFKIKLLTVGGKKLKLTIWDTAGQERFRTLTSSYYRGAQGIVLGMSWIDHYRFFHVYEAFLSSCKISYSFKT >CDO96907 pep chromosome:AUK_PRJEB4211_v1:2:14865158:14872635:1 gene:GSCOC_T00014086001 transcript:CDO96907 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G18960) TAIR;Acc:AT4G18960] MSCQSDPSRETSPQRKLGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKETIKRYKTVNSDSANTGSISEANAQHYQQEASKLRAQISNLQNSNRNMLGESLGSLNLRELKNIESKVERGISRVRSKKNELLFAEIEFMQKREVDLHNNNQYLRSKIAETERAQHDMNLMPGSSDYELVSAQPFDARTFLQVNGLQLNNHYPRQEQRPLQLVYDFLTSLFTTHQLTYMLRPLIMHL >CDP09321 pep chromosome:AUK_PRJEB4211_v1:2:23708472:23718214:1 gene:GSCOC_T00028638001 transcript:CDP09321 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNRHICRGVVGSEVDNIFDRSGINMRPDENDQFYHSPRVGSLTSEGEGPGIEGFQIIGDAKPGGKLLGCGYPVRGTSLCMFQWVRHYPDGTRQYIEGATNPEYVVTADDIDKVIAVECIPMDDHGRQGDLVRLFANDQNKITCDPDMQLEIDTHISNGQATFNVLMLIDSSENWEPATIFLRRSSFQVKVHRMQEVAIAEKFSRDLSVKIPNGVSSQFVLTYSDGSSHFFSTNNDVRMRDTLALTIRIFQTKAMDEKRKGKSMNSS >CDO99891 pep chromosome:AUK_PRJEB4211_v1:2:7974112:7977684:1 gene:GSCOC_T00029600001 transcript:CDO99891 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWWKNNREVRLLAHLLLPLCLHWTAEEMTHSVLVDVTTNALCPAQSTCPQAIYLNGLQQTTVGIFKMAVLPVLGQLSDDHGRKPFLLFTVSTSIFPFTLIVINKSKGFVYAYYVLRTINLIFTQGSIYCITAAYIADVVDDNTKAASFSWMMGLFSTSHVLGNALARFLPGAYIFLACHYRKKKQVLFA >CDO97212 pep chromosome:AUK_PRJEB4211_v1:2:17342885:17344447:1 gene:GSCOC_T00014480001 transcript:CDO97212 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLPGKIHNPAPFFLFILLCFSFIISATSGLTFSSDVTALKAFKAAIKPSSIKPYSCLGSWNFSNDPCSIPRAYFSCGLLCSGNRVTQLTLDPADYAGTLTPLISKLTQLVTLDLSTNKFSGPIPPLYSLANLQTLVLRFNSFSGTVPPSLTALKSLETVDLSHNYLSGSLPNSWSSIGSLRRLDLSYNKLTGSLPKLPPNLIELAIKANYLSGPLYRTSFQGLTQLEVVELSGNSFAGTLEAWFFLLPALQQVDLANNSFTRVDIWKPTNGNSDLVAVDLGFNRIQGYLSVNFAAYPLLSSLSLRYNRFRGPIPWEYSKRESLKRLFLDGNFLNGSPPAGFFSAGTSVSGSLGDNCLQKCPVSSQLCLKSQKPSAICQHAYGGKPRS >CDO96934 pep chromosome:AUK_PRJEB4211_v1:2:15158790:15162689:-1 gene:GSCOC_T00014123001 transcript:CDO96934 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNPHLLFSITATFLSFLLFTSSLFSVVQSTKFKIVNKCRHTIWPGILTGANRRPLNSTGFILKSGKSITLSVPSSWSGRIWGRTDCSTDPSTGKFSCLTADCGSGRVECAGSGAVPPATLAEFTLNGDQGLDFYDVSLVDGYNLPMLVVAKGGTRGGCSSTGCLVDLNGACPPALRVAAGNGSEIRSVACKSACEAFGDPAYCCSEGHNTPDTCQPSEYSLFFKHACPRAYSYAYDDKTSTFTCASADYLIIFCPLPYTSMKLLGARREAAQLPLVNKTTMYIGRHHA >CDO96939 pep chromosome:AUK_PRJEB4211_v1:2:15207797:15208396:-1 gene:GSCOC_T00014133001 transcript:CDO96939 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTLSFLLVVFAIVMTAMAPPVHGQINPDDQSPKAVERWFKKLGHAKQEKLTKLHFYFHDILSGNKPTAVQVAQANITSKSPTLFGIVNVMDDPLTVGPEPDSRIIGSAQGLYASASQKDVGLLMTLNFVFTDGKYNGSTLSILGRNPVFHKYRELPILGGSGVFRLARGIATAKTHTFNATTGDAIVEYHVIVMHY >CDO97685 pep chromosome:AUK_PRJEB4211_v1:2:21025914:21037878:1 gene:GSCOC_T00015093001 transcript:CDO97685 gene_biotype:protein_coding transcript_biotype:protein_coding description:secretory 1A [Source:Projected from Arabidopsis thaliana (AT1G02010) TAIR;Acc:AT1G02010] MSFSDSDVSSHGGDYKNFRQISRDRLLHEMLRSSKSEDSRSSWKVLIMDKVTVKVMSMSCKMADITDQGVSLVEDIFRRRQPLPSMDAIYFIQPTKENSCLFGYLLCAIFSTKGPIFVVMFLSDMSGREPLYKKAYVYFSSTVSKDLLARIKNDTSVLPRIGALSEMNLEYFPIDTQGFITDHERALEDLYGENAENSRRFDVCLHAMATRIATVFASLKEFPFVRYRASKGLDSSTVVSPRDLLPTKLAAAIWNSITTYKASIPNFPQTETCELLILDRSIDQIAPVIHEWTYDSMCHDLLDLDGNKYVYEVPSKSGGKPEKKEALLEDHDPIWLELRHAHIADASERLHEKMTNFVSKNKAAQLQQRDGSELSTRDLQKMVQALPQYNEQMEKLTLHVEIAGKINRIIRDLALRELGQLEQDLVFGDAGTKEVINFFRSKQDASNENKLRLMMIYASVYPEKFEGDKASKLMQLAKLSVEDMKAVKNMKLIEGSANRKTSGGFSLKFDSKRKHAARKDRTGEEETWQLFRFYPMLEELLEKLSKGELPKDEYQCMNEPSPSQTSDQGNGSSHGRSTRTGQSSGPHSMRSRRTATWARPRLSDDGYSSDSSLRNALDFKKMGQRIFVFIIGGATRSELRVCHKLTSKLRREVVLGATSIDDPPVYLTKLKLLSETELSIDSIRI >CDP05121 pep chromosome:AUK_PRJEB4211_v1:2:1700125:1704035:1 gene:GSCOC_T00020061001 transcript:CDP05121 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVLNDGYQETSIIFWLVFDIYDNFRLLLQARDAFFRAEPWVVPWTAKTVIQVMLLWVSSFWLVGSWIVPFLAYALGFRKESLTYRGQALYSLLTDVAEGLTGIAILHRCLARFHPLSSDWFRFSFRGKWQIDVGLGCLMFPLVNQLSQVNLNLFPLLPSSPVTVSNVEQSIVARDPIAMTLYAIVVAVCAPIWEEVVFRGFLLPSLTKYMPVWSSILVSSVAFALAHFNVQRMLPLIFLGIVMGVVFARTRNLFASILLHSLWNAFVFLDLLK >CDO97203 pep chromosome:AUK_PRJEB4211_v1:2:17263193:17264742:1 gene:GSCOC_T00014471001 transcript:CDO97203 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQLHVFFFPFFAHGHMLPTLDMAKVFSSRGAKATVITTPLHAPVFNKAIEKCKQLGFDISIRVVKFPAVEAGLPEGTESADQLISDDMLPNFFLATRLLQEPVEQLLQECRPHCLVADFFFPWATDSAAKYGIPRLLFHGSSSFAMSASESVWRNKPYRNVSSDDEPFVIPDLPHDIYITRGQVSTYERQEVENEFTKIMKQVRESELRSYGVIVNSFYELEPDYAEHYTKKLGRRAWHVGPFVLINKEAEDKAERGKKSAIDQLQCLEWLDKQKPNSVVYVCFGSMSNFNAAQLHEIAKGLEASGQQFIWVVRNCVDEEDSKRWFPEGFEERTKETGLIIKGWAPQLLILGHEAVGAFVTHCGWNSTLEGVSCGVPMVTWPLFAEQFFNEKLLTNVLKIGVGVGAQQWSRRTTQIISAEALTKAVDRIMDGEEALNIRGRAKALKEKARKAVEEGGSSYSDFNTLVEELSTYHHASKKPSLSEGANILT >CDO97006 pep chromosome:AUK_PRJEB4211_v1:2:15718738:15719740:1 gene:GSCOC_T00014215001 transcript:CDO97006 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILSRERKTSTATMVVAGSTPSESVDEYAVVVDDLSEAWEFVNATSSDDDEEDLDDFSFSEEEEEDATPREVSSGPSKEEGPLELGSPSSDISMDSFSAQLHLNHDDGRGVDHGDVGDEYDNYKNDDDGDEYDDGYDLDDELVPWGLSDKFGGRQRIRKLGKRVGPKLNKAKRLAYRYNRPGCVHGKHGFGVQHCYI >CDP09302 pep chromosome:AUK_PRJEB4211_v1:2:24005537:24006642:1 gene:GSCOC_T00028611001 transcript:CDP09302 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKLFRTWSSPYALRIVWALKLKGIEYETIFEDLTNKSPSLLQYNPTHGKVPVLVRDGKPVCESLVILEYVDETWKHNPLLPQDPYEKSMARFWANFGDDKLMKSIWQLFIAHEKDQDVAAVAALENLKIVEEQLKGKKFFHGETIGYLDLAFGWIANLVSILEEIMSLKLVDGERFPHLSSWIQHFIDAPVIRDCWPPRDKMIIKFQVMRENYLAAATPK >CDP17237 pep chromosome:AUK_PRJEB4211_v1:2:48197988:48198301:1 gene:GSCOC_T00000728001 transcript:CDP17237 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYLNKKSFLPKILNKNKKSSITKAIRNPPCTTEQRKKSKKSQISCGTLPNLPPKLTVSSPLV >CDO96982 pep chromosome:AUK_PRJEB4211_v1:2:15516289:15519631:1 gene:GSCOC_T00014187001 transcript:CDO96982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase, Chilling stress respons [Source: Projected from Oryza sativa (Os06g0131300)] MPALACCVDATVAPPPGYVFARDSSLPAPAADSVFLPSSAAGVPSPTNASATADVCHWSPALSAALYKVDGWGAPYFTVNCSGNISIRPYGTDTLSHQEIDLLKVVKRASDPKSSGGLGLQLPLIVRFPDVLKNRLESLQSAFDYAVQSQGYEARYQGVYPVKCNQDRFVVEDIVKFGSPFRFGLEAGSKPELLLAMSCLCKGSPDALLVCNGFKDVEYISLALLARKLCLNTVIVLEQEEEVDLVIDISKKIGVRPVIGVRAKLRTKHSGHFGSTSGEKGKFGLTTTQILRVVKKLELSGFLDCLQLLHFHIGSQIPSTALLADGVGEAAQIYCELVRLGACMKVIDIGGGLGIDYDGSKSANSDISVAYTLQEYASAVVQAVRFVCDRNGVKHPVLCSESGRAIVSHHSILIFEAVSASSYETPQVSSVGQQYFVERLTEEGRADYRNLYSAAVRGEYDSCVLYADQLKQKCIEQFKEGSLGIEQLAAVDGLCEFVSKAVGASEPVRTYHVNLSIFTSIPDFWAIGQLFPIVPIHRLDDKPGMRGILSDLTCDSDGKIDKFIGGESSLPLHELEGIGGSGGGYFLGMFLGGAYEEALGGFHNLFGGPSVVRVSQSDGPHSFAVTCAVPGLSCGDVLRVMQHEPELMFETLKHRAEEFVHEEDGDGMAHVSLASGLARYFHNMPYLVAPSSCCLTANSGYYYCDDESFGAAIESAAGEDEQWTAYCVA >CDP04910 pep chromosome:AUK_PRJEB4211_v1:2:45624:51930:1 gene:GSCOC_T00019778001 transcript:CDP04910 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigA [Source:Projected from Arabidopsis thaliana (AT1G64860) UniProtKB/Swiss-Prot;Acc:O24629] MATAAVIGLSPGKRLLSSASYYSDLFSDKLSCTCDHFLPVSSNSVLAAKRSSNFSPKFLSRHKTQPTYALKEHAETAPDLSSIQPWLHVNADEEFLDPEFSMQALLLLQKSMLEKQWNLSAENDYLVTGTPPTGKTCVQVTSSGSSARRRRIDSRRHPLSSRKSSVRQLRLSRHLRSIISPELLQNRLNGYVKGVVSEELLTHTEVVQLSEKIKQGLCFEEQKARLRKRLGCEPSEEQLATSLKITRADLQSKFIECSLARERLAMSNVRLVMSIAQRYDNMGAEMADLIQGGLIGLLRGIEKYDSSKGFKISTYVYWWIRQGVSRALVENSRTLRLPTHLHERLSLIRNAKIRLEEKGITPSVDKIAESLNMSQKKVRNATEAVCKVISLDREAFPSLNGLPGETLHSYIADNRLENNPWHGVDQWALKDEVNKLITSTLRERERDIIRLYYGLENECLTWEDISKRIGLSRERVRQVGLVALEKLKYAARKRRLEAMIARD >CDP17286 pep chromosome:AUK_PRJEB4211_v1:2:31932678:31932845:-1 gene:GSCOC_T00009350001 transcript:CDP17286 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMEILMGKTITLEVESSDTIENVKAKIQDKEGHPPETNSASSSASSWRTAVP >CDP08643 pep chromosome:AUK_PRJEB4211_v1:2:54169215:54171350:-1 gene:GSCOC_T00027678001 transcript:CDP08643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08510 [Source:Projected from Arabidopsis thaliana (AT5G08510) UniProtKB/Swiss-Prot;Acc:Q9FNN7] MPTRDVPTWNALIAGYAKSGDMREASRFFSNMPSRNVISWTAMISGYSQNGEYADALALYLEMEKEKGVKPNQVTIASVLPACANLGALEVGQRIEAYAKSNGYLNNMFVCNAILEMYARCGRIDSAMRLFHEIGRRRDLCSWNTMIMGLAIHGKCCEALDLFNQMLGEGISPDDVTFVGAILACTHGGMVAKGRELFESMEQKFSVTPRLEHYGCMVDLLGRAGELQEAYHLIRSMPMRPDSVIWGTMLGACSFYGNVELGEKAAESLFELEPCNPGNYVILSNIYASAGRWDGVAKLRKLMKSSQVTKAAGYSFMEEGGQLHRFIVDDRSHPNCGQIYALLDDVHVKIKLLGYTTDIDSITEETDVTL >CDP05108 pep chromosome:AUK_PRJEB4211_v1:2:1614866:1619326:-1 gene:GSCOC_T00020045001 transcript:CDP05108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT1 [Source:Projected from Arabidopsis thaliana (AT5G53340) UniProtKB/Swiss-Prot;Acc:Q94F27] MQTKVSNANRHSGIVIRSRTSTMMLSMFATLASFYVAGRLWQDAEERVLLTKELDRITGQGHSAISVDDTLKIIACREQKKKLSALEMELVAARQEGFVSSHLPEKNSISNKRPLVVIGILTGFSHKSKRDAIRKAWMGTGKVLKKIEDEKGIIARFVIGRSSNRGDSLDRSIDNENKETNDFLILENHVEAPEELPNKTKSFFAYAAENWDADFFAKINDDVYLNIDALGNTLAGYVDKPRVYVGCMKSGEVFSEQSHRWYEPDWWKFGDGKTYFRHASGEMFVVSKALAKFISVNRSILRAYAHDDVTVGSWFIGADVKYVDERKFCCSSWSTGAICSGV >CDO96965 pep chromosome:AUK_PRJEB4211_v1:2:15377565:15393070:1 gene:GSCOC_T00014163001 transcript:CDO96965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34830) UniProtKB/Swiss-Prot;Acc:Q0WLC6] MELSLSSSISSPFSSFRSPGCHCAPLLRKEFLGSGHNLRPPGLRFRRQCRKLGFRFHFHSRNFLLRASLDSHSVVLVVAVTAVAVSAFILVFHSYSKRRNNAQHNQMPGRLTHPLFQHIKSRMDSLSMEEFILLNRNIPIDKKVDLPIEMSNNTFHHEDVVAEMHLDDINVMNGATIATNTSELSICEMTASVVNDSLHTRESEGLSVSSLPLLPSEPEVAGPTLNIEPSEMQLERCEHESGSDSKETKLLLVKKPHIASFFPVNVQSRVTEFGRLDHEILTEGQQIKPDLSHREDLYTFYEAPMAKLNGLGALSSGISLHKNGNSSLFKASVVDGEQFLRQQLSHRAEETEGHEKRHVSYYNNGSSHWKEDMGKRKESPAYKDNAKLHENRSKSLSPTHNLKGKHMHDRNRSPQLFGGYSKLLRDGRLNDCIEMLEDMESKGLLDMDKVYHAGFFKACKTQKAVKEAFRFTKLIPNPTLSTFNMLMSVCARAQDSEGAFEVLQLVQEARLKADCKLYTTLISTCARAGKVDTMFKVFHEMVNAGVEPNVHTYGALIDGCAKAGQVAKAFGAYGIMQSKNVKPDRVVFNALITACGESGAVDRAFDVLAEMRSEIRPIDPDHITVGALIKACIRSGQIDRAREVYKMLDEYNIKGTPEVYTIAVNSQNADWEFACAVYSDMTIRGVAPDEMFISALIDVAGHAGNLDAAFEILKVARANGIPSGSISYSSLMGACSNAKDWQKALQLYEEIKDINLKPTVSMMNALVTALCEADQLQKATEVLFEMKMRGLCPNTITYSILLVASEKKDDLEVGLMLFSQAKKDGVAPNLVMCRCLIGMCLRRFQQACALGEPVFSLKSGYLQLDSKWTSLALMVYREAVVAGVAPTVDELSQVLGCLQLPHDVSLRNRLIENLGVSTDTSKGSKLFSLVDGFGEYDPRAFSLLEEAASLGIVPAVSLKRSPIIVDVRNLPIHAAEVYILTVLKGLKHRLAAGVKLPNLSILLPLEKTQIQTPSGEKTIKVAGRISQAVAALLRRLGLHFVGNESHGKVRINGGAVKKWFQPKLDSPFSGKPTDRSSFQRRLGKGIMYQQRNIRTGDLSLD >CDP00021 pep chromosome:AUK_PRJEB4211_v1:2:6922856:6930770:-1 gene:GSCOC_T00029777001 transcript:CDP00021 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFRSAVSKAVEVGNKNNLTRTVKNYADTVVQQAGQAVAEGAKILQDRIAARNFKSFKQTVKRLEEASVSCRGQERVQLMRRWLVALHEVEKLSGAPVEEREKDTEQHLPSEEPKGSPRKQSLVLYYDPDMGVEPMNFRDVFLYSQALEGITICMILEAPNEEEVSLLLELFGLCLTGGKEVHNAIVSSIQDLAKAFSSYEDEVLVKREELLQFAEGAITGLKINADIERIDAEVSKLKKKLDERSVSKDLVGKTDQTSSKETIVPEIEALKEALSHIRVCSRLEGLLLKKKFIKYGDTPEVHAQKVDKLKVLSESLSSSTSKTEKRISENRLQKEEALKFRVTKASETGEIEKEISAEISELETQRNQLEAELKKVNISLAAAQARLRNVREERDQFYEANDQIVAHLKSKEDEFSRSIGSCRVEVDVLGTWINFLEDTWVLQSSRAETKDKEIDDELERHEHYFVNLVIQLLSSYEKDLKPSIDRIGKYVENLKSLSEGSVVASGDKDNSGASSPRKNLEEEYLGYEAKIITTFSVVDNMREQFYSEHGKVSSKDDKKVKELFDKLEKLREEFEAIERPNLEMEIPVEEAESSSHEKQQESVSHPTSQSAKDTVAVDIPVADAPAVKSEQQLDTEAELAKLESEFGKVNRDYSGEEIGDWEFDELEKEFRSGDSAAKK >CDP15545 pep chromosome:AUK_PRJEB4211_v1:2:46541158:46545021:-1 gene:GSCOC_T00015421001 transcript:CDP15545 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] MAEGDDREQPPQPPNSNDQRPKFVINIPSYEEVMESSQPRTAPSSFFEPSTSFSQAFNFLKNSDFYSPPLPPPPPPPPLPPQPPSSAIPRQIGQSEGPSTSSTPSFSAYAPVPSRNAILVSHRQKGNPLLKHIRNVRWSFADVVCDYSLGQNACALYLSLRYHLLHPDYLYYRIRELPKNFKLRVVLCHVDVEDVVKPLLEVTKTALLHDCTLLCGWSLEECGRYLETIKVYENKPADLIQGQMDSDYLSRLNHALTTVRHVNKTDVVTLGSTFGSLSNIMDASMEDLARCPGIGERKVKRLYDTFHEPFKRVVPIRPSVPANSVHVNAEATSASENKEDDKEIEDANKKRKKESELTVKSALSVAFAKYSERIGRKNNNNSEKNETEEESIATVEANDEPMCNGEGPAGQ >CDP18241 pep chromosome:AUK_PRJEB4211_v1:2:48456384:48461024:-1 gene:GSCOC_T00011802001 transcript:CDP18241 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMSEAFSQTLIPVCALIGILFALVQWFLVSRIRVASSGPDSYEDQLIEEDDEQVEGIDSAEVVNKKCAEIQNAISVGATSFLFTEYKYLGIFMFVFGVIIFIFLGSVKGFSTKSEPCTYNEGNLCKPALANAIFSTIAFWLGSLTSVLSGFLGMKIATFANARTTLEARKGIGKAFITAFRSGAVMGFLLAANGLLVLYVSINLFKLYYEDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVEKNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGIHHDYAAMSYPLIISSVGIIVCLITTVIATDVVEIKTVSEIEPSLKRQLLISTVLMTVGIAGVSFLVLPSKFTLFDFGADKVVKNWHLFFCVSIGLWAGLVIGYVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAIAIYVSFSLAAMYGIAMSALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKATSHLWLSNPGSSSEGVLLGFAIGSAALVSLALFGAYVSRAGIKTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFDTMPGLIEGTRKPDYATCVKISTDASLKEMIPPGALVMLTPLIAGTLFGVETLAGLLAGSLVSGVQVAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDAHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFASHGGLLFKYL >CDP07772 pep chromosome:AUK_PRJEB4211_v1:2:26250462:26255406:1 gene:GSCOC_T00025149001 transcript:CDP07772 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit O, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13450) UniProtKB/Swiss-Prot;Acc:Q96251] MAAGRLRFTSLLRTLSSPASASSAARRSSSLISPQHSRSYAAAAAPKEQKVKVPVTMFGLSGNYASALYIAAVKANALDKVESEISDLMEATKKSPTFSQFMKDLSVPADKRTRAIHVICEEAKFSDVTKNFLFVLAENGRLQQLENIAKRFTEVTMAHRGEVKAVVTSVIPLPPEEEKELKETLQDVIGQGKKVKLEQKASLSFQTFRYCGTDDSSCSFLG >CDP08737 pep chromosome:AUK_PRJEB4211_v1:2:53437999:53439336:-1 gene:GSCOC_T00027814001 transcript:CDP08737 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKLDHWAQQSHSQLTEAMQAIPNTSWGRICLPPIIATISLYFPSSQNTHKIYRCKIEPQT >CDO99905 pep chromosome:AUK_PRJEB4211_v1:2:7888496:7892363:1 gene:GSCOC_T00029618001 transcript:CDO99905 gene_biotype:protein_coding transcript_biotype:protein_coding MMESRLISAFRKTKSIVNQISSNSRLSRSEICIFNEPSLLIHSKTYTSFSSNLIPASQTSIIRSLSNLGFKAFRHHYPNYKGFCRLSFRNLSTAAAASIEKKDGLKLLVTAGPHARKLVGIWLFGSAAWVFSMVVLGGMTRLTRSGLSMTDWKFTGRLPPLTDEDWLVEFEKYKQSPEYKRVNKGMSIDDFKFIYWMEYAHRMWGRALGIMFALPFSYFFRKGYITLQLGLRLSGLFALGAGQGLIGWWMVKSGLEDPASEYAEPRVSPYRLAAHLTSAFVIYSGLFWTALSVVMPEPPAKSVAWVKGAAKVKRLAIPVSILVGITAVSGAFVAGNDAGRAFNTFPKMGDTWVPKDIFSMKPLLRNFFENTSTVQLDHRILATTTLAAIGGLWFSTRKLDLHPAIRSLIGSIVGMAALQVTLGVSTLLSYVPVSLGTAHQAGALTLLSLMLLLNHTVRRPSMSLLKTLPPVVKTVT >CDP07714 pep chromosome:AUK_PRJEB4211_v1:2:25316624:25318705:1 gene:GSCOC_T00025063001 transcript:CDP07714 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKVMDATLSNFDGVFDKFKAEAPNYKANLILFLADKDPATSLSWCPDCVRAEPVIYKKLEVSSDDIALLRAYVGDRPTWRNPQHPWRVDSTFKLRGVPTLVRWENGAIKGRLEDHEAHIEQKIDALVAAT >CDO99608 pep chromosome:AUK_PRJEB4211_v1:2:10781078:10790730:-1 gene:GSCOC_T00029250001 transcript:CDO99608 gene_biotype:protein_coding transcript_biotype:protein_coding MRASKPWWWLLKKRLAIFDGGTCGAKMKPLPFMVVICTLMLFVVYRTTNYQYRQTEMESRLRPFFMLKDSGIPTTSLNALPRGIIEARSDLELKPLWSSDSSRKELNTPSSQCLLAIPVGIKQKHNVDRIVQKFLPENFTIVLFHYDGNVDGWWNLEWSKKAIHMVAFNQTKWWFAKRFLHPAVVSAYDYIFLWDEDLGVEHFCPRRYLEIVKAEGLEISQPALDPNSTGIHHRITIRQRSKKFHQRVYETRGSTKCSDASDGPPCAGFVEGMAPVFSRAAWHCSWHLIQSDLVHGWGMDMKLGYCAQGDRTKNVGVVDSEYIVHQSIQTLGGKSAKKTSIAGGSTKRHEVDVRSEVRRQSTSELQTFEDRWERAIKEDRYWVDPYKGSQRRRRRYSRRRHHKA >CDP17214 pep chromosome:AUK_PRJEB4211_v1:2:47866199:47871585:-1 gene:GSCOC_T00000689001 transcript:CDP17214 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRRAFAAVISAFQFGKASGTTVGVSLVTRNRYRKSKLVFYSSAALTDPMKYPPGYLTNMSNNEINNLEDAVGLYDDMVRMRPLPCVVLFNQLLGRIVKMKHYSSAISVFKDMWFLGIPVDEYTLTILINSYCLLERTDLGFCALGLFFKNGIAPTVATFGTLLRGLFRQHMISQAQELFIKIIQEKLFKPNAIIYSTIIDSLCKDKMVDKAFDLLHEMVEKGIAPDVVTYNCLIQGLCNLGRWREAKVLLTQMKDFHIHLNVITYSIVIDALCKEGEVEAAKDVFQTMIEQGERPNCVTYGALMDGYCLQGRMDEARGVFDTMVANGVVPSSVNYNILINGYFKKTRVDDALHLFEEMQCKGLTPSTDTCNTVLQGLFRVGRSGAARKVFDKMQTTNIISNFYTYCVMLDGLCKDGHIEEALDLLHKMEVERVDLRHVCMYNIILHGLCKIGRLDSARDLFESLSLKRLDPDVSTYTTMIQGVCSKGLLKEAKEFLAKMEENGCLANRIAYNVIVQGLLLGGKYDDALVYLEEMDKRGFPLHSHTFSILLNSVKEIMNKRHRVSSS >CDO97407 pep chromosome:AUK_PRJEB4211_v1:2:18820479:18822131:-1 gene:GSCOC_T00014747001 transcript:CDO97407 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKTLVQSKDLKSLPSDFAHFKDAQESIQTGPDVPVPVIDFSLLSSTNPDERAKVILDLGKACEEWGFFLVVNHGIPENLISALFNACNEFFDMPEEDKLQFDNKHPLYPVMVRSGTIDGNDSNQQVKLWRDYLRFFVHPEYHCPTKPKEMSDIVLEYSRRTRDLARKLLRGISQSLGLEEDYIEKAMELDSSTQIFAANYYPPCPQPELAIGIPPHTDPGLLTFLLQNGVEGLEIQNKGKWLHLTGIPGAIFVNTADQLEIISNGKYKSVWHRAVLNNKKTRFSLVVANGPSPDTIVTPAPPLLCETPPAYGQMKYMEYVQLQRSSRLNQKPTLEQLKLH >CDO99633 pep chromosome:AUK_PRJEB4211_v1:2:10492115:10494286:-1 gene:GSCOC_T00029283001 transcript:CDO99633 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKKCGCNSQRGNGGFGMGLVRSTSFGRKRVALPSNDVMEIDCFDRTPTKKRCSYEASFFTSDKSTLEALPQDVLIRILCGVEHDDLKRLFFVSKSLREATLIAKQWHFAYSTPRKTLGFPNAIDLENLDEFNEIEQAPRQVRIPRARLSSKKLADISVALFTSAGEENWPRRELFVAMDAEI >CDO99925 pep chromosome:AUK_PRJEB4211_v1:2:7718887:7720580:1 gene:GSCOC_T00029646001 transcript:CDO99925 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSKMTLDFHTNKKLLQEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKIDVIEVDKETIEMLTELGMADLPGVVKQAAEPQALPSAPAYGRGGFARRY >CDO97623 pep chromosome:AUK_PRJEB4211_v1:2:20459417:20462930:-1 gene:GSCOC_T00015015001 transcript:CDO97623 gene_biotype:protein_coding transcript_biotype:protein_coding MASCIAHSIGATAKFPGSHHYQSSKLFTADQSRSIYLGGTIKWDCLKLEFRGNSSRRVYSLRSTLTAVACSLSSVNGTSSSGERVVVLVIGGGGREHALCYALKRSPTCDGVFCAPGNAGISNSGDATCISDLDILDSSAVISFCREWGVGLVVVGPEAPLVAGLSNDLVKAGIPTFGPSSEAAALEGSKNFMKGICDKYGIPTAKYRTFADSTAAKSYIKEQGAPIVIKADGLAAGKGVIVALTLEEAYDAVDSMLVKGDFGPAGCHVVIEEYLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELQSLVMKSIILPTVKGMANEGCKFVGVLYAGLMIERKSGLPKLIEYNVRFGDPECQVLMVRLESDLAQVLLAACKGELRGISLDWSPGSAMVVVMASKGYPGSYEKGTLIQNLEEAEQVSPFVKVFHAGTAIDTNGNFIAAGGRVLGVTAKGRDLEEARDRAYQAVDQINWPGGFSRKDIGWRALPLKQYSTKG >CDP09350 pep chromosome:AUK_PRJEB4211_v1:2:23347323:23352304:-1 gene:GSCOC_T00028680001 transcript:CDP09350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoheme IX farnesyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44520) UniProtKB/Swiss-Prot;Acc:O64886] MWRNSLSFSSKLVSTANPRNYNYAVGSSLFHSQFYSAAASTTSFASDSLKSDPSRALGSSFLNTTSLSAFSASKLKDAVKYYGRCYFELSKARLSLLVVATSGTGYILGSGSAIDFTGLCCTCAGTMMVAASANTLNQVYEINNDALMKRTRNRPLPSGRLTIPHAVTWASCAGIAGTALLAWKANVLAAGLAASNLVLYAFVYTPLKQMHPINTWVGAIVGAIPPLLGWAAAAGDVSLTAMILPAALYFWQIPHFMALAYLCRKDYADGGFKMFSLADASGHRTAMVALRNCLYLLPLGYLAYDWGITSGWFCLEATILALAISGTAMSFCIDRTAKSARRMFRASLLYLPVFMSGLLFHRLPDNKQYQTAQNLLKSLGLSSSSALPLDETEQANEQHKVQSRNTGARGPPVAYASVAPFPFLPAPTYVTH >CDP08871 pep chromosome:AUK_PRJEB4211_v1:2:52291572:52297544:-1 gene:GSCOC_T00027990001 transcript:CDP08871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Digalactosyldiacylglycerol synthase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00550) UniProtKB/Swiss-Prot;Acc:Q8W1S1] MEKKQQHIAIFTTASLPWLTGTSVNPLFRAAYLAKDEGRKVTLVIPWLSLKDQKHVYPDNITYDSPAEQEKYVRQWLEDRTGFTSLLNIRFYPGKFSLEKRSILALGDITEVIPDEEADIAVLEEPEHLTWYHHGKRWKKKFRLVIGVVHTNYLEYVKREKNFFEAFLVKQINNWVVGIYCHRVIRLSAATQELPQSIICNVHGVNPKFLEIGKKKKEQLQNGDKAFTKGAYYIGKMVWNKGYKELLKLLRDHQKELAGLEVDLYGNGEDSAAVQESAKKLELAVRVHPGRDHADPLFHDYKVFLNPSTTDVVCTTTAEALAMGKTVVCANHPSNDFFKQFPNCKTYDNAKGFVEATNKALNDEPSPLTDDQLHELSWEAATERFLKAANLDAVPTKKLKKTPSKLYMSTSLNLRKNLEDASAMMHSLGTGFLNEKPDEEQRKELGLASPPKKRATYPGKWGF >CDO96799 pep chromosome:AUK_PRJEB4211_v1:2:13195687:13196821:-1 gene:GSCOC_T00013933001 transcript:CDO96799 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTIRCCISCILPCGALDVIRIVHTNGRVEVISGSIKASEIMKLHPKHVLKKPSSSSSEEQGCCPKIVIVPPDAELQRGKIYFLMPVPPSAPQKNQKSSRSRSSSSSSSSSSRRKKREAADQNNANSNNNSSISMTNLLLSDRYLSEILSEKISTQRDRRRGRAGIWRPHLESICETSSEA >CDP05015 pep chromosome:AUK_PRJEB4211_v1:2:878034:881901:-1 gene:GSCOC_T00019917001 transcript:CDP05015 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGNAGIEDSKEITGVVDGEDGRGGGLEEDVKRIPPWTKQITFRGVIASIVIGILYSVIVMKLNLTTGLVPNLNVSAALLAFVFIQTWTKLLQRANFASAPFTRQENTIIQTCAVACYSIAVAGGFGSYLLGLNKRTYQQAGVDTEGNVPGSYKEPGVEWMIGFLFVVSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTPKGDKNAKKQVQGFMKFFSISFLWGMFQWFYSGGSQCGFVNFPTFGLKAWRQTFYFDFSMTYIGAGMICSHLVNLSLLFGAVLSWGIMWPLIHDRKGDWFPRTLSESSMKSLNGYKVFISIALILGDGLYNFLKSLFFTGLSLRAALKKTRISETSDSRTQPLDDLQRNEVFMRESIPLWVACVGYVLFSIISIAVIPKMFPQLKWYFVLVAYLLAPSLSFCNAYGAGLTDMNMAYNYGKVALFVLAALAGKDNGVVAGLIGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLGQGIGTAIGCIVAPITFLLFYKAFDVGNPDGEYKAPYALIYRNMAILGVEGFSALPQHCLQLCYGFFAFAFVANLFRDITPKKIGKWVPLPMAMAVPFLVGAYFAIDMCVGSLVVYVWHKLNSRKATLMVPAVASGMICGDGLWILPSSILALFKVNPPICMSFLPTHQGPE >CDO96816 pep chromosome:AUK_PRJEB4211_v1:2:13367649:13374658:1 gene:GSCOC_T00013961001 transcript:CDO96816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G34190) UniProtKB/Swiss-Prot;Acc:Q94C70] MAAPKPEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILALGTAVMIPTFLVPLMGGTDGDKVRVVQTLLFAQGINTLFQTLFGTRLPTVIGGSWAFMVPIISIIHDPSLAAITDPHSRFLNTMRAIQGALIVASSVQIILGYSQLWAICSRFFSPLGMVPVISLVGFGLFDRGFPVVGRCVEIGIPMLILFVAFSQYLKHFQTRQLPVLERFALIISVTVIWAYAHLLTASGAYKHRPEITQRNCRTDKANLISTAPWIKIPYPLQWGAPTFDAGHAFGMMAAVLVSMIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLDGLFGTATGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFTIFAAVYCVMFGLVASVGLSFLQFTNMNSMRSLFITGVSLFLGLSIPEYFREYTTAALHGPAHTKAGWFNDFLNTIFLSSPTVALIVSVFLDNTLDYKDSAKDRGMPWWVKFRTFKGDSRNEEFYTLPFNLNRFFPPS >CDP05002 pep chromosome:AUK_PRJEB4211_v1:2:788990:794860:-1 gene:GSCOC_T00019902001 transcript:CDP05002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLSVPSSQPSRKEWRVVSETSVRSSSNEELERSKLGQSDERLIYEVQQGREPADVDFCSITIDGSLDNDILQQRLHSVVKQREELQQMETELRAQLIARGEIMEMRSTYDAHIKEHENAKIKLQEQLREKEQRMLELERKMEDKERELHAIRLDNEAAWAKEDLLREQSKELQTYRRERDNTEAERAQHIKQIHELQEHFQEKDRQLMELQEQNRIAQENILFKDEQLREAQAWITRVQEMDALQSTTNHSLQAELRERTEQYNQLWLGCQRQFGEMERLHLHIQQLQHELADARERSNTYSDASHVSQTNPRDVSQIGKSNSGHLDMSGSGSPGESSSLPNGNADNASFVSVGNASVQADHAHGVPIAPSSLLGMPTYLPHGQMTAVHPFVVHQQGVPHSVPSHVGHFHSVPAVSSLQQWQNQQAVSEGAATHDQHSLQTEPNMLRSDSNYNYESSVNGQVLHSGYMNVNISQGMEPHSVVSSSNVEGQPVESIDTSYLSGAQPQQSLQQISSQFHDALRLDSLAHVNDTKEKNVNSLSNSPMEVQGLMMEKSGSISNESSSEEANHAANLSESTMDTAAEVILSESFAAAGQKNTGIGGKLSEANLLDERSLLACIVRTIPPGSGGRIRITTTLPNRLGKMIAPLHWHDYKKKYGKLDEFVSSHPELFVIDGDYIQLREGAQEIIAATAAVAKVAAAAAAAVTSSYSSLVPSVAVTPMAQSHRLKKAPSMEFTSGKSDRSIFKEYAVSRPSNAIDNSSQLSAMKNQHPNGVSFSNSEGVSNVKILSKPKDHTGMNSSESRHGQSTLLTYGNGTNSEKNDFGSSQNKGSTQWKTSGSVVGNQQGRVVGAAASPRR >CDO99877 pep chromosome:AUK_PRJEB4211_v1:2:8123985:8126033:1 gene:GSCOC_T00029580001 transcript:CDO99877 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYRPSTLNAHYKMSFEAMRTKEKSKPERRVHGVAIHDDAGRKDFCDISLRMLKRASPYSSTVLDAYTGTQNVNAVGREGKRQRVDQATFRRKS >CDO99979 pep chromosome:AUK_PRJEB4211_v1:2:7261418:7264102:1 gene:GSCOC_T00029722001 transcript:CDO99979 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLKTEYQLCEELGRGRFGIVYRCFSPLTGESYACKTIDKTLLLDSTDRECLEKEPKILHLLAGHPNILHLFKIYEDDNYLHLISELCSAGDDLFTRVSRGPLMEPEAAQILKQLVSAISYCHHMGVAHRDIKPDNILFDTRGRLKLADFGSAEWFGMNCESGMMEGIVGTPYYVAPEVLRGREYNEKVDVWSAGVILYIMLSGVPPFFGETPTETFEAVLRGNLRFPHRLFRSVSPEAKDLLRKMICKDVSRRISADQVLRHPWVISGGEIRSMADLT >CDP05030 pep chromosome:AUK_PRJEB4211_v1:2:1003455:1009014:1 gene:GSCOC_T00019938001 transcript:CDP05030 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFTSDYEDDNEYRFFDAQESIASVSESGLGCSRSHDFDSGFENWESISFQYDVWIRSPRSVRERRRKFLRWMGVNLDRLPGDDCITQYGEMDVCRGQIDRVLEKTGAVLRNSNFEDEFSSSRSSVSSWSTDALDSLRELGTNESFMRGWTSDGRREGNTNELAENVQLSQTRVVGLNHLRTTEGDENTYHAQSVDQHFVAREMESNGNNAAIMDRFKSRWLRRIRSMTCMTSQPGHAENRGNNGSNSTGAAKIQRVKVRSNRKKLKELSALFKAQDIQAHEGSILTMKFSLDGQYLASAGEDKIVRVWQVVEDERANETDIPDIDPSCLYFTISHLSELAPLMADKEKISKLKSIRKTSDSACIIFPPKVFRILEEPLHVFRGHTAEVLDLSWSKNNCLLSSSVDKTVRLWKVGCNQCLKVFPHSDYVTCAQFNPVNNDYFVTGAIDGKVRIWEISVQRVVDWSDVKDIITAVCYRPDGQGGIIGSMTGTCRFFNVAGDRLQVEAQTCLATKKKSPCKRITSFQFFPKDPSKVIVTCADSQVRILSGSNVIRKYRGSRSSGNQISASCTSDGKHIISASEDSNVYMWNSFNLDDTSPSQPKNVRAFECFSGDASVAIPWFGLKRGDSDDMQQLSGIDRNSTDKLPLSPTCLSLGHEFFLESIPRGSATWPEEKLPASGSQVVASLLSKSQYKFLKTAFQSSSGSHAWGMVIVAAGWDGRIRSFHNYGLPIPL >CDO96837 pep chromosome:AUK_PRJEB4211_v1:2:13747679:13752516:1 gene:GSCOC_T00013987001 transcript:CDO96837 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRGRKPAKQKESNIENVDKEEAVPEKSEKNEFQDEEVEHQIAAIRALRHMEIEQLRTMLHLLRSCFSKEQLQVPLLQFFKENFPNLSIVKNEKNGIYEVQQKDDKGNLYTNQANGGNLHASLLHRLSMTYPDFSTVKPSLGDFEFSNKSVKASFLGVDCMQIRGFDLEELSDATIFERQDKFRTPDVNNQRLSVGLTPKTKRLPKHGEMLLSVHGSPLGVYKEDNMEAIDEVEDG >CDP08780 pep chromosome:AUK_PRJEB4211_v1:2:53055129:53056110:-1 gene:GSCOC_T00027875001 transcript:CDP08780 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRH1 RING finger protein [Source:Projected from Arabidopsis thaliana (AT3G61460) UniProtKB/TrEMBL;Acc:Q9XF92] MGFPVGYTEVFLPKIFIQALSILGFIRSVLFSIFRFLGLSDFLEPAEGYYFQENPAQMPLTPPISAILIRELLPVVKFSDLVAGAGGEPPEKSCAVCLSEFEGGEEIRWLKNCKHIFHRSCLDRWMDHDQKTCPLCRTPFVPRDLEDEFNQRLWAASSAFGSSSTHDFYDDDYGDTPTTIPAL >CDP07717 pep chromosome:AUK_PRJEB4211_v1:2:25354557:25357751:1 gene:GSCOC_T00025067001 transcript:CDP07717 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKVLDATLSNFDGVFDKFKAEAPNYKANLILFLADKDPVTSLSWCPDCVRAEPVIYKKLEVSSDDMALLRAYVGDRPTWRNPQHPWRVDSTFKLRGVPTLVRWENDAIKGRLEDHEAHIEQKIDALVAAT >CDP13831 pep chromosome:AUK_PRJEB4211_v1:2:33365790:33369629:1 gene:GSCOC_T00038920001 transcript:CDP13831 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLVNDLAIAVSRRYCYRLEDNDPEHGKIGSISYFSYHPSSFHDTFNKFELLRETKNLRTFLPLSKQRYRKPLSQKFLHEILPKFKSLRFLSLLSYDILKLPDSISDLKHLRFLNLSSTPVETLPECICTLYNLQTLLLSNCYRLTELPVSLVKLINLSYLDISETPLKKMPLYMSRLRNLRVLTKFIVSKDSGSMIAELGKLPKLRGRLFISKLENVSNGRDALMANLKGKKHLEELTLEWHGAVDNSQVVRDVLDNLQPHSSIKHLKIIGYGGTTFPNWLANPSLSRLESLSLSNCKYCFSLPALGQLQSLQSLEIVGMSCISDLTQDFYGDVSVTKPFLSLKKLRIKKLPDWERWHIPECEVFNRLEELSIIDCPKLIGELPRQLASLQSLEISGCGNLVQLPLQLNQLSRLEELTVDDCGSLSPSHVNRPPASLKSLVCKGRCNLELESSSGERGGALESLRLEKCDSVKVKVEWLASFPMLKYVQIVNCKSFEMLVVPAAPAPGIGNQSGMTTTTTTTTTTPSLTWLQICDCKKLTSLPQRMESVLPSLRSLFLRNCPEIELRTMFLEGGLPSTLQSLYVYDCKKLMSRRREWGLEKLPSLTDLSIRGPCNEVESFPEEDWLLPCTLEYLYLSSLQNLKVLNYSALRHLTSLQNLYIYNCPRLQSLPEEGLPASLTELHIWYCPLLKRRLEWERGQDWPKVARIPCLVVDGELVP >CDP17774 pep chromosome:AUK_PRJEB4211_v1:2:51492920:51494851:-1 gene:GSCOC_T00003890001 transcript:CDP17774 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMATPGIHCAHNPKNRSRRLYAFSSSRIIRAEQLQPQPQPQPQPQNQQAGRREIVLRSSELAVLGAIFHFSGTKPNYLGIQKNPPSLALCPATNNCVSTSENTSDLTHYAPPWNYNPEEGRGSTNPVSREVAMQELLEAIKSTKPDNFNPRIVEQKDDYVRVEYESPIMGFVDDVEFWFPPGKKSLVQYRSASRLGNFDFDVNRKRIKALRLKLEKKGWASEVSA >CDP05356 pep chromosome:AUK_PRJEB4211_v1:2:3580934:3585557:-1 gene:GSCOC_T00020367001 transcript:CDP05356 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIKRVIITLSPLNYITTVSLLLNVLKWEDVEVGEPKEGEIRVKNKAIGLNFVDIYFRKGIYDAPSLPFIPGVEAVGVVTAVGPGTAGREVGDLVAHAGHTMGAYAEEQIIPVDKAVVLPPSIDPVVAASCMAKGMTSQFLLHRCFKVEPGHNVLIHAAAGGVGSLLCQWANALGATVIGTVSTQQKAAQAKEDGCHHVIIYKEEDFVSRVHEITSGKGVEVVYDSVGKDTFEGSLACLKARGYMISFGQSSGIPDPVPLSALAAKSLFLTRPSLRHYNLTREELLETAGEVFAKVASGELRVRVNHTYPLSQAAEAHYDLENRKTSGSVVLIPDAVDQ >CDO97511 pep chromosome:AUK_PRJEB4211_v1:2:19614699:19615488:-1 gene:GSCOC_T00014879001 transcript:CDO97511 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSLTDALSSQNYAKIPDICETLMLQVAAQGIAFQDDWPYAIHLLGHIYVNDINSARFLWKTIPVAIKESRPEVAAAWKIGQNLWTRDYAGVHDAIHEFNWSPEAHCIVAAFSGKFVCDPTAFFVLVWTLVLRLG >CDP09311 pep chromosome:AUK_PRJEB4211_v1:2:23952478:23953371:1 gene:GSCOC_T00028621001 transcript:CDP09311 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSETSVAFSLLVLLSVALGSNAGGIAIYWGQNGNEGTLSETCATGNYKFVNLAFLCVFGNGQTPQLNLAGHCDPSVNGCTGLGSEIKSCQAKGIKVMLSIGGGAGSYYLASAEDARQVATHLWNNYLGGHSSSRPLGDAVLDGIDFDIEGGTNQYWDVLAKYLSAYSQRGKKVYLTAAPQCPYPDAWVGGALQTGLFDYVWVQFYNNPPCQYSGGNLRNLEDAWKQWTSIPAGEVFLGLPAAPDAAGSGFIPAADLTSQVLPAIKGSSKYGGVMLWSKFYDDETGYSKSIKSHV >CDP08582 pep chromosome:AUK_PRJEB4211_v1:2:39995017:40001579:-1 gene:GSCOC_T00027575001 transcript:CDP08582 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKYGIANNITELIGNTPMVYLKNVVDGCAARIAAKLETMNPCSSVKDRIAYSMIKDAEEKGLITPGKTVLLEVTGGNTGIGLAFIAATRGYKLIIVMPHTYSLERRIILRAFGAELYITDAAIGIDGVLKKAEEIMEATPNCFFLKQFENPANPKIHYETTGPEIWKNTEGKVDAKFSSLKLNYKYSCRLYMEVDTSFELFSTHLVKSFFSYPIFEITHVFQLQIFCIDLKGPHKIQGIGAGFIPPVLDINILDEVIQISSDEAIETTKLLALKEGLLVGISSGAAAAAAIKLGRRPEYAGKLIVVIFPSAGERYISTVLFDSLRIEAANLNFN >CDO99856 pep chromosome:AUK_PRJEB4211_v1:2:8282359:8283263:-1 gene:GSCOC_T00029553001 transcript:CDO99856 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKMRRAAMKEYLRAASKIAIEITNSCHSTCTLAQANTPVNPTATTLSGSGFNSRSTHFRFNPGPRPFPFPFVPFSPPLSP >CDP07783 pep chromosome:AUK_PRJEB4211_v1:2:26402060:26408490:1 gene:GSCOC_T00025163001 transcript:CDP07783 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASLKQTIFDKEARKQQYQAHIRGLNAYDRHKKFINDYVGFYGKERSVKAKLPVKTDQDTLREGYRFIRTEEDDMNPSWEQRLVKRYYDKLFKEYCIADMSHYKSGKVGMRWRTEKEVISGKGQFFCGNKHCNNKEGLASYEASFIPFNYLQHPLTAMCNQAVAVDWSLNC >CDO99956 pep chromosome:AUK_PRJEB4211_v1:2:7469736:7483610:-1 gene:GSCOC_T00029688001 transcript:CDO99956 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPMEPEDDEMLVPHSDFPAEGPQPMEVAPAEATSTVDAQAADDPPSARFTWTIENFSRLNVKKLYSEIFFVGGYKWRVLIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLAVINQIHNKYTVRKDTQHQFNVRESDWGFTSFMPLSELYDPGRGYLFNDTCVVEADVAVRRVVDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDARKGVLFIDFPPVLQLQLKRFEYDFIRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARDEDLVEQIGRDIYFDLVDHDKVRCFRIQKQMAFNLFKEEVAKEFGIPVQCQRFWLWAKRQNHTYRPNRPLTVHEEGQSVGQLREVSNKANNAELKLFLEVDLGLDLHPVPLPEKAKDEILLFFKLYDPFKEELRYVGRLFVKASGKPIEILGKLNELAGFAPDEEIELFEEIKFEPNVMCEHIDKKLNFRGSQLEDGDIICFQKSLQGQNIDQCRYPDIPSFLEYVHNRQVVRFRSLEKPKEDEFCLELSKQNNYDEVVESVARHLSLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLTDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKEEVVIHTIRLPKQSTVGDVINDIKTKVELSHPDAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDTSQNQVVQNFGEPFFLVIHEGETLAEVKLRIQKKLQVPDEEFIKWKFAFLSLGRPEYLQDSDIVSTRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHTYEKPVRIYN >CDO97563 pep chromosome:AUK_PRJEB4211_v1:2:19971446:19974188:1 gene:GSCOC_T00014944001 transcript:CDO97563 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLILFLVLSFCLSVTKISSLSPVSDNSTSPNICPMDLNYVLRIPWSTRDCRKLSKISDNITSNSGNNPCCQTLISLYGIAFAERLEATNFFQLPNLETSISCLHNFQSKLNALSLSPDLTSFCFDPLQFVISSNVCASIQTTQDWVKTLGPSTVLDSACRPDLTDLTACDACLAAAFQVQSQLIRIDGNKSHSVACFYFAVLYASGIVNEFGPQSNAAISCIFNLQIKSKKDHSSLIFGLTGASVAVLVISVFLGLYLWWAKKRRRGGQSFDLGSEEMESRPRRRPSTVSIWFKIDELLKATDNFSSKNFIGRGGYGIVYKGTLADGTVVAVKKVIESDFQGNDEFCNEVEIISNLKHRNLVPLRGCCVTDEDLTDGHEVSGRYLIYDYMPNGNLDDHLFPTNGGENVKQPLTWPQRKSIILDVAKGLAYLHYGVRPAIYHRDIKATNILLDADMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVILEIMCGRKALDFSSGSHHAILITDWAWSLVKSGKMEQVLDSALTKNEDSAIVNPKAIMERYLLVGILCAHVMVALRPTIMDALKMLEGDIEVPAIPDRPAPLLMHPSLHGGDINNFTISPALSALKLNSGDMLR >CDP08740 pep chromosome:AUK_PRJEB4211_v1:2:53414756:53418193:1 gene:GSCOC_T00027818001 transcript:CDP08740 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI-1-like 1 [Source:Projected from Arabidopsis thaliana (AT2G46225) UniProtKB/TrEMBL;Acc:B3H5V3] MTYDEASMERSKSFVKALQELKNLRPQLYSAAEYCEKSYFHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLDQHAMDISSMELKITCINQQLLTCQTFTEKEGLRQQQLLAIIPRHHKHYTLPNAVNKKVHFSPQIQMDPRQQVHVKPHLYPSGTPAAKTLSWHLASETKSSLKGTHRASLSSEDSKSSGKSSIGFNLLDAEQSGRIKSPKPHSQLPSVGPASTVAIKTLGILRLDAVEGSKPMTPFRSFGNTTRQEIVRVPARSKSVLSAFFVKQKTQKLKASAMS >CDO97667 pep chromosome:AUK_PRJEB4211_v1:2:20872855:20873924:1 gene:GSCOC_T00015074001 transcript:CDO97667 gene_biotype:protein_coding transcript_biotype:protein_coding MNEISSTSLLRGHNFEKRFCFVSRSAVVSARCSWIEQGRLRSSYGPQQPIQVIPVVLRTADPIIAKQVTRGNQS >CDO99639 pep chromosome:AUK_PRJEB4211_v1:2:10444426:10450743:-1 gene:GSCOC_T00029290001 transcript:CDO99639 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNIKKTKNSADSANYPEAIQSPSQFPDEVLEKVLSMLHSHKDRSSVSLVCKDWYNAERWSRTKIFIGNCYSVSPEIVARRFPRIKSVILKGKPRFSDFNLVPQNWGANIHDWLVMFAKVYPLLEELRLKRMTVSDESLEFLAMSFPGFKALSLLSCDGFSSDGLKSIATHCKKLTEMDIQENGIDDISGSWLSAFPDNFTSLEILNFASLNSEVSFDALERLVSRCKSLKVLKVNKGIGLDQLQRLLVRAPQLMELGTGSFQQELMSHHCVELENAFRNCKNLQILAGLWDASYIYLHVLYPACSSLTFLNLSYATLRSDEFTKLIAHCPNLRCLWVLDTVEDKGLEAVGSCCPLLEEIRVFPAHPFDQDINHGVTESGFLAVSHGCRKLHYVLYFCQQMTNAAVATIVQNCPDFTHFRLCIMNPGQPDYLTDEPMDEAFGAVVKTCTKLQRLAVSGLLTDLTFEYIGKYAKNLETLSVAFAGRSDWGMQCVLGGCPKLRKLEIRDCPFGNAALLSGLKKYESMRSLWMSACNVTMNGCRLLAKEMPRLNVEVMKDEEDDSHVDKVYVYRSVAGPRRDAPPFVLTL >CDO97070 pep chromosome:AUK_PRJEB4211_v1:2:16277279:16281235:1 gene:GSCOC_T00014299001 transcript:CDO97070 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPFVYLRRCPVLSLITSYPLSNLVEAAVVRSPVSSAASRSCIDTDCESIIRKMVASTIAAPFCSPRVSYPPRTTPYLAASSLDGNQKRSLPSALPNPRADLSAIGLSSKTNGFLLKHEQNDPCAGSNSSYGPIEAKKGNPPIMPAVMTPGGPLDLCTVLFRNRIIFIGQPVTSQVAQQVISQLVTLATIDEDTDILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHKIDRMYASFTGQPLEKVQQYTERDRFLSVSEAMEFGLIDGVLETEY >CDP16451 pep chromosome:AUK_PRJEB4211_v1:2:30589983:30593269:-1 gene:GSCOC_T00018365001 transcript:CDP16451 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLNLGRSFSMQMNIFLPKLLPTYSFSSFSDSEQRLPPPPQVWHLETEPKPILPTIEPSKNADLISQIILQHHNPFHATESSFQLNGITLTTSLVHQILLRLHHSSKVALAFFQYCQFHHPSYCHDTTAFNLLVDSLCKVRQFDVAWQLIVQMDQESNNRRPNFTTFYILIRRLISAGLTRQAIRAFDEMHIFIDEENGLENSGSFSQFRFCFLLDTLCKYGYVKVASELFNKEKWRLELNAKIYTILIYGWFKVKKIEMAEKFFKEMVQKGIEPNVVTYNVMLNGICRKASLHPDERFEKVIRDAEKVFVEMRDRGVEPDVTSYSILLHVYSRAHKPVLSLEKLKMMKDRGICPNVATYTSVVKCLCSCGRIEDAEVLLDEMVSNGVNPATATYNCFFKEYRGRKDTEGAMRLYRKMKEDGSFCSPSIHTYNMLLGMFTKVNKMGLAMEIWEDMKASRIGPDLDSYTLLIHGLCARRNWRVACELFMEMIEKGYLPQKITFQTLYKGLIQSDMLRTWRRLKKKLEEESITFGSEFETLHLKPYRR >CDO97481 pep chromosome:AUK_PRJEB4211_v1:2:19380452:19383414:1 gene:GSCOC_T00014834001 transcript:CDO97481 gene_biotype:protein_coding transcript_biotype:protein_coding MENLYGGAGNSSSTRTPKLNSISGNVSPLNLNTPNFIGRGSYQHHHLRRSKSPVFDPYASSDSGSASPNLESPLIRYLRSSSSSSGGKLLSPLAAIENFDTPASRSSPVFKTPLNIEEDVLVMDGILVDSSNIKTPKGGGVARMRSPLAPLDSDGRQPSSLSSSLSSGGSENSFYKTDKCRSSEDSNAGRFGTKCQFAHGKEELRSSRISKNKLEAQFCKTYSSGSCAYGTKCRFVHDQIKAAVPSMEPSPTSKVLPTPRRAISPITLQNKADFGEKNAFTAFSTDDWSPLDDGIGVTLPSGSSEKTPSKEDVNAHIQAALYGPTPRKRLPVFTEFCPD >CDP14051 pep chromosome:AUK_PRJEB4211_v1:2:5398658:5400687:1 gene:GSCOC_T00039233001 transcript:CDP14051 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSKSDSKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAFFYMVMQCLGAICGAGVVKGFQKHLYQSKGGGANVVNGGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNQKPAWDDHWIFWVGPFIGAALAALYHQVVIRAIPFKSK >CDO97428 pep chromosome:AUK_PRJEB4211_v1:2:18998553:18999514:-1 gene:GSCOC_T00014770001 transcript:CDO97428 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFPSSGYVNVIALGPEAENLIGLRAADMYRVSDQQTFEISKRVSRRIDGKELLCYLKHSSKIIRTATVTDYTIVTCYPVSGGASSQQMPFGDSV >CDP15536 pep chromosome:AUK_PRJEB4211_v1:2:46282740:46286019:-1 gene:GSCOC_T00015404001 transcript:CDP15536 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQDHSQPQVSGIVGGMPQLSYATNVYTGNPGQGSLVTSVVASQPTGQTTGVQIGEQRAYQQIHQQQQQELEQQLQSFWGNQRQEIDRLTEFKNHSLPLARIKKIMKADEDVRMISAEAPIVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAIARTDVFDFLVDIIPREDLKDEALLAVPRNAMPLGESSGSLPYYYMQPQQMAPARPPAPGLPVNTPAIDPTLYGQQPHPYMAPHLWSEATAPQQPQSPSDS >CDO97432 pep chromosome:AUK_PRJEB4211_v1:2:19008765:19010611:1 gene:GSCOC_T00014777001 transcript:CDO97432 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHALVIPYPAQGHIRPILKLAKILHSQGFYITFVNTEFNHRRLIRAHGPDSVKGLDDFQFKTIPDGLSPSDTNATQDIPSLCNSIQNTCLVPFLNLVKNLNESSDSPRVSCIVSDGVMSFTLQAAEELNIPEVVFFTISACGFMGYLHYAELVARGYVPLKDESWLTNGYLDTTIDWIPGMKGIRLKDLPNFIRTTDPDDIMLNYNIVQTRDASRARAIIFNTYDDLEKEVLEAINTKFDRVYTIGPLLMMEQNVNFGKLESVASSLWEEEVGCLEWLDQREMKSVIYVNFGSITVMSVEQLQEFAWGLADSKQNFLWIIRPDLVSGESAILPAEFLEETKDRGILAGWCPQERVLAHPSVGVFLTHCGWNSTIESISCGVPMICWPFFAEQQTNCRYACSTWENGVEIDSNATREKVAESVKEMMEGEKGRNMRAKALEWKEKARLATKPGGSSYQNLEKLIRNTLLENGTNYP >CDP09500 pep chromosome:AUK_PRJEB4211_v1:2:21458818:21461544:-1 gene:GSCOC_T00028886001 transcript:CDP09500 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAHSTSFLSVGSLSSDHHKQLKLWKFQKPLTRRGFKRLALCSSNMTPWEPAEIAYAPASDAEGRFLQKSGTIFEALNSEKTDEATAKNSKEDASHRPMLLLPYLRWTVWLLGPPILLATGMVPTLWLPVSSIFLGPNIASLLSLTGLDCIFNLGASLFLLLADSCARPENSGHAFGSRPPVSYQFWNMVANIMGFVVPLLTMFASQKGLLQPFLPPISFLVLLGPYLLLLSVQILTEMLTWHWQSPVWLVTPVVYESYRLLQLMRGLKLGAELTAPAWMLHSIRGLVCWWVLVLGMQLMRVAWYAGFTARDRRQKEPSVLVGGN >CDO99567 pep chromosome:AUK_PRJEB4211_v1:2:11310346:11311211:1 gene:GSCOC_T00029201001 transcript:CDO99567 gene_biotype:protein_coding transcript_biotype:protein_coding MCCQLVQGRAGLLEQAVDFVNKMPVKADAVIWTTLLGACRVHKNVEIAELALQKLIQIEPRNPSNYVMLGNIYRAAKRWKDVARLKVAERDTGSRKLPGCSSVEVEDGVVEFYSFDERHSSSEEIYDALRGLMNVLLSDGYVPDFVELGQGI >CDO96751 pep chromosome:AUK_PRJEB4211_v1:2:12663355:12671738:1 gene:GSCOC_T00013867001 transcript:CDO96751 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDFSFSFAFSRALMGRLLITSSIARQLQELPFVVFISSTLCVYAKSVLFVRASRLCFSVPSLFASLKFSFVKIGRTPYQSQEPFLYNRKISNNIHKTLIYYDVSFVSAPIQCYQNPFAGCNDLMQRSLVIFGPFGANDYNHQYFGGINREEIQSLVPLVVQGRLRLSLMVHLIELRMTTRMVPGVIPAGCLPQPLSFNRDHSSITGCIGWLNELLIYHSDMLQKELNKIRDHHPHASVIYVDYYNSTIQLYHSAQEYGFNGKNFVACCRGGGPYNYNFTAECGDPLTTSCPYPSVFVNWDGNHFTEAANRWMTRAILEGPYTFPQINPSCISSVDGDDGTHLSSR >CDP09346 pep chromosome:AUK_PRJEB4211_v1:2:23398324:23402291:-1 gene:GSCOC_T00028672001 transcript:CDP09346 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRYKILVPINIPGQIKLDVKWMPSAGEVSLVAMIFPAALYFWQIPHFVTLAYLCHKDCRWRVYKFMDNSRHSAFPMVALRKCLYLRPLGYLAYDSE >CDO97695 pep chromosome:AUK_PRJEB4211_v1:2:21097557:21101229:-1 gene:GSCOC_T00015105001 transcript:CDO97695 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSFSTVFSFFTFLLFITTASAETDSTTLSILLSIKVWLDPSDHILSSWSPNATDPCNGSFVGIACNGNGQVLNISLQGKGLAGKIPPEIGQLKSLSGLYLHFNQLHGGVPKEIANLTELSDLYLNVNNLTGEIPPELGNMPSLQVMQLCYNKLTGSIPTQLGFLKKLNVLALQYNQLSGAIPASLGGLVNLTRMDLSFNGLFGSIPVKLANSPNLEFLDIRNNTLSGNVPIALKRLNEGFQFANNPGLCGIGFSTLNVCTDSGINPNKPEPFGPGSSRLPSKAIPESANVQPNSLNQSRRPQTAIIVGLIGLFAVVAVIGVFTFFWYRRQKQKIGSTYDASDSRLSTDQVKEVCRRSASPLISLEYSHGWDPLARRQIGHGFSQEEFDSFMFNLDEVESATQHFSDTNLLGKSSFSATYKGILRDGSIVAIKCISKTSCKSDESEFLKGLNLLTSLKHENVLRLRGFCCSKGRGECFLIYDFVPNGNLLQYLDTKGGKVKVLEWSTRTSIIKGIARGIEYLHGNQGKKPALVHRNISAEKVLIDQHYHPMLSDCGLHKLLADDIVYSTLKGSAAMGYLAPEYTTTGRCTEKSDIYAFGMIIFQILSGKCKISQLNRQGAELGRTEDFVDTNLEGNFRESEAVKLGKIALLCTHEALNHRPTIETVMQQLNELICSS >CDP05062 pep chromosome:AUK_PRJEB4211_v1:2:1234229:1238136:1 gene:GSCOC_T00019981001 transcript:CDP05062 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNIECVSSSDGVEDEEIQSSVSSHNPSVMHHHPHAPHLHQYASSKPHSNIVPSGIAPTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHEALCNFRPYNCPYAGSECSVTGDIPYLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDAGVCIPNLCS >CDO96926 pep chromosome:AUK_PRJEB4211_v1:2:15083897:15086931:-1 gene:GSCOC_T00014112001 transcript:CDO96926 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFPPPILLFFVVLVITTVSKSVAVSPDQTPKTYIFRIDSSFKPTIFPTHYHWYTSEFTPSPTILHVYDKVFHGFSASLTPSQAASVLKHPSILAAFEDRRRHLHTTRSPQFLGLSNQRGLWSESDYGSDVIIGVFDTGIWPERRSFSDLNLGPVPARWKGVCQAGVRFTTKNCNRKIVGARFFSKGHEASPGFGGFGGGINETIEFKSPRDADGHGTHTASTAAGRHAFEASMEGYAAGIAKGVAPKARLAVYKVCWKSAGCFDSDILAAFDAAVTDGVDVISISIGGGDGVSSPYYLDPIAIGSYGAVARGVFVSSSAGNDGPNGMSVTNLAPWLTTVGAGTIDRNFPAYIILGDGRKLSGVSLYAGLPLDGKMYSVVYPGKSGVLATSLCMENSLEPSAVEGKIVICDRGSNPRVAKGLVVKKAGGVGMILANGASNGEGLVGDAHLLPTCAVGASEGDAIKAYAASHPNPTATINFGGTVVGIKPAPVVASFSARGPNGLNPEILKPDMIAPGVNILAAWTDAVGPTGLDLDTRKTEFNILSGTSMACPHVSGAAALLKSAHPDWSPAAIRSAMMTTATTIDNNFHPMIDEATGKPSTPYDYGAGHVNLGLAMDPGLVYDLTNSDYVNFLCAIEYGPKTIQVITRSPVNCPARKPLPENLNYPSIAAPFSSASTGVSSKTFFRTVTNVGEANAVYSVKVEAPKGVGVAVKPSKLVFTEKVRKLSYFVTVTADSKNLVIGDSGAVFGSLSWVDGKHVVRSPIVVTQIDPL >CDO97179 pep chromosome:AUK_PRJEB4211_v1:2:17079360:17084309:-1 gene:GSCOC_T00014439001 transcript:CDO97179 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTIPNQRHPRFEESSGSSYSSTSNSNTSKKKWWNLMPLIVSLVVIAEIAFLGRLDADKNVDLVNSWADSFYQYTTSSSCVTGHDFDRKSGSEVENTCEEWLEKEDSVAYSRDFQKDPIFVTGAEQEWKSCSVGCKFGFDSNRKPDAAFGLPQQDGAASVLRSMESAQYYAENNVAMARRRGYDIVMTTSLSSDVPVGYFSWAEYDIMAPLQPKTESALAAAFISNCGARNFRLQALEALERANIKIDSYGSCHRNRGGNVDKVKTLMRYKFSLAFENSNEEDYVTEKFFQSLVAGTVPVVVGAPNIQDFAPSPSSVLHIKELNDVDSVAKHMTYLAENPGAYNESLRWKLEGPSDSFKALVDMAAVHSSCRLCIFLATKVQEREEKKVEFKKRPCKCTRGIETVYHVYVRERGRFEMVSIFLRSSNLTLKALRSAVLSKFKSARHVPVWKQERPEKIRGDDGLKVHRIYPLGMTQRQALYTFTFKGDSEFRNHIESNPCAKFEVIFV >CDP00063 pep chromosome:AUK_PRJEB4211_v1:2:6468113:6473403:1 gene:GSCOC_T00029841001 transcript:CDP00063 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLEKRGSVFILTLTGNDEHRLNPTLIDSIRAALQQVKAESTSPSALITTAQGKYFSNGYDLKWAGSNGGRAQLMSSKLRSLVSELITLPMPTIAAITGHASAAGFVLALCHDYHLMRKDRGFLYVSELDIGYKVPLWFAALIKSKVSSPKARREMIMKAAKLTAEMALERGIIDSAHDGAEETVDAAVKLGEELVRRKWSGHVYAQNRTAVLDEVLSTLGFDETVGDYGSSTKTFSRL >CDO97710 pep chromosome:AUK_PRJEB4211_v1:2:21201117:21203843:1 gene:GSCOC_T00015128001 transcript:CDO97710 gene_biotype:protein_coding transcript_biotype:protein_coding MSERQSTNYPNCEETTHRSTPSIPPVFLPLFTQPAVHIRSGKRIATCGIWQRSSFFSSLSRRKFIMLSKQIQLQADFAATTFS >CDP08604 pep chromosome:AUK_PRJEB4211_v1:2:39518352:39522314:1 gene:GSCOC_T00027608001 transcript:CDP08604 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGWRCSLSTVELDVGPVVLFSETACRRWKNPVDPWHNQQKRSYDPVDYESIDKTEFWVVEEEQEGELDYEELEEELEELPIHGQCSNSEQLEDNEDEAEDVDLETFQRRNFFNDEDDDWH >CDP13936 pep chromosome:AUK_PRJEB4211_v1:2:4490671:4491675:1 gene:GSCOC_T00039081001 transcript:CDP13936 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRSWVAEHKLTSIGTLWASAMGASLAYSRARSTPFKPSVRLIHARMHAQALTLAVLSGAAMYHCYEKQAENSSVPK >CDO97704 pep chromosome:AUK_PRJEB4211_v1:2:21157713:21160761:-1 gene:GSCOC_T00015121001 transcript:CDO97704 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTISRKNILLATVNQATSHVIFRNYLCCFSAFCSHSLVRQYHFIRERDFDQGQECIDLKRDFDFHLLMHKINGVNSEDEVFQVLMQDPACDATKITDELINRLLHRLKDDWKSALGVFRWAELHRGYKPLPNLYDKVVDTLGRMKQMEKMCAFVDEMNMAHLVSLSTIAKVMRRFAGAGEWEDAVRIFDELEKFGLQKNTESMNLLFDTLCKEKKVGQAREIFLKLKSHIPPDAHTFNIFIHGWCKINRVEEAHWTIEEMKGHGFRPTVISYSTIIQFYCHQFNFSRVYELLDEMKDQKCTPNVVTFTTIMHSLTKSGALEESLRIIDRMKSVGCKADTLFYNAFLYTLGRAGRISDAIHVFKVEMPQMGVAPSTSTYNTMISMLCQQEREELALEFLRDMEKSTYCKPEVQTYFPLLKACFKGGNKDDRLSMLLDEMSSKHHLSFDLSTYALLVHGLCKVNKPEEAYNYFTKMIAQDITPRYVTCRLLLDELRQNKKHDAATRVEDFMKKMKSS >CDO97046 pep chromosome:AUK_PRJEB4211_v1:2:16106075:16107676:-1 gene:GSCOC_T00014268001 transcript:CDO97046 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLKTSSKSRAVMCPIPTTGPPLQGEKPGALVKHRRRPVVKKLRCKSSLSFSSVLNVHGWSLYSKKNKKSK >CDP09442 pep chromosome:AUK_PRJEB4211_v1:2:22322329:22323890:-1 gene:GSCOC_T00028812001 transcript:CDP09442 gene_biotype:protein_coding transcript_biotype:protein_coding MIISLFFHLLYLFCTFSSEISLPTAQMLSLLMGTLHRQFIEKDVNSLEDFHMAILDIFSTINAALPGKHYDVPPLKDVEAYFKEWSSADDSNKKRLFMELMQNRLNLSKLDDSTIITGLVTPPAAMVAKRAGETVPQLKLIKAIPDVVFVPSATVLALISVKLSRKMFLGQVAS >CDP08532 pep chromosome:AUK_PRJEB4211_v1:2:41684960:41687988:1 gene:GSCOC_T00027476001 transcript:CDP08532 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESASAIQGSQVDLLDFVDWSGVECLNQSSTHSLPNALKKDET >CDO99769 pep chromosome:AUK_PRJEB4211_v1:2:9031938:9034536:1 gene:GSCOC_T00029456001 transcript:CDO99769 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFMQQFTRKSKTVAKRSTKKYLEEALYRKLLKGGSEEESVRQQLNEFLKSHKSAYKWEADHSLKLLRQRKLYGPALKLSENMTKRGMNKTVSDQAIHIDLIAKVRGIASAETYFINLPEASKNHLTYGALLNCYCKALMIDKAEALMDKMKELNLSLTSMPYNSLMTLYTKIGQPEKIPSIIQEMKASEILPDSYTYNVWMRALAAENDITGVERVIDEMKRDGRVSGDWTTYSNLASIYADAGLFDKAEKALKELEKKNARRDLSAYQFLITLYGRTGNLLEVYRVWRSLRLAFPKTANISYLNMIQVLVNLNDLPGAEKCFREWESGHPAYDIRIANVLIGAYAKQGSLKKAEELKERARRSGAKANAKTWEIFLDYYLRNGEIKPAVECLLEELVLSCAGGSRWRMWN >CDP08557 pep chromosome:AUK_PRJEB4211_v1:2:40855475:40856956:-1 gene:GSCOC_T00027519001 transcript:CDP08557 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGRMKRVTDPLHDKVKARIVPDCFSSGGSDHSADAAAAYDEEDVISPSLSELVYGSLVDDACSDSPADDDSDSERDSSVRYYDSAVNSAEDSMNRIAQKKNADSLEKMLCADVTKAMEVFSGVKSNMPVLRRNVMAFLRKLGYNAAICKTKWESSGGLSSGNYEFIDVLRFHPPNRSTRYIVDLDFAKEFEIARPTARYEHAVRSIPRVFIGRSEELKQVLKVMSDAAKRSLKSRGLLLPPWRKHRYMQSKWLGAYKRTTNIAPVFPQPPPLMQKLTVKCRSVGFDVVAVS >CDP05146 pep chromosome:AUK_PRJEB4211_v1:2:1975589:1976602:-1 gene:GSCOC_T00020094001 transcript:CDP05146 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQPPLTNSNTLFYSTLTPPNPFHYFPNLYPKTLNPPPPPLKPPHSLPKIQSILQYNRKPQLAGDTPRVVVITSGKGGVGKTTTTANVGLSLARLGFSVVAIDADVGLRNLDLLLGLENRVNYTVVEVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLPLGFGGKALIWLVDALKDREEGVPDFILIDCPAGIDAGFITAITPANEAVLVTTPDITSLRDADRVTGLLECDGIRDIKMIVNRVRTDMIKGEDMMSVLDVQEMLGLALLGVIPEDSEVIRSTNRGYPLVLNKPPTLAGLAFEQAAWRLVEQDSMKAVMVEEEPKKRGWFSFFGG >CDO97169 pep chromosome:AUK_PRJEB4211_v1:2:17010146:17010709:-1 gene:GSCOC_T00014426001 transcript:CDO97169 gene_biotype:protein_coding transcript_biotype:protein_coding description:CML30 [Source:Projected from Arabidopsis thaliana (AT2G15680) UniProtKB/TrEMBL;Acc:A0A178VPG8] MPKVSFLGFQYGLPKRNFLRKPSRLFSKDGHNSSKLPAYQQSLEETKQVFDKYDANKDGKISPEEYKAILKALGKGNPLTVEVKKIFEVADLDGDGFIDFKEFVEVQKKEGGLKTADLQNAFRTFDMDGDGKITVEEVFELLQKLGERCSMKDCRRMVRAVDANGDGVIDMDEFMTMMTRTLKLTSY >CDP05091 pep chromosome:AUK_PRJEB4211_v1:2:1491402:1495892:-1 gene:GSCOC_T00020022001 transcript:CDP05091 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigE, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24120) UniProtKB/Swiss-Prot;Acc:Q9ZNX9] MGVVTVSSSAARSPLGLNAKLATRISQPRRPSLVPFKNGKTKNAALVAPRESLSLPVEGSNVNEKRLKRVVKRPERVKAVSALEAASSTLELDYNEAAAKLENIYKLSPATKVSDMEVNDLGMKRDQQRRKRMKEANVEAEKGTNYTVVRSPRKKSKRLSLDKRVTLRKKRDSEAISSSQKRKSSEGDEDEEINRKSSEDDEDEKINRLLREYSGSTDFTSLDWKKMKIPPVLPSSEHSWLFKLMQPMKAIIQVKENLPNDLGREPTDGELAEATNLDVLHLRKDIKVGQAARNKLIKHNLRLVLFVMNKYFQDFTNGPKFQDLCQAGVKGLITAIDRFEPKRKFRLSTYGLFWIRHAIIRSMTLSSLTKVSFGLDSVRIEIQRAKLELLFELQRMPTEDEIIERVGISPERYHEVMRVSNPILSLHARHSATQEEFINGITDVDGVEGEKRRQPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHPARVDYLRRYVY >CDP09402 pep chromosome:AUK_PRJEB4211_v1:2:22813340:22814900:1 gene:GSCOC_T00028749001 transcript:CDP09402 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPSPSSSRAQSQIQSPPYPSAAKISDSQCYPQYTASLKCLEEFNADKSKCQQHFDVYKECKKKEREARLERNRSRSFFS >CDP15518 pep chromosome:AUK_PRJEB4211_v1:2:45961559:45962596:1 gene:GSCOC_T00015376001 transcript:CDP15518 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDFASTETVWCYRLTLFILPFFPYKNPNILLACSTYIVTKRGCIHTQEIMRYKTTSTGAFATCCRINH >CDP09482 pep chromosome:AUK_PRJEB4211_v1:2:21755044:21777742:1 gene:GSCOC_T00028863001 transcript:CDP09482 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFALTASTQIRYLLQSLNASNSDAVLQELCQFIAYGVEGSILLLQTCLDHLNIHGKDFKNVQFEPVFGSVFRYILDKPNFSTVFFQSVKNTINEEFLESLCNALHLSASERIAVGLALSDSENLDIRLYGKNFCMAQIVELCANQKPVDSVEQIQQILMFLHRSEGLSKHVDAFMRMLSLVQLKEGTQFILAPLFSDELREANFFRNLNFSNQNDEDDFDAVLAEMEKEMCMADILKELGYGCTFSVSVCKEMLSLFSPLTEITVARMLGTISHTYTGLEHNQNVFSTFRSALGSSSSSDMPTLNSWNPDVLIDSIKELAPEINWTAVIENLDHEGFYVPSEAAFSFFMSVYHRACQDPFPLHAVCGSVWMNTEGQLSFLKYAVAVPPEVFTFAHSGRQLAYLDAVNGHKFQLGHANHAWLCLDLLEVLCQLAERGHASTVRSILEYPLKQYPEILLLGMAHVNTAYNLIQNEVSSAVFSVILKNSAGNSMLLHLWHINPSMLLRGFADALNADQENVNRVLDACLELKILSPVLDMIPSSFAIRLAAVASRKEPIDLEKWLTANLMTYKDAFYEECIRFLKEVQLAAQEVSANHFQPSGAMWNICSETSSTFLKVLQSHTDLLTSGHLPEELEKLHVLYMHANSRQKNGSNADPSSTDGFAADIESEANSYFQQMFSGQLTIDAMIQMLARFKESPEKREQSIFECMIASLFEECKFFSKYPERQLKIAAVLFGSLIKHQLVTHLTLGIALRAVLDALRKPADSKMFAFGTKALEQFVDRLIEWPQYCNHILQISHLRANHSELVAFIERALARISAAHSESDALHGAAGDQHGAIQATSPNMEMSSSSFPLVGSSNSQQGLQVSSAIQLPQRQQNQLDERKTSGSLPNFLKPALSSAGQAAGPLASDTSGIQKSQNAVSALAAHTSSPGFVRASRAITSASTFNDPCIWFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNLSAANVEAKAKEFTEILKDQYYPWFAQYMVMKRASIEPNFHDLYLKFLEKVNSKQLTKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKITIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKVLEPSQGSIAYRPPNPWTMGILGLLVEIYAMPNLKMNLKFDIEVLFKNLGVDMKDVTPTSLLKDKVREVEGNPDFSNKDVGSSQQQMGEVKSSMIPAINQVELPLEVTGPAHPGGHSRVLSQYGAPAPMHLSSGALAEDEKLAALGLSDQLPSAQSLLQGQSPFSVNQLAAPASNIEQQVIVNSKLHTLGLHLHFQSVLPIAMDRAIKEIVSNIKQRSVSIATQTTKELVLKDYAMETDETRIRNAAHLMVASLAGSLAHVTCKEPLRASISSQLRNSLQGLNIASELLEQAVLLVTNDNLDLGCALIEQAATEKAIQTIDGEIAQQLAIRRKHREGVGPTFFDASLYTQGHMGVLPEALRPKPGRLSHSQQRVYEDFVRLPWQNQSTQSSNALPVGPLVSSTSSSVSRGYMQASGQLNAGVYSSGAVSSGMGSVPQPLDVTSDDLDTSLTQIQSVSSAHVGLADSVSPRNVESENVVASFSSVPTELQSVESVVKEPGTAMQQLNQSSASERSGSSVPEPLSTTGDALDKYQVFAEKLENLLTGDAKEAEIQGVIAEVPAIILRCISRDEAALAVAQKAFKALYENASNMAHVSAHLAILAAMRDVSKLVVKELTSWVIYSEEERKFNKDITVGLIRSELLNLAEYNVHMAKLIDGGRNKAATEFAISLIQTLVIGDTRVISELHNLLAARPGSPESLQQLVEIVKNPSTAALSGIAIGKDDATRQVKDKKGAVLSAASREEYGAGADSVEPDPAGFREQVSMLFAEWYRICELPGANDAACAHYVLQLQHNGLLKGDDTSDRFFRRLTDLSVSHCLTSEVIGSGPSQSHQTQPLSFLAIDIYTKLVYSVLKFCSVDQGSSKLFLLPKVLAVTVKFIQKDAEEKKTSFNPRPYFRLFINWILDLCSLEPVFDGANFQVLTALANAFHALQPLKVPGFSFVWLELVSHRSFMPKLLAGNAQKGWPYIQRLLVDMFQFMEPFLRNAELGEPIHFLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLAEISQSPRILSEVDAALKAKQMKNDVDEYLKTRQQGSTFLTDLKQKLLLSPNDAARAGTRYNAPLINSLVLYVGMQAIQQLQARTPPHAQSMASSVPLAVYLVGAALDIFQTLIMDLDTEGRYLFLNAVANQLRYPNNHTHYFSFILLYLFAESNQEMIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWSRTFTRCAPEIEKLFESVSRSCGGPKPVDESVVSGGIPDNMH >CDP15182 pep chromosome:AUK_PRJEB4211_v1:2:49808063:49811775:1 gene:GSCOC_T00042791001 transcript:CDP15182 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLASLAFLKRIPKRVNLNASFSSLSCANVVHINSETDHTPSKSPDFESKIQFLKNKLHPDILVHVLDSTTDVNSSLKLFKWASLQKTFKHNVDTYYLMILKLGLAGNIEEMEGFCNEMLKEKCPGFDEAFLGLIECFVRNDRLDEALRVLSCMNSRSFKPSVSVFNIVMGALLVAKRDFKDVLFVYKEMVKVGIVPNVETLNYLLEALLEDDRVGTALEQYRRMNKKGCSPNSRTFEVLISGLIARERIDESLLLLDEIFELRCEVDLSFYTRIVPLLCQINKCEAGMMLLVKMKASKISPDSSTYGAMIICLCQNLYVDEAVNLFEEMVGSGITPEDDLYVDIVKGFCTLCKFSEAKKFLVDNDVDITCPYNALLGAYCSYGNLAAAKDLFDDMFERSLTDSLSWNFYIRCLCEIGDIKKALEVLCRMIVFSFLPDSITYSALIIGRCKQDELDDAILLFNQVQQKCDVLDSVSYAELVRCLSYNEKIQEAAEVYCYMSGKQVALQSTSFDLFIKGLCAIREVESAIRFLSLAHCSGTSYSSVAYYSIMRVLSKLEKADDLLVVLSRMIVDGCPVEEETYFILIESMSLADNPDHCARFFNVMLSKGLLPNSETLTTVLSCLDKHSQMHMILSAMDQLIPCSNILGSSAYNMLIRGLLKEGYRSAAGRLLDVMLGKGWIPSTETHQLLVGSVVKEESEVRAYMSENFGPQDEVSSILENALAQT >CDP07817 pep chromosome:AUK_PRJEB4211_v1:2:26908891:26910701:-1 gene:GSCOC_T00025215001 transcript:CDP07817 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQHDSSLSTLFLLATLIYNVGIVGCQIKRSETFPIFGATGPESLAFDRNGGGPYTGVSDGRIIKWQANVNRWVDFATTTPYRFGCQGPFDHVLTEARCGRPLGLSFNHRTGDLYIADAYMGLLVVGPEGGLARPLAKEAGGVPFRFTNDVVVDQNSGIVYFTDTSTRLPRSAYAYVILSGDNSGRLLKYDLRTNQVTVLMDHLMFPNGVALSKNGDFLLVTETTNSRVLRYWLEPSRVGTVDVFAELPGRPDNIKRNQQGEFWVAANSRDGIYNPLGMIVKLSQNGDILKVLEAGNGETWQLSSDVNEQNGSLWIGSVEESRVVKIKL >CDO97599 pep chromosome:AUK_PRJEB4211_v1:2:20265244:20269745:1 gene:GSCOC_T00014982001 transcript:CDO97599 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEDRGLQRFAGLVATPSGRKWLVEELAVVSESKEVAGDLITEAALDQVFGEKAFDKFGKGFISLHFSDQHLGSHKKMLVFKFALPDAKKMADMSRLVALVPYYIDLIGRYKLSSHARSKTEAARLKVAQEIYKELQNARQEALQKKKADQRKKMEEAEAKLSAEALRKKEAKERARQMKKAMPKMKMTRA >CDO99926 pep chromosome:AUK_PRJEB4211_v1:2:7708852:7716690:-1 gene:GSCOC_T00029647001 transcript:CDO99926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04810, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04810) UniProtKB/Swiss-Prot;Acc:Q0WMY5] MKAVEFDGVEFHGRVLTVKLDDGRRLKEKTEERRRWVEGKEEGQLHRTKWHEEREGSRTEFRNVIETQPENWQAVVTAFEKIKKPSRKEFGLMVKYYARRGDMHRARETFERMRARGIEPTSHVYTSLIHAYAVGRDMEEALSCVRKMNDEGVEVTLVTYSILVGGFAKVGNVDAAEFWFKEAKERHATLNAIIYGNIIYANCQSGDMDRAEALVREMEEDGIDAPIGIYHTMMDGYTMAGNEDKCLVVFERLKECGFPPSVISYGCLMNLYIKIGKVSKALEVSDMMKRAGIKHNMKTYSMLINGFVKLEDWANAFAIFEDVIKDGLKPDIVLYNNIIGAFCGMGNMDRAIRTVEEMKRERHRPTSRTFMPIIHAFARAGELRRALDVFDMMRMSGCIPTVHTFNALIVGLVEKRQMDKAVKTLDEMMLAGISPNEHTYTTIMHGYASVGDIGKAFEYFSKLKNEGLELDVYTYEALLKACCKSGRMQSALAVTKEMSNRGILRNTFVYNILIDGWARRGDVWEAADLMQQMRREGVLPDIHTYTSFINACCKAGDMMRAMKTIEEMEAHGVKPNVKTYTTLIHGWARAALPEKALQCFEDMKQSGLKPDKAVYHCLMTSLLSRATVAEEYIYAGIQRICGEMVESGVTVDMGTAVHWSKCLRKIERSGGGITEAVQKTFPPDWNSHKTLNTISDTDDNDDELDNCAYDDFQGDVGSDAEFSDFEE >CDP15187 pep chromosome:AUK_PRJEB4211_v1:2:49756345:49761833:-1 gene:GSCOC_T00042800001 transcript:CDP15187 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLNAQLSKSTSIFGLKVWELIGIIVGALIVVILFVLTCYLTSRKKSKRANEQIPFSQIPAVSKEIKEVRVEQVSTNEFTPREGILLTIHDKSSDKESDKVLVHLGTGKTKNADNGSQSGSFHHVERDGCGSQSGEEGSSGTFGAYKNSHPITAPSPLTGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYRGKLINGTPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGIHRMLVYEYVGNGNLEQWLHGAMRHHGYLTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPPQEVNLVEWLKMMVGSRRSEEVVDPNIESRPSTRALKRSLLTALRCVDPDSDKRPRMSQVVRMLESEEYPIPREDRRHRRTQAGSTEIESQRENYDTDKSDNPEPRSESKRNHRT >CDO97483 pep chromosome:AUK_PRJEB4211_v1:2:19396277:19397955:1 gene:GSCOC_T00014837001 transcript:CDO97483 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPVSPFAIISPFPAPAFTTSNTNLSHSCHPFYHRFKPINASSQISLHSPLCSQSPSTSSSSSLPTKTGVIVIGAGLAGLAAATRLQADNIPFLLLEASDAVGGRVRSDLVDGFILDRGFQIFITAYPEAQKLLDYSPLNLQKFYAGAQVYYDGRFHIVADPIRHFSDALSSLANPIGTIADKLLIAFTRVRVLTQPDDEILSAPEVSTVDFLREIGFSDSILDRFFRPFFGGIFFDTELKTTSRLFDFIFKCLALGENTIPACGISAIPEQLAAKLPSNSVFFNSRASSISVIQADSDDFTVRLENGEEVKSELGVIVAVEEFEAVKILAGNLDAPVQVKIPIRSTVCLYFSADQDKVPVKDPVLFLNGSGKGVVNNMFFATNVAPSYGPPGKGLVSVSLIGSFDNVGEEELVEGVVKELSGWFGEGLVGSWKYLRMYRVGYAQPNQCPPTDLKKNPRVKSGLYICGDYVASATFDGALVSGRRAAEALIRERTLSKV >CDP18226 pep chromosome:AUK_PRJEB4211_v1:2:48334851:48339425:1 gene:GSCOC_T00011785001 transcript:CDP18226 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHDLELEKVKLISLAVEFGFDEYSAKKCLDRLIELYGEEGRDFIRVEYCGDDFLAALAESMRDTEDWENDDFKAMESEACGALAEMLDKDIKIRVERNEERKDNGGVISENSVQTFSLKECISSVTPSSVSSSGRFSDKTNNEPRTLTYEELLHLDDIELANVVVFGNRSFRPLQYKACKAFREQNDCFILMPTGGGKSLCYQLPAILQPGVTIVISPLLSLIQDQIFTLNLKFAIPSTFLNSQQTPSQSAALIDNLCSDFRPSCKLLYVTPERIAGNLPFQDILKCLYRKGQLAGFVVDEAHCVSQWGHDFRPDYRVLGCLKRNFPSVPLMALTATATEKVREDILNALAIPRALILEMSFDRPNLKYEVLEKSKEPLKQLGRLLLDRFKNLCGIVYCLSKSECAEVSKYLNEKCNVKTEYYHAGLAARQRIAVQKKWHAGEVHVVCATIAFGMGIDKPDVRFVVHNTMSKSIESYYQESGRAGRDNFPATCIALYQKKDFSRVVCMLRNGQGRKIESFKLAMDQARKMQHYCELKTECRRQYLLAHFGESFDPNGCKNGSSPCDNCLRASS >CDO96958 pep chromosome:AUK_PRJEB4211_v1:2:15323672:15329109:-1 gene:GSCOC_T00014155001 transcript:CDO96958 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPHDDGSQGVKEESMASQTATRPISSLLLIIAMQTEALPLVNKFQLTEDADSGFPKGVPWLRYQGNYKDLKINIVCPGKDTALGVDSVGTVSASLVTYGSILALQPDLIINAGTAGGFKAKGASIGDVFVASDVAFHDRRIPIPVFDLYGVGLRQAFQTPNLLKELNLKVGKLSTGDSLDMSPQDEASISANDATIKDMEGAAIAYVADLLKVPAIFIKAVTDITDGDKPTAEEFLQNLAAVTAALDLAVTQIVDYINGKRLSEL >CDP15245 pep chromosome:AUK_PRJEB4211_v1:2:48795718:48801738:-1 gene:GSCOC_T00042891001 transcript:CDP15245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 member 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26820) UniProtKB/Swiss-Prot;Acc:Q8W4E7] MGVVVIANPQPHFSCSTFSFSIRQAPHPKSSCLRIRPGFSSRRWLNCPRLIPSRLEHFHPKCSATETDVFNQLATEEEISEDLTASESNCSVQIVHLKSDVLETEALNLLAEGTFVDMLLTALPVLSEEEQNIIAATPAHPAGLYALYANCLAGNVVEQLWSFAWPASIALLHPSLLPVAMIGFFSKLAVIVGGPLVGKLMDHFPRIPAYNYLTIAQAAAQLLSIGMIIFAHTICPTSTSSILLRPWFVILVTAGAAERLSGLALGVAMERDWVVLLAGTNRPIALAQANAIISRIDLLCEIAGASVFGILLSKYPLVACLKLAAGLIISALPITVFLTWLTNKLSAGVLERPKPPSCGRNSSIESLTDDENIVYKSLQAIKHGLVEYLKQPVLPASLAYVLLCFNGVLAPSELMTAFLTQQGLNPSIIGGFSGLCAFMGVAATFVSASLVKRLGILKAGAAGLIFQACLLTIAIAAYWTGSISQQTPLLFFLFMIILSRLGHMSYDIVGQQILQTGIPESKANLIGTTEVSVASLAESVMLGVAIIANDASHFGFLATLSFLSVVGAAWLFCKWLVNPTDTQRSLLSYDLKF >CDP08625 pep chromosome:AUK_PRJEB4211_v1:2:54373199:54380810:1 gene:GSCOC_T00027645001 transcript:CDP08625 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLILPLFLATLIQTADLISISDLHQVVVAEAVDVNDSRVAGLTCSVVLMLLLGLYLATRPRPIYLVDFACYKPEDQRKMSVDSFLKMTEDNGAFGDDSLQFQRRVSVRSGLGDDTYLPRGITSSPPNLCMEEARAEAEAVMFGALDSLFAKTGVRPQDIGVLIVNCSLFNPTPSLSSMIVNHYRLRANIKSYNLGGMGCSAGLISIDLAKHLLLKGNPNTYALVVSTENITLNWYFGNDRSMLLCNCIFRMGGAAVLLSNRSMDRSRSKYQLVHTVRTHKGADDNSYNCVYQREDEKGTVGVSLARELMVVAGDALKTNITTLGPLVLPLSEQLMFFLTLVKRTVLRARRVKPYIPDFKLAFEHFCIHAGGRAVLDELQKNLNLSDWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRVSKGHRVWQIAFGSGFKCNSAVWRALRPISSVTAAGDDDDCKANPWADCIHRYPVKILGRNRQGPVERRQNNVGVGGQVAVLAAFQSKVQQQQKLKPPSSSSPGVRLKGPSSNEKQGNQQDKAAKQEAKKGGGEDRRQLSGSDVLWALQRATAEKAKKHQQQRQKRCNANQEEEDEMRRAVEVDDDRSNTNAGPRWLCIQSDWGFRLEALEKRLEELLLADV >CDO97243 pep chromosome:AUK_PRJEB4211_v1:2:17550591:17551452:1 gene:GSCOC_T00014515001 transcript:CDO97243 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFEFLPHDPNSPGFTRDSPPKLVDLADVEIGKEYELVITTYAGLYRYRVGDILRVTGFHNSAPQFRFVRRKNVLLSIDSDKTDEAELQKAVENASQLLREYNTSVVEYTSFADAKTIPGHYVIYWELLVKDAAHSPSDEALNGCCLAMEESLNSVYRQGRVADNSIGPLEIRVVKSGTFEELMDYAISRGASINQYKVPRCVSFTPIVELLDSRVVSVHFSPSLPRWTPERRR >CDO97086 pep chromosome:AUK_PRJEB4211_v1:2:16416934:16430947:1 gene:GSCOC_T00014321001 transcript:CDO97086 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFTEYKLSSQLRGHEDDARGICICDNVGIATSSRDRTIRFWTLDQSNNRDYALSKILLGHTSFVGPLAWIPPSDEFPEGGLVSGGMDTLVFVWNLATGEKEHVLKGHQLQVTGVFLDGSDIVSTSVDCTLRRWKKGQLVEVWEAHKAAVQAVIKLPSGELVTGSSDMTLKLWKGRSCVHTYVGHTDTVRGLAFMPALGIISASHDGSIRLWALSGQLLMEMVGHTSIVYSVDAHVSGLIVSGSEDCFAKIWKDGACVQSIEHPGCVWDAKFLENGDIVTACSDGVVRIWTVHQDKIADLLELESYSSQVSQYKCSRKRVGGLKLEDLPGLDALQTPGTSDGQTKVVREGDNGVAYTWNMREYKWDKIGEVIDGPDDSLTRPVLDGIHYDYVFDVDIGDGEPIRKLPYNRSDNPYAAADKWLLKENLPLSYRQQIVEFILQNTGQKDFSLDPSFHDPFTGSSAYIPGQPSKSEASVEKPTFKHIPKKGMLVFDVAPFDGILKKIAEFNSTLLSDMKNKHLSVPDAEMSRIGDIVKILKDTSRYHSSKFSDGDVDLVLKLLNSWPVEMIFPVVDLLRMVILHPDGATVLLKNTSDGNDVFVELAKKVTSSPLPPNLLTSIRAVANLFKNSMYHDWLQKKRAEILDAFSSSYSSSNKNVQVAYSTLMLNYAILLIEKKDEEGQAQVLSAALEIAEDESLDTDSRFRALVAIGSLMLEGLVRKIAVDFDVESIAKAAKASKATKIAEVGADIELIIKQS >CDP06790 pep chromosome:AUK_PRJEB4211_v1:2:35966952:35969767:1 gene:GSCOC_T00023762001 transcript:CDP06790 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKSSRVKVPSLLTVVSSKPTAPGRIHKFTALDHAMGFHTGHIVFYYRRNPIRDGASMENDLDNLRISLSELLCLYPPVTGRLGRGADGNWEVKCNDAGVRMLKANVCATLDEWLSYANAAEERDLTVWEDLPDDPHIWSPFRIQINDFNCGGLAVAISFTHMHADFTSATLLIKSWAEIHRHEPVARPPIFNLPSIRQCLSEVHESCPDASPFDVLAALFWSKITRLKSPACDDDNKCSLSMCIDLRKRERDSIPYGYFGNALHFSKLSLFGCQLTCLSMENTISSEGSFTYAAMFKQNEKPVHVSYQIGNVGGEGLILVMPSPEEGLARIVMVTLPEEQIVKLCEDQTILGLQPSMLLNGKQ >CDP08685 pep chromosome:AUK_PRJEB4211_v1:2:53839196:53843150:-1 gene:GSCOC_T00027739001 transcript:CDP08685 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRIRRTKSISCCVSPRSSYLNPHSSFSWYEEDAWTEIAKFLDGKSLLMLALTCRWFYRILMHESIWKYACLRDLQVPDPRQVGFKWTKLYATAFDGSHSYTFRQQEKHIDWMRVGSFLFDSPTALLTENISALPKSTKGETLEKMLQLNGCVVLNDIKTGIWLADLQLVRCPVCDLNTCDGTMQILDARHIELFLCDGYLEGNWDYELLGSHENKKHAAAATGGIFDVKHLNDQSTAEVFNLKSWVAKANDWQPKAIITYHAVAVNTNLQTNEGLHVKYHAMRAGKGGKVIAIRISKQLL >CDO96796 pep chromosome:AUK_PRJEB4211_v1:2:13168543:13175503:1 gene:GSCOC_T00013930001 transcript:CDO96796 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTIISAERPRDPIEGSSRSTGENHQQQPQTTTTLSRYESQKRRDWNTFGQYLKNQRPPVSLSQCNCNHVLEFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPETNPFGNGAIRVYLREVKECQAKARGIPYKKKKKKKNPIKLHEDHHQLKHFKQST >CDO97297 pep chromosome:AUK_PRJEB4211_v1:2:17958494:17958586:-1 gene:GSCOC_T00014595001 transcript:CDO97297 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNRGRIMDGLDSEFYIDTRPSMSDAEDA >CDO99804 pep chromosome:AUK_PRJEB4211_v1:2:8758088:8763219:-1 gene:GSCOC_T00029497001 transcript:CDO99804 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLWTEAQSSNPLISVDEANKGHSSWEVKPTPSQGPSWGAAAAARQTSGDGGQVANWGNKEDSSKKGAFSTSFVGNASDSWGKALESNLSIKDDSSGSKSAWNASGVAPEKPTMGWGNANGGSDQPDANAWNKSKQGGESSWSKPDGGSSSWSKPDGGFSSWSKPDGGSSSLSKQGGESSWSKPGGGSSSWSKPDGGSSSRSKQGGESSWSNPDGGSNSWSRPGGGSSSWSKPDRGSTWSKQGHGSSWSKPDGGSASWSKEVGSTENKGDTDQGGSWGRSESFGGARGSGGRSGRGGRGGRGQFGRGRSFGQGETSNWAKGNTDEHGFSGDASKGNHSSWNSGRADSWDKNVSTAGDKESGWGKPNASGDNGESKWRGATKDTGEWGGKSHTGWNGGRANDQNESSDWQKKDKSWYQKSDDSKMDVEAGKDDPWNSKKTSEGGSTSGWGQSKSGGATDAGGGSSSGWGQSKWGGAGDAGGGSSSGWGQSKWSGETEAGGKQNSWSSKSNWNSDNNFGSNEGQNDTFDNQGRGGNWRGGRGGRWGQDRDGFGRRGSERGDFEGRSDRGGFGGRGRSGRGGFGGRGRSDRGDFGDFGGRGGSDRGGFRGRGGFGGRGRGRRDDWNKNDSDQDKSYRYNMSNKDVDWKSSSGGGNWNDGDRDKGQWQSAYSGWVAAGGGDSAQAGGWNEGNDNSGGNSAQAGGWNKGSNSAGGIGAQSSGWNHGAKDVASGDKPNSGKNGSCSNAGGWVSNNSGGNWQAGDTNQWQGAGSDGNKSKEPAESGDIEQAGGCTGGLDLSKDVSGSDTNSGCWNSQNNNWKTSNSSGGATSSSWNQPAMGKGEGAGTADAWGKAPASSWGQAKDGNDKGGW >CDP18371 pep chromosome:AUK_PRJEB4211_v1:2:43038499:43038760:-1 gene:GSCOC_T00012950001 transcript:CDP18371 gene_biotype:protein_coding transcript_biotype:protein_coding MHIHEMLSEGGLYCLRVPEELICVEMA >CDO99820 pep chromosome:AUK_PRJEB4211_v1:2:8629199:8629933:-1 gene:GSCOC_T00029515001 transcript:CDO99820 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKAPAAEKAPAEKKPKAGKKLPKEGGAAAGDKKKKRVKKSSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CDP13963 pep chromosome:AUK_PRJEB4211_v1:2:4634819:4637700:1 gene:GSCOC_T00039115001 transcript:CDP13963 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFVDNPQPFSRFLIQGTELHDISDRKKERWKIHFYAHFLLLFLLLLICSFQPASSQAWDGIIITQADYEALQAFKHELVDPKGFLRSWNDSGIGACSGGWAGIKCARGLVIVIQLPWKGLGGRVTEKIGQLQALRKLSLHDNAIGGAIPSSLGLLPNLRGVQLFNNRFSGSIPPTLGLSPLLQSLDFSNNSLSGTIPATLANSTRLFRLNFSYNSLSGSIPASLTQSQSLIFLALEHNNLSGSIPDSWGGNVKALYQLQSLTLGHNSFSGTIPASLGNLSELQEISLSHNHMAGLIPNEIGRLSRLRTLDFSYNALNGSLPAALSNLSNLVVLNLESNHLDHQIPAAVNKLQKLSVLNLRNNQFAGPIPATVGNISFLTQVDLSQNKFSGEIPASIGDLPNLSSFNVSYNNLSGPVPTKLAQKFNASAFVGNLELCGYSALTQCPIPPSPGPSTPPETPAKKHHRLSTKDIILIAAGALLIVLLVLCCILICLLIGRKAATKSKDGQVAGRAAAAGTRAGEKGAPPTAGEVEAAGEAGGKLVHFDGPMVFTADDLLCATAEIMGKSTYGTVYKATLEDGSVAAVKRLREKITKGQREFETEVNILGKIRHPNLLAMRAYYLGPKGEKLLVFDYMPKGSLATFLHARGPDTPIDWLARMRIAKGMTRGLLYLHTQANIIHGNLTSSNVLLDENANAKISDYGLSRLMTPAANANVIATAGALGYRAPELSKLKKANAKTDVYSLGVIMLELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMNDASTIGDELLNTLKLALHCVDPSPSARPEVQQVLQQLEEIRPETAAASPGDDAGAAPSSAND >CDP18224 pep chromosome:AUK_PRJEB4211_v1:2:48321410:48330508:1 gene:GSCOC_T00011783001 transcript:CDP18224 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDERVEDLQNRDETQKAWSISAHAFSDLSHVSPLMFLYLLKESYTCGELKASAKFRRLKDQVLQVLHNGPKPGPAIFVAQCLYILPLFDTYRNGFSHLIISALHHFLKSGTTQEDKLEAKLLAAKLFLLRVQYSLVHDEKVLVKILEVFDLSLTDIEIGLQDLDAKGDVSPDTAKVLIEQYVSKLIESESFMTAISLLERFSIQWSGEPFLLQMIQCNKFRAAERWATFMGKPMLQVLVQEYVKQKQLKPAYDVIKENNLRQEFPEVMSSNCSLLKKLAEHGCWDIAEAKAKGKRQYLEYVVYLAMEAGYVEKVEELCTKYSLEGFENVKKTEANLRKSCYLHLDDLCIEDVVWVDEVDGLRDATFHLEEFKVVGLDSEWKPNYEKGSAPNKVSIMQIASETKVYILDLIKLYDSDPDSLNQCLSRILHSSRILKLGYNFQCDIKQLAYSYQDLQCFEHYEMLLDIQNVFKEHRGGLSGLAEKVLGRGLNKTRRNSNWEKRPLSIYQLEYAALDAAVLLHIFRHVRDHVQPAAVANEHSKIEWKSYIVSHKDKVGRIKKRS >CDO97211 pep chromosome:AUK_PRJEB4211_v1:2:17331340:17337093:-1 gene:GSCOC_T00014479001 transcript:CDO97211 gene_biotype:protein_coding transcript_biotype:protein_coding MARPIKFTLSVLLACAAVHTILPPITASNSAYPSSYGLETTTDDLIPVRREVYGDGRIFDISHRYHPDMPNWGSSDGIGQFLWLPYSMKNNSEANNSQMKLPTHTGTHVDAPGHVFDHYFDAGFDVDTLDLEVLNVDFSGKQKKMKALIVLLVCTELLSSALSSADDAYPTGYGDEGACLNNIGNLRPERREVYEGGEIIDITHPFTPETPVGTPDGIGEYLTLLLSMKNGSDYNFSELKIPVHSGTHVDAPGHMYDHYYDAGFDIDSLDLRVLNGPALLIDVPRDKNLTAEVMKSLNIPRGVKRVLFRTLNTDRRLMLKKEFDTSYVGFMKDGAQWLVDNTDIKLVGIDYLSVAAFVDLIPSHLVFLESREIILVEGLKLDGVQPGIYTVHCLPLRLLGAEGSPIRCILIK >CDO99846 pep chromosome:AUK_PRJEB4211_v1:2:8356396:8359103:-1 gene:GSCOC_T00029542001 transcript:CDO99846 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKPHVLIFPFPVQGHINCMLKLAELLCCRGLHVTFLNSHHIHERLLCCTDIHYHFSQYPSFHFETISDGLPADHPRTGDHILTGLQFHSPNGRPALTCIIADGIMSFTVDVAKELHIPTILFRTVSASCFWAFLCVPKLLEAGELPFNGENDMDKLLSSVPGLERFRMRDLPSFCRTSNLADPGFQVVISETLQNLKADGLLLNTFEDLEESALSHIRTHIPKLYTVGPLHAHLKAREASSPALQQPSSSSLWKEDRSCMAWLDRKPRNSVIYVSFGSMTVLTRNQLFEFWHGLVNSGKPFLWEVLAHPAVGGFLTHSGWNSTLESIYEGVPMICWPFYADQQVNSRLVGEVWKVGLDMKDTCERATVEKMIKDLMDVKRDEFMLCAKEKAKLARRSVEQAGSSHCNLDRLIDDINMMNRAK >CDP14016 pep chromosome:AUK_PRJEB4211_v1:2:5123817:5131085:1 gene:GSCOC_T00039181001 transcript:CDP14016 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDIEAVVEFLRKNGFSESESALMEDICDKSQLGSSDFQRFLFPMVPPLPPVKIPAPAARWLPTVPGGGDADDGGGHQLSSPSDDDDDEEFVSLASSTTELCSSDFTNPYGIHTTTRPSSQASSDGMSQFGTARDYHEFDMQNDLYWYKEKDEDYAMPPLFGISDFDADPSEDKFVMTVETGKHRENELRLNHISEGFQSESSSHYYDRQWPINSKESGENEVIKDYYDLERNIQLEENFKKGEEGCMGYQCSGTLCACCGGAGANHIEDPADFGILNLSPSQEASIGCDANCSVKNNTNYSVKSSSMNGWVGELKSSDDFQTHIIEEDYHLGEIESRNHEMDGKICDPDPSADEEIGAPSDELLMYETKEDDYEVFSLRIIHRKNRTGFEENKDFPIVLNSIVAGRYYITEYLGSAAFSKVAQAHDLHTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKHDPGDEHHILRLYDYFYHQEHLFIVCELLRANLYEFQKFNRESGGEPYFTLSRLQGIARQCLVALEYLHGLGVIHCDLKPENILIKSYRRCEIKVIDLGSSCFQTDNLSLYVQSRSYRAPEVILGLSYDQKIDLWSLGCILAELYSGEVLFPNEALALLLARIITVLGPIDIDMLQRGQETHKYFTKEYDLYYINEETNQLEYIIAEESSSLEHHLQISDPLFLNFISKLLEINPERRPTAREALGHPWLSQDLSS >CDO96887 pep chromosome:AUK_PRJEB4211_v1:2:14407044:14419291:1 gene:GSCOC_T00014058001 transcript:CDO96887 gene_biotype:protein_coding transcript_biotype:protein_coding MGATYWKFNADNCSIEMVGITPEAPRGSENDVECECDSSNNTVCHVTKLILKRYNLPGVLPSELVNLPYIRNIDFAYNYLHGTIPSEWSSTQLTSISVIANRLSGEIPKELGNVTTLTSLNLEANNFSGAVPSELGKLTNLQTLFLSSNGFTGKLPTSFSRLMNLTDFRINDNNFTGPIPEFLQNWQKLARLEMHATGLQGPIPANISLLNNLSELRISDIAGPTQGFPLISNLTGIVRLVFRNCNISGEIPVYIWRMRNLEMLDVSFNNLTGEIPNNIDPRISLKFLFLTGNRLSGNVPDSILKDGSNIDLSYNNFTWQDPERATCRPNMNLYINLFRSSSTTNTLRNILPCARDVTCPTYRCSLHVNCGGNDFNANEGKRKVLYEGDAGVDGGSARYFSSSNYWGFSSTGDFMDDDNYQNTRFIATVQSTNLSEMYSTARLSPLSLTYFHYCLENGVYTVHLHLAEILFTNDNTYSSLGRRIFDIYIQDKLVQKDFNIEDEALGAQKPLIKQFNATVKNNILEIRFYWAGKGTTRIPNRGVYGPLVSAISVNPNFKSCSDGNKKNLTVYVSVGVVVAVLVIVSTLGILWWKGYLNGWKRKEKDFDGLELQMICFTLKQLKVATKNFDTMNKIGEGGFGPVYKGLLPDGTVIAVKKLSSKSKQGNREFLNEIGMISCLQHPNLVKLHGCCIEGVQLMLVYEYMENNNLARALFESDYSQILLDWPTRFNIAVGIAKGLSFLHEESSVKIVHRDIKATNVLLDRDLNPKISDFGLARLNEDEKTHISTRIAGTIGYMAPEYALWGYLTYKADVYSYGVVALEIVSGKNNNNYMPSNNFMCLLDWACHLQHSKKYEELLDRRLGSQVNEEEVDRLVKVALLCTNASASLRPTMSEVVSMLEGQMTIPDAIPETSPYTEDLRFKAMRDFHREKQTQSLTESQTQNSTTIQTDLGSSSTSNAELFEINPDKL >CDP09475 pep chromosome:AUK_PRJEB4211_v1:2:21841463:21842825:1 gene:GSCOC_T00028854001 transcript:CDP09475 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNCFLSAFFVIMSFLSSFCSCRSAREWYICIFYKTHLTTNLLFKSFIFILIHTGGACGFGNENDIAQPPFSSKIAAGNRALFKQGKGCGNCYAVLCSANVYRACSGSPVKITITDECPNACNNDPVHFDFSSTAFGYLAKPGQADVLRKLGRINVHYQRVPCNYANQKIAFKVDPGSNRYYFSAAIEYENGDGDVASVEIQPAGSRRWFPMQQVFGATYEYNIPKGTNGPFSIRLTQVESRRRVVAKQAIPADWEPGSRYISSVNF >CDP17200 pep chromosome:AUK_PRJEB4211_v1:2:47680928:47682497:-1 gene:GSCOC_T00000669001 transcript:CDP17200 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKVSGRLLHFC >CDP18664 pep chromosome:AUK_PRJEB4211_v1:2:34412497:34422404:-1 gene:GSCOC_T00006393001 transcript:CDP18664 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGMGSSSWIAGTFNLLSSTPYSAESSKSPNKSQCSSSARRRPAYCKFSLPANDLKFVLHDALQSSGLDTTHARAARNGFCQQIQKLTDVERETSISINRVVDLGKTALHVAAEDDSLISHSSVPLPVDDFIDRLDDLSMGYCSHYGSSFRYPPEKFLECLDRYLFVDKGFQRSKHSQVEQRSLYLHSVLTHRSGSASMLSLIYSEVLKMLRLWGMVNFDVEVFFPHDSHSSPRGYHKQKSREGDQLHIMTSQSLLVEILKDLKNAFWPFQHDPAKSLFFRAAQAANCDTSNSVEESALKIASAKAAQHRLLRGVWTSVQFGDMRRALAACERLILLETDPIELRDYGVLLYHCGFYEMALQYLKLFQDIQKSSKQEKSYHPSRDLEEEALEKLIIRLKLIKMEEDWSRPSERTSFLSNNSEPW >CDO96834 pep chromosome:AUK_PRJEB4211_v1:2:13671135:13674316:-1 gene:GSCOC_T00013983001 transcript:CDO96834 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLRLEVLSYSFKCIFQILLCNNFYGELPHELIRLSRLRVLHLGINRLSGNIPPWVGSLQDLRYFSLRNNSFTGYIPHSISNMSKLETLNLHPELNQLSGSLPLEIFNISALEIIAFQGNSLSGSLLSSICARLQGLSWLDLSRNESSGMIPASLSKCSKLRVLGLSYNNSSGVMPEGVGNLTALQQLYLGHHDLDGSIPQEFGNLKYLEVLNMDFNSLTRSIPAQIFNISILQIMGLEGNKLSRRLPPSMGLSLTSNQLSGSIPNSLCELKSLYKLYLKANQLRGSIPSCLSRDGNGAGLERGTPPPSPAPLPISSPRPPPPAPPRPAPPPPRARPRPPALATRGTVVRFSCRRCGLVPMPYPTQGNGRHGYGGLPVALGTKPPGSCAFFLPSVWHRAHVLANAKQRP >CDP09469 pep chromosome:AUK_PRJEB4211_v1:2:21897400:21907016:-1 gene:GSCOC_T00028847001 transcript:CDP09469 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGFSASSLFSSSSSSPLFSSSAPSPLFSFSTNPTASSTPTTAFSSSPFSFTNPSSTSTTTSSPAPYTFPFGFGNSTVAPGSGFPSSTSVTSSPAPSTSPLGLGGNSASSAPSTTPFGFGNSSAASSSGFTSGLFGSTPSASSSAQSQSQASLFGSGSSQASVFGSSPLSSGSSAFGSFATQPGSGLLGAASTSSPAFSSTTFGASSSPFGSSSSSPVASVAPTASSSASSAPSFVFPAATSASIFASSASGFSSPATAATGLASSASAFTFPATSAVSSTSPATGFSFPATSAAGFSFPAGVSFRTASSSPAAAVSTPFQSSSASAFSFPKGTGSSATPSLTSSSAAASAAVSSSGGFSFGTSGFQPSASTSASIFSTPASKSGFGTASTTTLFSTVTTTTSASMTAATTTTAAASTSLTGSGLTFPVLSPAASSAATTAFTSGSAAASSSGGFTGFSTSTSIGSGTTSGSFSLSTKTPATGTSSQQHTISTAAATSFGLPASTSTASATTSTSSAPQASALVVASSSGTTSTATAGLAAKPKLPSEITGKSVEEIIKEWNTELQERTRKFQKQAIAIAEWDKRILQNRDILLRLETEVAKVVETQANLERHLELIETHQEEVDKSLLSMEEEAERIYKDERALLLDDEAASTRDAMYEQAEFIERELEQMTEQIKGIITTLNRSQGGELEATDGMSPLDVVVRILNNQLSSLMWIDEKAEEFSSRIQKIASQGPAVDRQMMGPKHWLT >CDO97239 pep chromosome:AUK_PRJEB4211_v1:2:17517425:17520856:-1 gene:GSCOC_T00014509001 transcript:CDO97239 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEADQKELKEAGAELLPDGRVGLRIHGWEIESSKASILKSLQRQEWEEKLGTCHLPEMIFGHSSLVLKHLESGLRIHFNAFDALLGWKQEALPPVEVPAAAKWKFRSKPSQQVILDYDYTFTTPYSGSETIETNSEQRGASEESNGNLYWEDCEEQLDLVALASKEPILFYDEVILYEDELADNGVSLLTVKVRVMPSSWFLLLRFWLRVDGVLMRLRDTRIHCMFGESMKPNVLRESCWREATFNSLSSEGYSVDSAAYSDPSLISQRLPIIMHKCQKLKVPDVL >CDO96880 pep chromosome:AUK_PRJEB4211_v1:2:14277549:14280032:-1 gene:GSCOC_T00014048001 transcript:CDO96880 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASGANGNHGVALDVKDEDLSHKDVKNAESKTISDTSGFLFTVPFMQKLVAEIAGTYYLIFAGVGSVVINAARDNIITFPGTSVVWGLAAMVVVYSVGHISGAHLNPAITIAFATCKRFPWKQVPAYISAQVLGSTLASGTLRLLFQDHFAGPLPHGSDVQSLALEFIITFYLMFVICGVATDNRAIGELAGLAVGATVIVNVMIAGPISGGSMSPAGRLGPAIVSSNYKSLWVYILGPTAGAISGAWVYNIIRFTDKPSREL >CDO97206 pep chromosome:AUK_PRJEB4211_v1:2:17280880:17284284:1 gene:GSCOC_T00014474001 transcript:CDO97206 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKCFLGFFLAFLVVSSALDMSIIDYDKKHKSSDDDEVKALYESWLVKHGKNYNALGEKEKRFEIFKDNLRFIKEHNAQDRPYKLGLNRFADLTNDEYRSMFVGGRMDRSTRLMNRRSNDRYALRAGDSLPESVDWRAKGAVAPVKDQGQCGSCWAFSTIGAVEGINQIVTGELVTLSEQELVDCDTSYNQGCNGGVMDYAFEFIIKNGGIDSEEDYPYHARGGTCDQYRKNARVVSIDGYEDVPGNDEKSLKKAVSHQPVSVAIEAGGRAFQLYHSGVFTGQCGTQLDHGVVAVGYGTENGVDYWTVRNSWGPSWGENGYIRLERNVANVTTGKCGIAIEPSYPVKTGANPPNPGPSPPSPVKPPSVCDDYYTCPEASTCCCVYEYGNYCFGWGCCPLESATCCDDRSSCCPHDYPICDLDEGTCLMSKDNPLKVAALKRGPARLIGRGARASSKVSSS >CDP05128 pep chromosome:AUK_PRJEB4211_v1:2:1829896:1833451:1 gene:GSCOC_T00020070001 transcript:CDP05128 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRNALRLSHRTGPHNFEETCSKIVSYCNKQLLKEGICVHSPSIKAGFQDNLWISNNLLALYSKCHGVDHARHFFEEMPYKDVVSWSGLLSAYVKHGCYEEALELYDLMKFSGECPNEYTLSIVIRACSALRDRNQGTTVQACAIKSGFDSNPVLTSSLIDLYSKCDNKEAAHKIFMNMRNGDTVSWTTMISSFIQEGSWITALRLFICMIKREIQPNEYTYAKILSACGGLTYLNSGRLIHARMLVLGIRLNLVLKTALTDMYARCKRMEDAIKVSKQTLEQDTVLCTTLLSGFCHNLEIKEAVDAIQQMVAHGITPNSYTYAVILNGCSLALTLQLGIQFHSRVIEIGLENNVSVGNALIDMYLKCSGGIIDAFKVFEQIRSPNIISWTSLISGFVEHGLGYEAFQAFEKMQFAGIMPNSFTFSSILQACGMMKSANHTRRFHGYIIKMNIGHDIVVANALVGAYAGLHMVDDAWRIIGEMHHRDVITYTSVASKLNQLGLFEMALEVISYMQEDNIEMDGFTLSTVLSASASLGAIPQGKQLHCYAIKSGFSGWTSVSNGLIAFYGKCGCMHDVKRAFDEACQPDVVSWNSLIFSLAVSGHVSSALSTFEDMKLAGVRPDSTTILAVLSACRRCGLVDMALEYFHSTREANDAVPELEHYVCLVDLLGRAGRMQEAMAIIETMPFRPDALIYKTMLNACKIHSDVPLGEEMASRGLELEPCNPAFYLLLADMYENSGKSDLREKTCGMMKERGIIQKDYSNSWMEKSNKVPLLIAGDVPS >CDO97254 pep chromosome:AUK_PRJEB4211_v1:2:17627748:17629623:-1 gene:GSCOC_T00014526001 transcript:CDO97254 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSLVAEAAWKEIESRGSVTDDQLSTLHFLFGKNLEKATRIVDQRGVKRISGEPSGRSIFQVLGESRRKEEYLCFPEHYCACYSFFYDVVNRGEQLCCKHQLAARLAASLGACIDVKIPDEELALLLSKL >CDP07648 pep chromosome:AUK_PRJEB4211_v1:2:24336886:24339172:1 gene:GSCOC_T00024968001 transcript:CDP07648 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYCKWQSPDGDTIDCVDIYHQPAFDHPLLKNHTIFMRPSYIPILDGESKMFNFTTDSQEDSEQVTQPWQLNGECPDGTIPIIRTKKQNFLRASSIKSIPHQFSAHTQITDGAKHEHAVAYVRNEIFYGATATINAWKPQVQSRDERSLAGIWITGGFYGLQANGIQAGWMVSPGLFGDSNARLFTYWTTDSYNSSGCYNLLCSGFVQVSKTLALGAAIHPTSTYHGSQYIITISMWKDQKTDAWWLAFGRHTHIGYWPASLFTTLAATATGIQWGGEVISRNKMGQHTTTQMGSGHFAEEGFRGASYFRDLRVLDSNNVVNPPDELGNAADSPNCYNIKVGHSKTWGDFFYYGGPGKNPKCP >CDP08782 pep chromosome:AUK_PRJEB4211_v1:2:53042880:53044500:-1 gene:GSCOC_T00027877001 transcript:CDP08782 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G00310 protein [Source:Projected from Arabidopsis thaliana (AT4G00310) UniProtKB/TrEMBL;Acc:O23071] MRIRKHAKISPLLYASSSLKPGTVIQTHVCQLNQSPWDVMTFPPPDPSTLPPPPAFLVDKDHSYFPNGLLSDHIAADQRLRFGRVFIGGVFVNYILSPGPKVKRDDIAAGGKFQQKESLRSKKEEEDEVFDRKIDGKGWQCRKKVKNQQPFCQRHLAPQPSASVKKSESGRRARPKKPASSSNPYEFYYYSGFGPRWGKKRGAAAAAAAMKEEPYTSHDSSSENTSSRNNNIIEQVLDYVEDDDEDDYDNYSKLDDDENGEMGRKRARKPIKARSLKSLM >CDP15837 pep chromosome:AUK_PRJEB4211_v1:2:44468320:44470662:-1 gene:GSCOC_T00016713001 transcript:CDP15837 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKDLALKQAMYHITTSFGKGSIMWLGCSAPVKQVPVVSTDYNGPCRGYNQLGSIGYSTEQFFSKLRKPNTA >CDP00056 pep chromosome:AUK_PRJEB4211_v1:2:6546591:6548920:-1 gene:GSCOC_T00029831001 transcript:CDP00056 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDDCKLKFLELKAKRTYRFIIYKIEEKQKQVIVEKLGEPANGYEEFTANLPADECRYAVYDYDFMTEENVPKSRIFFIAWSPDTSKVRSKMIYASSKDRFKRELDGIQVELQATDPTEIGLDVIKSRAGGAA >CDP05032 pep chromosome:AUK_PRJEB4211_v1:2:1014306:1016028:1 gene:GSCOC_T00019942001 transcript:CDP05032 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNSTPLSQKVFSATGRNSLSTRHHRSSLRFSASRRDDDPEQVQPKENVSRFKELRSVACGVLAAWAVTAASPVIGANIRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYANDKSNLKGKSLAAALLSDAKFDGADMTEVVMTKAYAVGASFKGTDFSNAVLDRVNFGKANLQGALFKNTVLSGSTFDEAQLQDAVFDDTIIGYIDLQKLCTNKTISEDGRATLGCR >CDO99664 pep chromosome:AUK_PRJEB4211_v1:2:10093386:10097128:-1 gene:GSCOC_T00029329001 transcript:CDO99664 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSNNPGSEMGQDFRRFRHVYFWCYLCMIYCFCFLGFSTDRISSGHPVKDGDVIVSAGKKFALGFFRSGNPDLRYVGIWFYGIPEQTIVWVANRDKPIHGLGGTLTVGNHGNLAILDANGDSIWSTNVPAASRNSTAILEDSGNLILWTNHRKLSWQSFDNPTDTFLPDMQFYFNVSAGENRFFTSWRSANDPSLGNYSMGIDPRGSPQILIWEGRNRHWRSGYWNGLIFTGIPSMTAIYLYGFKLINEGNGRLHLTYTPSNSSDLIKFQLLWNGTEEQRTWDTGLKEWSLIQLQPVNQCELYNRCGPFGVCDVMNSPICTCLKGYVPKDNDQWNIGNWSGGCIRRTQLQCETKGGRGVGESGEKDGFLEVEDLKLPDFVDYVGSENVQECRNKCLHNCSCIAFSFASGISCMIWSKDLVDIQQFQYGANTVFLRLAHSELGGTRKVTKLLIVATVMVGVLFLGLSIWLLWRRKDKLQEVFKSSKQRERFPETHPIQSGELSRDFSGQDDLSVEGQECSGKELTYFTFSSVAAATNNFSDINKLGQGGFGHVYKGKLPGGQDIAVKRLSRKSGQGLEEFKNEVMLIARLQHRNLVRLLGCCIEGEEKILLYEYMPNKSLDSFLFDPVKQNQLDWRTRFLIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDGEMNPKISDFGMARIFGGNQNEANTNRVVGTYGYMAPEYAMEGLFSVKSDVYSFGILLLEIVSGRRNTSFRSAEHSNIIGYAWDLWDRGRAIDLLDPSIADTCSPNEVFRCIHIGMLCVQDSASHRPTMSAVVLMLESENANLPMPKQPTFTSLRRSLDVDDMWNEIHDVVTSNNVTLSAILGR >CDP19638 pep chromosome:AUK_PRJEB4211_v1:2:5616236:5619282:1 gene:GSCOC_T00009473001 transcript:CDP19638 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCPNLDREDALETVLEVPIPEEIFASTKNRSWQNVKSWMKSHTERSPVSLFGGRNTEIQLLLGVVGAPLVPLPICSDRYSFSKSIKNHPIETSMAKYIVQQYIAAAGGENALNSIHSMYAMGKVKLATTEVVAGEGLSMSCNNTSNGKASKLKSTKGGPGEVGGFVLWQKRPDLWSLELVVSGCKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRSLQGLDPRSTANLFSNSICTGEKTVYDEDCFVLKLEADPSTLRARSSSNVEIIRHSVWGYFSQRTGLLLQLEDSHLLRIKAPGNDVLWETTMESTIQDYRTIDGVNVAHAGRTCVSLLRFGENSESRTRTRMEEVWTIEEVDFNIKGLSIDCFLPPADLKKEDEGCGIVPSSRVSRNAKSPFKVRPNASSRVSAAAKSAAKVAAIDEDDLETIEDEDEEL >CDP05196 pep chromosome:AUK_PRJEB4211_v1:2:2342734:2345109:-1 gene:GSCOC_T00020159001 transcript:CDP05196 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAKGKNAAFRLVIVNGTAYVETYKHSFQTRDIFTQWGILQLLRRHPGRLPDLDLIFTCGDRPNIVQEYYPSANAKAPPPIFSYDGDDATFDIVFPDWSFWGWPEINIKPWEPLSKDLKEANERRKWMDREPLAFWKGNPHVSPKRMDLLRCNLSDEHDWNLRIYAQVIDTAYILNGTPAYEIYGPLASVTSYSLQDWHREQKEGFKNSDLASQCKHRYKIYIEGIGWSVSEKYILACDSFTLIVKQHYYDFFTRSLMPLQHYWPIRDDTMCRSIKFAVDWGNSHQQEAQAIGRAASRFIQEELNMKYVYDYMYHLLAEYGKLLTYKPTVPRQAVELCSESMACPAEGLIKKYMMDSFAAGPSGVPPCTMPPPYDPATLRSVLQRKEISIEQVQKWEKQYWKTQR >CDO97073 pep chromosome:AUK_PRJEB4211_v1:2:16294514:16295479:1 gene:GSCOC_T00014304001 transcript:CDO97073 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQKDISNDNQEINRKRKTNDNNNHHEFQIPRKFSSSDHLVEDDDNDLLTLSLSFRPAAIRPRKHSPIHQQPPLPPPPSMQQHQPFIPQPPPPPSYPLYMPPVPMPQQTQSPHLLPDTSSLPTLRAVVHRQEPSSASAGQSRPARPRRNPSQAPREGKSETVPAPFPWATTRRASVYSLNYLLSKQIFKISGEVQCKRCEKRYEMEYDLREKFIEVGSFIAENKSGMHDRAPGVWMNPVLPACKLCEQDNCVKPIIPEKKKAINWLFLLLGQMLGCCTLEQLKYFCKHTKNHRTGAKDRVLYLTYLGLCKQLDPNGPFDR >CDP15225 pep chromosome:AUK_PRJEB4211_v1:2:49095508:49095651:1 gene:GSCOC_T00042858001 transcript:CDP15225 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKNWWDIYNDKTLDYKRSAITTRAETEAKILEVGLVGYITASSTV >CDP08585 pep chromosome:AUK_PRJEB4211_v1:2:39954230:39955828:-1 gene:GSCOC_T00027578001 transcript:CDP08585 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAFQIIPCTPSYQEVVAREVGQQDGQIALPHELRSSITIGEALEAAVLTAGNKPVDYSDAAAIQAAEVRATGRTNIVPGGVAAAAQSAATRNARVTRDEDKTKLADVLSEACTKLPADKPVTRRDAEGVIGAELRNDPNLTTRPGGVAASLAAAARLNQTMNRNSQPS >CDP00060 pep chromosome:AUK_PRJEB4211_v1:2:6499712:6502345:-1 gene:GSCOC_T00029837001 transcript:CDP00060 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLDMSLDDLINRNRKSGGDHGNFRGRGGGRGRGLGQAHCMSSGPGPTRRTPVFVPYRTGLRMLETGMVEEGGASSGTKLYVSNLHYGVTNDDIEVLFSDVGELKRYAIHCDRTGKSMGTAEVVFARHSDALKAINRYNDLLLDGRPIKIEIIGGDFVAYAVPPSSEGYLGYQSGAFRRYVTCLHVFCIACPHEHVLLFCFGIWQPISLIIYCVVLLVSNSRDGFKQAGRRGQEGQGKKNLAEKVSAEALDADLEKYHEGALLSS >CDP19298 pep chromosome:AUK_PRJEB4211_v1:2:28777414:28782705:1 gene:GSCOC_T00011527001 transcript:CDP19298 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDDSSVKSSKPHYIRPKHVNLFNEPRNFDFSTWVSESSLKLLVFCILTLTVAALFFFLQTSNTTQNSIFCFKTSHQNHPEKFKFPKLDLNSIQPILDKSSPYSSFHSEQWIVVSVSDYPSASLQSLVRIKGWQVLAIGNVKTPKDWILKGAIYLSLEDQANLGFRVVDYLPYDSFVRKTVGYLFAIQHGARKIFDADGRGEVIGGEIGKHFDLEIDGDIAKEQRILQYSHVTANRTAVNPYVHFGQRSVWPRGLPLENVGEVNHEEFYSEVSGGMQYIQQGISNGLPDVDSVFYSTRKAGLETFDIGFDEHAPKVALPRGMMVPVNSFNTLFHYNAFWSLMLPVSVSKMACDILRGYWGQRLLWEIGGYVVVYPPTVHRNDELEAYPFSEEKDLHVNVGRLIRFLISWRSEKHRLFEKILDLSYAMAEEGFWGENDVKYTAAWLQDLLSVGYQQPSIFQIELDGQKGEIVPGDGKEFVPRKLPSVHLGVEESGTVNYEIGNLVRWRKSFGNVVLIMFVSGPVQQTALEWRLLYGRIFKTVVILSNQADADLAIGQGQLDQLYKYLPKIFARFNSTEGFLFLHDNTILNYWHLVQADRSKLWIANQVPTSRTGIDQNLSWFSKQADMVKKVVSTMPAHLQVNYKGSNPSEQSLAFCGSEVFYVPRRFVQDFIDLVDLVGDLDIHHKIAVPVFFMAMDVPQNFDSVLNKMIYKTEASSIDSQDFYSAHVPAVHPWIVSNEPDFLKLIKLMSAGDPLLMELV >CDP13846 pep chromosome:AUK_PRJEB4211_v1:2:33915508:33920843:-1 gene:GSCOC_T00038958001 transcript:CDP13846 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGRGRGRGGFGGGGFRAAKQEKFDLFPEIEELGTAEGVKVDPSFAVWYSKFQRYWNSSPYYVEDESGGAKKSQSTEIEKYSDRKSKKKSSKQPLSHFIRMEPDYVPAELAKGEKKEKHGAKRVRWNPEADMKKLDVFEKLEQKHQGQEISEKEDEEEEDEKLEEEEEEYSDDGDYNQNIDFDDDEDDFNMGDDNDDEPEL >CDP17155 pep chromosome:AUK_PRJEB4211_v1:2:50250335:50253628:1 gene:GSCOC_T00006318001 transcript:CDP17155 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGERSSLLEAFTSTSEKNFLSQVGEMKKLVEEEIGRRNSSDKQDHNCLTTWQRVTCIRVFQG >CDP05048 pep chromosome:AUK_PRJEB4211_v1:2:1137086:1138616:-1 gene:GSCOC_T00019965001 transcript:CDP05048 gene_biotype:protein_coding transcript_biotype:protein_coding MISLDLETENEAASPTSTPKLSLYKLPSKQRQPPPVMFTPPVTTSAASIPFQWEEVPGKLRPDFRPTSSPAAAAVKDKKNSSVARCLDLPPRLLNNKNNTSTAPHSIIHHPPSKQLFPVTNDSSPTTVLDGPYPHGAGGPPSFSRSSAFSLPKTRGSFRSLEDEIFRDVNKYRRHRHDAAIDEAVGKRKVINDKERFASWKWGSYKENNAIANDGSFSFSAGDDHSFGCTSFASTSRGTIKRRSSFSSFSHASSNLIAGIYGSFKQVLPWRRRQGGKKKD >CDO96750 pep chromosome:AUK_PRJEB4211_v1:2:12658801:12662424:1 gene:GSCOC_T00013866001 transcript:CDO96750 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSIQLLTPALAILVLSFLKLSTSARASAGSCYKSIIGFGDSLTDTGNLVQLFPPDNPTHCYRPPYGETFFKHPTGRCCDGRLIIDFIAESFGLPLVPAYLWRDESTDFRQGVNFAVSGATALNNSFFLEMGLQDLSTNVSLGTQLRWFKDILPSLCSSNSSDCSREFLQSSFVLMGEIGGDDYNAALLGGIKPDEVKPYVPGVVREIASAIEELIKLGAVTLVVPGNFPIGCLASYLTYFQSSSQHDYDTDTGCINWLNDLAKYHNRLLQKELNRIRKLLPHATIIYADYYNAAMRLYRSPNKYGFRGEALRACCGTGGPYNCNTSIPCGYLPATPCKDPSLYVTWDGLHLTEAAYQFGSSGHTSRTIFYSSYQYNLSACIH >CDP08631 pep chromosome:AUK_PRJEB4211_v1:2:54311500:54313269:1 gene:GSCOC_T00027660001 transcript:CDP08631 gene_biotype:protein_coding transcript_biotype:protein_coding MDELIVSSPSSSSMVSSLPQESRPITTTAASSSLQLNLQYILQTQSDCWAYAIFWQSSNDQTDGQNDDGSVDCDVTDAEWFYVMSLAQSFFADDGAPGKAFSSGNLVWLTGGQQLQFYNCQRAREAQIHGIETLVCIPTAGGVLELGSNALIQDINWGLVQQAKSLFGPAADMLEGAISFADFGLVSSFLESSDSDCVVVVETTTRSHQVERKGGVGGKKRGRKAGTGRETPLNHVEAERQRREKLNHRFYALRSVVPNVSRMDKASLLSDAVAYIKELKGKVDELESQLKRIEGNKKSVKIEVADSNGNTTDNHSTTTASSSSCSGGGGMSSNVQVEVKMVGTDAMVRVQSDSSGYPTARLMDAIRDLELRVHHASMSHVNDLMLQDVVIRVPPNGAWASEEGLKAALLGRFLGTDDR >CDO99997 pep chromosome:AUK_PRJEB4211_v1:2:7118999:7126989:1 gene:GSCOC_T00029748001 transcript:CDO99997 gene_biotype:protein_coding transcript_biotype:protein_coding MTGADKSSDLLKELERLLDSDPLMDEVGFIHPSQLAELCKEAGSTSRDGLMGSAQTEANTFDLVFWSREHKLGISTTALLPLYKAAKHAFMEAIRQYQTLTDLQRERDDSKDDNASTFTSSSISILETDVMKHSRALLLLSCDFGTAWNCRKLVVSKLELLHTYMDELNLSSLVLSYAPKSERAWNHRRWVIKMIAGKCPNLQEIIERESEFVEKLAERSKMNYRAWNHRCWLVSYMSGEQVLHELNKSRDWAGLHVADNSCFHYRTRLLLKILEGYDDQNSAAPSATNFHELWKKELDWVATLIKCYVGREALWLYRRFLSLTWIKHFTTQVHSNSFGSCPKNIMNVEVFMSNELQLCHYCSSIPDNDFEDYQAQATFSATYIMWITRQVGGSLQVELRKKLEANGLKTLVNNVCPEKSFLWNTFSESI >CDP14024 pep chromosome:AUK_PRJEB4211_v1:2:5173693:5177030:-1 gene:GSCOC_T00039194001 transcript:CDP14024 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTTRGRATKLNVAVGGFYKILHANLPPRTPPWLRSVSIAMVSEKSKLKVTISFPSKESIESCLDQERPSSNPKLDMCFIMGLKLAQRVLFREVKIPHFGNLEEVKDFWLVKAPLIPKLELEEVSVKSPCSSSTTTMKFGGGRLEEKVEEVEKQVIKVENVVKAEIVSEEATTEDLHKAKKYKWWSNESFEAGKRVILNILKEKEAVAENPIYRAALRKEAQKEIGNTGLLDHLLKDIPGKIAPDGTSRFQRCYNADGVIMYWLESADLVDVRKKAGVADPFWIPPPGWKQGACSAGCPCAKEVELLREELSKVKRDLEETQKQLNEVCAGDMRRYCDCNNWVGGFW >CDO99801 pep chromosome:AUK_PRJEB4211_v1:2:8778967:8781086:-1 gene:GSCOC_T00029494001 transcript:CDO99801 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAGNYGGGGGCSNMGGFAVQVITSRWFMIFATIFIMAAAGATYMFGLYSQQIKTTLGYDQSTLNLLSFFKDLGSNVGVLSGLINEVTPPWVVLSMGAVLNFFGYFMIWLAVTKRISKPQVWHMCLYICIGGNSQSFANTGALVTAVKNFPESRGVVLGLLKGFVGLSGAIITQLYHAVYVDDTKSLILMIAWLPTVVSFAFLRTIRIMKVVRIKHELKVFYRVLYISLGLAGFLMVMIILQNRFKFSHSEFSISGVVVIILLFLPLAVVIQEELDTWRKKKAALDGISQLKVITENPSPDQAAATDNQPKSTEEQVELPSSSAVKNTTEQQPTTEIQEVSCWRTAFRPPNRGEDFTILQALFSMDMFILFLATICGVGGTLTAIDNLGQIGASLGYPKRSISTFVSLVSIWNYLGRVVSGFVSEHFLTKYKFPRTLMLTIILVISCTGHLLIAFGVSNGLYVASVIIGFCFGAQWPLLFAIISELFGLKYYSTLYNFGSVASPIGSYLLNVRVAGHLYDREAERQLNALGLKRKHGEDLNCDGVECFKLSFIIITAVTVFGALISLILVSRTRNFYKGDIYKKFREEAKAAETEMALPGNGTVVPSRNKE >CDO97706 pep chromosome:AUK_PRJEB4211_v1:2:21181410:21183858:1 gene:GSCOC_T00015123001 transcript:CDO97706 gene_biotype:protein_coding transcript_biotype:protein_coding MQDARNRLPNTCTKDGSFDRHGKPAIKEKTGGWRSGMILLVNEGLAAVAFTGVEVNMVLFAKSVLRQSNADAANTFSRWMGTLNICALIGAFLSDSYMGRYVTCVVFQTIMVLGLIILTLSTRIFMIEPKGCGRIGEHCDIKSPLETAIFYISIYLVALGSGGMEPALGTFGADQFDEEDTEEKRSKTAFFGYYYVALNLGSLIAETVLVYIENLGMWELAFWISTSGGFFALILLLCGNSRYRHFKPAGNPVSRFFQVFVASTRKMKLEVPSNGKGLHDLQEKDEKDSTRNIVHTDDFKFLDRAAFVTAPDMITILPGKSQAPNPWRLCTVSQVEEVKCVLRLLPIWFCTIVASIVFVQVLSLFVEQGAAMDSVILGFHIPPASMTAFDIISTSTFIICYEKFIVPFYVKLTKRKPKTLSELQRIGIGLVISIVAMIIAGFVELHRLRHAIQKGQETSSLSIFWQTPQYVLVGVAEAFVIVAQWEFFASQTPDRLKSLGLGLSMSSSALGSYLCSIALTVVMNITSRHGKPGWVPANLNDGHLDRFFFLSAALIALDLAMFVVVAKRYKCITLEKREGGAEAGAIP >CDP05244 pep chromosome:AUK_PRJEB4211_v1:2:2686326:2692570:-1 gene:GSCOC_T00020221001 transcript:CDP05244 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNRVAGGLAHSSSSSGIFFPGDGQSQVVGNSNLSSSFGNSSSTIPGSARANLGPVSGDVSNTVLNSVPSSGPSVGASSLVTDANSGLSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSIMDGSSVMQPSSNQDPNVQQLQQNHHHQGASSATSLPTPRVGQVQLPNGSRVPGSLIQDPGYMSQLQKKPRLDIKQEDILQQQVLQQLLHRQDSMHLQNPNPQLQALIQQQRLRQQQQQLLQSMPPIQRAQLLQQQQQQQQQLQLRQQLQQQGATPSSGTKRPYDGGVCSRRLMQYLYHQRQRPADNSIAYWRKFVSEYYSPRAKKRWCLSLYDNVGHHSLGVFPQAAMDAWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPRECRFSSGIMMLEYVKAVQESVYEQLRVVREGQLRIIFAPDLKILSWEFCARRHEELLPRRLVAPQVNQLLQVAQKCQSTISESGGEGVCQQDLQTNSNMVVTAGRQLARSFELQSLNDLGFSKRYVRCLQACISEVVNSMKDLMDFCRENKVGPIEGLKNFPRQGNAAKLQAQKVQEMDQLGGIQSLPTDRSTMNKLMALHPGLNNQMGNTQHMVGRGGLSGSAQAALALTNYQNLLMRQNSMNSSRSSLHQEASSSLSNSNQNPSSTFQGPSGAVPGTLQNPPIGGLSGSHLQQPPLQQRLALLQQNNAVQGSQPLQQHMMQQLMQDNSGIQQPVACQSLGGSVSTGSVTTGNGTSNVMGPAPSRSNSFKVASNSESSAAGASSGFNQKSSELPRSLHLSEEMVPDIPHEFAENGFLGSDLDDNMNFDWKA >CDO97482 pep chromosome:AUK_PRJEB4211_v1:2:19386520:19394652:1 gene:GSCOC_T00014835001 transcript:CDO97482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein ARC5 [Source:Projected from Arabidopsis thaliana (AT3G19720) UniProtKB/Swiss-Prot;Acc:Q84N64] MGFQFNHVGGGTKTRRPITLHMKYNPDCDSPLCHLLSDSDPSIDQQLSLQEIQAYIEAENMRLEKEPCQFSSKEIIIRVEYKYCPNLSIIDTPGLVAPAPGRKNRALQAQARAVESLVRAKMQHKEFIILCLEDCNDWSNATTRRVVMQIDPELSRTVVVATKLDTKIPQFARSSDVEVFLSPPASTLDGFMLGDSPFFTSVPSGRVGLGHESVYRSNDEFKQAISLREMEDVAALEEKLGRSLTKQERSRIGVSSLRLFLEELLQKRYMDSVPLIIPLLEKEHRSSTRKLTDINQELSTLDEVKLKEKGRAFHDLFLTKLSLLLKGTVIAPPDKFGETLQDERVNGGAFVGSDGLQFPHKLIPNAGMRLYGGAQYHRAMAEFRFVVGGTKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGNRLLHILKRLLPISVHLLQKDGEYLSGHEVFLRRVASAFNNFAESTERSCREKCMEDLVSTTRYVTWSLHNKNRAGLRQFLDSFGGSEQSATGGNSVAASLSQELSSLSMASDKQDSKPRPDVKLGHLASGNDSSGTVQATETRLADLLDSTLWNRRLAPSSERIVYALVQQIFHGIREYFLASVELKFNCFLLMPVVDKLPALLREDLESAFQDDLDNVFDITNLRHSLGQQKREVEIELRRIKRLKEKFRQIHEQLISHEVMC >CDP08529 pep chromosome:AUK_PRJEB4211_v1:2:41729123:41742430:1 gene:GSCOC_T00027471001 transcript:CDP08529 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESASAIQRNQVDLLDFVDWSGVECLNQSSTHSLPNALKQGYREDEGLVLESDADEQLLIYIPFNQVVKLHSIIIKGPEEEGPKTVKLFSNREHMGFSNVNDFPPSDTAVLSEDNLKGKPVILKYVKFQNVRSLTVFVEDNQSGSDITKVEKIVLCGTTVETTDMKALKKIEDH >CDO99691 pep chromosome:AUK_PRJEB4211_v1:2:9803257:9810326:1 gene:GSCOC_T00029363001 transcript:CDO99691 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPPKPSLRGNIWVVDASHCQGCSAQFTFINRKHHCRRCGGLFCNSCTQQRMVLRGQGDSPVRICEPCKKLEEAARFEMRHGHKNRAGRGGSKHMAKDEDEVLAQILGNEGKPPMSSKSASMMDMLSSSQHPRSSASCSNIQEISSQDDRGDMDKSLSHDQPPDISSLLADATPEDLRQQAVEEKKKYRTLKAEGKPEEALRAFKRGKELEKQAGALEISIRKNRRKASSFNNSSELLSSKDDSKASSLDDKLPPQRSHAKDDLAAELRELGWSDLDIRDADKKPTTLSLEGELSTLLGGAPQRANTEKRTHGTDKSQVMAHKRKALELKRQGNLAEAKEELKRAKVLEKQIEEQELLGDDEDSDEEFAALMRSVNVDKNDDLSIGYNLDHGFDFGNLGDLGDEHGFDGNLEVTENDMDDPEMVASLQSLGWTEETTHFEESDGGIAPTHSETLLNEIQSLKKEALNQKKAGNNREALGLFRKAKLLEKELESSNSQGPNHADAGNVNERTTFSLNIASKSKTMIQKELLDLKKRALALRREGKLDEAAEELKKGKVLEKQLEEMENVPRVTPMSFSSKQAGDVMIHDDGDEGEVTDQDMNDPSYLSFLKTLGWKDDDTENLPSIFSDIQATSRFQDDVSKRSKSEIQRELLGLKRKSLALRRQGDGEGAEEVLKMAKVLEVQLAEIEAPVDKNILAEPILQRENNLSDPSLKIDSLVTIERPEETISANEKRKVSEVNSAQANVSSTDGNSLQQDILAHKRKALALKREGKLEEAKEELRQAKLLEKQIEEIKSQPSTSSNDMPGSGISYVGKKDSNPSSGAKPLSSRERFKLQQESLNHKRQALKLRREGRTEEAEAEFELAKAIEAQLEESAAQDSMTSSANAAEAAIGLNDVSSGSQGTENHESKKNLADTDNSNDERRELEERIKAEKVKALNFKRSGKQTEALDALRTAKLLEKKLNSLPAK >CDO97644 pep chromosome:AUK_PRJEB4211_v1:2:20634904:20640422:-1 gene:GSCOC_T00015045001 transcript:CDO97644 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQKSRGEKDDVENRLATTASVKDSSVSLLRVISVLIIFVVGVVIGLVSSSHIDSYFRIQQFYTNRAPVFDDRNCTIVINTCETEDCLSMKSFIRPKNLTHGLSDEELFWRASLVPKKEEFPFNRVPKVAFMFLTRGPLPLLPLWERFFKGEDEKKYSIYVHAQPGFQLNVPNSSVFYRRQIPSRRVEWGTVSLVDAEKRLLANALLDFSNERFVLLSESCIPVYNFPAVYGYLTGSMHSFVQSYDDPSRYGRGRYSRRMQPDIRLADWRKGSQWFELNRYLAAIVVAETKYYSIFKKHCRPSCYPDEHYLPTFLHKFYGATNANRTVTYVDWSVIAPHPGTFTAVNVTEGFIRSIRNNGTACSYNLKSTTTVCYLFARKFTPDALEPLLNLSSEVMRY >CDP00074 pep chromosome:AUK_PRJEB4211_v1:2:6383391:6384905:1 gene:GSCOC_T00029860001 transcript:CDP00074 gene_biotype:protein_coding transcript_biotype:protein_coding description:FYD [Source:Projected from Arabidopsis thaliana (AT3G12570) UniProtKB/TrEMBL;Acc:Q9LHA9] MGEDLLTSLSMESNRLSTLLTMDSSSSNHEEAERELNRAVNLLQPPDINVPLSAEPSPPPPVWNDSCDMLDVGIGPQLYEVEANNIATKIGKKCAKRLDSVWGAWFFFSFYFKPVLNEKSKNKVTWESNGLNGYDKSDLKLDVFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVEKGFVRSHRMQRKHYRGLSNPQCVHGIEVVRTPNLNILDDEERKKWMELTGRDLNFSIPPEASDFGSWRHLPNTDFELVRPPALKSNPSSHPKRLLSGSSLNLSTQTSNHSNGEGLELLAICNKRKKNFFPHGNDDDFCLPINSNQDKVLDIHAIEPPWLSEFTGVMRSAYGPVTAAKTIYEDDQGFLIIVSMPFVDLQRVKVTWRNTLSHGIVKISCVSIGCLPMIKRHDRTFKLTDPTPEHCPQGEFVREIPLPTRIPEDAKLEAYGDPTGTMLEILVPKHRVGPEEHEVRVCLRPSPWSERAYVDLTEALV >CDP13823 pep chromosome:AUK_PRJEB4211_v1:2:32749794:32752165:-1 gene:GSCOC_T00038890001 transcript:CDP13823 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLGGMTRLTRSGLSMTNWKFTGRLPPLTNEDWLVEFEKYKQSPEYKRVNKISIDDFKFIYWMEYAHRMWGRALGIVFALPFYDFLCKGYITLQLGFRLSGLFALGARQGLIGWWMVKSGLEEPASKYAEPRVSPYRLAAHLTSAFVIYSGLFWTALSIVMPEPPAKSVSRDKGAAKVKRLAVLGAFLVRITAVSGAFVAGNDAGRAFNTFPKMSDTWVPEDILNMKPLLRNFFENTSTVQLDHRIRTTMTLAAIGGLWCVLLSSNNPRWRALSQLSGQFLRMIAFVTLGVSTLQSYVPVSLGTAHQAGALTLLSLMLLLNHTVRRPSMSLLKTLPPVVKTVT >CDP05390 pep chromosome:AUK_PRJEB4211_v1:2:3832022:3835008:1 gene:GSCOC_T00020411001 transcript:CDP05390 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLNKLGFGTRTPTESSMDSSIAQGPDDDIPAPGQQFAQFGAGCFWGVELAFQRVPGVTKTEVGYSQGYLHNPSYEDVCSGTTNHSEVVRVQYDPKECSFDTLLDVFWARHDPTTLNRQGNDVGTQYRSGIYFYTPEQEKATLESRDQRQKLLNRKIVTEILPAKKFYRAEEYHQQYLEKGGRFGFGQSAAKGCNDPIRCYG >CDP15171 pep chromosome:AUK_PRJEB4211_v1:2:49945951:49953204:1 gene:GSCOC_T00042773001 transcript:CDP15171 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSEFLRMARGKLESAQPKTASPADLSPATENDLVELLWENGQILMQGQSNRVKKSPNLNDFPSQEPGIRDRFTGNVSTSKVGKFVEIGSTLNDAMPSVRSGETYLNQEDEMGPWLNYPVDDGFRSDFCSEILPEISGVTGNEPSTLNSFGSVVKGSCNQVPQHSYTVPVHNGLDIEARNASKVSSSRTGLLSPYSSQQCQLSVAPAGSGVSSVVMNTTSNNPVTFFGDTVQGQASPGGLVSMKMQNQKVASNFLNFTHFSRPAALVRANLEKTDGIAASCSSGIEKVSAVSSTSPVKSTHKPSSNSQKDICVHSQPKLVSTKVDSRPSFDKPPEESCRAQRPDNLHWDDSIKNDKSSSPIISSSITKEVKDCENPVEPVVAASSVCSANSGEGASNDQMHTLKRKHCDNEESESRSEDIEEESVGIKKVAHARGVSGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKADKASMLDEAIEYLKTLQLQVQVMSMGAGLCMPPMMFPTGLQHMHPAHVPHFPPMAGGMGLGMGYGMGMLDLNSGSPRFPIFPVPPMQGAHFPSPTISGSSGFQGLAGSNLQVFGHPGQGVPMSIPRAPLVPLVGQAPISSAVGVDTSRMGIHVEASNASPTLNSVVEVQNKNSQLNHKTDTGSSISQTSSQATSKEFDQSAMTSKDDQAPDVCAAASVNMASTTDLVCDKEKGSNGMCKE >CDP08562 pep chromosome:AUK_PRJEB4211_v1:2:40741324:40742863:-1 gene:GSCOC_T00027529001 transcript:CDP08562 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDTIRYLYLSKLVTIPRSSGAFLRLFLDQFLFSPIFIGFFLSTLVTLEGRPSQVIPKLEQVLSVIVSSSSSFLASLERKANDYRFGIAEH >CDO99623 pep chromosome:AUK_PRJEB4211_v1:2:10656470:10657381:1 gene:GSCOC_T00029270001 transcript:CDO99623 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSGSFRKYKDLKNQAPRDSMEIGGRLSNDASHLDRFMHELEIVKEDVKSMDKLRNRLNETNEESKTVPDAAKMKELRARMDSDVDHVSMLAKSIKKRVEALEFMSSTDTIDRTMISVVMGLGKKLRDMMDEFQGLRVKMATVYKETVESKYYSITGEKQYIEAIENLASTGRLEDSLEEAIQEHGRDRVVDFFQEVQERREGSKNMQRNLVELHQAFLDTAAVVEGFGQKESKSGGLGRENQVVRESSFMKSTGAAQLLDQPDHYDMEARRRAKFAIAGAVTLVVMILIPLFVNESFRDLE >CDO97643 pep chromosome:AUK_PRJEB4211_v1:2:20629765:20633759:1 gene:GSCOC_T00015043001 transcript:CDO97643 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTLLRHCRRSTCTSTAGTAHILSLKSQHLPQNPNQLIALRSYAFTSAEEAAAERRRRKRRMRIEPPMWALRRDPPSHSQQGSAPKGPPMPDSTSALTGPRLNLHNRVQSLIRAGDLDSASAIARHAVFSNVRPTVFTCNAIIAAMNRAGRYTDSKNLFQYFFKQSSIIPNIVSYNNLVLSHFGNGDVEEATNVYHLIYQEAPFLPSSFTYRHLTKGLIDAGRIDEAVSFLRDMLAKGQGADSLVYNNVIYGFLELGNLDRANEFFEELQERCLVYDGVVSATFMDWFFKQGREKEAMEAYKYLMNKQFRMVPATCNVLLEVLVRHGKEKEAWELFNNMLDNHTPPTFQAVNSDTFNIMVNECFRLGKVSEAVEVFKKVGKAEKSRPFAMDVAGFNNMIVRLCEVEKLEEAEGYFVQLQSKSLSPDVTTFRTFIDAYIQAGKVGETLEKYRMMVVAGLRVIPAYANKWFSFLIEKGKVEECVPILMKMCEREPKPDVTTFEIVIRGLCGVGELEACSYVVTQMITFGVGVTPALKEFLLHVFEKEGRLEVIERIVNARFPFYPPPQVPASQMPGQVPAWRVPLATPQSGMTSFSLSPQIPTQVPPAGPQSPWQSSVSPQLPSQAAAGATQMSWQASASSEMPGQSPAGASQMPVQASFTAQAPRAAQGPWRASVSSHNPGQTSPAASQMPWQASSAAQTQAPRTSEMPWQASVYSRMPEHASFPSHSPGNTPGASHMQWQQPPGASQMQWHTSSPQAPGASHVPWQTSSSPQAQGASPMTWQASSSPQAPASSQMSWQTASSAQATGAAQMPWQTSSSPQMPEQAAAPSQMPEQVVTHQMRK >CDO99852 pep chromosome:AUK_PRJEB4211_v1:2:8295123:8305923:-1 gene:GSCOC_T00029548001 transcript:CDO99852 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKGFLIPQENGVINNGDPCIPVTPEKPAMQRRNTVLAEMQGSLTKATNWQEQLGIQNRFSSEKAVKQTTYPIACEMQGDQVEGNNWLELLGIYSGVLQETPKNEALQNFNGAGLLGRGEHNIQDGAAVRTNGCFNQNAGSYTQNIAKDIPARNNSSLMQLLGIKNAPAILPIDGTPSRSIHGTDKFPFTSSDPPNQNKWTNYPSVSSMLLQQKSALPPNWGLMSSSLQQMPSDGFPVPYRPICNLNSPPREDTAASSRATSCFHFAPVTPDQGNLKNHQQSHIQNFSVDESLLQGKDKHEMISTDTEVGSYCNGLLHNVITSPSASNSKILTEKGISEDNVHGGIDLNKTPQQRPPRRKKHRPKVVVEKKPKRTPKPTASKANTSSENPSGKRRYVRRKGTDASNSGTENVSNGIEDSGVSSAAKSCRRALNFDLEDKMHNQSQNWTGHNQTDALLKSKPFDLNLTSQETAWSAGCDPVSGMSSAKERQQIGCSPENQHGKSISNLTNSNNQKPAEKSLLLFPEASSPAARDHTLNVIARSLNLQNAAGQNNDESGHSHGHQHKLGDGTGQMILQENTASAKFDAARQLMWQTLTTSLKDTSQIYETRGSKRDYCHISEMTNLQLVDPVHSQLLSQDISKISKQKKDSSKNVMGTLELQKRKILENECLGVASSISPSVKSVQDCSRQVESRGSNYTHASCSSSCQFGRLPNPDLEMQKSFQKQDSGCNGIASDGCNTNTAVANGFQEEESQSSVCLRLLNIRPKFQEKTASNTTDCLLHPMQGVFRTPGDETRTSPVASSMKKQTGVITSSIQAFRKKKVHPEENKEELGSQRSSRKAKGQKEEQNYAAAVEEITHLLEGLEISNSGNVVAKEQNAIVPYKGDGAIVSFEDPIKRRRPRPKVDLDPETNRVWNLLMGKAGSESTEPTDMEKEKWWANERQVVRGRVDSFIARMHLVQGDRRFSQWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAAKFPLPLTTFNANCCQNGAITWIEEPEVQIIDPDGTITYHGRMFSQPLYKRNSMTLSESSERRSDNLISETVSHLANDNTSGTEEEVVSSQNSCDSFLQATEDIRSSSESEVEDQKIRCNSNMNCSSGTVPKTERASKFKQYQCHGITNSFLDQSSMPVYQQQENPLCITQYPSSNDGKKAHANPLISDVLYQQRTFSSSSGPWLNKASVAGVHGPCSVGSLGQKSMSSFPHRSVEIANNAGVAHLPKKMGGAEGRTSSSTIQETGSVTKHAIAIDQTVYQNQQHKDDLQPGSHLLSSQQSISSNQVEASKSFELDGRSMMEPKVPNEADAQRQTGHLGSSSELLSSIGTKSSNARKKKQELEKTKSFDWDSLRKKVLSKGGKKERNKDTMDSLDYEALQNADVHTISETIRERGMNNMLAERIKDFLNRLVTEHGSIDLEWLRDVPADQAKDYLLSIRGLGLKSVECVRLLTLHQIAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKTKPNCNACPLRPECRHFASAFASARLALPAPEERSIDSSTTSVANGNASIVVKPMLLAPTETSEGLESQYIRTTCEPIIEEPTTPEPSSEVSESDIEDMFYEDPDEIPTIKLSFEELKMNVESVLQEQNMELQPGDVSRALVALDPSAASIPGPKLKSVSRLRTEHQVYELPDTHPLLEGMDRRERDDPSPYLLAIWSPGETANSTQPPASKCSLQGSGRLCNEKSCFMCHSTKEAKSETVRGTLLIPCRTAMRGSFPLNGTYFQVNEMFADHESSMNPIDVPRDWLWQLPRRTVYFGTSVTSIFRGLSTPVIQQCFWKGFVCVRGFDRKSRAPRPLQRRLHETASKITKNKDKGK >CDO97634 pep chromosome:AUK_PRJEB4211_v1:2:20530740:20539009:-1 gene:GSCOC_T00015031001 transcript:CDO97634 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSKGAYISKNRGRHKESKKASSKRFIASSRKEEVVVEVDNGANDATARLISTENVEKSAGSTPPSRDEAEKTSAVVENSMVPQMPETGAFGGGMSGREPQMSRTFSVRNGVDGAQTVAGWPSWLTAVAGEAIKGWIPRKADSFEKLDKIGQGTYSSVYRARDLETGKVVALKKVRFVNMDPESVRFMAREILILRRLDHPNVMRLEGLVTSRVSCNLYLVFEYMEHDLAGLAASPKIQFTEPQVKCYMQQLLRGLEHCHNRGVLHRDIKGSNLLIDNDGNLKIGDFGLATFFRANQKQPLTSRVVTLWYRPPELLLGSTDYGPAVDLWSSGCILAELFAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQHPYKRSVGETFKDFPPSALTLLDSLLAFEPERRGSATSALESEFFTTKPLPCAPSSLPKYPPSKEFDAKIRDEEARRQKAAGSKGRGIESYRKGSKESKAVPAPDANAELLTSMQKWQAQSNPKSISEKYNHEEDGGSGFPIEPSRPSLNKGHLLQNGASRSSLNMDVNEAGSNINCSRYGAESRMQIPNKSQGTAAKLSRFSNSVAAHGSSHLDLSGEGSTHPQWMEERLNDSGSTCHLLGGTSSSHNKDEQAYRKEFNGGYALKKSRIHYSGPLLPPGGNIEEMLKEHEKQIQNAVRKARIDKNKNTKTYADNGQTELLLQYVGNGRKGPSPSTIPY >CDO99683 pep chromosome:AUK_PRJEB4211_v1:2:9859392:9862446:-1 gene:GSCOC_T00029354001 transcript:CDO99683 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNLSNLTKTLNPSFQSLPKTPTFLESLPNPFPSASPSFLPCTLPLQKSIQLSRIPLVKAASDNNDFSARRSSSSSNEPRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLETLAKVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGMPGVLWVLPDSYIDVKNKDYGGDKYVNGEIIPCQYPTYQPKQSNRSKYKSKAYVRQRDGPPPERRRPRQGATPESASQ >CDP15196 pep chromosome:AUK_PRJEB4211_v1:2:49583180:49583815:1 gene:GSCOC_T00042815001 transcript:CDP15196 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVRVHTVLISTQHDETVTNDEIAKDLKEHVIKTVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMIAIHLDLKRGGNSRFLKTAAYGHFGRDDGDFTWEVAKPLKWEKPQN >CDP15242 pep chromosome:AUK_PRJEB4211_v1:2:48825208:48836722:1 gene:GSCOC_T00042887001 transcript:CDP15242 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTISPPFSTSYPPSHLADFLFLNSQSRKFFNQWRQYPKSLLFSGPRKMGLSLKACVKLEEKGDKISTQVEWGKVSSVLFDMDGVLCNSEELSRRAAVDVFAEMGVQVTTEDFVPFMGTGEANFLGGVASVKGVNGFNPEAAKKRFFEIYLDKYAKPNSGIGFPGAYDLIQQCKSNGLKVAVASSADRIKVDANLAAAGLPVSMFDAIVSADAFENLKPAPDIFLAASKILSVPTSECIVIEDALAGVQAAKAAQMRCIAVTTTLSEDSLKEAGPSLIRKDIGSISLDDVLSGGSGCHNMKVQASIQFIDHSIETSPVSSGAEIRSILDKYSSKDAVSSVEGFRGSRRDLLRYGSLAVAVGCLLFTINNWKAVQYASPKAILNLLFGVSSPQWQVSKDESGSVRIQQFVDYIADLENSGRAPEAPEFPPKLDWLNSAPLQLGRDLRGKVVLLDFWTYCCINCMHVLPDLDYLEKKYKDMPFVVVGVHSAKFDNEKDLEAIRNAVLRYNVTHPVVNDGDMSLWKELGVNSWPTFALIGPNGKLLAQLAGEGRRRDLDNLIEAALLFYGQKKMLDSSPIPLRLEKDNDPRLSTSPLKFPGKLEVDVLNNRLFISDSNHNRIVGSYSLLPIRCAYMQH >CDP09455 pep chromosome:AUK_PRJEB4211_v1:2:22220932:22227644:-1 gene:GSCOC_T00028830001 transcript:CDP09455 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHLKRRRTTEEESESHSGSEFDEDRDTMENDVLPDGHSDDYDSDENGWEGKEESHDEDGDEEDDDDDDDEEEEEGKEGINEQKDEEMAQLEKEYMELQHAEQDVLKNLKRHKDEDLLIGRAVKNQKALWDKALEFRFLLQKAFSYSNRLPQEPIKSSFCSLETGVNEAYSDLITSSQKTLDSILELQEVLLDKNPTIAQSAEAGSAKNSNYLEASGNSNGEGDEEWLRISEMQLRMASFRNKSIDKWQRKTQVTSGAASFKGRLHAFNQNISEQVALYMRDPSKMIKGMQQDRSAVAQFGNVLGSTVHNQPEVISTGGDPELLDDSDFYQQLLKEFFETVDPNSSEAAFYAVRRLQAKKRKIVDRRASKSRKIRYNVHEKLVNFMAPLPADIPPMAPKLFENLFGLKTQRPAV >CDO97523 pep chromosome:AUK_PRJEB4211_v1:2:19712824:19716249:1 gene:GSCOC_T00014894001 transcript:CDO97523 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVTLSKTKKKGREHKENIVNSIREAIEKNDSVYVFTFENMRNLKFKEFREKLKSSCRFFLGSNKVMQVALGRSVADELRPGLHKISKLVRGDSGLCFTNLPKEEVQRLFNEYEEYDFARTGTLATEKVELKEGPLDQFTHEMEPFLRKQNMPVRLNKGVIELLSDCVVCEEGQPLSPESARILRLLGIKMATFRLHLTCRWSSEEFEVYQEGLEDSDVESS >CDO96852 pep chromosome:AUK_PRJEB4211_v1:2:13952913:13959909:-1 gene:GSCOC_T00014010001 transcript:CDO96852 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLNVDNKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLVGNKSDLRHLVAVSTEDGKSFAERESLYFMETSALEATNVDSAFAEVLTQIYHIVSKKAMEAGENGANGTVPSKGEKIDVGKDVSAMKKGGCCST >CDP17176 pep chromosome:AUK_PRJEB4211_v1:2:47351865:47352858:1 gene:GSCOC_T00000637001 transcript:CDP17176 gene_biotype:protein_coding transcript_biotype:protein_coding MESAELANKSEFLAEGEGSSDEMGSTSDQRPLPQIEAKVCDNKVLLRLHCENQRGVLIKILSEVEKLNLAVSNTNVSQFGKNRALDITIIAEMEKEFNLTIKELVRNLQSALQLVN >CDP05027 pep chromosome:AUK_PRJEB4211_v1:2:972655:974262:-1 gene:GSCOC_T00019934001 transcript:CDP05027 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTQWPQGIGLAKPIETPKPAAALEKKPRPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGTLRNVPVGGGSRKNKRSSSSTTSVSSLPPASSSENKKLPDLSHLTGTAPGFPQNPKTPEGQDLNLTYPPVRNYSASLSGLMQLPNFNENKNLDPHLGNISSSISHVPAAGLEFLKSTSGISTGGFSSLLSMPLSDSNAIYSSSQQLPMQEFKPKLSFSLDGFDNNGTDYGSLHGVQVAENNNNNNSSRLFLPYEELKPLSSVTCDQYNEHQQNRGQEGESAPNGYWSGMLGGDSW >CDO99468 pep chromosome:AUK_PRJEB4211_v1:2:12219305:12220129:-1 gene:GSCOC_T00029057001 transcript:CDO99468 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRKEYLDLVLVPCGLFIMFAYHLFLLYRCLKRPHTTVIGYENNDKKAWVEKVMQVENKDVKTALDVLSANVSAATFLASVSLTLSSLIGAWIANNSNIFQSELIYGDTRQATMSIKFISLLICFLLAFACFVQSSRCLIHANYLISTPDTDIPIKYVESAVIRGGDFWSLGLRALYFATTLLLWFFGPIPMFATSVSMVCLLHHLDTNKTPLHQYSSAAKRPFKRAEQTTIRTPMAVEVLDR >CDO97549 pep chromosome:AUK_PRJEB4211_v1:2:19874682:19882262:1 gene:GSCOC_T00014926001 transcript:CDO97549 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLVSGNGITPIPNSQSPLTCSCFPFLPFPFSATAVRPFKNSKFTVFATTTVELNETRNWQRNVPPKSSKIVSLRNKTRQSAILDVQESSDLDSALSRSGGKLKAQDLNVILRHFGKLNRWKELSQLFAWMQQNEKTNIASYSGYIKFVGKSLDPMKALDIYNSIKDELTRNNVSVCNSLLDCLVKNGKFERCLNMFHQMKQAGLKPDIVTYSTLLVGCAKVKDGYSKATELVRELKYHGLQMDSVIYGTLLSVCASNNQCQEAERYFMEMKSEGYSPNVFHYSSLINAYSVDGNYTKADELIQEMKSGGLVLNKVILTTLLKVYVRGGLFEKSRELINKLDKLGYAEDEMPYCILMDGLVKAGKVTEAKTLFDEMKNKNVKTDGYAYSIMISGFCRGGLLQDAKQLASSYEAKYDRYDIVILNTMLCAYCRAGEMDNVMKMLKKVDESAISPDWNTFHILIRYFCKEKLYILAYHTLDDMHKKGHQPEEELCSSLIHYLGKTGAHAEAFSVYNILKYSKRTMCSALHEKILHILVAGRLLKDAYIIIKDNAGSISQSATQKFAVSFMKSGNINLVNDVIKAIHSSGCKIDQKLFHMAISRYVEDSEKKDLLLQLLKWMPGQGYSVDSSTRNLILKKSHLYGCQIVAELLSKQQVVSKTKSRQTRTK >CDO99745 pep chromosome:AUK_PRJEB4211_v1:2:9290872:9294485:-1 gene:GSCOC_T00029426001 transcript:CDO99745 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTVSGTSSINFNSLSLTQNPSLNHLNFFNSRTSFPSSYRAPLHDPLSPSLLSSSGLCRASQLAELFPTMSPDIVVREARLEDCWEVAETHCSSFFPQYSFPLDFALRIDRLIGMLFGFSLPGGCQRTCLVAVTGQSDEDTLHFWNEDLKIGGFDGKFSLNKGYVAGILTVDTVADFLPRKGPLRQRRKGIAYISNVAVRENFRRKGIAKRLINKAETQARSWGCRAIALHCDLNNPGAIKLYKGQGFKCVRVPEAANWPQPKTSPDGQFHFMMKLLK >CDP15197 pep chromosome:AUK_PRJEB4211_v1:2:49581040:49583120:1 gene:GSCOC_T00042816001 transcript:CDP15197 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVYVSNFSLGFRTALSINTGPIPCAKTRKHLGVCLKEVQPNLSSLSHSCCWVLLFSRFGEWIPSCLPLNL >CDP13970 pep chromosome:AUK_PRJEB4211_v1:2:4704357:4710183:-1 gene:GSCOC_T00039124001 transcript:CDP13970 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVGTGTMDPTNFRNRSPILIPLLFIATILHRLSFNSVFAICEFSYRDHNRLYNYSLASPLRKFPHGVLSEDGFYKVAVNETVLWIQLCDVMLFNHDPPTCIDCMDCGGPSRCGMGCSALVANIIQGYPICNSVGRLSSTIVYLIDNKKPHMGVVVKMSNSQPKLNCSVSVSVICDYNGVEGPRMLEKVGTCDYVTQLRHPAGCAKVISSHGSGWGWFGTFLVIILCLFGAYLLAGVVYRYFFLNIHGIDAIPNLEFWATVPHKVQSFFVALVRRFRGPSQGYRSSYSPVNF >CDP00122 pep chromosome:AUK_PRJEB4211_v1:2:6026361:6028040:1 gene:GSCOC_T00029922001 transcript:CDP00122 gene_biotype:protein_coding transcript_biotype:protein_coding MESALSELEGTLVKDPDPFSYFMLVAFEASSIIRFAFLLILWPVIRLLEIWGQRDAGLKLMIFVATAGVPISEIEAVARAVLPKFYFDDIDMDAWKVFSSYDKRIVVTKTPRIMVERFVKEHLRADDVIGSELSVNRFGWATGFIKDGFDSISDRVSELFEDEQQPSLGLGRTEYSGSEFLHSCKEQSHPRITSNEKHDRQFIRPLPVIFHDGRLVKRPTPSTALLILLWIPLGIILAAIRIVVGLMLPMKSIQRMAPVFGGKVMVKGKPPPPPSGSTSGVLFVCTHRTLMDPVVLSAVLHRRIPAVTYSISRFTEILSPIPTVRLTRTRDIDAEKIKQQLEKGDLVVCPEGTTCREPFLLRFSKLFAELTDRIVPVAMNYRVGFFHATTARGWKALDPIFFFMNPRPIYEVTFLNQLPVEATCSSGKSPHDVANYVQRILAATLGFECTNFTRRDKYRVLAGNDGIVSQTSTAESLKKLMSGFKQVVGTFKPFIN >CDO97439 pep chromosome:AUK_PRJEB4211_v1:2:19058460:19060377:-1 gene:GSCOC_T00014784001 transcript:CDO97439 gene_biotype:protein_coding transcript_biotype:protein_coding MAACESIEEAASRWASASNLAWLSLATEPRLQGFIVKISAFLFRQAKDMGTKKDDETKKEQDTQTKLKMLLLWIPLLCQASMGTDAPVLSIKERAELEKVLEDVIEALGNQEDQEKVLSLWLHHFTYCPSSDWPNLRDCYTRWCIASRRQLLRSNSYNCCI >CDP05055 pep chromosome:AUK_PRJEB4211_v1:2:1164057:1164397:1 gene:GSCOC_T00019972001 transcript:CDP05055 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDEAARAEGDGGSPIETTLFGLLHFGPGYFDILRKRQIPRPTEDSYLYY >CDP05221 pep chromosome:AUK_PRJEB4211_v1:2:2520055:2521008:1 gene:GSCOC_T00020187001 transcript:CDP05221 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKVKCSCGQGNCPEWAILELQGVVEAQPSFQDRLRNLHIGLLCRASSQESYTFTVGYHELTGTKVPLKKPILVLKKTRVSDGDAVEGEGEEEKDSGGDIASPSNSRVQLAVIGIIRHKILFKTRPKALISGPQTVAMGKISTPSSLAPNCGYTFA >CDP07650 pep chromosome:AUK_PRJEB4211_v1:2:24354449:24355104:-1 gene:GSCOC_T00024972001 transcript:CDP07650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:Projected from Arabidopsis thaliana (AT2G18370) UniProtKB/TrEMBL;Acc:A0A178VTK3] MRSSSQAIIFLMAAFLVLFLIAPASDAAVTCGDVAKKIAPCVDYLRSGSGAPPPPCCGGVKALAASATTTPDKQAACACLKTQSKNLNIKPELAQALPGNCGVSLPYPISPNFDCTTIR >CDP17824 pep chromosome:AUK_PRJEB4211_v1:2:27243955:27244887:-1 gene:GSCOC_T00009443001 transcript:CDP17824 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVVTVYGNGAITETAKKSPFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTPADDENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRVLRNMDDDEVFAFAKKIQSPYDLVMQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPARRARAIVQAVTHYSDPEVLADVSCGLGEAMVGINLNDDKVERYANRSE >CDO97291 pep chromosome:AUK_PRJEB4211_v1:2:17894656:17898664:1 gene:GSCOC_T00014575001 transcript:CDO97291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 4 [Source:Projected from Arabidopsis thaliana (AT1G49960) UniProtKB/Swiss-Prot;Acc:P93039] MTVPAKGDELVPHPVKDQLTGIDYCVNSNPSWLEAVILGFQHFLVMIGTIVIIATIIVPQMGGGNVEKAQVIQTMLFVSGVNTLLQTWLGTRLPVVMRGSFTYIIPALFVALASRFNVYVNPRQRFKNTMRAVQGAVMLSSILPILIGFLGLWRIVVRLISPLSAVPLVTLVGLGLYEHGFPLLAQCIEIGLPELIILVLLSQYTPHFYKLNRPIFDRFAVLIAVGLVWAFAALLTVAGAYKNRPPQTQFSCRVDRSGLISGASWIRFPYPWQWGTPSLHVGEAILMLAAAFVSVVESTGAFIAAARFGSATHTPPSVLSRGVGWLGIAILFDGLWGTGSGSTVSVENVGLLALTRVGSRRVIQISAVFMLFFSILGKFGAVLASIPLPIVGALYCVLFALMSSAGLGLLQFCNLNSFRTKFILGFSFFMGLSVPQYFNGYVLTSGHGPVNTHSLWFNKLMTVVFTSPATVAGIVALFLDLTLARKHSLTRKDSGRHWWAKYRTFERDPRSEEFYGLPFGLTKYFPSV >CDP16542 pep chromosome:AUK_PRJEB4211_v1:2:42452038:42453970:-1 gene:GSCOC_T00018922001 transcript:CDP16542 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDSAPGPDGFGMGFYQSCWDIIKVDLLASIHDYFKGVAQPWGWSTFAFDHQYFLQQQGDFPSIELFIRPVPDKVNKTLSLIDSSIGMTKADLVNNLGTIARSGTKEFMEASQAGADVSMIGQFGVGFCSAYTTLHQNSPSRLQIFTEQKHGKPSLSL >CDP05022 pep chromosome:AUK_PRJEB4211_v1:2:919431:920380:1 gene:GSCOC_T00019925001 transcript:CDP05022 gene_biotype:protein_coding transcript_biotype:protein_coding MESGFSLLDISKCFSSLFSLHVSSLLLHHHLPKSFFVSSFESSVSWIIHQYKKKKNGKIVFPFKPFLISSEIVIFVGYFVGFFPILDCTFYGVIGGKVQYLLQLQIQGKCLP >CDP17777 pep chromosome:AUK_PRJEB4211_v1:2:51465154:51473044:1 gene:GSCOC_T00003895001 transcript:CDP17777 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 7 [Source:Projected from Arabidopsis thaliana (AT4G00200) UniProtKB/Swiss-Prot;Acc:Q4V3E0] MESREGMNTGVTVIAPEAPSSYHVAPRTENSTPIAVTPPALPSPASAVLFGTSEKKKRGRPRKYSADGPNSRPLSPMPISSSAPAVAGNFLADKASAGRRPYTSEKKHKPKVENLGEWVACSTGGSFLPHMITVNAGEDVSKKIVSFCQNGPRAICVISAVGLISNVTLRQPNSSGGTLTYEGRFEILSLSGSFTPTELGGSRVARTGGMSISLASPDGRVVGGTLAGLLVAASPVQVVVGSFLPSNHNELKPKKHKYEHKSLAAAGSAAAAPRTNNMLVEHRISTVQGLNNVISDNQGMVASSTLQTANWANISSMEDSRKSNTDINISLQGQ >CDP05017 pep chromosome:AUK_PRJEB4211_v1:2:893704:896374:1 gene:GSCOC_T00019919001 transcript:CDP05017 gene_biotype:protein_coding transcript_biotype:protein_coding MQENQLYRKVHTYLSSLPAVEDSDFTNLFSGAKPNEINLVLDPNQIVLDNFLGARLFWINQKCDGSGLKSLVLKIRKSDKRRILRPYLQHIHSVFEELEQRKDVKLYINVEDEPQRNGRWRSVPFTHPATIDSVVMDSDLKNKVKSDLESFLKSKQYYNRLGRVWKRSYLLYGPSGTGKSTFIAAMAKFLSYDVYDVDLSKISGDSDLRSLLLQTTSKSLIVMEDLERHLTGKSTAVSLSGILNFMDGIVSCCGEERVMVFTMNGKDHVDPAILRPGRIDFHLEFPLCDFNAFKSLANSHLGVKEHKLFPQVEEMFQSGASLSPAEIGELMIANRASPSRALKSVISALQNNADTKLGARMSRNGSVRSGEESGDSGILCKDAGVSTVKEFRKLYGFLRRSRRESADLDDKEREIGRQES >CDO97348 pep chromosome:AUK_PRJEB4211_v1:2:18338736:18339275:-1 gene:GSCOC_T00014666001 transcript:CDO97348 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRVNLFAPRPLQQFPKLQTNTFLSYSSSRPQLINTSSRLRFSISCTSGNNSLSDAELALKLAREVEKLNSQIVQREEALNKSRELLFNELSSYMGSKVEEAGKKWRKLSEDEKWAVVNGFVSEWSDNFHPLSARSVKELVDEYLVDEKKALVDSGTMLFPSLRKLIGFSGHDSSSKG >CDO99701 pep chromosome:AUK_PRJEB4211_v1:2:9725782:9729090:-1 gene:GSCOC_T00029374001 transcript:CDO99701 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQEHQSKSRVVKVDSLESWNFHVNQATTRGNPIVAHFSASWCMPSVAMNPFFEELASDFQDVSFLSVDVDEVKEVATKYEVKAMPTFLLIKEGAPVDKLVGANPDEIRKRIESLVQSTPAQLA >CDO97322 pep chromosome:AUK_PRJEB4211_v1:2:18130542:18132114:1 gene:GSCOC_T00014634001 transcript:CDO97322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 10 [Source:Projected from Arabidopsis thaliana (AT2G14620) UniProtKB/Swiss-Prot;Acc:Q9ZVK1] MNASRFIFLIGVLSVELIQISIASVVSAGDFNRDFFVTWSPSHVNTSADGRARSLKLDPDSGSAFASNDMFLFGQFDMQIKLIPGNSAGAVVAFYLSSNQPNRDELDFEFLGNADGGQQQPYILQTNVYVDGFDDREERIKLWFDPTKDFHTYTILWNIHQIVFMVDWVPIRTFRNHADKGVAFPRWQPMALQASIWDGSSWATGGGKDKIDWSRGPFVASFQNHKIDACIWKGSAGFCTAESPTNWWNQGGSNSLTSAQRRLFRWVRKYYVIYDYCQDRPRFKNNLPKECSLPKY >CDP09407 pep chromosome:AUK_PRJEB4211_v1:2:22767739:22769195:-1 gene:GSCOC_T00028756001 transcript:CDP09407 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRLIEAAQTGNVQDLHNLIKENPLLLRSAPLAVSETPLHIACIGGHIDYVKEVLHLRPEFAEELNQDGFSPLHIASGNGDIQIVKELLQVNCFLCLIKGRERRIPLHYAAIKGRIHVIHELLSACAESVGYVTGRGETILHLALKNNQFEAFEFLVQYLLRSNNGEILNKKDHQGESILHLAVSRKQYEVIDFMLDKNVFHEGRVEVNLLNKRGFTPIDSLLSEGGDREIEEMLKATGARRAADLQPSQSGASSYNLAVITQSTPATQSRRVRAQSPSEKLLNYFKFDKLRDSPNEVRNTLLVLAVLIATATYQAVLSPPGGVWQDDYLPSQGTGTNATSTKPHKAGEAVMGTHRKTSYGLFLIFNSIGFFMSTYMINVLTAGFPLRMELLVSLFALLATYDTSMAAISPDKDITLWFTILSAVLPVLIPIVTKIMRNHCKLQKFRLPCTSPATD >CDP16455 pep chromosome:AUK_PRJEB4211_v1:2:30720873:30721834:-1 gene:GSCOC_T00018373001 transcript:CDP16455 gene_biotype:protein_coding transcript_biotype:protein_coding MATHSIHFIVLIPFIVVSVLMFHNQMVLGQCHGDLQGLIQQCSQYVQKSGPKIAPSQSCCRVLKTVDLPCVCHYITQDVEQIVSIAKAIYVSSFCGKPLPHGTKCGSYTVP >CDO99603 pep chromosome:AUK_PRJEB4211_v1:2:10897570:10902882:-1 gene:GSCOC_T00029243001 transcript:CDO99603 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLWFVLGQLAEIESMGSSKKIITKEEWEKKLNDVKIRKEDMNKLVMNFLVTEGYVDAAEKFRKESGTEPDIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVAEALEFAQEELAPRGEENQSFLEELERTVSLLAFEDVNNCPVAELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAYPRINDISTATLEDPGV >CDP13858 pep chromosome:AUK_PRJEB4211_v1:2:34324282:34329988:-1 gene:GSCOC_T00038980001 transcript:CDP13858 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQKQRSLMDSSAPLIILLMLLMLLQLLAVMAIARVENFTRSDFPVDFIFGAGSSAFQVEGAAREDGRTPTIWDTFVYANKGLSHGASGDVACDQYHKYKEDVQHMVDTGLEAYRFSISWSRLIPDGRGRVNPKGLEYYNNLINELLMHGIQPHVTLFHFDTPQVLEDEYGGWLSRKIVNDFTAYADVCFKEFGDRVLYWTTINEGNIFSICGYDNGIGPPGRCSFPFGLNCSEGNSITEPYIAGHNMLLAHSSAVKLYYKKHKATQRGFVGLNIYASWFSPYSNATEDIIATQRAIDFYIGWFLHPMVFGDYPDIIKKNAGTKIPALTPSESRLLKGSFDFIGLNHYSTLYVKDSPSSLNVNIRDIVADMGLSALVEPEDASENQNDDTSSSLSGILEYLRNVYANPPTYVHENGKRTERNGTLNDTSRVKYMYSYIRTLLDAIKNGSNTKGYFLWSLLDGLEPIGGYTTSFGLYYVDLDDKQLKRYPKLSAHWYSNFLKGRTIKPDEINEVVNEIFVSSTSKASVQ >CDP09445 pep chromosome:AUK_PRJEB4211_v1:2:22303635:22304453:1 gene:GSCOC_T00028816001 transcript:CDP09445 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIFPSGAEIGRFVVMLRNPSSVLKACAAFALLQFTIPGGRHAAHHVSLLQNAGAPRVLRAAAAAATAPLEAKIFARIVLRNLEHHQTDPSFL >CDP17208 pep chromosome:AUK_PRJEB4211_v1:2:47803884:47806517:-1 gene:GSCOC_T00000679001 transcript:CDP17208 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKTCIIFALLLIFSFVFTIHASSPPPPSTPSNPQTVCSYTPFPYFCRSTLPNNRSATIHDYGRYSVYHSLSMTNNFLSLVNRYLRLRFAFTESTIRALQDCQTLASLSRDFLSNTIQSIGSTTTLQSSQADYMQTLLSATLTNYQTCLDGLKETTSSSSVRTGRSLSELNSDKSFGWGRRLLQQIGNNNVKVNQMVVVDPNGNGTFTTISDAVAAAPNNTAAGKGYFMIYVVAGVYEEYVTIASNKKYIMLVGDGINQTIITGNRSVVDGWTTFNSATFAVTGQGFVGMNITIRNTAGAVKHQAVAVRNGADLSTFYNCSFEGYQDTLYTHSLRQFYKQCDVYGTVDFIFGNAAVVFQNCNLYPRLPMQGQFNAITAQGRTDINQNTGTSIQNCNIMAAPDLASSNGTTKTYLGRPWKEYSRTVYMQSFLGSLIDPAGWTPWSGDFALSTLYYAEYDNSGPGSDTTNRVTWPGYHVINAADAANFTVANFILGDNWLPQTGVTYTSGLL >CDO97068 pep chromosome:AUK_PRJEB4211_v1:2:16271042:16272825:1 gene:GSCOC_T00014295001 transcript:CDO97068 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLHSCIFFFHALVVLECVCGSRARKIAEEPLNVHDHKETREMLQTTRHNLNAPIYAPDSDNPGRKHVANGVPEKLDQMSPSVSSDKDHMYELAVKVFFTDKQIKLGNRIPIYFPVVEPSARPPLLSREEADSIPFSSSQLPYLLHLFSFSQDSRQAKAMIDTLSHCEFKPATEDAKFCATSLESMLDSAREILGSKAPLKVVTTNHLTEQTSSILQNYTIQDEPRVISPARMVACHVLSYPYAVFYCHTKKDNKLLKVSLVGENGGRVDAAAVCHMDTSDWDPNHLAFRVLKTVPGGSPICHFLPENNLVWVASTPVY >CDP17964 pep chromosome:AUK_PRJEB4211_v1:2:43960729:43963700:1 gene:GSCOC_T00001209001 transcript:CDP17964 gene_biotype:protein_coding transcript_biotype:protein_coding METILSPSSFCPLFNPKISSLQSKPTSLVLGKSSSTICSCLEKQPTQLSVSDKQSSSSPTNWLSHVQQGLAALAISLALSYCPILPTHSAFASEFDVLNDRPPTESYVVDDANVLSRLTKSDLKRLLSDLESRKGYHINVITVRKLTSKADAFEYADQVLERWYPSLEEGNNKGIVVLVTSQKEGAITGGPEFIQAVGDTVLDATVSENLPVLATDEKYNEAVYSTAKRLVSAIDGLPDPGGPKFKDNKRESNFKSREETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYVSKK >CDO96869 pep chromosome:AUK_PRJEB4211_v1:2:14166039:14169909:-1 gene:GSCOC_T00014032001 transcript:CDO96869 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDGLRLAIEAWNQCNEVGEEAPNMGSPRAADCFDVYRASSQQEGNKFPYLLVHRVTEGDNRLGVGQPFLGGQPEALHNVDLYAAEKELYLGYKCQVEDKPHPWQFWMIMLKSGNMDTYAARCPKNGHKVGPYGPDNQFPCFGNGCMNQPSMYHDYTTLQGPRKTTLKGRFYGSWDLKADLSRGEGQNTSYYSVTWEKELGHGSWIFHHKLRTSTKYPWLMLYLRSDATHGFSGGYHYSTRGMLKIIPESPNFKVRFTLNVIRGGGPGSQFYLMDMGSCWKNNGQPCDGDVTSDVTRYSEMIINPETQSWCIAKDPRLCPPYHTFPNGTRVHRTDAAHFPYEAYHLYCAPGNANHLEKPNILCDPFSNPQPQEILQILPHPVWGYYGYPTKKGEGWIGDSRTWELDVGRLSHNLYFYQDPGTPPARRKWTSLDLGTEIFKTPNQVAEWTVSDFDILVLKR >CDP17533 pep chromosome:AUK_PRJEB4211_v1:2:29350996:29351546:1 gene:GSCOC_T00004492001 transcript:CDP17533 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAVLSIGTFPGLLPFVTQFGFEHSLIADLQLNSLLLLFPSWNIHPLLHSHHRTRYPTIIRSRH >CDP05124 pep chromosome:AUK_PRJEB4211_v1:2:1737070:1740773:-1 gene:GSCOC_T00020065001 transcript:CDP05124 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHAGEHDSVVETVKEKITEKFHSHDSSSSDSDDDSKDKKPPSKDIKAKIYRLFGREKPVHKVLGGGKPADVFLWRDKKISASVLGVVTAIWVLFELLEYYLLTLVCHILILALAVLFLWSNASTFINKSPPQFPEVILPEDIVLGVASALRIEVNRALAALREIASGRDLKKFLAVIAGLWVFSILGSCCNFLTLFYISFILLHTVPVLYEKYEDQVDSFAEKAEAEIKKQYAVFNAKVLSKIPRGPLKDKKFV >CDP14033 pep chromosome:AUK_PRJEB4211_v1:2:5272320:5275379:1 gene:GSCOC_T00039206001 transcript:CDP14033 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVVSLEGKIYCCKHCGTHLALWEDIVSKSFQCRHGKAYLFNKVANVTAGEKEERMMMTGLHTVADIFCVRCGSIVGWKYETAHEKGQKYKEGKSVLERFKISGPDGSNYWTSHEAYVGGSDADDV >CDP08733 pep chromosome:AUK_PRJEB4211_v1:2:53464836:53467495:1 gene:GSCOC_T00027807001 transcript:CDP08733 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLRLFFNRIVKGFISSWFGQLFMEGETSWVSHGLNNVHRGFEFDSFFEVADEGNREAASVSLDLILPDDLLERILSYLPIASIFRAGSVCRRWHEIVSSRRFIWNLSHVLTQKPWYFMFTSSDEPVGYAFDPILRKWYGIELPYIETSNWFIASSCGLVCFMDNDSRSQLYVCNPIMKECKKLLEPPGLKFSDYSALAISVNRVSHNYSVSIVKSKQVPGNFFQWDLSVHIYDSETMMWATPLTEVLTGWRGGDESIICDGILYFLIYSTGGGASESRHGLVTYNLCSRSSHGLLMRSFIPAPCSLTCGRLMNIKEKLIMVGGIGKQDRSDIIKGIGIWALEGKGWHEIARMPHKFFQGFGEFDDVFASSGTDDLIYIQSYGAPALLVFDVNQKQWRWAQKCPVTKRFPLQLFTGFCFEPRLEIVP >CDP09408 pep chromosome:AUK_PRJEB4211_v1:2:22764645:22766255:-1 gene:GSCOC_T00028757001 transcript:CDP09408 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKLVIEASREGDTEILNKLLQENPSLLDDVAFGNPPESVLHVAIKGGHVRFVIELMKNNKKLAREFDRGGFRPLDIAAIMGNVEMVKQILRLDPGLCLLKGKGQRTALHYAASKGNVEVIDELLSTCPDCLKDVTFSQETALHLALKCYQFEAFKVLVKWLEINKLQSIINWPDFNGNTSIELLLICKGICATIKVNAKNFKGNHSISFVSQAKHQQNIADHQSQPSKDWFNYFKFQIQRESPSDTRNVLLVVAALIATVTFQAGVTPPSSILDMSNQPNDENQAAIFGSHATSYLFLFSNSLGLTASLSIIIYLTRGFPFQRELLICVFAMMFSYGFSVSGILKGQKQEKEMAGYILLTVAFLLPFLIRWLPTWGKMAWKKCARRRFFKVNILPVDN >CDO99980 pep chromosome:AUK_PRJEB4211_v1:2:7249794:7256091:1 gene:GSCOC_T00029723001 transcript:CDO99980 gene_biotype:protein_coding transcript_biotype:protein_coding MARIISMCKLLLGIWMIGLLYGVVGGEKGDLGSLPSSKGEAYVTLLYGDEFLLGVRVLGKSIRDTGSKKDMVVLVSDGVSHYAKKLLKADGWIVEKISLLANPNQVRPKRFWGVYTKLKIFNMTKYKKVVYLDADTIVVKNIDDLFKCRKFCANLKHSERLNSGVMVVEPSEEVFKDMMRQVNTLPSYTGGDQGFLNSYYAGFANAHVFQPDLKPEALNSRPVPEMERLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTSWLVKPVDVWQNVRVKLEESLPGTGGGKNPKEELLVKFLFLLPFCLLLFRYYRSFLQSRSFCDHIRHVYYKIRAGGIFTYAAVSSSTISSNPQFSDGAQSKVPAFLGGMSILVCFMAALVSLALSFAIIPRQVTPWTGLLLMYEWTFTIFSLLFGSYLQLISHWGKIVANRAASLSSRAESFDYDSGKGHQRQLSSCDVAACYYGLGAALLAIAVPALPFILGITALFLRLGLMAAGGLVLASFTTYAAEHLAIKSFLLGLEAREPQRSSNTCFLC >CDO97099 pep chromosome:AUK_PRJEB4211_v1:2:16500561:16501792:1 gene:GSCOC_T00014336001 transcript:CDO97099 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNSGEWGLKFFLRIFEIAPSAKKLFSFLKEPNAPLEQNPKLKTHAKTVFVMTCEAAVQLRKAGKVVVRESSLKKLGATHFKYGVVDEHFEVTKFALLETIKEAVPEMWSTEMKNAWGEAYEQLVAAIKTEMKP >CDP16465 pep chromosome:AUK_PRJEB4211_v1:2:31045391:31046627:1 gene:GSCOC_T00018393001 transcript:CDP16465 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFQFLVLHSKLPLITSFISDSYLFLQKMSCCGGNCGFDCGGQKMSVVWVALWRILRLWFLLQVLQRLWPIH >CDP08760 pep chromosome:AUK_PRJEB4211_v1:2:53262573:53267744:-1 gene:GSCOC_T00027849001 transcript:CDP08760 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSNSTAETAFAKTICSICYEDLKPIVEDLQSISICGHVFHELCLQQWFEYCTNGKKKNCPVCKQTCSNANVGRLYFQSVGDPNDPGLTQKAGNYEENPEELRNEVRRLEGKVLGLSSALEQLQKSSEAVNAELCMYKETVQVEVALKNEALKQKATIQQLMHFKSEELDRSTLECMKLQERNMALAKELAALKLVSDLNLDEDEALKLACLGNEVNSKETIDVLKKSLVIRNKSYKELMTKCNVLGRGEARSLSKLEKAKDKIKKLKERIQELESVAEVKVNETLRTLKASRKSACEVEKLAKGFDQGSRNDVTSCRDQNKEPVPETEMGTSENSSCSLKKRKLNSSGFTSNRSTTDNVVPRKINPANQDQGYFLHNQVENARETFVHLNSCGQLKPLAENGGAAWKSGSRTGDTLSEIQAERHGSMDGASGAVGDTKPNTENRADMVDNNMVILDDDMGLPQSLLNVRKETPLPAVVSQPGSHCFSGGLLGPDGTKWHLGKWCKRNKGQASLSMGLQGSNASTADLIAVGADGRGGRIKVLRSLNQSSLDNRENLTSTKKCKVGDKPSSFQSHGCLQIEHFFGRASQ >CDP09484 pep chromosome:AUK_PRJEB4211_v1:2:21638313:21642372:1 gene:GSCOC_T00028865001 transcript:CDP09484 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAQTPDILGERQSGQDVRTQNVMACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYVDEKLAVKVEKLGKDSLINCAKTSMSSKLIGSDSDFFANLVVEAVQAVKMTNARGEVKYPIKGINILKAHGKSARDSYLLKGYALNTGRAAQGMPMRVAPARIACLDFNLQKTKMQMGVQVLVTDPRELEKIRQSEADMTKERIEKLLKAGANVVLTAKGIDDMALKVSSCIVVFLSQL >CDP08647 pep chromosome:AUK_PRJEB4211_v1:2:54142977:54146401:1 gene:GSCOC_T00027684001 transcript:CDP08647 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLPPRTAQSHAIGILFRRNPMQWRPEGRPHSLIFRSEFSWLYSLFLFAFMLLFSCFSFFFFQFQFILVVCLSSVCLVFVMSCSGAVGLGLPLSGHKNERMNGTVIGSCVVDEDDQLFWDINGNGNVDELLLDYVDKANGNNVNEAPNPELLHHVEKANGNTVYELPDLEFHDHVEKDPRGRYLRYNEVLGKGAFKTVYRAFDQLDGIEVAWNRIKIADVLRSPEDLEKLYSEVHLLRQLKHENIMKFYDSWIDDKKKTVNMITELFTSGSLRQYRKRHKSVDTKAIKNWARQILRGLDYLHSQNPPIIHRDLKCDNIFVNGNQGQVKIGDLGLATVLQRGAAKSVIGTPEFMAPEVYEEEYNELVDVYSFGMCMLEMVTVEYPYAECKNPAQIFKRVTSGVKPASLGNVSDTKAKEFIEKCLLPASRRLPAKELLKDPFLEADNMKEPLRHPIKLTNQTPRSPGLLSCEPHSMDVDAEYTRSNTESPHGAILEFQRVHQNKEFRLKGKKNDDNSISLTLRIADQHGPVKNIHFLFYLDTDTALSVAGEMAEQLSLADHDVAFIADFIDYLISRILPSWIPSSDDYSSGERSTYEGISMAGRNLAASKRFSTPNGSPAGAGLKPDDHTMDNDDNIRMSTMADKVSEDTYLTSCSSSLSLLEKSQETKLKTELDAIDAQHQQWFQQLSKMREEAIEATMKGWMTK >CDP08537 pep chromosome:AUK_PRJEB4211_v1:2:41460786:41464178:1 gene:GSCOC_T00027483001 transcript:CDP08537 gene_biotype:protein_coding transcript_biotype:protein_coding MPPADVETYFIEWISFYFKEVIRMEMVDSCCHATLLVITEEDLSAGTYTGDDVVLPLPGSKVIYPMNDIEEVYCDLAKKDAIGLTQSVHGVKEFSITNITGAYRRVFLKPKEFEWKLLTYKDGNIPLAETDMDLIAKSQKLKNVRGEDCGNGKAGEQLIDNGRQSCVSAIMDSAEDEAGSDRAGSHQTEVYDSADIEESQKALRLSFTLPASGYATMAIRELLKTSTSVAFHKTLNP >CDO97692 pep chromosome:AUK_PRJEB4211_v1:2:21077817:21078564:1 gene:GSCOC_T00015101001 transcript:CDO97692 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSAEHATKAYLQTLKMGKRGKEPNVSEYIAALAAGNNSQLMVMVCAGSAGSTALALGAAARETGGCAVCILPGLEELHASRKALGCYAEFIELVVGDAPSLLLNEYQDADFVLVDCKMDGHESILLAAQECLHQKKACLVGYNAIHCEPWIDSLKAHLLPIGGGLLVTRLPSSSSSPAEKFRGAGKKGNWVVKVDKITGEEHVYRTTFHHYHDRIAA >CDO99712 pep chromosome:AUK_PRJEB4211_v1:2:9558619:9560753:-1 gene:GSCOC_T00029385001 transcript:CDO99712 gene_biotype:protein_coding transcript_biotype:protein_coding MATMATTLTSSPKPSFLETKSSFHGSPILSRVQPVKSSPQNFSVTMSASTPQYNFDAFKFQPIQESIVSREMTRRYMTDMITYADTDVVVVGAGSAGLSCAYEISKNPNIRVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHKFLDELEVEYDEQDNYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGGRVAGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKALDMNTAEDAIVRLTREIVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALRALGQPNALDGTLSEVNTAQPDLILAAAETEEVVDA >CDO96884 pep chromosome:AUK_PRJEB4211_v1:2:14373418:14375663:1 gene:GSCOC_T00014054001 transcript:CDO96884 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVTVNYNFNITEVAANMAVEGFQSAEVEAIMKTAGDDMIWNAIEDTKDMDMCDEDFFVTGGGKDTKLVGIAGVPLPKPVDKTSPHVIKIAQFAVKKHNEKAGTKLVFIKVVGGVKWSAIAGTFYALQIETQDSKGTHRDKTLVVEAITGHKKLIWYKH >CDO97115 pep chromosome:AUK_PRJEB4211_v1:2:16618253:16621134:1 gene:GSCOC_T00014356001 transcript:CDO97115 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRQAQCLLRLQHPNLIQLSKIAAAHRHNSTLSLSKLGKPTLSASPAAPSSITSQTLQDYAKSSQWHLIKQVSSSLTPKLISCTFLSLRSSPDLILNFIKYLSPQCLNLECYCLAISILSRRPSPKQATELIKTVICSRIARISDIFYGLVGARERLKISSSILLDLLIRALCELKKGDEAFECFKMMQGMNVLPKIETCNDILSLFLKLNRTQMAWIVYAEMFRMRINSGLRTFNIMVNLLCKEGKLKRAKEFIRQMEGFGFKPNVVTYNTVIHGYCLKGDLEEANKVLESMISKGLEPDTYTYGSLISGMCKERRVDEASAHFVKMMEAGLAPTAVTYNTLIDGYCNKGDLKRAFSYKDEMIKKGVMPTVSTYNLLIHALLLEGTIGEADNLLKEMQEKGMLPDAITYNILINGHCRAGNAKKAFSLHDEMFSKGIQPSRVTYTSLIHVLGKRNRMKEADDLYANILRRGVLPDLIVFNVLIDGHCANGNMERAFLLLEEMDKMKVTPDEVTYNTLIRGYCREGKVEEARELFARMKGQGIEPDHITYNTLISGYSGRGDVKDAFRVRDEMFSLGFNPTLLTYNALIQGLCKKGKGDLAEQLLKEMVSQGITPDDSTYISLIEGIGNAGSFKGKSIQDEVSCA >CDO97401 pep chromosome:AUK_PRJEB4211_v1:2:18799770:18803504:-1 gene:GSCOC_T00014739001 transcript:CDO97401 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLGKKRKGAEVLVVRKKELSLTSLEISLSHLSLKDYSRRKRKCKEFIEKGDVGAGLNVVPGVVTAPPCGGSACLDPPARGLKRKIGCIDSATQLGRKKKIDQEYELGKVIGHGKFGYVMICTSKVTGEQFACKTLKKGEETVHREVEIMQHLSGHPGVVTLKAVYEDAESFHLVMELCSGGRLLDQMTNEGQYSEQRAAILIKELILVIRYCHEMGVVHRDIKPENILLTSSGQMKLADFGLAVRIVDGQSLTGVVGSPAYVAPEILVGDYSEKVDIWSAGILLHALLVGFLPFQGDSLENVFEAIKKDNLDFIGGVWECVSPSARDLLSCMLTRDVSNRFTAAEVLSHPWILFYTESTMKTHKILPSRKKQVNMTSRQLTANTGVESERNRSTILNSLYDDSRPTFSSYTSEKMLEGEDSALVDILAVAISHVRISEPKRSRLWNPVRPMQAECSSNMKAGSLCTAF >CDP05200 pep chromosome:AUK_PRJEB4211_v1:2:2378723:2381651:-1 gene:GSCOC_T00020164001 transcript:CDP05200 gene_biotype:protein_coding transcript_biotype:protein_coding MREQGQSMLNGSGVHRPSWEKIWLPKVLAKNTIGIFLLILLCIGAFFSTRVLDSSVISLSINSLKKSTFSAITSHNQPGNKQVEIPLNCSLGDATLTCPANYYPSRYSARNPDPPSAATQPTCPDYFRWIHEDLSPWKETGITEAMVNMANRTANFRLVILNGTAFVETYEKAFQTRDTFTLWGILQLLRRYPGQVPDLDLMFDCVDWPVINKDSHSGPNATAPPPLFRYCANDTTLDIVFPDWSFWGWPEINIKPWEALSKDLKEGNERSRWVDREPYAYWKGNPQVAEKRMDLLKCNVSDQQDWNARIYAQDWGREQQQGYKKSDLASQCIHRYKIYIEGSAWSVSEKYILACDSVTLLVTPKYYDFFTRGLTPLQHYWPIREDNKCRAIKHAVDWGNSKIEKAQAIGKAASNFVQDELKMDFVYDYMFHLLREYAKLLKYKPSVPPKAIELCSESMACPAQGQEKKFMMDSTVRGPSSETPCGMPPPYDPATLHSIIDRKRNSIKQVETWERHYWDQRSKHV >CDO97541 pep chromosome:AUK_PRJEB4211_v1:2:19810006:19814574:-1 gene:GSCOC_T00014916001 transcript:CDO97541 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSQQQLPVARQQQQRQGSTEEGGVGSSIFCCRRCSAAFNRIPRDCGCKCFFVLLLSVAVFVYALFSVVHLSSKEIGYDAKDAIKIRATVQAYLRLQKPVAQLLPHINELEYDIFGEIGVPSTKVAVLSMHRAGLSNVTDVVFGVLSDPLSVPINPVFISVLKSSFVELFLLQSNLTLTNTTFGEPSSFEILKFPGGITLIPEQSPSIWSVPQILFNFTLNNPIYEIREKFVELKTQLKLGLDLMPDETVYIQVTNNNGSTRDPPVVVEASITSNWGILLPQRMRQLAKKLMGSPKNLGLDMYVFGKVKEVSLSSSLKHSLDVLPPTPSPSPSPSPDLPFYGSDPPAPVPNFPLSAPCSNFDVYAPSDPSPENDLDYFSAPLPAENDPKCRSGLSPSYSPISHAHPPSPNLSPSSSTPSTGSIAQTGPSPLPVVSFGSGRGWENENGRRLASPPHSLPSISASSMYPALSSPYHICWVCLFGLFIFHLLSYPF >CDO99696 pep chromosome:AUK_PRJEB4211_v1:2:9755347:9759213:1 gene:GSCOC_T00029369001 transcript:CDO99696 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSA32 [Source:Projected from Arabidopsis thaliana (AT4G21320) UniProtKB/TrEMBL;Acc:A0A178UV51] MSAFAWKSFYEDEDRPEKPRTYGVTEVRGPHCSLFSQNLLEDIFESMGEFVDGLKFTGGSHSLLPKTYIREVTDMAHKHNVYVTTGDWAEHMLCKGPSAFKEYLEECKQLGFDTIELNMGSMGFPEETLLRYVRLIKNGGLRAKPQFAVKTNKSDIPVNRDRAFGSYVVPAPRSSEFIEDVDLLIRRAERCLEAGADMIVIDADDVCRQADSVRADIIAKIIGRLGLERTMFEASNPKTSEWFIKQYGPKASCPFFCVNLFVDHSQVMDLECLRGRNLGKNHTSVLGSSHFPF >CDP00046 pep chromosome:AUK_PRJEB4211_v1:2:6654762:6655877:1 gene:GSCOC_T00029811001 transcript:CDP00046 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLPEELLTEILLHLPVKSLIPLRCVCKSWCALIRSPKFIAIHLSRAKNNERLLIRNVPLEIDETPPTFLSIHSNLESVRDAPPPDLQPLPFLHNGSSVQFVCHCNGLVYLNDVNDMYLFNPALREVRLLPPPPCNARPEDFICTEVYEGLGYDPTTNDYKVVILAEYASSLVDDYTLFKADIYSLSTDSWREIDSMFPTLARPRFCVLFNGRMHWSGFHRAARERAVLFSFHVSSEVVQEIGVPDALMVGALVPPRVDVLRESLALISAWFSGPGEDHIDVWIMDVYGVKESWTKIYSIGPGIGDPFSLVFWKNEPLIDSSFGQQLILCPLNASQQLQTFDVYGGQETFLDIIVYKESLISIKRTSDHP >CDO96942 pep chromosome:AUK_PRJEB4211_v1:2:15223772:15226609:-1 gene:GSCOC_T00014136001 transcript:CDO96942 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSAWAKPGAWALDSEENEADLLQQHNQDSLTGVHLSNGAAANSAPTADFPSLATAAATKPKKKKGQTLSLQEFSTFGATPKPSSSSSSQPSRGLTHDELLALPTGPRQRSAEELDRNKGFRSYGNNYDRPGRGSSDEQPRRPRDSSRDLEPSRADEIDDWGALKKSTAGNGNLFERRERGERGGFFSDSQSRADEVDNWASNKTKEEEGRKFGSSGGGAFDSLRERRGGGIDSANGGGPDSDSWGRKKEEVATAGGRPRLNLQPRTLPVGEQNESVVKPKGSSPFGSARPREEVLKEKGQDWKEIDEKLESVKIKEAVAAAEEKPAFGKRGFGSGNWRGGFQQEDKNERAWRKPEPQPEDARPLSAEKTEDVPVEEAEDKSSQM >CDO96954 pep chromosome:AUK_PRJEB4211_v1:2:15303680:15308958:1 gene:GSCOC_T00014151001 transcript:CDO96954 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSADVSQNGTIKNVDSSSSVFEPEEYDFSKLPDRPKNLNIDRQRSFDERSLTELPTGHSPCPPSRADNYYRALDHFDGFFSPSKRSLLNTPRSLFGYEPHPMIAEAWETLRRSLVYFRGQPVGTIAALDSSEEKLNYDQVFVRDFVPSALAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNTETLMADFGESAIGRVAPVDSGFWWIILLRAYTRSTGDSSLAEMPECQKGMRLILSLCLSEGYDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALVLLKQDAEGKEFMERIVKRLHALSYHMRSYFWIDMKQLNDIYRYKTEEYSHTAVNKFNIMPDSLPEWIFDFMPTLGGYFVGNVGPSNMDFRWFCLGNCIAILSSLATPEQATAIMDLIESRWQELVGDMPLKVCYPAIEGHEWQIITGCDPKNIRWSYHNGGSWPVLLWLLTAACIKIGRPQLARRAIELAETRLSKDGWPEYYDGKLDPSHLGMVALEEDKHLKPVLRRSSSWTN >CDP08567 pep chromosome:AUK_PRJEB4211_v1:2:40628323:40631413:1 gene:GSCOC_T00027542001 transcript:CDP08567 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAKPYQLDSIWFGDFKFWSTFQRPELVQSDPSELTHWQLRSYLVFCFSSHKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNITPLTMSRLRSKTRRAFLLTSKG >CDO99841 pep chromosome:AUK_PRJEB4211_v1:2:8383067:8393843:-1 gene:GSCOC_T00029537001 transcript:CDO99841 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLRNPVISRAPSLLRARLFSSTCRSLPRSSRVLSSFADVEGTLSRTTSVPVFGRLHGHSSKTKSRIGVRYFSSADPLQTVVGMPALSPTMSQGNIAKWRKKEGDKINVGDVLCDIETDKATLEFESLEEGFLAKILVPEGSKDVPVGQPIAITVEDPDDIKNVSVPAGGGAEVKEETSEKRIGNEEKKQQTSSLKTNTAELPPHFVLGMPALSPTMSQGNIAKWRKQEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILVPDGSKDVPVGQPIAVTVEDPNDIESVKTSFSGDGMLQEDKPVRHDPTSKTKAQKTSFSRISPSAKLLILEHGLDASSIPPSGPRGTILKGDVLAAIKSVIGSSKISSSKEKQTPSPPVKSQTASPGLSGLKSTLPQADAYEDLPNSQIRKVIATRLLESKQSTPHLYLSSDVILDPLLSFRKELKENFDVKVSVNDIVIKVVATALRNVPEANAYWDVKKGEAVFSDAVDISIAVATDKGLMTPIIRNADQKSISAISLEVKELAERARAGKLKPNEFQGGTFSISNLGMFPVDHFCAIINPPQAGILAVGRGNQVVEPVVGADGIEKPGIVTKMSLTLSADHRVFDGHVGGAFLAALRSNFSDIRRLLL >CDP08729 pep chromosome:AUK_PRJEB4211_v1:2:53491808:53493441:-1 gene:GSCOC_T00027801001 transcript:CDP08729 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIAEARKMRSLGSSYACLESLSYQFLQRCLVSGTAKGKSKIKGAQPLKRSKVTTKKGTGSGDSNQKDGGPRRKSEFDELVDECLASTSPIRFLKPKEKAREAEREKMGLKSKVMEEQEKKWKKMKNEFDSPFFMGTPGLDLITLGLVDADKIPKYELTVEDGRRLAKEYSRVLMRKHRARQAAESAFLRCKKEAIEALPEGLKAAALVPDLTPFPANRFMATLTPPIEGYLEKVKEAAKRSSGKEKLR >CDO99574 pep chromosome:AUK_PRJEB4211_v1:2:11240589:11251193:-1 gene:GSCOC_T00029209001 transcript:CDO99574 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRSRSMATRNFNGGVSDKSFSQESPPDVFDFTFSSQGSTRWSSDPYGFSDSLDPYVKENGVMQKKKNRKKGKNREVGSDSVTVGSTATLMETQEFGEMMEHVDEVNFALDGLKKGQPVRVRRGSLLSLLSICGSSQQRRLLRAHGLAKTIIDAVLGISFDDPPSNLAAAALFYILTSDGQDDRLLDSPICIRFLLKFLRPLTFDAANVKAPSFGSKLLAIRMDPDVSQISAKGSESSAAIMQKVQEILVSSKDLNPRDANDDCIELPELNPKWISLLTMEKACFSTISLEDASGRVRRTGGNFKEKLRELGGLNAVFEVARNCHSVMEGWLQRNPSSVLDSKDKEGLESLVMLLKCLKIMENATFLSKDNQSHLLGMKGNFDSQSAPRSFTKLILGVVKILSGIALLRSSLGSEEGKTCNHSNETSHASEFKVEDNRSLCISCSRRRTMEGTSSLKSLSISHNSQSFSCHPSSSKSHSGASTMSDTDPWLKMRIDSSMSGQCSGTSGDFTNGTISKGFGVSFGRGNDHKVSNATKFEPMEDSQDPFAFDEDDFEPSKWDLLSGREKVSQVHNSRTKPYQPESESQSLLLLGQEDSHLDNQHSSEVSCSSGVTDEKSNLLADCLLSSVKVLMNLTNDNPMGCRQIAACGGLEIMSTLIASHFPNFRTYLPCSGSSRENGVSSRSSAVVDHQNDRHLTDEELDLLVAILGLLVNLVEKDGLNRSRLAATRVSLTNLEGLEKESSTDLIPLLCSIFLANQGAGEAAGEGRQLSWDDEDALLQEEKEAEKMILEAYAALLLAFLSTESRRIRSTIAECLPDHNLAVLVPVLERFVEFHLSLDMISPETHSTVLEVIESCRIP >CDP09486 pep chromosome:AUK_PRJEB4211_v1:2:21617491:21618748:-1 gene:GSCOC_T00028867001 transcript:CDP09486 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYEIVCSMCGDVGFPEKLFRCNKCRNRFQHSYCSNYYRESSEPIEVCDWCKSEERNSGRHGGSSSRKSSAGNDGGMNSRSDYSGERVKQHDRQEGSERGGKNPSGTPSPRPGTRRYKLLKDVMC >CDO97226 pep chromosome:AUK_PRJEB4211_v1:2:17431215:17434472:-1 gene:GSCOC_T00014495001 transcript:CDO97226 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVTVLLLSVLLLSSAGESAIVSYIRLPNSPADGLCAHLIQPYGYPCSEHTAQTNDGYLLGLQRVASNSRYLRGQYGPPVLLLHGLMMAGDAWFLNSPNQSLGFILADHGFDVWAGNVRGTHWSRRHVHLTEKDKDFWDWSWQELALYDLEGMARYIYNITNSKIFIVGHSQGTIMSLAAFTQPHIVSMVEAAALLSPISYLDHISSSFVLRLVKMHIDEVLCVMGIHELNFKSDCGTHMMDILCSEYVNCNELLTPVTGKNCCFNNSRIDFYLEYEPQPTSSKNLNHLFQMIREGTFRKYDYGIFKNLIRYGRFWPPAFDVSSIPNSLPLWMAYGGNDSLADVTDVQRTLKELKRQPEVLFLEEYGHIDFLLSVRSKEDVYDKMIRFLKSFRKFGSY >CDP13832 pep chromosome:AUK_PRJEB4211_v1:2:33486787:33493886:1 gene:GSCOC_T00038923001 transcript:CDP13832 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVLSAFLQVVFDRMATKEFVNLFRTRKNDEELLHKLKLNLLAVGAVLDDAENKQTRNLSVKGWLDELHDHDDLLDEINTEALRLKVEAEYHSSTSQVSALIYSSSFSNDFLEKMVPQIEKMVARLDWFIQQINPMGLQVVEPKMQSCRTPSTSLVDEARVYGRDADKEKIIKMLLSESANGFNFTVISVVGLGGIGKTTLAQLIYKDKRVQNHFPTKAWVCISEDYDAARITKELLEGLDSPLSDPSENLNSLQVKLQLELTGKKFLLVLDDHWNRAFNDWDKLRLLFKGGLQGSKIIVTTRKKIVKKCGGLPLAVKTVAGLLRSKTTAEEWEDILLSEVWTQTDNEDDILPALRLSYSHLPSRLKRCFACCAVFHKDSQFRKEEIIHLWQANDLLEPPGENRGIEQIGEEYLRELRFRSLLEQSTDGLFLMHDLVNDLARFVSRSYCFRLEDDYLEHAKIGSISFCRCCPMISISCPTQLVMKHLRFLNLSSTPLETLPECICTLYNLQTFLFYIFDLVENFYGDVSATKPFPSLKNLTLLDILHCKKLTSLPQRMESLLPSLRDLYLSDCPEIECFPEGDWPEVAHIPCVQCFLEGRLPSSLHYLEITYCKELMSYRKESLTPYYFLQKLTIMTCTPLQSLLEEGLPTSLEIFDCPLLKPKLEWEK >CDP05275 pep chromosome:AUK_PRJEB4211_v1:2:2941902:2946033:-1 gene:GSCOC_T00020262001 transcript:CDP05275 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLIAIRDAALTAGGEYSPAKEKAANRGIYNGRSPLLHRRVKQLLGGLKFKLLFCLSLLCLVVWFSSKIGPFMGWDPDLSSSISVPNRGSYTVLINTWKRNSLLKQSVAHYASCSGTDAIHVIWSESDPPSDQLRAHLKNIVLKKSQTVHKPNFRFDLNEEDNLNNRFKPISDLRTDAIFSVDDDVIVPCRTLDFSFTVWQSAPHTMVGFVPRMHWLDEEKNGMAHYKYGGWWSVWWMGTYSMVLTKAAFFHQKYLDLYTKKMPSSIHDYVMRERNCEDIAMSLLVANATDTPPIWVKGKIYEIGSSGISSLNGHSDRRNKCLNDFVSLYGTMPLVSTNVKAVEAGNEWFW >CDO99982 pep chromosome:AUK_PRJEB4211_v1:2:7240813:7241738:-1 gene:GSCOC_T00029725001 transcript:CDO99982 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSHSSIMFFSSSSKYLFVLSLYSEFQYGSLVKLFLVVQLEVRFGDTKLSLLVYLHGGGCLIKSAFSSTYHAHLNVVVAEAGGVTVSINYRLAPEHPLPIAYEDSQIVVKWVAPHSNGEGPKVWLRDYAGFDRVFFGGDSAGDNLAHNMAARVWREMLDDFNLDVIFLNCSYFLGKDLISIELTKLQAKAYVKGIWYYVHPKSTGVDDPSLNPLMEPNISRLDFVVAKKIGYFHQQEYCVDASLSG >CDP09331 pep chromosome:AUK_PRJEB4211_v1:2:23598652:23602842:1 gene:GSCOC_T00028652001 transcript:CDP09331 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDQKDFLGCCGSTKFAKEMVLSGPFSNYQQAVEAARDIWFNKVDVNGWLEAFAAHPKIGESPSHSQKTKSSSSAQWSEGEQSTALASATDSSFQELIEWNARYLQKFGIVFLIFASGRTTLEILAEMKKRYVNRPIVELEIAAQEQMKITELRLSKLFSLQKDASSEAKTDNATDIVNKAGEDRISVIGGHLTSEASTGKPSQATTRSRPPITTHVLDVAQGSPAAGIEVQLEIWEGNEPPSAFTQSHTGHWILQGTSMTDKDGRSGQLISMVDNLNAGIYCISFNTGKYNPAGFFPYVSIVFEVRESQKSEHFHVPLLLSPFSFSTYRGS >CDP08857 pep chromosome:AUK_PRJEB4211_v1:2:52407399:52410539:1 gene:GSCOC_T00027973001 transcript:CDP08857 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAITSHAQKRCAVVTGGNKGIGFEICKQLASQGILVVLTARDVKKGNEAFEKLKDSGLSENVVFHQLDVVEPASIASLVDFIESHFGKLDILVNNAGAAGLMVEGDVSIIKEIIMADDLKVAGQEVPEIKADAKLIQTYDLAEGSLQTNYYGVKQMIEAFIPLLQLSSSPRIVNVSSLLGRLELLSHQWAVEVLGDGESLTEERVDKVVTEFLKDFKDGTAEAKCWPATFTAYKVSKAAINAYTRILAKKYPTICINCVCPGYCKTDITCNTGFSTAAEGAEGPVKLALLPDGGPSGLFFSRKEVTTY >CDO96855 pep chromosome:AUK_PRJEB4211_v1:2:13987766:13995165:-1 gene:GSCOC_T00014013001 transcript:CDO96855 gene_biotype:protein_coding transcript_biotype:protein_coding MYMYMYVYVYRAVFSLLCFHLIFSSSFHAAYMYLWCIFTGTILDLTCIIAVLGKIYNYQSFTANLKIHYCYLFPVKTIPLLWCEIMDCNCCYTLARPSSSITPVLNFQSVSAVNRNFSVKNLHPRVLPSPGSCRQFGRLSDQFRPFIYQGNSELPCLRTYRGQISSEARRQGWDFGRFLKTLYFFNGPPSPAKFFEFLIEKLSNPSPSKTENRMDPSGVILVAGATGGVGRRVFDILRSKGYTVKVLVRNEDKARRMLGPDVDLIVGDITKASTLVPEYFKGVRKVINAVSVIVGPKEGDTPDRAKYSQGIKFFEPEIKGASPEMVEYIGMKNLINAVKESVGIRRGKLVFGFEENLTRELAWGALDDVVMGGVSESSFVIDPTGGEKGGPTGVFRGVVSTANNGGFTSIRTKNFPVPEDLSAYDGLELRLKGDGRRYKLIVRTSCDWDTVGYTLSFDTIEGQWQSIQLPFSSLRPVFRARTVSDAPPFDARQIASLQA >CDP08869 pep chromosome:AUK_PRJEB4211_v1:2:52324945:52328760:1 gene:GSCOC_T00027987001 transcript:CDP08869 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKKQLRYQKHLRIYSWGVGILMLIYLPYKRYVLKKDMASRKLYVTPNEIVYKVSRPSFIPFWGEISIEKRVPLHLVIDIIVEQGCLQSRYGIHTFRVESVARGRAAPVDELQVQGVYNPGQLRKVIVTQASKTIQEVGRSWKATVNNVEGENMFRMESSIDSLAVMKSPSRSSKMVGSPHHTIMEHRGVVPADMLLNKLEEVSKSVKNIEFLMEKSQAS >CDP16460 pep chromosome:AUK_PRJEB4211_v1:2:30881031:30892365:-1 gene:GSCOC_T00018384001 transcript:CDP16460 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPPSLMESAHFLRLFLAFSIIFLGFSSSSSSSSSHLSSRELGDVTFEYRNYTAIHEFRLLNRKRSIFCPDPNPYLQINITSNPLLSDDEFVTVNIRGVLLPAESDWVAMISPSHANISACPFNAIQYEQTGDLSKLPLLCHYPVKAQYASKDPDYLSCKKKTCQKYVAGKCRVSTCAATLSFHVINIRTDIKFVFYGGGFETPCILKISDSATFANPQKPLYGHLSSTDSTGKSMRVTWVSGDKQPQKVQYGDGQSQTSQVTTFTQDNMCSWAVKSPASDFGWHDPGYIHSAVMTRLKPSTQFSYRYGSDSAGWSDKITFRTPPAGGSDEVKFVAFGDMGKAPRDPSVEHYIQPGSISVIEAIANEVSSGNIDSIFHIGDISYATGFLVEWDYFLHLINPVASTVSYMTAIGNHERDYVSSGSVYITPDSGGECGVPYETYFPMPTAAKDKPWYSIEQGSIHFTIISTEHDWTKNSEQYNWMNKDMAAVDRSKTPWLVFTGHRPMYSSNGGSVIIPSVDKKFVQAVEPLLLANKVDLALFGHVHNYERTCAVYQRDCKAMPKKDKNGIDIYDNSNYSAPVHAVIGMAGFTLDKSPSNADSWSLVRVSEFGYVRVHATKKELNFEFVNSSTKKVEDSFRIIKA >CDO99833 pep chromosome:AUK_PRJEB4211_v1:2:8515203:8521385:1 gene:GSCOC_T00029529001 transcript:CDO99833 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKVKKYLRGESANLEILQDRKLKGQLAVREELYGKSAKAAAKIEKWLLPSEGGYLEAEGIEKTWRIKQESIAQEVDILSTRNQYDIVLPDFGPYSLDFTSSGRYMAIAGRKGHLGILDMKNMALIKEFQVRETVRDIAFLHNELFFAAAQKKYPYIYNRDGTELHCLKEHGAVLNLQFLKNHFLLATINKLGQLHYQDVTTGQMVGNYRTGHGRSDVMQVNPFNGVVAVGHSRGTVSMWKPTSAAPVVKMLCHQGPVTALAFHSNGHLLATAGMERKIKVWDLRKFEVLQTLSSHAKTLDFSQKGLLAGATGSFVQIWGDLAGAQSYDRYMGHSMAKGYQIGKVAFRPYEDVLGIGHSKGWSSVLIPGSGEPNFDTWVANPFETPKQRREKEIHSLLDKLPPETIMLDPTKIGAVRPTRKKEKPTNPEREAELEAAVAAAKDIPMKKKTKGRSKPSKRAKKKQEAVEKARRPFLEQAAKEEELSEKKRKRISEENELPKSLQRFTRKKAKA >CDP00008 pep chromosome:AUK_PRJEB4211_v1:2:7035714:7039497:1 gene:GSCOC_T00029762001 transcript:CDP00008 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRHGVTRLYVGRLSSRTRSRDLEDLFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYSMNGREVDGSRIIVEFAKGVPRGPGGSRDRDYLGKGPAPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPQKLKRGRSYSRSPSPPRRGRSPSRSYSRSRSYSRSRSPPRRDRSNEREEKRSRSPKRHRVSSPPPSKGRKHSPTPDERSPRERGTPSPRDGRPTNDSEYSRSPKDDAPRDDGIKDRSPLEENGRSRSPSPIRRDNGSPADNDETNGSPRGSE >CDP18242 pep chromosome:AUK_PRJEB4211_v1:2:48462542:48467360:-1 gene:GSCOC_T00011804001 transcript:CDP18242 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMPLVRHLPSANLLSASFSKPLRFLSMATKTHLDSIDSQVKNDPTSLQNYPVPLSPPLPSISKNIELNRALTASSRSSLFSLSRNHVLFEDQWLIAINKPQGIYCENVLSAVPSLLTDSTDSGTEVKLTELHLANRLDRDTSGIMIITKSHKVAAKLVKAFTEHKVRKTYIASCVGQAPKWVNITMKSGHGRSRYGAWRVYAASDVAKTLPGGSIVKDMETLFEVLSVNGQGQFKEFSESGRDISEVMIIEQKSEIDCNLKKDEILVRAYPKSGRTHQIRLHCQYLGMPIRGDVKYEGAYEWKERTYDGHELHAESLSFEHPVTAENVLIQAPLPLWACQFFESEPLWTN >CDO99919 pep chromosome:AUK_PRJEB4211_v1:2:7768644:7772541:1 gene:GSCOC_T00029639001 transcript:CDO99919 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANREMVVFCFDTLVAHYNSEEAPPPAFDEGQHPLFVTWKKVINGGEPRLRGCIGTLEARCLINGFKDYALTSALRDKRFPPIQAKELPYLECTVSILTNYETAQHYLDWEVGKHGIIIEFTDPDYNTRRSATYLPEVAAHEGWTKTEAIDSLIRKAGYNGSITESLRKRIRLTRYQSTLFTMHFSDYVTYVKTTRGSAPAINGVKSGYF >CDO97649 pep chromosome:AUK_PRJEB4211_v1:2:20685343:20686845:-1 gene:GSCOC_T00015052001 transcript:CDO97649 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPTTPLGAPTTTNMARVTGTHRTVLITGVSRGLGKALALELAKRGHTVIGCSRSQDKLNSLQADLAAVSTSNPSSPASAVQNKHLVMNVDVVSGGCCFSPCSGSMCFS >CDP07684 pep chromosome:AUK_PRJEB4211_v1:2:24697901:24699952:1 gene:GSCOC_T00025021001 transcript:CDP07684 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDSDINLNQEPVYQPVGSSASGFPSLWNEFHALGPANDSIEERFRQLKAATLRAGLSRRWQRICNLNENGGSSMDPIVDVNGGRGMHGGEESGGVIDSNVDKGKGCKRESGLLVAMALEMDTEVKKVPEDVGSFYDCNVCLHLARDPILTCCGHMFCWACFYKLPYVDSTAKECPVCEGEVTDSNVIPIYGNGDSTRESKLESGMTIPPRPKAQRVEGARQQRVARGAYDIPVGEALRRIRTSISAIGDNPQQEDSNRNTTTFVANFSMREETRLRRLRSLQMSRRLPERAASLSSASSALNVAETVVESLGTFLNNRRFQRSDAPVLSVDNGETLTRNAAVSQSEHQISSEEISSAVAGPSSSGTSDNFIAIEQTENMTNGTAGELNLPPRFYSPSRRRNSSSRGAG >CDP08848 pep chromosome:AUK_PRJEB4211_v1:2:52472267:52475500:-1 gene:GSCOC_T00027960001 transcript:CDP08848 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTKLEQSVCLNHLRSVRFQQVVHILSWNLLSLVLLEVIDQQSALGRKLAEMHKTGKSENGFGFHVDNTIGSTPQINTWTSDWVEFYAEHRLGYQLKLARQQYGDSTIYERGLRLAKNIRPLFEGVAIEPCLLHGDLWSGNITSDRNGEPVILDPACYYGHCEAEFGMSWCAGFGGSFYNAYFEVMPKQPGFEKRRELYLLYHYLNHYNLFGSGYRSSAMSIIDDYLLMLKA >CDP19643 pep chromosome:AUK_PRJEB4211_v1:2:5638205:5639778:1 gene:GSCOC_T00009481001 transcript:CDP19643 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAELLCLAGLDVTYIVSDFCHNRLLTHTNVSSHFARYPGFSFQTISDGLPDDHPRAGEGFVDIMPAIKMVTGPLFKRMMIEKGCFASSGTRSPVTCIIADGVLSFAGDFAEENGIALIYFRTVSACSFWACFCMPQVIEAGEIPLKGHGMELAVKSVPGMENILRRRDLPGFYRVDDLDDTTFQIIKTETQQTPRAQAVILNTFEDLEGPILSHIRKHMPRLYTIGPNHFHLTARLGAKATETETLISSASLWEEDRSCLDWLDSQPPKSVIYVSFGSITIVRREQLLEFWYGLVNSGQRFLWVVRPDSIMGEAGGGKIPAELETATKARGYMVGWAPQLEVLNHPSVGGFLTHGGWNSTLESMAAGVPMLCWPYFADQTINSRFVSEIWKIGLDMKDTCDRVIIQKMVAELMHARKDEFLRRADAMAKLARKAVTQGGTSYNNLDSLVEFIESTII >CDP15160 pep chromosome:AUK_PRJEB4211_v1:2:50071101:50074672:-1 gene:GSCOC_T00042760001 transcript:CDP15160 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAQDSSNMKGKGGFRAASFVYALVSLENMGFVANMVSFVLYFQLQMYFKVSAAANTVTNLMGSTYLLSIFGGFISDTYINRFKTCLIFGVFELAALLLMTVQARYKDLQPSPCGKSSCLAGRVAVMFYASLSLVAMGTGGVRGALPALGADQFNQKDPKESKALATYFNFLLLSSTLGATIGVTIIVWVATNRHWWPGFLISLLATLVGFIFLVLGKPFYRLQLPGDSPLLRVIQVIVVAIKNRSLQHPSSPEELYEPEDKESSISTDQKIQHTEQFRWLDKAAILRDSNTKPAPWTVCTVTQVEEVKVLTRMLPIIASTIILNTCMAQLQTFSVTQGYYMNRVLGSFEVPAPSVPVIPLVFISILIPIYEFFFVPFARKITNHPSGVTQLQRVGVGLVLSVVSMTVAGFVEVKRKNHDLTYGKPVHVVWLSFQYGIFGIADMFTLVGLLEFFYKEAPVGMRSLSTSFTWLTQSFGYFLSSIFVDIINSVTRRTTHSKRGWLDGKLLDENHLDYFFWFLAILSLLNFFNYLYWASWYKYKQENVSSSADGICWSTNAMCAPQSLSASGVPLFKEPDDQADQNEARKTRTTATNGNGIVGNDEKSTEEANGKS >CDP16447 pep chromosome:AUK_PRJEB4211_v1:2:30470208:30483249:-1 gene:GSCOC_T00018358001 transcript:CDP16447 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTTKVFKGENVFMSRNLVPPELFDSLHDALKLNGAQVLLCCDPSRNAPNDFHVISSADHEKFEDLRAKGCNLLGPQCVLSCAKEHRPLPKQGFTCCLAMDGVKLLTSGFEMDERAEIAKLVTSMGGVLQTKASMDVNFVIVKNVLAAKYKWALNVLKKPIVTINWLHQCWREHRVVPQDSFRVPPFSGLTVCVSRIPADERKQLEQLILQNGGKYSAELTRKCTHLMFLDYSYAPEGDKYKVAKRWGHIHIISRKWFDQSVARRACLNEESYPVQGSSMKTVRKMAMPSQDKSLGNSQCEASSAGTASDPHVRQYAQKPEPSSEANISHDMSSAFCDAPTFTREEDNGQSAEQLKNNSNVDGCVADDSQTEDDLYLSVCRIMLVGFNADNMRKLVSMVRRGGGSRYMSFNEKLTHIIVGTPSESEIKEVRNLAALGVIYVVKSEWLEDCDRQKKEVPVLKRHMAYDLILPKDTINSNKRSFMAMNEMRQGSSVQPILPDDHIVKYTNSQSGVSFEKRKEVYSIRNEEMSWVNTGNLAKQQQSTQKSASHDRDNRISANVFAGKLFCFSGSFPADQRAEIVEWVNQGGGEVVENLVEGNTYFIVECHGVVPSETDFSGSKYVSSHWIRSSLMDGHLLDVSSHILYSPLPCQVPFMSFKCFRFCVSQYDEKERLLLRNLCFILGVQFVEKLTKKVTHLLCKFASGPKYEAACKWGIQPVTSEWIYECVKQNKVVSPGPFCPKEATSQDRETGVCTMTQYPTQGIGIISGDDASQLPRHSQECKSVETEAFTKKSEEINYPIGCLKKARTFEADKTKCSFSEANLTHSICRVSPMEEDVTENASKVTTMVPDVAAAIEDLLEQTSKIHDQQSPVRSTCGTSIFTSDCTVLGQDRGEPQSALGLPKHWKNRFDKKDDSHNPQEDARTNDYDGFTETPTESQVVGYADDLSGRQMIIDRVRTRNSMT >CDO99642 pep chromosome:AUK_PRJEB4211_v1:2:10402846:10406629:1 gene:GSCOC_T00029294001 transcript:CDO99642 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADNKSASDGKVWGLFKLPFRSSNSSASSSQYASQQQQYNTRADGSNANASNSSSSVSSVARSLLRTRRRLKLDPSNKLYFPYEPGKQVRSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVEQPENNEKPTDQRNRVKFKIMSLKVKEPMDYVPELFDEQKDQVAVEQILRVVFLDVERPSPALEKLKRQLAEAEAALEARKKPPEDTGPKIIGEGLVIDEWKERRERYLARQQVEGVDSL >CDP18380 pep chromosome:AUK_PRJEB4211_v1:2:43211104:43214978:-1 gene:GSCOC_T00012960001 transcript:CDP18380 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKEALQVVAEATEVTFPLSSKESSSAAAAEAIGGGKYEGWLWECHGIWHSLFLIIPTALFLLYLAFQAKKSVSKLFNGRSHIIIAYYIFLWVVTLLNLLWCSLQAWECTSGKEVAWSILSLFTTSGMLFLEVSLMAFLLQGNYASGQEALTRTFGISGFIVGVDIFLKGLYTFGFGIPLFIDNNEESHRVKWGLWVVHRLLLTGVYGFIFCVYRSRWRERLPARPAFYNYITIMFFLNGLALFACALAANGAAFGLWLNEITTVCYHALYLPLLYVTFLADFFQEDDLNLENVYYSEMKDAGFFDADWE >CDO97307 pep chromosome:AUK_PRJEB4211_v1:2:18018952:18022416:1 gene:GSCOC_T00014614001 transcript:CDO97307 gene_biotype:protein_coding transcript_biotype:protein_coding MQANSTIFSVERGYDDSKFDEDGRVKRTGTVTTASAHIITAVIGSGVLSLAWATAQLGWIAGPVAMMAFSCITWFTSILLADCYRSPDSVTGRRNRTYMEVVRANLGGLKVQLCGIAQYGNLVGITIGYTVTTAISMVAIKRSDCFHENHVRDGCHTSNNFFIILFGLIQVILSQIPNFHKLSLLSIIAAVMSFCYSSIGLGLSVAQIAGGAHPQTSLTGKPAGQSMTAMDKMWDTFSALGDIAFAYAFSTVLVEIQASRPIFLEDTLKSTPPENQVMKRAAFIGISVSTVFYMLCGVLGYSAFGNDSPGNLLTGFGFYEPFWLVDLANVCIAVHLVGAYQVFAQPIFAFVESWSKKKWPESTFINRENSIDLPGGCVTNFSPFRAPWGCLILAADGFLPD >CDP17756 pep chromosome:AUK_PRJEB4211_v1:2:51672013:51674388:-1 gene:GSCOC_T00003865001 transcript:CDP17756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G73177) UniProtKB/Swiss-Prot;Acc:Q8L981] MAECPSLGILIDIVDEDWMRDTLPDDDLPLPPVLVSRTDDTEDSNQEVQQVDGDTWHDLALGNS >CDO97229 pep chromosome:AUK_PRJEB4211_v1:2:17445818:17446507:-1 gene:GSCOC_T00014498001 transcript:CDO97229 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRKTFLLSSLAAILLAALIQGSHAVEYTVTNSAASTPGGARFERDIGVQYTKQTLDSATNFIWRIFQENAPADRKNVQRVDMFVDDMDGVAYTSNDQIHVSARYIQGYSGDVRSEITGVLYHEMTHVWQWNGNGQAPGGLIEGIADYVRLKAGYAPSHWVKPGQGDRWDQGYDVTARFLDYCNSLKNGFVAQLNNKMRNGYSNDYFVELLGKSVDQLWNDYKAKFNN >CDO99662 pep chromosome:AUK_PRJEB4211_v1:2:10114038:10114382:1 gene:GSCOC_T00029326001 transcript:CDO99662 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGDVIEFEDFFPAMVEKLGAEGFLDELCNGFRLLMDEEKGLITLESLKKNSALLGLQDLKDDELQCMLEEGDLDGDGSLNEMEFCVLMFRLSPELMKTSRMFVEEAILNQF >CDP05256 pep chromosome:AUK_PRJEB4211_v1:2:2775821:2782101:1 gene:GSCOC_T00020235001 transcript:CDP05256 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGSKRIFQRLGPGAATASSSGSNSNNKQQKVCFHWRAGKCSRFPCPYLHRELPPPQQSNPNFNNSWGRQQQQHQQGYGNRGGGVIKKTEKLCNFWVQGNCSYGDKCRYLHSWSTGDCFSLLTQLEGHEKVVTGIALPSGSDRLYTGSKDETVRVWDCQSGQCTGVISLGGEIGCMRSEGPWVFVGLTNFVRAWNTQTTTDLSLSGPVGQVYALVVGNDLLFAGVQDGTILAWKFNTATNSFEPAASLKGHNLAVVTLVVGANRLYSGSMDHSIRVWSLETLQCLQTLTDHSNVVMSVLCWDQFLLSCSLDKTVKVWAATELGSLEVTYTHNEEHGLLTLCGMHDLDGKPVLLCSCNDNCIRVYDLPSFCERGKIFAKQEVRSIQTGPPGLFFTGDGTGQVKVWQWSTESTSTK >CDO97216 pep chromosome:AUK_PRJEB4211_v1:2:17362931:17367614:-1 gene:GSCOC_T00014484001 transcript:CDO97216 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASSTSLFISKPSPSSKLSWKNQLPLPSALAAISLRGCGSNHRHSPRLVVFAMDAKPTVLVAEKLGEAGINLLKDFANVDCSYNLSPEELCTKISLCDALIVRSGTKVTREVFESSDGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNVAQADASIKAGKWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAAADRARAVGVDLVSFDEAIATADFISLHMPLTPATSKILNDENFAKMKKGVRIVNVARGGVIDEDALVKALDAGIVAQAALDVFTEEPPSKDSKLVQHENVTVTPHLGASTMEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVLTELKPFVVLAEKLGRLAVQLVAGGSGVKNVKVTYASSRAPDDLDTRVLRAMITKGLVEPISDVFVNLVNADFTAKQRGLRITEERILLDGSPENPLEFIQVQIANVESKFASAISESGEIKMEGRVKDGIPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGKVGSILGEENVNVSFMSVGRVAPRKHAVMAIGVDEQPSKESLKRIGEISAIEEFVYLKL >CDP15855 pep chromosome:AUK_PRJEB4211_v1:2:45238638:45238962:1 gene:GSCOC_T00016747001 transcript:CDP15855 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCLFLVLSHFFGRNFRGFSKFSSIDYVKWKQENRIAQMLLGCHGPLANRQFGRAFLQATF >CDO97665 pep chromosome:AUK_PRJEB4211_v1:2:20844522:20856145:1 gene:GSCOC_T00015071001 transcript:CDO97665 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMBRYO DEFECTIVE 140 [Source:Projected from Arabidopsis thaliana (AT4G24270) UniProtKB/TrEMBL;Acc:F4JQ75] MAEIETRDSPLSLPEELPPQPVEQVTSINGNDDNDQSMADAQNPKEDSDSDSDSDTESDSDDEAQLNLQIQTLEAELSNNPSNYDAHVQYIRALRKQGDVEKLRQAREAMSALFPLSPELWREWARDETTLSSGPEALPAIEQLYERGVLDYLSVDLWCDYINFVQEYDPLVRECSTNGISKARNLFERAVIAAGLHVAEGSRIYEAYREFEQAIALTIGETDIESREKQVQLIRNLFFRQLSVPLANLRSTLLTYKTWEAEQGSTLGVDPHSLDGLPSNVASAYQKALDLLNARTHFEEQIARKDIPDDERLQKFMTYLNFEQSMGDPARVQILYERALTDFPIYSDLWLDYTRYLDKTIKTSSTVKAVYSRATRNCPWVGELWVRYLLHLERVHASEEELSTVFEKSLQCTFSSYDEYLDLFLTRVDGLRRRISSTSKTEDCLNYDLIRDVFKRASDYLSPHLKNTDSLLRMYSYWARLESKLGKDIVAARGVWENLLKISGSMLGAWEGYIAVEVAEGHINEARSLYKRCYTKKFSGTGSEDICHLWLRFEQEHGSLEDFDIAVQKVTPRLEELQLFKTQQETKSAGSSDQKETMKKTPREKRKLHSDLTEDQSPAKRRKNMSQNLKMTNEKDQVEAAESVEKSKVEVVSTKPESASKRETNDQSPRKPKHYNDQCTAFVSNIDLRAFFSDVGGVVAIRILTDKFTGKSRGLAYVDFSDDAHLAAALAKNKKNLLGKKVSIARSDPQQSKKKGTFGPKSTSKHGVGDNQSANAGQSDSKDSASRPKENSGSQAQVNSQKSRDERVELKGKNTFAVPRAVRPLGWSSQKPGGTEGDGDEKPKSNDEFRKMFLKK >CDO97460 pep chromosome:AUK_PRJEB4211_v1:2:19215753:19223536:1 gene:GSCOC_T00014808001 transcript:CDO97460 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLNKVRNLDAYPKINEDFFSRTLSGGVITLVSSIVMLLLFISELRLYLHTVTETKLVVDTSRGGKLHINFDVTFPAIRCSLLSLDAMDISGEEHLDIRHDIFKKRIDSYGNVIEVRQDKIGAPKVEKPLQRHGGRLEHNETYCGSCYGAELSDDDCCNSCEEVREAYRKKGWGLTNVDLIDQCRREGFIQQIKDEEGEGCNIHGSLEVNRVAGNFHFTLGKSFHQSNVHVHDLLALSTESYNISHKINKLAFGDSIPGVVNPLDGVHWEHETPNGMHQYFIKVVPTIYTDIRGRSIESNQFSVTEHFKSSEAGHSRTLPGVFFFYDLSPIKVTYKEDHASFLHFMTHICAIVGGIFTIAGIVDALIYHGQKALKKKMEIGKFS >CDO97204 pep chromosome:AUK_PRJEB4211_v1:2:17269697:17271329:1 gene:GSCOC_T00014472001 transcript:CDO97204 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSAKLILVHPSSLHKQGVAIAASHRLWLLLFLSFFALAFTLTLITTKDAMTAAAANAASASATVKAPLPRPVFDALLHYASVNYSAVGSRFSASELAAVAAVLRRCNSNAAPCNLLVFGLTHETLLWNSLNYKGRTVIVGDNDYFVTRLEQRHPEIEAYDVQFTTKVSELYELLEYSKEQLKNECRPVQNLLFSDCKLAINDLPNQIYDVAWDVILIDGPFGFLPTAPGRMSAIFTAGVLARSKKASAGKTDVFVHECNREVERVCSEEFLCRENLVETIDALGHFQVGETEANKRFQFCSDSGSIASSSSSPSSKKNRADS >CDP05346 pep chromosome:AUK_PRJEB4211_v1:2:3469595:3490360:-1 gene:GSCOC_T00020352001 transcript:CDP05346 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSNLEIELGDWVNFITGQNGSGKSAILTALCIAFGCRAKSTQRATTMKDFIKTGCSSASIWVEIKNQGEDAFKPETYGDMIILERKILQSTSTTILKSYEGKIVASKREELVELVEHFNIDVENPCVIMSQDKSREFLHSGNNKDKFKFFYKATLLQRLGDLLNNVENQLNNATVYVVEMEKSLSPLQRELDELETKIKSMEEVEEISKEVQLLKKKLAWSWVYDVDRQLKEKAILIEKLKDRVPICQAKIDHQKHKMDMLNDQIIEKRAQIGHIMERTSEVRRMKDDLQHSIHLAMKEKLELDGNCKRKTSHIEKLVEQVKLLERQIHEMQEQHIKDTQAEEFEMKQRLKEIQGEISELKLKLQSLKDDEESLSVTVTKLKDEIKNIASQIEDHEKKHHGICSQIRGLQENQKNKVSAFGGERVIALLQVIERHRHKFRKPPIGPIGSHVTLDNGNMWGIAIENAVGGLLNAFIVTDHKDSLQLRACAKQANYNHLRIIIYDFSRPRLNIPSHMLPQTKHPTAISVLHSDNAVVVNVLIDLGNAERQVLVKDYNDGKAVAFDQRVPNLKEVYTSDGFKMFSRGPAQTILPPNRKLRAVRLCGSYENQIKELERDALYNEQEAKEGRAMKRNREEELHSLQGNLGSAKRKCDQVERYLRSKELKLQDLMKSYAADACPASTCTADELHHEISKVQEDIQEQGVMLQKVQLRLKESEDKASSLRASFEKLCESAKVDIDALEKAEDELLKIEKDRNEAEAKKKHFEEVMTAKVIVELEAAKAHCSEFEHNRKESCKKASIICPESEIEALGGCRDMNPEELSAQLNRLNQRLQRESQRFQESIEDLRMLYERKHRRIRRKEQIFKTLQDKLKTCRRALEMRQSKFQRNATLAKRELTWKFNAHLGKKGISGKVIVSYEQETLSVEVTMPQDASNKSVCDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDIFMDAVSRKISLDTLVDFALAQGSQWIFITPHDISMVKQDQRIKKQQMAAPRA >CDP08885 pep chromosome:AUK_PRJEB4211_v1:2:52052119:52053614:-1 gene:GSCOC_T00028011001 transcript:CDP08885 gene_biotype:protein_coding transcript_biotype:protein_coding MTFASDHEDCLGCAARDPSGVLSPCKFSRRAIGREDVSLDIAYCGVCYADVVRTRNKTGYARYPARHEITGIARQVGSHVKRFKTVLTFDGIDADGTVTKGGCSSYIVVHERQVNTAAKDFYKFGKAERVKIGGTFALIWDSCLFTNDATQPGKSLGVIWLGGLAQAYPRKKKQ >CDP09375 pep chromosome:AUK_PRJEB4211_v1:2:23049554:23052470:1 gene:GSCOC_T00028716001 transcript:CDP09375 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNEEPILIKDSTLIDMLPFFRLKRWQWWLLVALNIVFLLVGQAASVLLGRFYYDKGGNSTWLATLLQTAGFPILFIPYIFIGSSWTPSDSANPSLIRVCLIYFVLGAMTAGDNMLYSVGLLYLSASTYSLICALQLAFNAVFSFFINRQKFTIMILNSVIVLTLSASLLAANGDSTEPSGVSKGKYLIGFLATLAASVLYSLLLSLMQLSFQKVLKKETFSVVLEMQIYTSVVATCISTIGLFASGDWSTLQGEMNTYSTGKLSYVMTLVWTAICWQICAVGVIGLIFLVSSLFSNVISTLSLAITPIAALIVFHDKMNGVKIIAMLMALWGFASYIYQNYIDDIKTRKTHTNAERTTNDSLC >CDO96947 pep chromosome:AUK_PRJEB4211_v1:2:15270184:15274899:-1 gene:GSCOC_T00014144001 transcript:CDO96947 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVFFVLALLVFSSSFLQVVRGEPDADAEIVEGSEEVSDLGIVGDDVQDIGGGSFGPAPGVETICVFPKNPSKLVTAGEEGELLVGVKNDGESSLNIIAIHASVHLPYDHKYLIQNISSQAFTNASVPPAAQATFPYIFAVSKFLQAGAFDLVGTIVYEIDQHPYQSTFYNGTIEVTEAGGPLSVESVFLVSLAIAFVGFAVFWIRNQIQHWSKKSKRAPKVEVGTGTTDASMDEWLEGTAYSQSQSSKLKKKK >CDP09501 pep chromosome:AUK_PRJEB4211_v1:2:21445029:21452376:-1 gene:GSCOC_T00028888001 transcript:CDP09501 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEELLQIEPQELQFPFELRKQISCSMQLTNKRDNYVAFKVKTTNPKKYCVRPNTGVVMPHSTCDVIVTMQAQKEAPVDMQCKDKFLVQSVLASPGATAKDITSDLFNKEEGHNVEEIKLRVAYVAPPQPPSPVREGSEEGSSPRASVSDNGTISASEFSAGTRTHAEPQVLRSHAEAQGLKSNVESVDNSSEVKALISKLTEEKNYAVQQRNKLQQELDLLRRESNRSRGGIPLMYVIIVGLLGLLLGYILKRT >CDP05225 pep chromosome:AUK_PRJEB4211_v1:2:2538410:2540218:1 gene:GSCOC_T00020192001 transcript:CDP05225 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSSSNLILHATLCLSLTVFLSFLKIPAFFLYGLHTYVHPDDVAPPNSNSSRGGGGIRAAIRRPGTFDSEHKPRKKSKDKFEFDENKAQIFRLKLNDDHLQTRVYYTQFSSAFNSSLVAISCLLLHRFLRVSKDSGILENGSVIPILLGIVGVCRLLILIAKLSFERSASKRSERLLSLVFGVLGFFWGLAIVLEMVPGCGLDFDFESLDGFGKFFIAVLMGCIVGLFYIPATRNARAFWLGTDQIRSNLSIISCGWFGRMLLYGNYLLVVFTSTLWVGPFTELLVCEKSDGIKGLHSNGRNRYTEELIGRLGMLRSDFYKFRVWCMFSSGILQILSLRPNVQMFLNEAVLCWYQRLHASKVPDLDYSRAKVFLHNHYMFLVVLQFFAPAAIALLLLGLSHIDVNLLADFKLPCNLLPCSALVKEMALFLAWWITFVWAIFTSVSLTLYRRGFLFVS >CDP09379 pep chromosome:AUK_PRJEB4211_v1:2:23012276:23014210:1 gene:GSCOC_T00028723001 transcript:CDP09379 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSISSALLITLFLLCFIHGATSQENLIRESCRTFAKDDPNINFNFCTTSLQAAPASHCAALRGLGTISFRLIRYNVTDTRCMIRQLLKGKKLDPYVRQCLNDCFELYSDAIDTMKQAMKAYNTKRFADANIEISSIMDAATTCEDGFNERKGVLSPLTKRNNNTFELSAIALNVMRILQTRLSLHQLKMPLQQTFSVQLACDLR >CDP16430 pep chromosome:AUK_PRJEB4211_v1:2:34992249:34997222:-1 gene:GSCOC_T00018320001 transcript:CDP16430 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDWSKHQASTAAPPRTLNVQKLAESRASELHSLHSIVGTRLHNDFRSQRNKRRRTTSHLKKRFCYDNSNNGLSETDEKRLPRRIRRRIHLKRNPLTGFSTSGDGTKRLSTHVWHAKRFTMSKLWGFFLPLGLHGRGKGSRALLKKSKQGMLVHDSSYYSADLLLLILSQVMVPSPLENSGQTSQLIVAGVTYGSAMLHHVGTVFAQPVAPVTYMWRPQNPILTARVDKIDSKDEQQSIDIYVSSRQLWIWIHPAAFREGYDVLESACETANLNGRSVNCVSLEGKLGKLDLIGFKAFQLMQNILHPLTCSTDSCALPKCSVAVNNNKSSTTYSWSLPQEDQISTSAIISLTVKDPRAIAEKGFAVLPETKPSEILGAKETNMQGDTTLERILPRNPGSLSSLLLNHEEKYQFSDFIDLWDVSKGANPPVEESFLCKKKHCQLMKFFSIGEENSNNIDPSGVRQFSQLCPIMLLKDNSGKGFTTRWTAILPLSWVKVFWIAIISNGAQAIGLRERHWIACEAGLPYFPLDFPDTNAYSCFMAREAAIADQKEKLRPRSLRPLRVPHFPPWDCMYYGSEGRSTGGVTKFLPVSNNSMKILNCKNCDVAAVGSHGAVFNGFVARTSDVLIHFVSHVKDDNLLLFPNFPDGERCLTKVMKDNDLITRQTNGISSQLMCCQKLCFVRVLLHPYKEGVFEEGAVVCAPHASDINMWSSTRLEDAGKFQAPQSLPASYFVQQPSGRWALQVPEEPVVRESFRWPIGFITTGFIRGSKKPVAIALCEAVLLANLRDDQWKTISVSKRRKEIYVLVRNLRSTAYRLALATIVLEAREEDMEFM >CDP08902 pep chromosome:AUK_PRJEB4211_v1:2:51915776:51916600:-1 gene:GSCOC_T00028035001 transcript:CDP08902 gene_biotype:protein_coding transcript_biotype:protein_coding MASTENTQKMKPRRTTASRSTILARAALSFSAVLLVMILFFSTFSFSFREYDHQLLGPVCDEIYVVAEGETLHTISDKCGDPFIIEQNPHIQDPDDVFPGLIIKITPATASPTV >CDP15177 pep chromosome:AUK_PRJEB4211_v1:2:49849324:49851438:-1 gene:GSCOC_T00042782001 transcript:CDP15177 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRFLGFSDGELMRSDAKPCSRLMRQTAGIFSVGGALGFWVLCRLHYGPRITIPRSLRWAACGAITTSSSTALLVRLFSPECEPQNIAAFDKKK >CDP05061 pep chromosome:AUK_PRJEB4211_v1:2:1226936:1232350:1 gene:GSCOC_T00019980001 transcript:CDP05061 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLPX [Source:Projected from Arabidopsis thaliana (AT5G53350) UniProtKB/TrEMBL;Acc:A0A178USB7] MQLLQAGYVGEDVESILYKLLTVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLDKTISERRQDSSIGFGAPVRANMRTGGVTTAAVTSSLLETVESSDLIAYGLIPEFVGRFPILVSLSALTENQLVQVLTEPRNALGKQYKKMFQMNGVKLHFTEVALRLIARKAITKNTGARGLRSLLESILMDSMYEIPDVRTGNEIIDAVVVNGESVGHEGRGSGAKILYGKGALDRYISQLKFKDRETTAEGSDGEPEVEQELPSIAAL >CDP05102 pep chromosome:AUK_PRJEB4211_v1:2:1576712:1579165:1 gene:GSCOC_T00020037001 transcript:CDP05102 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVANSSVLEDDLENGGTGEDTVGFRPAGAGNIIELSSAVDRTLSFSRVFKSSPTPNEPKEYPAAQTLLLAYQSLGVVYGDLATSPVNVFSATNLTNVTAEDLLGTFSLMFWSLTIIVWIKYVFIVIHADDHGEGGTFALYSYLRRHINFRTKFTIQNTRLSTDESMRFSNRASPLRSKTKEFIERSIRAQNFIIVIVLLGTCMVIGDGALTPATSVSFTFSPIMLLWLATNASIGIYNIFKYYPSILKAVSPHYIVLFSSRNAKTLWNLLGAVFLGITGAEAMFADLGHFNKQAIQWAFSFVVYPALIITYAGETAYLIKYPEKINNAYYSCLPRPVYWPMFVISTLAAVVASQSMISASFSIVKQSLTLGCFPPVKMVHTSSKHEGQVYSPEVNYALMILCVGLVIGFKGGVALANAYGKLPFPQLLSMMTVLTYIYIYILG >CDP14019 pep chromosome:AUK_PRJEB4211_v1:2:5151711:5157711:-1 gene:GSCOC_T00039186001 transcript:CDP14019 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X1-type zinc finger protein NFXL2 [Source:Projected from Arabidopsis thaliana (AT5G05660) UniProtKB/Swiss-Prot;Acc:Q9FFK8] MCRKKGVYKCQCGKVELERECCERIFRCENPCQKLLGCGRHVCERGCHKGNCGECPLQGKRTCPCGKRVYEGMACDVAVPLCGATCDKLLSCGFHRCPERCHRGPCIETCRTVVTKSCRCGSARKQIPCYQDLTCERKCQKVRDCGRHACKRRCCDGDCPPCSEICDRRLRCRNHKCPAPCHRGACSPCPLMVMISCSCGETHFEVPCGTETEQKPPKCPKRCRVAPLCTHRLICKPHKCHYGACPPCRLICDEEYSCGHKCKLRCHGPRPPALPEFTLKPKKKKSSHEAEHIPGTLCPPCPELVWRSCVGNHIGAERMMICSNPAEFSCDNLCGTLLSCGNHYCTKICHALKSDSKSYSSVLAESCEECNLPCQKERSPPCPHPCPLVCHPGECPPCHSLIKRACHCGAMVHALKCIYYNSLDEREQVAVRSCGGPCHRKLPNCTHLCPEICHRGQCPSPDKCSKKVIVRCGCQTLKKEWSCKDVQEAYRNAGSDPKDVPKTQFGLGLLPCDPDCRSKMKITDSELQLRKSKKEPDGEKPVAKRRRRRERVQEDKKVSRLQVISGATRKVLLIVIILVTLIAVAYCGYNGLLWLSDWMNEAELQRQRRIYPRI >CDP06798 pep chromosome:AUK_PRJEB4211_v1:2:36438486:36439805:-1 gene:GSCOC_T00023777001 transcript:CDP06798 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTYDTCVYNYMVKLGPHPSSFDPKRRILDHVKIEGGVFEGGRGPSSWDAFTQKTPGKANEGENANVACYSYKLFKEDVKIVKNIGLDSYRFSISWTRVLPGNKTTNF >CDP14047 pep chromosome:AUK_PRJEB4211_v1:2:5360637:5363399:1 gene:GSCOC_T00039228001 transcript:CDP14047 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKVSKADKKIAYDQKLCQLLDEYSQVLIAVADNVGSNQLQNIRKGLRGDSIVLMGKNTMMKRTIRVHAEKTGNQAILNLIPLLVGNVGLIFTKGDLKEISEEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIRKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFSPEVLDLTEDDLIEKFALGVSMVTSLSLAISYPTLAAAPHMFINGYKNVLAIAVETDYSFPQADKVKEYLEDPSKFAVAAAPVAAADSGAAPAAAKEEEKKEEPAEESDDDMGFSLFD >CDP08918 pep chromosome:AUK_PRJEB4211_v1:2:51761448:51767670:-1 gene:GSCOC_T00028052001 transcript:CDP08918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G61130) UniProtKB/TrEMBL;Acc:W8PUP8] MGTNKRGITVAGKSSKGGGYGSRLPGAAVLIFCLVVSPSFLFLVGRGIFYTSSTDQDKISTSSSLQDLDWRERLALQHVMDVIKANTNDLGPLSLDSFRKTNLSASWKFVGQETPAENSALSFESNQRGAAIKHDISRNKVDASLEEDRSQVIDAPAKVARRQLRQKRREKRAADLVKQDEEVTVKLENAAIERSKSVDSAVLGKYSIWRKENENENADSTVRLMRDQMIMARVYISLAMMKDKPDLGRELQNRLKESQRALGEATTDSDLHHSAAEKIKAMGQVLSKAREQLYDCKLVTGKLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSLRLTIDYYMLSPEKRIFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKAAHPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWSVNLRGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYGLTHPLEKSWHVLGLGYNPSVDRSEIDGAAVIHYNGNMKPWLELAMTKYRSYWTKYIMFDHPYLRNCKLTKLSE >CDP09502 pep chromosome:AUK_PRJEB4211_v1:2:21439352:21444004:1 gene:GSCOC_T00028889001 transcript:CDP09502 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKNSYSISHEIESAQRSSSSEEVEVPENNVAQKKKITEYERQRMKRIEENRARMEALGLKKMANSFVGSLTKSAKEMVDKKGKRKMDDDDEEYRPSDEDDDTNVDDEDYSESSAKRKAKNRIQMPKKNVTPQKPVNDLDFVDDNDALLQAIALSLQDSAGFSNRRTGASQSSTGYHVNGRTNEGKENSHVQEDAGKRKRKKSMNSRVQMTEDELILHFFQFDEAGKGGITLRDLRRVAVAHDFTWSDKEMADMIKCFDIDGDGKLSLDDFRKIAGRCSMIQAS >CDP14074 pep chromosome:AUK_PRJEB4211_v1:2:5562363:5567541:-1 gene:GSCOC_T00039261001 transcript:CDP14074 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQDDVLGAGPPKKVLSDDHLAVEIPETAHQISQDSWFQVGFVLTTGINSAYVLGYSGTVMLPLGWLGGVLGLILATAISLYANALVAKLHEFGGKRHIRYRDLARAIYGQKAYSLTWGLQYVNLFMINVGYIILAGQALKAVYVLYSDDHVMKLPYFIALAGLGCGLFAISIPHLSALRIWLGFSTLFSLIYIVIAFALALKDGIEAPPRDYSIAGTKSSRFFTTVGAVANLVFAFNTGMLPEIQATVRQPVVSNMMKALYFQFTVGVLPMYAVTFIGYWAYGSKTQTYLLNSVSGPAWVKTLANIAAFLQTVIALHIFASPMYEYLDTKFNIKGSALAFRNLSFRLGVRGGYLGITTFIAALLPFLGDFMSLTGAFSTFPLTFILANHMYLVAKKNKLTSMQKSWHWLNVCFFGLLAVLAAISALRLIAVDSKTYHIFADL >CDO97361 pep chromosome:AUK_PRJEB4211_v1:2:18434707:18437787:-1 gene:GSCOC_T00014683001 transcript:CDO97361 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVFCRKWRFCSLPPPPPPPVVPCRSFRSDAALEALSKASEAKTPNVLVLYNYPSFSGAFSALFAHLYHSRLNLPCLILPSSSAIPFRVEDVCIQGLKECYFLDFLGPKGFALELFKQTSCKVIGFDHRKSTLAKIPAPKENLNENLALHVNLEKSSSFAVYEYFSSKLSEIVSDDENSIGLTDPKDRERVELVLKYIEDGDLRRWSLPDSETFNVGIREWRSKLNCITNPQMYKQLMEMSAMDIIAGGISSVSTRQIAANKLLDKVFKVRLGRGLYGECLGVRLYGSSDLIDEVGKELSRRSAVAGLRSIGAVVYMQRNNLKMCLRSMDVNTDTSEISKACGGGGSPGSSSFIIRMDEYNKWLSSCSS >CDO97618 pep chromosome:AUK_PRJEB4211_v1:2:20422468:20425380:1 gene:GSCOC_T00015007001 transcript:CDO97618 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFNSERPEDWNIYSSSDPSPSQTEVNQEGPWKNFGTSMNALSFGFVATAILISMFVIMAIFEHLFKPSESFSSPQGGSSRTLRSTQMQKLQDPQRVSTISYSSDFSVLMPGQQYPTFIAQPAPLPCSREGVCWPPHEHI >CDO99874 pep chromosome:AUK_PRJEB4211_v1:2:8133519:8134898:-1 gene:GSCOC_T00029575001 transcript:CDO99874 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHQDSFSVLMFPWLAHGHISPFLELAKKLSQRNFKVYLCSTPACLVSIKPKLAENFSASIQLVELHLPTLPGLPPEYHTTNGLPSHLMATLKQAFDMASPNFIKILETIEPDLLVYDMLQPWAPTAASALNIPAVEFISSSTTMTSFMLHVLKNNPGTKFPFSNIFHGDLEAILANKLHDDVKFRSKEINRVVQSLQLSSKIILIKSFKEIEGKYIDYLSLLSGKKVVPVGPLVQDPSSTHGNSDDNLEIMEWLDKKEKKSTVFVCFGTEYFLSQEDREEIAHGLELSNVNFIWAIRYPKGENLQLEEALPKGFLARVGERGMVVDGWVPQAKILGHSSVGGFVSHCGWNSVMESMKSGVPIVAIPMHLDQPVNARLIEEVGAGVEVLREDDGTLGREKVAAVIKQVMHEEIGQLVRERARSLSNKIEVKGDEEIDVVVDELVQLCLEKKMKDVKNF >CDP09363 pep chromosome:AUK_PRJEB4211_v1:2:23177131:23182945:-1 gene:GSCOC_T00028696001 transcript:CDP09363 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGILYDWPWTPLGNFKYVVLAPWVIHHTYPYMVKDASDRNLPMFLVLPFLLLRMLHNQIWISYSRYRTAKGTNRIVDKSIEFEQIDRERNWDDNIIFNGIVFYMVATLKNTADMPIWRTDGVIITILLHVGPVEFLYYWLHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFGEHVAYFLLFAIPMATTFFSGTACIVSFIGYISYIDFMNNMGHCNFELIPNWLFSIFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPLYDYIYDTMDKSTESLYESSLSRQEDSPDVVHLTHLTTPESIYHLRLGFASLASQPHDFKWYFYFMWPLTLWSVMLTWIHGRTILVERNVFKNLKLQTWTVPKFSIQYFAQWQRESINGLIEEAISEAENKGVKAEDLNGNGELYIRRHPLLKVKLVDGSSLAVAIVLNSIPKGAAGIVLGGNLSKVAYSIALALCQAGTKVVAIREHEYKKLKARLNPEARSNLVQSKHCATKVWIVGDGLTEEDQMKASKGTTFIPFSRYPPKKMRKDCFYHHTPSMLAPKHLENLDSCENWLPRRVMSASRVAGILHVLENWNVNECGNMIFNIEKVWQASIHHGFRPLAVTSKA >CDO96962 pep chromosome:AUK_PRJEB4211_v1:2:15356931:15358572:1 gene:GSCOC_T00014159001 transcript:CDO96962 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAGNVYVNMEEESQAAGNRMQPMSTTPVPAQWTADEKKQTAFSLSERLGLDEFLSLNVWRAALGELLGTAVLVFMFDTIVISTLETDVKMPNLILSILLAIIIAILLLAVDPVSGGHINPIVSFSAGLIGLISMSRAIIYIIAQCAGGVLGALALKAVVSSNIERTFSLAGCTLTVIAPGPEGPVTKGLEAAQAFWLEAFCSFVFLFASIWILFDHRQRNSIGMIRVFSIIGIVLGLLVFVSTTVTATKGYAGAGMNPARCFGAAVVRGGHLWEGHWIFWAGPAVACLAFYVYTLIIPDDHFHAEGYKHDFFGILRTISGLER >CDP18393 pep chromosome:AUK_PRJEB4211_v1:2:43443847:43445961:1 gene:GSCOC_T00012983001 transcript:CDP18393 gene_biotype:protein_coding transcript_biotype:protein_coding MITLQSPNCWKYGSSTEDQQKNYAEMGIMEKISKVMELNEEKKTRVQALMSLALGEWEEFDSHLTPLRNSFDEGYNELGSREKKLNLLQESVNQSTTKLDTRRLWIEQKIKELDEKENLMKGLLQRIEEEQMQLGNLRGFVDEKLKDVALQEKLFDGLSEKLQLIRAEIERRENVLDLEVKKSEIRESELDSRERKLEIEGNELNSKEKKLEMRENELDSREKKLEIRENEIDLREKNVYRRQHELDVKKKNLKTRENELDAIEQHSDRKQLELDSKGKILQRKDNELDIKHENLESREKDIESKERELEGKENELNAKEKKFRKIILDLELKFVSILESAEQCDREPNADTSTQTAEQTQKSRKRLRNLALASDRILDAAEETGNNLSRRSCIHDKEAEQVTTHDLGESGAVNIHKNDYKAQACSTQQTLVLDVSQSESNSRDNLQTPPFINPGALANNTGKEKLECLFNVGETWACFNAKDHMPRSYVQIIKVIKKGGNCRLGVVWLKPLPGLPGENEWIKAGLPVGCGMFNRERTSVESPSVFSHRVFCVGKEGYPYCILPNEGEIWAIYKDWDIIKWGCHPENHRQCKYELVEILSYLTDSSSSVGFRVACLDKREEHVNSFWRRSQHENNSFLIMPNDFYRFSHKLTSRQMNCNVTDGVQDGVFEIEHKFLPPGL >CDP17782 pep chromosome:AUK_PRJEB4211_v1:2:51412546:51413306:1 gene:GSCOC_T00003901001 transcript:CDP17782 gene_biotype:protein_coding transcript_biotype:protein_coding MKTANFEQYNLESLEGLSCGSHNYYEFWEAMDQCALMHSIMLRIRMLTVSCLCICWTISEMLPAEA >CDP18245 pep chromosome:AUK_PRJEB4211_v1:2:48501131:48502764:-1 gene:GSCOC_T00011807001 transcript:CDP18245 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47420) UniProtKB/TrEMBL;Acc:A0A178VWJ8] MAGGKIKKEKLRNGSAGGGGNPHFQGGIPFHKSKGQHILKNPLLIDSIIQKSGIKPTDVILEIGPGTGNLTKKLLEAGKSVIAVEVDPRMVLELQRRFQGTPFSSRLKVIQGDVLKCELPYFDICVANIPYQISSPLTFKLLSHRPLFRCAVIMFQREFAMRLVAQPGDTLYCRLSVNTQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVNFKEWDGLVRICFNRKNKTLGSIFRQKTVLSLLEKNYKTLQALQLSHEGSSDDTEMALAVSALGDTLEDLSMDVDDGRDDEEMEVEDGNAKGSEFKEKVLNVLKQGDFEERRSSKLTQTEFMFLLSLFNKAGIHFS >CDP13961 pep chromosome:AUK_PRJEB4211_v1:2:4626458:4627441:1 gene:GSCOC_T00039112001 transcript:CDP13961 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPTLPISSPQSTSSAPPGGPQSQPPIATPAFRSFINRLSSSLRQGFSQRRPWSELLDRTAFSRPDNLSDAASRIRKNFNYFRVNYISLLAFVLALSLLSHPFSLLVLLALLASWCFLYLFRPSDQPLVVFGRTFSDRETLLILVVSTIVVAVLTSVGSLLISASLVGLAIVCAHGAFRIPEDLFLDDQEPVNAGFLSFLGGAASSAAPAVAARV >CDP05334 pep chromosome:AUK_PRJEB4211_v1:2:3406454:3412598:1 gene:GSCOC_T00020339001 transcript:CDP05334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex component-related / COG complex component-like protein [Source:Projected from Arabidopsis thaliana (AT5G51430) UniProtKB/TrEMBL;Acc:Q9FGN0] MMVDLSAFSDEKFDPKRWINGVCQSRHPQDPLDKHLVDLEMKLQMVSEEIAASLEEQSSAALLRVPRATRDVIRLRDDALSLRSSVASILQKLIKAEGSSAESIATLAKVDTVKRRMEAAYETLQDAAGLTQLSSTVEDVFASGDLPRAAETLANMRHCLSAVGEVAEFANVRKQLEVLEDRLDSMVQPRLTDALTNRKIDVAKDMRGILIRIGRFKSLEMHYTKVHLKSIKKLWEEYDLRQQASKLANEKNELERFSSGHDSQSSSTRFSFSSWLPSFYDELLLYLEQEWKWCILAFPEDYRALVPKLLIETMTAIGQSFVSRINLATGDVVPETKALSKGNLLHRVLDILSTDLPKGVKVQTKHLEALIELHHTTGSFARNIQHLFSNADLQVLLDTMKSIYLPYESFKQRYGQMERVILSGEIAGLDLRGVTFSRFVGVHGVELSETVRRMEESIPQVIILLEAAVERCINFTGGSEADELILALDDILLQYISALQEILKSLRAVCGVDAIDGLASKKDLGADRKDGTSHARKADFLSNEEEWSFVQATLQILTVADCLSSRSSVFEASLRATLARLNTNLSLSVFGSSLDKNHSHVVNEDRSGEPSTIGRAALDIAALRLVDVPEKARKLFNLLEQSKDPRFHALPLASQRVTAFADAVNELVYDVLISKVRQQFNDLSRLPVWSSVDEPSAFPLPSFSSYPQSYVTNVGEYLLTLPQQLEPLAEGISSSDTNADEAQFFATEWMFKVAEGASTLYMEQLRGIQYVTDRGAQQLAADIEYLSNVLSALSMPIPPVLATFQTCLSTPREQLKDLVKSSDSGNQLDLPTANLVCKMRRLSLD >CDP08682 pep chromosome:AUK_PRJEB4211_v1:2:53854482:53856646:-1 gene:GSCOC_T00027736001 transcript:CDP08682 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKDFDCSSSSRVYCSSWSSVTSVSEGCSSPSAVQSSNFAPGAVSSFTGGNKQVKGKMDRKQLKEKDERKEDFFKPGDFVLGDIVWAKCGKKFPAWPAVVIDPLWQAPEAVLRACVPGTLCVMFYGYSKNGTQRDYAWIKEGMVFPFQEYMERFQGQTKLYGSKPVDFHMAIEEAILAESGYVNPGSGIEPETSPVASQSEIEEAMGSNQEELGYLDQASIHTTNLSFPNCGHSV >CDP05369 pep chromosome:AUK_PRJEB4211_v1:2:3655617:3658906:-1 gene:GSCOC_T00020380001 transcript:CDP05369 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKYMLPLIIGLSLLGAAAILFLMIYCCWKKTEQNVPKDLEAGFEFKEREAGTDREYLIRFQGAEDLSVYDILEAPGEVIGKSSYGTLYRANLLRTNSLALLRFLRPTCTLRMKEVVPIVELLGSLRHPNLVPLCAFYAGSRGEKLLVHPFYQWGTLAQLIRDGNGEAHRWPIIYKLSLGIANGLNYLHNDLEMPIIHGNIKSKNILLDRHCQPYLSDFGLHLLLNPTAGQQMLDASAAEGYKAPELIKMKDVNEESDVYGFGVILLELLTGKEPIDNNPTPDQDFHLPNAIRTAILDDQITELYHPDIILNESSDQRVVTKDRILVLFQLAMACCSPSPSLRPDVKQILRKLEEIGK >CDO97580 pep chromosome:AUK_PRJEB4211_v1:2:20122001:20126642:1 gene:GSCOC_T00014962001 transcript:CDO97580 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGQSLTSLKKREATTANNRDGDQLIITPLGAGNEVGRSCVYMSYKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLFTEQDINNSMERIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAELPQFSPDICIIESTYGVQLHQPRHIREKRFTDAIHSTVSQGGRVLIPAFALGRAQELLLILEEYWSNHRELENVPIYYASPLAKRCMAVYQTYINSMNERIRSQYANSNPFEFKHISPLKSIENFNDVGPSVVMASPGGLQSGLSRQLFDRWCSDKRNACVIPGYVVEGTPAKTIINEPKEVTLTNGLTAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLVSLFADRNTKIITPKNCQSVEMYFTSEKMAKTIGKLAEKVPEVGETVSGLLVKKGFTYQIMAPDDLRVFSQLSTANVTQRITIPYSGAFAVIKHRLKQIYESVESSTDEESGVPTLRVHGQVTVKQESENHISLHWTADPISDMVSDSIVALVLNANREMSKVVVESEPVVKWETGDKC >CDO97436 pep chromosome:AUK_PRJEB4211_v1:2:19039238:19042045:1 gene:GSCOC_T00014781001 transcript:CDO97436 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTEKCQWCGVNLLLPLQLQANSVRCPNCQYIIQLQHSPDLYFHPEGNGYLNGASNNCNGMGFPAYRNCCKQDFEPSWLNGYPRYMQSYQMPMQVLPPTFHGRKRAVLCGVSYKGHPKSLKGSVNDVLCMKYFLVERMGFPNSSVIVLTEEEKDPFRVPTKHNIQRALHWLVQGCQSGDSLVFHYSGHGSRVRDHDGDEIDGHDESLCPVDYETEGKILDDEINATIVRPLLRGATLHAIIDTCFSGTFLDLPFMCRMNSREGYYRWVDHSIPYAAYKGTRGGLAISFSACDDDQNSGDTTVFTGTATGALTYSFIQTVEREPRLTYGRLLSTMRNSISKAQNGFLNFTSSLQEPQLSSSEQFDIYSKAIIL >CDP04921 pep chromosome:AUK_PRJEB4211_v1:2:163167:167449:-1 gene:GSCOC_T00019790001 transcript:CDP04921 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSTSYYSDNNGFAYHHPTPTTTRLSSSSSSSDDGSSDDFSHTTTHSQTDSDSEDDDDDDDDDDSHVPIPQCHRRRSCRHNLHDLSPPSPSSLPDRWDVLGLGQAMVDFSGMVDDEFLERLGLEKGTRKVVNHEERGRVLQAMDGCSYKAAAGGSLSNTLVALARLGGLPVGGPSLNVAMAGSVGSDPLGGFYRSKLKRANVSFLSAPVNEGTTGTVVVLTTPDAQRTMLAYQGTSSTISYDSSLASSICKTDVLIVEGYLFEFPDTIKTISKACEVARSFGALVAVTASDISCIERHYDDFWDIMANYADIVFANSDEARAFCHFSSKESPVSATRYLSHFVPLVSVTDGPRGSYIGVKGEAVYIPAPPCTPPVDTCGAGDAYASGILYGILRGVSDLKGMGGFAAKVASVVVGQQGTRLRVQDAVKLARSFALCRESSSAI >CDP13899 pep chromosome:AUK_PRJEB4211_v1:2:4200997:4201700:1 gene:GSCOC_T00039035001 transcript:CDP13899 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVHFLPSPCKQDTKLLKIFCNFYDDRNWIFGFFIMYVYMAPSQSCVSILSLLHRTPSLPKSLLISFEKESKTSKISTCIQHLWLLVEFQF >CDO97279 pep chromosome:AUK_PRJEB4211_v1:2:17808515:17812426:1 gene:GSCOC_T00014559001 transcript:CDO97279 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTFKSVFDASLITAEFQNAQINAHFIPLIWKYVLQNPNCQWEDIPSLPASAYPLLRSKFKPSTSTLHSVIHSTDQVTTKLLIKLQNGAFVEAVIMRYDTRLGKYNGKARPGGPRSTLCISSQVGCKMGCKFCATGSMGFKNNLSTGEILEQLVHASHLSTIRNVVFMGMGEPLNNYSALVEAIRVMTASPFQLSPRKITVSTVGIIHAIKKLQHDIPNLNLAVSLHAPVQDIRCQIMPAARAFPLDRLMDALAEYQKKCQQKIFIEYIMLDGVNDEEQHAHKLGKLLETFQVVVNLIPFNPIGDLSHFRTSQDDKVTRFQKILREVYNIRTTVRKQMGQDISGACGQLVVDLPNKRFNSGDLVTDIEDLNM >CDP17975 pep chromosome:AUK_PRJEB4211_v1:2:43824636:43825571:1 gene:GSCOC_T00001228001 transcript:CDP17975 gene_biotype:protein_coding transcript_biotype:protein_coding MITFCKKSVHNFLGSSNANDNGTVDLPPFLHHHRQNTTSDGQQPPDAIEKTPPPHFSNLFGTGTTLAARAKRDPGGMGFMDDVGGSTVDGLMSCTESLGFESSDERRVDDQIENLDVIEELCSRRESMAGSRWKREHAKREASQFPPPLSSLNQDGKPTFFLRPVRKDGKLELQEVKIGRQEILRASREDGRLRLHLIKNEDEDFEEDYEEEEEEEELEDRAMVEERAEGWQIPANPGGGGEGFLRCHEQVSHHQNHHHCCHGRHGHHHDLNVWRQHCVTIR >CDO96943 pep chromosome:AUK_PRJEB4211_v1:2:15228122:15231572:-1 gene:GSCOC_T00014138001 transcript:CDO96943 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDNSRGLILAMLSSLFIGTSFILKKKGLKRAAAASSATRAGVGGYTYLLEPLWWTGMIIMIVGEVANFVAYIYAPAVMVTPLGALSIIVSAVLAHFILKERLRRMGVVGCVSCVVGSVVIVIHAPQEQTPSSVQQIWNLAIQPAFLIYVAATMSIVLALVLYFEPRYGQSNILIYLGICSLMGALTVVSIKAIGIAIKLTLEGISQVAYPETWVFLAVAIVCVITQLNYLNKALDTFNAALVSPIYYVMFTTLTIIASAIMFKDWSGQDASSIASEICGFITVLSGTIILHATREQETASMAGSITWYNGDPIKSVEDAHFILLHDADYYE >CDO99657 pep chromosome:AUK_PRJEB4211_v1:2:10165317:10169690:1 gene:GSCOC_T00029315001 transcript:CDO99657 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEKKVVVVADQRREEEEEEKESLMEKVAVLDFDMLCATVAMQSHKGKWDTLNAGENGDEEEDIVVYGNGGGVLRMWEGELLYDCFDDRRIALQSACCPCYRFGKNMRRAGFGSCFLQGSVYMILVLLALLSMLTFLGTKRRCFLYLAAAFSISVGIYLGIYRTQIRKKFNIRDSESSFDDCVYHLICPCCSLCQESRTLEMNNVQDGIWHGRGDTICIGSYSEGGGKALFERNPPPVMSRQSPEPQCISKATDESSHVWAAEVGQTSPLV >CDO99725 pep chromosome:AUK_PRJEB4211_v1:2:9455417:9460228:-1 gene:GSCOC_T00029401001 transcript:CDO99725 gene_biotype:protein_coding transcript_biotype:protein_coding MASCNPNYLRGLKSSLQLADFPSNFLYGTASSSYQFEGAFLSDGKGLSNWDIFSHEAGNILDGSNGDIAVDHYHRYLEDLDLMEDLGVNSYRFSISWARVLPKGRFGNVNMAGIKHYNKLIDALLDKGISPFASLTHYDIPQELEERYGAWLSPKVQDDFRYYADICFKYFGDRVKYWVTFNEPNVVAIRGYRSGIYPPSRCSASFGNCSTGNSEEEPFIAAHNMILSHAAAVRTYRTKYKEKQGGSIGIVMNAIWFEPFTNSSEDKLAAERAQSFFLNWFLDPIICGRYPAEMQQILGSQLPNFQRQEVSEIKLGLDFIGINHYTSFYAKDCIYSTCEQGPGVSRSEGYYLRTAFKDGVPIGETTAVDWLYVHPQGMYKIVMYIKDRYNNTPMFITENGYGELNKLNSSVKDFIKDYRRVEYMNSYLASLALAIRNGANVRGYFAWSLLDNFEWTSGYTIRFGLHHVDFATLERTPKLSADWFKQLISYHRSLSSSA >CDP07736 pep chromosome:AUK_PRJEB4211_v1:2:25561358:25563682:-1 gene:GSCOC_T00025087001 transcript:CDP07736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein gamma response 1 [Source:Projected from Arabidopsis thaliana (AT3G52115) UniProtKB/Swiss-Prot;Acc:Q9ZRT1] MDGNLQKSPQLGSIDDSMEFKYISGLSTILVATIQEAKDRISQIEYVFCSQIYPHFQAKSKTLQRLYSEARESAENACKEREKDLLLQIGKLQLEKQQFCAEISSLKLEREEFASIGLSPDSIRSLQEDLQQKTKEVGALQVEVKQWHDMYNVAEKLVEDRDKELKELDDKHWKLFTEHTYLEREVKGLKSELANKTREFDAAMGLQLTLLQMVRSNTSLMMDKEEEQLTDHQGDADLIIRRFGIMNGKINELQEELRKKNEEIEKGRKVRENLLKKVESQASEIMEHEQQLNEYEKEKRLLATRLVNLQNDINFLEKEIGKKNDEVEEGRILQEQLMQQIDSYNFEKLKIQKDFEELVKEKRQLLEKLGRGPEEKVDIHQPNQQEGSQGSSEEMELHGKLLQQIEAKDSQLMSEKQKRKEVIVAYKNLKSQYNFLCSKYGLTTGNALPQNRMEDQSDTLGLNHSPLTSVDVNKGPNASGVSGEAERRKGEGEELKNDKEVQLNQRSNSVSPSRSRTSIQPKTFASVKSCPPAGTKRPVSYWRNTRSNQSKLGPDLHDDFLDTPLEKIRGDLGNAIKDQICNPPEPAQKDIEFNSSDDETEDMNVDREKCQIPPQRPGTSNFKFVEPVRKKTVRENLKGIECKQCKKFYDAVLPNECKEGNGNGQNMRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >CDP06841 pep chromosome:AUK_PRJEB4211_v1:2:38377461:38380657:1 gene:GSCOC_T00023855001 transcript:CDP06841 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEAVATNGPAPEQRKNRIQVSNTKKPLFFYVNLAKRYIQQHDEVELSALGMAITTVVTIAEILKNTGLATEKKVLTSTVGMKDESKGRMVQKARIEIVLGKTEKFDALMSAKGPAKGSNSTPDENQ >CDO96845 pep chromosome:AUK_PRJEB4211_v1:2:13838449:13845861:-1 gene:GSCOC_T00013997001 transcript:CDO96845 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPSRRLKGHKATATCCIASRDRPGLVATAGEDGCVCWFDMRCKDVLFVMDVGNHNPISSLCFKPGNEDLVYVSIGSEVKCFDVHMAGSWKPLESYSYNKDEINQIACNSKSSFLAAADDCGDVKIIDIRQHCLYKTLRAGHSSICSSVQFLSWRPWEVITGGLDSKLVLWDFSKGRPLKVIDFGTPDIADGGNIGQCLNPAFVHSLAVPDSDMVDKLGKICAVARGDGVVDVINIESELAAAKPKTSSKPKKGTKSVSGSSASPAPANPPSLENERKLHLDHSLGGHTAAVSCVTFSMFGEKGKFVISGGNDKSVKLWDWSKSFDVRPGSSSSDLLCSNFSLSRKVNWLCTTPMDSENLVVCDTSRVVKVYSVA >CDP04954 pep chromosome:AUK_PRJEB4211_v1:2:377147:383039:1 gene:GSCOC_T00019830001 transcript:CDP04954 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALSFVTILIFFKEPLSYIGQYSIWAILTVVVVFEFSIGATLNKGFNRALGTLSAGALALGIAELSALAGKFQEVIVVFSIFIAGFCASYLKLFPAMKQYEYGFRVFLLTYCIVLVSGTSHFVQTAVSRLLLIAVGAGVCLIVNVCVYPIWAGEDLHKLVVKNFRNVATSLEGCVDGYLQCVEYERIPSKILVFQASDDPMYSGIRAALESSSKEESLLAFAVWEPPHGPYRMFNYPWSEYVKVSGALRHCAFMVMAMHGCILSEIQASAELRQAFRNEIQRVGTEGAKVLRQLGDKVEKMEKLSPGDLLDEVHEAAEELQMLIDQKSYLLVKAESWESGKRPSKFEDPEQLQELKDNENKPQVINSISETNLNLTSAQTLRNWNSQNPNPGINLSASQWDSSEDTLRQQTMWPSRLSVLGDTILNEREVRTHESASALSLATFTSSLIEFVARLQNIVHSFEELSEKAKFKEPVDPTETKEPLNFWRRLLKCI >CDP05357 pep chromosome:AUK_PRJEB4211_v1:2:3588722:3592870:-1 gene:GSCOC_T00020368001 transcript:CDP05357 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRVHEPGGPEVLKWEDVEVGEPKEGEIKVKNKAVGLNFIDIYFRKGVYKAASLPFTPGMEAAGVVIAVGPGLTGREVGDLVAYAGNPMGAYAEEQILPADKVVPVPTSIDPIIAASIMLKGMTTQVLVRRCFKVEPGHTVLVHAAAGGVGSLLCQWANALGATVIGAVSTKEKAAQAKDDGCQHVIIYKDADFVTRVNEITSGKGVEVVYDSVGKDTFEGSLACLKPRGYMVNFGQSSGMPDPVPLSALAAKSLFLTRPAMMQYTSTRDELLETAGEVFANVASGVLRVRVNHTYPLSQAAQAHSDLESRKTSGSVVLIPDAVNL >CDO99659 pep chromosome:AUK_PRJEB4211_v1:2:10151105:10157843:-1 gene:GSCOC_T00029318001 transcript:CDO99659 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQKPPAESDRPPAAILHQPASPRFPSGTPTSGANRKIAIAVDLSDESAYAVKWAVQNYLRPGDAVILLHVRPTSVLYGADWGSVDLSVTDEESQQKMEDDFDNLTTTKANDLAQPLVEANIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAARRSTKGRLGSVSDYCVHHCVCPVVVVRYPDEKDGASDVGEGLKKSGELKNLEGLHPVPEEEPIYHDSSDKATDSEKAS >CDO97213 pep chromosome:AUK_PRJEB4211_v1:2:17348705:17351139:1 gene:GSCOC_T00014481001 transcript:CDO97213 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSSGAEAKTTRAERKFEKKVQFYSKVRDTVASLTAQKAITKKKTRSRQKKLKAYDFSSLTEFLPELKTPQQRSPLPSKLNSKTRQNLVLKEGNQLKTVLNHPAFQSDPLGSIHQHLQNTQPLADKKPKPKYNKSESKKAKRKRSKASRGPQQMEV >CDP13929 pep chromosome:AUK_PRJEB4211_v1:2:4425595:4428318:-1 gene:GSCOC_T00039073001 transcript:CDP13929 gene_biotype:protein_coding transcript_biotype:protein_coding MTETKKVINPRVEIDTSPPFESVKEAVDRFGGGGPWLPHHLLGLAAHHHGTEVFDIDKVEEQAAQLERDLIMKEQETLNVLKEVEATKRFVEGLKLNLIQEMTAFMESPDLKPESQDSAGRLNLCPVVSPGLISMELNQAKMNLCKTTTNLAVIRASVETLNNKMKGENVLLEKNSDRKIPNSSKLLSAQEDCDRCRVMPDEDRNLLTPKNPETSIDILRDDKEVNFEAEQFKKMTEASRYEVMKAMSEIERTKTSIKMAEMRLTAARKMEEAAKAVEAIALAERSALLDGNNSPDIFLHKPGGITLSIEEYNSLTHKAQQAEELCKTKFVDMNTLRQTDCANQSEVTILKKLETTKQETRRCKKSLEEALGSEDGCDRKRLGFDDYGGKGASEVDQMGYSGHNSAKYRFRNSRPASAQRGSEALNENEPNVVKDKVYRSTISIGDILSRKLILQDDIVVGNHVDSHAERQEVSLSQMLREQSGLLLHPTKSMKDGTLDKQFYTQRKKFGFIHVSIPRHSKKKAQV >CDO99763 pep chromosome:AUK_PRJEB4211_v1:2:9083520:9086216:-1 gene:GSCOC_T00029450001 transcript:CDO99763 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRFLSLLLSKQPRTSSSSLKESFSQVIPAANSFFQRDGLCERGLRTIVEDHNRSVEDSLAKESCNLNSDSSSSDAISSPVSNEDSIRHRVTSNLKISETHDLAMIFTCKVCDTRSVKTCSRASYEKGVVLARCGGCNNVHLIADRLGWFGEPGSIEEFLAARGEEVKKGSADTLNLTLEDMAGKEKLDV >CDP14031 pep chromosome:AUK_PRJEB4211_v1:2:5231428:5240771:1 gene:GSCOC_T00039204001 transcript:CDP14031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MRFNFNFIGLDDSGDGLESLSSPSTSTPKEDVEWLPLQHHPIFTSAADGALPPSSSASSKTLTNLLAWDGASRLYFWDSQKQCLHRISVQLGEPDPSSILAASPSKVLQADVQLNYEVQKISINRHGSAMLLSGSDRLCVMYLYGKTSVKDNIVICRTVTIGAEIYFHRRSIIRMLQVYWHPSSDTHLGILSSDSVFRIFNLSMSLQQPEQEYYLQPVKFGSSTRASSICPVDFSFGGNHLWDRFSVFILFSDGSVYVLCPIIPFGSVYKREVLQEIYVDAKTVGLNSTNSKAVSNINMAISWLEAIFPELSQQSAEGGDSFALKARPYAFIDSSIVLQGPLRNFCHGEKKDTQVQDAEGQGRAVSFLYNLVSKDSVLVIAWSGGQLQIDAFADEIQPVWKAGSPPRLFVDSCDRILGIAMICESVSSDLSFPEPDPTLDRDVWMGHPPPLLRLAIVDLALPGKGGSHISMSVDPLMPERTYCFHNGGIDSVVLHFLPFSSQSDGKDGARRSPSVNPVISACHGESSSTSSLCGFLALADSFGDSWIVGVTYSRECIVLEMETWNLLLPPIVDEEKNPALEEEKQYTNSTTIISKELLSGPKVVLLPPSSPNLRSVTADSIEGRSTLHQYFKLFHENYMEYAYKVYFELQHHAPQLKKIIDNQHSRLQKAEQKLLEVEEKQEKLESRITNAVQHCNVLEERLLKLRNLPGIHKKPLSKAERDFKAELDRYNGVELDALRSSIEALDARLKRFENSQQANVLNQHTQVSGKRMNYIPAEEVSKLRSSIEKLSLVNNENTKMVKLIESALRNLEINSTQGGICRSI >CDP04926 pep chromosome:AUK_PRJEB4211_v1:2:189267:189497:1 gene:GSCOC_T00019795001 transcript:CDP04926 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERKTGEVALKKIYMNNEKEGFPVTAMR >CDP08673 pep chromosome:AUK_PRJEB4211_v1:2:53935576:53939089:1 gene:GSCOC_T00027720001 transcript:CDP08673 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVVVISPIRSEGSVVLSPLLSPLMDSQESVWIYLAMSGSVVPMRVLASDSIESVKLRIQTCKGFVVKNQKLVCGGRELARSNSLVKDYGVSDGNVLHLVLRLSDLQSIHVRTACGKEFTFHVERNRDVAYVKHQISKTKNGINDIEDQEVMCNGERLEDQKLINDICKHNDAVIHLFVRKSAKIRARPVETNFELSIVAPKLKKAREYDVNREVGSGKVTDQSPFVPRKPPDREIILQPVIVNPKIELPLALKELINSAIEGLEAGSDPVRSSEGTGGAYFMLDSSQSKHLAVFKPTDEEPMAVNNPRDLPLSVDGEGLKKGTRVGEGALRECAAYILDHPKSGRRSFSGEDKGFSGVPLTCMVRCLHKKFNHPGGVNMKIGSLQLFTENNGSCEDWGPSDFPVEEVHKIAVLDVRLANADRHSGNILVSKGDDGKTALIPIDHGYCLPESFEDCTFDWLYWPQARKPFSPETINYIKSLDAEEDIALLKFYGWDIPVKCARILRISTMLLKKGAERGLTPFVIGNMMCRKRLNEESMIEEMVQEAMDSVLPGSTEGAFLECVSTIMDRRLNEMV >CDO96775 pep chromosome:AUK_PRJEB4211_v1:2:12961359:12966471:1 gene:GSCOC_T00013899001 transcript:CDO96775 gene_biotype:protein_coding transcript_biotype:protein_coding MDISVFLFHLVLLLGLPTASIAHIKRSIQTDQSALFALKSQLFHSSNLLRKNWTANVSVCNWIGVTCSSRHHRVVSLNLSYLGLQGSIPPEIGNLSFVNSIDLSGNNFQGNLPMLVPSGFGSLPNLQALDLSNNSFTGAIPTSLFNASKLESISIMSNQLQGNIPQEIGNLGNLKVLRMANNQLTGLIPLTLFNISSLQIVNLRNNTLSGDLPVNLCSKLSRLHGYYLAYNTLSGQILSRFDNCSELEELSLNDNDLNGTLPREIGNLTMLKLLSLGQNKFAGTIPKEIGNLQKLNMLNFALNTLSGQVPVTIYNISTMTFIRLTGNKLSGSLPHSIGHLLPNLETLNVAHNYLTGVIPDSISNMTKLVILELSMNRFTGSIPRSLGSLNLLETLDVAGYYLATGSPKELSIISSLANCKSLRVLILDGVSVKGTIPPTIANLSNSLEVFLVSTCELEGSIPPEIGNLSRLTGMDLSSNHFTGQLPLAFQGLSNIQALELQNNRISGAISPNFCNLRSLTLLDLSQNKFSGQLPECLGNMSSLGKLNLSSNGLNSTMPASVGSLKDLLNLDVHSNAFGGFIPQEIGNLLAAISIDLSWNKFSGNIPTTIVRKMVNLESLDLSQNNLTGEIPASLVSLLQLQHFNVSFNHLHGKIPENGSFLNFTIESFMSNEGFCGGPAHLRLLPCPNNSPRASRTKRFPRFAYILLPTALTVFAVIIFELVLIMAQNRSRNRAQTNPLPPTTHERISYYDLVHATNDFGESNLIASGSYGSVYKGVLRDGSILAIKVFNLQVEGAFKSFDAECEVLRNIRHRNLVKVISSCSNHDFRALVLEYMPNGSLERWLYSHNYFLDFLQRLNIVIDVATALDYLHHGYSSPIVHCDLKPSNVLLDQDMTGHVGDFGIAKLLSGGESKAITNTLATIGYIAPEYGSEGKVSRSCDVYSFGILLMETFSRKKPTDVMFTEDYENSTKIVQCIFKIMEMAVTCTVESPEERIDITDALNELKNIKHEFLSK >CDP09384 pep chromosome:AUK_PRJEB4211_v1:2:22974159:22982465:-1 gene:GSCOC_T00028729001 transcript:CDP09384 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALLTPPTTLTPAPFKTHIPKFNLLPKRVPNFTIQASTTQTERPPPPPQTTALSEKLNKYSSRITEPKSQGGSQAVLYGVGLTDDDLHKPQIGISSVWYEGNTCNMHLLKLAEAVKEGVKEAGMVGFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMAAQWYDGNISLPGCDKNMPGTIMAMGRLNRPSIMVYGGTIKPGHFQGHTYDIISAFQAYGEYVSGSVSDEQRMNVVRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLDECRLAGKYLLDLIKMDLKPQDIITPKSLHNAMVMVMALGGSTNAVLHLIAIARSVGLPLTVDDFQKVSDKVPFLADLKPSGKYVMEDVHRIGGTPAIIRYLLELGFLDGDCITVTGKTLAENAKLFPSLSEGQQIIRPLANPIKQTGHIQILYGNIAPEGSVAKITGKEGLYFSGPALVFEGEESMLQAISENPASFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDVALLTDGRFSGGSHGYVVGHICPEAQEGGPIGLVENGDIITIDIQKRRMDVDLTDTELDDRREKWKPPTYKADRGVLYKYIKNVQSASRGCVTDE >CDP09356 pep chromosome:AUK_PRJEB4211_v1:2:23301382:23303542:1 gene:GSCOC_T00028688001 transcript:CDP09356 gene_biotype:protein_coding transcript_biotype:protein_coding MMNITGSLFRRLTIREVITSTPVYSSATDASGDGLSLVFRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGDYVGMGKDHTLFALKEGCVKFERHKLTGRKWVHVEPKEGHEIHPVYSSGAAPKMKTTA >CDO97465 pep chromosome:AUK_PRJEB4211_v1:2:19250982:19254218:1 gene:GSCOC_T00014813001 transcript:CDO97465 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQEGEGRYMDSRCASKEPQKFRVLSSISCIFSLTEILMEVVGARSSNASGAKYECLLFDMDDTLYPLSAGLNLACRKNIEEFMLHHLGIEGTEVPKMCLDLYKECGTTMAGLKDLGYEFDNDEFHAYVHGRLPYDALKPDPILRNLLLSMPQRKIIFTNADKAHAAQVLSRLGLEDCFEGVICFETLNPPPPEAPSADHANGTPDDDNDSAGETAIRIANVDPNKTIFFDDSARNIASAKLAGLHTVIVGSSNLVPGADHALSSIHNIKEALPQIWEAEEEHLDQIIQSRVETVVLA >CDO97444 pep chromosome:AUK_PRJEB4211_v1:2:19090163:19090869:1 gene:GSCOC_T00014790001 transcript:CDO97444 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLWNASEGPSEKCSAFASEGPTTHQLDQDTLQLYLYTLTCQQIPISSFIITRTTGCQTTQLRSLV >CDP05095 pep chromosome:AUK_PRJEB4211_v1:2:1521839:1523965:-1 gene:GSCOC_T00020026001 transcript:CDP05095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrofolate synthetase [Source:Projected from Arabidopsis thaliana (AT5G41480) UniProtKB/Swiss-Prot;Acc:F4JYE9] MKSVGLFHRLSTIHRQIPASPAVKFLKHSYNLDQTQPMCIFSDEPELKEFIDFIDNLKNYEKAGVPKGAGTDSDDGFDLGRMRRLMQLLGNPQCNFKAVHVAGTKGKGSTAAFLSSILRAQGYSVGCYTSPHIRTIRERITLGASGDPISAKALNHHFQSLRMVLDKAIELENGCLSHFEVLTAVAYSLFARESVDIAVIEAGLGGARDATNVIPRSALAASIITSIGEEHLDALGGSLESIAVAKSGIIKDGRPVRWFFYTFLLYASLAVDFTRFTLVNLSCIR >CDO96911 pep chromosome:AUK_PRJEB4211_v1:2:14924918:14930465:-1 gene:GSCOC_T00014092001 transcript:CDO96911 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVTKQWVLLPVVGMVIFFFLQPFALGNPQVPCYFIFGDSQDDNGNNNDLNTTAKANYPPYGIDFPEGPTGRFTNGRNHADFIAELLGFEGYMPPFANIKGQDITEGVNYASAASGILDQTGRQLGDIVSLNQQLQNHRRVTSQLVRLIGNEAATKEYLAKCLYTFAIGSNDYIDSYLLPECYATSRLCTPRQFARMLIKEYSQQLRKLYGLGARKIAIFGLGFLGCVPGLLSIDGTCVDSLNSEVQLFNEELKPLVDELNAELSSAHFIYVNVTAITLSTMPAEITIDKAPCCNVSTTVSAGQCIPGQIPCSNRNEYYFWDDFHPSEIVYEASSRLAYSALSSLLNAYPFGIGSLADTDSRDKLEIQ >CDP08854 pep chromosome:AUK_PRJEB4211_v1:2:52439913:52445650:1 gene:GSCOC_T00027967001 transcript:CDP08854 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAADQSHRFFVAVHVGAGYHSPSNEKALRSAMKRACLAASSLLRKGTGRCIDAVVAAIQVLEDDPCTNAGRGSNLTVDGHVESDASIMDGDSGAFGAVGAVPGVRNAIQIAALLAKEQTSGSSLLGRINPMFLVGEGARTWAKSKGIVLPTVTEAEEWLITERAKLQWEEFRRMLYEANATEDASRKLTGISKETAVISEPQSCDHLNGEEVDAQSSKHNALEEGSVMDTVGVICVDSEGHIASGSSSGGIALKVSGRIGLAAMYGSGCWASSKGPFGAPFIVGCCVSGAGEHLMKGFAARECCISSSLSRAGPASACTKVLHGIIQEKSQRMTDISAGILLVEADAPKLAPGGSPQLKAVEMVAAYTSLSFGIGYFGNNLQRPKVSILRNMRQKNEAKIDQFAARVNLVGENQTT >CDP07821 pep chromosome:AUK_PRJEB4211_v1:2:26945716:26946173:1 gene:GSCOC_T00025219001 transcript:CDP07821 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDLKKVWRGFNLYNGSNIVLVDDSPNKSFLNSRYNVIFSVSYNSLSAEDNCLDPKGNFVQYLEKLADADNVEEFIKQNPFGQLAITEDSNK >CDO99944 pep chromosome:AUK_PRJEB4211_v1:2:7582421:7583521:1 gene:GSCOC_T00029668001 transcript:CDO99944 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTPFVLSFALLLSFPLLFLLAPRILPPKHVQISLPDELDDLALFRRASLASLHLANDNHYSRLPRHHIRLGSTNPSLAKPKIAFLFLTNSDLHFAPLWEKFFANRSSNLYNIYIHADPSVKINPPGGVFEGRFIPAKKTERASPTLIAAARRLLATALLDDPLNFYFALISQHCIPLHSFDFVYHSLFTKPLSESSDSAPTLSRIYRSYIEILSDEPQLWDRYVARGEDAMHPEVPFDRFRIGSQFFILNREHALLVIRERRLWRKFRLPCLNVHSCYPEEHYFPTLLSMEDPGGATHYTLTRVNWTGSTDGHPHTYRPVEVWPQLIQELRVSNSTYSFMFARKFSPDCLTPLLDMAEKVIFRD >CDO97379 pep chromosome:AUK_PRJEB4211_v1:2:18583734:18587969:-1 gene:GSCOC_T00014705001 transcript:CDO97379 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVQRQHHPHHHHHQQQQQRQPGPHHPHNHLEDEEDDDDVSAGGGGGEESIDNPHPHSHDPHSQIRYDAPSHSHALHNGGGVTNMDSALNGVEGVGPHGLYVPGSEIAPAPAAGAGSDQLTLSFQGEVYVFDSVSPEKVQAVLLLLGGYEVPTGIPAVGMASQNPRNLSDLPGRSSQPQRAASLNRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSSKSVSEEVGSSSSDYNPMSGQEEQETSCRHCGISSKSTPMMRRGPAGPRTLCNACGLKWANKGILRDLSKVPAAGIHDVIVKASEQSNGEANDSDGVTAAVDIITS >CDP08545 pep chromosome:AUK_PRJEB4211_v1:2:41257195:41258607:-1 gene:GSCOC_T00027497001 transcript:CDP08545 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage-repair/toleration protein DRT102 [Source:Projected from Arabidopsis thaliana (AT3G04880) UniProtKB/Swiss-Prot;Acc:Q05212] MAQEPATRKLKIIAGADSFGCTLKDNLVSQLRDLSIEVEDLGTNKYYSVGEEIGRRVSQASANPDPSVETRGLVACGTGVGVGIFANKFPGVYAATCVTPEEAHNARSINNCNVLAVSGMLTEPDAAKKILQNFLDTPFKSPCPAFGSNPWPAEIETFLENSVSEMSGIGKSEEKVDVPCDLCSLLKNRKEGDFTPVDVMPGASMKIIRENPTSALVRFKAGAMEPAHHHTFGHDLVVTKGSKRVWNLTKGTKYDLVAGDYLFTPAGDVHRVKYFEDTEFFIKWDGHWDIFLDEDLAAAHAAAEKDAN >CDO97253 pep chromosome:AUK_PRJEB4211_v1:2:17625885:17627662:1 gene:GSCOC_T00014525001 transcript:CDO97253 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAAKKKFEEDDAPPQYQSVALIVGVTGIVGNSLAEILPLADTPGGPWKVYGLARRPRPSWNADHPIHYIQCDISDPQDTQSKLSHLDDITHLFYVTWANRSTELDNCQVNGNMFRNLLSAVIPSSPNLRHICLQTGRKHYLGPFELFGKVGHDPPFHEDLPRLDVQNFYYTLEDILFEEVQKKEGLTWSVHRPGNIFGFSPYSLMNIVGTLCVYAAICKHEGAPLKFPGCREAWDGYSDCSDADLIAEHHIWAAVDPYAKNEAFNVSNGDVFKWKHFWKVLAEQFGAECGEYEGGPLSLKEMMKDKGPVWDEIVREKGLVPTKLEEVGVWWFADVVLQYPCLLDTMNKSKEHGFLGFRNSKNAFISWIDKVKAYKIVP >CDP17830 pep chromosome:AUK_PRJEB4211_v1:2:27379057:27379398:-1 gene:GSCOC_T00009451001 transcript:CDP17830 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLEMARKRKNLNAFVDQRSQIYFPSWVYDQLSKGNNIEMGDASEDERKMLKKMILVALWCMQMKPTHRPSMNTVIEMLEGDGELLEMPPKPFQNPDETPALEDDGIDAEDI >CDP18391 pep chromosome:AUK_PRJEB4211_v1:2:43399522:43400511:-1 gene:GSCOC_T00012978001 transcript:CDP18391 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSNEILHEFSPLMQVYKDGRVERLVGKDVVAASVDQETGVESKDVQISQELDISARLYLPKKAKQGTKLPLLVYFHGGGFSVESAFSPFYHTYLNAVVAEADVVAVSVNYRLAPEHPLPTAYEDSWIALQWVASHSNGEGPETWLRGYADFDRVFLGGDSAGGNIAHNMALKVGLEKLKGVNVEGIFLNCPYFWGKEPVGSEATRLEKKGHLEASSFLGRSYVEATWHFVYPNTTGLDDPLLNPVMESNLSRLGCRRVLVCVAEKDILKDRGWFYKEALEKSEWAGDVEVVEVAGEDHVFNLFFPKGENALSLLKKLASFINGNGL >CDP06842 pep chromosome:AUK_PRJEB4211_v1:2:38381714:38388797:-1 gene:GSCOC_T00023856001 transcript:CDP06842 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRTGSYEATELDAPLLASNIHDRDEREGMRDLSSQKTLGSWKAKKSGDPLPHIEYEKVKMTSDTESEASNFDDDSATELFCVNDLGEKDIVADHHQKDLQVDNLGSEKLIDPSESLVIDSEQAEASDLQSSGLTPPIGHGLEEINWRHVEDKTCVAAPSELQSFSDMIPSSDVNQSSVHESKVDAVGVSGLEKAVTKSDDFTELTSNSTSTNEKQSDLKSGTSDAGLQMPSSLELGDAYKLAIGSRGRQLSGKFSEQKSIKDSARLSEDLKLLLSQLSAARGIELPLFDISPRVSGSVDELKACDTSSSVGMQILQKRISLERNESGISLDGSTVSEIEGESAIDRLKRQVEHDKKIMVALYKELEEERNASAVAANQAMAMITRLQEEKAALHMEALQCVRMMEEQEEYDVEALQKANELLAEKEKEIQDLEAELEVYRKTIGDLSPIGDLPDSQPEFEDEALLILQCLRKLEKKLQLFSSNGSYLDIADQDDLSSDTELLDDGKAAPEIGEAEVDGSVEHNYIPLVSREKAEFLHDDIILVAKMLDLITGCLSDRIDSLQSRVLIQYISNHGDAG >CDP07758 pep chromosome:AUK_PRJEB4211_v1:2:25853962:25856025:-1 gene:GSCOC_T00025126001 transcript:CDP07758 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMCPWTFSCPSYSVRLHCWIFQQLTFVFGVFWLSSTPDDKCCTWKGLSILFLCLWCKWANHLLTAILELMCSFCLGVTFGTGPFCFFFWFCIRVFNSTFRSSVLVLSLVYGCISSVFLACLYLVMGLYLTNWLMDCPLLQHAYRDGRLEEVVLRHLGAEDGQTVIAKNIRLSDFLSNFQIR >CDO99929 pep chromosome:AUK_PRJEB4211_v1:2:7680234:7683704:-1 gene:GSCOC_T00029651001 transcript:CDO99929 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGYPLQQSLTAEATNIVKQAVSLARRRGHAQVTPLHVANAMLASSASLFRRACLQSHTHPLQCKALEVCFNVALNRLPTSTSSPLLGPHSHLPSLSNALVAAFKRAQAHQRRGSIENQQQPILALKVEIEQLVISILDDPSVSRVMREAGFSSTQVKTKVEQAVSLENRTSHNNSPAVSARESKESIKPLLLRNCISSPTLSSSQFRLSLSRAAEQARDDDVMSIVSSMMNKRTKNTVIVGECPATGESVIKGVIDKFDKGNVPGEMRSVQFISVPLFTLRNISREEFEEKLGELRSLVRSYLSRGVVLYLGDLKWVSEFWSTYGEQKASFYSPVEHMIMELSRLLCGMGDSGKLWIMGIATFQTYMKCKAGRPSLETLWDLHPLTIPVGSLALSLNLESDLNNHFRSKAAGEGSSWLLSKAGAEKHLTCCADCVANFKREARSIARGPLESDTMNATYTTSSSLPSWLQQYKEEKRRETNNDQEFDKIRDLCMKWNSICKSVHKKSPHFLEKASNFSSASPCSSASISSNDKPSSKSHHQSLLTWPLIFEPDGPNQSPKERKFFVSESEEEALEPKILAMYKPDIKPDLLSNPNSSPNSASSSEASGDMDCLLPKLKEDNPENLEILRKALEKKVPWQRENIPEIARTILECRSGKSNNKGKKRNKTDREETWLFFLGVDCEGKEKIARELARIVFGSQDNFINIGLSSFSSTRADSIEEVSNKRSRDEHGRSYLERFADAVHENPSRVFFMEDVDQVDYHSQKGVKKAIESGTLTLPDGEMILFKDAIVIFSCNSFSSVSRASSPRMGSERNSNCEQKEEQIGDNSEEKRPCASLDLNIATEDHANEHPFADIGILDLVDKQVMFKVQVV >CDP09493 pep chromosome:AUK_PRJEB4211_v1:2:21536826:21540414:-1 gene:GSCOC_T00028878001 transcript:CDP09493 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAIVMDLLRKNPSLTGRTVHSYGLFSAKVAASAAATSAAAMAPFATWAFFGKGVPQVAYCDAGAAINGDYISRIRSVSENVFEEEDSVDYSTKEYIIELKPLFSAFHWKTLALTSLRSFLLFYLPLLEPHANMDDDDDDFLQDTHEERVNLVVPFKKSVKQIVRETTVVTTRRVLERLAVHYVSQRLAWKLLKDTPKSAARKAQRGMPTLTYIYSVGRTTFRGHFLAVLASWLVQVGIDVYRFFSRICGSKEETDEIDRAAELQLLGRKIYIATLRCGASLIFASIGAGLGAIIIRPTTGQWIGCALGDLAGPVILSLCFEKLFHVEL >CDO97009 pep chromosome:AUK_PRJEB4211_v1:2:15744605:15745848:-1 gene:GSCOC_T00014219001 transcript:CDO97009 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVRGFTPPPFLMKTFQMVEDTETNNMISWNSEGTSLIVLDHLKFAAEVLPKYFKHSNFSSFIYQLNNYGFRKIGLRQYEYENKWFQRGQEHLLMNIRRRNDEDPTIRKRRGPREQYITATRPSMEAELKIFEDHMNALKEDITRSKYHMGKLESSIATFKKNVKIMEAKSKVLIKVLAKIFSPALVEKIIQRVEEEPELEILQTMKRRRVILPQSSKTITKSTDDRACGMDQDDQEANTSMAESKKIADQKLWKKFMGDDSVSEDESEQQLLKQHSRIDMGFDDLMVSKIVNAKEPNLDVEDEVVVDLWT >CDO99618 pep chromosome:AUK_PRJEB4211_v1:2:10698889:10711348:1 gene:GSCOC_T00029264001 transcript:CDO99618 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGRMNMRTIITLLVILVVEGCYWERGVSTTVTYDHKALVIDGKRRILQSGSIHYPRATPDMWPGIISKAKEGGLDVIESYVFWNYHEPVRGQYYFEGRFDLVRFVKTVHEAGLYVHLRIGPYACAEWNYGGFPVWLHFIPGIKFRTSNELFKREMKRFLKKVVNLMKDENLFASQGGPIILAQVENEYGNVEDAYGVGGKLYVKWAAETAVSLNTTVPWVMCAQKDAPDPIINTCNGFYCDGFTPNSPSKPAMWTENYPGWFLAFGYPIPYRPVEDVAFAVARFFEKGGTFQNYYMYFGGTNFGRTAGGPLIATSYDYDAPLDEYGFIRQPKWGHLRDLHIAIKHCEDYLVEADPSRVSLGMNLEAHVYYKTSNDCAAFLANYDSKVDANVTFKGNSYFLPAWSVSILPDCKNVVFNTAKVVSQKTLDDVSFVRNTITRKSSLASSAWDWYKERVGIWGSDSFTASGLLEQINTTKDASDFLWYTTSVNVDEKIERHHKNVVVLSIASLGHAALVFVNKRPVGFGYGNHDDASFVLKKKISLNPGNNTLDVLSMIVGLQNYGPWFDIQGAGVNSVVLSGLKNAKENLSSKRWNYQVGLEGESLGLYKVNLQNSSLWKKGNALPTNQSLIWYKGTFLAPDGKGPVSVDLSSMGKGQAWVNGRSIGRYWPAYHSPSTGCTDNCDYRGSYNAWKCLKKCGQPTQTLYHIPRHWLHAGENILVLHEEIGGDPSKISLVTRTGQEICASLSEADLPPSDTWNPKVGFSSEVPQVRLTCDQGWHIASIRFASFGTPEGDCGAYMQGSCHANVTSIYQQACVGKQQCSVPVFAANLGDPCPGVLKRVAVQALCSV >CDO97080 pep chromosome:AUK_PRJEB4211_v1:2:16368245:16373089:1 gene:GSCOC_T00014312001 transcript:CDO97080 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYFYWAGLGVFHTGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSILIGTTYMDPVQVREFIEQQSAYYSGDTYQLVRKNCNHFCEDICYRLTGNRIPKWVNRLARIGSICNCILPESLRASTVRHDANFQGCDSEKKKLRSSFGCLSSISLRQKEREVSISSLFLHSHYKGCLTPWEPKISKSGSLKDG >CDP07768 pep chromosome:AUK_PRJEB4211_v1:2:26155704:26156654:1 gene:GSCOC_T00025143001 transcript:CDP07768 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVVRYTYMVEVVGRSTCKVVVVVMSRHMAVACRHMEVVCTHVEVACTHTAVVCTHRVVACTHTAVARTHTVVACTRREVVCTHMVVACTRRVVVHAYMVATYTRKEEVCAYMVVICTHREEMKVRESYIHHP >CDP15176 pep chromosome:AUK_PRJEB4211_v1:2:49857309:49862392:1 gene:GSCOC_T00042781001 transcript:CDP15176 gene_biotype:protein_coding transcript_biotype:protein_coding MERRYLWAAFVLGAIVCSLFPLPSEGLKRISLKKKPLDIQSIRAAKLAHLESTHGAGRKEMDNNLGSSNEDILPLKNYLDAQYYGEIGIGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACWLHSKYKAKKSSTYTAIGKSCSIRYGSGSISGFSSQDNVEVGDLVVKDQVFIEASREGSLTFVIAKFDGILGLGFQEIAVDNMVPVWYNMVDQGLVDEQVFSFWLNRDPNAEDGGELVFGGVDTNHFKGKHTYVPVTQKGYWQFKMGDFLIGNVSTGFCEGGCAAIVDSGTSLLAGPTTVVTQINHAIGAEGVVSTECKEIVSQYGELIWDLLVSGVLPDRVCKQAGLCPLRGAQHENAYIKSVVDEENKEEASVGESPMCTACEMAVVWMQNQLKQQGTKEKVLAYVNQLCESIPSPMGESIIDCNSLSTLPNVSFTIGGKSFELTPKEYVLRTGEGFAEVCISGFMAMDVPPPRGPIWVLGDVFMGVYHTVFDYGNLRMGFARAA >CDP13982 pep chromosome:AUK_PRJEB4211_v1:2:4817183:4817981:1 gene:GSCOC_T00039138001 transcript:CDP13982 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQLNVFTSMLLLSLAFALASANEQVPAKPVEKHVDVGIEGVVYCQSCEHYGTWSLSGAKPISNSTIGVICKDYKKRVSFYKAFQTDANGYFYAELKGFKMGHSLLDHPLHSCSVKLVSSPQENCNSLTNVNYGLNGAPLRYEDKRLIGSRYEAVVYAAGPLAFRPAHCIPKNPS >CDP14057 pep chromosome:AUK_PRJEB4211_v1:2:5453010:5453957:-1 gene:GSCOC_T00039242001 transcript:CDP14057 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASRPPSKLCDLDITIVSAKHLKNVSWRNGDLKPYVIFWVDPDRRLATKSDDSGSNRPVWNERFVLPLTLPPQDSLLTLEIFHSKPSETPKPLVGTLRFQLKDLVDSDDSSKLRTFDLRRPSGRPQGKIRLKLALRERPVQDYQVTPPSTYYYSSAPPPPLPHSAARDYRGYSTSPYTIHPAPPPAAPSPPPPPPPPHPYQYSGYSDPYSSYYPGYYSQQPPPPPLPRPLFDRQSSYGGPGPSAPVDYAPYDHQKRGAKLGLGAGLAVGAVGGALGGLALEEGLKYEEDKIADRVESDLAAREDYSDYRVDY >CDO99604 pep chromosome:AUK_PRJEB4211_v1:2:10896494:10897234:-1 gene:GSCOC_T00029244001 transcript:CDO99604 gene_biotype:protein_coding transcript_biotype:protein_coding MWKHRTALELRLHPDDINPGSIISLARALQFGVGWLLVKHRCCFLSRFMTAVVKVEGIARRVIVEFELMFTFSTTTSAPDGLLRCCPYSAEKASALLLAVTVHP >CDO97498 pep chromosome:AUK_PRJEB4211_v1:2:19521632:19523573:1 gene:GSCOC_T00014858001 transcript:CDO97498 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQRAALAFLLLLFIVAVDLEVPISAQVNCEDACTTACVNPDPRLEARCNIKCQIRCGPDDARAKHNLGGN >CDP00130 pep chromosome:AUK_PRJEB4211_v1:2:5958111:5961395:-1 gene:GSCOC_T00029936001 transcript:CDP00130 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNACLSSKLLPKPKLDNPLPSQQHFCFPSLSLPFNRTATRPQRPVNHQSQAPQNPLLCLGESISRASFIALLSASLFFASDPALAFKGGGPYGAEVTRGQDLSGKDFSGKSLVKQDFKTSILRQTKFKGAALVGASFFDADLTGADLSDADLRGADFSLANVTKANLSNANLEGALATGNTSFRGSIITGADFTDVPLRDDQREYLCKVADGVNPTTGNATRDTLFCN >CDO99600 pep chromosome:AUK_PRJEB4211_v1:2:10947400:10948720:-1 gene:GSCOC_T00029240001 transcript:CDO99600 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDHQEALKTELYYWGTTPEDEYFDLHGIKSTKSFYTSPRGVTLFTKSWLPPNNQPRGVIFMVHGYGNDISWTFQATTIFLAQNGYACFAVDLEGHGKSEGLKAYVPNVDLVVDDCLSFFNFILSQNPTFQNLPKFLYGESMGGAICLLIHFKIPTLFNGAILIAPMCKISEKVRPRWPIPEILTIVARFAPTLPIVPTADLIEKSVKVPEKKITAVSNPSRYNGKPRLGTVLELLRVTAYINSRLSDVNLPFLVLHGSADVVTDPQVSMELYELANSKDRTIKIYDGMLHSLLYGEPDENIEIVRGDILKWLNDRS >CDP16366 pep chromosome:AUK_PRJEB4211_v1:2:28698991:28709885:-1 gene:GSCOC_T00018201001 transcript:CDP16366 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDVSACSTYNYGDALYWDARYIQEANCGSFDWYQRYSALRPFVRKYIPTSARVLMVGCGNALMSEDMVKDGYEDIMNIDISSVAIDMMRRKYEQVPQMKYMKMDVRDMSFFPDDSFHSVIDKGTLDSLMCGNNAPISAAHMLGEVSRLLKPGGVYLLITYGDPTVRIPHLYRSVYSWKIELYIIPRPGFQKPADSASSAKSFLEPVPITEKGQLPADYVLEDPDSHFIYICKKTGEPIDLSNKSPYSLSL >CDO99836 pep chromosome:AUK_PRJEB4211_v1:2:8479824:8487596:-1 gene:GSCOC_T00029532001 transcript:CDO99836 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEFDSKLRIQNSASNPSTQRSKSFAFRAPQENFSIQDFELGKIYGVGSYSKVVRARKKDSGTIYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGVVRLFFTFQDTYSLYMALESCEGGELFDQITRKGRLSEDEARFYAAEVVDALEYIHSMGLIHRDIKPENLLLTEDGHIKIADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLFQMLSGTSPFKDASEWLIFQRIIARDIRFPNYFSAEARDIIDRLLDIDPGRRPGAGPDGYSSLKKHPFFEGIDWTNLRSQTPPRLASELKAPSSGPDGHESAWNPSHVGDSSARTNDRNGGAASSSESGSITRLASIDSFDSKWKQFLEPGESVLMISMVKKLQKLTSKKVQLILTNKPKLIYVDPAKLVVKGNIIWSDNPNDLSIQVTSPSLFKICTPKKVMSFEDAKQRALQWKKAIEALQNR >CDP09485 pep chromosome:AUK_PRJEB4211_v1:2:21634511:21636315:-1 gene:GSCOC_T00028866001 transcript:CDP09485 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGLYIPAMPTNNHLPPFTSKSPLFPPPFSHHHRFSATTLTRSSLTDQPQTLSAKSHLLTLISDQERGLNTQSNPQKLSQIIQAIDAVAELGWGTTTTDDSLSATWRMLWTTEKEQLYIIKNASVFGTKAGDVLQAIDVQNKTLNNAITFPPDGVFFVRSTIEIASSQRVNFRFTSAVLRGKNWELPLPPFGQGWFESLYLDNEIRVVKDIRGDYLVVERAPYSWKE >CDP09425 pep chromosome:AUK_PRJEB4211_v1:2:22619138:22625438:1 gene:GSCOC_T00028783001 transcript:CDP09425 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGGSFVAVRRISQGLERGSNNTCHSAEVVAGSAAWLGRGLSCVCAQRRESDARPSFDLTPAQEECLQRLQNRIDVPYDSSNVEHQEALRALWYAAFPGEQLHGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARNFPKSFQDLLRKQEGDRAMWEYPFAVAGVNITFMLIQMLDLEAVKPRNFVGATFLKFLAENESAFDLLYCITFKLMDNQWLTMHASYMDFNTVMKATRRQLENELLQEDITRLEDLPSYRLLSR >CDP08747 pep chromosome:AUK_PRJEB4211_v1:2:53359025:53359675:1 gene:GSCOC_T00027831001 transcript:CDP08747 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPPPLRPLPLSLSPPLAPTTKTSLAEKLGSVGLGYAIAIAFGFLVLLSTVLLASYICCRSAAARRRRRFDSQSGPHNPSNNPNETSIYLPRIIFVTEDENDDEVSSSENAVVGLDQAVINSYPKFHFSKINASFCAGNDPVCSICLCDYKESEMLRLLPDCKHYFHVTCIDAWLKLNASCPVCRNSPLPTPLSTPLSEVVPLSQYSGGRRRS >CDP09310 pep chromosome:AUK_PRJEB4211_v1:2:23954704:23955551:1 gene:GSCOC_T00028620001 transcript:CDP09310 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLIWATAEDLARNRGRVLSLYRQILRSLNSPALPLNLAARLSKKAEVRAIFMLGSEERSIHNIEDLIDAAEYSLSLLRKGEMPKHIQ >CDO97613 pep chromosome:AUK_PRJEB4211_v1:2:20380418:20384649:-1 gene:GSCOC_T00015000001 transcript:CDO97613 gene_biotype:protein_coding transcript_biotype:protein_coding MSADQSRQSLNRRPGNHSPERPQKIARVNPIGESGCEEEENQRKGPIVTETKMNPNPRVQRYLIAIEYIGTRFAGAQQQSNCRTVVGVLEEAFHKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGETLPPHEPAVVKKAVNHFLQKNEGDIMVIDVQSVPSDFHSRYKAQERTYFYRLLSGPEPLSTFEKDRAWHVAEELDLLSMQKACQVLVGRHDFSSFRAAACQAKSPIRSLDELNVTEVVSPLSFPSIKEREQSNYLVEDSFATSLKSESEVSHVSSTITSQVEPIPTFGMRKQHRCFVVTARARSFLYHQVRLLVGVLKSVGTGNLTVDDVERILKAKTVAAASPMAPACGLYLGHVKFDLASDM >CDP05150 pep chromosome:AUK_PRJEB4211_v1:2:1999074:2001554:-1 gene:GSCOC_T00020099001 transcript:CDP05150 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVLVLLSFALCVIRLSAAFTPPDNYLIDCGSSTNTTIDNRVFIGDLSIPGSALLSEGKSISLTNPTHPSNSSPLYSTARAFTTASSYKFNINKIGTHLVRLHFFPFTSQKYDLKVSNFSVSANGVSLLSNFAAGFSVLKEFILMVDKVELEISFVPTPDSNLAFVSAIEVFSAPKNFFVDGPTKFIVPNGVEEFTQNISSQVLETVHRINVGGQKLTPFNDTLWRTWLPDEDFLVLKSAAKIASTTHLPNYQEGGATREIAPDNVYMTAQEMNLDNVTSIFEFNITWNFPVGREDALQFVRLHFCDFVSLSLNQLYFNVYTNELIAYKDLDLSSLTFHVLASPYYLDFVVDSGRSGVIQISVGPSDLSTSLKKNAILNGVEIMSIKNFMASQTGTKTESRWILLGSVIGGFVVLCFLVLAGLVVYRQQKREAKSKRVGSTGTDWTPLRVYGGSSLGVLSERTALASPCPNGYLGLKIPFADIQLATNNFDKTLIIGSGGFGLVYKGILGDNKKVAVKRGVPGSRQGLPEFQTEITVLSKIRHLHLVSLVGYCEEQSEMILVYEYVEKGTLKSHLYGPRFTPLSWRQRLEICIGAARGIHYLHTGSAQGIIHRDIKSTNILLDESYVAKVADFGLSRSGPCLDETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPLLSREQVNLGEWALEWQKKGLLEQIIDPRLRGQIRPASLKKFGETAEKCLADYGVDRPTMGDVLWNLEQVLQLQENETVESLETVDVAAVEHPAPGQVPSGGTWVERDGCDGASNISTSRVFSQLMTNEGR >CDO96848 pep chromosome:AUK_PRJEB4211_v1:2:13879103:13880011:-1 gene:GSCOC_T00014003001 transcript:CDO96848 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCAREGLNRGAWTPLEDKMLRDYVQSHGAGKWTSLAKRAGLKRCGKSCRLRWLNYLRPDIKRGNITDDEEDLIIRLHKLLGNRPVISPFMLTLICTFYILLAFWHR >CDO99522 pep chromosome:AUK_PRJEB4211_v1:2:11703130:11706151:1 gene:GSCOC_T00029133001 transcript:CDO99522 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSYGTWKLSVDVMEGHSDLITDIRFRPNSTVFATSSFDKSLQIWDASKPTNPPSKLDGHSKHVMSLDFHPRMGDVLCSCDSSNEMRIWNVTRQTCVHTSQGGSRQVRFQPQRGDLLASASGNIINLIDVETNKITYQFKGHDKDVRSLCWDNGGTYIASVSEDSARIWTINLGGRCIYHLHCTGNKFESCTFHPGYAQLMVIGTNQRLELWSPTDTNKTQSYDAHDDIIAALADSPHTETIASASHDNWLKLWK >CDO99900 pep chromosome:AUK_PRJEB4211_v1:2:7928693:7936250:-1 gene:GSCOC_T00029612001 transcript:CDO99900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MKLANCTPLVLMMLLPVTDNDILHVSPSCFSNALLSWLIKQFSLVGGVALGLANPTPGCIADRLYLSRFSSFGIFVISGLTLRSDEIGAAAEAWQVGLFGLASILFFTPFFSKVILLLKLQPQEFVTGLAIFCCMPTTLSSGIALTRLAGGNSALALAMTVISNLLGILIIPFSISKFIASGVGVSVPTEQLFRSLFLTLLVPLILGKALRESSEGAANFADQNRKLLSVMSAVLLSLVPWIQVSRSRTLLLMVKPAVFFVAVWMGVLLHALLLVFNGLAIHILSTICGGSKSAFAKKENTSALLLVASQKTLPVMVAVIEQLGGAFGESGLLVLPCVAAHLNQIVFDSFLVSFWLQKVHAFDSAKAA >CDP04974 pep chromosome:AUK_PRJEB4211_v1:2:512203:514280:-1 gene:GSCOC_T00019858001 transcript:CDP04974 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVYAHFPINASITNSNRSIEIRNFLGEKKVRKVDMLEGVSVVRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGRIVEEE >CDO99588 pep chromosome:AUK_PRJEB4211_v1:2:11166323:11168109:-1 gene:GSCOC_T00029224001 transcript:CDO99588 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNTKQVSSDHPLDWNQTLLDSGAVELPKPPPAKRQQQNQQQQSEPLKCPRCGSSNTKFCYYNNYNKSQPRHFCKACKRHWTNGGTLRNVPVGGGRKNKRLKTANAATITTAITTTNTAIRDCHNSPLATINDQKNISDILYQALISSSSSVQHDPINAFTSKTSMSNAITGPMLSLPQDRHSLQFSFSSLSPFDTIPCSFPCSNLSLNNAYDYTAELDHLESSTVTTVTPSTTSAGVFSQSSWQGQTTNTSSTLAEMPNYWNWNDMDPLVSADLNIPWDDIEIKP >CDP17238 pep chromosome:AUK_PRJEB4211_v1:2:48198367:48199764:-1 gene:GSCOC_T00000729001 transcript:CDP17238 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHGGSNGSCFYSVLGIRKDASFSDIRSAYRKLAMKWHPDRWTKNPTVAGEAHRRFQKIQEAYSVLSDEGKRSMYDAGFLDLLEEDEGMGDFLHDLMNMMDNNVGAEEESLEDLQRTFVEMFGGDLAKMMENDDQTTRKRTRDSGSSVRAAPKRGNSCGTSYH >CDP08717 pep chromosome:AUK_PRJEB4211_v1:2:53587473:53589383:1 gene:GSCOC_T00027783001 transcript:CDP08717 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPAFSPPGAAACQHPSFSPQPHPLFSPPPSLTHHMEQSHPPCESVSSVIRSHLDRARGLYHIPIRCILVHHQVL >CDO99918 pep chromosome:AUK_PRJEB4211_v1:2:7774898:7779623:1 gene:GSCOC_T00029637001 transcript:CDO99918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 727 [Source:Projected from Arabidopsis thaliana (AT3G54300) UniProtKB/Swiss-Prot;Acc:Q9M376] MSQRGLIYSFVAKGTVVLAEHTPYSGNFSTIAVQCLQKLPSNSSKYTYSCDGHTFNFLLDTGFVFLVVADESMGRSVPFVFLERVKDDFKKQYGASIKTDGSHPLADDDDDDDLFQDRFSIAYNLDREFGPRLKEHMQYCMNHPDEMSKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKSENLQFQADTFQRQGRQLRRKMWLQNVQMKLMVGGAILVLIIIFWLIACGGFKC >CDP06813 pep chromosome:AUK_PRJEB4211_v1:2:37220044:37221378:1 gene:GSCOC_T00023807001 transcript:CDP06813 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 12 [Source:Projected from Arabidopsis thaliana (AT3G07550) UniProtKB/Swiss-Prot;Acc:Q9SRR1] MENCYEDCLTSIMQLPDDCLYFIFHWLDSASDRESFGLTCHRWLQIQNSSRRRLQFQCSFTKLDIPSLSQSSMKIDAFYLHRLLNRFQKLHYLSLSGCIEMPDFGLRQLLNYGSQLQTLHLHCCFGITDHGISLVASGCPSLTTISLYRCNVTDIGLKTLSESCLALKDVDLSYCSLISDQGIRALAKNCRHLRAVCMTNCRSVTGVGFMGCSQSLTFLEANGCKLEPGGIMAIVSGGGLEYLNLSCLWWCIGSDGLTAIGAGFATKLKVLDFRSCRTIGDNSIIAIAKGCPLLQEWNLALCHEITRAGWEAIGVNCHHLEKLHVNRCRNLCDEGLQALRYGCKKLSVLYMSISKSCNISSTALEIFRCSRGNVKILEEEVMSIAPDSAFHIC >CDP17758 pep chromosome:AUK_PRJEB4211_v1:2:51662306:51662805:1 gene:GSCOC_T00003867001 transcript:CDP17758 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLGFIIPRLTIGILVQVLCSYSTLPLYALVTQMGSMFKQGLFDEYINKLILKWTRMRPSSAGGESHGLASQSADSIYASEHPTLDDAIATSVIELNHHNESQTPFS >CDO96945 pep chromosome:AUK_PRJEB4211_v1:2:15259700:15261668:-1 gene:GSCOC_T00014141001 transcript:CDO96945 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPQFRREGWLSLYLTLLLASSLCHGKHVQVKIVGSTERARCTSDVVKTWHPTSSGERLAIECKSPDGNFRTLGKGDFDAKGNFEVNFLEADMRKKECYLKLRSSSGAPCPVQLAKRILAVMLKSIDYPNQTSATTPRLKFSSNSCIAAISRMSDEGNPVESSSPRQSKNGNIERLPPTFTEYIKPLQPTPQQKQNLARPPVSVSRKLLTHPIQIFPPIIPPLVPDIHYPFPALAPLAGIPLPTPAPTYQMPLPPSANSPEPIIQKPYPPLAPTYQKPLPPSSDSPMPIIHKPYPPPAPKFKKSSPPPLPVEKPNDPANPPIFISPASLPQPNPSHEQAPSPLSNVRPSPSSATPSNSFSPIPRAPPIPALPPIPTVPRRYFNLPKSGHKSPTPPTKSHS >CDO96713 pep chromosome:AUK_PRJEB4211_v1:2:12303037:12304144:-1 gene:GSCOC_T00013819001 transcript:CDO96713 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEVPWDDAGRGGIAVVGDLKESSSPVHKKQRSDEKDELELYDEDIRQINLQADQLVYTEKDHALDSIVSILNDYYPDGCNDENDVWFKYWRQLIDSEGFDIDPIPYHDTTKLPIPYHDARNLAFVPLLELESIIKHAKLAIEHHNQKKDTDYEFAKVEKANARFWGPIGANFYITFLAKDPYAACGLTTFQALVWEGAEPDEYYVKFCRPKVVAN >CDP15217 pep chromosome:AUK_PRJEB4211_v1:2:49148521:49154842:1 gene:GSCOC_T00042848001 transcript:CDP15217 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLCSFCHGRFTFSSSKTIHEDLLYVLLLSTFLGSLPNSVLGECKNPPVIFNFGDSNSDTGGLVAGLGYSINFPNGRTFFRRSTGRLSDGRLIIDFLCQSVYTTFLSPYLDSMGSTFLNGANFAIAGSSTLPKNVPFSLNIQVMQFVHFKDRSIQLVSAGSLHFIGAAGFRNGLYVIDIGQNDLADSFAKNLSYKEVVKKIPSVILEIKYAVEDIYAQGGRKFWVHNTGPLGCLPQKLSTVQKAPDDLDSYGCISSYNDAARVFNEALLLLCEELRYEKKDATIVYVDVYTIKYDLIANSTKYGFSSPLVACCGFGGPPYNYDVRVTCGHPGYQVCDEDSKYVSWDGIHYTEAANSIIASKILSTDYSTPRIAFDYFCN >CDO99615 pep chromosome:AUK_PRJEB4211_v1:2:10730438:10732335:1 gene:GSCOC_T00029261001 transcript:CDO99615 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKILSTLPSVLVIFFLSNPFNPNPGVRAWSKEGHIMTCQIAQDLLEPDAAHAVKMLLPDYVNGDLSALCVWPDQVRHWHKYRWTSPLHFIDTPDNACNFDYERDCHDQHGVEDMCVAGAIQNFTTQLSHYRHGSSDRRHNMTEALLFLSHFMGDIHQPMHVGFTSDEGGNTINLRWFRHKSNLHHVWDREIILTAAADYYGKEVDLLEQDIESNFTDGIWSDDLDSWRDCSDLHTCVTKYAAESINIACKWGYKGVEAGETLSDDYFNSRLPLVMKRIAQGGVRLAMFLNRAFGRSQDDFLAAT >CDP07778 pep chromosome:AUK_PRJEB4211_v1:2:26332039:26333700:-1 gene:GSCOC_T00025156001 transcript:CDP07778 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPMKVVALCGSLRRASYNRGLIRAATEICKESIKDVQIEYVDISPLPFLNTDLEVNGTYPPEVEGFRQKIRQADSIFFAAPENNYSVSAPLKNAIDWASRPPNVWADKAAAVVTAGGGSPRVQYHLRQIGVFLDLHFINKPEFFLNAFQPPQKFDSDGNLIDADSKERLKQLLFSLRAFTLRLQGKAE >CDP17193 pep chromosome:AUK_PRJEB4211_v1:2:47627980:47628737:-1 gene:GSCOC_T00000660001 transcript:CDP17193 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTEKMLYSYLYKVQEVATILIFHSPLQVSRSDIESLQFAQNLEFLQAEWFLRGALGHGLDGFRVYRFLIGCAAPIGVLKPIWTSSRKVLQPFGYQGVGHLRALQDAVGLIPRPRLI >CDP19299 pep chromosome:AUK_PRJEB4211_v1:2:28784789:28801213:-1 gene:GSCOC_T00011528001 transcript:CDP19299 gene_biotype:protein_coding transcript_biotype:protein_coding MQISNSLILLSLLSSLILCSSSSSIQESEAAIESLLKRLDSKRSPASVQEAAARGVLQRLLPTHLSSFEFKIVSKDGCDGRSCFRISNYKSSRRNSPQILIEGTTATEITSGLHWYLKYLCYVHVSWDKTGGIQIASVPKPGALPRVSDNVVIIQRAVPWNYYQNVVTSSYSYVWWDWERWEKEIDWMALQGINLPLAFTGQEAIWQKVFTEDFNITAEDLNDFFGGPAFLAWARMGNLHAWGGPLSQNWLDKQLLLQKQILARMLELGMTPVLPSFSGNVPAALKAIYPSANISRLGEWNTVDADPRWCCTFLLDPSDPLFVEIGEAFIKQQFKEYGDITNIYNCDTFNENSPPTDDPTYISSLGSAVYAAMSKADKDAVWLMQGWLFYSDSSFWKPPQMKALLHSVPFGKMIVLDLFADVKPIWKSSSQFYGTPYIWCMLHNFGGNIEMYGVLDAVASGPIDARLSENSTMVGVGMCMEGIENNPVVYELMSEMAFRSDKFQVKEWLKVYSHRRYGKELHQIEAAWEILHRTIYNCTDGVADHNTDYIVQFPDWDPTLHSRSDLHQENQMQKIPGIYRNRRFMLHEISSPLTQPHLWYDTQDAIAALKLFLDAGNELAGSLTYRYDLVDLTRQSLSKLANQVYLDAISAFQNKDANALVVQSQRFLQLIKDIDVLLAADDNFLLGSWLESAKSLAVNSDEAKQYEWNARTQVTMWFDNTKYIQSKLHDYANKFWSGLLQGYYFPRASMYFSHLSKSLSEDKAFSLEDWRKEWIAYSNEWQAGTEHYPVKAQGDALALAKDLYEKYLR >CDO97702 pep chromosome:AUK_PRJEB4211_v1:2:21147828:21151764:-1 gene:GSCOC_T00015118001 transcript:CDO97702 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLTLSQAVLAGSIPRHGSSNPHLPSVSFPTFSALKSTPSTTTTAASRRRSPSVPAAGRRQPQVLASAAVETLEKTDTALVEKSINTIRFLAVDAVEKANSGHPGLPMGCAPMGHILYDEVMKYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKQFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARYNKPDAEIVDHYTYAILGDGCQMEGVSNEVCSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVELRFEALGWHVIWVKNGNTGYDEIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVEATRQNLGWPYEPFHVPEDVKKHWSRHVPVGAAYEAEWNAKFAEYEKKYPEEAAELKSLITGELPAGWEKALPAYTPESPADATRNLSQQNLNALVKVLPGLLGGSADLASSNMTLLKMFGDFQKKTPEERNVRFGVREHGMGSICNGIALHSPGLLPYCATFFVFTDYMRAAIRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGSYKVAVLNRKRPSILALSRQKLPQLAGTSIEGVAKGGYIISDNSSGNKPDVILIGTGSELEIAAKAADELRKEGKAVRVVSLVSWELFDEQSAEYKESVFPAAVTARVSIEAGSTFGWEKIVGPKGKAIGIDRFGASAPAGKIYKELGITAEAVVAAAKSVS >CDO99821 pep chromosome:AUK_PRJEB4211_v1:2:8624959:8628815:-1 gene:GSCOC_T00029516001 transcript:CDO99821 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSSSTHWSSLSSRFRYPRLSARVLSSLTDDSSKSSVRISADSAAPKARFVARRTESVSVRQLKRPLGEYMSLPASQYSVLDAERIERVDDSTFRCYVYRFKFFAFEVCPVLLVRVEEQPDGCCIKLLSCKLEGSPIVVAQNNKFDASMENKIKYDTSKSEVPVQQLTSDAVIEVSIEIPFPFQALPKAAIESTGTQVLGQVLRIMLPRFMAQLVKDYHAWASGDTSRQPLGTGKI >CDO97562 pep chromosome:AUK_PRJEB4211_v1:2:19960373:19962298:1 gene:GSCOC_T00014943001 transcript:CDO97562 gene_biotype:protein_coding transcript_biotype:protein_coding MNSESLVAVLFLGLCLVLSDASISSSPACPLDLNYVLAIPWTTTACENFSGNGDCCQNLLSLIGIALANRLKETSRFQLPDLPTSVSCLDDFQSKLNSLSFPSNLTSLCFDPMQFVISPNVCASIQTAQDWNNKLGPSTALNSACSSDLNDPSACSACISAGFQVQAQLSAIDGNQSHSLNCLYFTILYTAAFVNKLGTEDYGDIDCIFNLPVSSQRKSSHKPHLALILGLTGASLAVLVISMFLGLYYWQMKRRRSSNDNEPNTSTWFSIHELQKATDNFSSTNLIGKGGFGIVYKGILDDGTAVAVKKIMESDIRGNEEFLNESHRYLVYEYMFNGNLNSHLFPVSKEGTRKQPLGWPERKSIILDVVKGISYLHYGVKPAIYHRDIKPTNILLDAEMRARIADFGLAKENREGQSHLTTKVAGTYGYLAPEYALYGRLTEKSDVYSFGVVVLEIMCGKKALSWSSSESSQAILIADWAWSMGDSGSANANSKGVMEKFLLVGILCAHVTEAIRPTILDAMKMLEGDIEFPEIPDRPQPLTRPSFYGTYMGCGEWQT >CDP05389 pep chromosome:AUK_PRJEB4211_v1:2:3824993:3828267:1 gene:GSCOC_T00020408001 transcript:CDP05389 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE63 [Source:Projected from Arabidopsis thaliana (AT1G02140) UniProtKB/TrEMBL;Acc:A0A178WA48] MIRKEVFVTPAVLKECRRIVVESEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTAKIGSLVDVNTSKDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >CDP14006 pep chromosome:AUK_PRJEB4211_v1:2:5013644:5014265:1 gene:GSCOC_T00039165001 transcript:CDP14006 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYLGKKMAYIYKAKVKKNGSHYRCIWGKVCRPHGNSGLVRAKMKSNLPPKSMGSRVRVFMYPSNI >CDP17159 pep chromosome:AUK_PRJEB4211_v1:2:50293329:50294587:1 gene:GSCOC_T00006323001 transcript:CDP17159 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSNSPEANAGGQQEDITECYLCSLCKTRRAEIGHTRKFSYCELQFTTSNFSAKNLLADRRWKFYEGTLSDGQRIVIREHTSPTIEENEFIELVQKLGKARHENVAMLLGSCSVGSHRLLVYEYICNGSLNRHLSSKIDVPADKSCELTWERRVKIALGAAKALEYLHSLKIYGIMRPSNILLTHDHQPLLVNFGLAKNQYESSNQSYEARVLKTFEYMAPECEESGICKSKADVYSLGVVLLELVTGRKSIDETNGQSFLRWARPLLREKRFHELIDPALQDSHDLHQLYWMVQLTEKCLCWDPHRRCSINKV >CDP13985 pep chromosome:AUK_PRJEB4211_v1:2:4841003:4842653:1 gene:GSCOC_T00039141001 transcript:CDP13985 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSCSTEDSKTSPTTNQRLEDDEEDHEDDDHQEDMNNNSAKPNINGTSSSNSTVEENSKKPASGSVRQYIRSKTPRLRWTPELHLCFIHAVERLGGQDRATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKIDDPNQVISEQRLLADSGDHNIYNLSKLPMLQAFDHFSSSGSLRYGNTFWNSRQTNYHGPYNYGGAPSNFTRHGFGTADKFFGRNNVGHLYGSHEFNAARQSSWRNILANENETQFFSGQRPWRTQSGTGTSSNVKPSLMSQLHDRGRSEQINADFRGPLSPGKKPHAVQEGINLLKRKASADSDMNLDLNLSLPTPRQTDERDNRRLKVDGTDNSLSLSLFPSSTTLSSRNLEEIHGNTRKHARTASTLDLTL >CDO99886 pep chromosome:AUK_PRJEB4211_v1:2:8047669:8050774:-1 gene:GSCOC_T00029593001 transcript:CDO99886 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLLSASYHSASRVSPFQETAPSSVMIETASPPPQKTRKSAENAENDRDTPSFDSTTSTTTTSTAPPVGIEAKISTSSASEAFNWVASGHTSSFNKPHATANGDPGWIAIRSIHSDVNMITLQDLSFIKKLGSGDIGTVYLVELKGARGCMFAAKVMDKEELAQRSKEGRARIEREILEMLDHPFLPTLYTTLDSARWSCLLTEFCPGGDLHVLRQRQPGRRFDEAAVRFYASEVVAALEYLHMKGIIYRDLKPENILVRTDGHIMLTDFDLSLKCDNSKPTAQLVPESSPLTLNIGESKICTVEANLSSKSYCILPRCMVPAVSCFQNKRRRKRRQGHQRRALEIVAEPIEARSMSFVGTHEYLAPEVVSGEGHGSAVDWWTLGIFIFELIYGLTPFKGLDNEFTLSNIVARSLEFPKEPVVSSSAMDLMAKLLIKNPTKRMGSTMGATAIKNHPFFDGVNWALLRRTEPPHVPQPTNNKDLVSSSDHYDASVEYY >CDP00014 pep chromosome:AUK_PRJEB4211_v1:2:6992516:6994674:-1 gene:GSCOC_T00029769001 transcript:CDP00014 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMEPATTSTACASPTPLLASFGDPEGEDNGQAIAAHLAVEIRNPNIQNQAYPLTLSFSNLSYSVKISHKLNWSSIIPCSNKSNHPSEEPETKVLLNDISGEAHNGEILAVLGPSGSGKSTERSLSSLNGEQMDPKLLKAISAYVMQDDLLLPMLTVEETLMFAAELRLPQYLSKSEKIKRVHNLIDQLDLRKAVRTVTGDEGHRGVSGGERRRVSIGIEIIHNPILLFLDESTTGLDSTSAFMVVKVLQRIAQSGSILIMSIRQPSFRILGLLDRLLFLSRGQVVYGGSPSNLPLFMENFGHPIPADKNPSEAILDPICDLEYSGDGISNMQSSPAFHEANWSPGQPTKMVLLLVLRSQNMLIPFGLQSVLSKRSFLHSCRMPEVFASRFGATLITGFIVASLFWQLDNSPRGYQERVAFLSFVTTTVYYICADGLAVILQERNIMLRETNYNVYRLCSYWFSDALTWIPSLLFLSITFSITTFWGPGLQGGFTGFSIYFLVILASFWGANSFVLFLSGLLPDISRVYIVIASTLGSFALFCGICATRDQIPSYWIWFHYLSLKKYTYEAIMHNEFDDPRRSLGTSTASSTCMATGPDLMKQRGMADLGKWECFWITVAWGFFFRMLYYYSTLLFGK >CDO96817 pep chromosome:AUK_PRJEB4211_v1:2:13374829:13381455:-1 gene:GSCOC_T00013962001 transcript:CDO96817 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGSPRSPKPKNSILDVSYGMRESQSRCSDMQQTGDPSLGRRGSGGDNHWDKVILVQGLRNDSGKFAASKGVNASKKYMWWLPRHIRRIILAFVFMSFLFLLDSLLFSLLESIIKNHSAPHRSTGQEEKITGMLEEKSSTNMYDRLLKLAASSVNEKELKRGESKFWEESYPQASMWKPCADKKSTKVVGAGKSRNSSGYIVVSANGGLNQQRVAVCNAVAVASLLNATLVIPKFLYSNVWNDPSQFGDIYQEDYFINTLENEVDIVKELPHDLKSVDFESIGSQVTDADLSKEATPDEYIKKILPLLLENRVVHFLGFGNRLGFDPLPVELQRLRCKCNFHALKFVPKIQQMGSILIKRIQKYDIAKSMLDKQLLGNFISDASPGKHHILDGTSRYLALHLRFEVDMVAYSMCEFGGGENERRELQAYREAHFPLLIERLTTSEHPSSAELRNLGRCPLTPEEAGLVLAALGFKSDTYIYLAGSEIYGGESRMHPFTSLYRNVVTKEDLLSASELAPFKNFSSQLAALDFIACATADVFAITDSGSQLSSLVYGYRTYYGGGHAPTLSPPKKRLAAILLHNHTIKWSTFEEKIRKMIQEGQRVRQRGFHRSVYRLPRCRGCMCKHQ >CDO99720 pep chromosome:AUK_PRJEB4211_v1:2:9522252:9524288:-1 gene:GSCOC_T00029394001 transcript:CDO99720 gene_biotype:protein_coding transcript_biotype:protein_coding MISNMPLLFSVLFCAFTIYVSKAQDFASQDNPSAVPPPEAENCNGIFLSYTFVSRTKEYPHLKNATAQPWAFKSTATILNAGMNVLKNWKMFVGFQNQEILVSASNAVLVGGDEFPAPVGNGTYLAGYPQTDLETSIDTAGDLTKIQAQIELSGTQFGIRPPGYPMPKTIKLVNHGFRCPAPIQRARTMHMCCAIDPKLEAKNLTTKYFPRQKGDLSISYDVIQAYQTNYLAQVTIENNNPLGRLDNWNLTWEWMRGEFIFTMRGAYTRKKDATDCIYGAAAQYYNDLDFSKVMSCEKSPIIGDLPPDRKTDQEIGNLPHCCRNGSLFPTIMNASNAISVFQMQVYKISPDLNRTALYPPQKWRILGELNPHYKCGRPLRVDDTEFPDPSGLQAVSTAVASWQIVCNITKPKNRESQCCASYSAYYYDSIIPCNTCACGCGSSEKCSKNAPALLLPPEALLVPFLNRTEKAVHWARLKKQHIPTPLPCGDNCGVSVNWHIATNYRTGWTARITLFNWKEITFKDWFVAVELKKAGSGFQNAYSFNGTLLEEVNNTIFMTGKPGLDYLMGETNGTHPETDPKIPGKQQSVISFTKKHLRGIDIVKGDGFPSRLLFNGEECTLPTNLPTADGRQYPADLVLIIWLTVMCILLTK >CDO99632 pep chromosome:AUK_PRJEB4211_v1:2:10532006:10540748:-1 gene:GSCOC_T00029280001 transcript:CDO99632 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEASAAEAVANQRLTTSDPESGEITEKPVEEEEQEQEQSSSYSWPIINFQVPPYRTYHFFNQFRTNSSIPNNFLKAVKWSPDGSCFLSCCDDNTLRVFPLQYYESGSNLDSCSSASDADSYPANLVIGEGESVYDYCWYPYMSASTPDTCVFASTTRDHPIHLWDATSGQLRCTYRAYDAMDEISAAFSVAFNPAGTKIFAGYNKFIRIFDVHRPGRDFKQLSTLQGNKEGQSGIISSIAFCSSNSGMLATGSYDQTTAIYREDNMELLYVLHGQEGGVTHVQFSKDGNYLYTGGRRDPYVLCWDIRKAVEIVYKLYRSTETTNQRIHFDIEPMGQHLATGGQDGLVHVYNLQNGQWVSSFQAALDTVNGFAFHPFLPMAASSSGHRRYGCFDDSSEDVILGSDENCVSIWSFSFTNSDDVSAASFGELNEQIDSNNLNDS >CDP07629 pep chromosome:AUK_PRJEB4211_v1:2:24081504:24087555:1 gene:GSCOC_T00024945001 transcript:CDP07629 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigB [Source:Projected from Arabidopsis thaliana (AT1G08540) UniProtKB/Swiss-Prot;Acc:O22056] MSCLLPQFRCLPDAFGVAFKSHQHSAPQLSKNRDPIHVRTQCILSTTSAPTSAASTVLDIEKLRLLSLEANSNSVSDGSWKAPKSPAMAAVLVMEKLKLISLEAHSDSVTANRPWTYNGPVDSAIKPNLGATLATESLIANEEAVIAAAAAEAVALAKAALKVAKDAAMMVDHGNLTEPESKAMDTLSESSDVLSNRALLGQLEKRVSTIGEINIAGVELGENHSIWYPFTESDDMEPTNEELELLQEQLLNNVAVRSKRQKERKARRARAAERAAASAVSVKSSGSTSRKKRSSIQEIDYSDPLRYLRGTATTSRLLTASEEQELSEGIQDLLKLETLHEELAQRCGAEPTFAQWAAAAGVDQKTLRKRLNYGTLSKDKMIMSNIRLVISIARNYQGAGMNLQDLVQEGCRGLVRGAEKFDAKKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVKEAKKQLYSENGRHPDDEEVAEAAGLSMKRLSAVMLTPKAPKSLDQKIGINQNLKPSEVIADPEAETSEDLLVKRFMRQDLEKVLNTLNPRERQVIRWRFGLGDGRMKTLQEIGELMGVSRERIRQIESCAFRKLKNKRRTKHLQQYLLS >CDP08804 pep chromosome:AUK_PRJEB4211_v1:2:52853201:52853559:1 gene:GSCOC_T00027907001 transcript:CDP08804 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTHFLIFPGHLCFDLMEEWIRKHPLASICTSEGVQMFRDIAIFQDYHGLIEFRNAVARFMGKVRGDRITFEANSLVYS >CDP16548 pep chromosome:AUK_PRJEB4211_v1:2:42720073:42750308:-1 gene:GSCOC_T00018931001 transcript:CDP16548 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSSTSSLHSFPKLSPSNPHFTQPCNFLTVFSPNKPLHFKFKLQFSSLRPIASLNNSSSSLNNTTEPMIPPYNVLITGSSKGIGYALAKEFLKAGDNVIICSRSADHVESAIQSLKMESGKQHVWGTTCDVRAANNVKNLVAFAQEKLKYIDIWINNAGSNAYSYKPLAEASDEDLMEVVSTNTLGLMICCREAIKMMLNQSRGGHVFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMQDVKNVVVHNLSPGMVTTDLLMSGADTKQAKFFINILAEPAEVVARYLVPNIRSIPISGSTKPTYIRFLTGWKAYSQIFSRIAFGARRNRHVLED >CDP08564 pep chromosome:AUK_PRJEB4211_v1:2:40706032:40708536:1 gene:GSCOC_T00027534001 transcript:CDP08564 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTRTRKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDRANDTLPLVKVENGDQLNLTPTCHTVLKYLIPSLSGFVSVKYQAKSEDPFETHPLQTWSFSSPVSRYYPCSSHATLSSSSPLSLIYRSFFKSSLKFFNQKPSLLFCLSSHKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFVGKQLEDGRTLADYNIQKESTLHLVLHLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDNEGIPPDQQKLIFTGKQLEDGRTLTDYNIQKESTLHLVLRLRGGMQIFIKTLTGKTITLEVESSDTIDNMKAKIQDKEGIPPDQQRLMFAGK >CDO97501 pep chromosome:AUK_PRJEB4211_v1:2:19545822:19547424:1 gene:GSCOC_T00014861001 transcript:CDO97501 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLVCVLVCFIRKLSHVNVNRDRERDIHSFIHLGLADFLSVIADLQGVTKPCSVYSSLESQIHRSSGR >CDP04998 pep chromosome:AUK_PRJEB4211_v1:2:751170:753582:1 gene:GSCOC_T00019893001 transcript:CDP04998 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIGGTSWLNVVKKAFTSPAKDRHQDEKKSGKRRDQEHDQQEEEKRGKRRWIFQKPWSCETTIQRDETQKMNLASNASANMSGANLAGTSAPEMAQQQCAIGVAMATKVATEAAVATAEAAAEILRLTRPSISDGQRRAAILIQSAFRGYLARRALLALKGLVKLQALVRGHNVRERAKMTLQCMQALIRLQAQACDQRKRLSCEGYIFRSDANSKWGPLTTAKKSRDARGSVDGQYPPPMYIHDLLQETEEVASKPGMHLSRAFSRQMWKTGKAQISVDKAAYEENPILYESFDRIRKQPKEISRASCDENDSVNIVEMDSSIRPCTYTAQNLPTRQACNYQDCRHWPSSYTTSSPLHRMSELSIQPPVPQSPVRIKPLKMQSASPRCQREERYSPAAHTPTCSNFSVPAHKPKYMAATASTNARARSLSTPRQRPSTPQTEKKGSAKKRLLFTAPDPYSDVDITPRWHHSSKNPICTNIVTCVLEDQQRS >CDO97042 pep chromosome:AUK_PRJEB4211_v1:2:16088847:16090845:-1 gene:GSCOC_T00014263001 transcript:CDO97042 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLFTAAKPFGALLMKLVGITQQKMEIEAGTIMNFWVPNEMANKPVVVLLHGFCADGLLTWIFQVRALSRKYAVVVPDLLFFGASITDRPDRSTNFQAESLAKGLRMLGVEKCSVVGFSYGGMVAFKLAKLHPNMVESVVVTGTVMESDDETSDEAPESWKKKRFSRWSHFLLPETISAGRMLLSFGSHRFQWFPDFVVSDFLEVMYNNRKEKAQLLDALNFGDDDLAPANYSQRIHLLWGANDRILSLEFAWMLKKKLGDKARLVWIEGAGHLVQMDRPYLYNRCLKKILASVYSLS >CDP15185 pep chromosome:AUK_PRJEB4211_v1:2:49783470:49786432:1 gene:GSCOC_T00042796001 transcript:CDP15185 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGSQYLFLLLLSLVVSASCASEENFKWEKLRVFHPSWRELLGSFHIKVVKHDLVNPPVTTNPTTPVTNPVTTPSTVPPDNSAPAVVTVPATNPVGVTPNPVATPATVPPTNPVLVPNTNPVAPTVPNTNPVTPMVPNTNPVTPTVPATNPVPTPVTNPVTTPTTPGAIPVTPPVNNPTPAPVTPNAPATPGQSWCVAKSGTSETALQTALDYACGMGGADCSTIQQGGSCYNPNTMQNHASVAFNNYYQKNPSQTSCDFGGTAMISNANPSTGSCVFATSSSSSSPSTSAPTTASTSGGAPTAAGSGASPTVLNASNPTSGGTSSGFGDSPSTSSTSTSIRLQPAIGCILLVITSTFARITVS >CDP16456 pep chromosome:AUK_PRJEB4211_v1:2:30803806:30818302:1 gene:GSCOC_T00018378001 transcript:CDP16456 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQLRSVCPENEDVAAFMKNKWQEMAANPKGISDNLNNTFYKAYSNVCNSKTPIKSLRDLAEIKGVGKWILKLMQGLFDTSLGGSENEDAIKNGKRSKGTRRYVPQKNSVAYALLITLYRGTTNGSEFMRKQELIDAAEASGLSRGPIVPEKGKGKPGKFGSSPREWYSGWSCMKTLITKGLVVKSSCPAKYMLTEEGKEAAKECLSRSGLVDCNDNSAIAHRSSCIEPKELDSSDMLRFCGPEPKDIDSAEIDMPELASAQARSLNEVALTSFASNSEKKSFHIPSESLDRFVKMGYSKEQTTRAFLEASDASQTQDMSSLWPAVLCRLKEDQVYGLPIQPNITRVDDFAVATTCNSVSNIHGNSLINGTCNGPLEGVKLPLLATSGQTACPLKACSFTDKEGTEAFESKSHVLSMPPLDIRERFEDAYGIILVLDDREHFASKGSQSRKIIDNISSQFKIQIEVRRLPVGDAIWIAHHKRIGTEYVLDFVVERKKVDDLRLSIRDNRYKDQKLRLLRCGLKKVIYLVEGDPNSCEAAESIKTACFTTEILEGFDVQRTNGLGETLRKYGHLTQAIYQYYKSLDSEHKSSRVCPQYREFIRRCEDLDKLTVSDVFAIQLMQVPQVTEEVAIAVLEMYPTLRSLSQAYSVLDGDICAQEDMLKKQSNNLISSAASRNIFQLIWGG >CDO99803 pep chromosome:AUK_PRJEB4211_v1:2:8763708:8770171:-1 gene:GSCOC_T00029496001 transcript:CDO99803 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAKGKEKVIDGGKGSSGKRKRNIGGGDDGKTGGRKRKNRGVLQFFDDAAYEVDEDDASDDSFFDDDSLEDEFDTAAAAMQFQSEPSRAPFLPFVPKVEEPTEEELEKMLQERYKPGSTFVTYAEDNYESKRTVEMPEHCPSVKDPIIWKVKCMVGRERHSAFCLMQKYVDLQFLGKKLQIISAFTIDHVKGFIYIEAEKQCDINEACNGLCSIYSSRVAPVPKEDINHLFSVKNKSNGISEGMWARVKNGKYKGDLAQVVAVNSARKKVTVKLIPRIDLKALAEKFGRGITANRTANPAPRLISNSELEEFRPLIQCRRDRDTNKVFEVLDGMMLKDGYLYKKVSIDSLSFWGVTPTEDELLKFEPSRNEEYNDLEWLSQLYGEQKKKRPLLSDKGGGKGEGSSMPSLDTDFEVHDLVFFGRKDFGVVIGTEKDEIFKIIKEGSEGPVVVTIPRKELKNAAFDKKLFTALDQKMKNISINDRVRVLDGPMKDREGIVKKIYRGIIFLYNETVEENSGYICFKAQLCEKVELPGEARNEKGGEQETVVFGDFASSPKSPLSPKQSDQGRDGSRNFNRENNTMFSVGQSLRIRVGPLKGYLCRVLAIRRSDITVKLDSQHKILTVKCEHLAEVRAKSSGISLGEESDSLRPFDLLGTQDGSTDWLDGTAKATGGGNWNAEGFSTER >CDO97287 pep chromosome:AUK_PRJEB4211_v1:2:17861383:17869836:-1 gene:GSCOC_T00014570001 transcript:CDO97287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MDEILDNSQSLIARIQQLERERDELHKDIEQLCMQQAGPSYLGVATRMHFQRTAALEREIESLKKKLAVCTRENQNLQEELSEAYHIKSQLAELHGAEVSKNIEAEKQLKFFQNCVAAAFAERDNAIMEAEKAKEKEELASLELNNYKKRIEELTCEYLEEKKLLAAAQADQETHEKQIDSFRKVIDKFYAIRQQTLKVFEDTSWEDKCKHLLNDSTEMWTFCNQEEASTSKYVSALQEEVETLRKSLDNLHRKLQVGLEIESHLKRKVFALEKEKVVLLERIENRISALLDYHSKHRTNVMNLLDEGYSLIESNIIEVEEKLRQLELSGKQSLRSSQAEDLVLHESDCRDVHVNNDISWASTAQASFWKRDDPGATTAISVKTGDVSEPLAQALQEKVAVLLLLSQEEERHLLERNLNIALQKKIEELQRNLLQVTHEKVRALMELAHLKQEFQVLRENVNQDKREGQACAASGGQRIIQDRDGKLKNLLKKTYLRHWVGNIDSDTDNAVTHLNYEGHFNQKKSTSSVDFARIKIENAALQEILESMEYLTSSVRKLRLALLKANESAVLEGTNTGLAEAVYDIITEARLLKTALGSSLPISWSAEADVESQVDRFEEAIGSSDANSSNEKVDFVSAAGLEMVDLLLFAAKLCKERLARS >CDP17784 pep chromosome:AUK_PRJEB4211_v1:2:51394438:51406848:-1 gene:GSCOC_T00003903001 transcript:CDP17784 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEADSRLNSVLIPALEKIIKNSSWRKHSKLSTECKSIIEHLTSPNQSPATPTPPASPSAAQSDGGGGGDATAPTSSAGVLLDLSLSDSELILSPLINAANSGHLKIAEPAADAVQKLIAHGYLHGESDPTGGPDAKLLSRLIESVCKCHDLGDESVELLVIKSILSAVTSVSLRIHGDSLLLIVRTCYDIYLGSKNVVNQTTAKASLIQMLVIVFRRMEADSSTVPLTPIVVAELMEPTEKSDADGTMTMFVQGFITKIMQDIDGVLNPATPRNGATSGGAHDGAFETKTSTVESTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELADGEGERDDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEALADPQLMRGKIVALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNSASTLMIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVSQPNFQQKMIVLRFLEKLCVDSQILVDIFLNYDCDVNSSNIFERMVNGLLKTAQGVPPGASTTLVPPQDVTMKLEAMKCLVAILKSMGDWMNKQLRIPDPHTAKKFEAENGSEPGSLLMANGNDDDPVEASDSPSEASSEVSDASTIEQRRAYKLELQEGISLFNRKPKKGIEFLINANKVGNSAEDVATFLKNASGLNKTLIGDYLGEREDLSLKVMHAYVDSFDFQGMQFDEAIRVFLQGFRLPGEAQKIDRIMEKFAERYCKSNPTVFTSADTAYVLAYSVILLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPEEYMRSLFERISKNEIKMKEDDFSIQQKQSVNSNRILGLDSILNIVIRNRGEENRLETSDDLMRHMQEQFKEKARKSESVYYAATDVVILRFMIEVCWAPMLAAFSVPLDQSDDEVVIYQCLEGFQSAIHVTAGMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKMIVTIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAIPQNEFDKSKQSKSNVLPVLKKKGPGKIQNAAASVRRGSYDSAGIGGNASAGITSEQMNNLVSNLNMLEQVGEMSRIFVRSQKLNSEAIVDFVKALCKVSMEELRSTSDPRVFSLTKIVEIAHYNMSRIRLVWSKIWRVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAQDERKNIVLLAFEIIEKIVRDYFPYITETETTTFTDCVNCLIAFTNNRFNKEISLNAIAFLRFCAAKLAEGDLGSSARNRNKEISGNISPTLPQKGKDKRNENGDLTEKEDHLYFWFPLLAGLSELSFDPRTEIRKSALQVLFDTLRNYGHHFSLPLWERVFESVLFPIFDYVRHTIDPTGETYPEEGFDRESGELDQDAWLYETCTLALQLVVDLFVKFYDTVNPLLRKVLSLLVSFIKRPHQSLAGIGIAAFVRLMSHAGNLFSEEKWHEVVFSLKEAADSTLPDFSFALNEDSEVLVHDGDVSRRSSGEFAGANAEDDDLENLRRHRLYASISDAKCRAAVQLLLIQAVMEIYTMYRSQLSVKNVVILFDGMHAVAFHAHKINTDSTLRARLQELGSVTQMQDPPLLRLENESYQICLTLMQNVAEDRPSHNEESDVESYLVKLCHEVLQFYVETAQSGQVSDSSVSRQIRWAIPLGSGRRRELAARAPIVVATLQAVCSLQDTSFEKNLSLFFPLLSSLISCEHGSNEVQLALSDMLNSSVGPVLLRSC >CDP07793 pep chromosome:AUK_PRJEB4211_v1:2:26528787:26539828:-1 gene:GSCOC_T00025177001 transcript:CDP07793 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRRICYCELGFPRKLQKERGKEEFLGEVSRIEEFIKDPWLLKAEANATVQVKVPKVVVPASVVPPVADGGGGGTGAGAGAAVGDGDEAASAQTKRVALQKQAAAASMVAEDFARRFESGEMVGPVKDDAQEEQGLSNVKVMCRLCFSGESEGSERARKMLPCKSCGKKYHRSCLKAWSQHRDLFHWSSWTCPSCRICEVCRRSGDPNKFMFCKRCDGAFHCYCQQPPHKNVSNGPYLCPKHTKCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDVCQRWVHCQCDGISDAKYLQFQVDGNLQYVCPTCRGECYQVKNLEEAVQELWRRRDEADRDLIANLRAAAGLPTQQEIFSISPFSDDEENAPVVMKNEYGRSLRFSLKGVVDKSPKKSKEYGKKSSNKKSGKKKGHLMSIDSVHEAHQNFERHDDASSFGYDNRTEQVLSSRSGEPDGYFSPVAGSVNDGMCSVNQAGVLKHKFIDEVTASHNNRAHKTVKIKSNKPQGGGLDNGDDSGNQSNMSRATKGPKLVIHIGSRNRNLTTSPRSDGSSYQKDQDMTTSNGSEDVGQPRKNESVHRQENASKHTDGKATVADQKKGSKLRGKDGNLIKIKKANTEAGDMPPKFGGAKLLDEVEQVSGLNTRALGKKSTEVSATGVRIKSEFPASRTNRFSSVPAWDSRPGALADVSDDGNHAPISNSQKESKPLLKLKFKNPISENQSTWAPPKEDERSSVKGQRSKRKRPSPPREKVSTKNEDDASRVYGDRSMDEIMDANWILQKLGKDAMGKRVEVHQPSDNSWHRGTVTEVFEGTSFVSVALDNGKAKNLELGKQGIRFISQKHKRQ >CDO97536 pep chromosome:AUK_PRJEB4211_v1:2:19787320:19788258:-1 gene:GSCOC_T00014910001 transcript:CDO97536 gene_biotype:protein_coding transcript_biotype:protein_coding MLAESTNLTIINGCNETIWPGITPNDNSAPDTNTSGGGFQLKPDQSVVFSAPPGWNGRIWGRTGCDFDKEGSGKCETGDCGSTLKCKTPGQPPASIAQFAFGNLDYYDVSLVDGFNLPITVKPISGRGNCSTAGCDSDLRPHCPPELAVNSSGKSIACNSACNVFNTDEYCCRGAFSTPLTCMPTNYSRTFKTACPAAYSYAYDDPSSIITCSATDYVVTFCSSRNQTRCTYHDRTLTCNEAKGPTTFSPVGKMLLLALPAVLNLGSMF >CDP05167 pep chromosome:AUK_PRJEB4211_v1:2:2105589:2108936:1 gene:GSCOC_T00020120001 transcript:CDP05167 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATVKVVLGSVAFVIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVIFRVITPDQAYAAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLSWKSMGAKDLLCRICLISAISSALFTNDTSCVVLTEFVLKIARQHNLPPHPFLLALASSANIGSSATPIGNPQNLVIAVQSGIRFGDFVIGTLPAMLVGVVLNAVILLCMYWRLLSADKDEEDPALEVVAEEDVSSHRFSPATMSHPTSLNSQEWNSTLESMNISSSPNNHGHRNHIETLRSRANASESEIQKAPSEEPNPAGNSSPPKELDSETFAHTREETVPSKWLPPLNGFQNGGYTSEGKEKWKRVLWKTCVYLVTFGMLISLLLGLNMSWTAITAALALVVLDFKDARPCLEKVSYSLLIFFCGMFITVDGFNKTGIPSALWDLMEPHAQINHIGGIAVLALVILLLSNLASNVPTVLLLGGRVAASAAAISSAEEKKAWLLLAWVSTVAGNLSLVGSAANLIVCEQARRAHHFGYNLSFWSHLKFGFPSTIVITAIGLTLIRG >CDP00043 pep chromosome:AUK_PRJEB4211_v1:2:6680433:6684361:-1 gene:GSCOC_T00029805001 transcript:CDP00043 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANSRPSTELLMPKKWNERSDRSPERAKICSEHPKFNSILDGKVPVIYYLARNGHLEHPHFIQVPLSSSHGLYLRDVINKLNFLRGNGMAHMYSWSSKRNYKNGYVWQDLTEDDLIQPTNDRDYILKGSELLHSSPTIRGQENGITKKKKKGMRKRKEKSVLRKSEFHVLLDTLSSSTHSCLRESANGAPVSRECS >CDP05376 pep chromosome:AUK_PRJEB4211_v1:2:3714984:3715319:-1 gene:GSCOC_T00020389001 transcript:CDP05376 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLWHKIAAISGMAALGLGTYGFHAFKPKNPAYKEVWYTASLYHLVHTAALLAAPTTTRPNIFGALLTTGILAFSGTCYAVAYLEDRKYATMAPFGGFAFIGAWASLLF >CDP07750 pep chromosome:AUK_PRJEB4211_v1:2:25693738:25698135:-1 gene:GSCOC_T00025106001 transcript:CDP07750 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLASVAETIKNFAVMYLVDITEVPDFNTMYELYDPSTIMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >CDO97187 pep chromosome:AUK_PRJEB4211_v1:2:17140450:17146440:-1 gene:GSCOC_T00014451001 transcript:CDO97187 gene_biotype:protein_coding transcript_biotype:protein_coding MELHGLVIFLGLLLFLEMPCSLTMVNSDCPLDLSQSNFTPAASICSTKEERGNCCRYINALIAVSVARYANLTSNLGVSLDSVEICLRTISATLDLYGVTRNATVFCGFGTKIPVNYDCQGRTTVNQMLQSPKFTDVEENCRVPLRLESDCRKCLNSGILYLRNLIGLAADNMTLSTCRDATFVALASQVDNVTSINIASCFFGVQGILIPPVLSPLLSPQTSPSPPVPASSPSQLSLSAPLKDKGHPYHLTLVPGIGIAITVMALVMLAVLIFLIRTKNEELDSSDTFDRTCPKVFHHPTRKLQEGPPCTFRKFSYKDTKKATNYFSTKIGEGGFGTVYKAEFEGGLVAAVKRMNKISEQAEDEFCREIELLARLHHRHLVALKGFCIEKQERFLVYEYMANGSLKDHLHCPDKSPLSWRSRIQIAIDVANALDYLHFYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKDGSICFEPVNTDIRGTPGYMDPEYVVSQELTEKSDVYSYGVVLLELLTARRAIQDNKNLVEWSQAYMTSESPITELVDPRIGDAFDFDQLQTVVGIVRWCTRREGQARPSIKQVLRVLYECADPLHSSFVEAVEDGEYNGSGRMSSSKLHRGEGIFHSGDGRCLASSSSTSRSHCSRSFLLNSPQSPSNLPSI >CDP08813 pep chromosome:AUK_PRJEB4211_v1:2:52761732:52762250:-1 gene:GSCOC_T00027918001 transcript:CDP08813 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCSFMLSACCYILQIEFCMQPGQVTKVSGLLSDFLVFTCEQVLAHICNKDFFSLGFVTAWHHKLDVLIHNCLNNFG >CDO96931 pep chromosome:AUK_PRJEB4211_v1:2:15136568:15140828:1 gene:GSCOC_T00014119001 transcript:CDO96931 gene_biotype:protein_coding transcript_biotype:protein_coding MWASGIASSCVVSDVAITKYCHRHELRGVQWSRWHCVPASSLLTKMEIFHFQQLLLHCCFSCQFLAGHQLESNRFVSFFPIMPNSSPNNASSFLGKEKTWETMGRPSSICLFLILGLFSGLSLASAFDGPPYDSSAYTECKPHAEEPLYNGGILEDQQPEYTWVIGAKGNPVYSPAFRLQNLAQDTFYCFSTWVKINDAGSVLIRATLVTETTTQNCTGTVVAEGGCWSFLKGGFVLTAPSSSSILYLQASEGRDISMVLSSYSLQPFTEEQWRLNQQYKINKARKRAVAIHVSSKDGVELEGAEITVTQVAKDFPFGSAIAKTIIGNLRYQSWFKERFNAAVFENELKWYATEPKQGQINYTIPDQMLELLRANQIIVRGHNIFWEDPKYTPEWVLNLTDSMLQSAVNSRIKSLMEKYKEEFIHWDVSNEMLHFDFYEQRLGRNATLSFFETAHKSDPLATLFLNEFNVVETCDDVNSTVDTYISRLRELKLGGVTMGGIGLEGHFTVPNLPLMRAILDKLATLGLPIWLTEVDISKTLTKETQGLYLEEVLREGFSHPSVKGIMMWTALHPYGCYQMCLTDNEFHNLPAGDVVDKLLREWQTGTLEGHTDGQGSFSFHGFLGEYKVTARYGDKSVNSSFSLSQGDETRHFNIQI >CDP17967 pep chromosome:AUK_PRJEB4211_v1:2:43939429:43945921:-1 gene:GSCOC_T00001213001 transcript:CDP17967 gene_biotype:protein_coding transcript_biotype:protein_coding MDQINMTCIIIVCLRIETSNPTCFTCSTWLSIIMDMRLCDLLAASQAKDVTDLGSIKEAAKIFVPGGATLYSARTIKIKEDEGFRTYYFYEFGRDEQHVALVAAVNSGKAIIAGATAPQYKWNDDGVKLRSAAVSLTVL >CDP00039 pep chromosome:AUK_PRJEB4211_v1:2:6701939:6703958:1 gene:GSCOC_T00029799001 transcript:CDP00039 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSMSLSLASTTTTALTKGFHVPPSKGIISARPTPFCTLSFITCSRDTIPEEERNCLRRSLLLGVGVLSLNLSSAAALLAQGIPKNYEAFVDKADGYSYFYPSDWRDFDFRGHDSAFKDRYLQLQNVRLSFIPTDKSDVHDLGPLDEVVSHLVNHVYSAPTQVATVMDMQEKNIEGRNYYTFEYVLTSSNFARAAFATIAIGNGRYYTLIVGANERRWRRVRNQLKVVADSFKLLDI >CDO99740 pep chromosome:AUK_PRJEB4211_v1:2:9339450:9348461:1 gene:GSCOC_T00029419001 transcript:CDO99740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MGKLGKKARKFAKKNLQSVLRQRRKNKAFLSKKKSFSRDDQSPGKDKLDDTLDHSKGRNTDVEDVGDTSLDAVFTKDDSDMFADISDSDGYLSEDSSCPHVVGSEIGKSLEGNKLFSSLSTQNEKIHKDLAMQKKKLNRLRKKDPEFSKFLKNYKGIENSQNGNMYSDEDEESKKVIHLVDNNEAAEDKGKLFTSSAINLWCQLVKEEHSSSAFVCLLNAYRAACHYGAESLVHQIQNSETFCSILMFVLSEADDIFRRQLQISLVNCKKETILELQNTSKWQTIKPFVKSYFRSTLFLLDQVADSDILNFALNRLRASLIFFAVFPSLLHRLIKTTVHLWATGGGILSSTSFQIIRDVAALFSVDYFDTCIAKTFVAYMAQSRVSKILNNRHLLFLANCIVEICSLDVQNSSRKVLDSISQLSRILRWGLQTKKKEVLKKICSWEYANCIDLWVRFISVNIQDHDLQPLLFRIIQLINGVACMFTGPRYLPLRFKCIQWLNNLSTSSGIFIPVASYVLDVLEIDNVKEGGKLGDALDFSTVLRLPKSCLKSKTFQEECLSSAIEQLSFHFSQWSYHISFPELATIPLFYLRKFHDRTSAESLRRTVNRLIDQIEQNVNFVQKRRDEVVFSPKDHQSVETFLQLEKSGKNASFTQYYQSILERAALRSLYKENISSLKQKKSKRKRGQLIENSRDERSGADPALNAAAANGTAGFKGNERRTPKVKRM >CDP05177 pep chromosome:AUK_PRJEB4211_v1:2:2174843:2182964:-1 gene:GSCOC_T00020134001 transcript:CDP05177 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MGEGEIRTEGVCDVDDTIFVAVGENVEEGKSLLSWALKSFAGRNICILHVHQPTHSPSLMNERLSEAVEKFQEIEHQKMHSILNQYLQLVEQVGIRPGKIWIEMSNIEQGILHIISHHGVKWLVMGAAAEKYYSENLSELKSNKAIFVCHHAPVPCQIWFSCKGCLIRTRSDEIGSHLAGVVPLSSKTNKVTSFLQHHKEDADKDVKITVSEFEENMVHGQNLTHSMTGDGSSLYLPHSMTGDGSSLYLPSSSQDSPFSSSVDFLGDKLERQTEELVRGRRGDRDMLETYTAEASETFYLKEINSRSYMEDLLKKQRQELEKMKNKHNQIVKELQLVQNQKLSLERKFMENCSTEEELEEKIIQAVKLLISFKGKRDLLWKEQERALRESHKFQKMVKEDVCAMHVSHSFDISFSEIIEATRTFDPSMKIGEGRFGSVYKGIIHHVKVAIKMLPSCGSQSDSDFEHKAEILSRVRHPNLVTLLCACTESRSLIYEYLENGSLEDHLAGHLKSRSLPWQLRLRIASEICSALIFLHAHKSSIVHGNLKLSNILLDANFVSKISDLGIKNFQNGENPCGKNDLEASIYLEPEYVDGRHVTESDVYSFGIILLQLLTARPASSIIRDMRCAMEVGNVSTVLDHSAGDWPLEQVELLAFLALRCCEEKRLNQPDLVAEVWPVIEPMRDLCTLSCLGSTSSRLDLTAQQRIPSNFVCPIFQEVMKDPHIAADGFTYEGDAIKGWLNSGHKTSPMTNLQLDHCDLLPNYALYYAIQEWQQRS >CDP05100 pep chromosome:AUK_PRJEB4211_v1:2:1556766:1557938:-1 gene:GSCOC_T00020034001 transcript:CDP05100 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGFLCPVKYTEHRSLTKKLALKPKKSSERSSIVPRTVRISVTDPDATDSSSDEDELFGRLRVKRYISEIKIETAAVCQQNSNNVISASSNALAPRHHPKKKTAEALQAKQRPMKAKEPPVPAAGGAVRKFRGVRQRPWGKWAAEIRDPSTRARLWLGTFDTAEEAAMVYDNAAIKLRGPDALTNFVTPPAKEKPQVNVASTSCYESGEESHNLSSPTSVLRFRSSQSSEEAEPECRSEGVEGPVPADCTDEQTVQEETDGEPWQEPVPEVAEECQGETRDMIPDYSNEYMPMDVPFLDNFFNFQSPEQITFDDTVPPSFSNDTFDLGLDVSFDDDLLPGFLDDTAAAGAGFGELNDSFQDFGSVELNVDDYFQDMNDFASADALLAV >CDO96972 pep chromosome:AUK_PRJEB4211_v1:2:15419195:15419485:1 gene:GSCOC_T00014171001 transcript:CDO96972 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVPRIFPAKQILNRILANPGGTTKVPKGHFAVYVGETSWKRYVLPLSYLNHPSSQHLLSQAEEEFGYHHPMGALTIPCKEETFINLTCNLCSP >CDP08597 pep chromosome:AUK_PRJEB4211_v1:2:39661030:39662290:-1 gene:GSCOC_T00027595001 transcript:CDP08597 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTVDATLLPRIGLERLSGNLTALLQRLSESADIIPKDTLLWKLKLLRSAASYANSRLHAVTAEVLVLSRFPLSRRTQTLTCIMFLFSVFCLLDYLVECFIL >CDP15155 pep chromosome:AUK_PRJEB4211_v1:2:50120048:50124897:1 gene:GSCOC_T00042754001 transcript:CDP15155 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGQSVMDCQHYGSKIATSVATKEVDIGSTEPAGKLFSISAMPFYKDRSHEELRFEDSNQLLRSKDAASGFIFQSNQPSAGKTNAFLGPTAVTPHSQLKTSSAISIGSGPWTGNSTGFSYIGDQCRGSKIASYVATREVDIDSTEPAGKLFSISAMPIYKVKSHEELRFEDSHQFVGSKDAASGFIFQSNQPSAGKTNAFLGPTAVTPHSQLKTSSAISIGSGPWTGNSTGFSYIGDQCRGSKIASYVATREVDIDSTEPAGKLFSISAMPIYKVKSHEELRFEDSHQFIGSKDAASGFIFQSNQPSAGKTNAFLGPTAVTPHSQLKTSSAISIGSGPWTGNSTGFSYIGDQCRGSKIASYVATREVDIDSTEPAGKLFSISAMPIYKVKSHEELRFEDSHQFIGSKDAASGFIFQSNQPSAGKTNAFSGPTVVSLNSQPESLSAISTGTGLLMSNSSDFIWPNHEPITTPQITQIVVATDGASTSISQQSISTMDAGTCRQSGGMQQSECQSTIALDPSVVASPFGMESRIQISMGGTAATTTVQYGISCIPVSDKPAPVKRNSLLTTRCLSLSQNWPSVEKYRPKNDSQKVPFYEEKVPGIYKVRASFIPRENARSWVLNSKVERPLKTNSRNSSISASETGNFEAEPGYYTKPPVEEEPGFCRRVKDFVIL >CDP15506 pep chromosome:AUK_PRJEB4211_v1:2:45766555:45771798:1 gene:GSCOC_T00015362001 transcript:CDP15506 gene_biotype:protein_coding transcript_biotype:protein_coding MHICLFFAYISGRKLEVMEDVNASSEGDCGIFANHLSQVKKWFLLYSKHLNFFTILVLASVPNPLFDLAGIMCGQLGIPFWKFFTATLLGKAIIKTNIQTCFIISVCNNQLLDLIETKLIWFLGLVPGVASVLPNVVAKLHFVREKYMEASPLTPSNAKVKKWNISLASFWNSVVLLILLNFLVKIINGTAQSHMKQQHDKEMAQLETN >CDP05160 pep chromosome:AUK_PRJEB4211_v1:2:2049542:2052323:-1 gene:GSCOC_T00020111001 transcript:CDP05160 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFSGGGGPDFFTSSGIATGRSTNPMMPTMNMNMNVTNPSQLPYRSALAGILPDPAAQIVHRGPDLIGKRSLTEFQQQHQLLQQQQQQHQQQMGGLGPLYSNLRNVKPRVNYQHASPISPLSPVDLSVISSLSPEASSISNSSSPMNPRYGRPILQQFRPQQQLQQPQPQPPRMMSNCGLTATASLSNGNIIQPASSGGMPNQPNKFIPSNISSSSSVSFPNLFHNQRAVVPMPMPVGMVTPGKQLFSAPQDQTEKKMMNKLQELEKQLFDDDDEGGCGGDAVSVVTNSEWSDTIHSLNMITTTNCKAISPSPTSSSSSCSSTSASPPIACPKQSIADVALAISEGRMEAATEVLTRLAQVANPRGTSEQRLTAYMTSALRSRVNPTDYPPPVTELYTAEHSDSTQMLYEVSPCFKLGFTAANLAIQEAISGEQPAGKLHVIDFDIGQGGQYEHLLHLLATMKADKPSVLKITTLVDSVNNGGEERLRAVRGNLEALANKLGVCLSLRVTSLRIGELNREKLGVESDEALAVNFAFRLYRLPDESVTTENLRDELLRRVKWLSPKVVTLVEQEMGANTAPFVTRVKEACAYYGLLLDSLEATVGRDRPDRVRIEEGVGRKLANSVACEGRDRVERCEVFGKWRARMSMAGFELKPMSQTVGDSLRSKLHSVTRGIQGFTVNEQSGGVCFGWMGRTLTVASAWR >CDP15522 pep chromosome:AUK_PRJEB4211_v1:2:46006152:46006646:-1 gene:GSCOC_T00015381001 transcript:CDP15522 gene_biotype:protein_coding transcript_biotype:protein_coding MADESKTSHSSPATWAVQEPTNSDSGDDPHPPPPTTTDGGYEAGEKDPEDQEHVREAKRRRICPSALDKCESIIKSCCSNNSNCLTFSFDPKFSCGASTPEVTPKFGSFNLVAAAGISTEIQEKAAEEKEEEEEEEEEEKDDEIKEKSNNVLEVLGSTDGLSAD >CDP15205 pep chromosome:AUK_PRJEB4211_v1:2:49442241:49449608:1 gene:GSCOC_T00042828001 transcript:CDP15205 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDHVIAIKDAVHRLQLSLLEGIQDENKLFAAGSVMSQSDYQDVVTERSITNLCGYPLCGNSLPLERPRKGRYRISLKEHKVYDLHETYMYCSTNCVVNSQAFVASLQEERSSTLNPVKLNEILRLFEGLSLEESSGGFGKNSDLELSKLRIQEMTDTGSGEVSLDEWIGPSNAIEGYVPLKDSCSNIQQARNLEKGCKSEHAYIQQIKDNFFNDMDFTSTLIIQDEYSISKSPDPARSISGHKTDKQKGKMKHKDMKDDESSELEGRVVSEGNKIEKKNLDKAPRKPAIKDNLGDSLGDLSNDIDEKLIKDNFFNDMDFTSTLIIQDEYSISKSPDPARSISGHKTDKQKGKMKHKDMKDDESSELEGRVVSEGNKIEKKNLDKAPRKPAIKDNLGDSLGDLSNDIDEKLVISDSFSEFQAEKASSSTANMLKPSLKSSKGKRGTRSVTWADEKVDGDGSKSLCEFRELEDTKNIFSQPGSAVMEVNEDPYRFASAEVCARALSEAAEAVVSGDADTSDAVAEAGIIVLPPHPEVHGTEAQVEVDMPDSETNVLKWPMKSGLSNSDLLDPNDSWYDTPPEGFSLNLSPFATMFMALFGWISSSSLAYIYGHDESLHEDYLYINGREYPCKIFSTDGRSLEIKQALAGCLARALPALVADLQLPMPLSTLEKEMDHLLDTMSFMDPLPPFRMKQWQLLVLLLLDALSVCRIPALTPYMTGRRILLPKVLQGAQISAEEYEIMKDLIIPLGRVPQFAMQCGA >CDP06838 pep chromosome:AUK_PRJEB4211_v1:2:38333708:38335668:-1 gene:GSCOC_T00023851001 transcript:CDP06838 gene_biotype:protein_coding transcript_biotype:protein_coding MTADKRKKPSCDSPPVSCTSDCSSHSSTTSNNYIDCLSTYIEASQFAMQKA >CDP05241 pep chromosome:AUK_PRJEB4211_v1:2:2672100:2676254:1 gene:GSCOC_T00020216001 transcript:CDP05241 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein PP2-A14 [Source:Projected from Arabidopsis thaliana (AT5G52120) UniProtKB/Swiss-Prot;Acc:Q9FJ80] MGAGFSRQYSSKVEKIGPAASKPPPGLADLPESCVALILSFLDPCDVCGLAVLSQRFRQASLADTVWESKLPPNYKILVKKLFAADDQHQTSGDQSTETSNFTKKEIYARLCLAHRFAGDTMEVWMKKNGGGICVAISWKGLKITGISDRRYWNYISTDESRFKTVAYLQQIWWVEVEGKLELEFPAGTYGLFFRMQLGRTSKRLGRRVCNLDGVHGWNVKPVRFQLSTSNGQQATAHHYLKEPAAPGEWTHYHVGDFVVDHADACTPTKLNFSMTQIDCTHTKGGLCLDSVFVYPRKLGERI >CDO96988 pep chromosome:AUK_PRJEB4211_v1:2:15549290:15555200:-1 gene:GSCOC_T00014194001 transcript:CDO96988 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGEELMKWEKMQGMANGSEEKILVLVRLRPLSDREILRNEVSDWECINETTILYRNSLQERSGLPTAYSFDRVFRGDCPTRKVYDDGTKEIALSVVSGINSTIFAYGQTSSGKTYTMNGITEYTVADIYDYIQKHEERAFVLKFAAMEIYNEVVRDLLSTDNTPLRLLDDPERGTIIERLTEETLRDWNHLKELLSICEAQRQIGETSLNETSSRSHQILRLTIESSAREFIGKDNSTTLAASVNFVDLAGSERASQALSVGQRLKEGCHINRSLLTLGTVIRKLSKGRHGHVNYRDSKLTRILQPSLGGNARTAIICTLSPARSHVEQSRNTLLFASCAKEVSTNAQVNVVMSDKALVKHLQREVARLESELRTPGSINDHTALLRKKDLQIEKLEREVRELTKQLDLAQSRIEDLQQMVGSQASRLLDMEEKKACEDECLISESSGETVPAIRIFRAPRSCERDNAGEELSHRQISEHSLDRSPSNVASTLMSNGSKFHCSDASLGDGEFVAGTGEDSDEICKEVQCIETGKSDEDNRFVMVDNTENGGRSPMPRVPGNQNREEGELLSTISRRASGIQNGFTYGALEQNIQRVQKTIDSLVTPYPEEQSRWDSSTTASGSRSLKLTRSRSCRANLMVGSSSPDSETIQDGEATPPDGLEKNFPGRPEGLRRKHWKIPPFTFGANGGRLSRSNSQSSNGSGFVDDLKSQNNAADEDIPSVNTFVAGMKEMAKRQYENKMDDQGQGTDCVAESPGKILKDIGLDPLLESSGDPLQWPLEFERLRGLILGHWQTCNVSLVHRTYFFLLFRGEPMDSIYMEVELRRLFFLKETFSKGNPVEQDGRTLTLASSLKALLRERRMLSRFVNKRLTSDERNRIYQKWGIGLNSKKRRLQLVQRLWSHTEDIDHVSESAAIVAKLIKFSQQGQAIKEMFGLSFTPPRLSRRSFGWKNSTASLV >CDO97514 pep chromosome:AUK_PRJEB4211_v1:2:19630706:19634936:-1 gene:GSCOC_T00014884001 transcript:CDO97514 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEKTEEELRKEIEELHRQQREITERLRDPRGLRRGALSVSAPRNFAPNGGRQRGFVRPADRTDSEDQPPPKKRLSSAVFKVEDGEIVEDASSPAAAKEVANKPADVEQGAGNSAPTLSERKPSNWSRRDASYQKPSRMDFDIPPAEHVPRVLPKNEDPSLVNRNKRMLNQLRGTLEKFRKEDMQLSGSEAYMRRSDSLKRAEQRAREESERLRQQEREQIAEKRRRDLTLRARVAAKAEEKKLDLLFLRWCEHHKKLGNFIRLFLILVQKTKAEPSIYYAFAKPLDEDVQLVEQEKEQMFQEWKAARREELSQYQKQIVEQYVANVEKELERWQNGRKGRKANNEMANLQETMDKELETHRLEHGPKTRKIPGGTSNEEEEDVEDINVGEDDMMDDVLDVDENSRRVDEIASKAETGDGSPQPENKD >CDP07796 pep chromosome:AUK_PRJEB4211_v1:2:26587969:26594090:1 gene:GSCOC_T00025183001 transcript:CDP07796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MKRLRSSDDLDSFGDKAACKDWGRRDEDSGSSHRSLIHRSSYYKSDSARKGISSSSSRYDRSYDRYRENNNSERGVLSSSPRGGYGAERVHRSESFSALRREVPKGFRSERDRSRREGSVSSWRRFGGAKDVDDGTRVGADSVRVARVDSDEVGKVRSPQRLKDAKSPPWSKDSGSEQTKSMEIRKSEDLPVESSGNNSEREEGELEPDPRPVPVSEPIAEDQASDGLQSSQKEFECQNHVEDKHLNDRATSTSAENVVSSKMSVQDEQVEGRVLEHVSDSTKEGNGLPDCENLGRLTNNYCDRYKGLADNAGTKEDKFSHTVVVYNEEVGESQTVKEEEREAKGIDLEGEAGGSDLPEKHTGLSEDTGACLPVSSHVVEEINENHKDKGKTVALSASNCTPSTVDEFRNATESSGPMTYRDTYAEGPSKRSLDLFTADPVKRPEKEVKWSNDKPKDEKLTLDLSLSLPNVLLPIGFQNTTQAPGSPSHGRSVQSFHSSFRTDSDGFNGSMSFSGSQHFTHNPSCSLTHNTLDQEQSVKSRPLFQGVDWQALAADEPKSKALPPLVQKLLAEGNGLHQQYETSQGNSIAQALAQRTRSVEGNFRAPGGLERQLSFNKQYPGVPSQHPNDNRSPSQSLGSHETGSAYLKDKKQALREKNGGILSKISNPEGREQLWSVGADFVESIITMIVSEPLNVVAQRFNEISAQHMAFLKESVGDIIRNPGRQWQLSAIQKALKSRSDITLEMLLKSHRTHLEILVAVKTGLQEYVQYNCDVSASDLAEIFLNMRCRNPTCLSPLPVDECDCKICAKKNGFCRECMCLVCSKFDMASNTCSWVGCDVCLHWCHADCGLRESYIRNGHSATGPEGATEMQFHCVACDHPSEMFGFVKEVFQNFAKQWTAETLSREIEHVRRIFCASEDLRGQRLHKIALQMLSNLENKVNLQEVQKQIVGFLNDADSLKHSKAPVISRKEMTLTIDEKTNGIAGPSKEAQWLNSVSLEASQSDKQTGFFPRLEGNRYDKDMLKYDLQASTPKEHVFDELESIVRIKQAEAKMFQVRADDARKEAEALKRIAVSKNERIEEEYRSRIAKLRLADAEEMRKQKLEELQAVERSLQDYFHMKMRMDSDIKDLLLKMEATRRNLTL >CDO99868 pep chromosome:AUK_PRJEB4211_v1:2:8186105:8187122:-1 gene:GSCOC_T00029567001 transcript:CDO99868 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVFDYDGNRTLSSNLYRSREKGPLNWKCKLQICIGVAKALDYLHNGTQKTVIHMDLRPATILLDINCVPKVSNFGLSKIGHIDPWAKQSAAGVVSETLDHMCPEDISLGVQCLTEKSDVYSFGLVLLEVLCCRRSLSKNSLRDSVRRSIRTKTLHQIVNYLEGEVATACLAEYLKIAFSCLQIQAARRPSMDTVVEKLEFALQLQENAEATKPEVKGKGHDGFKLEDIYKQIPNLTSTKPKWKRPLLFSVCRE >CDP00119 pep chromosome:AUK_PRJEB4211_v1:2:6059062:6063811:1 gene:GSCOC_T00029918001 transcript:CDP00119 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/TrEMBL;Acc:A0A178V9G1] MSFVFRGTRADVETGFPGLIPERRTVRVHGARPVNTNSLAFLVTVLLLFMILNSHQMSPNFLLWLVLAVFLMATTLRMYATCQQLQAQAQAHAVAASGLLGHTELRLHMPPSIALATRGRLHGLRLQLALLDREFDDLDYETLRALDADNVPTGPSMTEEEINALPVHKYKVTGPQSAGSSVQQAASSSSVEKKQDPPNAAGGTKACDDELTCSVCLEQVDVGELVRTLPCLHQFHANCIDPWLCQQGTCPVCKFRAASGWHQNGREEEMDASYMV >CDP05136 pep chromosome:AUK_PRJEB4211_v1:2:1878535:1883101:1 gene:GSCOC_T00020081001 transcript:CDP05136 gene_biotype:protein_coding transcript_biotype:protein_coding MREDDIPCCEPKFWVYLTLCLVLVTFAGLTSGLSLGLFSYNQVDLEVLMKAGLPHEKKNAARILPIVKNEYLHLCTLLIAKSLALEALPIFLDQILPFWAAIIVSVTFVLAFTEVIPQAVCSRHGLSLSAKFVFFVRFLLLVVFPVSYPVSKLLDWLLGKGHAALLRRAQLKTLVDLLSVKAGKGGELTDDETTIINGALDMTEKTAKDAMTPISKTFSLDINSILDMHTMRLIMSKGHSRIPIHSEDPKNVIGLVLVKNLIFCNPEDKVPLRSINLSRIPRVYDDWPLYDVLKLFQKGHSHMAVVVKSPRDGKETEEQTKESPKFMRMQIDSNSEPEATDVKGTNHLFGQVDLSITSAYCSDNEAGILLVENTRKQEDAFQASNKWEEDSLETLKTKYLDEEVIGIITMGDVMEELLQEEILDETDLNVHNKFICGTRMSSLPWKRTPSSPTTASVTRIQWRTPASSPFSSYYNTPMLRSPVLPYVPSPVVRPVLYPSPGRSISGSPSQSGSLFPVRSSPSSQQVSRNSYERLRPAGNFS >CDP14039 pep chromosome:AUK_PRJEB4211_v1:2:5309013:5310897:1 gene:GSCOC_T00039213001 transcript:CDP14039 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWRAATGSAGQSNEGYDGVEFWSNPERTGWLLKQGEYIKTWRRRWFVLKQGKLFWFKESTVTRGSQPRGVIPVATCLTVKGAEDVLNKQNAFELSTRSETMYFIADSEKEKEDWINSIGRSIVQHSRSVTDNEIVDYDSNSK >CDP05117 pep chromosome:AUK_PRJEB4211_v1:2:1670250:1671937:-1 gene:GSCOC_T00020057001 transcript:CDP05117 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVATQPIQSSLANPTSGSLQTQVEKLKPPSAFPAKLLPRVQRDSRPAARTIAIPVIARRSAGLDSQVLPFTPEDSHKTEEHIQPLHEIQQFGDTSLGMWSKPIVRRKTKIVCTIGPSTNTREMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQAKDNVIAIMLDTKASISLSSPCLHTGMHLFPIICV >CDO99569 pep chromosome:AUK_PRJEB4211_v1:2:11302396:11307459:1 gene:GSCOC_T00029203001 transcript:CDO99569 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQASHSLAFRVMRLCRPSLHVETPLKFDPCDLLFGEDLFDHPPAAAHLPRLLSDFADSSSSDLTYRSRFLLSHPSDSLGLSALLVLPQSFGAIYLGETFCSYISINNSSNFEVRDVIIKAEVQTERQRILLLDTSKSPVESIRAGGRYDFIVEHDVKELGAHTLVCTALYSDGDGERKYLPQFFKFIVANPLSVRTKVRVVKETTFLEACIENHTKSNLFMDQVEFEPAQYWSATLLQADDNCSENDSLTRELFKPPTLIRSGGGIHNYLYQLKSSIQGQPQMKVEGSNVLGKFQITWRTNLGEPGRLQTQQILGSPVVHKDIELQAVEVPSLVIFEKPFLLRLNLTNQTDRILGPFQVWLSQSDSFDEKAVLVNGLQMMPLAEVEASSSSEFQLNLIATKRGIQKITGITVFDTREKKTYESLLELEIFVDSDYT >CDP13953 pep chromosome:AUK_PRJEB4211_v1:2:4582204:4583025:-1 gene:GSCOC_T00039104001 transcript:CDP13953 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSNLPLLPAALFLLLLPTSSVLATERDRKTFIVRVRPDAKPSIFPTHQHWYESSLTSLLSSHHSTAATATPPPPPPPPPPLLHTYSTVFHGFSAQLTVSQAHALHSFPGILAVIPEQVRQLHTTRSPQFLGLKTSDSAGLLKESDFGSDLVIAVIDTGIWLERKSFDDRDLGLVPPKWKGACVPGRDFLATSCNRKLIGARYFSNGYEATNGKMNETTEYRSPRDSDGHGTHTASIAAGRYGLLASEEDWKRWPFLLLSTGNEMCFRFCP >CDP17978 pep chromosome:AUK_PRJEB4211_v1:2:43806459:43810619:1 gene:GSCOC_T00001234001 transcript:CDP17978 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRNTVDFDEEAIFPLLKRGSCDTENAETEKIGSPAPAHNLTPAVIFSTSVSVLGSFSFGTGVGFSSPAQSAIMHDLGLSIAEYSMFSSILTLGALFGGILSGKIADIIGRRYAMWFSQVLCAAGYLVISFSKSALWLDIGRISTGFGIGILSYVVPVYIAEITPKNVRGAFTAINQVLVCTGHSTMFVIGNFIHWRILALIATIPCLLQLLGVFFIPESPRWLAKINRWKECESSLQRLRGANADISGEVAEIRDHTETLKQLSNSNLTDLFDRKYAHALIVGLGVMGLQQAGGINTIAYYASETFESAGCSAAAGSIAVVVVQLPMTILGSLLLDKSGRVPLIMASAAGSCLGWFLLGSSFLLKDLRLSKSSPFLAFIGALVFEGSYSLGMGAIPWVIMAEASHSASVEFDSQITCRRF >CDO99826 pep chromosome:AUK_PRJEB4211_v1:2:8575096:8577313:1 gene:GSCOC_T00029522001 transcript:CDO99826 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSEKQEALVKESWELLKQDIPLHSFRLFTSILEKAPGAKDLFSFLRDTDEIPQNNPKLRAHAAKVFRLTCESVVQLREKGGVLIGDATLKWLGSVHLQNGVLAPHFEMVKEALLKTIEEGVGEKWSEEMENAWGEAYDHLAAAIIGEMQAEAAASSKPVP >CDP15215 pep chromosome:AUK_PRJEB4211_v1:2:49165485:49171647:-1 gene:GSCOC_T00042845001 transcript:CDP15215 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGANHVSLTASSRLMAHGRAKKFCNSKEGLGRTSLCPEAVPVSNFALPFRSGMNASSESLSSTGAKAKASSQEEESSEPTSHFNISLKTLNATKSPASLLQSYTPEVGRSPFLSIAVIGATGELARRKIFPALFALYYSGNLPENVAVFGYSRKTLTDEDLRSIIASTLACRIDHQQGCEDKIDAFLRRTYFVDGGYDNREGMLKLTARMEQVEGQFEANRMFYLSVPQEALLDVAFSLSEHAQTQKGWSRIIIEKPFGLDLLSSDQFTRSIHSKFEEKQLYRIDHLLGRNTIENLAVLRFSNLVFMPLWNRNYIDNIQVVWSDDLATHAPSRYLDENGIIGDVVHSHILQTIALLAMEPPITLDGEDVRNEKACDFYIYIYIYIFVIPFSCAKITNLSEGNTLLLLNF >CDP13986 pep chromosome:AUK_PRJEB4211_v1:2:4846824:4848246:1 gene:GSCOC_T00039142001 transcript:CDP13986 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSWDVTGESSKVGLANKISRHGEEDVNAFKLLLKTLGDVEQFYDCIGDSDATKQGGSL >CDP13946 pep chromosome:AUK_PRJEB4211_v1:2:4543084:4547421:1 gene:GSCOC_T00039094001 transcript:CDP13946 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQIARAEEFEKKAEKKLGGWGLFGSKHEDAADLFDKAANAYKLAKSWDQAGAVYVKLASCHLKLDSKHEAANAYADAAHCYKKTNIKESISCLEQSVNLFLEIGRLNMSARYYKEIAELYEQEQNLEQAIVYYERAADLFQSEDVNTTANQCRQKIAQFASQLEQYPKAIEIYEDIARQSLNNNLLKYGVKGHLLNAGICQLCKGDVVAINNALERYQELDPTFSGTREYRLLADLAAAIDDEDVAKFTDAVKEYDSMTQLDAWKTTLLLRVKEALKAKELEEDDLT >CDP07755 pep chromosome:AUK_PRJEB4211_v1:2:25800391:25800988:-1 gene:GSCOC_T00025117001 transcript:CDP07755 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSAAQMVFGTTAEHNPSLEGHVFSNPVDASDYMVMLWYKNGSLTREDIRNRCAEKSWEVFNKLLQQTPPRK >CDO96976 pep chromosome:AUK_PRJEB4211_v1:2:15449362:15452346:1 gene:GSCOC_T00014176001 transcript:CDO96976 gene_biotype:protein_coding transcript_biotype:protein_coding MLITDKVLQSAVLPEAALGADRYLSSLTTVSDVEVSADLQVVKVYVSVFGDERGKEVALTGLKSKAKYVRSELGRRMKLRLTPEIRFIEDESLEQGSRVIAILDRIKKENEEKEANGQGDDQYESSNQTEEDEEWEGDDDEGIIYVK >CDP08613 pep chromosome:AUK_PRJEB4211_v1:2:39220470:39222041:1 gene:GSCOC_T00027624001 transcript:CDP08613 gene_biotype:protein_coding transcript_biotype:protein_coding MWQITKWVNDHNCLGDMIRNNNTSLTAFVILRHILRTIEDDPGLKVKSILSFVKENLKVYVSYKKAWYARRKAIELVFGSWEANFAELPQYLDALVQSNPSTVVEWSHHSDSLDRVKTFKSNLMTHFKGLQLKKLCWAMGRARQLGKWRMFRRELRNMFLDVWNYLSAISPEKWCLTHDDSRRWGILTINISESYNNVLRGARHLPIRVCIDMTFHRTVALFRTRREDASHFIEFDGLSGVYKVITGRRVDGKGGNMQTIRFFDKTCSCGKWQNYRLLCSHALAVCRNRCDNPRLLVDQQFTKTRWIVQYSGKFNLLPHQDTWLHPGWELQADRSKFVARRAGRVRASRIRNEMDKRDPDERRRCRNCHQTDHNRRNCLNYMS >CDP16442 pep chromosome:AUK_PRJEB4211_v1:2:30233633:30235188:1 gene:GSCOC_T00018350001 transcript:CDP16442 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPDGVKIKMKAKQIEVEGPRRKLTRNFKHLNLDFQLITDEATGKRKLKVDTCHVENLITGITNGYCYKMRFVYAHFPINASITNSNRSIEIRNFLGEKKVRKVDILEEVTVIRSEKVKDELVLDSNDIELFLDGIYVSEKGQIAEEE >CDP00096 pep chromosome:AUK_PRJEB4211_v1:2:6250086:6255180:-1 gene:GSCOC_T00029890001 transcript:CDP00096 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTNEEVKKIISTLNAGDVPSQDVVEVVVSPPFVFLPLTKGSLRPDFHVAAQNCWVKKGGAYTGEISAEMLVNLDIPWVILGHSERRLILGESNEFVGDKVAYALSRGLKVIACVGETLEQRESGSTLEVVAAQTKAIADRVSDWTNVVVAYEPVWAIGTGKVATPAQAQEVHAELRKWLHANISPEVAASTRIIYGGSVNGANCKELAAQADVDGFLVGGASLKPEFIDIIKSAEVKKSA >CDP05201 pep chromosome:AUK_PRJEB4211_v1:2:2381887:2386816:-1 gene:GSCOC_T00020165001 transcript:CDP05201 gene_biotype:protein_coding transcript_biotype:protein_coding MREPGLGKNNMGRSSVAILFLVLLCIGAFLTTHLLDSSSNLIPGSSRQGSTFSAKTSKTPEEPLNRSNKLEFQLNCSLGDETRICLGSYYPSKFMLQNPDPSSSTPQSMCPDYFRWIHEDLSPWRETGITEEMVRMAGRTANFRVVVVNGTAYLETFDRSFQTRDVFSQWGILQLLRRYPGKIPDLDLMFDCDDSPVVRKEFHRGPDAPPPPPVFRYCKDDATLDIVFPDWSFWGWAEIDTRPWAPLLKEMKDGNERSKWKDRKPYAYWKGNPHVAEGRVDLLKCNVSDKEDWNARLYVQDWNKEQEQGYKESVLADQCVHRHGSIILCSLSRQFIQLYKIYIEGSAWSVSQKNILACDSVTLLVKPQYHEFFSRGLMPLQHYWPIRADDKCRSIKYAVEWGNDNEKQAEEIGKAGSSFVQEELKMDYVYDYMFHLLSQYAKLLKYKPSIPPKAIELCSESMACPAQALEKKFMMESMVGGPSSEAPCIMPPPYDPAALHSILEEKQNSIQLVEEWEKQHGDEIPKIRLHASVPEAHCITQSKQSFPLNPLL >CDP09468 pep chromosome:AUK_PRJEB4211_v1:2:21909831:21913615:-1 gene:GSCOC_T00028846001 transcript:CDP09468 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKAQNIIPPKFISPAKLPPISNISLPSNVPQAISITAPSPEVSSAPPDHHPQLVDDKVAAFWDYQFLFVSQRSETAEPITLRIVDGKIPQDFPLGTYYLTGPGLFTDDHGSTVHPLDGHGYLRTFKIDGARGVVEFMARYIQTEAQVEECDRITGQWRFTHRGPFSVLKGGNMVGNTKVMKNVANTSVLRWAGRLFCLWEGGDPYEIESTTLDTLGRFDIINGRHKLLQDEDATPKVDVWDVAAEILKPILYGVFKMPPKRLLSHYKIDGRRNRLLIMSCNAEDMLLPRSNFTFYEFDSNFKLLQSQEFNIPDHLMIHDWAFTDNYYILFGNRIKLDVAGSMTAVCGLSPMISALSLNASKPTSPIYMLPRFPSESNGQRDWKIPIEAPSQMWVLHVGNAFEEERDENGSSKIQIQASGCSYRWFNFQKMFGYDWQSGKLDPSMMNADQDKLLPHLVQVSVSLDANGDCQNCSVNDLNEWRKPSDFPAINQDFSGCKNTFVYAATSSGSRQALPHFPFDTVVKLNVRDKSISTWSTTRRRFIGEPIFVPKGTGGGEEDDGYLLVVEYAVSTQRCYLVILDSKQIGKTNALVARVEVPRHLNFPLGFHGFWESAGDCGFGN >CDP05365 pep chromosome:AUK_PRJEB4211_v1:2:3628663:3632683:1 gene:GSCOC_T00020376001 transcript:CDP05365 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLFLSLLTAATVLFSSALGNGAAAKTSGKYPLVVSTWPFKEAVRAAWRAVHNGASAVDTVVEGCSACEELRCDGTVGPGGSPDENGETTIDAMLMNGVTMEVGAVASMRYVRDGIKAARLVMQYTQHTMLVGEQASIFAISMGLPGPTNLSSTESLEKWAKWKESNCQPNFRKDVSPADSCGPYHPEHALASGKITCSMANLDGLNESRPFIDRRNHDTIAMAVIDTMGHIAAGTSTNGATFKIPGRVGDGPIAGSSAYADAEVGACGATGDGDIMMRFLPCYQVVESMRRGMQPELAAKDAISRIANKYPDFTGAVFAVNKDGAHAGACHGWTFQYSVRNPGMDDVEVFTVLP >CDP05132 pep chromosome:AUK_PRJEB4211_v1:2:1854578:1860295:1 gene:GSCOC_T00020076001 transcript:CDP05132 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDETGALFPIFILATIALPLVPYTILKLFRPASKKTRSIHCDCSDCFRSGKYRKSISSQTSNFLTCHNLMIIMLWFFMGILVFYIKNMSREIQVFEPFGILGLVPGASDSQIKKAYRRLSIQYHPDKNPDPDAHKYFVEYISKAYQALTDPISRENFEKYGHPDGRQGFQIGIALPQFLLNNNGESGGILLLSIVGVVILLPLVMAVVYLARSSKYTGNYVKRETLVTYFQSMKLSLSLRKVVDIFIEAEEYKSLPVRRTDEDPIQKLFTIVRNELSLDQKNGKQEEAKFWKQHPAVIKTALLLQAHLTREKTVLTPDLQCDLNFVLQLAPRLLEELMKMALIPRNAMGHGWLRPATGVIELSQCIVQAVRLSARRVSSTDGIASFLQLPHFSEAVAEKLARKKVRFQELQGMSLQERLELLSEVAGFSAAEIQDVEKVLGLMPCPTVEVTCETEGEEGIQEGDIVTVQAWVTLKRANGLIKAVPHAPSYPFHKEENFWLLLADANAKNVWFSQKVNFMDEAAAVIAASQAVREKMEVLGAAGDETGAAVIEAVDRVRSGSRVIMGKFLAPAEGNYNLTCYLLCDSWIGCDKTTSLKVKVSKRSRSMTRRGQVMEEEPIPEDLSEDGEEIEEEKGEDYESEYSEDEDEKPNTSKKNFVKGPAVGKGKEDKKKGWSNKGPSRKKGR >CDP15232 pep chromosome:AUK_PRJEB4211_v1:2:48996290:48999616:-1 gene:GSCOC_T00042867001 transcript:CDP15232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g56570 [Source:Projected from Arabidopsis thaliana (AT1G56570) UniProtKB/Swiss-Prot;Acc:Q9FXA9] MSARKRVSTIFNHQIPQTIRNSLHWLQTEATDLSQPFLPKPPSYLATNIIKSYFENGQIQEAQILFDEMPRKDVVAWTAMISGYNSCSFHKRAWSLFCAMMRDCRGVVPNEYTFSSTLKACKGMKSQLCGSLVHGLAIKYAMPGCIYVDNVLLDLYATCCGNMDAASMLFGEIGAKNAVSWTTLIAGYTHQDDGYGALQVFRQMLLAGEELNPYCISIAARACTSIGSYMHSKQIHAIVVKNGFESNTPVMNAIMDMYCRCGSLGEADVCFHVMTEKDLITWNTLIAGYEKSYPNKSLKIYSRMELEGISPNCFTFTSILAAVANLAVLSFGEQVHGLIVKRGLEGNLELDNALIDMYAKCGNIMDSRKTFDRMPSKNLVSWTSMMIGYGSHGYGKEAVDLFDEMVKSGIMPDRIVFMAVVGACSHAGLVEEGLRYFTSMVHDYNIKPEQETYGCVVDLLGRAGRVEEAYELIKSMPFLPDETVWAVFLGACKMHKRPELGKLAVSRVLELRPGIAGTYITLSNLCAADGNWREFAAARKLMRRLGNKKETGRSWVELQNEIYCFVAGDKVGSHMEWVYRVLEKLLQHMKDAGYFPELDYFLHDLEDGT >CDP15236 pep chromosome:AUK_PRJEB4211_v1:2:48944318:48954357:1 gene:GSCOC_T00042876001 transcript:CDP15236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MAVKILQRNLLQRISSNFLIKSGKTRPYSTMKVRDVGQPTAVTHPQLLKEGEITPGITSIEYFSRRKRLLELLPPNSIAIISAAPVKMMTDVVPYTFRQDADYLYITGCQQPGGIAVLSHDRGLCMFMPEASPHDVLWQGEIAGVDAALSTFMADEAYPIRMVDKILPGLIKSSSQLFHNAKTATSIYTGLDAFQKAAYNSKVKDSSCITHEARWVKSPKELKLMREAAAIGCQALLKTMLYSKICPDEGMLAAKVEYECKIRGAERMAFNPVVGGGPNGSVIHYSRNDQRIEGTDLVLMDVGCELHGYVSDLTRTWPPCGSFSPIHEELYNLILETNKECVELCKPGASIQEIHNFSVDKLRRGFKHLGILKNDNLQRYNLLNPTNIGHYLGMDVHDCSTISCSRPLMPGVVITIEPGVYIPSSFDCPERYRGIGIRIEDEILITESGYEVLTGSLPKEVKQIESLLNNFGNRMEGEACNTVRAVCN >CDO98879 pep chromosome:AUK_PRJEB4211_v1:3:1458361:1459656:-1 gene:GSCOC_T00025833001 transcript:CDO98879 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSIFPLCLFFLLISPSIAQTTSFRPRALVLPLTKDSATGQYITQLSQRTPLVAIRLTVDLGGQFLWVDCDRNYISSSYRPARCRSAVCNLANSKACGQCNGSPRPGCNNNTCGLSPYNPFIRTSTSGELAQDVVSLQSTDGSNPGRIVSAPRVAFTCGPTFLLEGLAKGVVGMAGLGRGPIGLPSQLASAFSFPRKFAICLGSKGVIFFGESPYNFLPGKDVSKSLIYTPLFINPVSTAGSFFQGEKSVEYFIGVKSIEVNGKPVAINSTLLKIFDKDGNGGTKISTVNPYTVMERSIYKAFTSAFAKAFSGVPRVAPVAPFEVCFNSSKLGSTRVGPPAPTIDLVLQSQSVFWRIFGANSMVQVKEDVLCLGFVDGGLNPRTSIVIGLHQIVDNLLQFDLARSRLGFSSTLLFQQTTCSNFNFTSKA >CDO99431 pep chromosome:AUK_PRJEB4211_v1:3:6908270:6910211:-1 gene:GSCOC_T00026603001 transcript:CDO99431 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPFARLPEGFISEILSLTSPVDVIRASVVSKGFKPAADSDTIWEKFLPSDYQDIISRSDSAVDCSTKKALYFSLCDSPLLLDGGRMSFSVDKRSGKKCYMVGARELIIAWARDPWYWHWISRPDSRFREVAKLKAVCWLDIRGRIESQMLSTGTTYAAFLVFKIAEEHYGIEKATSLIRFVNHESDGEAKRRAAPVHLVSREGMNHPAEFGGKFPKMRTDGWMELELGKFYTDRGDDGQVEARLIEIISLHGKSGLIVDGIEFRPV >CDP11209 pep chromosome:AUK_PRJEB4211_v1:3:9274282:9277608:1 gene:GSCOC_T00033310001 transcript:CDP11209 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCVTREAFFIFLFVLYTLAPSFPPKVCASAFAEEAAALLKWKASFANQNSSLLISWNIKPTKAKNSSSPCTWAGVSCNVDGSVNRLNLTNSNVNSTLYDFPFSSLPNLEYVDLSMNELFGSIPAQIGNLSKLIYLDFSFNQLSQEIPPEIGLLRNLQVLHLSENHLSGPIPEELSHLVYLTEVDLNTNNVNGTIPSSLANLVNLTYLSLYGNQLSGSIPPEIGNLSNLVTAFLSSNLLTGSIPPDLGNLNKLETLFLFQNNLSGSIPVELGQLKSLEILSLFGNNLIGTIPTSLGNLTNLTVLHLYHNQLSGSIPEELGNLELLTDLELDRNELNGSIPKSFGDLKELGKLAKLAVMEMDTNQFSGHLPEHLCQNGTLQNFTVSNNKLIGPIPISLKNCSSLFRARFQGNRLTGNLSEMFGIYPNLNFMDLSNNEFYGGISGNWGRCPNLAALFLADNHITGQIPSELGNASQLHVLDLSSNDFTGEIPKQVMMLASMLKLNLQNNQLFGNIPEEVGQLKNLLYLDLSGNFLRGSIPENFGGFQQLFYLNLSNNNLSQQIPAQMGELTQLSILDLSHNYITGEIPSEFRSLQSLEILDLSHNYLSGFLPEALAELPGSLHINIAFNNFEGPIPYGKAFKNITIEELRGNKGLCGNITGLQVCESPQLSRKHVNGKGFNLVLVIVLPLLGSLLLLCAFFGALKVCRQRKRKNTQNVEDADLFSITTYDGKAMYREIIKATEEFSEMFCIGEGGFGSVYKTILPPSNLVAVKRLHLLPEKVYFDSFLNEISALTNIKHRNIVKLYGFCSTSKHSLLVYEYLERGSLAKFFSVDEEAKELDWEKRVNIIKGVAHALSYMHHDCTPSIVHRDISSNNVLLDSEYEARLSDFGTAKFLRKDSSNWTTLGGTLGYVAPELAYTMRVTEKCDVYSFGILTLETIKGTHPGDIVANLMSSTPGNIELKDLLDLRLPHPTEETEKILISTIKLAKACLHVNPESRPTMHMISSLLSVGAPCRQQVGKY >CDP08026 pep chromosome:AUK_PRJEB4211_v1:3:29383100:29386908:-1 gene:GSCOC_T00026698001 transcript:CDP08026 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLGSTVQVLAETAINLASEQIGLFQGFKNDFEELKDTLTIIQAFLGDAEEQQVTAGAVKLWLEELERVAFDAKNLLDDVKFEMNRRRIEIQNQTKRKVCFFFFSRFNSIAFRRKMAKQIRKINMKLQRINEEARNFGLVSRAHIERALSPQNRETYSDTIDRSFVGRDDDVSAIVTQLTATDNNETISVLPIVGMGGIGKTALVQKVFNDPKIREHFDERMWVWVWEDFNADRLFRLMLQSLKEPMPEVESMDARVNRFKKLLDGKKYLLVLDDVWNKKSALWHDFIERLKGIISQAMGSWILVTTRDRGVARIVGISTPPRSLKELSDDQCWLILEENAFATGGGILGGMLRNKGAEEWRTLERASESAIQSLCGGENFEVFEILKLSFDRLPYPSLKKCLEYCSIFPKDFQMERNQLIQLWAAEGFLHSSPRNEMHMEEGGIMYYTILLDSNLFQDGEKDDYGNVLNCRMTGLVYDMVQFISKSKTRSLKDSTEADFLGNTLRYLAVESSGGEEIQFPLNESFRYITTLFLLENKSITIDGWISSLTSLRVLNLASSDVEEFPESINKLSHLRYLDSSDTPIKALPESLCQLINLQTLRVRDCKSLTKFPNNFKFLVNLRHFDFFSNHKSRDLTPLEVSQLRSLQTLPFFNIGEEAGRQIGQLRNLNNLSGSLEMRNLELVRSKEEAESANLIGKPKINELRLLWNEDNDSEYNQVLDGLQPHQNLKGLIIERFFGDQLSTWIEKLEKLVKFELRNCKNCKELPTLGRMPLLRYLHLEGLDKITTIGTSFYGESTVHNGSSSQLFPALEHLVLENMLSLREWLEALDHDGTVAVFPVLNTMRIKNCPEITTFPRHLPCLKSLHIEHINDGSEIVTCICNSFRTLTSLCIDNELLVCRCHSLKSISIPRAHQHLTALRKLIIYMCNGLTHLSIPQVCESEWDSSSSPSCDTPHPPLPLEKLEIWGCPNLISFPIHLSRTPFLSSLDIPYSKKLIDLPEGKFCSLTSLRELGIGPFPRTTELDSFLGLFDALQPSRHYFPSLSRLSLYGWPHWEFLPEQLQHLSALTVLELDGFGVKSLPDWFGELSSLERLFLHNCKKLENLPSRKSMRSLTRLRELQIEICPLLTERCNSESSSSSSSTDPISEWSKISHIPRIIINGQRIKG >CDP10846 pep chromosome:AUK_PRJEB4211_v1:3:27412725:27414848:-1 gene:GSCOC_T00031758001 transcript:CDP10846 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGNAPWLTSTNNYIGRQYWEFDPEAGTTEERAEVERMRKEFKTNRFRRKQSADLLMRLQLRKENSGGPPIPPAINFKETEVLTEEAVTTTLRRAIGFYSTIQAHDGHWPVESAGPLFFSPPLVMGLYITGALNTVLSPEHQKEIVRYLYNHQNEDGGWGLQIEGHSTVFGSTFSYITLRLLGEGPEDGEDKAMARGRRWILDHGGAVGTLSWGKFWLTVLGVYDWEGLNPIPPELWLLPEFFPVHPAKMMCYCRLFYTTMSYLYGKRVIGAITPLVHSLREELYTQPYHQINWIDARNTYAKVTSLYLIFNWDNFRNLP >CDO98915 pep chromosome:AUK_PRJEB4211_v1:3:1781224:1784201:1 gene:GSCOC_T00025876001 transcript:CDO98915 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSASLQNSLPDDIALKIALSLQVSDVCSLGSCSRFWRELCGSDHVWEALYRDRWPAIDLDEESSADENGNHHLHQQLDLNLMGWRGLYVHKHNEMARKAASIFNYVESYLAFEAIEVGYYLKAVEDLSAMQFGFKDVQMFFLRPNINVLFNLIGLHYCFSKLDLPAECVAEALSMCRISERQVCVRWWKLGRWFYGFRLSDESLCRNVTLGDLATSKEEEVLRVLHRGAIHEVLRVQISAAKPECTPW >CDP10330 pep chromosome:AUK_PRJEB4211_v1:3:12885358:12886695:-1 gene:GSCOC_T00031025001 transcript:CDP10330 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIISQEIIKPSIPTPDHLKIFKRSFLDQISGRFLVRFISFYPRKETNLKINQVTHQLKISLSQTLTLYYPLAGVYKDDSSIECNDKGALFVTAHVRCNINELLNLPKFQQFHKLGTSSKFLEDGPFQVFVQFNTFSCGGVAIFTCFSHMVIDMPTISVFLKCWAAISRGSQDDQSPGHPYPRYESQVLFPPKDSVPLGFSVVVKGSLLKDGRSIRKRFVFSAPAISDLKVKGTSKRVPDPTSVEVVSSFIWKHAMAAAKVVKGFQQPSVIFHAADLRRRMVPPLPEYFAGNIGCPIVAEYDKIDDLEVKFGRLVKILRLAKEKNKDEFVPKLLSSGGFDMMIKFLEDWGEKCSNKDLNTYQFSSWCKIGLNEVDFGWGKPIWTSLVGGTEVESMYKNFVVLVDGSDGGIEAWLILEQKEMAILENDREFLAYASPNPGIIIS >CDP11181 pep chromosome:AUK_PRJEB4211_v1:3:8537833:8559237:1 gene:GSCOC_T00033268001 transcript:CDP11181 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD50 [Source:Projected from Arabidopsis thaliana (AT2G31970) UniProtKB/Swiss-Prot;Acc:Q9SL02] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIESLKVACTGELPPNARSGQSFIHDPKVAGETETKGQIKLRFKTAARKDVVCIRSFQLTQKATKMEYKALESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKQYRLKLENLQTLKDAAYKLRESIASDQDKTESLKSQMQELENDIQHLDNNIHNTEVTLKDLRKLQERIATKTAERSILFKEQERQYAALTEENEDTDEELMEWKSKFEERIAILETKISKLNREMTDTETKISYLKETMDDYVRETSKLQTEAEVHQNLKNDRELKIKRLFERHNLGVVPNSPFSDEVALNLINRVQSRLKDLDNDLDDKKKSNERELEAAFGQYMHANDRWKDVDAQKQAKLEIKRGILKHIEEKENERDSFERQISNVNLSHLDEREKNMRIEMERKTKHLAEREFESSIQKKQSEIHSLEPKIKALNREKDIMVADSEDRVKLSIRKAELENLKKKLKKIMDEHKDKIRGVLKGRLPADKDLKQEIAKVQRASQAEFDDLNSKAREAEKEVNMLQLKIQEVNINLSKLHKDMDSRRRFIESKLHSLAQQSVSIDSYPKALDSSKEKRDVQKRFKYNIADGMRQMFDPFERVARAHHICPCCERPFSAEEEDEFVKKQRVKAASSAEHMKLLSVESSDADSQFQQLDKLRMVYEEYTKIGQESIPLAEKSLSEQNEDLDRKNQALDDILGVLAQVKSEKASVDALIQPVETADRLFQEIQTLQEQVDDLEDKLDFRGQGGKTMEDVQRELDTLQQTKDSLHNDVEKLREEQRYTEMDISSIQMRWHSLREEKLRATNTLNELRKVEEELDRLSEQKNQAELDEKHLAEAASQLEKEKEALLRHHNVLKAKLNCEYEEQAKLKANYQQEAVKLLEITDEIKKYHDLKKGERLKEVQEKHSQSESQFRSFEARKEEISVELNKIKDLMRNQDNVRRGIEDNLNYRKIKAEVDGLAREIELLEEEILKVGGVSAVEAELAKLSKEREGLLSELNRCRGTMAVYRSNISTNQIDLKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIVRELWQQTYRGQDIDYISIHSDSEGGGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDAPNSESLAAALLRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRISKDDHQHSIIEAQEIFD >CDO99045 pep chromosome:AUK_PRJEB4211_v1:3:2797833:2797985:-1 gene:GSCOC_T00026059001 transcript:CDO99045 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKMEKGRVCVTGGTGLLASWLIKRLLEDGYSVNATIRSSSGSCLLIY >CDP11179 pep chromosome:AUK_PRJEB4211_v1:3:8499324:8502858:1 gene:GSCOC_T00033266001 transcript:CDP11179 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYNRVGSLLRQSSKINSSTAPVPVSSMLSSIRCMSSKLFVGGLSYGTDDNSLKEAFSGFGEVVEARVITDRESGRSKGFGFVSFSSTESASSALSAMDGQALQGRNIRVSYAQERERGGPRGNFGGNFRNSGGNFGNSGGFRGFEDA >CDO99113 pep chromosome:AUK_PRJEB4211_v1:3:3282665:3286112:-1 gene:GSCOC_T00026142001 transcript:CDO99113 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKNQIQIPRVKLSSQGLEVSKLGFGCGGLSGYYNAPLSHEEGCSVIKEAFDRGITFFDTSDAYGRNNDNEILVGKALKQLPREKVQLATKFGISVLPESTKAMGLVDQIRITGTPEYVRKCCEASLKRLDVDYIDLYYQHRVDVSVPIEETMEELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITALQMEYSLLYRGIEKEVIPLCRELGIGIVAYSPLGHGFFGGKAAAESINSESILGSIPMFAGENLERNKVHYARIAELAIKHGCTPSQLALAWLFHQGDDVVPIPGTTKLMNLDNNIRSVVVKLNKEDSKSISEAVPVDSVVGERQLPYMYKYSWEFATTPPKNKNSSAT >CDO99087 pep chromosome:AUK_PRJEB4211_v1:3:3061160:3061634:-1 gene:GSCOC_T00026113001 transcript:CDO99087 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLPTNQKRCRYVDSNCIQPELDVPLTTICSKQITLNHIEAQFHSINRLIKSHFGGVLKSPEQHLLSCILIRDRQLKTAFQVGK >CDP10329 pep chromosome:AUK_PRJEB4211_v1:3:12865501:12867681:-1 gene:GSCOC_T00031023001 transcript:CDP10329 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAPPFLLLLLVVISFFILYRIFRHYLGQKSSKLPPGPHQYPIVGNMFQLSGIFHSTLAKLSKTYGPLMSIKVLNRRMIIVSSPKVAKELLQKHDHLYTSKLVLDSARAFDYHNQGHRQEKLQQLCNYVDGCCINGEAVEIGEAAFTTILNILSNTLFSVDFGNYESNSSRELKEIISGVVDTIAKPNLSDFFPVLRAIDPLGIRRQTKFYFGKLLQKFEEIIRQRLQEREKSLAYLRRNDLLEVLLDLTQQQKSEWGIEEIKHLLLDLFLAAFDTTSSTVEWAIAELLRNPEKMERARSEIREIIGRGKLVQESQIFALPYLQAIIKEVFRLYPPATTISRYYEADIEIGQYIVPKNALVLVNLWAIGRDSSLWSSPDSFVPERFLDSEIDVKGQHFELLPFGTGRRMCLGMPLADRFIHLTVASLIHNFDWKIEGGIKPEDVDMSEKLGVTMQKALPLKAIPTRTTV >CDO99117 pep chromosome:AUK_PRJEB4211_v1:3:3306175:3314659:1 gene:GSCOC_T00026148001 transcript:CDO99117 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKELKETENSVENPGEKSVEVAEKKPSDEKSVEERDDFDSILDVSAKSFDFPVLEGAEKSVEALYLYKNVFNLIPRGVGSLKYLRTLKFFANELNLFPGEFNDLGGLECLQVKVAQAPGLGGLDLGKLKALKELELSRVPLRPSAFPVLSELAGLKCLTKLSVCHFSIRFLPPEIGRLNRLEYLDLSFNKMKKLPTEITFLNSLVSLKVANNKLVELPSGLSSLQKLENLDLSNNRLTSFGCLELESMHNLQRLDLQHNKLLSCCQIPSWICCNLEGNGNDLSNDEFISSAAEMDGVECVVEEPCDSGGSSTTSLNHSSGSSPNNKCFAARKSKGWKRRYSLQQRARQERLNNSRKWKGQNITAIHKTTEKCLTCRDSDLVDDSFVESSYTTVVSDFDNKELFSGSVDLGRSIENVDNEIVLKKDYCEKKCSCDALESFQSACTKHETASLSDASSMPDDCLYPEASSSICKSKRHSDAELDSPKPRKYRRPTGNHSDTSSQYSRISFCGVDDYLSDGFYDAGRDRPFMPLSVYEKNLQLDSREVILVDRERDEKLDVIVLCAQALVSRFRQINGLMKERGRGAIDSLQIASLLAIFVSDHFGGSDKSAALQNTRKAVSGSNYRKPFVCTCPTGNDDRTKRTTKDSLDGEDIVFLDLCERALQSLKARRNSVVVPIGSLQFGVCRHRALLMKYLCDRVEPPVPCELVRGFLDFSPHAWNVIAVKRGQFWVRMIVDACHPHDIREETDPEYFCRYVPLSRMIVSARRDDKSSMYCSFPSLSACDQTGKTASTTLLECKVGSVEAAAKVRKLEVCGQLADEIRSFELNCLGEARMLGSLKHSCIIKYYGHQISSKWSSSSDGKSDIRILQSAILMEYIKGGSLKLYLEKLARDGDKHVPVVLALFIARDVACALAELHSRHIIHRDIKSENILIDLEEKRDDGSPIVKLCDFDRAIPLRSSLHSCCIAHTGIPSPDVCVGTPRWMAPEVFRTMNRRDMYGLEVDIWSFGCVLAELLTLQIPYSDLPETDIHSYLEVGKRPRLTEELEELTDSGQEWEDVVMAQLESEPKGSENESRVLKILVALYYWCTESHVKDRPTAKKLYNLLAHASLTFGLKSLEEQE >CDO98843 pep chromosome:AUK_PRJEB4211_v1:3:1187742:1189343:1 gene:GSCOC_T00025779001 transcript:CDO98843 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQTKKSPTVSEVIEELKKMKDISFPIFAIGLAGYLKNMISVTCMGRLGSLELAGGALAIGFTNITGYSVLSGLAMGMEPLCSQAFGSRNTSIASLILRRTILMLLVASLPIGLLWINLKPLMLRLHQDPEVVDISSIYCRFAIPDLIANSLLHPIRIYSRSKGITWPLLWCTLLAIILHLPITTFLTFNLHLGIQGIAISISVANFNTLFFLLAYLLYYTYAPSEALSKPLAPKSNSTSLGEDWRMLLRLSLPSCLAVCLEWWWYEIMTLLAGYLERPQVALATSAIVIQTTSLMYTLPSALSASISTRVGNELGAGRPYKARLATVVGIGLAFITSVFGFLLTTIGREAWGRIFTKDTEVLELTLATLPIIGLCELANCPQTTCCGVLRGTARPCTAAGINFYSFYLMGTPVAIALAFFWGQGFLGLCYGLLAAQVACMVSILTVISKTDWERESQKAVDLVGETCENRYQDEIAKCEEGHNSQKQNSFEKANGLRLK >CDO98989 pep chromosome:AUK_PRJEB4211_v1:3:2322839:2323837:-1 gene:GSCOC_T00025985001 transcript:CDO98989 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLSACKEVPPLVSFPGGLNMDPFIPWRRKDKVVIVMGATGTGKSRLSIDLATRFPAEIVNSDKMQVYKGLDIATNKVTEEECLGIPHHLLGFVDPNEDFTAHDFQNHASLAVESIIRKGRLPIIAGGSNSYIKALVNDDLEFQSKYDCCFLWVDASVSILHSFVTKRVDKMVEAGLVDEVREFFNPKGDYSRGIRRAIGVPEMDQFFRNEKQVDEETGRKLLEEGIDKIKSNTCKLACCQLRNILRLQEQLGWNMHHLDATEAFLKRGAESDEAWERLVARPGTMIVGQFLEEDEFVSTLSTTPPSSSVIVAASVLATTNATAVAAATH >CDO98722 pep chromosome:AUK_PRJEB4211_v1:3:291568:294731:1 gene:GSCOC_T00025622001 transcript:CDO98722 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWTGGVLIVGLALILVISYSFVGKSAQQKQSAYDFFNNHPADNANPEGRKGHDNVKSAETDVKKALNFKGKPRFVHVEGLSSLYSSLGNFSKEESKALQVWSRMRFLLPRSDALPETLQGIKEAAAVWKELLSTVQEDKASKANKVGEDVDCPYYVSAFNGTKSRSQNATLEIPCGLVEDSSVTVIGMPDALQDGFQIELIGSKLSDEPRPPLVLQYKVFLPGKNLTKEPFVIQNAWANESGWGKEERCPDRGSTDFLKVDGLVKCNAQSVRTAMPENSNGSNRSSDKLTNFSDGGTHGSATFPFPYFEGIPFTASLWVGLEGFHMTVNGRHETSFAYREKLEPWLVGGVEVKGGLATIAILAKGLPVSNDLNLDVDLELLKAPSVSKKELVLMIGVFSTANNFERRMALRRSWMQYDAVRSAEVAVRFFIGLHKKQAVNYELWREAQAYGDIQLMPFVDYYSLLSLKTIAICILGTEILTAKYIMKTDDDAFVRIDEVVSSLKGKASSSDGLLYGHISFESSPHRDKENKWYVSPEVSCPLYIGMAVCIISAVGTWSGLRNFQRHSEVHRWRPSGKGHYAVQARGRGCWDMDRAIQEPGTQREVRGRREILHIWV >CDO98994 pep chromosome:AUK_PRJEB4211_v1:3:2360786:2361614:1 gene:GSCOC_T00025991001 transcript:CDO98994 gene_biotype:protein_coding transcript_biotype:protein_coding MRKISFLSLAKCFNGCRDHTQVTGVGTRIWNFSDKAIELQVRVGSILKKAHSLKPGSSKRLKCKSIYKSYMPGKGKGSNGGGMRSLLYYYDETCQPYIWIHDTGCDFSRMVKQQYISLEDLRDCSEIRIFRDHQRGCISVRKKFRSDFC >CDO98715 pep chromosome:AUK_PRJEB4211_v1:3:207960:209564:1 gene:GSCOC_T00025613001 transcript:CDO98715 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >CDP08143 pep chromosome:AUK_PRJEB4211_v1:3:31579479:31580776:-1 gene:GSCOC_T00026883001 transcript:CDP08143 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDIEPTSICLNLVSCFIKYRWNNDLDVHRVVRHVVPAFRDQLTGLRPEEFMWQPYSEDVLASLPAYCTAGRDIWRSVTYLICWDVVEPHLPHRIMRQFGFHQSLPAMRLTDNQAALHSIDRRGRANQDWSTTHKQYIDIWTDRHVHVQDGTVIADTTYLSDEYVQWYRERTVIYISNPSRLPPFPEGFQGDSATAQYLV >CDO98897 pep chromosome:AUK_PRJEB4211_v1:3:1655818:1662112:1 gene:GSCOC_T00025853001 transcript:CDO98897 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MDHYVPKDQVTTLLDHGLFTSAQLLGGFLVSSSTGNSEASPQLKAESLVLLGDSFFKEKEYRRAIHTYKQALLHLKTILKPTATTSRSSLSASNRSSSPSSFNNSAINENEVKFRIASSYCALNENRAALIEMEGIPSKAKTLQMSLMMGKLYRYTRHTRAAAACYKECLRQCPYIIEAIIALAELGLSAKDIISLFPQTPSRSARAPFDHLESSRWLQRYVEAQCCIASNDYKGGLELFSELLQRFPNNIHILLEMAKVEAIIGKIDEAIMNFEKVRSIDPYVVAYMDEYALLLKTKSDQSKLNKLVHDLLNIDPTRPEVFVALSVLWERKDDRGALSYAEKSIRIDERHVPGYIVKGNLLLSMNRPDAAAIAFRGAQDLRADLRSYQGLVRSYLALSKIKEALHAAREAMKAMPQSAKALKLVGDVHASSTTGREKAKKFYESALRLEPGYLGAALALAELHVMEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQDALLHYQAALRINPQNEAAKKGLERLEKQMKGVDPDAPEEDEENDVEDVDGDVEENELL >CDO99208 pep chromosome:AUK_PRJEB4211_v1:3:4296839:4298847:-1 gene:GSCOC_T00026276001 transcript:CDO99208 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTRLRRTSVVMAQFSLEKGGCPPTLSEVGFQIAKTCRGLPLTVVLVAGILATTAQDGWEEVAKCLSSIVLDNKDCKKTPELSYSHLPDYLKPCLLYFAAFQEDEVINVRRLLWLWIAEGMVQQTKGKSLEESAYDYLMALISRSLVVVTKQRTMGGAKGCQLHDLVHEYCVEKAKEESFLCVMHSSKDPFSLAGPSNHHRVRAHNIGELKIWELMLIFPNLRSLLLSGPDGGCYLTNEEELGILLPKLLRVLDLGKCIYAPRTIPSTIDNLSRLETLIVENPFGLIKLPNTIWNLKRLSYLHLAGWPNGFIFPAENLEVSPNLDHLDTLNLAIDPSSQSLQKILAKLPSIRRLKCFGLHSGPRITFATRSCEEIFEFDSMRKLESLHLIQFEGYGFKFPLNLKKLTLSGNCQPRSEISTIGKLPNLEVLKLRSESFVGEEWVVKEGEFPKLRFLELSRLSIRNWTATSDNFCHLEKLVVCNCLKLEEVPACLAECLTLEMIEVKWCRESVANSVKQIQQEQMDSGNEVLKIIIKNYTNCS >CDP15133 pep chromosome:AUK_PRJEB4211_v1:3:10497970:10500465:-1 gene:GSCOC_T00042714001 transcript:CDP15133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g79490, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79490) UniProtKB/Swiss-Prot;Acc:Q9SAK0] MIIRSLLLSKNLNPTRYLLKGSHSLTRISSVGSEFVPLVNCNSIVKFRFLSYLCSLNSVPSEKCGFSISKNPNFIRNYCSGGDNSGEESNEWTGEIDYLDESGGVIYSGKGVRSVEPGIDDHVMVGGLKKPILNASAVAKIVEIVKRWKWGPDMETQLDRLQFLPNMTHIIQSMKIISDGEASLSLFRWAKRQSWYCPTDECYAVLFDMLNESRDYDGIQSVFDDMVRDSGESGISSFSAYNRVIQSLAKAEKLEVTFCCFKKMKEVGCKVDTQTYNSLITLFLDKGLPFKAFEIYENMEEVGCSLDASTYELMIPSLAKSGRLDAALKLFQEMKEKNFRPGFGVFAALVDSMGKAGRLDTSMKVYMEMQGFGLRPSATTFVSMIESFVKAGKLETALKLWDEMKKARFRPNYGLYTMMVESHAKSGKLETAMSLFSDMERAGFFPTPSTYSSLLEMHAASGQVDAAMKLYNSMTNAGLRPGLSTYTALLTLLAKKKLVDVAAKVLLEMKAMGYSVDVNASDVLMVYIKDGSVDLALRWLRFMGSSGIRTNNFIIRQLFESCMKSGLYESAKPLLETYVNAAAKVDLVLYTSILAYLVRCQEEHNERHLMAILSATKHKAHAFMCGLFTGPEQRKQPVLSFVREFFQGIDYELEEGAARYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIAVVHTLHRFRKRMLYYAVVPRRIKLVTGPTLKIVIAQMLSSVESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSRADILMHKLNTLFPSSAPEIRSLSPPKPLLAGKAL >CDO99107 pep chromosome:AUK_PRJEB4211_v1:3:3245718:3248142:1 gene:GSCOC_T00026136001 transcript:CDO99107 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGEDQRLPHKRDPPEHVSLEHLADLGVVYWHLNPENYENDEELKKIRDSRGYSYMDLLDLCPEKVENYEQKLKNFYTEHIHGDEEIRYCLEGSGYFDVRDKDDRWIRIWIKAGDLIVLPAGIYHRFTLDTSNYVKLMRLFVGEPVWTAFNRPQEDHPARKEYVKNFTEKVGVPLEAH >CDO99008 pep chromosome:AUK_PRJEB4211_v1:3:2464123:2465408:1 gene:GSCOC_T00026009001 transcript:CDO99008 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIAVELDDDVFFADISKRISLLIMDDEEDLRPHSPAVSLQAFSQSIHQAPQAPFGYEQAIRRESKGTGVFIPRSSQSRRKNRQGRHSNTSSNSKFQRNHENPRGLAQVAHTDKAAYDSFNLRRF >CDO99071 pep chromosome:AUK_PRJEB4211_v1:3:2963567:2968054:1 gene:GSCOC_T00026091001 transcript:CDO99071 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSPDASSGSISGTREENGFHLNRVSVGDYGEGLPYAPIDWPKPGDNWSWRVGKRIASSGHFLDRYLYPPKHLQDPHRKKVGLASKQSVEQFIRAKFPNADVNAFFASFSWKIPSKQLRLFGMTDDKEENPPSVEAEETSASDSPMADLTCKAGNKTCTSLGAVGSASGVMLCDICCSEPGFCRDCCCILCCKTVSSSYGGYSYIRCQALLNGYSCGHVAHLNCGLRAYMAGTVGGTIGLDAEYYCRRCDSRLDLVSHVRKLLKTCESIDSRDDIEMILSVGISILRDSRRASAKQLLDQISLAMSKLRKGADLEDVWKKEEIVEVTEENGALELENHEDPPANRFLQPLLSSKFDHRVETLVLEDEIDQILMALKKSQESEYRLAEEALSVQKNCIQDLYQQLDHERSTLSQRAPSPDADAVLDSFLSKVDHLKQEITKFKDMKEVAKGFGRTPKHILKDHFNLETEN >CDO99196 pep chromosome:AUK_PRJEB4211_v1:3:4214577:4216327:1 gene:GSCOC_T00026260001 transcript:CDO99196 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKRGNIFLLTLTGDDEHRLNPTLIASIRSALAAVKSQATKGSVLITSGQGKFFSNGFDLRYAQAAGSVQGAKDRLMNMVEIFKPLVADLISLPMPTIAAITGHAAAAGLMLAVSHDYITMRSDKGVLYMSELDIGMTLPDYFNAIFRSKIGSPSARRALLLRASKVRAEEAAGMGIIDSVHSNGMETLEAAVRLGEDLSKKKWEGKVYAEIRKSLYPELCGILGLKDEKVLPSRL >CDP10352 pep chromosome:AUK_PRJEB4211_v1:3:13485739:13487464:1 gene:GSCOC_T00031058001 transcript:CDP10352 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDHEVMVGGNCSHCGNGWAWMDEELKNLNEHELLHKLYQRLKTKRYLVVFDDVWDIKVWNELRISFPDDKNQSRIIFTSRSSNVASQVQYGGEPHYLHPLSEKESFELLQKKVFGEEEECPQALHGLGMEIAEKCWGLPLALVVVAGVLATIEHDILVWKKFAESFTSTMVSGTDQWKKSLELSYEHLPYHLKDCLLYFASFREDEKIGAKSLMRLWIAEGFVEIIEGKRSEDTAEEYLMDLIGRNLVMVSKSKTIGGVKTCYIHDLIFEFCKGEAKEKKFLQVLRGYDELSTFNEPPNLPRLSICSSGEDFIKSRLFCPHLASLLLFDATPGYDKFKLFNISFLFCIYKHLNVLNLEALT >CDP08023 pep chromosome:AUK_PRJEB4211_v1:3:29329643:29334974:1 gene:GSCOC_T00026692001 transcript:CDP08023 gene_biotype:protein_coding transcript_biotype:protein_coding MMASVSVFASAAGSSLDRLDSALEGLRSRSFAVEKVYNDWRYLRILWQSYHKRSGAAAGLKIEATAEEIAQDLVRFCNGEKQGDKKSPESESLLELARDCSTKTKLLMAEIGEALDRLYLSWSSSGRDSSINSSFQIHLLSPAPLDVSFWPSFVKHLHSNVLRISRIAAEHLGSEHVTVRNQVYSLYDSLKSLEHYIAPLFSHPNFSSPGEAGAEDGAATDDALPSVDACLGHVVSVVLRIANRCCDHWLDCKTGRIQVEKSELTKFVEDLHHEIHPRNPYFMEFHLNFLMAIYRSSHRKDEDVDFVMQFCYYLFNFEGGDFRDEVSSLVTLFVKTNAKLEDRDFVQSFFPELNAVLTEMASLFEANNREGDKLDNSPQCSELLTKICLLKAELLLVVQIHNINSSNSSSSSFSSSSTMLSDWEDIIYECRELPRNLSRYFQKLHHEQIEDGKKMSEFIELIFQEVESLYQSFRHQELTKSAVKNSLLPLVSKLVIFKEETFLMELLRLKNGGDSTFIACGKEQIDLHLQKLKYISQILLDERRKDREDVFRSCLYFRKLTSFSYSFSITQDKMIISFSDLLYKVKHLMTAELREIIPQFLMLDFPKTCKLRFLDFLLTNLEELLKCFPTSIASVKYHIEEIQLHLKSLNTFLLNVTKLDIEKHPELKDLGDCVNDVAYQVECIVDSIEVDAQPQNFFWLIDVLEDLRLVKEKACGIHLPTPDAEVRDSKIVNQVPIDKLSRDSTPTIDEFVVDLKDEEQFIIEKLTRGTPKALDIVSIIGMPGLGKTTLAMKVYNSKSVMYCFHQRAWCTVSQAYEKRRLLIEILTGVHGPTDEIHQMTDDALEEKLRKALLRNKYLIVMDDVWDAGAVNDLKKAFPNDSNGSRILLTSRHRSVALEIEPDSVPHSLRQFSEEESWKLLEKKVFKGESCPKELLEVGKEIARRCQGLPLALVAVAGILKAPVKNPKSWKKLADTLSSEVIDNPEAREARCKEVLGVSYNHLPEHLKSCLCYMVVLSEERDILVRKLKRFWLAEGFIPRPEQKSSEEVAEDFLMDLIDRSLVIISKRRSNGKVKSCRLHDLILDFCKSKLKDASLFQLVTRSSEPYASFPNSDYGFEFDFHHNSCPVSFSSYRLAISLKRNHLVESKPIGLGTRSLLFFASSDSEPRCPYDISFIWQNFKLLRVLDFECINVGVSFPAEIGQLVQLRYLAVGGYLRSIPQSISNLRRLETLILKGLRGMIMLPNTIWQMKSLRHVHVNPHISFNRDDEEQRGGCFELKNLVSLSCPSLSCGEEADRIIIRFQNVGKLRCIFFESQDSSTNRNQFPRLIRLTHLESLKILYHGTPLNNGEFNLPLNLKKLTLSNFRLPWSHISAIGKLENLEVLKLLSGAFEGRTWKMEDGEFQKLKFLCLDTLNIVEWIASYEQLPRLQRLVIQNCKELKELPDDLANITSLETIEVHWCGQSAEESANNIREEAGEIKVVIRSSYSKS >CDO99020 pep chromosome:AUK_PRJEB4211_v1:3:2571106:2576191:1 gene:GSCOC_T00026023001 transcript:CDO99020 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKRAAREAAEQVTENGPDDNAKVETKLELLNVDSSERKKKKKKKKRNKEQNDGDSNETPTVSIALPGSIIDNAQSLELATRLAGQIARAATIFRVDEVVVIDNKSTSVDEFELLNQENNSDDNESGAAFLIRILRYLETPQYLRKSLFPMHNSLRFVGLLPPLDAPHHLRRHEWAPYREGITLKNQAPDSAGSLVDVGLSKNVLIDEVIEPGRRVTVAMGSNRNLDTGLTYQVVPSSRPRDEVGMYWGYKVRYASNISAVISSCPYKGGYDLLIGTSEHGVVIKSSELSLPSFRHLLIAFGGLAGLEECIEEDKNLKGKDVREVFDLYLNVCPHQGSRTIRTEEAVFISLQYFQEPISQARDAPKKI >CDO98729 pep chromosome:AUK_PRJEB4211_v1:3:327351:345354:-1 gene:GSCOC_T00025630001 transcript:CDO98729 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL6 [Source:Projected from Arabidopsis thaliana (AT3G17205) UniProtKB/Swiss-Prot;Acc:Q8RWB8] MFFSGDSSTRKRVDLGGRSSKERDRKKLVEQTRFERNRRLQLRQNNSAALKIQKCFRGRKLVEAERSEVRERFFTRFGKHFQIVDRQCFGPDSDFLRWLLFFFNPKNAADCSALVEVCQLLQKLDQDNVLDIISLFAGADYPSNKALVEYRVKKFALACIQAIYENRIQLRDQLMASKHSGAPAILLLDALHLLIDDRLPWACNTVSYLLQRNVFSMFRNVILTLKEVAIQGLVGDVSSLERVLALIISHVGQTPCVCPNVDPSWSFSSQLLTIPFLWRLFPHLKETFGAPRLSQQYFHQMALCVKNHKNVLPEDISSDFPSFACLLGNILEAAGVAFTQPESFDMAVDFVTLATFLLEAIPPIKTLNEGGKQNSNTYDDEMLVDDERAEKVLNGDLELQIYNAIDPRFLLQLTNVLLGGFSLANNSYIGGPNDKEAAAVGAACAFLHVMFNILPLERIMTVLAYRTELVLVLWNFMKCCHDNYKWSSLSKLSAYLPEDAPGWLLPLAVFCPVYKHMLMIVDNEEFYEQEKPLPLDDIRCLIVIIRQALWQLLWLNPVAPHNFSKSPVDTFAMKKHPLEFLQHRVCVAASELLSQLQDWNNRRQFTPPSDFHADGVNDYFISQATIENTKANDILKLAPFLVPFTSRAKIFASQLVAARERNIPHAPYVRNRFRVRRDHILEDAFDQLNALTEEDLRGLIRVTFINEFGAEEAGIDGGGIFKDFMENVTRAAFDVQYGLFKETADHLLFPNPGSGLVHEQHLQLFHFLGTVLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYEGDISGLELYFVIVNNEYGEQAEEELLPGGKNLRVTNENVITFIHLVANHRLNFQIRQQSSYFLRGFQQLIQKEWIDMFNEHELQLLISGSVDGFDLDDLRAHTNYAGGYHQEHYVIEMFWEVIKCFSLENQRKFLKFVTGCSRGPLLGFKHLEPLFCIQRAAGSASEEALDRLPTAATCMNLLKLPPYRSKEQMEQKLLYAISAAAGFDLS >CDP11130 pep chromosome:AUK_PRJEB4211_v1:3:7609677:7610654:1 gene:GSCOC_T00033180001 transcript:CDP11130 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEEAKKFQGLIRKVFERSGASNPGDFLLLLRWILLSAGTDTSSATVECAMSLLLNHPEVLEKARAELDADCPLEWEESLALVLVLHNTLIQCFECQRVGPEMVDLAEGEGISIMPKATPLEAKCKERNFVHEIVLEAD >CDP10879 pep chromosome:AUK_PRJEB4211_v1:3:28270189:28270362:-1 gene:GSCOC_T00031816001 transcript:CDP10879 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLKVCSIDLIVSIIFSLVLTSEGGIIVVYSGQDEREISLSDTCCSGFYKLVNILS >CDP16812 pep chromosome:AUK_PRJEB4211_v1:3:15894869:15897443:1 gene:GSCOC_T00019333001 transcript:CDP16812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Red chlorophyll catabolite reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37000) UniProtKB/Swiss-Prot;Acc:Q8LDU4] MAVHICTNFLHSTHTVPSSLRPYPANPSSTTVVRTRVSCLSSSSSSPRMDPQTKFMEFPHVSAPIRDLMLGLVSSVETHLGSSLLPCSLPPDVQYYQNPNGNAEGTVFVRSAIPSSPVDFILGSWINCKLPSGGALNIASLSTYLKPSTNAPNFLIEVIQSTPTSLVLILDMPPRKDLVLYPEYLKTFYEDTELDRHRQLLEKLPEVTPYSSPSLYIRALISPTAILVRIEAEAGEITRIDEIIRDNLSPIANEMIEVWLSLCASVDKEVGEAEMAYLEKRDLITKTKTIEIDLGTNIPRLFGQEIADRVLGVLRGVFNV >CDP15142 pep chromosome:AUK_PRJEB4211_v1:3:9924665:9925744:1 gene:GSCOC_T00042731001 transcript:CDP15142 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLFFCISLAIVLMITSQVAARELAETSQSVDNSKTVDETKGVEEAKWGGGYGGGGYGGGYGGGGYGGGYGHGGYGGYGHGGYGHGGYGGYGHGGYGGGYGHGGYGGGGYGGGGGYGGGHGGYGGGQAVEAEPQN >CDO98720 pep chromosome:AUK_PRJEB4211_v1:3:241686:244301:-1 gene:GSCOC_T00025618001 transcript:CDO98720 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQTALPPELANNVIRLYRECLRRAKYIGHKQHNTELVVGMVRQQFKKHMHETDPDKIQKLKDDAARGLINHIIYESEQMTGRKFSKSF >CDP10327 pep chromosome:AUK_PRJEB4211_v1:3:12853154:12855113:1 gene:GSCOC_T00031020001 transcript:CDP10327 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAPRKLLVVDFTNEELKPGSSSWASACNDIQRALENHGCFIALYNKVSPELDKAIFQAADDLFDLPTELKVQNINEKPYHGYIGQIPFVPLHEGLGIDYATTLDGVQSFTNLMWSKGNKSFSESSFSFAKTVAKLDEMVIKMLFESYGVEKYSDSHIDSTRYLLRFLKYRAPEMNETTMAFPSHTDKSFLTILYQNHISGLEIRTRDDEWIKVDFPQKSFVVMAGDACQAWSNDRVLSSNHKVTMDANGKETRYTIALFSFLSKMVQVPEELVNDEKPLQFKPFVHIDLLNFYATDQGRKSQNILKDFCGV >CDP10265 pep chromosome:AUK_PRJEB4211_v1:3:11818511:11821390:-1 gene:GSCOC_T00030937001 transcript:CDP10265 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKGKMPIAHEVMVGLDDEAEKVIERLVSGPEHVEIVPIVGMAGLGKTTLAKKVYNDNSIIYNFHIRLWCTISQEYNKKSLLTQILCSDGKHSRMDDLNEDDLLQKLYQKLKRNRYLVVLDDIWDFRAWNELRYSFPDEMNRSRIIFTSRSSNVASQVEYGGKPHYLRQLSEKESFELLQKKVFGKEDCPQALHGLGMEIAEKCRGLPLALVVVAGVLATIEHDIWVWEEFAKSLTLTMVSGTDQCKKSLELSYEHLPYHLKACFLYFAAFREDEKIGAKNLMRLWIAEGFVEKIGGERSEDIAEEYLMDLIGRNLVMVSKSKSIGGVRTCYIHDLILEFCKTEAKAKNFLQVLRGYDELSTFNEHPNPPRLSICSSREDFIKSRLFCPHLGSLQLFHDETSAHPHWLNICFVFCIYKHLKVLKLEGIYLTRKELPTEIESLLCLRYLAFKALQMKFIPPSIAKLSNLETFCLRSMETVPLPDSIWNMKKLRYVHVWDGCFVFPLSSNDNVVENLSTLPNLDTLCDLYLSFDQAENLLRRIPNIRRLAISDGQTGNGVLNMSRLECLESLTLDVGCCSGPRGHFELSFPMNLKKLSLFCFPKLRVLTLSESDIVEWTETDPDSDDYFPCLQQLKLREIRHLEMVPACLGRISTLETIKMVRCRNDVKSLVREIEEAQKSYGNENLKIIDKTY >CDO99381 pep chromosome:AUK_PRJEB4211_v1:3:6123136:6132396:-1 gene:GSCOC_T00026534001 transcript:CDO99381 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGTYCPFPSPPFSFSVVSSSKETSSNSSSKSVKLREDWRKKSRPIPPGGVYPAKDHCSKCGLCDTYYIAHVKNACAFLGDGMSRIEALEQMVHGRGRKADSLDEVYLGVYENLLYARKIKPVDGAQWTGIVTTIAVEMLKTGMVEAVICVQSDPEDRFTPRPVLARTPEEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHHLNLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYSGVSMTQHPQYVTVRNERGREMLKLVENLLEITPTTSLGNRQPFVMETVKADDKAKLGQGPSQPAPKFVGNFLAFVLNLIGPKGLEFARYSLDYHTIRNYLHVNRTWGKQRADRHMPSYAKKIVSIYNQNGEIDQMLLTK >CDO99176 pep chromosome:AUK_PRJEB4211_v1:3:3955825:3957342:1 gene:GSCOC_T00026226001 transcript:CDO99176 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLDMPIDAFTVSIVINCYCHLNRVDLSFAILGWLLKSGYEPDVTIFTNLIKGFFFGNNVVEAEELFNKLVREKICEVNEVMYGTMINGLCKSGNTQRAIGMLRMMEGGNCKPNSVVYNTVISGLCKDRMIDDALQLLSEMIEKGIQPDVITCSSLIEGLCNCGRLKGAKKLVSDMVELDVYPDVITLNKLVHAFSKKGLVKEAEGIVQIMVRSGEGPNAFTYSALMDGYCLRGDMNKAAKVLEIMMARGCAPDIYCYSVLIKGYCKKGNIDDALTLFHEIPQKGLKPTVITYNTVLQGLFDISRSADAIELFKEMQAQGVSPTLSTHNILITGACKNGFVAEALSLLQRMENSHLNLDIITYNAVIDGLCRDGKFDVAKDLFDKLPSRGLQPDVITYTSLINGLCREGLLSDAKEWLKKMDKDGCPPNSVTYNTIVREFLRRSEHHEAKLLLEEMRRLDFSPDQYTRSLALDQHLSEGQEPVILDEMISPLQPDNKLKSSNAN >CDO99075 pep chromosome:AUK_PRJEB4211_v1:3:2982723:2983091:-1 gene:GSCOC_T00026095001 transcript:CDO99075 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELVKCDCCGLKEDCTQDYISEVKANFDGKWLCGLCSEAVRDEVNRGKKQSGMDEAVKAHMAFCRKYKSNPAIRVADGMRQMLRRRSGDLSSSSSSSSSKKYSRSSSTSQVGDDSSFSCY >CDP14677 pep chromosome:AUK_PRJEB4211_v1:3:15589703:15591997:-1 gene:GSCOC_T00042087001 transcript:CDP14677 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHCFFVGRIPLFAPRYWETKGLTPPGWDALQISSFYQTSNSYLFYKFVQLWTLEKCDKVYFNHENCFITNLAFLLKFNCVFI >CDP03454 pep chromosome:AUK_PRJEB4211_v1:3:21416255:21417022:1 gene:GSCOC_T00015220001 transcript:CDP03454 gene_biotype:protein_coding transcript_biotype:protein_coding MANLHIQLHLVALFFLSSLILPSNCLPALHPKPPVALIVFGDSLFDPGNNNYIKTTTTFQANFPPYGETYFKSPTGRFTNGRTIPDFIAEFAKLSLISPYLQTGHHEVLTKGVNFASGGAGALVETNKGSVISLHMQFNNFREANKQLRLKLTSGKLTNSKTSCEKCCVLVQHWK >CDO99156 pep chromosome:AUK_PRJEB4211_v1:3:3807780:3811441:1 gene:GSCOC_T00026203001 transcript:CDO99156 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVRLRRRVSGVGRDDEVGRKKDAGSRSWKLMKSTGEEMDLDVDKYAIMRRVKVHARDLRILDPLLSYPSAILSRDRAIVLNLEHIKAIITAEEVLLRDQLDDNVIPVVEELRRRLKPMSTAHEGQGDEDSPLEEGEEMGDEDESPFEFTALEVALEAICSYLAARGIELETAVYPAMEKLTSKVTSRKLDEVRKLKSQVARLSARVHKVREELKQLLNDDHDMANLYLSKKLPGAASPSPDNDGGNKSDAGSVSPGGDIHIVPTAEESDDDFGVEELEVILEAYFTHIDGTLNKLTMLRDHIDNTEDYIKFQLDKHRNRLMEVELFLSVLFICLSIYSTVAQLFGMTIPYSWNYGHGHLFKWAVIIPGLFSSFLFICVISHARRRGIIGSYNS >CDO99041 pep chromosome:AUK_PRJEB4211_v1:3:2758111:2761147:-1 gene:GSCOC_T00026053001 transcript:CDO99041 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCSKLSARDSSTSSSSPFDCIIFDLDDTLYSSETGIGEALKRNIDDFLVEKCGFHESKASSLRVELFQAYGSSLAGLRALGYDVDADDYHSVVHGRLPYDLIKPDPQLRKLLLTIKQRKIIFTNSDRVHAMNALDRLGIRDCFEQIICFETMNPNLSKSTRPDEFPVVLKPSLEAMNIAIDVAKVDPRRTLFLDDSVRNVAAGKAVGLRTVLVGKATKIGGADYALETVTNLVQVIPEIWFNNNIGEDDERTDEDDERIGRTASEMDSAFANDCRRCLVQWQVMFRA >CDO99448 pep chromosome:AUK_PRJEB4211_v1:3:7044400:7046136:-1 gene:GSCOC_T00026626001 transcript:CDO99448 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPSVSEVRVEDHELNESIEFFRDIVTGPSEKFARLNLLQPLTGQEFSKKVAENCTAYLK >CDP19267 pep chromosome:AUK_PRJEB4211_v1:3:15813020:15826560:-1 gene:GSCOC_T00000035001 transcript:CDP19267 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILLVINCIILAIGNCGTPLLIRLYFVKGGQRIWLPSWIQTAAWPIIFIPLLISYFHRQKTDPRAEDSKLIIMKYPVFIATAVIGLLTGLDNYLYAYGIARLPVSTAAIIIAAQLVFVAFFAFLLVKQKFTAYSVNAVILLTVGSGVLGLHTSSDRPKGESNKLYVLGFIMTLAAAALYGLILPMVELMYMKAKQVITYSLVLEIQLIMCFFATVFCTVGMLINKDFQAIAREARAYELGEMKYYVVLVSSAIVSQCFFLGAIGVIFCASSLVSGIIIAVSLPVTELLAVIFFNEKFQAEKGVALFLSLWGFISYFYGDIKKNKSKEEKSDQKNPSQATEMTPVVAP >CDP08076 pep chromosome:AUK_PRJEB4211_v1:3:30519896:30523266:1 gene:GSCOC_T00026781001 transcript:CDP08076 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPGIVTPRKIPLLVMYTLPIEQIIAFFFKHSISLVFCFLFTIFVVKWRNSISNPSQNLPPSPPKLPIIGNLHQLGSIPQRSLKSLAQKYGSPMLLHLGSKPVLIISSPDAAEEVMKTHDLIFANRPKAGFAGRLLYNFKDIAFASYGEYWRQIRSICVLQLLSHKRVQSFRSIREEEIALMLETIRESCASSSVICMNKILATLTNNIVSRVAIGKRYSGEESGSRFQELFEEFTMLLGVFNVGDYIPWLAWINNINGLEAKVKKVAKDFDEYLEKLLEEGVEKQDKRGNKNGGDEKQQQNLVDVLLEIQGTDTTSFALERDSLKAIIVDMFVGGTDTTSSLLEWAISELLRNTNARQKLHKEVRQFFGSKTYITEDDLENLY >CDP03462 pep chromosome:AUK_PRJEB4211_v1:3:21174045:21177033:-1 gene:GSCOC_T00015230001 transcript:CDP03462 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIDTLSKKRALEKSKYEQSLESVRRFIANAEKELELYYRHVALYGDPNDGNPNSIFDKPRRIEWARKVEVKEDASSMTEEYSHTLFQTEIDSMDDEILESEEISEFDDKHKNNAASASEIDYEDDGTHIGEIES >CDP10867 pep chromosome:AUK_PRJEB4211_v1:3:27992091:28002647:1 gene:GSCOC_T00031799001 transcript:CDP10867 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTQVALPLHNQSEIIRLVSDFPEDIWGDSFSSYTLDKQMYDMYAKEIEIMKEDGWHPYLKKKKSMLTATHCSITEKLKLIDKLERLGISYHFEEEIEHQLEEIFKLSTNYEEEYLHSYDLFTAALHFRLLRQHHFNISCGIFDKFVDANSKFKETLCSDINGLLSLYEAAQVRTRKDSILEEALTFTLVHLKREPLQASSTLAKQVKFLDSLYNAVHKGSLRVEARRYISAYEEEECHNELLLRFAKLDYNMLQMLHKEELFEIIRDQAASVCVCKIPNSRVRLVESYFCALGMFFEPQHSFGRINLAKTTVLSTIFDGTYDAYGTLDELKVLTGAIDRWDASEMDRLSDNMRTCRVALLNVTDGLDKELSKQGRLYASEQYKEEWKIYTKGMYNESRWFIKRDLSAYPEYFSNGMVTSLAFLIFIIGKALVNVQKKTGSRDVFDWLSSRPKILVACSTICRLTNDVASYKLETQRGGTGNECYMKHYNVSEQEAVDKFEEMIVEAWKDINEEWLRPTTVSREVLMPILSLARMEDVLYKYHEDGFTDPHKVIKDYIIELLMDPLTV >CDP11215 pep chromosome:AUK_PRJEB4211_v1:3:9324305:9328916:1 gene:GSCOC_T00033320001 transcript:CDP11215 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGMPTTTKTGLKHNPPPRRSTEDTSYRQTSESPLLDPEDFNDVFGGPPRTVMSRQFPSNEYFLRSSSTSSFYEEIFRPPENVVPAPAPVRSGRNLPEFRIPAEKGARRSNQFEGFYGDIFGWNEEDLKRSRSRTRSRSKSKTSTSSVLSSEELSPLRPAIGGGELGDEDVSFFASRLRPLNVPRRWTSNTAIQEELQEQQSMSAFVSSGPSYDAEFDYNENFRGSNFRFCRRNPSPETISLEPNSYRSLKVSADDLEHHNSPSSVISSLCQDQEAVDVVANRTEDQMSREQAMEQDEDEVVSSYVIEINAGNREWAEEAVGVDDAIAWAKEKFQTCCSEDMLGADQISDGHKDGNESTHVAGDEEQDTWTNAEQKRELGTDMEMELLNEKIRLWSTGKEADIRLLLSTLHHILWPDSGWLAVPLTNLIESSHVKKAYQKARLILHPDKLQQRGASFPQKYVAEKAFPILQDAWAAFVSQDVFCT >CDP10048 pep chromosome:AUK_PRJEB4211_v1:3:23355606:23358570:-1 gene:GSCOC_T00030623001 transcript:CDP10048 gene_biotype:protein_coding transcript_biotype:protein_coding MRIARALGTRGILQSFMSPFFLLFSYQSLQIVYQLERQLLQQKEVPDLNPHAEHVINFVQIHFRVFQDAWTSFSW >CDO99205 pep chromosome:AUK_PRJEB4211_v1:3:4280106:4283662:1 gene:GSCOC_T00026273001 transcript:CDO99205 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPPKSEELPVISRDIMLEYSNEVMRLGITLLGLLSESLGLETDYLLNMGCAEGLYLKGHYYPPCPQPELTLGIVNHTDFSFLTILLQDQIGGLQVLHQDTWVDVPCLPGALVINVGDLLQALQLISNDRFKSVYHRVLSKREGPRISVASFLRPHSGAGSTSRLYGPIKELLSEENPPLYREITAKQLLTQRYNDSLHKAPLLSHFKLNAATWEP >CDP15104 pep chromosome:AUK_PRJEB4211_v1:3:10902722:10920064:1 gene:GSCOC_T00042677001 transcript:CDP15104 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTSNFVSGSCDGRYAVQCLLGNHRFVNSKVLSRRCCSFRDFRKRMLRVYCESTTTEMHIRKCSPFLESVLLCGNGVVSSTEWKAVPDIWRTSAEKFGDRIALVDPYHDPPTNMTYKQLEQEILNFSEGLRVIGVKPGEKMALFADNSCRWLVADQGIMATGAVNVVRGSRSSVDELFQIYIHSDSVALVVDNPEMYNRIADAFSSQATLQFVILLWGDKSSLSSEVEGLPVYCYQEIIDLGQESRRALLSSQDARQQYAYEAISSDDVATLIYTSGTTGNPKGIMLTHKNILHQITNLWDIVPAVPGDRFLSILPPWHVYERSCEYFIFTYGTEQVYTTVKNLKDDLRRYQPHYLISVPLIYETLYSGIQKQFATSSAVRKFVALLFLRTSMAYMEAKRVYEGKCLTRSPEEPSYMVAVLDWVYARIIAAILLPLHMLARKIVYSKIHAAIGISKAGISGGGSLPSHIDKFFEAIDVKVQNGYGLTESSPVVAARYPDCNVLGSIGRPIQHTEVKVVDAETDEILPAGSIGIVKVRGPQVMKGYYKNSTATKQAIDENGWLNTGDIGWIAPHHSLGASRQSGGVIVLEGRAKDTIVLSTGENVEPSVIEEAAMRSNLIQQIVVIGQDQRRLGAIIVPNKEEVILAAKKLSILDSDASELSKDKMTSLLYEELRRWTSECPFQVGPIIIADEPFTIDAGLMTPTMKVKRDKVVAEYQEQIQNIYK >CDO98985 pep chromosome:AUK_PRJEB4211_v1:3:2289766:2291868:-1 gene:GSCOC_T00025979001 transcript:CDO98985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g19020, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G19020) UniProtKB/Swiss-Prot;Acc:P0C8Q8] MNFALRPKSPLHFSTLFSLLTYQSPRCLSSVTPLNQPPHPTEENLKGLRSSKPNYELLIISALKDYSSMLLIPKGQGIHGHIAKLGLDCNIFIRNSLISFYSKCGLISSAKRIFDDCEKLDAVSCNIMLSGYVKLRYMNDARELFDKMPGKNCVSFTTMIMGLAQNGSSSEAIKVFQEMMMSGVLPNEVTMASVITAFLHVAGGGFEKGRPLHGLVMKLGLVGFVIVSTNLVHMYCLRSHLRDASRLFDEMPEKNVVSWNVMLNGYAKAGLVDLAREFFERIDDKDVVSWGTIIDGYVQVGRLTEALTLYREMVCTGLGPNEVMIVDIISACGQSAAVKDGLQFHAVTMKKGFDCYDFIQATIIHFYAACEEVGLAWLQFKLGSKHHIANWNALISGLIRNGRIDEARVLFDEMPERDVFSWSSMISGYSQNEQPGIALELFHAMVAGGVKPNEITMVSVLSAIATLGRLNEGRWAHEYICDNSIPLNDNLSAAVIDMYAKCGSMSSALEVFRQMKDKASDVSPWNAMICGSAMHGHAELALRIFADLQGRKIKLNPITFIGVLSACCHAGLVEVGDQHFKSMKSVYNLEPTVKHYGCMVDLLGRAGRLKEAEELIRRMPMKADVVIWGTLLAACRTHGDMEVGERAAENLARLEPSHGPSRVLLSNIYADAGRWGDAFLVRKAMQTQGLMRSPAYSGVI >CDP03465 pep chromosome:AUK_PRJEB4211_v1:3:21096830:21097585:1 gene:GSCOC_T00015234001 transcript:CDP03465 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTISSPSFQELNHRGDEDEKLLTLSLFTNPISTAFQPICYSRASPSPSLHIYDNVPMNLQTASHQEGTISTHPQRKRKPPTQTPPPGKSENIPPPYPWATTRRATVHTLDYLLSNGLNRIRGEVQCKRCDEKYEMEFDLQNKFAEIATFIVKNKDSLHDRAPNVWMNPCLPNCKFCDQSNSAKPILTKKRSINWLFLLLGQMLGCCKLGELKYFCKHTKNHRTGAKDRVLYLTYLELCKQLDPQGPFGR >CDP15148 pep chromosome:AUK_PRJEB4211_v1:3:9576537:9577902:1 gene:GSCOC_T00042742001 transcript:CDP15148 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKILFFCICLALVLMIISQVAARELVETSNSVDNSKTDEANGLKEAKYPGGYGGYPEGGYGGYPEGGVWRVSWRRLWGIPRWWIWGLSRRKVWWISWG >CDO99106 pep chromosome:AUK_PRJEB4211_v1:3:3241464:3241919:-1 gene:GSCOC_T00026135001 transcript:CDO99106 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIRHRHRHRSLSFATISALLFLLLSSVSALTFNHARQFSKAGGMELIKRVLYRRRLTGPGSSPPTCRSKCGSCAPCKPVHVPIQPGLSRPLEYYPEAWRCKCGNKLFLP >CDP08108 pep chromosome:AUK_PRJEB4211_v1:3:31113167:31114061:-1 gene:GSCOC_T00026834001 transcript:CDP08108 gene_biotype:protein_coding transcript_biotype:protein_coding MILLVLDDVWNEDTEIWDRMRKCWREIGGLRGSRILVTMVQRLESCFNMQAPSIYQLSILSKDDSWKLFEKIAFSHGGGVVKTPELIDIGRKIVAKCGRVPLAVKAIGGLMYAKKHEREWSKIENSKTWVTMEEAGSRVKSAIKLTYDHLPSLSLKQCLLGCHRRSGKYDRTLDGTGIT >CDO98784 pep chromosome:AUK_PRJEB4211_v1:3:786436:794231:1 gene:GSCOC_T00025705001 transcript:CDO98784 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLSLCMPHSPNSLALDSCSSLTSPNLRFCGLRREISGFSVPSQLKRGQFIRAKRLIFPRKAQASPAKVSASSDDNGSPPKSFDYDLTIIGAGVGGHGAALHAVEKGLKTAIIEGDTMGGTCVNRGCVPSKALLAVSGRMRELQDQHHLKALGLQVSAAGYDRQAVADHANNLASKIRSNLTNSLKALGVDILTGMGRILGPHKVKYGKVGFSDNVVTARDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWVAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFATKITPAKDGKPVIIELTDAKTKEPKDTLEVDAALIATGRAPFTNGLGLENINVETQRGFVPVDERMQVKDKDGNLVPHVYCIGDANGKMMLAHAASAQGISVVEQVTGTDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAQKEGFEISVAKTSFKANTKALAENEGEGLAKLIFRPDNGEILGVHIFGMHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKSAKVNARGTRPIREPIAV >CDP11204 pep chromosome:AUK_PRJEB4211_v1:3:9157055:9157351:1 gene:GSCOC_T00033301001 transcript:CDP11204 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLDLGENCFLDQFGKNPISLTRFNFYPPCPWPDRILAVKPHGDASGTTYLLQDKEVEGLQVLKDDHWYRVPLTPDAIVFNCGDQLEVIKDSEINI >CDP19617 pep chromosome:AUK_PRJEB4211_v1:3:13883528:13894634:-1 gene:GSCOC_T00007799001 transcript:CDP19617 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNLAIILRGALSPNPDERKAAEESLNQFQYTPQHLVRLLQIIVDGNCDMAVRQVASIHFKNFIARNWAPHDPGEQSKILPSDKDVVRQNILNFIAQVPQLLRVQLGECLKTIIHADYPEQWPALLHWVKLNLQDQQVFGALFVLRILARKYEFKSDEERTPVYHIVDETFPPLLNIFNRLVQITNPSIEVADLIKLICKIFWSCIYLEIPKQLFDPNVFNAWMVLFLNVLERPVPLEGQPVDPEVRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNPDNKVFAQMFQKNYAGKILECHLNLLNVIRVGGYLPDRVINLILQYLSNSISRSNMYNLLQPRLDVVLFEIIFPLMCFSDADQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKENLQKFILFIVEIFNRYNEAVPEYKPFRQKDGALLAIGALCDKLKQTEPYKSELERMLVQHVFPEFSSPAGHLRAKAAWVAGQYAHVNFSDPNNFRNALRSVVAGMRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWKCLNTAEADDEADDPGALAAVGCLRAISTILESVSSLPHLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISMDMWSLWPLMMEALADWAIDFFPNILVPLDNYVSRSTVHFLTCKEPDYQQSLWHMISSIMADKNLEDGDIEPAPKLIQVVFQNCRGQVDHWVEPYIRIAVERLRRTEKPYLKCLLIEVIADALYYNASLTLSILHKLGVASDIFALWFQMLQQTKKNGVRANFKREHDKKVCCLGMTSLLSLPNDQFPAEALERVFKATLELLVAYKEQLAETEKEAAEDNDDMDGLPTDDEDDDGDVSDKEMGIDDEDGDEADSIKLQKLAAQAKAFRSTEDDEDDSDDDFSDDEELQSPIDDVDPFVFFVDTIKALQASDPLRFQNLTQTLDFHFQALANGVAQHAEQRRVEIEKEKMEKASSAAVGAS >CDP03415 pep chromosome:AUK_PRJEB4211_v1:3:22828004:22833767:1 gene:GSCOC_T00015146001 transcript:CDP03415 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVDALLGSTTEVLVEKAINLASEQIGQFVGFKKDLEKLKDTLIGIQAFLRDAEKEQVTKEFVKRWLENLERVAFDAGNLLDDVNYEMIRRKVEIQNQMKRKVCLFFSLSNPIAFRLEKNRKTTSLFVDQTFVGRDGDVLEIVGRLTAPSNNENISVQPIVGMGGIGKTTLARQVFNDPKIVNHFAKRIWVCVSDDFDVDRLFDFMLESLGGQKPGGGVRQLEAKVQDLKNLLDDKKFLLVLDDVWDKESTRWNWNEFLGSLRGTSQAMGSWILVTTREQQVVDITRISSPQGYSLKQLSDDQCWHILKENAFCGGEVPDGLQDIGLKIAQRCRGLPLAASVLGGMLRNKEPDEWQSLESGFQSLGGDENVDVTNTILKLSFDHLPHPSLKKCFAYCSIFPKDFQMERNELIQLWAAEGFLHSNPRNDMHMEEVGNRYFTILLDSNLFQDAEKDDYGNVLNCKMHDLVHDMVQSISNSKTLRLTESGSVLMETSSIRYLALERSEKEMTFSSTESFKQLHSFLDLFDALPPPHPYFPFLSWLLLYGWPHWESLPEQLQHLSALTTLALSDFGVKSLPDWFGKLSSLEELELSNCRKLENLPSHQSMRSLTRLRKLWITHCPLLKERCDPESSSTDPNSERSKISHIPTIVIDWENIRG >CDP15135 pep chromosome:AUK_PRJEB4211_v1:3:10486467:10489286:1 gene:GSCOC_T00042717001 transcript:CDP15135 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSWHVCIQKICRTINNQQRRMTSILVQILSLVLSLLPMVTSLQHHATTGCIPVEREAIITFKEALIDPSNRLASWTGEDCCAWPGVTCNNKTGNVVRLELRNGECYEEGETAILTENSSCLGGKISPSLLKLQHLNYLDLSMNNFQGSLIPEFLGSFEELSYLNLSYASFEGLVPSHLGNLSNLQYLDLYFYLSNNLISGSIPASIGSLRSLEVLDLSYNPINGSISESIGELTGLKRLYLFQDSREGSLSQKHFHRLNNLETLALSSSSKQLFLNVSNDWIPPFNLKFMRITNYQIGDRFPTWLMTQKQLSTLYLQGVGLSETVPNWFWELTPQIIRLDISDNRIAGVLPKSLEFPRGAWVDFSSNRFEGPLPLWSNVSYLSLANNSISGSIPESIGEQMPYMTYLNLSGNFMNGEIPFSMGKMKYLTELYLSNNKFSGEIHDNWGNSQALLIIDLSMNNLSGNLPSSMFLPTYILWLKLNGNNLSGELPFGTTINSTYLSLLDLGDNRFSGKIPRWIGERLTSLTVLRLRNNKFIGSIPQELCSLQMLHVLDFADNDLSGHLPICLGNLSGFHDVMLYHKVPPDVPYAFVPQLVNIIDLSSNHLQGDIPEEVSNLLALGTLNLSRNQFTGKIPKKIGSLSLLETLDLSWNSLSGSIPPAMSHMTSLNFLNLSHNNLSGPIPSTNQFLTFQDPSIYGGNPGLCGEPLPTKCQILTGQNSNGKGQIDDDKNEKMHEKFWLFFLTGLGYALGFCGSLVMTTFRRQCLLKVLG >CDO99247 pep chromosome:AUK_PRJEB4211_v1:3:4699138:4706727:1 gene:GSCOC_T00026331001 transcript:CDO99247 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGRKIGSGSFGELYLGVNVQTGEEVGVKLEPLKTKHPQLHYESKLYMLLQGGTGVPHLKWFGVEGEYSAMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSHPSEFISYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIASSSRAVKNPVRQEVRDKYESFGRRNSSGAGLHGDPLRHRASEDVPSSKEVLTDSERGRVARTGSTSKRAVASSSRPNSSSEPNENRPGRLGSSGTGRLSTTQRLQPGFESKSSSFTRVAALRGGRDDTLRSFELLTIGSGKRK >CDP11149 pep chromosome:AUK_PRJEB4211_v1:3:7888323:7889275:-1 gene:GSCOC_T00033208001 transcript:CDP11149 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQRPPGGNNPPFWQKPSGAVFQVPRPEGEAPRTVFNQSSTSSTPDIGTLPVSGVIVKLRNVLLV >CDP15116 pep chromosome:AUK_PRJEB4211_v1:3:10669613:10674289:1 gene:GSCOC_T00042692001 transcript:CDP15116 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTFKHSFPYLLILLQLAFLALTTPTNITTDQYALLSLKPLITSETLAKNWSAAFPVCQWTGITCGARRYRVTGLNISNMGLTGIMPPTLGNLSFLVSLDLSGNNFHGQLPHELAYLRRLRFLNLGINDLSGEIPSWFGYSFPKLQYLNLANNSFLGSIPPLIFYNISTLEQLRLSFNNLEGTIPNEIGKLQNLKKLICERNQLSGSLPSEIFNISSLEMIALRDNHLSGSLPGDMCRRLQRLFWLNLSENKFSGNIPSTLSQCSALQMLSLSINNFTGIIPNEIGNLTELQLLYLGSNNLEGDIPGEIGKLTMLKGLSITTNHLTGKIPREIGNLTMLESLSASENNLTGLIPQELGNLHNLEILQIQNNSLSGSIPVRIFNLSRLIALSFSANGLSGNLPENIELPFLRDLILSMNYFGGVIPNSISNSSQLTRLELSGNQFSGSIPCSLGDLRLLQYLGLHLNKLNGDPSSPELSFITCLTNCKSFKIIEVSLNPLLNGYLPESIGNFSSSLESFLISGCNIKGMIPSGIGNLSGLINLAIDSNQLIGPIPDTISHLQKLQALYANNNKLSKVMPDKLCSLQSLAYLILSQNQFSGLIPECLGNITSLRAVYLDSNRFHSSIPATLLNLKYILELNLSTNSLSGIMGLEFGNLKAARYIDLSINSLTGALPTTLGDLQSLQNLVLAHNTFQGSIPESIGNILSLELLDLSHNYLSGLIPPILVKLQYLTRFNVSFNNLSGEIPSEGPFKNFTEMSFISNDGLCGASRFHVPPCPTISTKRSGAKKKHILIFVLLGVVVILVIVVLGFIIYRRYRKKYEVPPKIDLPSRVTRERISYYELRRATDDFSESNLLGTGSFGSVYRGTLGDGRTVAVKVFNLLLEGASKSFDAECEVLRNLCHRNLTKVISSCSNDDFKALVLEFMPNGSLEKWLYSHNNFLDINQRLNIMMDVASALQYLHDGYSEPVVHCDLKPGNVLLDQDMVAHVSDFGISKLLGHEDSNTYTKTLATLGYLAPEYGSEGLVSRKCDIYSYGILLMEVFTRRQPNDEMFGESLSLRSWVNDSVTKSLEKVIDPNLLITADEVKSTVKTDCVSSILKIALNCSEESPKNRCSIQDVLIALEKIKLQMLTCC >CDP10276 pep chromosome:AUK_PRJEB4211_v1:3:12004819:12007902:-1 gene:GSCOC_T00030952001 transcript:CDP10276 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDEEGSEEYLFKIVIIGDSAVGKSNLLSRYARNEFNPHSKATIGVEFQTQSIEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDITRKDTFESVRKWLDELNAHSDSTVAKMLVGNKCDLESMRQVSVEDGKSLAEAEGFFFIETSALDSTNVKQAFEIVIREIYSNVSRKVLNPDMSKTELSVNRVSLVDDGAKGWGNYTCCSG >CDP03435 pep chromosome:AUK_PRJEB4211_v1:3:21858322:21859467:1 gene:GSCOC_T00015191001 transcript:CDP03435 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFGLHLLTGRWFTLFASLLIMSVNGGAYLFGVYSNDIKSTLGYDQTTLNLVSFYKDLGSNLGIISGQINEVCPPWVVLAIGAVMNFFGYFMIWLAVTGRTPKPQVWQLCLYIFIGADSQAFAHTGSLITTSIILLVGWLPAAVSVVFLPAIRLLRVVKQKNELRLFYSILYISLGLASFLMVIIIIQKRLTFSRSEYIASAVAVLILVFSPLLVVAKEEFSTWKNKRLLLPSSDSSRHIQVEPAATQVSPQTPGDSKCLPSTRTRGGLHHFASSFQFGPVNSSYSTSCGIGGALTAIDNLGQIGKSLGYPSNSITTF >CDO99015 pep chromosome:AUK_PRJEB4211_v1:3:2520279:2522740:1 gene:GSCOC_T00026018001 transcript:CDO99015 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLARYLLLPLLLNFVLFISHTVKCADEEQNLLQQINSYRASLNLTALKENDKAKCLADGMADNFKDQPCTNTTGANTVPGTESQFSDYPNILAKCHLNVTTTRDGAIMPACVPNLNPTIVLANFTDTQYANNLNDTKFTGVGIGSKNDWIVVVLTTDTPEGSYVSADNNSPNFAMQPGPVYYTLFLCVAFLLLM >CDO99040 pep chromosome:AUK_PRJEB4211_v1:3:2746474:2748194:1 gene:GSCOC_T00026052001 transcript:CDO99040 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQASLFTPTLSSPSDRVTVPWKQSSLVAFASPKPIKTNMATRTIRAMAEEASTAAAPAGFTPPQLDPNTPSPIFAGSTGGLLRKAQVEEFYVITWDSPKEQIFEMPTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKISYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGLNLRSIGKNISPIEVKFTGKQVYEL >CDP16564 pep chromosome:AUK_PRJEB4211_v1:3:25810628:25820641:-1 gene:GSCOC_T00018960001 transcript:CDP16564 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGFLRNAISIPVLCSSSRDPLFFSLKFFTRPITTQENNWDTFTDVDCNFQEFESFPPEKTPASSFSPQEYSFLRDSLLENPGTEATSKAQNLETGNCSDDALLILDAVKNNDDGFGDKTQKFLRQFRHKLDEILVVDVLKNVQNVQLGVKFFIWAGRQIGYNHGLAVYDALLDLLGCNRNDRIQENFLQEIKNDDREVLGKLLNVLIKKCCRNGLWNLALEELGRLKDFGYKPSRATYNALVQVFLRVDKLESAALVHREMLDLGFKMDAYTLLCFTRSLCKEGKWREALDLIEKEEFVPDTVMYTSMISGLCEASLFEEAMNFLNIMRCNSCIPNDVTYETLLCGCLNKRKLGRCKRLLSMMITEGCYPRPKIFNSLVHAYCRSGDYSYAYKLLKKMVLCGHQPGYVVYNILIGGICGNEELPNSDVLEIAEKCYDEMLDRGVVLNKVNVANFSRCLCGVGKFEKAMKVIREMMRKGFIPDVSTYSKVISFLCNASKLDNAFLLFQEMRGNGIVPDIYTYTMLIDNFCKAGLIQQALSWFNEMVKDGCKPNVVTYTALIHAYLKARKMSDANDLFEMMLTEGCLPNVVTFTALIDGHCKAGDVERAYQIYARMVGNENIPDVDMYFRGSDESAKEVNVVTYGALVDGLCKVHKVKEACNLLDVMSTQGCEPNHIVYDALIDGFCKAGKLDEAQSIYTRMLERGYNPSLYTYSSFLDRLFKDKRLDLALKVLSKMLENSCAPNVVIYTEMVDGLCKVGKTDEAYKLLLMMEEKGCQPNVVTYTSMIDGFGKVGKLDRCSELFQRMSIKGCAPNYITYAVLINHYSVAGLLDEAYQLLEEMRKTYWPVQMASYRKVIEGFNKEFITSLGLLTDISQVDSVVPVIPIYKLLIHSFNKAGRLEVALELLEEISSSSSSPSTMANMYSSLIESLCHSHKVEKAFELYVDMINRGLIPELGVFVNLIRGLINVNRWENALHLSESLCYMDIQWLPCDNTRGVS >CDO98859 pep chromosome:AUK_PRJEB4211_v1:3:1323604:1329773:-1 gene:GSCOC_T00025803001 transcript:CDO98859 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESINRILAELEAQNPLSAISLKDLQTLLDYTLRTNDSTDLESFYAEISSRNLSLTSLTSSISSLMDSTGPTRSTLSLLASNVYLSLLLSPNSPVLTLFTPMAFVSLLRSIRWAFKNPSLVSNDGPGGDNRGRKKRGGGRLGVGKNKGKQVEESENDGEEGVIEARVFFSVLERLESVLGLVHLDRFPDSLRSLVQTVAEIPVVAVEVCRSSGSYEKLCELSSQILSEVLKAEHGDQTVSAAEVLKCLTPLMFLPKSQAKGFGLQFVVNRMMRLANHSSQIKKAVVNLPKYLVHKAPEKAEPRALAVESIMEIVKVLAFEDQVEFTHYVVKMSQGKGQFRLLSVDLILMLMTTLRDPLGLDSGDKVENLWGLTCLEALIERCSDVTAGIKARALTNLAQVVGFFSGNNRSRALLKEVMGFGNEGLSSTKCRMNDLLLKRCMDEKAAVRKAALFLISKLTVLLRGALDGELLKIVGMACSDPLVSIRKAAISALSELSIFTCMILQFLKHLFAFRLFCDTNVTKEWLHSVPPLIADNESSIQEECESLFSELVLDRVSKVPSDTTSHHHSFTDKSNGKSRILDREIELLCPEGVLCILKETCDAEVTSWVKKICTSLGKKKRLKPKIATALQNIIRASESLWLSHSMPIEKWTAPPGAWLLLSEVSAFLPKEVEWEFLHHHWQLVDKYEPAGELRSPHEKRDGNEEMDIEPNSVAWAGDRVFLLQTISNVSVKLPPEPAADLAHNFLKRLEEFNMHSTEVNAHVKALRTLCKRKALNPEEADSLVIKWVHQLLSKASHVLDMYISQDSNKNKNTFLTPYSGGTRHGKAVTASMSKLLLQAITAVYTIGSLVIICPSADLKAIVAVLHTIITSGNSDSKSKISVGPAFSVKEVAPSLYIQAWLTMGKICLADGKLAKRYIPLFVQELEKSDCAALRNNIVVMMADFCVRHTALVDCYMTTITKCLRDPCELVRRQTFVLLARLLQRDYVKWRGVLFLRFLLSLVDESDKIRQLAGFLFGNILKVKAPLLAYNSFVEAIFVLNDCNAHTGRSNPQNSKSENQVFCIRGNDDQSRSKRMYVYVTLLKQMAPEHLLATFAKVCAEILAAASDGMLNLEDITGQSVLQDAFQILCSKEIRIPSIRASSSDSAELEEDGGEGGGSAAVAKGRAITQAVKKGLIQNTVPIFIELKRLLESKNSPLIGTLMECLRVLLKDYKNEIDEILVADKQLQKELTYDMEKYETVKAKSAAAEAIATRQRSETYQSPGDPRIARSGPSQEPLHSHSKVSSAMASAVAATRAQAVLKQVNQGASTPPLSAMSVPKLKSRTNAVTRGEKSSDLIESLRRRQSFDSDEEN >CDO99065 pep chromosome:AUK_PRJEB4211_v1:3:2931184:2931744:-1 gene:GSCOC_T00026085001 transcript:CDO99065 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSQISPSPSSSSVPIISPKLHPSKITLPIKSQPTFTKLINHIPLVTTARKPRPIIFKATQESTNNTSTSEAETKSPGNKPEFGDRVTPDGNSSEGDEVSQLGAEIKKAMQERAEKEQDFLWSGVAEEVKEIEWPAFNKVLGTTGVVLAVIAGSSVVLLTVNAILAELSDRVFAGRGIQDFFS >CDP15119 pep chromosome:AUK_PRJEB4211_v1:3:10640386:10641786:1 gene:GSCOC_T00042697001 transcript:CDP15119 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCHFLIVTFPAQGHINPTLQFAKRLAKLGVKTTFSTSLGAINRMNKASGSLPEKLSIVAFSDGYDHGWNPNDDFGRYMTSAATRGSQTLKELIMAQSNEGHPITHVVYTILMSWVGQVARQLQIPSTLLWIQPAAVFQLYYCFFNGCAEIIGDISSSKTIKLPGLPTLASRDLPSVLLASNPDVYSFALPAINKHFELLQEEETPTVLVNTFDALESETLKAAVKLKLVAVGPLIPSAFLDGRDSSDSSFGGDLLQETKDCAEWLNSKNGASVVYVAFGSFSDLPLKQLEEIAQGLLQSKKPFLWVIRKSPKGEKLGEKLSCKDELEKQGLIVSWCSQVEVLSHPSVGCFVSHCGWNSSLESLASGVPVVAIPIWTDQTTNAKFIQDVWKTGIRPMGNEEGTVEADEIRRSLEIVMDGGVRGEEMRKTAKKWRELAVEAAKDGGSSSLNVKAFVDEVAAGVIRE >CDP10360 pep chromosome:AUK_PRJEB4211_v1:3:13777825:13778373:1 gene:GSCOC_T00031069001 transcript:CDP10360 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIYVSKHGDDNIIVSPNEPPIVHLQNKAYEFPLILVIFHKRCLEICKISMFFFWVKVKFQWLFSKQVELALIKKFARLRIKITRVNYCFQGDCCLVTSLQTVASKTSAMQPSSLLRTKNSMPWVYFLVASFINCSKKFIALDLTLPLSHLFSTPQGKKILFFIWLVSSSHATPSRCSCF >CDP10255 pep chromosome:AUK_PRJEB4211_v1:3:11506152:11506995:-1 gene:GSCOC_T00030922001 transcript:CDP10255 gene_biotype:protein_coding transcript_biotype:protein_coding MEIICNQHRRRTQFNSPAQTTGAAAVLLHKQAAEREERMIIIRNQRDYTDQIIKGIILVMLTARTDTLSMTIEWTLSLLLNHPKVLEKARAKLDAQVGIDRLVDEHDLSNLPYLHNIILETLRLYPVAPMLVPHESSDDCKIGGYNILRGTILLLIQFGMGRRSYPGSGLTQRVVGLALGSLIQSFDWKRIGEEEIDLAEGTRVSMPKAKPLEKMSFGN >CDO99318 pep chromosome:AUK_PRJEB4211_v1:3:5354781:5360118:1 gene:GSCOC_T00026429001 transcript:CDO99318 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFHLPVTAAQVGTYFVGQYYQVLQQQPEFVHQFYSDASTVLRIDGNTRDTATSMLHIHTLIMSLNYTAIEIKTAHSLESWNGGVLVMVSGSVHVKDFKGRREFVQTFFLAPQEKGYFVLNDIFHFVDDENHVQHSVAYLPQSNLDSKLNAPTGIREQVPNYVLGGDIQGREFVAASTIEENGPVDSYNFPDERLKNVPEADKILEDNFAVQSNGSLQSAMNSVQDHLSIAVDEPVGEPQKHTYASIVAKGQTAQAIPPQSAFNKNAPASTDWHHVSDPPSQLPIESSNSVERTGMDHVEEASGAEDEVEVKSVYVRNVPTTMGPSEIEEEFKKFGRLKPDGVAIRTRKDIDICYAFVEFEDIAGVQNAIKASTVQIAGHQLYIEGRRPNRGIPIRGRGRGRGRISYQMDGARGRFGGRGFGRGNSQDGNDRDYIRPRANGFYRQGPRQERVFSSSHQGLRNGQSSSE >CDP08066 pep chromosome:AUK_PRJEB4211_v1:3:30311423:30321682:-1 gene:GSCOC_T00026765001 transcript:CDP08066 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFKGLTCFTKQLKCSTSVLGRLENGVLVAKNDSFLSSLAQRGYVAATSLVRDGYTSAHPQKISNSARDTPWKIKLPFGLSRNTLGPEKCAMSISFRHNHGRNSDPDLSRDFLVQLWVEDNKRLISEENRSRKIRKHLHNDVAPVGSQSSFEVPRGSFEEMKSALEQPPTSQPVTGFLKPTSLEEALVAPLLARSNLLITRDIEWANLTVGFEQENRYAVVDLCYPHSPVGYIREKSNVIARQLLRSRRPFSASITDALGSELFRVRRPFWWITSSIYTEINGKEIGVVHRRWHLWKRIYDLYLGNIQFAVVENPGFWNWTFTLKDINGEVLAEIDRNWRGFGFELFTDAGQYVIRFGTADPSYPDGESQELQVNHPLTLAQRAVAVALAISLDNDYFSRHGGVSIPFFVVGE >CDP03463 pep chromosome:AUK_PRJEB4211_v1:3:21137573:21147790:-1 gene:GSCOC_T00015231001 transcript:CDP03463 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNSSMTKHHQEAASVARSYLHHHSGGGGGGADHGGGCLKQPPSVVQQSAAAASPSAVVCSYNTNNNGKGSGVSSGAGVLESSYNTSTATTRFQPNLHGISMDWTPDEQAILDEGLKTYAAESIIARYAKIAVLLKNKTVRDVALRYRWMTKRDFSRRRKDDLSLRKSKERKERATDPSAMSSQALMQPAIDGVTQELLRQNARAFEQISANLATYQMHNNIGIFCQARDNISQILKRINEPPYMMKQMPALPVKVNEHLANSILPHSTHPMQ >CDO99152 pep chromosome:AUK_PRJEB4211_v1:3:3781731:3785215:-1 gene:GSCOC_T00026199001 transcript:CDO99152 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVSLALGTVTDLLAEEARFLSGVADQVKELEVELIGMQRLLKDADKKQLNDSTVRNYVRKIRRLACRTEDVLEEFAVEIESRRRGHGFRKAFRRFAGLVSEGTALHRVGSEIASIKAGINSLTKNLQTYGVIALSSTEDGQSSNARLDQNQQRLRQTYPHQVEEYFVGMEDDIRQLVSLITDEGIRSHRVISVYGMGGLGKTTLARKIYKHIEVERAFKQFAWVSVTQQCNTMTVFRDLLKQLVPDERKASVEKMDERELVGELYKVQKETKSLVVLDDLWEIEDWKRLSVAFPFAEADSKILITTRNQKLAEVEFPYPLNLLNEDEGWELLQKRAFAKRNGADCESDPRLEAVGRAIVRKCGNLPLAISAIGGVLSQKTSLEEWETVKNDVDSYIRMSEGGKEEGYGAVLQVLALSYDELPYHLKPCFLYLGQYREDEDIDAEMLYRMWTAEGMISSDHRRKGETLTAVAERYLYEMASRSMLQVKFYEFSTSRKVESCYLHDLMRDFCLARGKEVEFLKLLDFRGGNDPLSDYSTERDDCTPRCSIHMEDGKKHCLGDVDSMISMALEASGQLRSLTLSGGTERRTASISFPEVICDSTKFKYVKVLKFEGYRFMGKGLPKGIKKLVSLRFLSVKDSDLETLPSSIGQLQYLETLDIRVFPTITVPDVLCKLKGLKHLYFSPRTEVEGGQLSFLGLSKLETLVGFDDDVGDLKHLSGLNNLRFLGAIVNIRKEKNDLPQMLKYLNSNRHNLREAQLAIYADDNNVVLPFLDLLSCHCLHQLSLWWGRCEFQKVEPPLSPSNLSELSLLQCSIEGDPMSVLGDLPNLRRLLFLLVDLVDRNVMIIDANAFPKLASLEIIGIKNLEKWVVAQGCMPNLSHLTIDRCEALEMIPDGLRFITTLRKLEIKMPEEFIVQRIHGIDGRGGPDRDKICHVPVIAIQSVLPPKNSWD >CDO98905 pep chromosome:AUK_PRJEB4211_v1:3:1720958:1723112:-1 gene:GSCOC_T00025862001 transcript:CDO98905 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGESKHAVATADTVSQSKRSNSKKANGQENPTVIERATDDVKANTNASLEEPKKGAKVVVNEGENVNKDSGGAIVKESEIPKADDVTESEVPKADDVKESDHQGKGETVVETVKEKETAVVPEDTKDESEKKDLKEESTEEKKKDEAGPDSPPKKAPSEQQTDANEIVEDKGPTTETKSEEALTENKGNQDKEGEAAVQTTEAKAEKAPDAKEEHATESSEKDPKTT >CDP19162 pep chromosome:AUK_PRJEB4211_v1:3:31762521:31764147:-1 gene:GSCOC_T00001672001 transcript:CDP19162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterotrimeric G protein gamma subunit 2, Regulation of abiotic stresse [Source: Projected from Oryza sativa (Os02g0137800)] MDMVSASEANNNNNEQNARNPTTTSSSSSSSSPLPAVVVSSSSSSSSLRGGETRHEPRPLSGIGGVGGTHPGFMGKHRMTAAIGYLDQQIQIIQEELDQLDTLGQSSVVCKELITSIESVPDALLPQTKGPVETGWDRWFQGGHGSRNRKKWI >CDO99317 pep chromosome:AUK_PRJEB4211_v1:3:5348729:5351854:1 gene:GSCOC_T00026427001 transcript:CDO99317 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAVTSSFTASMQSLNCIRFKNWPCDPALKVVSSRMLQVASISHSLGIESSILIGPLSNKWRASRIAAAVAQEEAAVLAPEEATASEQVEEVIQEEKEVEEVSSEAEVAQSSSVNTKLYFGNLPYNVDSAQLAGIIQDYASPELIEVLYDRETGKSRGFAFVTMSTVEDCNVVIQNLDGREYGGRTFRVNFSDKPRPKEPLYPETEHKLFVGNLAWSVTSESLTEAFQEYGNVVGGRVLYDGDTGRSRGYGFVCYETRAEMDAALNSLNGVELEGRPMRVSLAQGKKH >CDP15138 pep chromosome:AUK_PRJEB4211_v1:3:10344946:10346566:-1 gene:GSCOC_T00042722001 transcript:CDP15138 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKNLLFLIGVLFALVLLISSDATAADQKSVKTTGEVHQDSKSEDRCRHGCCHWYHGHCQRCCRTAEETPEVTSGDEDTVTADRCRHGCCRWYHGHCQKCCPPEDRCRHGCCHWYHGHCQRCCPPAEETPEHRCRHGCCRWYHGHCQRCCPPAEETCRHGCCHWYHGHCQRCCRTAEQTPEATSGDEVKN >CDP11141 pep chromosome:AUK_PRJEB4211_v1:3:7797365:7803689:-1 gene:GSCOC_T00033196001 transcript:CDP11141 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLAPSNPSLIDFSSENLKPGTSIWISASKQVKCALENHGIFLVTHDKISSEVKNGFYQAVKEFYGLPTEKKCQFTSDKPYSGYLGKAADEFPSLKLLLLKFQLFESKQRLGQIIFPQTRSAHKTGRVSGLIRLMISEIMQSYSKQVAELHEVIIRMVFESYGVEKYYESFRESIAYVCRVNKYRAATKFTEKYVGLVAHTDLSFMTILHQNQVNGLEVRSNDGSWIPVDFPPSSFAVVAGDALMAWSNGRIHSILHRVMMASEEPRYSILLFAYKKGMVEAPEELVHEQHPLLFKPFDNLDLVSLAYAERVIVTEDKLKVLLALKDAICPS >CDP11143 pep chromosome:AUK_PRJEB4211_v1:3:7825370:7833202:1 gene:GSCOC_T00033200001 transcript:CDP11143 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLENSGKNNPPQNVKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNVIYICGTDEYGTATENKALEEGVTPQEICDKYHAIHKSIYEWFDISFDKFGRTSSPEQTKVCQAIFKKLLENNWLSENTMQQLFCDTCQRFLADRFVEGSCPHCNYDSARGDQCENCGKLLNPTELLDPKCKVCKSAPHIRDTDHLFLELPLLKDKLEAYINEMSVAGGWSQNAIQATNAWLREGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITACYTTEWEKWWKNPEEVELFQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYESGKFSKSKGIGVFGNDAKDTNIPIEVWRYYLLTNRPEVSDALFTWDDLRAKLNNELLKNLGNFINRVLNFIAKAPGLGYGSILPDAQDAESHPSTKALGDKVGELVEQYVEAMEKVKLKQGLKIAMAISTEGNGYLQENEFWRLYKDDQPTCSIVMRTSAGLVYLIASLLEPFMPSFSIEVLKQLNLPLEQISLCDEKGDIERAKRPWEILSAGHRIGTPKPLFKELKEDEAEMYRGKFSGSQADRADRKLKEEAEAKISEQLNKAKLSVVLECFPSVYLDILSLSKYVRQPFLLYATLLGFSKVEFDLLFRTILLHFLQLTNIRFNGASFCCILFPWLFSLISNGWMSPVQSSTSEVNSQASAVLSLFDTFISISASVVSYRIQHQLSLIEFSILNVVFCFVTPLTIVNGNAKKGKPKKSAQGASKTASLAEAEISVSRLDIRVGLIKKAEKHSSADSLYVEEIDVGEPQPRKVVSGLVKYIPLEKMQNRKVCVLCNLKPANMRGVKSEAMVLCASNSEDTKVELVEPPEGAVIGERVKFPGCEGSPDDQLNPKKKVWETVQPDLRTDEELVACYKGIPFTTSAGVCKVSSLNEAKIR >CDP16835 pep chromosome:AUK_PRJEB4211_v1:3:16559147:16559745:1 gene:GSCOC_T00019374001 transcript:CDP16835 gene_biotype:protein_coding transcript_biotype:protein_coding MATISLRKGNTRLPPEVNRVLYVRNLPFNITSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEEEITKLQEKYGVGLAAKDK >CDP10353 pep chromosome:AUK_PRJEB4211_v1:3:13557807:13559346:1 gene:GSCOC_T00031060001 transcript:CDP10353 gene_biotype:protein_coding transcript_biotype:protein_coding MLANTNIHIKHFKDQISGSSTIQSLPNSYHSLRAPEVSQTSSRMLSKGKMPIAREIMVGLDDEAAKVIRRLVRGSKQVEIVPIVGMAGLGKTTLAKKVYNDSSVTCYFHIRLWCTVSQEFNMKNVLLQILCSDGKHSRKDEELKKLNENELLEKLRQRLLKNRYLVVFDDVWDIEVWNELRIAFPNDENGSRIIFTSRFSNVASEVQYGGEPHKIRHLTVKESFKLLQKKLFGEEEECPQALHGLGMEIAEKCWGLPLALVVVAGVLATKEHDILVWEKFAESLTSTMVSGTDQCKKSLELSYEHLPYHLKECLLYFAAFREDEKIGAKKLMRLWIAEGFVEIIEGEKSEDVAEKYLMDLIGRNLVMVFL >CDP08086 pep chromosome:AUK_PRJEB4211_v1:3:30787270:30788877:1 gene:GSCOC_T00026801001 transcript:CDP08086 gene_biotype:protein_coding transcript_biotype:protein_coding METTPSTKLSYIFLCSLVLIFSMPWSTRAEIHDRFLQCLHSQNNDSISQVIYTPTNSSYNSVLQSSIQNIRFISPTERKPLVIVTPLSDFHVQLVVNCAKSNGLQIRVRSGGHDYEGLSYLSYYLQPFVIVDMRNLSGISVDTESKTAWIGVGVRLGELYHAIAEKSPNLGFPAGTCPSVGSGGHISGGGEGALTRKYGLAADNVIDAKIVNAEGAILDRKSMGQDLFWAIRGGGGASFGVILAYRLQLVSVPSTVTVFTVNRTLEQNATKLVHQWQQIGYRLDRDLFIRIFITQARSGGKLTSAVYFAGLPSGSTVNDLVRSTPYPKTYYKAKSDYVLEPISEVALEGLWKRFFEEEAERAQLILSPSGGRMFEISDTEIPYPHRAGNIYQFQHLVYWTEEENANSQRYIDWIRRLYKYIAPFVSRFPRGAYLNYRDLDLGANREGNTSFAQASVWGMKYFRKNFYRLAHVKQEVDPSNFFRYEQSIPPFLSS >CDO98820 pep chromosome:AUK_PRJEB4211_v1:3:1036036:1038415:1 gene:GSCOC_T00025751001 transcript:CDO98820 gene_biotype:protein_coding transcript_biotype:protein_coding MTWELAESGKHFITTIINGSDLVPTFSTASVDDLHSEVTASSWLSDLRDQVEHNRVLNVIYRSASAVGTRLPSIANAKARVAVAGAFLRPVSSSTKVVMKRAQDVAQAVVKTRTSFSSWTCMGPRRRVVNAPSDPKANDMSEASSLISETVSVSSMTEVVNRESESNKMEFCSSNGGSAHEETDEEEDLIQVDRVIATSTLEDITQGELWYELEKELQRQVNEADIQTQEEEAAAGEEISEEEKLLADAVESQTPISSLDVSENLQFYPPGRIMHIISVPSSEASEFGEDDHKPEQVGIYETPRELYSKLRLSRTMINDHYMPMYKKMMELLIKELENEEEDVAV >CDP10303 pep chromosome:AUK_PRJEB4211_v1:3:12402439:12409177:1 gene:GSCOC_T00030984001 transcript:CDP10303 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEEVKVPRIKLGSQGFEVSAQGLGCMGMSAFYGPPKPEPDMIKLIHHAISRGITHLDTSDIYGPHTNEILIGKALKEGIRAKVELATKFAISYQDGKVDVRGDPAYVRACCEASLKRLDVDCIDLYYQHRIDTRVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWARDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLVENLAEGDCRKDMPRFQAENLEHNKKLYERANAIASRKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLNQNIGALSVKLSAEEMAELESIASAGVKGDRYAPGLGTWQTSETPPLSTWKRT >CDO98792 pep chromosome:AUK_PRJEB4211_v1:3:838617:840003:1 gene:GSCOC_T00025714001 transcript:CDO98792 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFALRHSRLALAAFYILISLGKFGKSQGTPSETAAAGVLANEYLPGQVGANQGYPQAGNVDKDPDHIVNEALLCFDEKQIYSSCSEAYRLSSSGDLNVPAEYTDQYCNGPCVSETHLVLNCIEGVLLQFKFYNQATISDVRETIKSGCSYGSKRGDFNVAERIQEEHSNGHRTNFSLFLSGLVLIIMTRRLML >CDO99412 pep chromosome:AUK_PRJEB4211_v1:3:6589128:6597624:1 gene:GSCOC_T00026576001 transcript:CDO99412 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALLTPPTTPTPSPFKTHIPKFNLLPKRVPNFTIQASTTQTAQPPLPPQTTDLSEKLNKYSSRITEPKSQGGSQAVLYGVGLTDDDLHKPQIGISSVWYEGNTCNMHLLKLAEAVKEGVKEAGMVGFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMAAQWYDGNISIPGCDKNMPGTIMAMGRLNRPSIMVYGGTIKPGHFQGHTYDIVSAFQAYGEYVSGSVSDEQRMNVVRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLDECRLAGKYLLDLIKMDLKPRDIITPKSLHNAIVMVMALGGSTNAVLHLIAIARSVGLQLTLDDFQKVSDKVPFLADLKPSGKYVMEDVHRIGGTPAIIRYLLELGFLDGDCITVTGKTLAENAKLFPSLSEGQQIIRPLANPIKQTGHIQILYGNIAPEGSVAKITGKEGLYFSGPALVFEGEENMLQAISENPASFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDVALLTDGRFSGGSHGYVVGHICPEAQEGGAIGLVENGDIITIDIQKRRMDVDLTDTELDERRKKWKPPTYKADRGVLYKYIKNVQSASRGCVTDEYY >CDP14670 pep chromosome:AUK_PRJEB4211_v1:3:15477641:15480900:-1 gene:GSCOC_T00042076001 transcript:CDP14670 gene_biotype:protein_coding transcript_biotype:protein_coding MAANMLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNSVRTVSLTSFLIFFVSLLVVLVIFDV >CDO99277 pep chromosome:AUK_PRJEB4211_v1:3:4990124:4996080:1 gene:GSCOC_T00026370001 transcript:CDO99277 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDGISDYTQDGTVDLNGNPILRTKRGGWKACSFIVVYEVFERMAYCGISANLILYLTKKLHQGTVTASNNVTYWNGTIWLTPILGAYVADAYLGRYWTFVIACAIYLSGMCLLTLAVSVPGFRPPPCHAANVSDCPKATTLELAVFYTALYILAVGTGGTKPNISTIGAEQFDDFDPKEKIQKLSFFNWWMFSIFFGILFGNTVLVYIQDNVGWALGYGIPTAGLALSIAIFLFGTPFYRHKKPAGSPFTKMARVIVAAIKKWRVPVPTHPKELYELDAEEYAKKGKFKIESTTTLRYLNKAAVQTGSTSMWMLCSVTEVEETKQMLKMLPILMTTFLPNVIVAQSYTLFIKQGTTLDRGMGKHFKLPPASLGAFVTVTMLLTVVLYDRVFVKIISKWTKNPRGITLLQRLGLGFVLHIIIMIVASLTERHRLNVAKEHGLDQTGGQLPLTIFILLPQFILMGVADAMTEVARLEFFYDQAPESMKSLGTSLSMTSVAGGNFLSSFILSAVSRITREGGHKGWIQNNLNTSRLDLYFAFLSVVGFLNFLFFLVVTKFFHYKAEISDSMEVLKEELEGSRKDAYQVELADNKR >CDP19076 pep chromosome:AUK_PRJEB4211_v1:3:31974324:31979726:-1 gene:GSCOC_T00002098001 transcript:CDP19076 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLGASSPNCNLKSPFTGMRVPRIAVVGYQHAKFVTRRTIVECKESRIGKQLIEVPSNVTLTIDGQDLKVKGPLGELALTYPKEILLQRDDSGLRVRKSIETRRANQMHGLFRTLTDNMVVGVSKGFEKRLQLVGVGYRATIEGKDIVLSLGFSHPVRMTIPDGLQVKVEDNTRVIVGGFDKSAIGQFAASIRKWRPPEPYKGKGVKYADEIIRRKEGKAGKKK >CDO99426 pep chromosome:AUK_PRJEB4211_v1:3:6852081:6869906:1 gene:GSCOC_T00026597001 transcript:CDO99426 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDFPDLQELEWLEANNSNFQDDVDFDLDFPPEPPSPPSELEPLRDSPEPKIPSFKPTLSLPPKPSPIKTQTNLKKRFRPDLSPDLIDRGDGRPTGDPEEKRSRVDNADDEEDEDWLRYHPPRPVAGNVDAGVAMSESEEVEERVLSRYATEIDGDYLPITGLDGERVYAKICGVECEDRVKKLDMRGDHNGLSREPIRVLMQRVEQGEFSKVSFLLGLMFLDIFKDCRVSQQFYYSTNLMKEENVRHRKEYNIFSLSSDNAESHDVDTQKCPLSLTSPKLVLATSSHGRFVLFLSIRENVALQASVEVENDVNLSPAPVDPEQLWVDKYAPNTFMELLSDEHTNREVLLWLKQWDSSVFGSEIKSTADDTLSALKRHSSAVKHSKFHARNSFGSNRETKLSKENFRTHNYQNQEKNQSNDIQEMWEKKQKTVGHPEQKILLLCGPPGLGKTTLAHVAARHCGYRVVEINASDDRSASTIETKILDVVQMNSVVSDSKPKCLIIDEIDGALGEGKGAVDVILKMVSAERKSDSGKEIRGQEEHSGPRSSKKQKNTSLLRPVICICNDLYAPVLRPLRQVAKVQSFVQPTVNRVVNRLKYICNKEGVKTNSISLTALAEYTGVFHIHFLFSILKQLLITWKKIIFTKYIFHSFCAINFYFILITAFSPPAFQKECDIRSCLNTLQFLNKKKEALNMLEISSQVVGRKDASKSALDIWKEVFQKRRVKRERQCMNILNNMTNELEFLLSLISNRGDYDLIYDGIHENILRLHYYDPVMQKTVKCLDNLEVSDIINKYIMRTQQMSLQVYQPQVAMIIHGLIAQVDRLNIEWPKSFQRYRTVSLEKMDILRSWQIKISPHISRHLSTKSFAEELISPFLHILSPPTLKPVALHLQSEKEKADLAQLVNTMVSYALNYKNLQSGPLFGGPRHEDVLEGSLLSFDPPIEDFIKFKGYSSCHFVLASAVKQLLVHEVRKENLSSQSPRSCMGIATVKKQTSAKNISLQVQNGLSMVQPLTKEASTLETASGKVRLPEKTRKLLSSSNFFDRFRKVKSDGSENVNQNVQASETAERDLRPLLFKFNEGFTNAVKRPVRIRDFFL >CDO99416 pep chromosome:AUK_PRJEB4211_v1:3:6729756:6737352:1 gene:GSCOC_T00026584001 transcript:CDO99416 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDVGKYAHSPVHKAIILKDYAGLRRIIAGLPRLCDPAEIHSEAVSVAEEAKADAISVVIDRRDVPNRDTALHIAVKLGDETATEMLMLAGADWSLQNEQGWIRHYQPLAWAKWCRRLPRLVATMRRMRDFYMEITFNFESSVIPFISRIAPSDTYKIWKRGANLRADMSLAGFDGFRIQRADQSILFLGDGSEDGKVPPGSLCMISHKDKEIMNALDGAGAPATDAEVQQEVAAMSQTNIFRPGIDVTQAVLLPQLTWRRQERTETVGPWKSKVYDMHNVVVSIKSRRVPGAMTDDEFFNSCNENETESEELDNILTEDERRQLEAALKMDPSESNNEIGDGIIAHRHSCYEPRDIPIEDTNGWVNGDKKQERKGWFSGWRRRDNKSEADKKLTPPRSSLYVEDKVSDLLGDSPSGSQNKPGRHLMEISLKRDEYHEHRRSRENKASSSTSSESGSRRKDGSRENEYKKGLRPVLWLSPDFPLQTEEFLPLLDILANKVKAIRRLRELLTTKLPKGTFPVKVAIPVVPTIRVLVTFTKFEELQPLDEFSTPPSSPTAGRESPAVVQSSSSSWFQWIKTPYNRASSSNVGSSSRIENIQDPFLLPADYKWVTAEEKKKRMHEKSKLKKGKNHK >CDO99239 pep chromosome:AUK_PRJEB4211_v1:3:4602636:4609894:1 gene:GSCOC_T00026317001 transcript:CDO99239 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSAIFSFVSNPTATRSLPRRHFTRQHFASSPNTRKKFPIFTQKTASRRTNTAITAKLGQKSALEYRKLGDSDLIISEITLGTMTFGQQNTEKEAHDMLNYAFDNGINVLDTAEAYPIPTRKETQGRTDRYIGSWLKSQPRDKVIVATKISGYSEQRSFLRENAKVLRVDAANIKESVEKSLQRLGTDYIDLLQIHWPDRYVPLFGEYFYDPSKWRPSVPFVEQLTAFKELIVEGKVRYIGVSNETSYGVMGFVHAAKDKGLPKIVSIQNSYSLLVRCKFEIDLVEVCHPNNCNIGLLSYSPLGGGALTGKYLDINSEAAKKGRLNLFPGFMERYNKSIAREATVQYMEVAKKHGLTPVELSLGFARDRPFMTSSIIGATSVDQLKEDIDAFLTVERPLPPEVMADIESVFNRYKDPAIL >CDP16825 pep chromosome:AUK_PRJEB4211_v1:3:16347564:16348758:1 gene:GSCOC_T00019357001 transcript:CDP16825 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDKTQFFKEADISCIKPSPPKIHQVEPFEVYTQVDAWYNNGWWVGLISKVLRNFKYVTYFKTSNEEMEFGHYDLQPHQEWIRGKWIIASKKKSKLGKLRGQIGVVLAPCFCSGMKIEVKK >CDP11213 pep chromosome:AUK_PRJEB4211_v1:3:9307627:9307866:1 gene:GSCOC_T00033316001 transcript:CDP11213 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNVTDYSRNYFEYVQQGRMPIDAMKL >CDP11175 pep chromosome:AUK_PRJEB4211_v1:3:8454224:8459451:-1 gene:GSCOC_T00033258001 transcript:CDP11175 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKEGKSGGLIYNMKLSSVGPGRYTGQDIVHEPNDMDLAMKLHYLKGVYYFPSQAFDGLTIRKIKEPLFIWFNHFYITCGRFRRSEESGRPVIKCNDCGARFIEAQCDKTLDEWLEMKKHDPALEKLLVSDKIVGPELGFSPLVFLQYTKFKCGGMAVGLSWTHVLGDAFSAAEFMNVLGRVLAGEEPQRLLNTAQSLNKNQDAGSPKSVMEDPLSVKRVGPVEDKWITVNTCNMGTFSFHVTPTELSDIQSKISGKYGPKIAPFESLCAVIWKCVAKIRHGNEPKVVTICKKDSRNKGDGRLSNSQMISVVKADFAIAKASHEELAAMIKNQAIDERKKIEEAMERDQGLADFEVYGANLTFVNYEDANFHGFEYNGTKQVGVSYWIDGVGDEGAVLVLPGPNDGGFGRIVRVILPENEIVELRREWSIA >CDP15476 pep chromosome:AUK_PRJEB4211_v1:3:17749611:17755300:-1 gene:GSCOC_T00043228001 transcript:CDP15476 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKNQLSSSPTTNETKGEQKPVVFDAPFLQNQSDIPHEFIWSDKEKPCLEPPPMLHVPCIDLNGFLSGDPVTMDLQLLKAAHKCLDFFFDRPLQEKQRVQRKLGDHCGYASSFTNSFISQVGASQTLSFRYCADGQQALNIVESYFLNGMGEDLRESGKVFQKYSETMSSLSLKITELLGTSLGVKAKHFREFFAGNDSIMRLNYYPACQKPDLTLGTGSHTDPTSLTILHQDHVGGFEVYVNGKWHSVPLDPEAFVVNIGDTFMALSNGIYKSCLHRAIVNPRTPRKSIAFFMCPKIDKVKHYRVDMQTLDAFVKWLIHQRDAQKTAT >CDP10324 pep chromosome:AUK_PRJEB4211_v1:3:12788686:12789801:1 gene:GSCOC_T00031016001 transcript:CDP10324 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAILVGCCYPDDPDRLEGCYNDVETMKELLTTRCGFPPKNVVVLTDKPDSPLRPTGGIIRSAIDWMIEQAKAGDVLLFYFAGHGKFDDFGKGWGCIREEFIVPCDRNLIYSVDFRAMVNRIPQGAHLTIIADSCNSGGLIEMLKEQVGPGFPPHVCYTPRAYDYSPLYKPRLMPMTAIVRYLESRSGLNSPDIGRHLRHIYGNDVSIKFRGQADHHAQVNASHQPVDQLDDKGILISACQFDESSLDIRGVCRPHGVFTAVLSESVKEEPGPISYKLLVEKCRAKIELFAEKYRAKIERPPHPCLYCSDENVNAPFLQNR >CDO99080 pep chromosome:AUK_PRJEB4211_v1:3:3012776:3013318:-1 gene:GSCOC_T00026100001 transcript:CDO99080 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRISVRPFKLSDAEDFLTWASDDQVTQYLRWNTIYTIEEALKYIQEVAIPHPWRRSICLDDRSIGYISVKPESGSDRHRAHVGYAISSEYWGQGIVTVALKIAISSALKEFPLLVRLEALVEEENKGSQRVLEKVGFLKEGFLRKYGYNKGKIRDMIIYSFLVTDNIWLPEDSDHDS >CDO99026 pep chromosome:AUK_PRJEB4211_v1:3:2603907:2604863:-1 gene:GSCOC_T00026031001 transcript:CDO99026 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDSKEVMVDLSPAIKVYRDGTVERLSGSPFVPPSLDDPTTGVSSKDITISPSISARMYLPKISDPNHKLPILVFFHGGRFVVESAFSFLHHRYVNLLVSEARAVAVSVEYRLAPEHPLPAALEDGWAALQWVASHVVEGSGIEKEPWLVKHGDFNKIYLGGSSAGGNIVHNMAIRAGVESLVGDVKILGGFLSHPYFWDSTTKKENNEESMPYKVFMFAYPLAPGGIDNPMINPLADGAPKLSGLACSRLFVCTSQKDQFREINLLYVEALKKSGWKGELEFVDVDGEDHCFEVFNPETEKSKSLITRLASFIRD >CDP15139 pep chromosome:AUK_PRJEB4211_v1:3:10270702:10271477:-1 gene:GSCOC_T00042724001 transcript:CDP15139 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVITHYWDVNFYGRLFVYYQASHINVLISFSLFSKSKFGLLLSVVSGTSDFSCILIVLL >CDO99304 pep chromosome:AUK_PRJEB4211_v1:3:5253957:5256153:-1 gene:GSCOC_T00026413001 transcript:CDO99304 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPKFGKAYKAMRALGYPQHIVKPVLKSLLDVYNQNWQHIEDQNYTVLVDAILESEECKEKEQQKFHLVDEPEEDEPPLKKSRLRSQAVQALSSPGDSSPSFKGTMDKPVHHVEYVIPESNAKEKMIESVHVHLFDNETEAKVLSRVPHYRGEVKTSTPNSSSGPKPKTKIQVPAHHKTNESDLPSPGTQLNENCDAYTSCSIVPRMVNETGKHAVS >CDO99396 pep chromosome:AUK_PRJEB4211_v1:3:6394229:6396868:1 gene:GSCOC_T00026555001 transcript:CDO99396 gene_biotype:protein_coding transcript_biotype:protein_coding MDALHNPDVAGAVAGCFMLDDEDDLLNFSLDDGEEEKENKNPSPFLKDPYSFSPSNTLISHQDDDLSRSPSFPEFEEELEWLSNKDAFPAVETCFDLLSETPDFDGLNHQSPVSVLENSSSSSNSNGSNSSNGSAVMSCCENLKVPSSFPVRPRSQRRRRKRRSGFGDLPSQEWQWWNHVNIKSNRQELALPPVPVKANTSATIGRRCLHCQADQTPQWRAGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTFSAALHSNSHRKIVEMRRQKQPGIGGIMANGSCGYRVG >CDP03472 pep chromosome:AUK_PRJEB4211_v1:3:20243616:20245688:1 gene:GSCOC_T00015253001 transcript:CDP03472 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSSNAFNSLVLMHSLSIILILLPSSFASNKWGIPQSDVDLLEFPLNLEYLEAEFFLWGSLGYGLDKIAPELTGNGPEPIGAKIAKLGPFVRDVVAQFAFQEVGHVRAIKNTVHGFPRPLLNISSESFATVINSAFGRTLEPPFDPYANDINYLIASYVIPYVGLTGYVGANPNLQSPAAKRLVAGLLGVESGQDAVIRALLFEQAYVKVKPYGITVAEFTDRISNLRNELGHAGLKDEGIVVKPSEGADGRISGNVLAGDKDSLSFGRTPEEILRIVYGSGNDSKPGGFYPKGAEGRIARSHL >CDP08038 pep chromosome:AUK_PRJEB4211_v1:3:29599571:29603977:1 gene:GSCOC_T00026722001 transcript:CDP08038 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGAASSLDRLDSVLEGLRRRSVIAEQVYKDWRVLRILWESCHKWTDAGLKIEAAAEEIAHDLNRISDGEEEDSEGPESQFLLQLARDCETKTRRLMAEIGEALDYLYSSCSTGGSSISSSLQLQLMSPATLDVSFWPRFVSHAQSNVLRISQIVVDHLGHEHFGIRDQVFTFYNSLKSIKRYFGLLLSDPKFPYLGGSGVEEGAGTDDAVPSIDASLGHVVSLVLRIADRCSVHWLDCKTGRIQVEKGELSKFVEDLHHEVHPRNPNFMRFHLNFLLTLCCICNNKDEEMDVVMQFCNYLFNSERGDFRKEVASLLTLFVDATTKLKDKDAVKSFFPEINAMLVEVASLFEVNCRKGHKLDDSPQCSELLTRICLLRAELSLMAQIHNMREICSNSSSSSSMLSDWKDISRNLSIYSKNLPLEKIEGGEKMLAFTESLFQEVESLHQSFRDKKITGCIIKNSLLLLFFKIVIFKEESFLTDLLLLKIGNDATSMASGKDRIALHLQKLEYFPLILSDERMKNREDIFRAFKPIEVFFRSLTSLNYSFLIAQDEMILSFSELLDKMNQLMEAKLKKIIPQFPLFAFPTTFRLNFVDSLSRNLVELLKYDPVSIALAKHHIEEIQRHLQSLSSFLVDVSKLQIEEDQELKDIGNQIIHLAYKAEYVIDSIEVDAQWQDFFWLNDVLQELRVVNEKACGIQVTIGDAKVLDPENVTHVSRGTFPKDGAPAIDEIVVDLWDQEQMIIYMLTRGTPRLDTVFIVGMPGLGKTTLARRVYNNAKVTRRFHIRAWCTVSQVYEKRRLLLEILTGIHGLTEEIRQMRDEDLQDKLRQCLLRNRYLIVMDDVWGVEAFNDLTSIFRDDKNGSRILVTTRSRGLALEISPDREHHSLRELSEDESFRLLNMKVFNEEDCPKELVAVGKEIARQCRGLPLAIVAVAGILKMTEKSRNSWKKIANSLSSQVLNDPEAQGQSVLELSYQYLPEYLKPCFLYMGVLDKDKDILVSKLIQLWLAEGLIPKTLTKSFEDLAEEFLMELIDKSLVIISRRRSNGKVKACRLQSLMLDFCKSKTKDANFFQLVTRCDDPYASFPSSDYGFEFDFYHHLRPVSFASYRLAVCLKQNHFLESRPYGLGTRSLVFFASTDSETRWPYDISFILHNFKLLRVLDFECIDVASFPVEIGLLIQLRYLAVGGYVTSIPQSLGNLRKLETLIVKGLRGKIILPNTIWRLTSLRHLHVKIHVVFNLDDEEYENCSVLENLISFSRLSLPCGQDGERILKRFPNLRKLSCIFYEPQDSSTTCNQFPVLDFLAHLESLKIVYFGTPLNDGKFNLPSNLKKLTLSDFRLPWSHISAIGSLANLEILKLQSSAFEGQTWEMKEGEFQSLRFLSLDTLDIVQWNASCDHLPRLERLVLQNCNDLEEIPLDLAEILSLQMIEVNFCAQSVEESAKEIGEATGEVKVLIRSSDLTT >CDP19081 pep chromosome:AUK_PRJEB4211_v1:3:31934511:31936167:1 gene:GSCOC_T00002103001 transcript:CDP19081 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGSMSLLRGGSSSSSGYCCCSSSSSNYGYGRRSRPVLLRALLPSATASATSSSTPPPLQPLLLLLRPRDRKKTIIPTTPFSTSPPAKPNYYASSWAATEKLTPPILPPSPVITKFTPATASPTLLPGWKRCFCTAPSSHSSVKVEDDDDDEMTKIKEAANSLDIRVGKILKAWRHQEADSLYVEEVDVGEPEPRIICSGLVKYLPLHHLQGINVVVLANLKPRNMRGVKSSGMLMAASDASHENVELLVPPEGSVPGQRIWFGSVDEKESLPDAASPNQANSQTLIA >CDP19283 pep chromosome:AUK_PRJEB4211_v1:3:13908382:13910270:1 gene:GSCOC_T00004052001 transcript:CDP19283 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFKIISIFLLVLLLFPSFQPKCGASASVEEAAALLKWKASFQNQNNSNLTSWNLQSVNAKNSSILPCTWAGISCTDGSIIGSIPKQIGNLSKLNYLNFYANELSGCAIPKSLGNLTNLIELYLYENQLSGPIFKEVGDLKFLTDMELGENQLNGSIPASIGNLSNLEVLSLQNNQFSGSIPVTFGNLNKLVNLSLYQNHLSSPIPPMIGKLSSLQFLYLLYQNHLSGPIPPVIGNLTSLQFLNLGQNTLSSTIPKYLGDLTNLIRLDLSDNQLSGSIPVSIGNLSNLQYLLFRNQFSGPLPELLCQSGILQNISVAENMLTGPIPKSLQSCSSLVRAHFNGNRFQGSLSEINWGKCKILKTLVVAKNNITGGIPPEIGNLTQLHTLNLSSNYLSGEIPREVGKLASMLKLDLHDNQLTGGIPQDLGVLME >CDO98827 pep chromosome:AUK_PRJEB4211_v1:3:1076686:1080420:-1 gene:GSCOC_T00025758001 transcript:CDO98827 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNYGKSNTKSGSLNSFDFDLGLGKSGSRSLNDQKNQTSSYGSYSSAQAKPSTTPSWTHQPINKPSWTHQPAAPAQSAGTGSLSGPTSMVGDIFGKSWGSSAPASSLNSGSGVVNKDPNLFGDLLGSALGQNKGNSNVPLKNAAPASNKSSFSMGGMADELPKTGNSTKSSGNWGSSETFGTYTSGFSSNNSDRGNVNLNGNASKGTNLGGPSMKSMAGGGGLGGMGSNKDPFGSLVDFSSKPSGNMKSESKGSASSKVGDDAFQSFQSASKTSGAAHPSGSFTSGKSNSTGSGAGGSYSNVDDFGFFSTPSQPHSRPSGQSTGVDSFDALFMTTPGSGAEFKSQDSSGGDDWGFESDFNGHDTGGTTELEGLPPPPAGVSASTAKNKGLDNYKQGQFADAIKWLSWAVILLEKAGGDTGTMDVLTCRAACYKEVGEYKKAVADCTEALEQDDKNVNILVQRALLYESMEKYKLGAEDLRTVMRIDPGNRVARSTIHRLTKMAD >CDO98968 pep chromosome:AUK_PRJEB4211_v1:3:2173451:2174666:-1 gene:GSCOC_T00025957001 transcript:CDO98968 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLGNKFRMSLGLPNLYIISVKGIKGRLNRLPSAIRRFLVYVQVKKGWSWGFAVPTVAMFCSIVILVVGFSKYRYQKPMGSAFTRFVQVIARFSEGDQGFAITGPIGKECADLWPRIASAATKYTKYFLQVISLLCQKKILRSLSKSRGRSFLRVLIARPRLTKKSSL >CDP14636 pep chromosome:AUK_PRJEB4211_v1:3:14304816:14318280:-1 gene:GSCOC_T00042014001 transcript:CDP14636 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIHQAPFAEVQILEEAKSCGKFLYDVKVDQWKNKFVDHGKELYKVLPGDILVISDSKPETSSDLQRMHWSWTLASVTDIKGEGIDESTSSTKFKVKTPEDMPFKGGKQDWLYVVYLTNTMTNKRIWKALHWFKNLTLIEKVLFSNAMVEEQCDSCSVNHTGQLSESFGSDLYSQMNESQSEAIRASLHKMTCDQNSHIELIWGPPGTGKTKTVSQILFILLRMNYRTLCCAPTNVAVNEVASRVVKLVKEAYAAESEKCDPFTPLGDIILFGNKDRLKVAPDIEDMYLDYRVKRLVECFAPSNGLKHCVRSMIDLLEHGASHYHIFLENELIKTKENKDEAPKDKPQSFLEFIRARVKAILPSLGRCLITFCTHVPKSFVAKQNFENMVHLIYLLESLEKELSEKVLTSDILEKLYSSSIMVEDFSKAVTCTWFLPDIRSKCLFVLKTVHSTLENLGIPAAVNEESIRELCFQMATLVFCTASTSYKLHRTNVEPLKVLVIDEAAQLKECESLIPLQLPGLKHAILVGDECQLPASVNSKISANAAFGRSLFERLSSLGQPKHLLNIQYRMHPSISCFPNSIFYSGKMMDAPEVRSKMHERCFLREKMFGPYSFINVPGGKEDSDGDDYSLRNIVEAAVVVKIVQKLYKGTSRLPTWNGTDTSLSVGVISPYAAQVALVQEKLRHKFENLDNFVVTVKSIDGYQGGEQDIVLLSTVRANNKGSIGFLSSPQRTNVALTRARHCLWIFGNVDTLTNTRSVWKALICDAKARGCFFSADEDADVSNTILDVKKELDQMEDLLNGDSTLFKQQRWKVLFSDDFQKSFRKMTSTRMKKLVLNLLLSLASGWRPKKINVDLVCERSSQIVKHFKVEGLYVVCSIDIVKKSNYMQVLKVWDILPLEEIPKLLKRLDNIFNMYTDDFINQCKQKCLKGRAELPKCWPNSSKIIRHKNMNNGKSVADSTDSALDGGCYAENSRVSESLQLMKFYSLSPGAASHLLFSRDGQELDLPFEVTDEEWEIIQFCKSSFILGRSGTGKTTVLSMKLFEKEQIYHIASEGFTTAENSMSTSVLKRTEFDHSTGVTRETFLFQLFVTVSPRLCSAVKHHVSRLISFACSGNFPSETSLNDAEDVEDIEQFKDIPDSFVGIPSEKYPLVITFHKFLMMLDGTIGDSYFRRFPEMTKIMDFSVGISGNFRSAVLQSLLRMKEVNYERFCFHYWPHFNSQLTKNLDSSRTFTEIISHIKGGLLAGEAPDGKLSRQEYVSMSNSRASTLSSDERELIYTVFQAYEKKKLQRGEFDLSDFVIDLHLRLKSKSLEGDKMDFVYIDEVQDLAMSQIALFKYICKNVDEGFVFSGDTAQTIARGTDFRFEDIRSVFYNEFIMKSKSDKYVERREKRLLKLAQSVTDLLYQFFPQSVDILKPEISFIYGEAPVLLKPNESAIEIIFGKTAKTGGKVVGFGAEQVILVRDDSAQEGISRDVGNHALVLTILECKGLEFQDVLLYNFFSSSPLKNQWRVVYDFMEKKDLRDSCFPRCFPRFSHARHSILCSELKQLYVAITRTRQRLWICEDSEEFAAPMFDFWKKLGLVQVREMDESFSQTMLMASSPAEWKSRGIKLYQENKYQMATMCFERAGDTNWEKRAKAAGLRATADQLRESNPQEACTILRQAAELFDCIGRAESAAECFCDLGDYERAGRIYFDKCGDPKQAGDCFTSARSYELAAKAYADGNYLLECLSVCTQGSLFELGRQYITKWKQNAPGEKEIEKIEQEFLESCALSYYKLKDFKSMTKYVRAFLSMDSRRNFLKSIGCIDELLLLEEELGNFAEAIEIAKLRGDLPREADLLGKAGHLKEASLLIISFVLHRSLWVTGNRGWPLRPFRQKQMLLKKAMSFAQEESNEFYERIRREVEVLAHEHISLHELLQSLTYSELCKNLTVELISIRRILDAHLDCTTRKFEWEDELQVDMKKHSEDKISLNHVSVGSLMHFWNMWKRNMSNIMQYLKTVGKPDDNEWLEYGEFCLNYFGVRRQVINSNVAYILLNSDAEWVKTTGSIFKQKQQSENQVSIDGRKFASAALSHCQAEVASVSLKVLDTVEALYKLSIRESFSLFCQSICLIDIYQLMKFLTESFKFNDSVERRLENFLRPPITYFKYVFPLDCCKSLVENMISLRKTELSRSLLEEVIVDNISNKGDLTYGQIGRVVMIWLGSGKPTDDLYMKIAKRFEKNFSWRAFIDSLQVSSLRHSGITESRSLGDPSSNTSAEDWKKFSLIDSFHEALRDTYLANWRSYDYVSPDCLIYLVERLLLLVFHSKDYFFTTKYSFVEWLVYLKADMYPDVSSVADTQLSPEIIFDSVVMMVEQFLFNKRETASWIAKSKFDVDQYHPLLALRLVVILCLLHLNSGKYSNVLSHLLDQSHISSQLPMPFIQALRPRRKLNLTQDWFSLNATVEAFRRIGNPLVIVHLRENSPKFACPAIIIDTALTPRIDDITRNLFRKQGSYHQQRPMVEANAPNLCEGLVHNAESLMSIDISAAPDQKMSTGSGTERNPQICSNVSQFKEELEESTSFLTAGLNVKKFLPCGSVNQFGEASSMLDEIKQFDASDIIQTGNLQGIGSLLKSLQSKRPRLEAFLNH >CDP16839 pep chromosome:AUK_PRJEB4211_v1:3:16611727:16612263:-1 gene:GSCOC_T00019380001 transcript:CDP16839 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNHHSVVPIEGTFGHLGPEDFMHGVVDERTDVFAFGVFLLELLSGKKPVDGAHRSLHSWAKPILNRGETEKVVDPRLEGFYDVKQLNRLAFASSLCIRGSSIWRPTMREVLFNY >CDP11197 pep chromosome:AUK_PRJEB4211_v1:3:8996197:8999376:1 gene:GSCOC_T00033291001 transcript:CDP11197 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNFIREVFFMFILVPHTLWPTLPSKVCASDFAEEAASLLKWKANFANKNSSLFISWNISPTQAKNSSSPCAWAGVSCNIDGSVNRLNLTNSNVSTTLSDFPFSSLPNLEYVDLSMNELFGSIPPQIGNLSKLVYLDFLFNQLSQEIPPEIGLLRNLQVLHLNENQLSGPIPEELSHLVCLTELVLNTNNISGTISSSLANLRNLTYLSLYENLLSGSIPPEIGNLSNLVTAFLSSNLLTGSIPPVLGQLKSLQSLSLFGNNLIGTIPTSLGNLTNLTVLHLYDNQLSGSIPEELGNLELLTDLELDRNELNGSIPKSFGDLSNLEFLFLRENQLSGSIPEELGKLAKLAVMEMDTNQFSGHLPEHLCQNGTLQNFTVSNNKLIGPIPISLKNCSSLFRARFQGNRLTGNLSEMFGIYPNLNFMDLSNNKFYGGFSGNWGRCPNLAALLLADNHITGQIPSELGNASQLHALDLSSNDFTGEIPNQVMMLASMLNLNLQNNQLFGNIPEEVSLLKNLLYLDLSRNFLRGSIPETLGGYQQLFYLNLSNNNLSQQIPPQMGKLTRLSVLDLSHNHITGEIPPEFRSFQSLEILDISHNNLSGFLPNALAELPGYLHIDISFNNLEGPIPYGRAFKKITIEELRGNKGLCGNITGLQACRSPQLSMKRVKDKGLNLLVIVLPLLGSLILLCAFFGALKICRQRERKTTENVVDADLFSISTFDGKAMYREILKATEDFSEIFCIGEGGYGSVYKAMLPPGNLVAVKRLHLLPEKVYFNSFLNEIRALTNIKHRNIVKLYGFCSNSTNSFLVYEYLERGSLAKIFSMEEEAKELDWEKRVNIIKGVAHALSYMHHDCTPSIVHRDISSNNVLLDSEYEARVSDFGTAKFLRKDSSNWTTLAGTLGYVAPELAYTMSVTEKCDVYSFGVLTLEIIKGKHPGELVVHLLSSTPGDIELKDLLDQSQRLSQPTQEIEKILISTVKLAKACLHVNPKSRPTMRIVSSLLPVGAP >CDP10358 pep chromosome:AUK_PRJEB4211_v1:3:13742772:13755686:1 gene:GSCOC_T00031066001 transcript:CDP10358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase 1 [Source:Projected from Arabidopsis thaliana (AT2G43080) UniProtKB/Swiss-Prot;Acc:Q9ZW86] MASAMKIVFGLLTFVTAGMIIDSSKLSGQFFTSCGMKFLTGSLVRNTGALLQLSFIRKLEDSYGSESSFRRTLGGRNSGSGQLGRGYSHWAYDKEAVTLRIGYVKPEIVSWSPRIILLHSFLSPEECDYLRAIALPRLQISTVVDAKTGKGIKSNVRTSSGMFLSHEERSFPMIQAIEKRISVYSQVPVENGELIQVLRYEKNQFYKPHHDYFSDTFNLKRGGQRVATMLIYLSDNVEGGETYFPMAGTGECSCGGKMVKGLCIKPAKGDAVLFWSMGLDGESDPNSIHGGCEVLGGEKWSATKWMREKVAN >CDP11180 pep chromosome:AUK_PRJEB4211_v1:3:8531888:8537559:-1 gene:GSCOC_T00033267001 transcript:CDP11180 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSLTQEFEDWDRPFTDEELQAIDEAVLQSTTTTDQRHVSCSSDYDADEKSRRRLPDSLFIFHPNNTNSNSFSLSPCHRRNRFPSYAFRRSSSTSTCQVMRYPEIAFKGRIVYSRGFDEVEKSAEELLSFVELKRRNGGQAILGFDIEWRPTFRRGVTPRETAVIQICGDKNVCYVMHVIHSGIPQNLKSLLENPTSVKVGVCIANDAFKIFKDHNVSVKALEDLSDLANHKLGGDCKKWSLSSLTETLICKQLPKPNRIRLGNWETDVLSKEQLHYAATDAFVSWYLYEALQTLPEAENKKIEESVVVSS >CDP03455 pep chromosome:AUK_PRJEB4211_v1:3:21299564:21300515:1 gene:GSCOC_T00015222001 transcript:CDP03455 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYVQLHFAALFFLASLTIPSNCLPGLYPKAPVALFVFGDSLFDPGNNDFIKTTTTFQANFPPYGETFFKLPTGRFTDGRIIPDFIAEFAKLSLIPPYLQTGYHEFLTNGVNFASAGAGALAETNTGLVIDLKMQFKNFRKAKKHLRLNIGKRAARRVVKNAVYLFGIGSNDYLSPLTNNSSIFKLYAPQDYVAMVVGNITSVVQVIFRFSFFFQPFF >CDO98800 pep chromosome:AUK_PRJEB4211_v1:3:885451:890872:1 gene:GSCOC_T00025724001 transcript:CDO98800 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPTERTEVRRNRYKVAVDAEEGRRRREDQMVEIRKSKREENLLKKRREGLQMSQPFPAPVPSNNIEKKLESLPLMVNGVYSNDNNLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLMREDFHQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALQRLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLVHPSPSVLIPALRTVGNIVTGDDYQTQCIIDHGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIEAQLIGPLVNLLQTAEFDIKKEAAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLVCPDPRIIAVCLEGLENILKVGEVEKSLGHSGDVNFYAQLIDEAEGLEKIEHLQSHDNNEIYEKAVKILETYWLEEEDETLPAGDGGQPGFNFGGNDMQLPPGGFKFG >CDP15123 pep chromosome:AUK_PRJEB4211_v1:3:10574045:10575725:1 gene:GSCOC_T00042703001 transcript:CDP15123 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVTGQPYILHTNVYAQGKGGREQQFYLWFDPTKNFHTYSMAWTRDHIIFLVDNTPIRQFKNAESLGVPYPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTAYYRNFNAQTATVGKLSNGAWQNQELDANSRRRLRWVQKNFMIYNYCTDYKRFPQGFPPECRL >CDP03440 pep chromosome:AUK_PRJEB4211_v1:3:21760125:21765189:-1 gene:GSCOC_T00015200001 transcript:CDP03440 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLDQPKSRVAFVLIDGLGDVSLPKLGYKTPLQAAKTPNLDAIASAGVNGLMDPVEVGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVISRRADRHFEEEGPILCAALDGMKLPSFPQYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLLLQAQALDKTDEAKHTAEVVNELSKEISRILVAHPLNAKRAVEGKSIANVVLLRGCGIRIEVPQFESLQGLWPCMVAPTKIIAGLSLSLGIDILEAPGATGDYRTLLTSKATAVAKALSAPLQSCPNVFVPGEDEHKPGRLGGYDFGFLHIKAIDDAGHDKASIFKVKGLEAVDRAIGQLAKLLWQTQSTGKFKYFLCVTGDHSTPVEYGDHSFEPVPFTLCQLEDFVGALGEFLLSETSLEPFPLPVISASEDIMDDLGNQESTKSKQAKAFSGDSVCVFDEIAAARGCLGRFPGSEMMGIIKAYLKLKS >CDP10026 pep chromosome:AUK_PRJEB4211_v1:3:25064236:25064482:-1 gene:GSCOC_T00030581001 transcript:CDP10026 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIVYSLSLDLFYVRVGLFPLHL >CDO98848 pep chromosome:AUK_PRJEB4211_v1:3:1233355:1235246:1 gene:GSCOC_T00025786001 transcript:CDO98848 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLAPIDTFKRIVKNKSTEEFDSLPYICSLLSASLWTYYGIVQPSAFLVGTINAFGSVLGIVYVGLFLFYAPPSNKVKIALIVGTLNVGFLAGAILFTRLAFKGEARVASMGFLAAGFIIVTYASPLSSMRTVVMTRSVEYMPFYLSFFIFLSGGVWALYGWLIGDYFVSVPNGTGCILGTAQLVLYGIYRDTKPPARDSACVSFYDLEEGWQRQPLLSSTHSLVSSTHSLVSSTHSLLASGHSFLES >CDP10058 pep chromosome:AUK_PRJEB4211_v1:3:22982593:22983699:1 gene:GSCOC_T00030642001 transcript:CDP10058 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAPLSVDRSSNLLVELPETFGNLKDLKALYASNNGLRSLPSILFKMCSQLSILDLHGTEVTMDVLRQFEGWDDFDNRRRLKHQKQLDFRVSRSAEFDEGADNSYGAAS >CDO98700 pep chromosome:AUK_PRJEB4211_v1:3:118548:122758:1 gene:GSCOC_T00025597001 transcript:CDO98700 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGSFFNVIFFGQSLPLFYVRTLWLLKIVQATIFLCFHLFKMASGLNFELSKRTHIFHLKVWVLIAMLVGLFLVFIVLVLPFCLSRKRSKRGSATLPISQIPKVSKEIKEIKVDQYSAKNYAAHDIDFLTLQDKFSDKDSDKLLSCEKAMNADNSSQYDSFTNLEKEGVACESGEKGGAGAIYNSHPVAVSSPLSGLPEFSHLGWGHWFTLRDLEVATNRFSKENVIGEGGYGVVYRGRLINGSPVAVKKILNNIGQAEKEFRVEVEAIGHAHYCLNLLLVYEYVNNGNLEQWLHGAMSHRGYLTWEARMKVLVGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPAPEVNLVDWLKVMVGSRRFEEVVDPTIETRPPTTALKRALLTALRCVDPDAEKRPIMSQVARMLESEEYPVLRKGGRRRRNQPGSQRENDETDRSENPILRRSDG >CDO99169 pep chromosome:AUK_PRJEB4211_v1:3:3912009:3916303:-1 gene:GSCOC_T00026219001 transcript:CDO99169 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSFQITDQPQSTKTHTLITQKNTQTEEAILDRVSSPSPMGMEVTEICMDKEPNSVVTYSSGTPTEENDVTTNPHHVMETCGLVNGDVQSNVIEEKAEVNEYDVKECTSEKSVVSTVCHIKNVEQAVLVPKCETGAPEELKSESPEVKDDSRKTKVPAKSKTKSPSGNCKTKCTIPQPFALATAKRASFGARPVGTETDNGVATKTSKISAAVHKSGTRLIQPVSPAAQRKPLQPNNKKHPGEEDSFSVASSVLASTRKSRTTNASAPVFRCTERAERRKEFYSKLEEKHQALEAKKIQWEERTKEEKEAAIKQFRKGLLFKASPMPSFYHEGPPPKAELKKQPPTRAKSPKLGRRKSCSEAVALEKRIASLNIYRDDATISSIRRKDRVNIQIPITTQISNDEHEPGQVDEPFASKFIGRMNMGICFPS >CDO99202 pep chromosome:AUK_PRJEB4211_v1:3:4251773:4253293:1 gene:GSCOC_T00026269001 transcript:CDO99202 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVEHRVLASRVSPRVSIASFFATGSRPITKVYGPIKELLSEGKEYTDYVRAKGHDGTSALLHFKL >CDO99273 pep chromosome:AUK_PRJEB4211_v1:3:4932579:4933291:1 gene:GSCOC_T00026364001 transcript:CDO99273 gene_biotype:protein_coding transcript_biotype:protein_coding MALFPSFWKILNSLIYAYHVFLEHPGLQFSLCLHLDVSFCFASLVLLYFLRHIYLSQSKLLNVSSVVHFYNHASFGQHLCSCHKPVCGHYL >CDO98830 pep chromosome:AUK_PRJEB4211_v1:3:1086450:1088178:-1 gene:GSCOC_T00025761001 transcript:CDO98830 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRRSSSTIATVLVLCSSLASCNAAGGLPRPAPPAIFNVVSFGAKPGNTDSAQQAFMRAWNAACKFVGPRASLFVPPGIFTLGEVTFEGPCSSQSPIVFQVAGTLQAVSDVSAYSGQGWISFDTISGLVITGGGTIDGRGQNVWQYNDCKNNGDCVHLPASFHFNGVKNAKIKGVSLVNAMGFHMHVTNSYLFRAHSLTITAPPDSPNTDGMHVSKSNTVKIARSVIRTGDDCVSIGPGATNVTINKVTCGPGHGISIGSLGKYPNELDVRGLIVKNCTLQGTTNGVRIKTYAGSGPSVAAGMRFSDIVMENVLNPIIIDQNYGGSSTQPSQVRITDVVYENIRGTTSTESAISLKCSPSVPCQNMYFTNINLRNMGSARLSSICANAQVISSGVQTPTPCLL >CDO98880 pep chromosome:AUK_PRJEB4211_v1:3:1463590:1467840:1 gene:GSCOC_T00025834001 transcript:CDO98880 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLQSNATILSSFQGEDGKQVLILDSTIFHPQGGGQPADTGFIFNSDFKFSVQDVRSKDKIVYHYGFFANSENEALESKIEKGAEVLLQVDGERRKLNSRLHSAGHLLDACTAIAGLGHLVAGKGYHFPDGPYVEYKGTVPQNELQSKQQQLELEANNLIAKGGKVFASVLPYNEAAALCDGCLPDYIPKGSNPRIIKLGEQPGCPCGGTHVSDISEILGVKVSQIRVKKGMTKVFYNVEP >CDO98984 pep chromosome:AUK_PRJEB4211_v1:3:2279138:2288983:1 gene:GSCOC_T00025978001 transcript:CDO98984 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSASYDQCSYWDAVLELTKLAQENGSDPLLWAIQVSANMSSSGVSFPSFELANFLVSYIFWENNVPITWKFLEKALVLKIVPSLPVLALLSTRVIPNRHSCPAAFRLYMELLKTHAFEFESHSKLPNYQKIRKSISNIIHSSQIFDVQADEPGVLVIQIVFSIVWQLLDASLDDEGLLKLTPEKNSRWPTKLQDMEIDGYKNSDVMRLENKERLKNANTVMAIELIGKFLQNKVTSRIIYLARQSMRRPWGGFVQRIQLLVSHSTALRNSKLLTSETLLKLIHNSPKLMLQRPRRCAPEELPGVGHFKSLAYRSGCRVGLSCASLWLPLDLILEDAMDNSQVNATSSVETVTGLVKALQAINGSTWHETFLGLWKAALRLVQRERDPIEGPVPRLDTRLCMLLSITTLVVADLIEEEESAPTDEIDVDLDSQCKQTTGNRRGDLASSLQNLGDFEMLLTPPHSVIPAANQAAAKAMMFVSGINVGSAYFESISMTDIPTNCSGNLRHVIVEACIARNLLDTSAYFWPGYASGSINQLPHTVPTQIPSWSSFMKGAPLTPLLINALVSVPASSLAELEKIFETAVKGSDDEKIAAARILSGASLVRGWNIQEHTAYFITRLLSPPAPADYSGDDSHLIGFGPMLNVLLVGIAPVDCVQIFSLHGLVPQLACSLMTICEVFGSCIPNISWTLTTGEEISAHAVFSNAFALLLKLWRFNHPPIEYGVGDLPPVGSQLTPEYLLLVRNSLLVSSGNLLKDPNRRRLATVASASYLKPIFVDSFPKLKVWYRQHLACIASPLSGLVHGTPVHQTVDALLNMMFRKISRGSQSATSITSGSSSSSGPGSEDTLPRPILPAWDILEAVPFVVDAALTSCAHGILSPRELCTGLKDLADYLPASLATIVSYFSAEVTRGIWKPVFMNGTDWPSPAANLFYVEEQIKKILAATGVDVPSLAAGGNSPGTLPLPLAAFVSLTITYKLDKASQRFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWSDFLVFSASRTVFLHNNDAVVQLLKSCFSVTLGLNTTSVTSNGGVGSLLGHGFGSHMYGGISPVAPGILYLRVYRSIRDIMFMREEIVSLLMQSVKDIACSGLPVEQREKLKSAKNGKYGHVSLDTIMIKVKLAASLGASLAWLTGGLGLMQSLIKETLPSWFLSAHPSDQEGASTMGVPMLQGYALAYFTVFCGAHAWGLDAISSSSKRRPKVLREHLEFVASVLDGQISLGCDPATWRAYVMELLSLMVDCFSSWMLEVDVMLLKRLGEGLRKWNEEELALALLCLGGAGTIGAAAELIIDTDL >CDP03476 pep chromosome:AUK_PRJEB4211_v1:3:20025856:20027021:-1 gene:GSCOC_T00015263001 transcript:CDP03476 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIFQDFRKSKSGSQDLSLTQGVQGEKVLPGIVLLFAQLSLFIGRSAIPRITEEIASSFSSGGARGYEYGPAFIPAVICRTFWVAGEKCLDHYVRLRTQKISVLLRTRFTTPNWVKHKEPREVHMFVDLLLQEVISFIF >CDO99097 pep chromosome:AUK_PRJEB4211_v1:3:3147786:3149123:-1 gene:GSCOC_T00026125001 transcript:CDO99097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 6 [Source:Projected from Arabidopsis thaliana (AT3G22800) UniProtKB/Swiss-Prot;Acc:Q9LUI1] MMIKMKMKPYIKITIWALLSLLFFFSKPSHQQYNASPPPFPNPRLSNAYIALQAWKHAITSDPKGFTSNWYGPNVCSYNGVYCAQALDDPHIITVAGIDLNHADLAGYLPEELGLLTDLALFHINSNRFCGAVPKSFIHLHLLYELDISNNLFSGEFPSVVLCLPSLKFLDIRYNQFQGSIPSALFDLKLDALFINNNNFQSTLPRNIGNSTVSVLVLANNNLQGCLPSSIGNMANTLNEIILLNVGLGGCLPQELGLLKGVTVFDVSFNNLVGTLPDSIGNMVSLEQLNVAHNKLSGEIPASICSLPRLQNFTYSYNYFCTEPSLCLKLPDKDDEQNCIPGRPAQRSPQECQAFYSHPVDCSAFGCQARRSPPPPVKYPPPPPPPPPPPLYSPPPPPPPLVYPSPPPPSPPPPEQHPPPPPPPSQPPEACTPPPPPPVYYYHYP >CDP03418 pep chromosome:AUK_PRJEB4211_v1:3:22674660:22678538:1 gene:GSCOC_T00015155001 transcript:CDP03418 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVVDALLGSTVKVLVEKTINLASEQIGLFVGFKKDLEKLKDTLTLIQAVFRDAEEQQETQEFMKRWLENLEAAAFDAGNLLDDINYEMIRRKVKMQNQMKRKVCFFFSLSNPIAFRCKMACKIQKINKDLKRINEEARSFGLQSQIAPAHKYNNNHAVLISENRETDSVTVGASFVGRDDDVSSIVTQLTATSNNETLSVHPIVGLGGIGKTTVAQKVFNGLNIKNHFDKRMWVCVSDVGKHFDANKLFGLMLEKLEVPMAEVAGMDSREAKVQKLKEMLDGEERNGKKPRKYLLVLDDVWNEDPAPWNRFLDSLRGISSAKGSWILVTTRKEQVATMTAISSRPCSLEKLSYHNCWLILEKPAFGSRETPDDLKELGLELAKKCQGLPLAATVLGGMLCNKGSDVWRSILETGLQNIGGDGESYITKILKLSFDHLPDPALKKCFAYCSIFPQDFQMERNQLIQLWAAEGFLHSDPRKNICMEEVGNRYFTILLESKLFQDAMKDGYGNVLNCTMHDLVHDMVQSISECRTLRLKEPTEADFHGKTFRPIDDGLITFLAWLRVLNIASSDAEDLPESIGKLSHLRYLHLSNTSMETLPDSLCKLYNLQTLRLGDCKSLTKFPNNFKNLVNLRHFDFFHKDKSSDLTPLDIGQLHSLQTLPFFNIGKEAGRQIGQLGSLKNLSGSFEIRNLELVSNKEEAKSAKLIEKPNIDALKLLWNEIENPRENDSECNQVLEGLQAHQNLKGLIIESFFGDKLSTWIGELGKLVKFELRNCKSCKELPTLGNMPLLKSLHFEGLDSLTSIDPSFYGRSDVHSVSTSQRPLKLFPALEDLILENMQNLREWMEATVHDGTVAVFPVLDTMRIFNCPQLATFPNHCPSLKELEIETTQNGSALMAYICSGVSTLTRLSIKSVNGLTILPNSLEELVVRECDSLESISIPKGCKNLTALRKLEIWACYGLTHLSIPQISESEWDSTSSPFFSSGACPPPLPLEDLDVSSCPNLISFPIDLTRTPSLSTLDISGCEKLTDLPKGKLCSLTSLINLEIGPFSETTTELHSFLDLFNALPQPHPYFPSLSALILYGWPHCESLPEQLQHLSALTSLYLDGFGVKSLPDWFGKLSSLERLGLYNCKKLENLPSTMSSLTRLRDLRIMNCPLLKERCNPNSSSSSTDPNSEWSKISHICSIEIDWKQIRG >CDP03443 pep chromosome:AUK_PRJEB4211_v1:3:21721654:21729609:-1 gene:GSCOC_T00015206001 transcript:CDP03443 gene_biotype:protein_coding transcript_biotype:protein_coding MENSMNLLLQTTKQDNLLKLKRRLLMDLPLSGLEKKLHKELNHLEDMFTPETLIREDDVYYDNVRSFVERGFGVQSLVKNQEVKKDGPSFDSQYLLNNLSSLLDKMTNNGLCCLAGLLTEGSIEFEKTRWKMRKLIKEYLPTFLNKENHNVEMRMNQIFILLNNPQYILGLDRVPTDYQTAAASVLDGLEELPLKTLNAMHRKLRGQSGYIPELGPCKHQVKHVLIDTVRKRCMKMLSEPHEGEELQEPLVKALAVAGLTLKLILCRPSVVEFRTFAPHIESLQNDIARAICLLNSGNSVVVAEIEALHEILHQWADSKVENSKQWSCLTIRNLFMDFLFECDDMEIIPECLVQAVYYINKRFCNPACRSTSKDEVEQEVEFLLCLSAETKQVVWNLLPGQEVDQDFANAYMEVLEESDDDEDEKGIDLPQSSKSSFDDFKDLTECFGETNPLDIDSPISSGNGDGRASLISPTSKLNSRMESVHFARDMFEPNDSVYCSSVSQSKMLDNIESSNGNERQSASLLKLEPTGLHPFCSTVNQCGSSSPLSAGRRESGHDLKTDKIVNNNARNGDSLHYSSPLGERGILHHKQCKGVNKYLSIQTACDETSMAIYSIIGGMLDEFAQAQHLNLGKHHLTYLQNRESVPNDSKGMKRARPACLR >CDO98886 pep chromosome:AUK_PRJEB4211_v1:3:1576363:1585685:-1 gene:GSCOC_T00025840001 transcript:CDO98886 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELEEGEAYDDDTSIDPEIAFSYLDEKVQSVLGHFQKYFEGGVSAENLGAKFGGYGSFLPTYQRSPSIWSQPKSPQRVQIHTTTKSPMCREVAVQNATAPPDLPLSQRNGTAASRGVHLSHEKVVQSGDGSRQDSCLSSVQVTEKFPTRRQPSTNKSLNPSDQKPLKFRIKVPQKNAAIYSGLGLTSPSSSTGNSPGGSGGNLLESQETSDESSSCILKMLTSLTIAGGLLLSPLHTTFINLISNIPMESNKPEATIKLKHNHSTTSVDDSTSRLSNEVLLTRKQSKAVGKSKKYNESRCRNQMAFEDHMLSRLKENPGAEVPQIKDSFKDSAKALEAFGEHENGATLKKRKDTKDKAKGRLSVTELVKDESFGSMSGLSDSKIEHQEDKSCSLDWTTKNHLKSSQKDVPVDKLEGIGNKANQVPASLKADSDTSQSERDTKGGIDHSIQKLSARTTHEEDQSRMPVSAKKFSSESKKKLKGAQVRGKQFVDSAEESTRAGISAVARQKATKRDANKVRDTYKDLFETNSARVDVLENSSVNRLKDSNVETLKEKQPYGDRPKGKPRGSKFDDQVISETLLNDPPIDSLPINNGPTLVTEQATMALIEENWVQCDQCQAWRLLPYGTKPEDLPEKWLCSMLNWLPGMNHCDISEEETTKALYASYQFPVLENQHWIQNHADGPATGAHAVDVQLLNQSHQNVGFDYKASGRKKAHKIKETSFTGSKGKNFEQDLVKRGSSKDMKQSSLGVKPVNRSITVSEIAVEKHSNRQKQKHVTGGDVKLKKKSKRETDRHEIEPSKKSKTASAAKNIQASAACLGRSGIKSKTILPTLAPTENRNPSECDYPKEEERNGLQTSLRKPSERASGLLGNGYVDMMGKSNSGEISLKKRKLRDWQSSQNNAETLQNDGSQLPDRNLPAKEESSDSGFRRDKKLRVSQIDGKESSRSKSSAELKRKDMNTRMVPSACNNTIDRNFDKPKQLNKCRVKITSQLTMEDLESLKKDLGCEPVLTAATSSSSKVSDSRKKRNSYQGVNGSPVESVSSSPMRMSSLNKLSPEKLDGFELDDVKVGGFPIKGSPRKAVDGDVNNVTKRSGIAKKRKNPDVLNPELAENHMLDFRKTDARGKLGEECELGGRPPSLFGNGYLDNDHLDILESHSTYLTDRHASEPFSVKDRIGKDQHNTVLPQKKSRKASSLLPKDKGRNFGLDSEDAVENVSDLPNDRGDLNPKTSSSVDTGIDQNHVAAEGVLLGDVKQPFVDQSGVQSVKSNKSISKRESRKLLDGSLENLLQPKGHRRSGVNLGDPCSKDANNLMQQDLIKGSDALRSNSALKARSDAKSLIDHHPEDEHEVLPFASKPAPGTQNGSLLQVPGHDVSIRTYASEVSKDHRNIIHHNEPHQAMGSTASGRSVAWDLSGPSLERKDAARLTAPLDVLKEAEGLRNDADNIKDSGFSFECNELYFQAALKFLHAASLLESNFENSKPGEMNQMQIYGSAAKLCETCAHEYERQQEMAAAALAYKCMEVANMRVVYCKNTSTNRLCRDLQASLQIVPQGESPSSSASDIDNLNNQALVEKASLAKSNGSHSGNHVIAPRNHPGFFRLLDFAKDVNSAMEATRKSQNAFSAASVILEESQNKEGIAAVKRVIDFSFQDVEELLHLVQIAIRAISRQGFIRSRD >CDP11139 pep chromosome:AUK_PRJEB4211_v1:3:7782860:7787359:-1 gene:GSCOC_T00033194001 transcript:CDP11139 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTPSLPRIDFSSENSEPGTSSWLSTSKQVKDALENHGFFLVTYDKISSEVKNAFILAMKEFHDLPEEQKSRFTSDKPYLGYFGQARDGKPPILELTAIGDPTSIQAVEGFTNLFRSSGKKDHLREIILSYTKQVAELHELILKMVLEGYGVEKYYESLKESLAYICRVNKYRATEPNERDVAVVPHTDSSFMTILDQNEVNGLEVKSKDGSWVPVDFPPSSFALVAGDGLLAWSNGRIRPKVHRVIMAAEEPRYSIGLFCYTHGIVEAPKDLVDEQHPLLFKPFNHFELVSLTQTKKLYLIEDRLKVLYGV >CDO98882 pep chromosome:AUK_PRJEB4211_v1:3:1476809:1478616:-1 gene:GSCOC_T00025836001 transcript:CDO98882 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLQYQTWALKVSIHCQGCKTKVKKVLQSIEGVYAVAIDSQHKVTVTGNIDAQTLIRKLLKTGKHAELWPEKPAGKDKKSGKSSSNEKGGDSKNGENSEEEEEESPAGNMEANVNPAKNSEKGTKVVRFAGVDGIAGDQREIKIDGKLPGSIPATAEKSPASEQKSNANNGGGAEKNNGGAGGHGKKKKKKGKKGNSNNNSNAGSASALAPSSTGVEGPRVMSPNQATDQINLTPSNQHMFQYPPSYSPYQAYVVSYNAAHPTTCTGPIWYMSPSPYLYACTYPEVYPEYPTPALSTFEILSDENPNGCYIM >CDO98748 pep chromosome:AUK_PRJEB4211_v1:3:487301:489264:-1 gene:GSCOC_T00025653001 transcript:CDO98748 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKRLEKNIKPENPMSNLTFSDQIPIPATLAAIPNIYDIPIAAHPHDHDMVKMESFGFIDMLTVPDYPCPSIYEMPQSPLPPPPPPSNHINNNNAPPESSDVATTPATPNSPSVSTSSSDAQNDDQQQNKTPVEGEQEDRDQDKTKKHLKPKKKNQKRQKEPRFAFMTKSEVDHLDDGYRWRKYGQKAVKNSPFPRSYYRCTSPSCGVKKRVERSSGDPTTVVTTYEGTHMHPTPLTSRGSLGLVPESSASFICGPSGYHHQQPQQFQQQQLQLLPFFRVPTAPASLHFNTPSSSFAHMIVQESSYCPPPPSSFVDNGLLEDIVPSEMLIKEPKKE >CDO98831 pep chromosome:AUK_PRJEB4211_v1:3:1102655:1110602:1 gene:GSCOC_T00025764001 transcript:CDO98831 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTSDLVGRVHPGQKFDADALLRYASAHVEGFPISPSDFIVSQFGHGQSNPTFLIEVHSESSVKLYVLRKKPPGKLLESAHAVEREFQVLHALGTCTLVPVPKVFCLCTDSSVIGTPFYIMEYLEGRIFIDPKLPGMSPKQRRDIYHAIAKALAFLHSVDVDAIGLGNYGKRNHYCKRQVERWAKQYLISTGEGKSERNPKMLELADWLRQHIPPEDSSGTTTGLVHGDFRIDNLVFHPIENRVIGILDWELSTLGNQMCDVAYSCLHYIANVASGDAVEENEGFELTNFPEGVPSLPEYLSYYCSAAERAWPVAEWKFYVAFSLFRGASIFAGVHCRWIMGNASGGDRARLMGQMANSLIRTAWSFIGRESVLPRQLPSDVQGNKQQKVEEESKGQFLSMGGKFVPNQKVQDLRDRLIKFMNNHIYPMESEFYKLAQSSKRWTIHPEEEKLKELAKSEGLWNLFIPLQSAARARRILNGGKHGALIAKTSDLLLGAGLTNFEYGYLCEIMGRSIWAPQIFNCGAPDTGNMEVLLQYGNEEQLQEWLLPLLKGSIRSGFAMTEPQVASSDATNIECSIKRLGESYIINGKKWWTSGAMDPRCKLLIVMGKTDFTAPNHKQQSMILVDINTPGINIRRPLTVFGFDDAPHGHAEISFENVHVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMQMTVDRALKRKAFGKLIAEHGSFLSDIAKCRIELEKTRLLVLEAADQLDRLGNKKARGTIAMAKVAAPNMALEVLDMAMQVHGAAGLSGDTILAHLWATSRTLRIADGPDEVHLGTIAKLELRRAKL >CDP15140 pep chromosome:AUK_PRJEB4211_v1:3:9995275:9996616:-1 gene:GSCOC_T00042726001 transcript:CDP15140 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKNLLFLFGVLFALVLLISSDATAADQKSVKTTGVHDASSGQVHQDSISEDRCKYRCCRWYHGQCQKCCRTAEETPEATSGDEDTVTADRCRHGCCRWYHGHCQSCCPPAEETTEATSENEDTVTADRCRHGCCRWYHGQCQRCCPPAEESSEAKSGNEDTVTADRCRHGCCRWYHGRCQRCCPTAEDP >CDO99383 pep chromosome:AUK_PRJEB4211_v1:3:6154187:6156103:1 gene:GSCOC_T00026537001 transcript:CDO99383 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGYLDARSVVLSLIVSCRWHGVACSDRLWAPKCEELWHGKAHISRISKFRGLSKLAAYSLSA >CDP08042 pep chromosome:AUK_PRJEB4211_v1:3:29658356:29658948:1 gene:GSCOC_T00026727001 transcript:CDP08042 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIYRQQISYSHLYPVHTCSKPSKFDSCSLLAIFYYNFSAS >CDP19156 pep chromosome:AUK_PRJEB4211_v1:3:31692312:31698625:1 gene:GSCOC_T00001663001 transcript:CDP19156 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLSLRLLLFPIPHSYPLACAFSPLGSSKISPFPHPNNPFSALDSSSSSCGRWASFRKNKWLYGYEKRHQGSEGVRDEDDHRVQMYGSDEEVKTQIPTQAQSIVEGSGAVLLSEYKPAPDVDYIQELLAIQQQGPRAIGFFGTRNMGFMHQELIEILSYALVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLAKVQNVIEKPHNDHLPLIEASRLCNMDIISQVQQVICFAFHDSRLLMETCQEAKNMRKIVTLFYLD >CDO98971 pep chromosome:AUK_PRJEB4211_v1:3:2191917:2195329:-1 gene:GSCOC_T00025961001 transcript:CDO98971 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKQLMEQDPGELDVSKLHPLSPEIISYQPTINIGLIGHVAHGKTTVVRAISGIRTTRFKCELERNITVRLGYANAKIYKCEDERCPRPLCYKVYGSDMVDDPPCDVPGFEKSKMKLLRHVSFVDCPGHEIFMTRMLSGATVMDGSLLLIAANESFPQPQTLEHWSAVDLLKIQNLILLQNKVDLVQEEVARNQYQAIQKYVKGTVASNAPILPISAQLNYNMDVVCEYIMKKISVPVRDFVSPPQMIVIRSFDVNKPGCEVLELKGGVVGGSITKGVLKVNQIIELRPGILGKDASGNLRCSPIYTRVASLHSEQNKLQIAVPGGLIGVGTTMDPALSRSDRLVGQVLGQVGTLPEVYTEIKIANTHLLSKLLGVTYEWHGTGKSWWSYKGRNSAAERFIHGNRG >CDP14647 pep chromosome:AUK_PRJEB4211_v1:3:14840145:14842554:-1 gene:GSCOC_T00042039001 transcript:CDP14647 gene_biotype:protein_coding transcript_biotype:protein_coding MALINLDLSFFSIFSLLIFLLSLLKWFSAASKPQKKLPPSPPKLPIIGNLHQLGQFPHRSLQSLSRKYGPLMLLELGSKPMLVVSSSNAACQILKTHDLSFASRPKSGIPDKLFYGSKDIAFAPYGEYWRQLKSISMLHLLSNKRIQSFQHVREEETSLMIEKISRMCSSSAVNLSDMFLVLTNDIICRVALGRKYSEEENGRKSMENLKVFGELLGIFDVGNYIPSLAWVNRFNGLDSKVKKTVKQIDGFLEGVIEEHMNKRKGKAESHSTAEARCQDFVDILIEINEEKTMGFALERDAMKAIILDVFGAGSDTTHSVMDWGMSELLKNPKVLHKLQAEVRDVTQGKPEITRADMEKMQYLKAVIKETMRLHTPVPLLGPKESNQDVKVMGYDVPKNTQVLVNAWAIARDPLLWENPEEFRPERFLSSSVDFHGLNFELIPFGAGRRVCPGINFAMSVTELALAKLVNTFNFTSPDGINPNELDMTESFGITVHRKFPLHAIATPYL >CDP03445 pep chromosome:AUK_PRJEB4211_v1:3:21710150:21719354:1 gene:GSCOC_T00015208001 transcript:CDP03445 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPYPSTEKGGFWASPRAQKDGNASFDGGTRTWNLEDSFQELMNFDAYAGWCNSPSSADQFFGPFGLSPMSSSYVPLDGMNFGEQSTEGFRVADGETGGSSSSGGEDKMIRQDLENRFHVPMDTASEGVDLTGRNDKSCRQHDDDVVNTVNSLISRPPSQTLPEKMLKALSLFKESAGGGILAQVWIPIRDGNTYILSTCEQPYLLDQALSGYREVSRAFTFSAEVKPGSFLGLPGRVFASRVPEWTSNVMYYKEAEYLRVQHALDHEVCGSIAFPVFEDDSFDLSCCAVLELVTIKEQPHFDLEMDSVCRALQAVNLRSAAPSRLYPQCLSKNQRVALAEITDVLRAVCHAHRLPLALTWIPCSYTEAVDEPVKLRVGGSAASSNENSILCIEDTACYVNDQSMEGFVHVCMEHYLEEGQGIAGKALQSNHPFFFPDVKDYHISEYPLVHHARKFNLNAAVAIRLRSTYTGDDDYILEFFLPVNMRGSSEQQLLLNNLSSTMQRICRSLRTVSDAELIGGDDIKVELPNRSVNNLPTTALPRNCSQQSLLNSNSSSSDHLFSGTSETKSSHKGDTASGEQAMAGPKRQSEKKRSTAEKHVSLSVLQQYFCGSLKDAAKSIGVCPTTLKRICRQHGILRWPSRKINKVNRSLKKIQSVLDSVQGVEGGLKFDPATGGLLAGGSVIQDFDTRKGMLCPVKSASLRNPDSLTQDTTSACQTYCMDGENSVVKMEECDMDAIEVQNANMLSPNSRKEASKLQSSTVVCCNDTKLAALDAGQSQPASPWPYSGNASLDSYLKKSSDKEWCLNSDRQKLDKSDHQLISLSSSSLVVVDEIEAKMKSDNGLDGDDGGLEYNQPTSSSMTDSSNGSGSMMNGSSSSSRNFGEQKHSKNESNFGDSSSKLTAKATYKDDTIRFKFDPSAGCLQLYEEISKRFNLQLGVFQLKYMDDEEEWVMLVSDADLQECLEILDFLGTRAVKFLVRDTPQTIGSSGSSNCYLTGGS >CDP14650 pep chromosome:AUK_PRJEB4211_v1:3:15020733:15021109:-1 gene:GSCOC_T00042045001 transcript:CDP14650 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKQDFITQVKIVILNLFLGNICKTWTKEDVIDKVKQYGVANISGSYIC >CDP10325 pep chromosome:AUK_PRJEB4211_v1:3:12839509:12841539:1 gene:GSCOC_T00031018001 transcript:CDP10325 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDEVKQPTAPVEGGGEKKELKYLDFVQVGAIYVIVSFSSLYNYAKESSGPLKPGVKIVEATVRTAIGPVYRKFCDISLELLEFADRKVDESINELDCHVPPVLKQFSHQLLSAPQKAPEVARGVASELQRAGLVDGAKSIAQTLYNTYEPTAKEFYLKYETLAEQYAVSAWRLLNQLPLFPQVAQMIVPTAAYWCKKYNETAAYRAAQRGYGYTVWQNLPVVPIERIGKVFQSVPTVSSDAETLTVSTST >CDO99185 pep chromosome:AUK_PRJEB4211_v1:3:4083896:4085171:1 gene:GSCOC_T00026241001 transcript:CDO99185 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGFRYLSVVEDFFTSFMLQCKGWTSVYINPPKPSFLGSATTNLSDYLVQHTRWYTGLVDIVLSKYSPLIYGAPRMSSILQCMYISHIAYYFLNFFPLWCLAIIPQLCLLQGIPLYPEISNPFFLVFVFVFLSSNLKDIQEVLADGFSIRPWIYDQRMWMIRHI >CDP08069 pep chromosome:AUK_PRJEB4211_v1:3:30338679:30340770:1 gene:GSCOC_T00026768001 transcript:CDP08069 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLCKRLTSKGVRITLVTGTSASISEQNQFESIKIEYILDDDNIEVEGSNASEKVAAFLKRFNSAVSDNLARLVEEKASSDHPVKIVLYDSLMPWILEIVQGQLGLKGAAFFTQACAVSAIYNHIRRGTLKVPLETSTILLPSMPQLESNDLPSFVYNPGPYPGILDLVLSENINLEKSDWLLFNSFDKLENEVVTWLTERYPIKTIGPCTPSMYTDKRLKDDKDYTINFFAPDSEACLKWLDTKETGSVVYVSFGSVSDLGENQMQEIACGLMNSNCNFLWVVRPSEESKIPRDFMSEAQERGLIVNWCPQIKVLSHRAVGCFMSHCGWNSTIEALSLGVPMVTMPVWVDQPTNSKYIVDVWKVGLRVKASEEGEMVTREEVEGTIREVMHGEKASELRNNALRWKELAKEAISEGGSSDKHIEEFVSSLESI >CDP16563 pep chromosome:AUK_PRJEB4211_v1:3:25800762:25810229:1 gene:GSCOC_T00018959001 transcript:CDP16563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 11 [Source:Projected from Arabidopsis thaliana (AT2G43210) UniProtKB/Swiss-Prot;Acc:Q9ZW74] MEQSLSSLTFRGSIMEAIAEAKQQKKLFVVYISGDNPESHNLAASTWMDSRVAESVSKFCIFIHISEGSADAANFSAIYPQKSAPCTTAVGYNGVQLWQYEGFVAADALSSSIEKAWLSVHIQETTATYLSAALASKKQPASGTSDGTSSEEGSSSRTNELSSPAAAQNYSPEVGPSVNPEIVEENNHLECASEEKLEQLHEATAQSSSANEIACGEVRDEKKVSSHHLEAKEEASSIKITKEGTGTNKVEKDDFLKVSANKSNEVHLNIRLPDGSSLQMKFLVMDTLRMVKDYIDENRTSSFGSYDLAIPYPRKVFGEQDLSKTLQDLDLFGRQTLVLVLHHRANWHPKGESSLHDQTNFIRESSSNEGNDGYWGSLRRILSYLNPISYLSGSTNAEDTTAQESQSRIWQYDPNPSLQNDLQGTGISSGAYRSTDTTNRSSNSRSRQLKSSPFGSNIHTLRHDEDDGRFNDRNAFWNGNSTQYGGDNDGK >CDO99129 pep chromosome:AUK_PRJEB4211_v1:3:3483179:3485208:-1 gene:GSCOC_T00026169001 transcript:CDO99129 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLSRFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIVVIDSTDRARISIMKDELFRLLPHEDLQSAVILVFANKQDLKDAMSPAEITDALSLHSIKDHDWHIQACSALTGEGLYDGLGWVAQRVSGKAPSLES >CDO99250 pep chromosome:AUK_PRJEB4211_v1:3:4730824:4732454:-1 gene:GSCOC_T00026334001 transcript:CDO99250 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGDDKGKTASGGKRPSWMMPAVSHGYHVEEDRSFVAALSRGLELEPDKVVVQREEIQENELWFFGVFDARIGEGVSKYIQAHLFDKKVKESHMRKKTEDAIKRAHLNVRAKIREAEKVEDAWKVSSATAIVINGEKLVIANMGEYKAVLCRDGKAYQINREKQGGIRRRWSRKFIPGMNLQANKSYKCSELVVVSERVESDMEFLILASIGIWEVMKHQEAVNLIRHIEDPQEAADCLAKEAVTRMSRSNISCLIIRFD >CDP16821 pep chromosome:AUK_PRJEB4211_v1:3:16149784:16151709:1 gene:GSCOC_T00019349001 transcript:CDP16821 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAPQMLQLFHKGDRVEVLKRDYSYNKDGVFVWFPAIVLQPSSTRSTNRPKNHIYVEFKTLRTIAIAVQDEDKDDDDDHNRTPLPREFVDLGDVRPAPPKELHRSLELENSWRPFVGTEIARARGKSRFWTLWRPLRKSAIVEEVEQWGLRVVRDWDDGFWVPSLELQKPSPDSDTPSQSVKLRMKYHQKSSYPKFHKGMSVEVKSDEEAPGGIPNTHDSRVTTEDETQFFKEEAGISCIRPCPPEIHQVEHFELFTQVDVWYNDGWWVGHISKVKDGFKYKVFFENTNEEIDFEHVQLRPHQDWIKDKWVAAPNELAKMGIKPRDLKLKIKCSRNIEEEKFNKGMMVEVKSDEQGYHGSWYTTAIACPIADDRFLVEYQTLRTDDESEPLLDVAKSSYIRPCPPHIQKLDSYKLLEKVDISC >CDO99291 pep chromosome:AUK_PRJEB4211_v1:3:5095256:5096338:1 gene:GSCOC_T00026388001 transcript:CDO99291 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVHIPEEIIVEILSRLPVKSILKFKLVCKLWNSLLSDPRFSLATKGRERAIFWCSGKRCFSSLDHQYAIREIPRQCWDQRHLDFLGSCNGLVLFSTYDTSYDCYSCCYFYLLNPSTRSFRGLINFSSRMLYGENRITDNPVAYGFCFDKLSDDYKAIMVYYSSSSFPSQRALVFSLKRGTTLREVSLPYRLRGTGVLANGNLHWIDKNNQEIIGGDLIVCFDEATNRFSKLPPPAVVRGGNEPIYRLGVLDGCLCMSRYTAGSYTFKCELFVMREYGVKESWATLCAISGGANLRSVRGWEPLFFTKSGEELLISGSYSILAYNLQTKSLKEIHTYSGQGSYVGPLRYVESLIPAAKF >CDP10818 pep chromosome:AUK_PRJEB4211_v1:3:26382318:26384378:1 gene:GSCOC_T00031705001 transcript:CDP10818 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLLTAILANFLVVHIGAGAAVSDDFGFIYQGFQSSNLSLDGLATVTKNGLLRITNTTKLQTGHAFYPNPLKFKTKSNGSAFSFSTQFVFAIVAEVPGMPGPGMAFVIAPTRGLAEGPSTRFLGLFNTSTDGNRTNHVFAVELDTIQNPDFEDINDNHVGIDINSMTSKVSQPASYQANNKNLFDNLTLSSGQQMQLWVEYDGVARRIDVTLAPIAAAKPHTPLLSLTYDLSPILQQTMYVGFSAATSPRDIGSSHFILGWSFRMNGVAQAFDLSRLPKLPRKWKFAEMLEEWELAYGPHRFKYKDLYIATKGFREKEVLGEGGFGRVYKGVLSTNKVEVAIKKVSHQARQGMREFIAEVVSIGRLRHRNLVPLLGYCRRKGELLLVYEFMSNGSLDKFLYNQPKYILSWIQRLRVIKGVASGLFYLHEEWEQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGTLPQSTHVAGSHGYLAPEHSRTGRATTSTDVYAFGAFLLEVACGRRPIEPQAAPEEKIILVDWVFSCWKAGNILQAVDQKLGTEYVKEEAELVLKLGLLCSHSEPKIRPSMRQILLYLEGSVALPDLSSLAMGVSAVGLGFAHPAGFEDILSSFAFSTDKRFSRSVADSTVSGGRQLRSLSF >CDO99276 pep chromosome:AUK_PRJEB4211_v1:3:4968982:4974998:1 gene:GSCOC_T00026369001 transcript:CDO99276 gene_biotype:protein_coding transcript_biotype:protein_coding MASLELAENGSDGYTQDGTVDLQGKPVLRSKRGGWRACWFVVVYEVFERMAFYGISSNLFIYLTKKLHQGTVTSANNVTNWVGTIWMTPILGAYFADAVLGRYWTLVIACAIYLSGMSLLTLAVSVPRLRPPHCADPKGINCQKADKLQLGVYFAALYILAVGTGGTKPNISTIGADQFDEFDPKEKLHKLSFFNWWMFGIFLGTLFANTVLVWIQDNVGWTLGYGLPTTGLAISILIFLAGTQFYRHRIPTGSPFTRMAQVIIASLRKWKVSLPSDPKELYELDLEEYAKNKKVRIDSTPSLRFLNKACVKTTSTNPWMLCPVTQVEETKQMLRMIPILIATFIPSTMLAQINTLFVKQGTTLNRRLGGFNIPPASLAAFVTLSMLISVMLYDRYFVKIIRRWTKNPRGITLLQRMGIGMILHIVIMTVASLTEKYRLSVAKDHGLVQNAGQVPRSILLLLPQFVLMGVADAFLEVAKIEFFYDQAPESMKSLGTSYSMTTLGAGNFISSFLLSTVSRITKRDGNEWIQNNLNASHLDYYYAFFAVLNVLNIVFFLIMTKLYVYKAEISDSMVVLREELGGLKRMYIVMSVI >CDP16575 pep chromosome:AUK_PRJEB4211_v1:3:26093995:26094582:-1 gene:GSCOC_T00018979001 transcript:CDP16575 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFYYKPRTSPYEFIVPFDQYMESVKNSYSIGMRFKMRFEEADPKRWPKSKWKCLKVRWDETSTIPHPDRVSPWKIEPALTPVLNPLPVPRL >CDO98898 pep chromosome:AUK_PRJEB4211_v1:3:1662560:1670663:-1 gene:GSCOC_T00025854001 transcript:CDO98898 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRRMKLGRLKVQLSDSAQGTRSPIRHPKRVSSYSGQGLAPALNASHESNCQTSSGAPEFNNCTSGSSENWMVLSVTGDKPAPRSSHAAAVVGNKMVVVGGESGNKLLDDVQVLHFDRFSWSTASSKLYLSPNSLPLKIPACKGHCLVSWGKKVLLVGGRTDPASDKVSVWAFDTETECWAPVEAKGDIPVARSGHTVVRASSVLILFGGEDAKRRKLNDLHMFDLKSFHWLPLHCTGPGPSPRSNHVATLYDDRLLLVFGGASKSKTLNDLYSLDFETMIWMRIKIRGFHPSPRAGCCGVLCGSKWYIAGGGSKKKRHAETVILDILKLEWSVAVASPPSSITTNKGFSLVLVQHKERDFLVAFGGSKKDASDQVEVLIMEKSESSLGRRSTLSKGAGNMISENLLKSTGLAAQPSSTVLNGTVESVARQNIASAVEHGSGRRSLSESLLIDPNSSAGNVSLRKQFHDKEDPTNNVPNFSKGVEQKPRIHDTGSQAYTNVDNYNAKEISSASESGNLETHRRQGSGSLSLDSDDLLFQESDGKAGVSVPSNVHQFHQSYELKLGALIRKNGILEGQLAAAISSRESAEKNLSTAVKSRQEMEKKLADAMKEMELLKEKLAGVELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSLENRAPTPRKPFHV >CDP10294 pep chromosome:AUK_PRJEB4211_v1:3:12279109:12282484:1 gene:GSCOC_T00030974001 transcript:CDP10294 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKKVYNDRSVICNFHIRLWCTVSQEFNMKNVLLQILCSDGKHSRKDEFQNLDEHALLEKLYQRLLKNRYLVVFDDVWDIEVWNELRIAFPNDKNGSRIIFTSRFSNVASEVQYGGEPHYLQPLSEKESFELLLKKVFGKEDCPQGLRGIGMRIAKKCRGLPFAIVVVAGILATIEHDILVWEEFAESLKDEKIAAKNLMRLWIAEGFVEKIEGKRSEVIAEEYLMDLIGRNLVMVSKSGCIGGVKTCYIHDLIFEFCKGEAKEKKFLQVLQGYDELSTFIEPPNLPRLSIRSSVEDFIKSKLFCPHLGTLLLFHATPGDEFELRNISFLFCIYKHLKVLNLEGINLKLKELPTEVESLLCLRYLALTAWHMKFIPPSIAKLSHLETFCLYSLELVSLPDSIWNMKNLRHVCVSSAVVIRLSSNDNVVENLSTLPNLDRLSTLRLDLNKEGENILRRIPNVRRLRIFQNRVCCNMSRLECLESLTLGCYHLFGSREHIELSFPKNLKKLSLKNVGLPCRKMSLIEQLPNLEVLKLRAQSTEGQKWELMEGGFPKLRVLTMERAAIVEWIEADPDSDDYFPCLQQLKLFQIFSLKTMPACLRSISTLETIKVNLCGDDVDSLVREIEEAQKYNGNENLKIIYKKY >CDP16833 pep chromosome:AUK_PRJEB4211_v1:3:16494254:16495067:1 gene:GSCOC_T00019370001 transcript:CDP16833 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWWKWLWWIGFWWKWLRWMRVLVEMAWVDTVLVALVEKEKVALAEMERAVTAEHTHTSHRSKSFQMDIYVHNSHNGKG >CDP10885 pep chromosome:AUK_PRJEB4211_v1:3:28336241:28337717:-1 gene:GSCOC_T00031823001 transcript:CDP10885 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKFVPGNSAGTKINFFFSSMQLSSQGERHDEIDFKFLGNASGQPCTLHTNVYAQGKGNREQQFRLWFIQQFDPTAAFHTYSIVWNPQRIIFLVDGSPIRAFNNNEGSGIPFPKNQPMKVYCSLWNADDWATQGGQIKTDWTLAPFLAFYRNFNADASWETQRLDAKGQNRMRWVQSKFMIYDYCKDSKRVNGRFPAECRRSRFL >CDP14663 pep chromosome:AUK_PRJEB4211_v1:3:15318829:15320716:1 gene:GSCOC_T00042065001 transcript:CDP14663 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLRVRSLKSLMSSFQLRTKFLKDQTKISKCSICHGIGKKPTCSSWQAQAWRKRRADMRIYGPFPESKEK >CDO98854 pep chromosome:AUK_PRJEB4211_v1:3:1276776:1278124:-1 gene:GSCOC_T00025795001 transcript:CDO98854 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGDGKKYMGSSGKSQAIRPRKRLSFVTYPIQYVLEEQRLVCLLIGIGIASLIFTAVPALTSSSSSSTPNHHGWIPDSLTESTHHVPRRIALELSDYDRDQSSNGVARLPVGLKNKRLRVLVTGGAGFVGSHLVDRLMERGDSVIVVDNFFTGRKDNLVHHFSNPRFELIRHDVVEPIMLEVDQIYHLACPASPVHYKYNPVKTIISFSCT >CDP14679 pep chromosome:AUK_PRJEB4211_v1:3:15597382:15599304:-1 gene:GSCOC_T00042090001 transcript:CDP14679 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNLVKELNLTDYNLYFAFSLILPVTKSQIKALEPLPHLFLWCPWLLFRQMDPEAARTARESLDLVFHMSNILETGLDRHTLSILIALCEMGLNPEALAAVVKELRWETIPNLSLSASSLSATPPAPSIP >CDP19152 pep chromosome:AUK_PRJEB4211_v1:3:31661893:31669502:1 gene:GSCOC_T00001658001 transcript:CDP19152 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMLFPNMGFLISYNYLSATYGNTLCFKNGFILVGSTLNMEEHEQTHGNLPELALGLASSEGPVLSLQAEHRSSDIEKGKDLAPLTCRRADRQFWKMKPLDARVLLHLAQAGFYGVYCIGQLPLDHSLITALVERWREETHTFHFPVGECTITLQDVAILLGLPIDGDPITSPESSKKMQEWQALCQELLGVTPPPKDFNGNRLRLRCLNERFKSLPSDADDTVILCHARAHILRMIGGLLFPDKSQSKVKLMYLPFLRDLEACGKLSWGSAVLACLYREMCRASKSKAKEIGGPLILLQLWAWERLLPFRLEKKLPRLKPPYTEDESNGSDLVAVEDVEAHVISERDHDLPGKPLGSRWKERFRHKKGVAHNLELYRNQLDRLKEGEFIWRPYTPEVTAQLPSYCLSGQAIWHTVSPLICFSIVEWHLPNRVMRQFGLKQMKPPNCDTRRDLHRTDMRGREGYDFEKLHLHHVLLWRDCNQHIIQGEPFDGIMADDDPYLVWYREITRLRIGNPSQKQEERYVEKEDIIKSIAETIVSIYKKSDGTIKEFNEDLGLQSLVQIRRMCINSLETMQKHPGPAGHLASEIVVSRSTPAPSSSHMPSRKRHRGLVAPRSPRKSRKKNVNKASPITPTDITLHPLPDAASISVHSPLSVLATSAVGLSRDPPAMPVDPPSPSMRLYSPSPSMPIDPPSPSVPIDPPGPSVPIDPPSLSVPNDPPCPSISIDPPSPSVPNDPRPPPVLCLSKNKSSLPVVFGELSSSVLPLPHSCPPLPLSWPSSGLLTREWIMDLEIALDWSSRNMPPEQFSSVVPEEVFNQLVLSASTIMSKEPNCLRIDGELGLSPGSRVVVVGDLHGQLHDLLFLLRDAKYPDDDRFFIFNGNYVDIGAWGLETFLILLAWKVLFPNKVHLLRGNHETKLCSGKHGFKQEILVKYGTKGKDVYQKCLSCFIKLPLSSVIAGKTYVTHGGLFRSITKGKKSKTSVDDLKEIGSLEDLSKIRRFVLDPPLNGKNAIIGDILWSAPSMSPGFSRTNQQSFGLLWGPDFTDEFLKNSNLKLIIRGHEGPDVRKGQLGLGGIDEGYTIDHDVEAGRLITVCSAPDYPQFQAKEARYLNKGAYVVLDHPDFDAPSVRIFEAVTPRPKANAYYDYKNVAGSSEEGNLASSSHEDLDLAGGSDEEINCPH >CDP10300 pep chromosome:AUK_PRJEB4211_v1:3:12371903:12376086:1 gene:GSCOC_T00030980001 transcript:CDP10300 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVPRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMIKLIHHAISRGITLLDTSDAYGPHTNEILIGKALKGGNREKVELATKFAFTYEGGQFGIRGDPDYVRAACEGSLKRLGLDCIDLYYQHRIDTNVPIEVTVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDIEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLVENLTDDDYRKYMPRFQAENLEHNKNLYEQVNAIASRKGCTPSQLALAWVHHQGKDVCPIPGTTKIENLDQNIGALSVKLSAEEMAELESIASAIKGERYESDAGTWKTSETPPLSTWKRT >CDP15103 pep chromosome:AUK_PRJEB4211_v1:3:10921712:10923547:1 gene:GSCOC_T00042676001 transcript:CDP15103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14050, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G14050) UniProtKB/Swiss-Prot;Acc:O23266] MHHSQLLHQLKQCIKYRALIEGQNIHAHILKTRLDCYLPHFSNVLIDLYGKCGLVQDALKVFGKMPHRDLFSWASILTAYNQADLPHRTLCLFTKMSWLDYLKPDHFVFSTLIKACASLSNVRLGEQVHARFVVSPYSHDDVVKSSLVDMYAKCGFPDNARIVFDSICSKNLFSWTAVISAYSRSGRKFEAVQMLQSLEDKNVYAWTALISGLVQKGHYVDAFELFVEMRREGVEITDPFVLSSITGAAASFAALELGKQVHCLVLTLGYECSMFVSNALVAMYAKCSDVSIAKKIFDSMWERDVVSWTSIIVGMAQHGRAGEALALYDEMIMAGLKPNEVTFVGLIYACSHVGLVERGRGLFNSMVKDYGLLPSLHHYTCLLDLYSRSGHLDEAEDLLTTMPFQPDEAAWAALLCACKRHGNIKMGVRVADRLLSLGPEDPSTCILLSNAYAGAGIWENVSKVRKLMTHMDTKKEPGYSRIDLGKESLVFYAGESLHPMRDEISTLLKEMDAEMRRRGYVPDTSSVLHDMGQQEKERQLFWHSERLAVAYGLLNSVPGAVIRIVKNLRVCGDCHNVLKLICSITNREIVVRDSTRFHRFKDGKCSCCDFW >CDO98867 pep chromosome:AUK_PRJEB4211_v1:3:1388901:1390885:1 gene:GSCOC_T00025814001 transcript:CDO98867 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPPDDDLQIPSERPKKQPKIAVTAEKQQPDYGVNDENKPPQATADASVDYIPSENLKPIADPEAEVRGLIEGLESKDWVKVCESLNDARRFALYHSILLLPILDRVMLVTAKAMKNPRSALCKTSIMTSSDIFAAFSDKLLEPSTSDAFDQLLLQLLLKASQDKRFVCEEADNAVKAMVESMTPLPLLRKLKTYVSHSNLRIRAKAAISISRCVSKLEPEGMKEFGLVSLIQTAAELLNDRLPEAREAARAIVASVYEALTENEEEKQEEWQSFCQSNLPAIHAQAMIKLVS >CDP15147 pep chromosome:AUK_PRJEB4211_v1:3:9594992:9595804:-1 gene:GSCOC_T00042741001 transcript:CDP15147 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAILFLCLLAVVLMIASEVTARDLAENTNAAEKSTNGLEESKYPGGGYGGYPGGGYGGYPGGGYGGYPGGGYGGGRGGYGGGGRGGYGGGRCRYGCCGQGYYGCRCCTYAGEAVDAEPETEPQN >CDP10267 pep chromosome:AUK_PRJEB4211_v1:3:11844720:11848137:-1 gene:GSCOC_T00030940001 transcript:CDP10267 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQVSVQVQALEAKLTFLKSFIPFAKLLGTVDIPALLLAHFEVVALNAACLSYICSCWDDAFCNPEFCSMIYEQQQKITPIDFQVYEIYVEVLRATRSSKSLHNRMMDKQILNNFNDSLISCLWELLCRSSSFMDSMKDEMRILYAGLRFLRSILREQQEKMDEQNEKIGALLSESGIIICSPSLKRAKEGEVSFSESTEALACYDMLANTNIRIKHFKDQISGSSIIESLPSFHSLRAPEVSKTSSHMLSKGKMPIAHDVMVGLDDEAAKVIERLLWGPEQVEIVPIVGMAGLGKTTLAKNVHNDSSVICNFHIRLWCTVSQAYNMKNVLLQILCSDGKHSRMDDEFQNLDEHVLLEKLYKKLKKNRYLVVFDDVWDIGAWHELEIAFPDDKNGSRIIFTSRSSNVASQVKYGGEPHYLHPLSEKESFELLQKKVFGKEDCPQALHGLGMEIAKRCKGLPLAIVVVAGVLATIEHDICVWEQFAESLTSTTVSGAEQFKKSMELSYEHLPYHLKACLLYFAAFREDEKIGAKNLMSLWIAEGFGEVIEGKRSEDIAEEYLKDLIHRNLVMVSQNRSIGGVKTCYIHDLILEFCKTEAKEEKFLQVLQGYDELSTFNEPSNLPRLSICSSEEDFIKSKLFCPDLDTLQFFNATPGDKFGMLNISSFFCIYKRLKVLNLEDINLMLKELPAEVESLLCLRYLALRTAQPMEFIPPSIAKLSHLETFRLNSCTTVSLPDSIWNMKKLRHVHIQRNCIILLSSSDNVVENLSTLPNLDTLSHLCLYLDQEGENILRRIPNVRRLQIFNHGGQNRVCCNMSRLVCLESLTLDGDRFSGSREHVELSFPMNLKKLSLCNLGIPCRKMSLIEQLPNLEVLKLRDQSMDGQKWELMEGGFPKLRVLTLECVELVEWTETDPDSEDYFPYLQQLKLDAISNLEMMPSCLGRISTLETIEMDDCGDGVISSVQEIEEAQKNYGNEKLKIIITD >CDO99000 pep chromosome:AUK_PRJEB4211_v1:3:2414813:2416054:1 gene:GSCOC_T00026000001 transcript:CDO99000 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSKFFLLLLAFIAYEVSAARPHKTLVASIAKDTKTSLYSIRLNINEHYVIDLSSPFLWYNCPQQHPLVICRSPQCLQAQSYPPPSCILESKQQLPQVPCTCLVTPVNPVTKLCASAQLTYKNFAISSINGSTPTGSLTFSNTYVSCAPDSLSKSLPKGVIGLAGISRAPLALVSQFTPSFLGLSKKFAICLPDTDAAPGLVFFGDGPYNLMPPTNFDVASILTYTPLQKIPKSPDYYIDIKAISIGGKAILVPRKSLAADKLGHSGVKLSTVVPYTTLRADVYGAFVNSFLESTQGLPQLNAVKPFRLCFNSTAIGFSRVGLHVPPIDLELANGKNWTIFGANSMKQVGEEIACLAFVNGWKTAEQAVLIGSFQMENNFLLFDLAKSTLGFSSSLFFIRTTCGNFNFTNVP >CDP08054 pep chromosome:AUK_PRJEB4211_v1:3:30102778:30105691:-1 gene:GSCOC_T00026750001 transcript:CDP08054 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSQPIIQNPFFSQIQPIILSKERWMTSTNILLMLKILGSGGPVKQELTSQAGATTISVSIDFYEFPSLSFHTIHYAGEDMRQQVRDGEPWKKVYGPVFVCLNSVPESGDPNSLCADAKHPMLQETENWPYDFPRSEDYLSADQHGRLLVRDTDKNLSFIRKNQDLKNGSLAYVCWILANGKQVWIIISFGYQFWTQADNNGNFSFKNQEFERGGGRWGEVQIGAKLSFYLTLTICQLSKIPLDNVIYKPPRNGPALWEIGIPDRTAIEFFAPDPSPLFTNPLYLAHEKYASVVILLDRYHDLVFTVGDSNYEINGFFAQVNRNIGNHAYVPTTLPIVFDLQNIDESATYTLQIALASSTEAELQVRINDPNAGNPIFNEGLIGKDNAIARHSFHGLYWLYGVGVSGSQLVRGRNSIFLTQSRNSTPWEGIMYDYIRIEGPPQDN >CDP19073 pep chromosome:AUK_PRJEB4211_v1:3:32009636:32029534:-1 gene:GSCOC_T00002094001 transcript:CDP19073 gene_biotype:protein_coding transcript_biotype:protein_coding MESSASNGHRFRRIPRQSYAASLKLDPLLDENLEQWPHLNELVQCYRTDWVKDDNKYGHYESIGPIQFHNQIFEGPDTDIETEMHLANARQSKTEDSADEELPSTSGIQPSGSSIPESSNLLLLKHFGESPLPAYEPVFDWENERSMIFGQRNPETHLPQYASGLKIAVKVLSLSFQAGLVEPFYGTISLYNRERREKLSEDFSFQLSPPEMQDASSSSEQRGIFHLDAPSASVCLLIQLEKPATEENGVTPSVYSRKEPVHLTEREKQKLQVWSRIMPYRESFAWAIIPLFDSNITAPSGGSASPGSPLTPSMSGSGSQDHVMEPIAKITSEGKLNYTSAVVVEVSNLNKVKEGYTEDSLQDPKRKVHKPVKGVLRLEIEKLQASSVDWENTLESGHTIYGSVEHVDRLNDPSITRCPSNGSYGPHYASSKSISFQGKEMARNGSIAQSNLEFAADDFQAFDFRTTTRNEPFLQLFHCLYVYPLNVSMSRKRNLFIRVELRKDDVDIRKPPLEAMHPREPAASLQKWAHTQVAVAARVACYHDEIKVSLPAIWTPLHHLLFTFFHVDLQTKLEAPKPVVIGYASVPLSTHAQFRSEVSLPIMRELVPHYLQDTVKERLDYLEDGKNVFRLRLRLCSSLYPISERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNILTRVQQESVDEAERNVYLVNYVDFAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQTRLYYHNLPSGEDVPPMQLKEGVFRCIMQLYDCLITEVHERCKKGLGLAKYLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQAVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTWDHDDLSMRAKAARILVVLLCKHEFDVRYQKTEDKLYIAQLYFPLVGQILDEMPVFYNLSAIEKREVLIIILQIIRNLDDASLVKAWQQSIARTRLFFKLLEEGLVHFEHRRPADSMLISNSSRSPGQEKPASPKYSERLSPAINHYLSEAARHEVRPQGTPENGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASTQALRESLHPILRQKLELWEENLSAAVSLQVLEIAEKFSRTAASHSIATDYAKLDCLTTIFMNVFSRNQPLEFWKALFPVFNSVFELHGATLMARENDRFLKQVAFHLLRLAVFRNDNIRKRAVIGLQILVRSSFSYFTQTARLRVMLTITLSELMSEVQVTQMKSDGTLEESGEARRLRISLREMADESKSPNLLNDCGLPDNSLVSVPQNSSENHWSWTEVKYLADSLLLALDASLEHALLASVMTVDRYAAAEGFYKLALAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVSRNDGVWSNEHVNALRKICPMVSSEITSEASAAEVEGYGASKLTVDSAVKYVQLANKLFSQAELYHFCASILELVIPVYKSRRSYGQLAKCHTMLTNIYESILEQESSPIPFTDATYYRVGFYGEKFGRLDRKEYVYREPRDVRLGDIMEKLSHIYESRMGGTTLHVIPDSRQVKADELEPSVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSICARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLVVTKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >CDO98776 pep chromosome:AUK_PRJEB4211_v1:3:708044:709837:1 gene:GSCOC_T00025694001 transcript:CDO98776 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKMFSLEKLDLGGFPDNFAPSKGTKVAGEGNAKKRTRTRSLGGENGGESEEITSLYSGLSFDNQKDNTAEERISSSKYQHELQLQQFVDFGGLDNLYFDVVSPPFQSCSEEIRKLFNTKPGNPEFVESCKEKPHATSLEILKNYGSRLRRLNGEKINISHFDVASTGISKKKLSTLAILLLAGENFIHTSNSTAKSELSTLSHPYASSFLGLSEEDTKDVQLVQHLLAAAEKVGEKQFHRAGKLLKECKKPSFDKGNPVQRLVHYFSGALHERIDRETGRSRSQGTGRMLTKYVENALATINSTVLAFQKAVPFSYVSQFAGIQAIIEQVEDARKVHIIDLQVRLGTQYTMLIQALAAGSECPVEHLKITAVATSCREAIEATGRRLVSFAESFSLPLSFSIVMLDDILDLHGNVFELDDEEAVAVYSEYFFMMMIGSPDRLESLMGVIRNLNPRVFVITEVEANHNAPVFVNRFTEALFYYGAFFDAFEDCLKDDEANRMVLESIYFSKGIKNIVSAEGEERTIRHVKINVWREFFARFGMVEVELSMSSMYQANLLLKNFACGNSCTLDVDGKALIVGWKGTPTNSLSAWIFE >CDP10337 pep chromosome:AUK_PRJEB4211_v1:3:13015466:13019237:1 gene:GSCOC_T00031037001 transcript:CDP10337 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNIQIPSTKAIISAAASVTATAMLIRSFANDIIPQEFRHLFFTKVHQLFTAFSNEVILAIDEYDGLGQNQLFKAVEVYLGSILSPSTKRLRATLPQKEKKINVFMESNEELTQQFNGIQLKWRMVREQIQPRYVTMPGDYNSTMISEHRYYELIFHKKHKEMVIGEYLPYVLERSKAVEVEKKTLKLFMLGNDRMMGHRGNPWQSVNLDHPATFDTLAMDTDDKKMVINDLENFVRRKELYRKVGKAWKRGYLLFGPPGTGKSSLIAAIANYLKFDIYDLELTDIRTNSDLRRYLISTANQSILVVEDIDCSIELTNNRPKASRAPVHPHQYGQENRFTLSGLLNFIDGLWSSCGDERIIVFTTNHKDKLDPALLRPGRMDVHIYMSYCTPCGFKLLASNYLGITDHPLFLVVEQLMKVTKVTPAEVGEQLLKNGEPETALEGLIQFLEEKKKYVEFEKHKSNQQAPEDAVPLELEEEGGNEGETNVISLEAIKELVKMNKVVKKDEADIILRGLIQLLLEKKETQVLKIDSGSSAEKLSLT >CDP08097 pep chromosome:AUK_PRJEB4211_v1:3:30925265:30925855:-1 gene:GSCOC_T00026818001 transcript:CDP08097 gene_biotype:protein_coding transcript_biotype:protein_coding MINIYIYIHIYIYWLLLTLVFVLKVDLLKTKFGFDEAFNYKEEANVNAALKGYFPVGIDIFFEYVRR >CDO98833 pep chromosome:AUK_PRJEB4211_v1:3:1115386:1117291:1 gene:GSCOC_T00025766001 transcript:CDO98833 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGKKKAAILGVSSILLVAAVVGTVTYSVVSTSSKSVESVCKHVDYKQTCEQSLSGAKNTSDPRELIKLAFTAAVDNIASVIQNSTLLQNAAKDPRTHQALETCKYALNTSIEDLQRSFETVGTFDINKIDDYVADLKTWLSAAGTFQETCLDAFENTTGDTGEQMKKLLKTAGELTSNGLAMVTDISEVLTNFNIQGFKRRLMSSSVEPDFVDATARKLMAASTASLKPNAVVAQDGSGQFKSIMAAVNTVPKKNNQTFVIFIKAGIYKEYVTLPKHVNGIVLVGEGPTKTKITGNKNFVDGVGTFQTPTLSVNGDATILKDLGIENSAGAVKHQAVALRVSGDRTIVYNCQIDAYQDTLYTHTYRQYYRNCTISGTIDFIFGDGSAVFQGCKMVVRKPMENQGCMVTAQGRKERRGIGAIVLQNCQIVADPAFLAVQPPIKAYLGRPWKEFSRTIIMQTSIDGFIAPEGWSPWMGNFGMDTCYYAEYNNRGPGADTSKRVQWKGIKKITPQIAQSFTPGAYIQGDAWITASGVTYASGMQV >CDO99233 pep chromosome:AUK_PRJEB4211_v1:3:4561460:4563775:1 gene:GSCOC_T00026309001 transcript:CDO99233 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKKGPWTPEEDQVLVSHIQKNGHGNWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFSKEEEDTIIKLHETLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLKNHQATSQDIKKQSTQVQRSDPRTPVKIDDQPDESNFTDDHHHRDGKVTTSLGCAPVSPQHSSSELSTVTENDSAAIKQEKMDSPEYFPQIDESFWSEEFPVDNANVQSGIHDGLATNDMQFQFPLSPVAASDEDVNAYISNFDDGMDFWYDLFIRAGELPDLPEF >CDO99166 pep chromosome:AUK_PRJEB4211_v1:3:3876463:3881983:-1 gene:GSCOC_T00026215001 transcript:CDO99166 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVYLPKAQGRIRIDKLKKYKSAIIIKIWKYIKKSKSFSSIFNCSFILDSSIMHHQDGYGKVVLAHFLAATAIGLISAATLYFRRRKSKSSSSEDHHLNKFLAPFLDKTESGRVGKLEKFSDYVARQIGFKDGSECPRLSKLAYQYLKRSNGCHDRIYEYFANEADADSLYVKLVEEFERCILSYFAFYWSHASFMITQVLSVDSEQKKLKDFVMAATRKQRFEKVTRDLKVARVFSTLVEEMKAIGQTNGESKCTEVMAPVAHSERSPVLLFMGGGMGAGKSTVLKQILKESFWSGAAANAVVVEADAFKETDVIYKALSSRGHHDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMVRNVHKHRYRMGVGYKIADDGTVTENYWEQIEEQGEVAQIRKPYRIELVGVVCDAYLAVVRGIRRAVQTGRAVRVKPQLKSHKRFANAFPRYCPLVDNARLYCTNDTSGHPKLIAWKDGDSKLLIDPEDINCLKVVGSLNDEAESIYELHKESDGILKPGSIWNDVVLLPRRKTINQELRTVIAKIEVPAI >CDO98903 pep chromosome:AUK_PRJEB4211_v1:3:1714305:1715905:1 gene:GSCOC_T00025860001 transcript:CDO98903 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLSESFLIRTFKFPNFHLPHDCKAVKAYSAQETNEATGSPAPIPCQFSTNFLSHSSSPNHSCSNLRVSLLLLLLLKALTVYLIEMQIGAWSDQEEERCRTIVVGKSERHSGDATALSNLSQIR >CDO99283 pep chromosome:AUK_PRJEB4211_v1:3:5041433:5046185:1 gene:GSCOC_T00026378001 transcript:CDO99283 gene_biotype:protein_coding transcript_biotype:protein_coding MACFQRESPALKEILLRLYRAEKPMELDHHLYEFGSVEYHVQASASIPDNTYLSIATPLLYQDVVLSSGLPCYTLEMVKGISPDVIEIIEPPKEGYKLTLRLKYSRIPRNKGGVKMIASISSVQGVILSSQLKDMLMNVNSLEVSQGMYRPVKLIYHPREPFFVIKQPEKITAVFPMRFKEDTDVIIATAFFQELMDIGSSKAFVKAPHCIWSPIPPAELRGEPIEDLSTNGGFVSFDITGRHVEGKRLDKTVWNLLNFYAFVKYHVKSTRGFIQRRMRTRLESLVEVLYNAGIKDEHHIKKKNRGPKGVRKLLNFSKSKLLKKRYNFINKIKRIRSRIKIHWFSRFRKRWLNFSRFSSLTRYEKLN >CDP19268 pep chromosome:AUK_PRJEB4211_v1:3:15740678:15752839:1 gene:GSCOC_T00000037001 transcript:CDP19268 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKWGWRKWGFMVFFGIMLLHITAILLFTRGFLLTRTELSQYSQCSDVSESPCLSPEYQNPELNEEEDDSDDEHCCRHQHRNQNQTVNPDIQAQSCWTKPAVDRIVIIILDALRFDFVAPSSFFEERKPWMDKLPVLHKLASKPGLAAKIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIIEDNLIYQLAQNGKRVVMMGDDTWVQLFPHHFHTSYPFPSFNVKDLHTVDNGCIEHLVPSLYKQDWDVLIAHFLGVDHAGHILGVDSSLMTEKLEQYNGVLEAKVLESMSGPGGLHENTMLLVMGDHGQTINGDHGGGSAEEVETALFALSLKNSSSSLPCEFESSSCQLEKEKKRISISSIYQLDFAATVSALLGLPFPFGSIGRVDPELYGLAAGTGEPRILGLNDRSDSGFEEWMKSYVNVLCINSWQVKRYMDVYSASSTIGFSQKDLLHVSELYTRAQEMWSQNIKSSLICNTKSCNKLSSAIMNQIDAYSDFLATVAGLARSKWTEFNIRIMSIGLCLMVASLFTHVYAIKRLDNLFGLYFTHPGNSGISFMAVFAYTIVLIRASSFLSNSYILEEGKVASFLLATTGILQLQYAIVKKKMVLEGLAFILLVPMLKLGIELGQAKQAVNSLFLKFQPSWTLGILRDSQVLMHVVEIVPLLGLILLACMLYKCILCGALKGILKYVVSGTIFNYVLIALIWASDSDLLSLPMVLEAFKGNLIPRIVYASSLLQLLSLAIFQILSRETGSGQEESTVYKALAMLSSWSSTVILLSGKQGPLVALSSVIAGWCIIKLTRFKQDSDSCCTKDSSFYSFPVVQWSLLATSLFFCTGHWCAFDGLRYAAAFIGFDEFNLIRQAVLLALDTFGFSHILPILGLPLLVALLHSRRKAKQGNNLFPIQLCQVYLMYGLIMAVSVTFTILCVTIQRRHLMVWGLFAPKFVFDVVGLILSDFFICLASLYYLV >CDO98814 pep chromosome:AUK_PRJEB4211_v1:3:996162:1004098:-1 gene:GSCOC_T00025742001 transcript:CDO98814 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNIRDILTSFSPSLDFFAITTGDGRIKIWDTVKGQVQTEFADIISSETRNEFFSKPESGGHLSVDYKCMAWLSLDKKKKRKLGTSLLVLGTGSGDVLALDVAAGQLKWRVNDCHPGGVSAISFAPHGSCICTAGADGMVCELDSMSGNLSNKFRASTKSISSISMSSDGKILATAAAQLKIFSSSDHRKLQKFSGHPGAVRCMVFSDDGKYVLSSAVGERYVAIWRIDGGKKKAACCFLSMDHPAVFLECRTIATSDSDDAGLCILAISERGVCYFWYGNSVKELHNLKPTKIIMSYDDTLPKKHKGALPNMFAAKLQSVAKPGFGHVFIAYGSLVKPLFEKILVQPGTDVKLSSSLDGFLLPISQSHKSKKATDNHNQITALDRASAEDALLPMPKIFNLVDKNDRVKPVVSRDAVCKLNVDQATFSMEDRLRSLGIVNDRDGLTSSSMSDSGILKDINLAASTPPRKMKANVVSLGASEAYDLLKVLVAAWQSRSCFGRYVLPWIHCILLSHIKYVVSQEPKTQLLESLYKLCKSKETAIPSLLQLSGRFQLVMAQIDKATKSNEPTLIHDEELDESEDDNVDEVLYGVDEDSQIDSDSDE >CDO98864 pep chromosome:AUK_PRJEB4211_v1:3:1365575:1371824:-1 gene:GSCOC_T00025810001 transcript:CDO98864 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTNELLNNMRVIKFQAWEEHFNKKILQFREKEYGWLSKFMYAISYNMAVLWSVPVVIAALTFVVAILMHVPLGAGKVFTVTTILKILQEPIRTFPQSLMAVSQAIISLERLDGYLTSHELDTKTVEREEGCSDRIALQVKDGTFSWEDEGAEQVLKDVNFQIKKGELAAIVGTVGSGKSSLLAAVLGELKKISGKVRVCGSTAYVAQSSWIQNATIQDNILFGSPLNTKRYKEVIRACSLEKDLEIFEYGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTEIFKECVRGALKHKTILLVTHQVDFLHNADLILVMRDGKIVQSGKYDELLQSGMDFGALVAAHESSVDLVERSANNSDERTQEIYSPRPLPITPKSPHSPKALTPISPVSGQGDPTDESWSLDESNSEKGNSKLIEDEERETGKVSLAVYKQYCTTAYGWWGIVAIVGSSLLWQLSLMAGDYWLAYETSGDTLFNPSLFIETYAAIAIVSCILVGIRMFLQTFIGLKIAQSFFSQILESILHAPMSFFDTTPSGRILTRASNDQFNIDILIPFFLSLTISMYFTVISILFITCQYAWPTIFLIIPLIWLNYWYRGYYLSSSRELSRLDSITKAPVIHHFSESISGVMTIRGFRKQEKFFQENFDRVNANLRMDFHNNGSNEWLGFRLEMIGSFLLCAATACMVLLPSTIIKPEYVGLSLSYGLPLNAVLFWTVYMSCLVENRMVSVERIKQFITIPSEAPWRYKEFVPPSYWPSHGDIEVTNLQVRYRTNTPLVLKGIYLRIHGGERIGVVGRTGSGKSTLIQVFFRLVEPANGKIIIDGINICQLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPIGLYSDDEIWKSLERCQLKDVVAAKPEKLDASVADCGDNWSVGQRQLLCLGRVLLKQSKILFMDEATASVDSQTDAVIQKIIREDFSACTIITIAHRIPTVIDCDRVLVVDDGWVKEFDRPSKLLERRSLFGALVQEYSNRSSGL >CDO98876 pep chromosome:AUK_PRJEB4211_v1:3:1440291:1440847:-1 gene:GSCOC_T00025828001 transcript:CDO98876 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSAGLFLISATLFAATMLVSFWAVGVAASGSHELSYYFPAVTTSTASFCNGGSIESCLMSEQEEEGDDDDQEELEMDSETNRRILYWRRRYISYGALTRDRVPCSRRGYSYYNCRPGRPVNPYNRGCNAITRCRR >CDP10271 pep chromosome:AUK_PRJEB4211_v1:3:11916542:11918865:-1 gene:GSCOC_T00030945001 transcript:CDP10271 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQAERCRRVVLVAYPLQGHLNPLLDLANILHSRGFSITIVHPKFNFPNPANHPDFQFVPISDGISSWDVSPSNLVGLASAINTNCREPFRECLSQMMQQQQEEKQHDQVVCIIYDFLMHFAETVANQMSLPSIIFRTSNAAALLALCTLPRLKAEGFNCLQDSTLNELVPGLHPLRFKDLPTASMGNLENLLQLVAVVCNIRTSSAIIQNTMDCLEYSSLRQLQEHYSVPLFTLGPLHKMALAKPINLVSKDDNTCIEWLEKKAPNSVIYVSLGSIVRMDQNELTEMAWGLANSDQPFLWVIRPGLGGGSDAHLPEGFQELTGNRGCIVKWAPQKDVLAHPAVGGFWSHCGWNSALESISEGVPMICKPYFVDQTVNARYLTREWGIGIELDEVVQRTNVAKAIRRLLVEEEGSRMRQKVIALKDQIKDCIKDGGSSYNSLNKLVQFISSF >CDO98817 pep chromosome:AUK_PRJEB4211_v1:3:1021770:1022686:-1 gene:GSCOC_T00025746001 transcript:CDO98817 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNLDSWLMRSGLGEINGPGWRACTMGLAKGKGISCLSSESGGFVGIDMYAGWLVVALKTSFLFLT >CDP08114 pep chromosome:AUK_PRJEB4211_v1:3:31155616:31160926:-1 gene:GSCOC_T00026843001 transcript:CDP08114 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVPHPVLKKTKIRKTSGAGEEEEEDREETTTKGQEEALVYLIEHRTKEVEFLRHRITYYATQLDQAENRLEESKIQLACIRGHDSPTTTKAFLGNEQDAASPSGLSEYSSKNQPKLVIPSIPASSLRASQLVKTKESGSKVASSSSSQQNAITPTNGNTCLKVREDRPYKISPDPEVSASQTKAAKSIIELKEHKQLIPLVRSSSSPIMIRYHTASVICSQHRRKLRSLTYVLQMISYLRPVLWMGSSTCGRFRVKVWVACFLYGKRRSSAYLLSTTDCASAKQRRWPEDIAWHPQGDSLFSVYSADNGDTQIAILNLNKTKEETRASFLEKKPHVKGIINSITFMPWKDTCFVTGGSDHAVFLWTEKDGENPWEPKMLHQSMHSSAVMGGGGVSGLQRKTTVLSAGADKRIIGYDVASERAGYKYQIESKCMSTVPNPCNFNLFMVQTGTPERQLRLFDIRLRNTELHAFGRKQESSESQSALINQALSQSIKAHQKRVFKALWHQALPLLISISSDLNIGLHNTN >CDO98991 pep chromosome:AUK_PRJEB4211_v1:3:2338638:2343984:1 gene:GSCOC_T00025988001 transcript:CDO98991 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSSAPAAAGGDGGGPINKKNQLVGVMFKYGPKSIQVAFKTGDYKQQVIFIGGLTDGFLATQYMEPLASALEKEKWSLVQFLMSSSYSGYGTSSLKQDAMELDQLINYLINKEDSEGVVLLGHSTGCQDIVHYMRTNTACSKAVRAAILQAPVSDREYNATLPETASIIDLASKMISEGRGSELMPREANPDVPMTAYRYHSLCAYNGDDDLFSSDLSEDQLRQRLGHMSHTPCQIIFSMADEYVPEYVDKTALVERLCRAMGGAEKVEIEWGNHALSNRVQEAVQAIINFVKREGPKGWDDPWS >CDO99178 pep chromosome:AUK_PRJEB4211_v1:3:3979089:3979485:1 gene:GSCOC_T00026229001 transcript:CDO99178 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLTNLCILSFPFFFGFAGKSSWPELLGVDGDYAKAVIEKENPLVTAMIVPEGSSVILDFRCDRVWVWVNKNNIVTEVPHIG >CDP19164 pep chromosome:AUK_PRJEB4211_v1:3:31776426:31780342:1 gene:GSCOC_T00001675001 transcript:CDP19164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B10 [Source:Projected from Arabidopsis thaliana (AT2G15280) UniProtKB/Swiss-Prot;Acc:Q6NPD8] MGDSHRHSSSVHQALGGGSVADVLLWRRWGTSVAVLVGSTTLWFLFERAGYNLLTFISNVILLLVVILFFWAKSASLLNRPLPPLPDLVVSEETVTKAADVTRGWINNVLLIACDIAIGGNLKLFVQVAAGLWLVSYIGSFFNFLSLLYIGVLLSLSLPFLYDKYQDQIDIKLVVAYDSVQVQYRKLDHKLLRKIPGMLNKEKKTQ >CDO99307 pep chromosome:AUK_PRJEB4211_v1:3:5287619:5292076:-1 gene:GSCOC_T00026416001 transcript:CDO99307 gene_biotype:protein_coding transcript_biotype:protein_coding MHALKDTVSEKLSQLFSDSPSQNSDQQPQAGQFMKERKSWSSIFSFGLPFLSFEWFRPNNHKNDIKLQQSDSFSWRSKSFSFKDRPLDRHSEPYNEYGNSGSLSVHEENGNHVSIRKLDFQKKEYSDTHIENGEPGSGRSTTSCGSDIFEDATCPNSFQRSLSNLTDDSVFISPDLYEFFESSLPNIVKGCQWTLLYSTARHGISLRTLIRKSAELSGPCLLITGDNQGAIFGGLLECPLKPTAKRKYQGTNQSFVFTTLYGEPRLFRPTGVNRYFYLCLNDLLALGGGGSFALCLDGELLTGSSGACETFGNLCLAHDEEFELRNVELWGFTHASRYLT >CDP11120 pep chromosome:AUK_PRJEB4211_v1:3:7407470:7413596:1 gene:GSCOC_T00033163001 transcript:CDP11120 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTTSRTLPLQARPSDYHPYPPPMATYEEVVASPQLFWVTLEKLHAAMGTKFMIPTVAGRDLDLHRLFVEVTSRGGIAKVSGHNLAFSFWCLIGILFFLWFQFLLCKAHFILQERKWKDVIGVFSFPSSATNASFVLRKYYFSLLLHYEQIYFFKAEGWTSDASHSSSSPITPLPRELTKTTQSSLVNQAGMQQARVVESAKLLPEACPALSTGSEVHGVIDGKFESGYLITVKIGSEELKGVLYQAPFNSAHLKFQHQNTQQCQRASGSKTKSPSVALGIARRRRRKKSEIKKRDPAHPKPNRSGYNFFFAEQHARLKPLYPGKDREISKMIGDSWNKLNDSEKAVYQEKAVRDKERYRIEMEGYRERLRTEQTLGGQTITDAVVMQQQNHMPDLNLMDLDKNFQMEDAPYIPENEVSSDSGKRNVEGHHQIMEKGAQMEVLCIAEIAPRNASEEIMVDEEGTKIQKRTDVDAEQHKLCSGDIAVEIDKVGLATGEEVPVSIQENMRAPDAGI >CDP11151 pep chromosome:AUK_PRJEB4211_v1:3:7900239:7901919:-1 gene:GSCOC_T00033211001 transcript:CDP11151 gene_biotype:protein_coding transcript_biotype:protein_coding MNERASEESADELSPTLEDKGSTRVRRFARPPGRDRSTVPGEPEQPNTSDESSLKVEEANKKGQITEDRRVDRQNPIPENANGEGSGDDITSRSTKMAEAKISHQNLDHDSARSIEEEKVKDKPRGSAVLWCCSCCCCCFRHEEK >CDO98702 pep chromosome:AUK_PRJEB4211_v1:3:131740:139598:1 gene:GSCOC_T00025599001 transcript:CDO98702 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIGGKAYHYYGPVVSDLKALGKRTVEWDLNDWKWDGDLFTAAPLNSLPSDCRSRQFFPTGSEIPTNSLRELEKRRRGVDGEDEELTDEAGSLHLKLGGHLYPITEGDVDKWEGKSGKKTKVVGPSSNRAVCQVEDCRADLSNAKDYHRRHKVCDVHSKATRALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNRRRRKTHPESVESGTPATDERGSNYLLISLLRILSNIHSNNSSDQTKDQDLLSHLLRSLAGLAGIVNEKNLTGLLPGSQDLQNAGTSDGNPAKDPSRNMLQYSTMPASESAQKRILGDDDNGIVRISSPAQSTLLLPPIEGILTKASSLGTTVGKTRMNNIDLNNAYDDSQDCIENLQSSDCPTHIGKASSGCPLWVYQDPYKSSPPQPSGNSGSTSTQSPSSSSGEAQSRTDRIVFKLFGKDPSDFPLALRKQILDWLSHSPSDIESYIRPGCVILTIYIRMDKSTWEELCYDLTSSLRRLLDASTDSFWKSGWIYARVRHRVAFVYDGCIVLDTPLPHKSQKSCRILNINPIAVCASGEVKFSVRGINLSQPTTRLLCALEGKYLAQERCADVIGGADLYIEHAEIQTLNFTCTVPDVTGRGFIEVEDHGLSSSFFPFIVAENDVCSEISTLESVIEAAEISNGLHGDNQNLEDRNQALDFIHEIGWLLHRSQLKFRLGQQDPNLDTFPFQRFRWLIEFSVEHDWCAVVKLLLNVLFNKLMGEEKRSSIEDALLDIGLLHRAVRRNCRSMVEVLLRYHPDADLNKLSPIRYVFRPDVKGPAGLTPLHIAAGRDGAEHVLDALTDDPGLVGVEAWRSARDSTGLTPNDYACLRGHYSYIHLVQKKINKKSGSQHVVLEIPDGHLESSMNQKTADENKARKVSSLSTEMSVVKPSHVHCRQCEQKLAYGRNRTSLAIYRPAMLSMVAIAAVCVCVALLFKSSPEVDYVYGPFRWEYLEYGSS >CDO98858 pep chromosome:AUK_PRJEB4211_v1:3:1319312:1322666:-1 gene:GSCOC_T00025802001 transcript:CDO98858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 49 [Source:Projected from Arabidopsis thaliana (AT5G49060) UniProtKB/Swiss-Prot;Acc:Q9FH28] MDGNKDEALRCIGIANEAIASGNKQRALKFIKIAQRLNHSLSVDDLLAACEDLDSSSASPSSTVSSSNHVRNEEGGVKVDGVSNGERTYTEEHVQLVKKIKSKKDYYAVLGVEKNCSVEEIKKAYKKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSEDDSRRQYDETGLVEEFEHNQQYNVRRRRRTTGYGIYEDDFDPDEIFRAFFGQNDMFRTAHVYRTRSAGGQQRGDLGGNGPNLMLLLQLLPFLLIILLAYLPFSEPEYSLQKNYTYQFRKETEKHGVEYFVRSSEFDHKYPMGSPGRENIEVSVIRDYKNMLGRYCHIELQRRQWNRNYPTPHCDRLQEFGVA >CDP10355 pep chromosome:AUK_PRJEB4211_v1:3:13584360:13586835:-1 gene:GSCOC_T00031063001 transcript:CDP10355 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTDQSSGFDSPRLVVKKVLAKPQSEGDGAVVRRSIGRSELKYIDPFLMLDEFAVSPPAGFPDHPHRGFETVTYMLQGAFTHQDFAGHKGTIRTGDVQWMTAGRGIIHSEMPAAGGLKSLEVSIFSIKSLLLGNFLDLFFDNFESDLAGESMGVHSPVYTRTPTMYLDFTLKPRAQYHQSIPESWNAFVYTIDGEGVFGIPNSSPVAAHHALLLGPGEGLSVWNRSSRPLRFVLIGGQPLNEPVVQYGPFVMNTQAEIDQTEEDYHYAKNGFEMARHWRSK >CDP20781 pep chromosome:AUK_PRJEB4211_v1:3:28615194:28616780:-1 gene:GSCOC_T00013027001 transcript:CDP20781 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFFGSITSEQRWTDQLNEHFIIYIDNHASIFRVPKKVCDTKPEAYAPQQIGLGAYHHLRPDLDEMEIRKLEAVKKYLKPEQCQNFKSLIVDKVKVLEPSVRTCYSKYLDIDGGTLAWIMSIDGVYLLHRLGTYTDKASVDSADRKLAQDIVMLENQMPVIVLKEILKALQPPVEDEEEEEDDGEDEDEGEEEEIKKVEELFSQFLSFCKAHTPLGLTDKAGILGDTSNPHLLSYLYNLIVKNWYIEEEYVESASDRELDEVAEKVTQVARVAAELTGQKPLLLLASLPLQKFAALFKKDPRRGNSSIEEIRIPSVSELDGVSKVKFEVWPDSGIGMKYEEEGRILYLPAITLDIDSEAILRNLVAYEVATASPKSTLILAGYVDFMCGIIDTAKDVDLLKEKGIIISNLPSEEIAKIFNGISKSSSQNPLPELEEATQKLNAIYDNTFRVKAWRFIRDHFVPSEAAVKIFLAILLVVLLTVQAFCQVYGCSARWFGKISSISSF >CDP10837 pep chromosome:AUK_PRJEB4211_v1:3:27099395:27108875:1 gene:GSCOC_T00031735001 transcript:CDP10837 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKPGPSVSAAHGTSADVFPHKNHRRRHVIDLPHNFKTLKDQVDSLRAHWKVISDDINHQSATRQRNDNHAACHSEIEWILKYYQENIVNRYKKLVGIESGGNQTPVHELSAASKGWKNKFRNSTFYKLARLDKDVLKFATEVTNLENKITLEHVTSTINPGIVVTQSAQELTHVPSHEAVLEILEDCLCDRGCKRIIIHGEPQVGKTNILRNLNNRLVQCPPGLELDYVIWVTFPTHLLEPEDIITDIQDKILQRLGLNGQSSGSGKKVVISRALHEKSYLLLFDGFSSSIELEDIGISEEHVHGKVIIEAKDPYLLRNFPFDKAIKLERLPPQDSRKLFDKIIYDETFLQHWEKNADLIVKELGGLPGVITSVAGQLKINKRKQYWEDMIRRLKADIWDNNLLELAGLAGVKLAFDTAYSKLKENERKCILYAALFPKDFTIPIDILVECWKAEEFLWCPIPTLSHARREGACVLKQLIELNLLEKCFEHHVKMPIIYRRLALETPFPGEKNATSFVRSGPEIDGHLKDEEWEMARRVSLIQSQLEELPVSPKCEGMSTLFLQFNPNLTSIEEPFFRRMQNLRVLDLHSKGIKLLPPSISYLMSLRSLYLNNCCDLTVLPPEIVKLKKLEVLDIRGTSIHCLPEEISSLFGLRCLRFSFVLEACNPNPEIERTWLIFSPGTADQLDKLEELTIVLVNGSNDGIVDRIKAEVLEFENKNNQFKFILHRPSQSVDQGNLVQLKGKAVYLPNPSVTASHAHEPTTGNQSPRSDNKSCVLRVNIHCEGCKKKIRKTLLKIDGVYAVKIDVDQQKVTVTGNVDPATLIKKLATAGRHAELWGAQKGGSGAFNLNNQFKNMQIDNFKGGEDNTRWEGGNKKKPGRGGSGGDNKKNESKDDAVRDYPAPMPYQYPPPVPVYYTAPVPAYYTAPVPAYYAGSAEENPNACVIC >CDO98978 pep chromosome:AUK_PRJEB4211_v1:3:2238265:2240898:-1 gene:GSCOC_T00025970001 transcript:CDO98978 gene_biotype:protein_coding transcript_biotype:protein_coding MHPASSIDSEGSIHLQISEAGSSGPGPSPTAILQPQSSIEIKNTSSTGSSVTPARQVEAIRAPEKKLTLFALRLAVLEKAATGLGTLGFIWATVVLLGGFAITLDKTDFWFITIILLIEGTRIFSRSHELEWQHQATWSLADVGISSFRAIRSSSNFVIKTVKAIFRPIAAVGNPGKGQSREIITQSIHQANRNNWHQKRMPTRTWNSSEVPLIPYTSWVFISRNISKLLYWLQLISATTCVVLSLMKLIKRNFGAVSKDDSDKRNRKAALIIFYSLALAEALLFLLEKAYWEWNVTFRKILDEVNKQCEMGTSGMLAIRRFFYDAYSKCVYGSIFDGLKMDMVSFAMDLLGSNSPDEQLMGAQILETFSKSKRFSDDTLQKIGINLSVTERVVEMLNWKDPEEEQIRKSAAEIVAHLAGKKQNSLRVAGIPGSMESISSLLHISRSFGDAADEIPEKSIIFDDGHYSFWTFNHLGLTILKQLARDHDNCGKIGNTRGLLPKIIDCTHAGERLLTDATATPSQILTVKRSLQVVKRLSCTTGATGKQLRREISEIVFTISNIRDILRYGEKHPTLQKLGIDILRNLAMEEDATERIGGTGGVLKELFNIFFKQVTDEKHKDVKIAAGAALSMLAFESTSNCLRILKLNVTEKLVKALEDPLLRINAGRILRNLCASSGEDSFDQLHHLTDAGPTVLQAIMTKETKLQEVMIGLAARIFKFMASWESSIMFQRAGIQEAEVAKKLVATLKQYQYPSIRVPRMRRFVLELAIWMMKDKKTNILTFKSLGMEEQLEHMMDTISDIESFNIFSGTVGLSRHSTTIHSLVEIAMQLLVDG >CDP03498 pep chromosome:AUK_PRJEB4211_v1:3:18426760:18453141:-1 gene:GSCOC_T00015313001 transcript:CDP03498 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVKLPEGLPSFSHIYHNDFVGRKPKKMKDDDVAICFCKYDPNNPESACGEGCLNVMTSTECTPGHCPCQDHCKNQRFQNCEYAKTKLFQTEGRGWGLLADEDIKAGQFIIEYCGEVISLEEAKHRSQSYEAQGLKDAYIISLNSNYFIDATKKGSLARFINHSCLPNCETRKWTVLGETRVGIFAKVDISSGTELSYNYNFEWYGGATVRCLCGAANCCLFLGAKSPGFQAYNHVWEEGDDRYRVEEVPLYDSAEDEPFPRIPETISPFKFESLDGLEADYSQKLEVGDVFGQELDSSNTVKLHNSVQVGGTTTNTEKAEVLESGKSKEEDMQQAFYQTSAVLSGLRSNTASQNFNVGSGSSPKKRSQRLPKQKKRSTGGKQSNTKNIAALFPSKEAQEEVRKYEEMKNQAAANLKSVYDEIRPAIEKHERDGQDNVPPSVGTKWVGAHCAKYKADLNFSASVIKNFLDIAQKGGSGSKAGTGPKASGSGDPPKE >CDO99296 pep chromosome:AUK_PRJEB4211_v1:3:5134443:5138694:1 gene:GSCOC_T00026394001 transcript:CDO99296 gene_biotype:protein_coding transcript_biotype:protein_coding MISLEKSKRKNLIETSSLFTRYFLLLPVATGTEDKRRKSQYPPPQLPFFPPWRRSRCSIYAGMNLSGDNIYCM >CDO99051 pep chromosome:AUK_PRJEB4211_v1:3:2833181:2837278:-1 gene:GSCOC_T00026068001 transcript:CDO99051 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDQNQKDKERVQAVLELLKKQAPLSVKQEKFCNNACVERFLRVKGDNVKKTVKQLRNCLAWRESLGTDHLIADEFSAELAEGLAYVAGHDDESRPVMIFRIKQDYQKFHSQKLFTRLLVFTLEVAIQTMAKNVEQFIILFDASFCRSASAFMNILLATLKIIAEYYPGRLHRAFAIDPPSLFSYLWKGVKPFLELSPSTMVVSSLDFEESLEFNNFKSYPRASSLRFDASSVPSAAKIGACSSSRFSFTVSHHFDSLKPWYLTLTDTSASKVGPTAPSSTLLGPALISPLNARSFSFASPAARTPPDTFGAVKKGFFPSTPMPQKTQKFDLSSINHPRTPKPSFLQSPALFFRKDCHVSRADKCRESFVPYLKFYRRPYDEMTYRSKMRPPLGGLISIVSPHLRRRHMSVSQRF >CDP14668 pep chromosome:AUK_PRJEB4211_v1:3:15466669:15471505:-1 gene:GSCOC_T00042074001 transcript:CDP14668 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGKSFARRDKLLGIESEVQKWWAEGDVFRADPKESVPKPGEKFFGNFPFPYMNGYLHLGHAFSLSKLEFAAAYHRLRGANVLLPFAFHCTGMPIKASADKLLREIDGFGNPPIFPVVKEETAEPEVKPEAENEGNQTAPGGKFKGKKSKAVAKSGGAKFQWEIMQSYGLTDEEIAKFTNPYHWLTFFPPLAVEDLKSFGLGCDWRRTFITTDMNPYFDSFVRWQFRKLKAMEKIVKDLRYTIYSPLDGQPCADHDRASGEGVLPQEYTLIKMEVVPPFPAKMSVLEGKKVYLAAATLRPETMYGQTNAWVLPEGKYGAFEISDTEVFILTRRAALNLAYQRLSRIAEQPTCLVELTGQDIIGLPLRSPLAFNDMIYALPMLSVLTEKGTGIVTSVPSDSPDDYMALQDLKSKPAFRAKFGVKDEWVLPFEIIPIINHPDFGDKSAERICIEKKIKSQNEREKLDEAKKIIYKGGFYEGTMIVGNYAGMKVQEAKSLIRSKLLESNEAVSYSEPEKKVMSRSGDECVVALTDQWYIIYGEQEWKKLAEECLANMNLYSDETRHGFEHTLSWLNQWACSRNFGLGTRIPWDEDFLVESLSDSTIYMAYYTVCHLLQKGDMYGNDTSSLKPEQLTDEVWDFVFCGGPYPKSSDISSSLLNQMKQEFEYWYPFDLRVSGKDLIQNHLTFCIYNHTAIFPTNLWPRGFRCNGHIMLNSEKMSKSTGNFRTLRQAIEEFSADATRFSLADAGDGMDDANFVFDTANAAILRLTKELSWMQEVLAAEDSLRSGPPSTYADKVFDNEINIAVKMTEKNYGDYMFREALKTGFYDLQAARDEYRLSCGVEGMNRSLLWRFMDVQTRLIAPICPHYSEHVWREILKKEGFVIKAGLPEADFPDLVLKKANKYLQDSIVSMRKLLQKQVSGSKKGNANILNSQNKPNTGLIFVNEQYDGWKKECLIILRRNYDSVTCKFAPDQEVISELQRSAIGQEGNFKRIQKLCMPFLRFKKDEVMAVGIQALDLKLPFGEIEVLRTNSDLIRRQLGLDNVQILSAEDPGVIQRAGPHASLLRQNPPSPGNPTAIFLNE >CDP15464 pep chromosome:AUK_PRJEB4211_v1:3:17291136:17297152:-1 gene:GSCOC_T00043206001 transcript:CDP15464 gene_biotype:protein_coding transcript_biotype:protein_coding MAINFACGKIGQSSELEKDLENLKRTLTLIQDVLHDAEKRQVNERSVKHWLENLELENQNQRKRKLGLSFSRSDSVEFHSNMASQIQEINANFTSINEQASKLGLQPHNRARDAPALSPLSGGGFMENRETDSVTVDTGFVGRDDDVSAIVTELTATSNNETISVLPIVGMGGIGKTTVARKVFNIPNIENHFDKRMWVCVSDIEKHFDANRIFGLMLEKLGVPMAGVAGSDSREARVQKLKEILDGKKPLKYLLVLDDVWDVSQGALWAGFLDSLRGISSAKGSWVIVTTRNKRVANITAFASDPWPLKVLSNDDCWLIISKNAFRDREAPGDLKELGLELAKKCQGLPLAASVLGGMLRIRERNEWQSILDTGLQNIGGDEDGDITKILKLSFDHLPYPSLKKCFAYCSIFPQDFEMERNQLIQLWAAEGFLHSNPRNRMSMEEVGNKYFTILLERNLFQDAKEDDYGNVLNCKMHDLVHDMVQFISDSKTLRLTESSSIDMETSSIRYLALERSEKEMPFPSTESFKRITTLFLQGNRSLNDREMSFFMLRVLNLRASSVEELPKSIGKLTHLRYLALLGTSIKILPESLCQLYNLQTLRGKYYYRPLAKFPKNFKNLVNLRHFDFFSMDKSSDIMPFEIGQLQFLQTLPFFNIGEERGRQIGELRNLKNLSGQLELRNLELVKSKKEAESANLIGKPNIDELKLLWNEIGNSRNNDSEYNQVLEGLQAHQNLKGLIIERFYGSQLSPWIGKLGKLVKFELRNCKSCKELPTLGNMPFLRFLHLDGLDSLASIGPSFYGRSGVHSGSTSQGHVNLFPALEDLSLGDMPNLREWMEATVDDGTVVVFPVLHTMRINNCPQLATFPNYFPRLEELNIRKTQNGSALMTYICSGVSTLTRLFVENVNGLTKVPNVLFQNNHKLAHLWLNDCGDLTQFLDFSFEVPQTSEGPNLYECPNLISVPIDLTRTPSLSSLSISKCKELTDLPKGKLCSLTRLRQLYIGPFSETTTELHSFLDLFDALPPPHPYFPSLSILWLYGWPHWESLPKQLQHLSALTTLGLYGFGVKSLPDWFEKLSSLEELDLDNCKKLENLPSHQSMRSLTRLRGLWIRDCPLLKERCNPVSSSSSSSSSDSMSECSQIMSVSPTKATSYCSFLFLKRTCSLGEGLLSSKVSIRALPRGTLPSSRYGEYFEAIHLLVADCLRAGHLMYGLKTCFEVFLCVKSCDHKLFSQSDGAITRLMENMPWLMDYGES >CDP16573 pep chromosome:AUK_PRJEB4211_v1:3:26066689:26068574:1 gene:GSCOC_T00018977001 transcript:CDP16573 gene_biotype:protein_coding transcript_biotype:protein_coding METKLTQVDNLGAKLVIFPISYLSKPQINSKLKYNTKNQGTITSRTCSTFIFLICNTTSSKRTSSSFSLPASSVPPKIGGLSISCARVGGVEIPNSKRVEFSLQYIHGIGRTTAKQILVDLGMDNKFTKDLSEKELTSLREEVTQYMIEGDLLLFELELRSTALAIRRLKEIQCYRGVRRHIQGLPCGGQRTKNNCRTLKGKKVTVAGKKKAPR >CDO98793 pep chromosome:AUK_PRJEB4211_v1:3:840473:842496:1 gene:GSCOC_T00025715001 transcript:CDO98793 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFKNLFFDFSRNFGQSLPKSRTKGLFTLFLTLVLAIIFFSPLSNKSPAVTSKNLLSHLHPGSSYISSLSSIVPGEPHFISQPPRTCTDAVTFSAVNKSNETSRMHETVATHENIISSCDIFDGNWILDDSEPLYRPGSCPFIDDAFNCFKNGRPDSDYLRLRWKPHGCEIPRFDGLKMLKMLSGKRLVFVGDSLNRNMWESLVCALRGSLGNTSNVYEVSGRREFRTEGFYSFKFQDFNLSVDFIKSPFLVQEWKLTTKAGMRRETLRLDMIQASSTKYHDADIIIFNTGHWWTHHKTSKGNNYFQEGNRVYNTLGVTDAFTKALKTWARWVDSNINSSQTTVFFRGYSASHFKGGQWNSGGSCEGETVPITSDTYLSPHPWMMTILESVISEMKTPVFLLNITKMTDYRKDGHPSIFSQPEAIRRPGMIQDCSHWCLPGIPDSWNELLYVSLLASRNKFS >CDP19616 pep chromosome:AUK_PRJEB4211_v1:3:13876127:13878939:-1 gene:GSCOC_T00007798001 transcript:CDP19616 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNQLHFILFPLMAPGHMIPMIDIAKLLAQREVLVTIITTPINAQRFSKTINSAIESGLQIQLIEIRFPSVEAGLPEGCENIDMLPSLNMAANFFVALSLLRQEVEQSLKEVNPKPSCLISDMGLPWTTQIAKRFDIPRFVFHGTCCFSLLCSYNILVSRIRDDITSDTEYFVVPGLPDRIEVTKAQTSGATNANSSALKDVTHQIREAEETSYGVVINSFEELEPEYVKEYRKAKGKKVWCIGPVSLCNRDNSDKAERGNKVSIDQEHCLNWLNSRKPRSVVYASFGSLSRLTPLQMMELAFGLEASKRPFIWSIGGGGQVEALEELISGSGFEERTKERGLLIRGWAPQVLILSHQAIGGFLTHCGWNSTLEGISAGVPMVAWPQFAEQFYNEKLIVKVLKIGVSVGVEVPVKWGEEDKAGILVKREDVKKALDELMEDGREGEERRRRATELGEKAKKASEEGGSSHKNMTMLIQDVLEQQS >CDO99367 pep chromosome:AUK_PRJEB4211_v1:3:5968224:5969591:1 gene:GSCOC_T00026510001 transcript:CDO99367 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFLLGFLIFSLPLHLVFSSHCTTVTNAKTFEKCMALPSQDASIAWTFHAHNATLDLVFFGTFISPSGWVGWGINPTSAEMTGTRALIAFPDPNSGQLVLLPYIIDPTVKLQKDPLLSRPLDVHLLSSSATLYGGRMATVHNGATIQIYATLKLVPNKTKIHHVWNRGLYVQGYSPTIHPTTANDLSSTATIDVLSGIAAKGNNNNIYTLKIIHGVINAISWGLLLPIGAITARYFRHIQALGPAWFYAHAGIQLFAIFIGTVGFAIGIRLGELSPGRVFGLHRKLGFAIFCLGWLQTLALLFRPKTTNKFRKYWKSYHHFVGYACVVIGVVNVFQGFDVMGLGRSYAKLAYCLGLSTLIGVCIALEVNSWVIFCRKAKEEKLRREGFISGCSDKASGSSRG >CDP08083 pep chromosome:AUK_PRJEB4211_v1:3:30732486:30738269:-1 gene:GSCOC_T00026795001 transcript:CDP08083 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIYKFRGRKCRNFHLLFPAAFLFFTTQLCMIQGGEESNSSVSRRIVAFKPRQVLLAQQKEKGKLKRTGQTMTKTLVKEEQQQPETKIALLHSVIQYIHDKGFAKTFKRLLKEAQVEDDSWKADSFDLEEMYCKYLDNCRSADTDFKGQKEQEQGADGTMDKDAPVETASKKKKKKSTEENDDAKSVISEVKADEFMKEPIDKKKKKKKNKLTSESLDDNGKQEDSLPKVIEGKPESLEIISANGNKDSSETTKPKDKLKKQKLRMVSLVDDGKGAESAVIRNTDTDTVDVPLDDSKLKLKEKKRKKKDVSDTGVENKGNSQETSDNVANKESKKRKRSASDENKDKPVEGAAIEESKRRKTEGIEEAEVVVKQGEVNSVLGGDGHVGAETEKENGDVSEPQKQFNVNTEGVLKKNGVERSAAQKSARKQRNGSAEPKTVNAFQRVKVDAVEFADERLQDNSYWAKSGAEIGYGAKAQEVLGQVKGRGFRHEKTKKKRGSYRGGQIDLQSHSVKFNYSDNE >CDO99379 pep chromosome:AUK_PRJEB4211_v1:3:6086225:6102424:1 gene:GSCOC_T00026530001 transcript:CDO99379 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLVSSMKIADKQLNSDSEMSFNFMRLGTVSTGIAVGSHVWVEDREVAWIDGIVLEVDGEEITVDCTSGKTVVANVSNVHAKDVEAPQCGVDDMTKLAYLHEPGVLQNLRCRYDMNEIYTYTGNILIAVNPFQRLLHLYDNHMMEQYKGAAFGELSPHPFAIADAAYRQSFCADEQMINEGISQSILVSGESGAGKTESTKMLMQYLAFMGGRAVAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPIEDVKKYKLGDPRMFHYLNQSNCYELSGVDDSKEYLATRRAMDVVGISCDEQDAIFRVVAAVLHLGNIKFAKGNETDSSEPEDEQSRFHLKTAAELLMCDEKPLEDSLCKRVIVTRDEAITKCLDPNAAAVNRDALAKIVYSRLFDWLVNKINNSIGQDPDSKCLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIEKKPGGIIALVDEACMFPRSTHETFAQKLYQTFKDHKRFSKPKLSRTDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASRCSFVASLFPPLPEESSKTSKFSSIGSQFKQQLQTLLETLSATEPHYVRCVKPNNVLKPSIFENKNVLQQLRCGGVMEAIRISCAGFPTRKTFDEFVSRFGILAPGVLDGSCEEVSACKTILERVDLKGYQIGKTKVFLRAGQMAELDACRSKVLGKSACVIQRKVRSYFARKSFIELRISAILIQAVCRGEVARHCYQLMRREAACLKIQKDARTFLAKKAYRALYSSSISIQTGMRGMAARHELQLRKQTQAAIYIQCHYRGYLARARFLRMKKAAVATQCAWRGKIARRELRKLKMAAKETGALQAAKSMLEKQVEELTWRLQLEKRMRADMEEAKTQENRRLKLAFEEMQLQFDETKELLMKEREAAKEIAEKVPVIQEVSVFDHEIVNKLTAENEQLKALVNSLENRIDETQKKYEETSRLSEERLKQALDAESKIIELKTAMQRLEEKLSDMQTEDQILRQQALLNAPAGKMSGHLALKDQPTENGYHYDKFNEPVLQEPQNSAPAKRFGSGSKRFGSESMRRSNIERQREFVDALITCVSQDLGFSEGKPVAAFTIYKCLVNWRSFEAEKTSVFDRLIQMIGSAIQDETNNDYMAYWLSNTSTLLFLLQQTLKATGSTPPKPPQPTSLFGRMTQSFRSSSSITNVSIGGLDGVRQVDAKYPALLFKQQLTAYVEKIYGIIRDNLKKDLSSLISSCIQASRTPRGNSLRSSGQSFSGSSPARHWQCIIDSLNGLLSTLKENFVPPVLAQKIFVQIFSCINVQLFNSLLLRRECCTFNNGEYVKSGLAELELWCGHATEEYAGSSWDELKHTRQAVGFLVIHQKSRITYDDLTNDLCPVLSIQQLYRICTLYCDENYHTPSVSTDVISNMKILMTEDSNEADTSSFLLDDDSSIPFSVDDITNDLKGVDFTGVKPAEELVENPAFEFLKE >CDP08029 pep chromosome:AUK_PRJEB4211_v1:3:29417468:29418775:1 gene:GSCOC_T00026703001 transcript:CDP08029 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDFGEVTHYLLTKFSALLVEAGTDKDLSRLSCISRFRSAETLIKSKYANAINTCKITTRANSCTDLDIRELLYDLISALSECRAFAQQHRGAGAGGHKKGFLRFKHLWFISRTNRKLDDLDKRLKQIFSGEISTGADPASIAGNNEDDDDDAYDDEEADFSAGFVGLDPAAETVEKFIVAGCEDDTNTILKGFGIVGFGGSGKTTLARKVFLNLKNMFRPRIWVSLSGTLCDSAVSVDLRVKILRKMLEQAGGDVSELSTNVGDIPNLTEKLYRRLTGKRYLIVLDEVWHVNGWYGDLCSEQPKGDASFGDCISHALPKDSGGRIIVTTRRKSVATQVVGEENLMPIDTLLGKGIGWSVFSEAVREDGRVDVKNKTLLKMEEIISKNCAGHPLFARTLATIIPEQIHVEEREDRENEDLIEFLEALLRDSSP >CDP10051 pep chromosome:AUK_PRJEB4211_v1:3:23251672:23253135:-1 gene:GSCOC_T00030631001 transcript:CDP10051 gene_biotype:protein_coding transcript_biotype:protein_coding MCINRGKKGILRKKIYTNVLVHELCSISQWNCSRHLLFEKLCKPSILLKYRINTIPFRKTVQLNFSFICINEGVLLFSVLLCKKKRLCDDIETETL >CDO99136 pep chromosome:AUK_PRJEB4211_v1:3:3548976:3551251:1 gene:GSCOC_T00026178001 transcript:CDO99136 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKANNLPNSSIHTMGDEKTNNLPNILLSRGLNGVKHGYHYLISHATLLLLVLLSGVVSIHLSTLKSEDLVLLWDQLRLNFFTIILCFGLKVLLGFFYLMTRPRKVYMVDFACYKPGPQLLCTRKRAAEITRKTGVFNEENLDFMKRILERAGLGEKTYFPEAFFNYPPDPGMAEARKEAEMVMFGAIDELLEKTGVRAKDIGILVVNCGCFCPTPSLSSMIVNHYKLRTNILSYNLGGMGCSAGVISIDLAKYHLQVLRNSYALVVSTENLTLNAYRGNNPSMLVANVLFRVGGTAILLSNKPSERPRSKYQLSHIVRTHKGAQDKFYNCAVQQEDEDSKVGIALSKDLMIIAGEALKSNIIALAPLILPASKKLLYVINLFARKYLNKKIKPYVPDFKLALEHFCIHAGGRAVLDAVQKGLDLSEWQLEPSRMTLYRFGNTSTSSTWYELAYLEAKGRVKKGDRAWQISFGAGFKCNSAVWRALRDIDPSKEKNCWTDEIDEFPVQVPKCQPLVLD >CDO99050 pep chromosome:AUK_PRJEB4211_v1:3:2822688:2823602:-1 gene:GSCOC_T00026066001 transcript:CDO99050 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVVDGSCSNMGSTSKASQNLVTCIHKCKLLGKSCLISIIWSKNLMGHCLSVEIDDLSHHCLGKVDVKPSLFSKRKGSKCLEVNSTKLDLYWDLSLARFGSGPEPLEGYYLALMCKGEMVLVIGDLRKEAFKKTNAAPALSSPLFVSKREHLFGKRICGTKAQFCDKGQIHDLKIECDTNGIDDPCLMIRIDSKTVMQVKHLQWKFRGNYTILVDGLPVEVFWDVYNWLFGNSGLGNAVFVFQSCLSAEKLWASQTSSDDPSILPWPSSGSFEDTKLPGLGFSLVLHVWKNE >CDP14660 pep chromosome:AUK_PRJEB4211_v1:3:15295345:15297089:1 gene:GSCOC_T00042059001 transcript:CDP14660 gene_biotype:protein_coding transcript_biotype:protein_coding MSETAESSAPLLKMVELEGKGRALVASVPLRAGQVVLRDSPILLYSALPLLVAPHDAQQNHNFEYCSQCFRLVAKEQPSSSCRCPSCSLSIFCSQNCQSLALSSSHTPWVCQALKQFGEGSSPLLRCQPDIQVQARFLVVAYNLAMFCPSSFQTLLSLQGDPSTFMSSPEAKDSVFFLHSLVSSLPSGPVNLSTFGLSFSVELTAALLAKDKLNAFGLMEPFAPDKERSVRAYGIYPRASFFNHDCLPNACRFDYVDAATADGRNLDMTVRVIHDVPCGREISLSYFPVNLKYSERQKRLKEDYGFTCDCDRCRVEANWSDQEDEELDEDNLGDNEGAAMDEDDDDEEMVAEDGGSVAQDESYFPHAYFFLQYMCNKDNCWGTLAPLPPSDSSTSTVMECNVCGSLHQSKEVLDGNVAEQ >CDO99237 pep chromosome:AUK_PRJEB4211_v1:3:4585566:4586544:1 gene:GSCOC_T00026314001 transcript:CDO99237 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQGVKLLGFWVSPYVLRVKWALKLKGIEYEYIEEDVFNKSPLLLKLNPVKGQVPVLVHDGKPIPESIVILEYIDEVWKHSPLLPQDPYERANSRFWAKFAAEKLQAYAWEALCSRGEDHERAINASMEALEKMEKELNGKKFFGGDKIGFVDLVAGFISYQLPVYEEIVSMKILDSSKFPAITNWINNFLNHPLIKEELPPKHKMLAYFSNRRNVILVQNSSNK >CDO98995 pep chromosome:AUK_PRJEB4211_v1:3:2374874:2378034:1 gene:GSCOC_T00025993001 transcript:CDO98995 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEILKIQTYALKVNIHCEGCKKKVKKILQKIDGVYKTHIDSEIGKVTISGIVDPETLIKKLTKSGKHAEIWGAPKANNNNQLNGQFKNLHVDGGKGGNNKGQGGGGGGGGGQKGGAGGGGGGQKGGAGMNPMQQLQQWKALQDKLPQLKDMKMPAMPFGNNNQKAVKYMPAEDEDLSDDDEYDDDEYDDDEYDDDEIDDDEMYDGPPANNNKTKPHLGMGNPLAGGMMPNMMMNNIMNGQNPQLMKGANNGAANGKKGGPGPGGGGNIPVQMNLGGGGGNNNGGGKKGGNGNNNQNQGGGGGGGGGGGGGYPNINANGAKKAGGMNDGLHGMPNMMAMNAGGSNVGPPAGSMPMGQMGSVPMGQLSQMGQMANVAAVQGLPAPAMNGGNGGGGGGYFQGAGPEMMAGNPYYQQQMAAMMMNQQRANGNERFQPMMYARPPPAVNYWPPHPYSSYPYHAPPPPGEHYTEAFNDENASSSCRVM >CDO99459 pep chromosome:AUK_PRJEB4211_v1:3:7197391:7202425:1 gene:GSCOC_T00026642001 transcript:CDO99459 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSARMPIVQTLPLEAASGGGAGAGAGGGDYHIHHHHHHGGPGMSLDGTNLPGDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQACKETTENSKDASCVAESQDTGSSTCASSRMIAQDINDGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDHAVASAGLEAAREELSELAIKVASDCQGMTSVPPLSEVAPGMENKTPSNLPARIGDCSIDSCLTSNESPVSPVGDGSQAAALKKRARPVFGNRESLHFDNSMRQVEWMMTNIG >CDO98906 pep chromosome:AUK_PRJEB4211_v1:3:1727885:1732371:1 gene:GSCOC_T00025863001 transcript:CDO98906 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSYNGRLDFGKMGYGCKHYRRRCKIRAPCCNEVFDCRHCHNESTSMLRNVFDRHEVIRYDVKQVICSVCDTEQPVAQVCTNCGVRMGEYFCEVCKFYDDDVDKGQFHCDDCGICRVGGRENFFHCNKCGSCYSVGLRDNHLCVENSMRHHCPICYEYLFDSLKDTTVMKCGHTMHCECYHEMIEHDKYCCPICSRSIIDMSRIWKRIDEEIEETVMPEDYRHRKVWILCNDCNDTTEVFFHIIGQKCSHCRSYNTRTIAPPVLPQ >CDO99047 pep chromosome:AUK_PRJEB4211_v1:3:2803803:2806903:-1 gene:GSCOC_T00026061001 transcript:CDO99047 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLNVLLFLICIFYTHSLISSHQLHPLDALSTTELTQVHTILKSTICSTSCHNLSYHYVGLAEPDKPIVLAWIDDNAKVPPRQAFVLARINQQNHEIIVDLTASAIISNKVHDGHGYPLLTLDEQTAANKLPFSYSPFIKSIEKRGLKKEEVVCQSYTIGWFGDKKTKRIIRVTCYYLDGTINLYMRPIEGITVTVDLDQMKITGYQDRAIVPVPKADGTDYREVKQKQPVSSPIKPITMLQPHGPSFTIDGHIVRWADWKFHLAFDMRVGPIISLASVRDHDKDAYRDVLYRGFVSELFVPYMDITEEWYYRTYFDAGEFGFGLCATSLFPSKDCPENAAFLDGYYISQNGTPTKIHNVICVFERYGGDVLWRHTETAIPGKVYTEVRPDVSLVVRMVSIIGNYDYIVDWEFRQAGSIKVNIGLSGLLEIKASTYIHKDQIRDEVYGTLVSQNTVGTYHDHFLNFHLDLDVDGYDNSFIKTKLQTRRVTDKRSPRRSYWTAVTETAKTESDARINLGSGAAELLVVNPNKKTKVGNYVGYRLLPGSFVHNLLTNDDYPSIRAGFAKYNVWVTPYNKSEKWAGGTFVDQSQGDDTLAVWSLRNREIENKDIVLWYTLGLHHVPIQEDFPIMPTVNNGFELRPANFFEHNPVLKVKSLGKVEQLKCSKECQHGFNCTN >CDO99130 pep chromosome:AUK_PRJEB4211_v1:3:3486841:3494263:1 gene:GSCOC_T00026170001 transcript:CDO99130 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLNLSISTSSPTSPNFASLSRPSTSFLTGSFRFPVKFRPSGLRTPAPPELLITKASSDPEAPSASASASASAAAATLGSDNGVGGVLSAVPPADTGSIEVDAVTEAELKENGFRSTRRTKLICTIGPATCGSEQLEALAVGGMNVARINMCHGTREWHRRVIERVRRLNEEKGYAVAIMMDTEGSEIHMGELGGASSEKAEDGEVWIFSVRAFESPLPERTIHVNYDGFAEDVKVGDDLLVDGGMVRFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRHGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAISFVKSAEVITHLRSYIKARARDSDISVIAKIESVDSLRNLEEIILASDGAMVARGDLGAQIPLEQVPSEQQKIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPEKALTVLRNVSLRIERWWREEKHHEVMELPDIASSFADSISEEICNSAAKMANNLEVDALFVYTKDGHMASLLSRCRPDCPIFAFTTTTSVRRRLNLQWGLIPFRLSFSDDMESNLNKTFSLLKARGMIKSGDLVIAVSDMLQSIQVMNVP >CDP10054 pep chromosome:AUK_PRJEB4211_v1:3:23118912:23121326:-1 gene:GSCOC_T00030635001 transcript:CDP10054 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTFASPMFIFFWLLMISIILVQPALSGRTLGGSGGGSMIGVDPSRAPKATGGSSLANSAPKDGGGGSIGHVQLPRRPMCNSRSYARCLQPAPGRPCHYYSGCRPPLPPK >CDP14648 pep chromosome:AUK_PRJEB4211_v1:3:14876871:14877674:-1 gene:GSCOC_T00042040001 transcript:CDP14648 gene_biotype:protein_coding transcript_biotype:protein_coding MALINLDLSFFPIFSLLVFLLSLLKWFSAASKPQKKLPPSPPKLPIIGNLHQLGQFPHRSLQSLSRKYGPLMLLELGSKPMLVDIAFAPYGEYWRQLKSISMLHLLSNKRIQSFQHVREEETSLMIEKISRMCSSSAVNLSDMFLVLTNDIICRVALGRKYSEEENGRKSMENLKVFGELLGIFDVGNYIPSLAWVNRFNGLDSKVKKTVKQIDGFLEGVIEEHMNKRKGKAE >CDO98967 pep chromosome:AUK_PRJEB4211_v1:3:2171219:2172462:1 gene:GSCOC_T00025956001 transcript:CDO98967 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGNPHLLFFSPKKKPKKNEKPKKGMQKLEKKLFYVVNKSFHCLQHKYHHKDATFTSSITNKKTNKITKDLIFVPEAARWTNHILDKRREKKRTMNIRKKAYT >CDO99347 pep chromosome:AUK_PRJEB4211_v1:3:5682184:5684802:1 gene:GSCOC_T00026478001 transcript:CDO99347 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGTFSEIFKSFCSFMCTIVSNSACQHAWPAENTAIPRKTKNKKRAVLPLRTFFVGIKINSSSYNFIAEFRKEEGIAPPVYNQRSPSYLQPSDKFSSCLYVFNKEMSRIDEKLSELLDTSEKPSIFKIHGQLRSENEEAYEPQVVSIGPYHHGKPKLKEMEKHKLRYFNELLRRRGESSAEKYIIALADLQDQARRYYAEEINLTDVDFVDMLCLDGCFVIEFLRKRRRPGSHWENDPIFQMLWLRIATKNDLILFENQLPFFALLQLFDMTKSPGEEENLIDLAIHFSLSFGLADPGLNSHSTIFEHYKPVHLLGLVHKILSASFSETLTSTTYSNTTRSSLFLKSAGELRQSGIKFEKAADGKSSFHITFENGVLKIPPLVVHDNTESFFRNLIACEEYMSNPIETWKCVTDYILFIDCLIDSPSDVETLRRHDIIVNGLGSDEAASTMFNKLTNHVQFFRRFCYTKIFDDVDKYTRKRWHIWRANLVRKYFNTPWAFISVLAACALLLLTSVQAIFSILQYTKQNWRVLLNAKDEDENPINCRERVKE >CDO99394 pep chromosome:AUK_PRJEB4211_v1:3:6319943:6321811:1 gene:GSCOC_T00026550001 transcript:CDO99394 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVKPTYLSVVEHMRHQILEKFKEAAMDELKENGVLGATNTDKYINRFKNQLKDAAVKQANWNQDAGQLIQLESEIGHIIKLIRDMNEHLEQKMVRKLQINVSI >CDP10823 pep chromosome:AUK_PRJEB4211_v1:3:26604952:26612175:1 gene:GSCOC_T00031712001 transcript:CDP10823 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPVSFPCTHFFFFVSLTMSPRRDKRIDSRRDSELEELKYRYYKELRDEKVRIRGSGNYLRCPYCPDCRRKEYDFKELMRHSCRIGRESKSSSFREKARHLGLLKYLQRIEDTAVPHSNGRSPEQIYSCKDIMSTSSPRLHKIVHLESGKTIGARRSSGERNSVEIDEYIEDVVLSAERTENVETDPASRAKSVATPAKSTFPSMPPVSFATSCEPSTRNAKEDLIVWPWMAVVANIPVELKNGKYVAESGRKLREEWISKGYNPVKVHPLWNFKGHSGFAIVEFNRDWDGFKNAITFEKAFEVDLHGKRDWYAKKHKNSGLFAWIARYEEYHLKGLIGDYLRKNGDLKTVSDIQREDKRKDTQLVCSLTNELEVKNQKCEDMKKKISRTEILMGNVMKQKEDMIEGHYEKMKKMQQDHCEQLQHVVSEHEKSTLALEARRRELQMREKELKYRQALNESEKKKLDDQQEMNERAILEQKKADEKMWKLAEDQKREKEELHKRIIDLEAKLDQKQKLELEIERLKGTAEVMKHMGEEGDKEAENNMNSIELELKEKEEELDALEAINQALIVKERKTNDEVQEARKELINGLKDSRAFIHVKRMGELDEKPFHSAAERKFSHTEAAEKAIELCSLWEDNLRDPSWHPYRVIIDGENAKEIIDENDEKLKSLEDEYGDEVYQAVVKALDEMNEYNPSGRYPLPELWNLKAGRKASLREGVEHILKLWKVNKRKRATYT >CDO99145 pep chromosome:AUK_PRJEB4211_v1:3:3706861:3712246:1 gene:GSCOC_T00026191001 transcript:CDO99145 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGYGKRRVAQRQQQAARRRPKSLAVDKKTKPKSVSLKNQVRSIERMLRKDLPPEVKEAQQKKLDGLKKQQENLNRLAVERKIFLRNRKIKFFERRKIERRIRRLEKQQRGLSGQPQEAELAEQLSKLKEDLEYVRFFPKTENYVSLFMGGEDEEIVEKRNRLRKVIKDNLIAAAASGKDLEETGSEDDGLLDPSDDDFFLSGSSSDDADADDEWTDKSNREQASSASGIAASGMSSDERNQRQISARALMPPPRPSKKSFSGSSNDRRFGGSSSRKSKPTLRKPEMSTSSNTSNSIGYRKRGLSDPQTGNSDNISDARKPRRKRRPKKKKQQV >CDP15461 pep chromosome:AUK_PRJEB4211_v1:3:17176932:17177174:-1 gene:GSCOC_T00043201001 transcript:CDP15461 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPTEIWCRWHRKVPVNKKRYAVVSAIAPSPVPSLVMACGHRIESVLQIPCVISNSAEAMEKTSNAISLLKKIGAYPDA >CDO98775 pep chromosome:AUK_PRJEB4211_v1:3:702710:704642:1 gene:GSCOC_T00025693001 transcript:CDO98775 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFHFDHFDFLGVSGKYGSTKGFQFEDHAKEEKRNHLITNNDHDREASRGIGSFYPGAPGSNQVHCNTADGVIFSKLHQEQQLKQLSGFACVNDLYFDMEIPPFQSCEEAIKKLVDDVAFEGSEPNDAKKERPYAGSLQILKNYRKRFRKLNVEKTDFPSYLGCTFITSQKLSTDAILKLAAQNFIRSSSEGTSSEFFVLNHPYASSFVGLGEEDIKGVQLVQYLLASAELVGKKQFERAGKLLLECDKLSSNQGNAIERLVYYFSGALHERIDRETGTVTPKGLGKMQSLDMLDTMSGITPDIIAMQKSVPFSQVSQFAGAQGILDHVADATKIHIVDLEIRTGMQYTILMQALATRSQNPLEYLKVTAIGTKSKAKIEETGRRLASFARAWNLKFSLNIVMVADIMDLNENLFEIYADEAVAVWVEYYLTFMIRRQDILESLMNVVKAINPRVMIVTEVEGNHNSPVFVTRFIEALFYYGAFYDSLEDCLKHDSKNRMFVESVYFSQALRNIVATEGEERTIRHVAVNVWKAFFARFGMVQVELSMSSMYQANLLLKNFDCGSSCTLAMDGKGLIIGWKGTPIHSLSAWKFR >CDO99046 pep chromosome:AUK_PRJEB4211_v1:3:2800556:2803095:-1 gene:GSCOC_T00026060001 transcript:CDO99046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase 1 [Source:Projected from Arabidopsis thaliana (AT4G14940) UniProtKB/Swiss-Prot;Acc:O23349] MTTLLPVFAFILISLSWPSICHPLDPLSPTEINQIKLIVHKSHLGSIPNLTFHFVDLEEPEKDHVLKWLSSHKKDESFPYRRARVVVRASSKTHDLIVDLSTSSILCDQVYTGHGYPPFASEEFFQASRLPSKDPHFQDSILKRGLNVSEVTCLPLTTGWFGEIVTRRLLRLSCFYRGGTTNIWARPISGMSILVDVESMKIIEFVDRKKSLLPRAEGSDFQSSGQRPKPGTCNDTRTNATITGNVVRWANWKFHVAFDARAGLIISTASVFDAGRNKFRHVLYRGHVSETFVPYMDPTTEWYYRTFMDVGEFGFGHSANTLVPLIDCPGNAIYMDGYLAGVDGKAHSIPRAICIFEQDKGNPAWRHTELGVPGRVIITGQQEINLVVRMVSTVGNYDYVLDWEFQQSGSLKVGVSLTGVLEMKPVPYVFSHQITEDIYGTLVAENTVGNYHDHFLTYYLDLDVDSINNSFMKAKLRTKRVKDEHFSLRKSYWEVVKETVKTETDARIQLGWEPAELLFVNPNNRTKIGNHVGYRLIPSQPAISLLADDDYPQIRAAYTKYQVWVTSYNKSERWAGGFYADRSQGDDGLAVWTRRNRPIVNKDIVLWHTIGFHHIPCQEDFPVMPRIHGGFELRPANFFERNPLLKQL >CDO99072 pep chromosome:AUK_PRJEB4211_v1:3:2968778:2969269:-1 gene:GSCOC_T00026092001 transcript:CDO99072 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPLCSKRNMNMRDASDSSVNGHALKKLRKLPHVFGKVLELPFGSDADVDVEDSHETIRFIAKVEIDGEDVANEVRAHAVEIHPGVTKIVVRKDEGDLDLLLDKLNIDTWRFRLPRSAQPALASAVFVDGELIITVPKGNSREFGDGRDVWLGDSRLVLVQ >CDO98890 pep chromosome:AUK_PRJEB4211_v1:3:1603558:1605842:1 gene:GSCOC_T00025844001 transcript:CDO98890 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFVGKSSKILAYEKYCSGIRISTTQKFHFIQLNPLSSSSTQASKNSILNGKIDVPHSFTVDYLIKLCGLSEKTAISAAKNVNFKTPDKPNSVLAVFKKYGFTDAQVSDIISSYPSVLVCRPQKTLLPKIEFFQSAGFSTVDIAKVLSSGGSLLTRSLETQIVPSLNALRDLFTSYQDLVCPIKRCPGILTRSFQSLMLANIEFLRKVGVPEPNILNLLKSQPRLLVRPCDVLKESVEELEKMGLNPQDGCFARCLWRMASIDKKIWREKMALYERWGCSENEVLIAFKKHASVMAISSGKIVDVMDFLVVKMGYDPSAILKAPFIVTLSLKKTIIPRCLVHQALLSKGLLKKNVRLATLLVYPEKIFLKRFVECFEKEAAELLKVYQEKRKGTK >CDO98931 pep chromosome:AUK_PRJEB4211_v1:3:1898871:1904101:1 gene:GSCOC_T00025896001 transcript:CDO98931 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPGDDDEKKIEQAEFKSNSDLSDTETQPVENGEFSPPQFHDELAGEFEHENDGLQYIQDTLPVDDAFPFGDAFETQMVNFGDETQVVDITCETQVVDLGGETQVFDGLDTQVQIDSDAGGSDKTEVLSYTQELSDDDPATKGIDCLDDLEITLDTELSKQSDGASKAQSDALSNEGHRSGSIARTFTSVRAASMRASGLAARNMAIKRIDSSSCPKKCNDSLDVQPAEKDKSHSSRDSLKLTDEFNQKHSMEDYDPRIKELGNENTRKLGSSAVRKLFMDEVVSEIKQADDGWNSSDGTGGVPQLASEHDLAGLSYVDSQEPGDLSQANALDVVDKFLELNVAGSDQDVTFSKSNRRKSRSVSSGKGIQSLAKKAAVRSLHGGKGIFNWDDDLEDEGGGEFFQKKKELFFENRSLRQRSIPHSTKPLCLTSKSSLTIPLDTDEKKIVDNTRNLKDAFLSDSRLLSKNSRANESSKPRKASFKRNLLPVMDEEMSDASVERVVDAVAHKDLPDKMDVGFDTQMAADAMEALQFAVSVKENDCMNGDEGITSVTKSARTDDRSSFNESITLKRTCSSDAELITRQSKKARRTGVKLSRESNSSSVKQSKNLKRSKRAKANLKDLITNGTENLSTVSKVVEPRQEDRVPVGSDVDNINQTLATASAGRKSLKRHPLIEELGSLTPIGHRTRTSAKASQSKAENKLDSSRLGNGVGKLRHNNARKIGQSNQDRCSNVKTFVLEYPKGRRTRSKLPLASQEASAQNITRFKRSKRDVTSSSMNPVENQDERTSVSGGKIILADRTDAGSSLHGNLSNIQENVVKSIISNHSGIKIDMDNSRSAEGEIMNGSEDASPKDRRKPEASTSTTPVSFTTPISAASPICMGDEYHKQSCRKNLLGLSLMRELNSRTNTTSPLFTGGVKDLRRRRDMTTVRAMFSRHLDADTVKQQKKILARFGALIASSMSEATHFITDEFVRTRNMLEAIAFGKPVVTHLWLESCGQANCFIDERNYILRDARKEKEFGFSMPVSLSRACQHPLLQGLRVLITPNTKPGKEILGSLVKAVHGLAVERLGRSAWKDERLPDDILILSCEEDYEICVPFLEKGAAVYSSELLLNGIVIQRLEYERHRLFVDNVKRTRSTIWLKKNSSNQYLPVTKSK >CDO99408 pep chromosome:AUK_PRJEB4211_v1:3:6527114:6528634:-1 gene:GSCOC_T00026571001 transcript:CDO99408 gene_biotype:protein_coding transcript_biotype:protein_coding MLENSVITKWETNHKLNRKVDPAVQLEGNFAPVQECPVRHGLEVVGRIPSCLEGVYLRNGANPLFAPINGHHLFDGDGMIHAVKLQPETNSASYACRFTRTNRLVQEAALGKPIFPKPIGELHGYLGLARLAFLSARIGLGLVDASLGMGLANAGLAYFNGRLLAMSEDDLPYSVRITEDGDLETVGRFDFDGELDVPVIAHPKLDPTTGELFTLSYNVARRPHLRAFKFDKWGHKSRDISISLKQPTMMHDFAITENHVIIPDHQVVFKLSELLRGGSPVVHDPEKISRFGVLAKDDFDESRIQWIEVPNCFCFHLWNAWEEISESGDKVIAVIGSCMTPPDSIFSSESDEEFCSELSEIRLDLTTGESTRKVIVSGLNLEAGQVNKKKLGNKTQFAYLAIAEPWPKCSGIAKVDLVRGNVTKFMYGDERFGGEPYFVPGETEKEEDDGYLVSFVRDEKNGMSELVVVKASTMKQVALVKLPSRVPYGFHGTFVTSEDLTKQKSC >CDP10875 pep chromosome:AUK_PRJEB4211_v1:3:28195388:28201187:1 gene:GSCOC_T00031811001 transcript:CDP10875 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKRKERPRRISCFYCCIAYPRENTDARNMGKKGSWFSAIKKVFSSSSKEKPNDGTDKKSSKEKKKGRGKLKHGENRSLIPLFREPSSIEKILGEADELFIRPTTSSEIPRTPPALPVRPVSPRVSSPKAASPRVTSPRAASPKVSSPRAPSQRVASPRPAPPRAASPRGASPVVPQIRRETNYVYRPEPTSKNLHLAATKIQAAYRGYMARRSFRALRGLVRLQGVVRGQNVKRQTMNAMKQMQLLVRVQTQIQSRRIQMLENQAMQRQSCKNDKEAESTLSKWTLNQSEAGNNEDWDDSLLTKEEVEARLRKKVEAVIKRERAMAYAYSHQLWKANPRSSQAALDIRSNGFPWWWNWLERQLPPANPSENQSVAKNVHLTPPRPISEYKRSPRPQASNYKHHNYAFDNHESATPRSSKSAVPGRGKQFHTPTRTPPPNSSGLMKYTRPRASAAESPFDLPMNDTDSLTSCPPFSVPNYMVPTVSAKAKVRASSNPKERLPGTPGNDSKRRFSFPLTPNIGSFKWNKASSKEATSQKVLEKHESMRSIGDVSVESTVSMPAIVGRKPFNRFV >CDO99191 pep chromosome:AUK_PRJEB4211_v1:3:4163444:4165282:-1 gene:GSCOC_T00026252001 transcript:CDO99191 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPWLIKMGNQVSGSFKNSLALENPKKSSSGNKKQGQQEKKIIGILSFEVANVMSKIIYLHKSLADSEIFKLKNEILNSEGIKKLVSDEETRLLELALVEKLDDLQRVASVVSRLGKKCTIPALQGFEHVYGDIMSGVIDVKELGFLVKDMEGRVRKMERYVSFTANLYHEMEVLNELEIATKKFQQNQHEESRKAFEQKLVWQKQDVRHMKDVSLWNQTYDKVVELLARTVCTIYARIALVFGDAILRRDSLNGTQDYEAKSGQINGECGVQVGTDLMKQTLSKSVGNHHSGLMEKKVLENKGVNYDRPKAGMQRSEAGLFSPEDFNFTCGIGPGRLFMECLSLSSSTSKVDDDDDDTVNYDRSSQISSCCSVANGLKREHPALSGLLSWSVSGDPRKLQSNVANGAKFGSKSKLFVYAPDCSVGGSALALHYANVIIVIEKLLRYPHLVGEEARDDLYQMLPTSLRKTLKSKLKAYVKDLAIYDAPLAHDWKERLDEILKWLAPMAHNMIRWQSERNFEQQQIVTKTNVLLLQTLYFADQAKTEAAICELLVGLNYICRYEHQQNALLDCASSFDFEDCMEWQMQLRASFQS >CDO99171 pep chromosome:AUK_PRJEB4211_v1:3:3927248:3928594:1 gene:GSCOC_T00026221001 transcript:CDO99171 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTATKSNENNSTKDQNQKKNRIQVSNTKRPLFFYLNLAKRYLKQHDEVELSALGMAIPTVVIIAEILKRNGLATNQKVMISTVGSNAESNGRFVRKARVSMSLHTRQ >CDO98998 pep chromosome:AUK_PRJEB4211_v1:3:2405109:2408588:-1 gene:GSCOC_T00025996001 transcript:CDO98998 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT3G23610) TAIR;Acc:AT3G23610] MDRFDEIYKERIAALWRAMYTTKCVREDNIPSKIEEGLYLGSLGAASNKTALKSLNITHILTVANSLPPAHPNDFVYKIVSIPDREDINISQYFDDCFEFIDGAKRKGGGVLVHCFVGRSRSVTVVVAYLMKKHGMSLSQALEHVRNKRPVASPNPGFMSQLQNFGKTLKGSQSTSEV >CDO99425 pep chromosome:AUK_PRJEB4211_v1:3:6846050:6848239:-1 gene:GSCOC_T00026596001 transcript:CDO99425 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQTLIYSFVARGTVILAEYTDTITYCVVAAEAAGRQLPIAFLERIKEDFHKRYGGGKASTASAKSLNKEFGPKLKEHMKYCVDHPEEINKLAKIKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKMKRKMWVQNMKIKLIVAGIILAILLIIILSVCPGFKCF >CDP11222 pep chromosome:AUK_PRJEB4211_v1:3:9425910:9427099:1 gene:GSCOC_T00033332001 transcript:CDP11222 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAKSATAAIGAGQKNMVGGGLSSTVPPRSSTVNPKDPHVIQIAQFAVANYNAKAGTTVVWLNVEYGFWWIDDDTYYMLAIKTQDLTGTHCDVALVREISESNGTYSLKWYNHNNK >CDP16570 pep chromosome:AUK_PRJEB4211_v1:3:25973581:25973905:-1 gene:GSCOC_T00018972001 transcript:CDP16570 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEVSIKGYTEPSDGPSGQPEVAKSVSGAVKVDAETALYTELWRACAGPLVTVPRQKELVYYFPQGHIE >CDO98815 pep chromosome:AUK_PRJEB4211_v1:3:1009537:1017767:1 gene:GSCOC_T00025744001 transcript:CDO98815 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPLKSNDSKKRKRIAGPKREERKSNPKRLKSPSTNNSQSGSKKPFKASKQPPSKQHGAKPPTQKHVPDTKKQRRLLAKELAEARKKKRKKHYTLEQELSILWEKMRRRDIAKEDRSKLASEALGKMKGKIPEIASSHVSSRVLQTCVKHCSQEEKSAVFMELQPHFISLATNTYAVHLVTKMLDNASKDQLSEFISSLYGHVAPLLRHMVGSLVIEHAYNVGNASQKQTLLMELYSPELQLFKDLVSMKETRLVDVISKLQLQRSSVVRHMSSVLQPILEKGILDHSIIHRTLMEYLTIADQSSAADVIQQLSGPLLVRMIHTRDGSRVGILCIKHGSAKERKKIIKGMKGQCDKIARDRFGSMVLVSILSTVDDTKLLSKIIIRELEGILKELVLDQNGRRSLLQLLHPNCSRYLSPDDLMSLSLSIPSFNTKQKESSEVNEASDEEKRDNGEILVEANTGKSAGKNSDVNEVAKKDPLTRRRELLINSGLAEKLIDVCSEMAENLLRSKFGKEVIYEVVTGGADGVLHPALDEKLEKLYEVIATLVAEPKSEGAEDDHLLEDFHSSRTIRKLILDCPIFASKLWEKALKGKCAIWAQGHSCKVITAYLEASDLALREAAKKELQPLIDSGVLTLPAVNESAKADQDFH >CDP10278 pep chromosome:AUK_PRJEB4211_v1:3:12015996:12024458:1 gene:GSCOC_T00030954001 transcript:CDP10278 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTFRMPSYQKNSTLSSPWRSGPPSPISPQTLHQLLSKIPLKMPIFTASLGSVSRTNIAFHSHLFACDITSQNHHHYHQQQQHFSSSITSVNGSRTNPPSVLRFPFFSGCCSVKRAAFFAPKVSVSSDSAAKAVGVDQEQSLSPEDVRQDRRSADWKAARTYNERGLIFEGRVEGFNSGGLLIRFYSLVGFLPFPQLGPSHSCKEPNKSIQEVARALTGSVIPVKVIQADEVSRKLIFSEKEALWSKFSHQINVGDVFQARVGSVEDYGAFLHLGFPDGHYHLTGLVHVSEVSWDLVQDVRDVLSEGDDVRVKIINIDRDKSRITLSIKQLEEDPLLETLEKVMPQDASSSPDYSENSYEIEPLPGLEIIFQELLQEDGIKDVKINRQGFEKRVVSQDLQLWLSNVPPIGDQFTLLARAGRQVQEILLTTSLDQEGIKRALQRVLERVP >CDP15474 pep chromosome:AUK_PRJEB4211_v1:3:17659261:17660805:-1 gene:GSCOC_T00043223001 transcript:CDP15474 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKNQLSLSPTTNETKGEQKSLVFDAPFLQNQSDIPHEFIWPDEEKPCLEPPPMLHVPCIDLNGFLSGDPVTVSTTTKLVKQACLEHGFFLVVNHGMDLQLLKAAHKCLDFFFDRPLQEKQRVQRKLGDHCGYASSFTNRFSSKLPWKETLSFRYCADGQQALNIVESYFLNGMGEDFSESGKVFQKYSEAMSSLSLKIMELLGTSLGVTAKHFREFFAGNDSIMRLNYYPACQKPDLTLGTGPHTDPTSLTILHQDHVGGLEVYVNGKWHSVPPDPEAFVVNIGDTFMALSNGIYKSCLHRAIVNSRTPRKSIAFFLCPKMDKVVSPPEELVSSDNPRMFPDFTWSELLEFTQKHYRADMKTLDAFAKWLIHQRDARKMAT >CDO98841 pep chromosome:AUK_PRJEB4211_v1:3:1165008:1167441:-1 gene:GSCOC_T00025776001 transcript:CDO98841 gene_biotype:protein_coding transcript_biotype:protein_coding MCNFSLEIKKKIKILVKPLHKNLQNYRGAAVEYSISSQARGLLQKSRLRQDFEKEEMGIDLKAGGKVKKTKRTAPKSHDIYLKLLVKLYRFLVRRTGSRFNAVLLKRLFMSKTNKPPLSLSRLVKFMEGKEDKIAVLVGTVTDDTRVYEVPTLKVAALRFTERARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRATGRKFERARGRRNSRGFRV >CDP08079 pep chromosome:AUK_PRJEB4211_v1:3:30647923:30657437:-1 gene:GSCOC_T00026787001 transcript:CDP08079 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILRRQKIFSDYLNVSARSILSFQHLGHSQSTPTLESCGFSSLASSCSQFSDCSRDHNEVKVSNEELLKFTASGKLWHRSNGILLSGYGNRNLELISPTGVRLMSHSIRYASTATAKQPNLGSDDEEDEERVSKKRKEASPEECDEAVVGLSTAKAKAKSKRLHESQKVAKSVLQRVWATLLGIGPALRAVASMSREDWAQKLVHWKNEFKSTLQHYWLGTKLLWADVRISSRLLLKLAGGKNLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEKLVRRLNARIEYAKFLQDTAKEMAKEVQNSRSGETRKTAVDLDEFLNRVRTGAGVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPFGTDAYLRFMLRKRLKSIKNDDKLIQAEGVESLSEAELREDCRERGMLGLLSVEEMRQQLRDWLDLSLNHSVPSSLMILSRAFTVSGKVKPEEAVRATLSSLPDEVVDTVGTEDSVSERRRKLEFLEMEEELIKEEEEKEEEELARKKESVGSREDVALKEMTIPTAREAQERARARALDKRDQLCEISRALAVLASASSVSREREAFLRLVNKEIELYNSMVDKEGTEAEVEAMKAYRAARVETEDANEADTDEVSSALIDRVDAMLQNLEKEIDDVDAQIGDHWRVLDRDHDGKVTPEEVAAAAMYLKDTLGKEGVQELISNLSKDRDGKILVEDIVKLGGRAEEDNTAESEGL >CDO98794 pep chromosome:AUK_PRJEB4211_v1:3:843488:849480:1 gene:GSCOC_T00025716001 transcript:CDO98794 gene_biotype:protein_coding transcript_biotype:protein_coding description:associated molecule with the SH3 domain of STAM 3 [Source:Projected from Arabidopsis thaliana (AT4G16144) TAIR;Acc:AT4G16144] MARKVDVDNRIPLRNYYRIADNLLKQANIYREEKNIIDLYIILLRYSSLVSETIPFHRDYQALYPKERTSFRKKLSSVLDELEALKPEAQRQLHDRGRVEVKDEPSLHDGEKRAPSASASFQEWPTANNRASLSYDNQRQACTAQSSWKRNDNYSLVPSTSPIDKHFQKLNLSLPLPKQETLSRHSFLGPNGLHGQWLGSTTEIKVNYPINTDLASNEVSSLDQDGKYEIVTSRDGDLEVEKSAMASVLSLDDGRWSSLDKESARPFDDEVRDIFPLVRQPSPPPVLAQVQPEYLPISPSKVADPRPGPAKSFSDGTASSNSYQHLHIPVKMMDDFLRLAQKNTSRNLETCGVLAGTLKNRVFHITTLIVPKQESTSDSCQTLNEEEIFDVQDKCSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQVMLPEAIAIVMAPTDTSSPHGIFHLSDPGGVAVIRNCQQRGFHPHEAAEDGSPIYEHCSHVYMNSNLKFDVVDLR >CDP10857 pep chromosome:AUK_PRJEB4211_v1:3:27794426:27795198:1 gene:GSCOC_T00031781001 transcript:CDP10857 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPHNAVLLICSSHERGCRPYMCRTSRRLSNCLDRFCKLNSVRPSKSTGNDQQPNKFVRCGGKVPDEPDDQLLCPLCRGEINVWIVVESARRFLDSLVRSCPLETCDISGNYVQLRKHARLEHPMLARSVCLIGMIWTTKLLRMIRLIKKI >CDO99125 pep chromosome:AUK_PRJEB4211_v1:3:3463511:3467318:-1 gene:GSCOC_T00026164001 transcript:CDO99125 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSGAECKLVTGFGSELQFRPLPKKGLAYYPKRWYISTLAPKCSLSSSRPVSQPRFIQHKQEAFWFYRFLSIVYDHIINPGHWTEDMRDEALEPADLFSRHLTVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKEKEPLKDCKIIEGDAEDLPFPTDYADRYISAGSIEYWPDPQHGIREAYRVLKIGGKACIIGPVYPTFWLSRFFADVWMLFPKEEEYIEWFQKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKPMSGDSPLQLGPKVEDVKKPVNPFVFLTRFILGALAATYYVLVPIYMWIKDKIVPKGMPI >CDP11152 pep chromosome:AUK_PRJEB4211_v1:3:7920719:7922932:1 gene:GSCOC_T00033213001 transcript:CDP11152 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHKLLYLKLLLGQKNIDVKKCVEAVEPLEKKARKYYAEPIDAELSRQEFVKMMVLDGCFIIKLLLNFQRMDSLNKNDPIFKQDWILNSLQRDLMLLENQLPFFILCKLYETLELPDQASELIRLALNFFSDLLPVQRITREDISHLLDKNGNPLEDIRHLLDLIHRFWSTSKLDPQNNVERRTGEYEPIRCSTQCHLLDLIHRLWSTSKLEAQNDVNRSPGESELIPCSTQLAEAGIELVKIDQVDIFDIQFDNGSLQIPTFVIEDRTESFLRNLIAYEQYSGGGGYVTDYVTFLGCLIKSEKDVTKLSHHGIIRNLIGENEVISQMLNKMIVCIVGPSRNFHYAEIFSRLNIHCDKPVNRWRATLRRNYCNTPWGIISILAASFLLILTLLQTIFSILSWKSP >CDO98761 pep chromosome:AUK_PRJEB4211_v1:3:603006:608722:-1 gene:GSCOC_T00025675001 transcript:CDO98761 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAASSSMSALKQPTIKVVAIIAEGVPEADSKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIVQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKINKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPSSYEAFESAIKETFDKLAQDGKIAPVKEVTPPQIPEDLNTAIKSGKVRAPTHVISTISDDRGEEPCYAGVPMSSIVEQGFGVGDVISLLWFKRSLPRYCSRFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRNLTPYEFVEGMKKKGIRVPGIGHRIKRGDNRDKRVELLQRYARENFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >CDO99354 pep chromosome:AUK_PRJEB4211_v1:3:5784919:5785335:1 gene:GSCOC_T00026490001 transcript:CDO99354 gene_biotype:protein_coding transcript_biotype:protein_coding MKVESQPRKAPETIHPVSESTQNQHLLKTLLQRTICSLKGSIFIGFINRVLFSSCYQRVYEVGFRPRPCPKLADRILGIGILLLTRLDFGD >CDP11162 pep chromosome:AUK_PRJEB4211_v1:3:8098406:8100515:-1 gene:GSCOC_T00033229001 transcript:CDP11162 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTLENYWAFLEKEGTEFRDLLKCTGGTNRGVSYKVIWDLQRCAGGTDPVILDLRSIGKRSGLGKWP >CDO99323 pep chromosome:AUK_PRJEB4211_v1:3:5391951:5405846:1 gene:GSCOC_T00026436001 transcript:CDO99323 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVSAKQQRAHWLIALLFTFVMTLNMHIRRSEQRCLKERNFFTKACRKVKQLSNSLDEKDLNHVLWWREKMQMCTKPSTISLVKRLAYDNLLGVDSNLKNGSLKEGNLNWEMLQFKSKFPREVLLCRVGDFYEAIGIDACILVEYAGLNPFGGLRSDSIPRAGCPVVNLRQTLDDLTRHGFSVCIVEEVQGPTHARGRKSRFISGHAHPGSPYVFGLVEDDRDVDFPEPMPVVGISRSAKGYCIISVLETMKTFSVEDGLTEEAIVTKLRTCQCHHLFLHSSLKHNSSGTCRWGEFGEGGLLWGECNARQFEWFDGNPLDELLFKVKELYGLDNVVTFRNVTVASENRPRPLYLGTATQIGAIQTEGIPSLLKVLLPSNCVGLPVLYMKGLLLNPPAYEIALTIQEICKLMSNVACSIPDFTCFPSAKLVKLLELREANHIEFCKIKSMLDQILQMHRNSELKEVLKLLMDPTWVATGLKIDFETLVSECELVSRRIGEIISLDGETDQKSSFYPNIPNDFFEDMESSWKGRVKRIHLEEAFIEVENAAEALSLAVAEAFDPILSRIKATTAPLGGPKGEILYAREHESIWFKGKRFVPTVWAGTPGEEQIKQLKPALDSKGKKVGEEWFTTLKVEEALVRYHDAGAKAKAKVLDLLRGLSSELQTKINILVFASMLLVISKALFSHVSEGRRRKWVFPTLTKSWGTEDGEPSEGNHQMKITGLSPYWFDAAGGRAVDNTVDMQSLFLLTGPNGGGKSSLLRSICAAALLGICGFMVPAESAVIPHFDSVTLHMKSYDSPADGKSSFQIEMSEIRSIVSGATSKSLVLIDEICRGTETAKGTCIAGSVVETLDAIGCLGVVSTHLHGIFDLPLNTKNIAFKAMGSESVDGQTIPTWKLTDGICKESLAFETAQREGIPESMIRRAKELYFSAYAKDTSVKGYTPSINIVASETKDNHFGKAADQQLYVGKRDIPSKTESWNPMEILWKDVENAVSTICSKNGVELYKKKNALELPFLNCVLIGAKEQPPPSTIGASSVYIMLRPDKKLYVGQTDDLDGRIRAHRLKEGMQNASFLYFLVAGKSIACQLETLLINQLPDRGFQLTNVADGKHRNFGTSAHSLESVAVLR >CDO99436 pep chromosome:AUK_PRJEB4211_v1:3:6946182:6947093:1 gene:GSCOC_T00026610001 transcript:CDO99436 gene_biotype:protein_coding transcript_biotype:protein_coding MELPICIFPRIIQWNTTLKILNPHPRIMFETVYSNLSLIVITTTENTEYSNYFVIIIQHIIPKTENYITTMLQSNRQIRQFLVFSNSGVPQEQIIFFNSNANQSITRTHHPN >CDO99218 pep chromosome:AUK_PRJEB4211_v1:3:4340676:4345067:-1 gene:GSCOC_T00026286001 transcript:CDO99218 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSINNCCNAWEDIDLIDINSFIDDVGLANTDHPYAYWNQPQPPQSTGAELDASLTCATSQEKECAEVECPRKRGRVDSCSRLGSKACRERLRREKLNDRFAELCSILEPGRPMKTDKLAILGDAIRILNQLKSEAQEYKEMNEKLSEEIKTLKADKNELREEKLVLKADKERMEQQLKTMALPPSGYMAPHPAAYQPGLNKMPVFPGYSFVPMWQYLPPSARDTSQDHELRPPAA >CDP15130 pep chromosome:AUK_PRJEB4211_v1:3:10523271:10531520:1 gene:GSCOC_T00042710001 transcript:CDP15130 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMAQMDPEGIDGVRMTWNVWPRTKVELSKCVIPLAASISPIRSHPDVLTLPYPPLKCKTCTAVLNPFCRVDFQGLVWICPFCFSRNHFPQHYNAISETNMPAELYPQFTTIQYTLPTLQPIHHHQNPNQNPNFFEPNSAVYLFVLDTCVLEEELEFAKSALKRAIGMLPDNALVGFISFGTQVQVHELGFSELSKVYVFRGSKDLTKDQVLEQLGLGVIGAARRPMPGIQRVGGSPVGMPNPGFSRFLLPASECEYTLNSLLDELGTDRWLVPPGSRSLRCTGVALSVAAGLLGVCAAGQSARIVALVGGPCTEGPGVIVSKDLSDPVRSHKDLDKDAAPFFKKAVHFYEELAKQLVSEGHVLDVFASALDQVGVAEMKVAIEKTGGLVVLAESFGHSVFKDSFKRLFEGGEQSLGLSYNGTLEINCSKDIKIQGVIGPCTSLEKKGPSVASTVVGEGNTTSWKLCGLDRNTCLTVFFDISSSEKSDPSGSNPQLFLQFLTSYRSPEGQSLLRVTTVTKRWADSALGTEELVQGFDQETAAVIVARFASYKMENEDGFDATRWLDRNLIRLCAKFGDYRKDDPSSFTLNPSFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRESISNSVVMIQPSLMAYSFHSLPTPALLDVASIAADRILLLDTYFSVVIFHGMTIAQWRNMGYQNQPEHQVFAQFLQAPQEDAQTIIRDRFPTPRLVVCDQHGSQARFLLAKLNPSATYNNAHEMAAGTDIIFTDDVSLQVFFEHLQRLAVQSS >CDP03444 pep chromosome:AUK_PRJEB4211_v1:3:21720788:21721526:-1 gene:GSCOC_T00015207001 transcript:CDP03444 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLDTANLALSSTVDEFAIEDTRIWDNRDRDTSLTIATIAYLALSKFSWMEAVFSFWCLCSMVLSRAIFHRDWRSNSW >CDP10847 pep chromosome:AUK_PRJEB4211_v1:3:27417145:27419178:1 gene:GSCOC_T00031759001 transcript:CDP10847 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMTDIQCYSMFFIISFVSTLVIRSFSKKTNRTHFHLPPGPRPLPIIGHLQLITFPLDQFYQKLSTKYGPIIYLQFGASKQIIISSASLATEIFKTQDLAFASRPPFAVEDRLTFIASSFLYSEYGEYWKFMKKLCMTKLLSPQALEASSGVRRQGLRSFLQKIVESASLLKPVDVGLELLKLTNNIICTMAMNTNCSSNDDEAEKCRKLVQETFEQALKLTIGDVLGPFKWLGFWIYGKQAVNLERRFDGMVENILKQHEEKREENSKTSQYKDLIDVLLEMHYDNQAEFKLTRTQIKSFLLDIFVAGTDTSANTMQWTLAELINHPKVFKKVREEIDSLVGNSRLVEESDISSLPYLQAVMKEILRLHPLGSLIPRKCREHCKLDGFDIPKNTTILINTYAAMRDPNLWDDPNEFKPERFLISKDTEKTLARQDQMEGQLLDLLTFGGGRRRCPGMMLAFHTMSPTVAAMVQCFDWTPIEEGREVDVVNMEVRKGLNHVMEQSLVCTPRVRLNPLDCIA >CDO99141 pep chromosome:AUK_PRJEB4211_v1:3:3612186:3613889:1 gene:GSCOC_T00026186001 transcript:CDO99141 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 7 [Source:Projected from Arabidopsis thaliana (AT3G23000) UniProtKB/Swiss-Prot;Acc:Q9XIW0] MEAKRASPPSTTIPTTKLARTTSGSGSGSGTIILNKYQLGRLLGRGSFAKVYHGRCLDDNSTVAVKAIDKTKGFQGPLEQFIIREVSVMRRLNHHPSILKIHEVMATKTKIYLVMELAPGGELFSKLQQRGKFSESTARNYFHQLISALHFCHQNGVAHRDIKPQNMLLDQHGNLKISDFGLSALPEQLKNGMLHTACGTPAYTAPEVVYRKGYDGFKADAWSCGVLLYAFLVGSLPFDDSNLPQMHRAIHRRVFDFPEWVSKPAKSIIYRLLDPNPTTRLGIEELLKHSWFKKASRSFKEQEFGCVFGQRDKDGGYLSRLNAFDIICMSSGLNLSGLFEMGLSRKEMRFTSGSKVGEIEERVLKVGGELGYRVQRGKGGGIGLVKGCGILVVEIWEVAEGLWMVEFKVVEGRVVEFEESQWEELRAGLKDIALSWHDEYDGS >CDO99325 pep chromosome:AUK_PRJEB4211_v1:3:5408200:5410199:1 gene:GSCOC_T00026439001 transcript:CDO99325 gene_biotype:protein_coding transcript_biotype:protein_coding MREDASNRFQSSQCIRFLLTSCLYTVKLLQVEIKNLNSFSSVSRAIDFEISRQVQLHSQGQADQIVQETRLWEEGAQKTITMRKKEGLSDYRYFPEPDIPGVTLSEEYVDGIRSSLPELPEIKRRRYENMGLSMQDVLFLANDINVAEFFDATIANAADVKLAANWIMGDIAAYMKNEKLSISEIKLTPLELGELIASIKGGTISGKIGKEILFELMAKGGTVQGLIKEKDLVQASQFTLLFMLHYS >CDO99127 pep chromosome:AUK_PRJEB4211_v1:3:3474116:3474722:-1 gene:GSCOC_T00026166001 transcript:CDO99127 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTSYTDEHTSPVAPSRIFRASIVDSHTLIPKLLPQVIKSMDIIEGDGGAGSIKQIAFAEGSNFKSIKYRIDELNEDALTYSYTLVEGDALIDKLEKITYEIKFEKGPDGGSISKVTSKYYTQGDFKLNEDEVKAGKEKVLGMYKAVEAYLLQNPEAYA >CDO98716 pep chromosome:AUK_PRJEB4211_v1:3:214130:217364:1 gene:GSCOC_T00025614001 transcript:CDO98716 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGVSKAGRTEFTECWRTTWKTPYIMRLALSAGIGGLLFGYDTGVISGALLYIRDDFKAVDRKTWLQETIVSMAVAGAILGAAFGGWISDKFGRKISILAADILFFVGAIVMAVSHSPWMIIVGRIFVGLGVGMASMTAPLYISEASPHRIRGALVSTNGFLITGGQFLSYLINLAFTHTKGTWRWMLGVAGVPALVQFILMLSLPESPRWLYRKGKVDEAKSILQRIYPTEEVEEEMQALKSSVDEEMALQGFVGEGSLLSKVRQALSYPVFRRGLYAGITVQVAQQFVGINTVMYYSPSIIQFAGFASNRTALALSLITSGLNAIGSIISMCFVDRYGRRRLMIISLIGIITCLVVLSIVFFQASASAPPIGKAESAHFGGNSTCFGYIQAPDASSWNCMQCLQKASHCAFCSNGANRFSPGACLASNDELKTACRAESRTWYTKGCPSKVGFLAVVLLGLYIIMYSPGMGTVPWIVNSEIYPLRYRGIGGGIAAVANWTSNLIVSETFLTLTEALGSAGTFLLFAGFSFIGLVFIFFLVPETKGLQFEEVEKMLENGFTPCSCSKNGGGSKDEGSA >CDP03423 pep chromosome:AUK_PRJEB4211_v1:3:22426719:22530108:-1 gene:GSCOC_T00015161001 transcript:CDP03423 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDEVFLNQELFLFGIFCRFLKEDTLTPKGPSTYGRKLLNGGRAWNRHYIGVTGVP >CDP03424 pep chromosome:AUK_PRJEB4211_v1:3:22315235:22317223:-1 gene:GSCOC_T00015164001 transcript:CDP03424 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFMDSKDKVHETEKCLDSQLWHACVGSMVQMPPANSKVLYFPQGHAEHACGNVDFGNCPVIPAYTRCRVSAIKFMADSETDEVFAKVQLIPIEGNGADFDEDGPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGDTWKFRHIYRGTPRRHLLTTGGSTFVNSKNIVIWTIMVLFPIKILTIIGLLFPTQSYVL >CDO98852 pep chromosome:AUK_PRJEB4211_v1:3:1255600:1257301:-1 gene:GSCOC_T00025792001 transcript:CDO98852 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIAKALTSNASRFRLSTPPLSSSVCLTPFLSLSTASPSPIFWLNCFVW >CDP10061 pep chromosome:AUK_PRJEB4211_v1:3:22890998:22894362:1 gene:GSCOC_T00030648001 transcript:CDP10061 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEPLEGRQTGCGLVQWYQPAALDDRVSKELNVDPVFENVPRSCRSRRRTIGKETIKVAESGNHENEGHLGANLLNKRQRTRRKRTRRALADSSDSEVIIPHIRLRGRQRGTVDYSNLTTKYRKLDSKTFERYLEDIWSRISEEQRNSFVYLDSLWFSLYMQSPFKEKVLNWVKRKNIFSKKYVMVPIVMWSHWSLLILCNFGQSEQSQTITPCMLLLDSLQTTDPRRLEPGIRKFVLDIHNVEERPRNKLLLNKIPLLIPQASFFFFFILVPQQRSGEECGCYVLQYISSFIENAPENFSISDGYPYFMKEDWFTLEGLDNFRKTLEVNSASRNSSILEK >CDP15470 pep chromosome:AUK_PRJEB4211_v1:3:17504804:17509194:1 gene:GSCOC_T00043216001 transcript:CDP15470 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTEIMINSSGVWQFEGYGIVPNGTSGVICTKTNLGPYLPSVIAIDCKSACDLALLMGLASSSLQLNYHWWFRLNVIHDADASKVTVYIDGVQVIEAPGRGGKSHYFKCGVHSQPDASYYMESRWKGIKVRKKMQFNSFMIYIFGLRFKRGMLMSVFSLWNES >CDP10834 pep chromosome:AUK_PRJEB4211_v1:3:26987218:26999539:1 gene:GSCOC_T00031732001 transcript:CDP10834 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVFFMVLMSVPPPVGQAVAAAHDDAKGFILYAKHARRHVIDLPHNFEILNNRVVSLCSSWKVLNDDINRQSVTRQKNDNYEACLKEKDRILKHYQERIVNRYKKLVGLESGANGEAGNQIPVGELSAASKRWNNKFYIRTFYRLARLDKNVRKFMTEVTELENKITLEHVTSRVNPEIVVRQSAKELTHVPSHQAVLDSLVVCLCDADCKRIIIHGEPQVGKTNILRNLNNLLGQRPIQLELDYVIWVSVPTHLPKPEDITTDIQDKILQRLDLAGQNSGSGKKEAISRALREKSYLLLFDGFSPSIELEDIGISEEHEHGKVIIEAKDPYLLKNFKCHKKIELEKLSPQDSRILFDKIIADEKLCGENRDLGDMIVEELGGLPGVIISIARSLKCEQEDCWEGLNQRLKADVNVIDLPELGGVKLAFHIAYDNLKENDRKCVLYAALFPKVFPIPIDILVECWKAEGFLWCPGSSFAAVRSEGRTVLNELTMHHLLQKCSKRHVKMPINCRRVALETPFPGEECETSFVKSGVPGQLEEAEWKKARRVSLIQSKSVKLPVNPESKRLSTLFLLLNPELEIIQESFFRNMKTLRVLALNSLGMKLLPTCLSSLTALQSLYLNNCGKLQQLPPGIRKLKKLEVLDIRGTLIRCLPEVISSLVNLMCLRFSLGPGACNPSPDGDSLERQTLETFSTAKHLNKLEELTIVLEINNGSVDYFVNRIKAGFSKFENATQFKNVNNRIELLLQRQYQPPRGGEVNFSNSTVPVTHGHDTTTGIEWPRSDNEALPYFQGRVRGGVCGANCLASVKDKGKGTETLKDKSAILQTGEPLEAIANNFEENVALEVLLTDQFSNPEQVLQEPPVKQSMPLNNWSPPVDERVQAPFHNYGSASVRLK >CDO98892 pep chromosome:AUK_PRJEB4211_v1:3:1620173:1621186:-1 gene:GSCOC_T00025846001 transcript:CDO98892 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKTPEKPFNLYEPILTQTGFTLLQRNTSVSQPSEKRGRRKQAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGTQARTNFVYSDNTNFHSLISPFDLQSVLQPSHLLTKNQNKQHDATKHTSSSSQAREHPISSDNDACSQSSYGSSPSENFFFSSGSETNSGYLDCIVPDNCLKPPSHHKEYSNNSNADQTSVDQSNYSNLRVLENQSHSDADVTNVLPVDSTNPGNFSCYEGLNFGFLENEQSWEMNSCELSAVINNPLMGETGCMEGYDPTVDNTSLESMAAATSSAGFSSVFPPFAFGDVVDSGYPPF >CDP19085 pep chromosome:AUK_PRJEB4211_v1:3:31898595:31903511:1 gene:GSCOC_T00002108001 transcript:CDP19085 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVDVLRYLSKDDFRVLTAVEMGMRNHEIVPSELIDRIASLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTLVNRGVFTAVGRQIGVGKESDIFEVAKEDGTILAMKLHRLGRVSFRAVKSKRDYLKHRSSYNWLYLSRLAALKEFAFMKALEEHGFPVPLAVDSNRHCVVMSLIQGYPLVQVKELQNPDTVFETIVGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHHNAQMYFDRDVECIFKFFSKRFNLSFEENAGHSDDSKVDSEEVGRPSFADIKKVTGFLDKELSASGFTRKDQDDIERFTGDDVEKDLDSDDKESADEPIEKDEGHFEVFDSLSLLMEEGNPVEQGETSKEDTEMKQDVVSENEGPNDLGTVPEEGRQTEHENEPELTKRLNKQRRRAIQAVQGGRKNFTSRNSYKDKGGRSSHNSKIQKQLGNW >CDP10334 pep chromosome:AUK_PRJEB4211_v1:3:12976080:12979534:-1 gene:GSCOC_T00031032001 transcript:CDP10334 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPVPRTKLGSQGLEVSKQGLGCMGMSYMYGPPKPEPDMIELIRYAVDSGVTFLDTSDVYGPHTNEILIGKALKGGYREKVQIATKFGIGKKDGKTDIRGDPEYVRACCEGSLKRLDVECIDLFYAHRIDTRVPIEVTVGEMKKLVEEGKVKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDLEEEILPTCRELGIGIVPYSPLGRGFFSAGPQLLDNLAEGDMRKNFPRWKPENLEENKKIFARVSEMAAKKGCTPAQLALAWVYHQGDDVVPIPGTTKKENFNQNVRALSVKLTREEMAELESYASADVVKGDRHVSMGTTWINSETPPLSSWKAK >CDO98769 pep chromosome:AUK_PRJEB4211_v1:3:652479:652978:1 gene:GSCOC_T00025686001 transcript:CDO98769 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKDFCSRFYKLADPNNGILKKGREAFLTGCHLRTASKSCGQARLLPTEYFVVLLDEDQDDDAMLIGAQFCSDSFSSISLEAVKEGVSYSLYAR >CDP11137 pep chromosome:AUK_PRJEB4211_v1:3:7757205:7777464:1 gene:GSCOC_T00033191001 transcript:CDP11137 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRPPHFRHGGGGAPPPLPPPPLPHPQQPPFQQPPPGQQFPFHNYLQNPNNLLYQSPNLLIFNNLLNNINSVPIQPQDPNFHSQNVNFQSQNLNAQLPRFPQPRPQRPQESKPQPQAQAKAMPMKVNINEVLERLDRGVIKARHDILASGGYVSAWKVSQDALLALKAESWESLGFQMQQVPSLHRLMSIEAKINSFIHCYVGVRKVTTLYDLELAICKNEGVGLFEELELGPLVRHPLVVHYFSVIPDVKKVFRITSEDIISYLHEYLKTHQGKEVKVEALLDFIAEKQSQTSREKLNVRIQSLGWHITLIRKAVQSENATLKEHVDELRNKYGIRIRKRPLLSSKKGVLDDRFNEISQRMKSISSMEKIFSGKHVRFSSSSSDNDSTDDDEEDNEDKNDNYTEFEDNLHLKNVKSDANFTSPTLENSERVSRCPYPSASEEMARLGLKPDLECSIGDDTEDETNSMKNVPLTRKRKFSKGSSSTLLPTKLTKRDKDKMFITTWKETCQNNSPDEVLDRMLRMYSSRKKKQLTALFSSYPFAGLLDVAVKSIKCGMWDSIYDTFQTLGHQGALNSVSEKKVDCISIEVESDEEDAPISAGKSSKHECGVTVDDIIKKISSYFDFDGDVSGYANPTKQMRLSILRKLYKCESWLVEQLSVEEFECFGFGDFIMFLERYLHLLPDAMQKFLIGHKYENLPFEPCMLQLQLDVLMSQASNSIWKNEKVSKIMVSGLLSAQFPSVCFKSVENGSFLDLGDILRENEGNVTAKCVLFSATLLKRHSIGGSSALNENLLDSGGSQLDIGHNAGSLGLVTTKDAIEFLLRAPMLTDLHIWAHWDTNYAPSLGSLVTWLLKEVNARELLCLVSKGGKVMRLDHTATIESFLDVLLEGSCFGTAVTLLSLLALYGGEGNVPLSLLKCHAQKAFEVIIKNSMGKEFHGDQGCLVQGESMPGHDVFEQRTSRNLGDELHRDRNRVNEVVQVISGLILDCLGYLPAEFWSFAATVLFAGLHNLVKDAPSAILTACKNVEQRVMLHEVGLSLGILEWIDDYHQFSSSALTNSMCTLDSSCSKDASYECNRGTLFLRSRLKDCLPSLGGMEVPIKSDQNNDHQEVNSIEQVADVSVQLSPDDTAPRLCKLDCIHDPLGVIDSIRRDEFGLDPSLSTTESRMLMKQHARLGRALHCLSHELYSQDSHFLLELVQNADDNIYPENVEPSLTFIVQEKGIVVLNNEMGFSAENVRALCDVGNSTKRGCSTGYIGKKGIGFKSVFRVTDAPEIHSNGFHIKFDITEGQIGFVLPTVVPPCDIESYSRLLSTNIDDMDCNSWRTCIVLPFKATLSQGLAMNIISMFSDLHPSLLLFLHRLQCIKLRNMLDNSLTVMRKEVTGDGIVKVSMGKEKMVWLVASQKLQADNIRHDVNETEISIAFSLEEANDGEYIPQLHQQPVFSFLPLRTYGLKFIVQGDFVLPSSREEVDGDSPWNQWLLSEIPELFVTAQKSFCDLSCFRENAAKAVTAFMSFVPVVGEVQGFFSSLPRLIISKLRMSNCLLLEADKIEWVPPCKVLRNWNEQAHVLLPNWLLHKHLGLGFLNKDIILPDSLARALGIEEYGPKTLFHVMSSLSRSKNGLKDMGLGWLSSWINEVYLMSLNSGTESDLILSLRKVPFIPLSDGKYCFVDRGTIWLHCDTIGVGNEYDFKAFPKLYSKLRIVNPALFSAAVAADKSCLDASIVENVTRLLIKVGVQRLSAHEIVKMHILPSISDDRNISRDKDLLTDYLAFILLHMQSSCPSCCLERDWIMSHLRTEALVLTNYGYKRLNEVPIHFSREFRNPIDMNKLINGIDMIWHELDSIYLEHPITKSVPDGILKWRNFFQELGITDFVQIVQVEKPIANVTLTSMGPTVKDWESWELGHLLSRFSSRGDREKCKYLLEIIDTLWDDYFSDKVTSCCMVTSCEAGKPFESSIISMLQNAKWMVSIMDDDLHYPRDLFLDCEAVRSIIGATAPYAVPKVRSQKLLDTLRLKSQVRIDDIMSLLKVWRTAAPFKTSIAQMSRLYTFIWGEMAKSKPKIVEELSSGPFIFFPHVSGFLLEDVVTGVFLSPKEVCWHDTTGSMDQMKLVHPKFALHAISLPCIKMLSRVYPALHDFFVNECGVEELPPINGYLQILIELSTVALPSQVARTVLNVFSEWADRLSCGLLSNEDVEYLRGRFLEKECAVFPTAQDKWVSLHPSFGLICWSDDDELRKEFKYLDGIDVLSFGNLMDEETELLQTKVANLMRMLGIPALSTVVSREAIYYGPTDSSLKASLINWVLPYAQRYIYYVHPDKYLQLKNCGFENLRCLQIVVVEKLFYRNVVKRHEVVSKKRFECTCLLQDNILYATPESDSHSIFMELSRVLFEDAPQLHLANFLHMITTMAEAGSSEEQTEFFILNSQKVPKLPEGETIWSLSSLSGIVNDELHTSSTVSALVDKSNTHTNKRKLDINSNWPPVGWKTAPSFNFACTNALKTQAGDSLPIREVEDAEEITIQTGQMALANLNSDLAFQGGQSSILPAVNLQFQDGPSSTTPEIFDSVDAANAADGSHFAFSDTGLRNQLSWGNAGAQAALTGKLGEFVAFKYFAARVGERSVKWVNEASETGLPYDLLVGNEENGWEYVEVKATKSRSATRDLAFISVREWQFAFEKGESFSIAHVILLDDNTARVTTYKNPVKLCQLGKLRLAVIMPRQLELSNLY >CDP08070 pep chromosome:AUK_PRJEB4211_v1:3:30343508:30345635:1 gene:GSCOC_T00026769001 transcript:CDP08070 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGCRIHVLAIPSPLQGHLNPMLQLCKRLTSKGVRITLVTSTSARLSVQNQFESIQIEYILDDDNIEAEGSKYSEKTTAFLKRFNTAVSDNLAKLVKEKASSGHPVKTVLYDSMMPWILEIVQGQLGLKGAAFFTQACAVCAIFNHIHRGTLKVPLETSTILLPSMPQLESNDLPSFVYNPSPYPGHLDVVLSQNINLEKSDWLLFNSFDKLENEVVTWLTERYPIKTIGPCTPSMYTDKRLKDDKDYTINFFAPDSEACLKWLDTKETGSVVYVSFGSVSDLGENQMQEIACGLMSSNCNFLWYIVDVWKVGLRVKASEEREMVTREEVEGTIREVMHGEKASELRNNALRWKELAKEAISEGGSSDKHIEEFVSSLESI >CDP15134 pep chromosome:AUK_PRJEB4211_v1:3:10489478:10494103:-1 gene:GSCOC_T00042716001 transcript:CDP15134 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFDFPSASNAADEMGMDEELDLPETNPVIKVGEEKEIGKNGLKKKLINEGEGWENPSSGDEVEVHYVGTLLDGTQFDSSRDRGTPFKFKLGQGQVIKGWDEGIKTMKKGEKALFTIPPELAYGESGSPPTIPPNATLQFEVELLSWTSVKDICKDGGIFKKVLVEGEGWQNPKDLDEVFVKYEALLEDGTLISKSDGVEFTVKDGFFCPALAKAVKTMKKGEKALLTVNSQYAFGEKGRPQVGDEISVPPNASLQINLELVSWKTVSDVTNDKKVLKKILKEGEGYERPNDGTVVKVKLTGKLQDGTVFVKKGHDGEEFEFKVDEEQVTEGLDRAVKTMKNGEMALVIVQPEYAFGPSESQQELAVVPADSTLYYEIEMVSFVKEKESWDMNAQEKIEAAGKKKEEGNVWFKAGKYARASKRYEKGVGFIEYDSSFSDEEKQQAKLLKISCNLNNAACKLKLKDYKEAVKLCTKVLDIDSRNVKALYRRAQAYIQLVELELAEQDIKKALEIDPDNRDVKMEYKILKDKVKEYNRKDAQFYGSIFAKMSKLEQQNSSSAEKKEAMPMAIDSKA >CDP08033 pep chromosome:AUK_PRJEB4211_v1:3:29470439:29474106:1 gene:GSCOC_T00026708001 transcript:CDP08033 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVQVPVGFVLQNLVQLIDENRRLLSGNYEKISDLRDGLRLLKTFMADYNEKHYNSSILMGLAHDIRSLVFEVEDFLETYIVEETLYKNKNTLSQVVSAKNHQENLRKIGKAVQKLSTKVKQAYKDIEDFGEAALVLEGMALLDSVDEHNQIGDNVGADGIIGFTDATDEVLKLLGGQKLSTQLEVAAIHGMLGLGKTTLAWKVLNDPRIKYHFFTRIFVRVSNVYNKQEVLFSILSAFTENIKDQNLSMKELEDKVKEALKNKYLIVIDDVSATEAWDDLKNVFPDNNKGSRVLITTRLNPVAKYVTTKTEPYKLQFMNDDEAEELLRTKVFNDNKCPKELLSLEQKILAKCKGLPLAIVVTAGILGNHPINPKWWDDVLHGVAELVGDGQKIIDDVIRRSYDNLNSTLKLCFLYLGVFPEDLEIQASKLWQLWIAEGFIPKLENASKEKIAEQYLRELVGRNLVMVEQRTLSGQIKTCRIQDTLRDFCQKTAKAEGLFQETQVRTSSSSSARRLFCNNSQFSQYVYKTQPAKNARSFLSFGHNEIKLDPNLSPDDVFKQFKLLRVLDILSVKLPTIHLPKKLSNLVLLKLIAINCEVEILPKTMSSLLNLQTLIVHTGSPTLDIQADIWAMTKLRHLITNSSMSLPRCQEQSTISGNLQTLSVVSPESLTNEVLERAKNLKKLGISGNLGTLMKANGESNNDVNSKLLALPQPHRFPARLTKLSLQNTSLGWDQMSILGKLQYLEVLKLKDYAFTGEDWQTEEGGFLSLKVLFIGATDLKCWEAQANDFPELRCLILRQCKQLWRIPPDFVDMKKLEAIHLEHTTGSVISSARRIRQLQIDMLQHQNLNYKKTIPTTINVYPSEY >CDO99393 pep chromosome:AUK_PRJEB4211_v1:3:6238783:6240548:1 gene:GSCOC_T00026549001 transcript:CDO99393 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKRARVKELYQPILHHKPLLVSSFYFVPQIPSSHLGYMAQQVKGNHPTLLIDGDGNFNSKFVEDLKLAAVSRPVAVVSVFGVQSTGKSTLMNSLFSTKFNVMDASQGMHQTTKGIWVAKFPLPNPNGGHEILAIDTEGSDGIEREDDTKFEKQTALFCLAVSNTVIVNM >CDP11122 pep chromosome:AUK_PRJEB4211_v1:3:7428918:7431786:-1 gene:GSCOC_T00033166001 transcript:CDP11122 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLFMSIYRERAQARTGGKGKNKDDGLTPEQRRERDAKALQEKAAKKAAQAAGGNDAGGKSNKK >CDO99059 pep chromosome:AUK_PRJEB4211_v1:3:2896731:2906275:1 gene:GSCOC_T00026078001 transcript:CDO99059 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNPPKQPSNKPPNRPPASSHRNKSHRESSATTTPSNKKPSTSEPGKPKPSSNNPKPPTPKPKPNNYTNKPSPKPSYPSPNPDQNRHSKLPPFPFLDPPPPPAYGYHLLDRRTIVLADGSVRSYLALPPDYQDFTSLPHPREFRGPGITFDRKIPMSPDFCGPEFRPEVGGRGIGPGNLTKRKFGDEEREGRDGFERQRQQLLQYGKADGNLGGMPGASRGYMGKGVEMRAAKYMRTDEVNVGKLKHNGIDQVALKKAFLHFVKIIYDDPNQKKKYLADGKQGSLKCIACKRSKDFSDMHGLIMHVYSSDHANLIVDHLGLHKAFCILMGWNYRTPPDNSKVYQFLSAEEAAANLSDLIIWPPLVIIHNTNTGKGREGRTEGLGNRAMDNYLRDLGFQGGKSKTLYSRDGHLGITLIKFGADQSGLKEAVQLAEYFEKEKRGRNGWAHIESLNLGKDDDDSNPNLVKVDEMTREKKRIFYGYLATITDMDKLDPDTRKKSVIQSRRDWMPPK >CDP21687 pep chromosome:AUK_PRJEB4211_v1:3:15604105:15605180:-1 gene:GSCOC_T00007137001 transcript:CDP21687 gene_biotype:protein_coding transcript_biotype:protein_coding DMSQHPPNQELVAKDLHGNEWRFRHIFRGIIKAFSVDFQVNHEATTFRAVGSVFASSKRLVAGDVFIFLRGENGELRVGVRRTKRQQGNAPSSVMSSLSMHLGVLAKAWHAIQTKTMLTVYYKPRHFIVPFDHYMESVKNSYSIGMRFKIRFEGEEAQAPEQRFTGAIVGTEEADPKRWPESKWRCLKVRWDETSTILRPDRVSPWKIEPALNPLPVPRL >CDP15452 pep chromosome:AUK_PRJEB4211_v1:3:16936780:16939211:-1 gene:GSCOC_T00043187001 transcript:CDP15452 gene_biotype:protein_coding transcript_biotype:protein_coding MCMEEVGNWYFTILLDRNLFQDAKRDAYGNVLNCKMHDLVHDMAQFISECKTLRLKESTEADFHDKTFRYLAMERSDGEEMLPFPQKKSFRYITTLFLLENRSIDDGLIIFLACLQVLNIASSDAMELPKSIGKLSHLRYLDSSDTPMETLPDSLCKLYNLQTLRLRDCKSLTKFPNNFKKLVNLRHFDFFHKHKSSDLTPLEIGQLRSLQTLPFFNIGKEVGRQIGQLESLKNLSGSFEIRNLQLVTSMEEAKSAKLIDKPNIDELTLLWNEIEIPRENDSECNQVLEGLHAHQNLKGLMIQGFFGDQLSTWIAELPTLGHMPFLRSLHLEGLNSITSIGPSFYGGSGMHSSSSNQRPPNLIPALEYLILKDMQNLREWMEAPVHDGTVAVFPVLHTMRIYYCPQLATFQIIFHIAHLKLSAFPRDITCRGLPLARDNFNPQGTQIPHSLATKWDSTTSRFSSSGTCPPLPLKELYMYSCCNLISFPIDLTRTPSLSYLEISKCKKLTDLPKGKLCSLTSLIYLYIGPFSETTTELHSFLDLFDALPPPHPYFPSLPRLFLHGWPHWESLPEQLQHLSTLTELRLADCPLLKERCNPESRSNSTDPNSESSKISHIPRIIIDWTYIRG >CDO98875 pep chromosome:AUK_PRJEB4211_v1:3:1434805:1438362:1 gene:GSCOC_T00025826001 transcript:CDO98875 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEFCHFASSERLPNLHICELANQIQNHTHIILFFSSFCKGESQHTHTLTLSPSFGPTATENAEKMDGHDGDASKQSTADMTAFVQNLLQQMQSRFQAMSESIITKNILPFYPCPFC >CDP14678 pep chromosome:AUK_PRJEB4211_v1:3:15595646:15597022:1 gene:GSCOC_T00042089001 transcript:CDP14678 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFHFEFLSFYLSFYFPFSRGSNSANSVIFYLVLAWFCQVSKQNSLLVVSLLPSALCQRTMLTMHPI >CDO98738 pep chromosome:AUK_PRJEB4211_v1:3:436485:436968:1 gene:GSCOC_T00025640001 transcript:CDO98738 gene_biotype:protein_coding transcript_biotype:protein_coding MCPARSYWSSALSSIRWPEFEFSVPASIFRWAAGIDFSYFTTGWSASTFRWLGFSIVDNAMWTVITVLESVALVTMLCYFFIFCGCTL >CDP16826 pep chromosome:AUK_PRJEB4211_v1:3:16356342:16356809:1 gene:GSCOC_T00019358001 transcript:CDP16826 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFNPVRCFIDEIERDFPGIFKVWYDSLGGDAIGLTWDKANPKKRGRDFMDEDNQGLIDVSKTIGDARKGFVRSVHFLKFPKLSH >CDP14629 pep chromosome:AUK_PRJEB4211_v1:3:14202106:14215139:1 gene:GSCOC_T00042002001 transcript:CDP14629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MEDDELSELSLQTFIVGRKFADQTELTLQTKMILSRDPENPKDPNAIKVVSADRGCNDVIGFLPRKLAEHLSPLIDKFHLYFEGHVTSVPQHALAVVPIQIFCQNKSVLDGKDCDSWKLFKSLWRHAVYAVDSAKTRPPGMTGYQQNLVLMIQEVLKSHSHLLTDGEKTFLERLTLLSDDSQRLFARLYTRKGPWFRMSNISYTEILDCEGAIKELSEAGFLCSIESDNDVQEHDLKEILNVLNVGELRELVNSVIDENFTRTYWKRHKKCTPVMKKQDLISSLLSSYKDNICSNLLSLILLKTAVCVRVTASAESLIWRAERLFFLNGEQDLSSFLLVDLGIIKYPTYNCMLSDHIFRHRNELLSYEEAIEVAQIMDESLDLNNSELVSRCIEVSDHRIFNPVQAVQSSTAGSIDTFLSCFSASWVYSKVVLLGVSFLERELRYIEAIKLLKCLLVNFISDRRRGYWTLRLSVDLEHVGRINESLQVAEDGLLDPWVRAGSRLALQRRVLRLGRPPRRWRTPSYSQSVKRKISEVHIQGRPLNSKTGMRSIFYGEDGEQCGVEELALQYYAGEGGAWMGVHTESGIWLTIFGLLMWDIMFADVPNAFCTKFQTAPLDLETDSFYAARKSLIEGLLGKINDGMAEEILITSWELHAGTACRGVNWEKHSLAELRAAVTCIGGPCLASICRHLAQDYRSWSSGMPDLLLWRFHDNYKGEAKLVEVKGPRDRLSEQQRAWLLFLMDCGFNVEVCKVTAPVVK >CDO98684 pep chromosome:AUK_PRJEB4211_v1:3:12027:25334:1 gene:GSCOC_T00025574001 transcript:CDO98684 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDWDLSAEELDSLERDALKQIAERKSSSSSPATSTTVTSGATSLDSGFSFHGYGPQQNLHSKPQPAAPLGRSPIDPRPTQKIDPSPPASMISPSSSACDVTDDYSKQQPPKLSVRLFVHASGNVAAKFPYDPLIVAAFRKIQKASWNVKERLWIFPMSSLITVEKVLSDISDAKLEVENLDPLVRRAISAASAVPDLQARYHLIPASIESRLLPFQRDGVRFVLQHGGRALLADEMGLGKTLQAIAVVSCIREAWPVLVLTPSSLRLHWASMIQDWLNVPSSDILVVLSQCGGSNRGGFNILASNTKRTIQLDGVFNIISYDIVPKFQDVLMASEFKVVIADESHFLKNGQAKRTNATVPILQKAQFVMLLSGTPALSRPIELFKQLEALYPGVYKNVHEYGNRYCKGGTFGVYQGASNHEELHNLMKATVMIRRLKKDVLSELPVKRRQQVFLDLGEKEMRHVNALFCELEIIKSKIRSSHSKEEAESLKYAEKNLINKIYTDSAEAKIPAVLDYLGTMIEAGCKFLIFAHHQPMICSIHQYLLKKKVGCIRIDGGTPAASRQALVTDFQEKSSIKAAVLSIKAGGFGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQSKLENLGQMLDGHENSLEVSVNQQNSSPAKQRTLDYFMKRCTNSSDHVPIFKHAKH >CDO99203 pep chromosome:AUK_PRJEB4211_v1:3:4253358:4255151:1 gene:GSCOC_T00026270001 transcript:CDO99203 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSKDEAEVEGAVESQYDQEKEIRAFDETKAGVKGLVDAGINKLPRMFIHPESSLEKSINAREETFSIPVIDLEGMDKDPVRRKEIVDKVRDASERGGFFQVVNHGIQISVLEEMIHGARRFFEQDTKVKKKWYTRDRTKRVVYNCNFDLYSPTAANWRDTTYSVMAPNPPAPEELPMFAAKY >CDO99397 pep chromosome:AUK_PRJEB4211_v1:3:6410756:6416560:1 gene:GSCOC_T00026556001 transcript:CDO99397 gene_biotype:protein_coding transcript_biotype:protein_coding MCEVVVVSRRGLFLCWIITFAAVLVLVCPSEGLNAEGIHLLEIKANIVDEFKNLGNWNPSDQTPCGWVGVNCTSDYHPVVWSLDLNSFNLSGTLSPSIGNLSNLIYLDLSYNGLTGKIPKEIGKLSRLKSLYLNNNEFEGPIPVEVGNLSFLMELNMCNNKISGNIPEEFGKLSSLVEFVAYTNNISGPLPLSIGNLKNLEKIRVGQNSISGSLPAEIGGCQNLKYLVPKEIGNCTNLQTLALYQNNLVGEIPAEIGNLKVMQRLYIYRNGLNGTIPKEIGNLSLAVEIDFSENNLIGEIPPELSQINGLHLLYLFQNQLTGVIPTELSDLKNLTKLDLSINFLTGPIPFGFQYLPAMSQLQLFTNSLSGSIPQGLGLYSPLWVVDFSDNYLTGRIPPQLCRLSNLMLLNLESNQLHGEIPAGVTKCISLVQLRLDDNGLTGSFPSDLCNLVNLSAVELGENKFSGPIPSHIGSCRKLQRLDISGNYFTSELPREIGNLSQLVTFNVSSNLLTGRIPTEILNCKALQRLDLSWNSFTGAVPIELGTLSQLELLILSQNNFSGNIPVAFGNLSHLTELQMGGNLLSGGIPSELGALTGLQIGLNLSDNNLSGPIPPELGDLILLEYLFLNNNHLSGEIPSTFGNLSSLLGCNFSFNDLTGPLPAVPLFLNMSISSFIDNKGLCGGPLGDCSGSTSLNPYPPVESATASRGKIVTVVAAVVGGISLILIVVILYFMKQRPDEMIASLQDKDMSSQASDIYFPPKEGFTFQDLVEATNNFHDSYVVGRGAVGTVYKAVMRSGQTVAVKKLSSNREGNSIEHSFRAEILTLGKIRHRNIVKLYGFCYHQGSNLLLYEYMEKGSLGEMLHGGSCCLEWPTRFTIAMGAAQGLTYLHHDCKPRIIHRDIKSNNILIDEKFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELITGRTPVQPLDHGGDLVTWARNYVRNNSLTSGILDSRIDLKDETTVNHMITVLKIALICTSTSPSERPSMREVVLMLIESNEREGHFISSPEYDYSQKDDNL >CDP08039 pep chromosome:AUK_PRJEB4211_v1:3:29606540:29607007:1 gene:GSCOC_T00026723001 transcript:CDP08039 gene_biotype:protein_coding transcript_biotype:protein_coding MISRQLFPSSRLNTQDRESIYNSTVSKRHCCKTTSASLKSSCRARWRGHPQSLITTKAAF >CDO98796 pep chromosome:AUK_PRJEB4211_v1:3:861236:866327:-1 gene:GSCOC_T00025719001 transcript:CDO98796 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKHGSKSGGGYVGGLLHLFDWNAKSRKKLFSSKSSIPELSKQKKRCDGNLPRTRFHLIDEDEFVTGSSIKGSSDYSCASSVTDEDIGGVRAPGVVARLMGLDAMPTSNLAEPYATPYLYSQSLKDSNYHSKNLGGHCEYQSILSGNLQNNMVASVGNSLEPKRPKPLSRPIEKFQTEVLPPKSAKSIPITHHKLLSPIKSANFIPSESAAHIMEAAARIIGPGPQATTTNVKMPVVGSSSVPLKVRDLKEKVESSQKPSKSSEVSRRPIEANAFKHLKGQSLNKSWNGSADTTSSKISSNSEECSSTAKSKGKSISLALQAKANVQKREGLNSNTSRILSSQKESPEVISSEFFKNRVSSQKSTQKKPSTLADSNVLRQNNQKQNCSVDGGKQMSKPSTSNVHGGKPHNGNSSSARYKSASKNASNSKVVSRRLNTEAMNSKREDSSSTTRNITCKKRSIDGNFQFEKNRTLDNDIIGKNGKLIESSTLADKQNSLTEACKRKGTDVISFTFTAPMTRSFVHGPEAFREVSEKNSDALSADCRGKRSSQTLDNMHKKFSLFGPNIIGGDALSTLLEQKLRELTHAVETSRIKAATGWTSPSIFQDSMPDLDALSSSTSLLDDRSRDGINRDHIVDKCNSGFSTDLQGFIMKHKSQVTDQAMDNNSRNNFDARQFLHHRLPSPVSVLGHSIFTESCNSSDTADSINTGGSKESSSVNAQEVLGISCFKNPHLVDSETELSDSASSMSTVSISEKQAVSLSMTELNHTAKWELDYVKEMLSNIELMFKDFALGRAGQIINPGLFDQLESQKTVLCKDEPKISRQVLFDSVAECLDIRFKRYVGGGYKTWVNGLSLVRSKGKLAEEAYKEISGWNDMGDCMVDELVDKDMSSKHGRWLDFEIESFELGVQIGNRILNLLLDEVIADILVP >CDO99049 pep chromosome:AUK_PRJEB4211_v1:3:2819351:2821078:1 gene:GSCOC_T00026065001 transcript:CDO99049 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPAYTVASDSETTGEEKSSSPLSEISIGIDIGTSQCSIAVWNGSQVEVLKNTRNQKLMRSYVTFKSDFPSGGVSNQLAHEYDMLTGATIFNMKRLIGRLDTDSVVHASKNLPFLVQTLNIGVRPFIAALVNNMWRSTTPEEVLAIFLIELRAMAEVQLKRPVRNVVLTIPVSFSRFQLSRIERACAMAGLHVLRLMPEPTAVALLYAQQQQQAVHENMGSGSEKNALIFNMGAGYCDVAVTATAGGVSQIKALAGFTLGGEDMLQNIMHHLLPEMDNLFSSHGIEEIRKIGLLRVATQDAIHKLSFQPSVQINVDLGNGIQICKVLDRNEFEAVNQKVFEKCASLIGQCLREAKVEVEDVNDVILVGGCSYIPKIKSILTSVCKRGELYAEMNPLEAAVCGAALEGAVASGINDPFGSLDLLTIQATPLNIGIRADGNSFVPIVQRNTTMPARRELIFTTVHDNQTEALIIVYEGDETVEETNHLLGYFKITGIPPAPKGIPEINVCMDIDASNVLRVFAGVIMPGAQHPAAPFMEVRMPTVDDGHGWCAEALHRTYGSTLDLITVQKKVQK >CDO99007 pep chromosome:AUK_PRJEB4211_v1:3:2456824:2462810:1 gene:GSCOC_T00026008001 transcript:CDO99007 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTAKSSRTRDLDKLLERRGPLVGANFLASSETISDIKEFLNVLVVGAGGLGCELLKDLALSGFQKLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERINGVEIRHHFCRIEDKPLEFYEKFNIIVLGLDSIEARSYINAVACGFLEYDSDDNPIEETMKAMVDGGTEGFKGHARVILPGLTPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHSGKSFDPDNLDHMQWVYTEAAKRAELFGIQGVTYSLTQGVVKNIIPAIASTNAIISAACSLETLKIATGCSKLLSNYLTYNGVEGLHTKVTEFVRDKDCLVCGPGVLIELDASVTLKKFIDILKDHPKLFLTGVSITYRGKNLYMRAPPVLEEMTRPNLDIQLFELMDRTPKDIIHVTGAAGKGEKKQSCLRKLRVVFKGLDGVTDLDMAGGA >CDO99216 pep chromosome:AUK_PRJEB4211_v1:3:4331883:4334998:1 gene:GSCOC_T00026284001 transcript:CDO99216 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFAGTPGTLTSFVLRVAQCFFAAGSITSMATTESFFNVTAFCYLIASMGLQLIWSFGLALLDGYAMARKKIPHKPVLVSLFVVGDWVTATLSLSAAASSAGVTVLYFGDMGRCSLGEECTKFQMAVALAFLCWITIAISSIIMFWLLATG >CDP15112 pep chromosome:AUK_PRJEB4211_v1:3:10777581:10778990:1 gene:GSCOC_T00042688001 transcript:CDP15112 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRHVLLVTYPAQGHINPSLQFAKRLLRMDVQVTFATSVFALSRMTKFSGSIPKGLSFAPFSDGYDDGFQPKGVEPTEYMSGIEKQGSNTLRNVINTSADQGRPVTCLVYTLLLPWAATVAHECHIPSALLWIQPATVMDIYYYYFHGYEDDVKNNSSDPTWSIQFPGLPSMKTTDLPSFILPSSDAIYSFALPTFKRQLETLDEEEKPKVLVNTFDALEPQALKAIESYNLIAIGPLTPSAFLDGKDPSETSFSGDLFQKSKDYKEWLNSRAIASVVYVSFGTLVKLPKKQMEEIASGLLKSGRPFLWVIRAKEDGEEEKEEDRLSCMEELEEKGMIVPWCSQIEVLTHPSLGCFVTHCGWNSTLESLVCGVRMIAFPHWSDQGTNAKLIEDVWETGVRVIPNEDGTVESDEIKRCIETVMGDGAKGIELRRNAMNWKELAREAMQEGGSSDKNLKAFVEDAGKGY >CDP10287 pep chromosome:AUK_PRJEB4211_v1:3:12126571:12132460:1 gene:GSCOC_T00030966001 transcript:CDP10287 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFYGPPKPEPDMIKLIHYAIDRGITHFDTAEMYGPYTNEILVGKALKGGIQEKVEIATKFGVRFVDGKREVCGDPAYVRAACEGSLKRLGVDCIDLYYQHRIDTRLPIEITIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLMENLADGDFRKFLPRLQPENVEHNKSLFERVNDIASRKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLKENIGALSVKLLAEDLAELECLASSGVKGDRYGSGTATWQNSDTPPLSTWKSA >CDO99365 pep chromosome:AUK_PRJEB4211_v1:3:5936792:5939563:-1 gene:GSCOC_T00026504001 transcript:CDO99365 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTNTCGLHLQPCHSPSPPLLILHHHYHRQQPPGSWQPPLQLTSTATTTIPYNHNLLLQPVLQICRCRRWDSNAESFRTRNFNHNFDEEEDDDDTGNDDIVEQGLGVLEDYIDSIWIIKVFRSFGWALPFILVSILLATGLKAFLMALALPLGQSTFSFAIQKMRGGKDKRPKHKTKTKSRSYPRSSRTVERGKEKRATNPGKKKAKMGYQSWVPGHDDSTDQVEKNAPTFGGWDELDGLSEFDIGSSSSSGQSVGKSKNSPLENGRLSMRTEKSDTPLLLRLLIAVFPFLAKML >CDP19271 pep chromosome:AUK_PRJEB4211_v1:3:15685218:15694493:1 gene:GSCOC_T00000043001 transcript:CDP19271 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNSDFRCVECSFPIKSLYIQYSPGNIRLMKCGNCKKVADEYIECEVMIILIDLILHKPKAYRHLFYNMFTRDNLDFECLMWKLLLGFLMLDSYRIWVLNANDSESISLMSFAAVLRFSGKVLIDVVLGNFLFMSVVLIGSAKFLNTSVGVLECKDTVLIMLVSSYFKIFLIAMMVWEFPSSMIIMIDMFVLSSNTVALKVIANSATIRCFGVCFTAHAVKFFVSEWLRTLHLS >CDO99240 pep chromosome:AUK_PRJEB4211_v1:3:4611290:4618530:1 gene:GSCOC_T00026318001 transcript:CDO99240 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSYGNPDRDIEQALIALKKGTQLIKYSRKGKPKFCPFRVSPDETTLIWYSHGSERILKLSTVQRIIPGQRTPVFRRFLRPEKEYLSFSLIYNNGERSLDLICKDKAEAEVWIAGLKALTSTSHARGRRTRSDIPDLHEAGSDPIPNGRPFGTTLEFTTSIPRGRASVDLVSREPSLNFPGSDVGSESANMQGRSSGGDGFRISVSSTPSCSSGGSGQDDIESLGDVYVWGEIWSDGVSTDRYGNPVPIKNDVLTPKSLESSVVLDVQQIACGHRHVALVTRQGEVFTWGEESGGRLGHGIEKDFSRPRLVEYLAVTNVDFVACGEHHTCAVSTSGDLYSWGDGTHNAGLLGHGNDISHWIPTRISGPLEGLQVLSVACGIWHSALATSNGKLFTFGDGSFGVLGHGDRKSVTCPKEVQLLSGLKTIKVACGAWHTAAIVEVTNQSGTTVSSKKLFTWGDGDKYRLGHGNKETYLLPTCVSALIDYNFHQIACGNNTTIALTTSGHVFTMGSNACGQLGNPQSDGKSPCLVQDRLVGEFVEEIASGAFHVAVLTSRSEVFTWGKGANGRLGHGDIEDRDVPTFVEALKDRHVKNIACGSNYTASICIHKWVSGADQSLCSGCRQAFGFTRKRHNCYNCGLVHCHACSSKKAMKAALAPTPGKPHRVCDACYMKLKKAAEANNSSTFGRNATASHRPVESSARLDRREPRTSRILLSPTMEPVKYLEVRSGKLGTQPDYSIIRESQVPSLLQLKDIAFPSSLSALQYALKPVTTAPQTPPPSQPASNSRPASPYSRRPSPPRSVTPVFSRGVIDGLKKTNEFLNQEVSKLQKQIKSLKEESQLQEQEVQKLKNKAQEAASLAVERSSKCTVAVKTMKLIANQGRLEGRHPAGRVLKEVTERLPSDILEMETFTSIHFQVESFLEMIGPHEPDEESSLQPHTVHDQHSQPDQTLSANESAERPDRSMEDNVHSPGLQDMQQNAEATPRERRPSISHIREGSVQASAEGGLGSPKAAREVIEQFEPGVYVTLIQLSNGTKIFKRVKFSKRRFMEQQAEEWWKTNKDRLLRKYSTPKVNSTSPESSGTPEASEEKSEAAQSS >CDP03497 pep chromosome:AUK_PRJEB4211_v1:3:18496342:18498412:-1 gene:GSCOC_T00015311001 transcript:CDP03497 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLSGLRIDSASRNFDVGSGSSSKKKSDRLSKQKKSSTGGKQSNTKNIADLFQSKEEAQEDVGSSSSSKKKSERLPKQKKRSSGGKQSNTKNIADLFPSKEAQKEVHKYEEIKNQAAANLRSVYDEIRPAIKTHGRDSQDCVPPSVETKWVGAHCAKYKADLNFSVSVIKNFLDIAQKGGSGPKASGSGLSLKE >CDP21416 pep chromosome:AUK_PRJEB4211_v1:3:14091184:14093801:-1 gene:GSCOC_T00000379001 transcript:CDP21416 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKNWKSSGKLPCLDSDCLPHHHHHQIANATCNEEKLAEETCNGLDACAKESKLNFEETAKDVESLADQKALEENNCSFLHAVINMIGMLIGLGQLSAPYALENGGWTSAFLLVGLGISCAYGSHLLGKCLEKYPKSRDYKDIGQNAFGAKGRIIVASFIYAEIFMALVSYTISLHDNLATVFLGTHLKLSWTNLTSSQTLTVLAILVALPSLWLRDLSSISFLSTAGILMSLLIFVTVACAAIFGAVKANHSIPALQLHNIPAISGLYIFSYAGHVVFPNLHAAMKDPSKFTKVTIVSFSLVTVLYTSLAFMGAKMFGPEVNSQITLSMPRALILTKIALWATVLTPMTKYALEFAPFAIQLEQNILPHSMKSRTKMIIRGSVGSILLLVILVLALSVPYFEYVLSLTGSLVSVGICMIFPCAFYIKIFWNQISRPTLILNMILIAFGTLLGASGTVSSSRLLLQNLRRAHSA >CDO98941 pep chromosome:AUK_PRJEB4211_v1:3:1967976:1973824:1 gene:GSCOC_T00025914001 transcript:CDO98941 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTRKRLDGCDRGNGAEGSSGRQRISEENSYLNHEIALLTKYTSTAHERFRKVVPGKARLPVSPVQMLVGREGNYSGRGRFSSGDCCHVLSRYMPINGPSVVDRMPSGAYVSQFSEDGSLFVAAFQESQIKIYNVDKGWRLQKDIRARSLRWTITDTSLSPDRRFLAYSSISPIVHIVDVGSAATESLANVTEIHEGLEFSSDASDYDDYSFGIFSVKFSTDGRELVAASSNNSIYVYDLEAKRLSLCIPAHESDVNAVCFADETGHLIYSGSDDCLCKVWDRRCFATKGKPAGVLIGHLEGITFIDTRGDGRYLISNGKDQVIKLWDIRKMSSNADYVQWRRDYDWDYRWMDYPERAKNLRNPNDLSLTTYKGHTVLRTLIRCYFSPAHSTGQKYIYTGSTDSIVYIYDLVSGAQVAKLDFHEGPVRDCNWHPYYPMFVSSSWDGVLANWEFPGNGVPTRRNRRRRRALY >CDO99230 pep chromosome:AUK_PRJEB4211_v1:3:4530298:4533782:-1 gene:GSCOC_T00026306001 transcript:CDO99230 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEISALASMLLDDDRKYRVVSICGMGGLECSVRNYVKQIRDLAYRIENIVETYAAKVASKRERKDICSMLKRFACMLRESTSLHKREVVEEFSKVQKVKSCLVVVDDIWRVDDWNSLRPALPIAEASLSKISSRYQKMATICCIVDVPSRKHFAFSDSIKLINLLNNLFSDSRIEPKLVEDLGREMVAKCGHLPLAISVIGGILREKNCLDGWKKVHKGIDSYLRRSESSERYQAIDQELTLIYDDLPYHLKPCFLYTCHYPEDKMIQAEELCLCSLMDVAERYFNELASRSLVQVQADEQFSGSMQFCRLHDLMRELCPAKSEEEEFFQMMSLRDGKPHSQRPHSFNSNTPRRLVIYKDHSFDYNVIQESAQQLRSLLLFSSHWVDDLLLPSVRFNELPQRFGSLLHLRFLCIKDCGVKELPSSICDLPFLRTRDLRDDITNEGDCALPNASWKLKQPLS >CDP14659 pep chromosome:AUK_PRJEB4211_v1:3:15192365:15198853:1 gene:GSCOC_T00042058001 transcript:CDP14659 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALVVALLVASQLPLGLTFPSTAPAFLWSPYQDGYRSRDVEAVNYRTLIAKDLAKSVMSEGGWSSLLCSGKETPQPLDFALLFVGKELQSVDISMTKKADPMLVDLLKVSFGNSNFSMAFPYVAATEENKALESSLISEFEGTCGNGLGMHDIAVLNTCSLEGERFEKLADIPAVRDYLAFKMEKRTVGQAYLIVVCQGGSQTDLESAYPLSEAQLLSQLISSVEHLNAKYTVLYVSDPNGSIEYRSHQDLRRFLAEGNGSTNSTCDGVCQIKSSLLEGLLVAIVLLIILISGLCCMAGIDTPTRFETPQDS >CDP19093 pep chromosome:AUK_PRJEB4211_v1:3:31810787:31815494:-1 gene:GSCOC_T00002121001 transcript:CDP19093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold domain-containing protein MRL7L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31840) UniProtKB/Swiss-Prot;Acc:Q9SKB6] MDALRLRSCFSPIKIEGRKDSSSCYGDLSMRRKKQNHTVLSSTWMSMNGLSRLPSWVHGFRKYRLKTTKAVDVSEAEDGKEKGSKKSGENSIPDDAFDMDDEERQEWRKKIREVISKNPAVDEEADPDERRKKMQKLLADYPLVVDEDDPNWPEDADGWGFSLGQFFNKITIKNVKKDDDDNYDSENEIVWQDDDYIRPIKDITSAEWEEAVFKDISPLVVLVHNRYKRPKENEKIRNELENAVHIIWNCRLPSPRCVAIDAVKELGLVSALQVSVFPELIFIKAGKILHREKAIRTADELSRMMAFFYFGAAMPPCLSGIKNIEEDVPSYSSNLQ >CDO98885 pep chromosome:AUK_PRJEB4211_v1:3:1570773:1572476:-1 gene:GSCOC_T00025839001 transcript:CDO98885 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPVSPISVGHIDDVQELRKTIPSRIPQRFVRDGIERPRLFMTNPTPCKNIPVIDLSNLQKGSKDEFRAELLQLSASCEDWGFFQVINHGVNLSLVESLEKVAMEFFMLPLEEKQKYPMLPGTVQGYGQAFVFSEDQKLDWCNMFALGLEPHFIRNPNLWPTKPATFSETLEAYSREIRKLCKNLLKYIAIGLSLDENVFEDMFGAAVQAVRMNYYPACPRPDLVLGLSPHSDGSALTVLQQGKGSSVGLQIFKDNTWVPVQPIPNALVINIGDTIEVLTNGRYKSVEHRAVTHREKDRLSIVTFYAPSYDLEVGPMPELVDENEPCKYRRYNHGEYSKNYVTNKLRGKKALEFAKINTTN >CDO99035 pep chromosome:AUK_PRJEB4211_v1:3:2675562:2678686:-1 gene:GSCOC_T00026045001 transcript:CDO99035 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAGNYRVLAVVLLVLSASFQVSVGAVYKVGDSAGWTTIGNVDYKQWAATKNFRVGDVILFVYNPQFHNVMQVTHTEYQACNASSPIATHTTGNDTITITTHGHHLFLCGVPGHCQSGQKVDINVIRTALAPTPSATPSPPPVPTPAPAPNAASPLYNSNRLVRDLGTAIAVAMNILCGFI >CDO99309 pep chromosome:AUK_PRJEB4211_v1:3:5302209:5305518:1 gene:GSCOC_T00026418001 transcript:CDO99309 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPYTDPRIVHAKAIKTHHRTLLISLITLYSRANLHANSLSIFNSIRDRNVVSWTALISAFSNTPFAFHHFISMLRQSTLPNSRTFSTLFSTCASLSSLSVALQLHSLALKLSCDSHPFTASSLVSLYCKSRFLDGARKVFDRMRERDAVCYASIINGLAQNRKPVQALCYFGEMRRSGVESRIQSVSGALKAVSELAVLEQCRIIHGHAVVTGLNSDVVVGTAMIDAYGKCGLVVDARGVFDELDVALSIFGWNALMAGYAQQGDKDHVLQLFQLMEARGFKPDDYTFLAVLTALYNSGLAEESRSWITKMRVGYHVEPGLEHYTCLIGALGRSGLLEEAEGVALTMPFEPDAAVWRVLLSTSAYHGNADMAWRMGQKLLEMDPNDDSAYVISANAFAGVERWDEVKDVRKIMKEMDVRKEGGRSWIEVKGELHEFLAADKRHERMDAIYAKLAELMQQIEKLGYKPVLDEVLHDVDRKEKKEVLMAHSEKLALAFGVLCEAAPPGKAFRIVKNLRICRDCHEAFKYFSIVVEREIIVRDVNRYHMFSNGSCNCGDFW >CDO99064 pep chromosome:AUK_PRJEB4211_v1:3:2928077:2930560:1 gene:GSCOC_T00026084001 transcript:CDO99064 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNTKEGAKLTETKYRRSPGNYVLLILKLRALSSLYSCAPSPGHPSRHHHHPLPSNCRNKNLPLHPVINSPASNSHISSTKIMAGRAEAEPVNEQAVANVYGSMRAEMNQIYSKITELEMEVSEHSLVINAIQPLDPSRRCYRMIGGVLVERTVKEVLPAVQRNKEGLLEVIARLNEALEKKKKEIADFEAKYKIRIRKADEMKDEGGKKEGSAQGVLVGPAGGDE >CDP10309 pep chromosome:AUK_PRJEB4211_v1:3:12586032:12586370:-1 gene:GSCOC_T00030994001 transcript:CDP10309 gene_biotype:protein_coding transcript_biotype:protein_coding MFEESVSITIPSIWASMNSWFTPAVLFVLLNLMIGTIAITSTLASQKLYHNHQHQQNSQNDLHPQQPKLARSPSVLPRLRSINFYHYRCHDSSSIANHFKTTPDSDAHYGFE >CDP08055 pep chromosome:AUK_PRJEB4211_v1:3:30128338:30131170:-1 gene:GSCOC_T00026752001 transcript:CDP08055 gene_biotype:protein_coding transcript_biotype:protein_coding MLAILSSCISSIPFNYTSSRNHPPKCDHKLFSSAAYQSLKPNASALEQKSCTLFEKAYRPVALKFVDVVYKIKVKNEGWFKKNIERSEKTILRGVSGLAMPGEMLAMLGPSGSGKTTLLTALGGQLGGSLTGSITYNGQSFSHVMKRNTGFVTQDDILYPHLTVNETLVFTALLRLPNSLTKEENVMLAEAVITQLGLTECKNSIIGSRLVRGVSGGECKRVSIGQEMLINPSLLLLDEPTSGLDSTNAQKIVSTLGELANGGRTIVMTIHQPSSRLFYLFHKVLVLSEGNPIYYGKSVDAMDYFSDVGFIPKVSVNPVDFLLDLANDDSQDDQVSIKQTLVSAYKAKLTENLQIELKQTTDNLHSISEGKLYKEWTTTWWQQFSVLLRRGMKERKHETFSGIRIFQILVVSILCGLLWWQSNTQHFQDQARLFTLIILIGLLFFYTVFWGWISASQAIFTFPQEHLMLEKERASGMYRLSSYFMAMAIGDLPMELVLPSVFITITYWMAALKATAASFLDCWLVLLYAVLVAQGLGLAVGALVVDQKNAMILGSVIMLSFILSGGFYVNQVPGFIAWIKYVSIGHHAFKLLVMTCFVEDLPPIKSIGLDGLFSSAIAMAIMLVGYRLVAYIALMRVGVKK >CDP10044 pep chromosome:AUK_PRJEB4211_v1:3:23745577:23755725:-1 gene:GSCOC_T00030613001 transcript:CDP10044 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAENCGTRKNDKGGKRIYTRMELEVLRFVAVDWQSKKWTEVYCGLGPAVQEEYDGLVGSDNHSQFHHQQLQQQKKKHTRVDFNPRFRNEARSSVFGEEYSENAENENAYENLVDPPGLHVIEQDECLEDDSDEDYSSIQKPAFFVTGEPDFDSGPPEDGLEYLRRVRWESAQIPKVKVAKVDRTKLNKEQTVYMPLIPDVAKCPVHLMPLKEWEDAFLADFSELRLALSRLENMEAEVQSSSTVHEGQSFSRPFDSFLLENIDNMPFVEDSSQSVQCCTPETCGNPCSSVVANDNDGMDSVASPSSRSHTSGVSEKCPTLSMILRMDSVARVSMLRKRINAAESMSSLSWDDCLWLFALSAAVDCPLDADTSAAFRSLLRKCASVRAEKLELDDEVAMLNILVTISGRYFGQLQN >CDO99414 pep chromosome:AUK_PRJEB4211_v1:3:6697129:6699468:1 gene:GSCOC_T00026580001 transcript:CDO99414 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDVLRMNGGIGDTSYANNSLVQQKVILMTRPITEAAITDLYCSLFPKCISIADLGCSSGPNTFLAVSELIKTVDKKRKILGQKSPEYHVYLNDLPSNDFNTIFKSVPRFQENLKMQMGSEFGPCVFAGVPGSFYQRLFPAKTLHFVHSSYSLQWLSQVPELEEVNKGNIYMACSSPPSAIKAYIDQFKKDFSTFLSCRAEELVTGGRMVLTILGRKSEDPCSKDGCYIWDLLALALKQMISEGLVEEKKLDSFNIPQYTPSPAEVRSLVEMEGSFTVDRLEATEIHWNAHDKEVFSRYKKILSDRMSKEKTQFINVIVSLAKRA >CDP08064 pep chromosome:AUK_PRJEB4211_v1:3:30293253:30296692:-1 gene:GSCOC_T00026763001 transcript:CDP08064 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGEKGSATTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLAEGA >CDO98795 pep chromosome:AUK_PRJEB4211_v1:3:857841:861186:1 gene:GSCOC_T00025718001 transcript:CDO98795 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLRRGRWAAEEDEKLINYIKQNGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNFTREEEETIINLHRSVGNKWSLIASKLPGRTDNEVKNYWNSHLSRKIYSFRSSDGSSVTTLDMVNIPSKSKRKGGRVSRAVAKKYSMHPITKAPITTATNETSSSSKISSTSGVQEATTAAQNAQVGMVEATTHGVPESAAAAEKVSDDAAVGAVEESGKHQLQPMANNNYDYDESEGGCINSMHDIRCDGGEGCARALLVPSPEKLEGEKGVAGPNDELDDVTLLLESVLESELMDLSEISVHTGDTENESIMYPESASMNRDSDSGASNSNSDTGDGLIRALGSRR >CDP10273 pep chromosome:AUK_PRJEB4211_v1:3:11943601:11945136:-1 gene:GSCOC_T00030949001 transcript:CDP10273 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLHAKKCRRVVLVPFPLQGHLNPMLDLANILHSRGFSITIVHTKFNFPNPADHPDFQYVPISDGISNWDISASNLVRLASTINTNCKDSFRKCLTQMMQQQQEEKQNDQVVCIIYDFLMHFAETVENQMSLPSIIQRTSNAAALLAFCKLPRLRAEGYNCLQDSTSDELVPGLQPLRFKDLPTASMGSLDNFLQLIAVVCNTRTSSAIIWNTTDCLEHSSLTQLQEHYNVPFFTLGPMHKMALAKSSNLVIKDDNNCIEWLEKQAPHSVIYVSLGSIATLDQKELTEMAWGLANSDQPFLWVIRPGLGSGSDAHLPEAFQELTGDRGCMVKWAPQKDVLAHPAVGGFLSHCGWNSTLESISEGVPMICKPYFVDQKMNARYLTHEWGIGIELDEMMQRTDVAKAIRRILVEEEGSRMRQKVIALKEQIKHCIKEGGSSYNSLNELVQFISSF >CDP15454 pep chromosome:AUK_PRJEB4211_v1:3:17019860:17021772:-1 gene:GSCOC_T00043189001 transcript:CDP15454 gene_biotype:protein_coding transcript_biotype:protein_coding MQSASERECPCRVRETVIKNTWLKRFSNVSNLMSI >CDO99398 pep chromosome:AUK_PRJEB4211_v1:3:6422258:6427311:-1 gene:GSCOC_T00026558001 transcript:CDO99398 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVPHGHLPPELIFDILLRLPVKSLLRFMCVSKSWNSLISSPEFIKTHLKETSNARNTAHRKLIFSSFYGVPVLDFVTECNLDSVLYSPTIEATDMVTHMKEAQKSIEIVGSCNGLVCIAIRELTRGWTFLNSTNDMCLFLWNPSIRKYRRLPHWGFDFEEYSGVVTYGFGYDGLHDDYKVFTLLSNNLRKNMRRKAAGIYSQRTASWKMDEDFQNHLSLDNWSLQDTRGGHFVNGKLYWAAISNGSGDDRLANKIVSFDLVDETYGDIQLPENHMGKVSFWGWNIGVLREGCLGLLWLHDDLKHLEVDIWILTECGIRQSWTKMFLIHYPEDPGRGFRFDIRFQPVFLLNRSELLLKLGSRLVLYNPEDGSYKDLDINYNLPDAEGDLRVDMYDESLVLLDGHDG >CDO99063 pep chromosome:AUK_PRJEB4211_v1:3:2922109:2926530:1 gene:GSCOC_T00026083001 transcript:CDO99063 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKIGIAKDVTELIGKTPLVYLNNVVDGCVGRIAAKLELMEPCSSVKDRIGYSMIADAEEKGIIKPGESVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRIILLAFGAQLILTDPAKGMKGAVQKAEEIKAKTPNSYILQQFENPANPKIHYETTGPEIWKGSNGKVDALVSGIGTGGTITGAGKFLKEQNPNIKLYGIEPVESPVLSGGKPGPHKIQGIGAGFVPGVLDVGLVDEVIQISSDESIEMAKLLAVKEGLLAGISSGAAAAAAIRLAKRPENAGKLFVVVFPSFGERYLSSVLFESVRKEAESQTFEP >CDO99420 pep chromosome:AUK_PRJEB4211_v1:3:6813779:6819081:1 gene:GSCOC_T00026589001 transcript:CDO99420 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEEPINENEIRITAQGLIRNYISYATTLLQEKREQEIVLKAMGQAISKSVAIAEIIKKRIPGLHQDTSIGSTTITDAYEPIEEGLEPLEMTRQVSLISITLSTNELNKNSPGYQAPSNIDQPRGENQQQQQPRQYVNIAENNLDSYGGRGRGRGRGRGRGRGRGRGGYGNYQGENGGYYNYGRGGGRGRGWGYRGSGYGRGRGGFQVGGRGYGRGRGRVGRGRGGVNQAQI >CDO98937 pep chromosome:AUK_PRJEB4211_v1:3:1937316:1939844:1 gene:GSCOC_T00025909001 transcript:CDO98937 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTVDAAGNPIPTSAVLMAASKHIATRCRDENVAFLKCKKDDPNPEKCLDKGHQVTRCVLSLLKDLHQRCTKEMDAYAGCMYYHTNEFELCRKEQKEFEKACPL >CDO98922 pep chromosome:AUK_PRJEB4211_v1:3:1842036:1847561:1 gene:GSCOC_T00025885001 transcript:CDO98922 gene_biotype:protein_coding transcript_biotype:protein_coding MGFELGALLGKMNILSSTSDHTSVVSLNVFIVLLCICIVIGHLLEENRWMNESITALIIGVCTGVVILLISGGTSSHLLVFSEDLFFIYMLPPIIFNAGFQVKKKQFFRNFITIMLFGALGTLISFVIISLGAIGFFENMNLEPLKIGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSIVIFNAVQNFDLSHVNTSVALKLIGNFIYLFITSTLLGVVAGLLSAFIIKKLYFGRHSTDREVALMMLMAYLSYMLAELFDLSAILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFVAEIFIFLYVGMDALDIDKWKIVSDSPKTSIKVSSILLGLVLLGRAAFVFPLSLLSNLTKKSPEEKIHFKQQVTIWWAGLMRGAISMALAYNQFTRAGYTKLPGNSIMITSTITVVLFSTVVFGLMTKPLIRLLLPSPKHLSRMISSEPITPKSFIVPLLNGQDSEGDPGAHVPRPSSLRMLMTAPSHTVHYYWRKFDDAFMRPVFGGRGFVPYVPGSPTEQNDNQFH >CDO99462 pep chromosome:AUK_PRJEB4211_v1:3:7221992:7225791:1 gene:GSCOC_T00026645001 transcript:CDO99462 gene_biotype:protein_coding transcript_biotype:protein_coding MACQAMCRWSLIGLVAAFLDLAIAYFLLCASAVAFMASKFLGFFGLYLPCPSCYGVFGDNFNRYLCFQKLLIEYPAEKVSNVQLSVMSKFPFSDSVWGKDRNSNLNMRLIEDRKRDVVELEAEGSSSSLVSDAGRLNNSGSINGESKISLYDPPYAEMLQSNPPHSPPSINKGGSKMVDDGIELQGYGGNRHHFKYLEEAPTKVRLGYRSSDMQHEHVKEVKPNVESVFHVGSEDKNTIRLLEQALEKEHAARAALCLELEMERSAAASAADEAMAMIQRLQEEKASIEMEARQYQRMTDEKSAYDAEEMNILKEIVVRREREKHFLEKELEAYQEMSFIGKEPSSGDGVDMLNSQQEEFDTSFDQNEDPAMILQQLNASIDKKVMIETKRADEAVPVEKGKAVLASPEELLVHRLDESSAVKKHGHPAGHLSQFSGCSMVDRLNLQDKMISMDNSHASAGDLQRLVSTSQTCKPGTSQEILPETTTFLVVKGHEYCDNTNIHAEMAKSCVETLHIPYLNGNIKQYENDSNLGTQWSKSSCRVLDKEPHVHDVHVIVDNSNLCNRISGSNLDSISVNDDLEIDRKINVPSEASASQMINATSDCLSTSCLDAGVDIRRSSSDVTSSLPPMCLNGKSLLSDLQRSSLSVVNNEQLKIDFEVGWLQERLNVVQEGRGKLNLSLEHQEREKMQLKLLENMAHPLQEIQQLTETGKTVRQASLPTPSSKGISRNRRCRSLSSSLQTSS >CDP16555 pep chromosome:AUK_PRJEB4211_v1:3:25595442:25599147:1 gene:GSCOC_T00018946001 transcript:CDP16555 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSVSHVSAAKQFQNETDRLALLEFKNQIYDDPFGALNSWNHSQHHCRWEGVTCGTRHQRVIALTLRHKQLSGTIAPQVGNLSFMRSMELGENQFHGGIPQEFGRLLRLRVLNLSSNALEGKIPIDQLSNLKKLKGIGFSKNNLTGEIPSSIGNLSSSLIGLSLGFNNLEGSLPLEMGLLKRLVQLFLAANKLSGIIPASIFNSSAITVISVTSNYFHGNLPINMGLTLPNLTLLAVAENNFSGNFPTSITNASRLKELDLSHNKVAGQVPANLGDLINLQRLNLERNLFGDNQLSGTIPEGFGNFVNLIRLGLEENYLSGVIPRDFGKLQNLQLLRFDDNQFSGHIVSTLCNATTLYNLDLSTNQFEGGNIFDNVLMNCQNLQYLDISQNNFNGIISPHFLQTHSSLIYMKIGENSFSGSLPPEVGKLIHLVDFNVSHNQLAGGMPISLADCSDLQNLFMQSNFFQGTIPPNLASWKSIEQLDLSSNNLTGPIPKELQKLQFLSYLNLSYNDIEGEIPNTGVFRNESQISLTGNNKLCGGIPELEFPPCPVIKGKTRGKLKVIILLMVAGFSSMPTRNNELLRLSYHELVRATSEFSPENLIGSGNFGAVYKGRLGKYGNKLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIVSYSSSIDSKGDEFKALIYELMENGNLDLWLHPSETTDQATSSRSLNLLQKLNIAIDVASALQYLHDHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPVNTSLEQGTSSTIAIKGTIGYAAPGNYSLRPTLIVLFSFFVCPKLNKISEYGMGLAASTQGDVYSYGILLLEMLTGRRPTDDIFVGDLDLHNYVNGALYEQVSETMNWLLFLEGDENRKITPGGKNSNGGKEMECIISLLKIGLKCSARLPNDRMHMNEVVRKLHLIKDVLLGLRVHQENLEV >CDO99242 pep chromosome:AUK_PRJEB4211_v1:3:4628659:4636555:1 gene:GSCOC_T00026322001 transcript:CDO99242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type domain containing protein [Source: Projected from Oryza sativa (Os07g0446100)] MGQQQSKDELLYQQVSYGNTEGIKALRRDGAGLEWLDKEGKTPLIAACMNPELYNVAKTLVELGANVNAYRPGRHAGTPLHHAAKRGLEQAVKLLLSDGANALVMNDDCQTPLDVARSKGFSNVVRAIESHICLFSGSMRELYGPGFLELLAPQLLSRKVWVVVLPCGSRSLKKPFKLELAIYSNAQDAQPRTIVALWKANMEEPNFSQSDPTVIITDNGTIPRRWRRRRGITYSQVARRTRVKLAAANERDRQQLQWFCNACKGIPQVVHPAFPFNTQVNVAPATAPPEDVELAMAIDASLQSAMRPHLGPHLVSGADASTSRTNSECITANCGSSISGTAPLQKGEKLGYKDQETGASGVVIHHSQIQSDSLGVRSTVQNSVQASIPSAPPVADSAVDDGPIHYPSIDASPLDFSSPPVERFDAKPEERKDTGASSSCVICLDAPIEGACIPCGHMAGCMSCLSEIKAKKWGCPVCRAKIDQVVRLYAV >CDO99376 pep chromosome:AUK_PRJEB4211_v1:3:6035356:6036132:1 gene:GSCOC_T00026523001 transcript:CDO99376 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQFNAGETHGRTQAKTEQWVDSCKDAANAARDRSAQAADQSAGFLQQTGEQVKSMAQGAVDGVKNTLGVGDNNTKK >CDO98696 pep chromosome:AUK_PRJEB4211_v1:3:98940:101766:1 gene:GSCOC_T00025591001 transcript:CDO98696 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGNKNNAPAAIGLAWEPKLPWLPVPDTASASSSRNHLRRRHHHLGAETSTSPSSFSSQQDDLIDGLYLPPNDPKKLNKLIRKQLRHTAGKHWFDMPAPTLTPELKKDLHLLKLRNAMDPKRHYKKGDSRSKTLPKYFQATCLIFDQVGTIIESASEFYTGRLTKKERKATLADELLSDSNLALYRKRKVQEIEEQNRPAGVDKWKIRGRKSMKRAKQMRH >CDP03482 pep chromosome:AUK_PRJEB4211_v1:3:19529526:19531498:1 gene:GSCOC_T00015279001 transcript:CDP03482 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRVLSREAIQAVQSLKLAKCPDKLAEVFANRISRLMKADLLDILSELQRQNEVELALQVNVYISGQVWYEPDISIFSDMILMLGKSSNIEIAERLFGEIEKEVLWPDTRTYTAVIGAYFRVKMIEKAMESYESMKGSGCVPDKLTFRILIKNLEKAGEKELIATVKKDCLSYIEYPEKFLEEVEKTYVSTVFH >CDO99023 pep chromosome:AUK_PRJEB4211_v1:3:2594700:2595680:-1 gene:GSCOC_T00026027001 transcript:CDO99023 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKKEVSSEFLPFLRVYKDGSVERFLDSPYVPPALEDPATGVSSKDITISPGISARIYLPKLPTTTQKLPILAYFHGGAFCIESAFSFLSQRYISTLASQAKVLVVSVEYRLAPENPIPTAYEDSWAALQWIASHSSNDQNYKKEPWLLNHADFNRVFVGGDSSGANIAHNLSLRAGRESLQGGMSIHGVLLSHPYFLSSKPISLEPSSDDDVDKNLLYKAWEFAYPNAPGGFDNPLINPFSNEAPSLSSLQCSRLLVCVAEKDELRERGVRYFNAIKKSGWKGKVELFEVEGEDHCFQIFDIERGNAKIMFKRLASFLSAPLN >CDP08035 pep chromosome:AUK_PRJEB4211_v1:3:29514965:29517402:1 gene:GSCOC_T00026712001 transcript:CDP08035 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVIGEEDEEVSQEDNARHHDVGKQREIALGRTNKEFVFPKLSSLRLEDLKNLRSFGGSHREDYEFKFPLLTELIIVSCPKLKKFCSGKLDAPWLKKVQIGSSDTENFEAPVDLKDREICPFQEDFP >CDP10343 pep chromosome:AUK_PRJEB4211_v1:3:13229807:13234840:1 gene:GSCOC_T00031048001 transcript:CDP10343 gene_biotype:protein_coding transcript_biotype:protein_coding MLANTNIHIKRFKDQISGSSISESKMPIAHEIMVGLDDEAGKVIERLVSGSKQVEIVPIVGMAGLGKTTLAKKVNNDSSVICNFHIRLWCTVSQEFNMKSLLIQILCSDGKRSRMDKELKNLNEHELLHKLYQRLKTKRYLVVFDDVWDIKVWNELRISFPDEKKGSRIIFTSRSSNVGSQVDCHKFNLIDRDDFRDFAELCFKEFGDRVKSWSTFNEPWSFSTGGYDSTTFIGSLAPGRCSAWMDKGCPAGDSSTEPYLVAHHIILSHAAAAKLYREKYKPSQKGQIGIVLVTNWMLPYSNAKSDAIAAQRVVDFFLGWFLDPLTSGDYPKSMRDKLGGRLPKLTQQQSKLIRGSLDFLGLNYYSSSYAKDIPHATTVNISYTSDFQVNITSIRNGKPIGAPVRAGFLYVYPKGLTEILVYLKKNYHNPTIYITENGLAEANISSIEQAIHDTNRIKFYSGHFKALKAAIEKGVDVRGFFAWTFLDTYEWGSGYTMKFGITYVDFKNKLKRYPKHSALWLKQFLK >CDO99033 pep chromosome:AUK_PRJEB4211_v1:3:2652309:2662295:1 gene:GSCOC_T00026042001 transcript:CDO99033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MLSNHLQNGMETAKLLWSRLPTSDAEGQDGVGIGHYKKTDGGTVESLDYEVIENYAYREEQALRGKLYMYYSVCVKWFFALLIGIGTGFAAVFINISVENFAGWKYSLTFNIIQKSYFAGCIIYILFNLALVLSSVYIITHFAPAAAGSGIPEIKGYLNGIDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQVFNSERDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDVSDGQEDYSFMELLPMAVIGVIGGLLGALFNQLTLYITNWRRNYLHKKGNHIKIIEVCLISVITSIISFGLPLFRKCTPCPESDLNSGIECPRAPGMYGNYVNFYCSSKMEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLTFLVMFYALAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVSFYKRLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGFYEEQARIRGIPLLESRPKYQMRSMTAKDACANQKVVYFPRVVKVSDIVSILRSNMHNGFPVIDHTRNGETLVIGLMLRSHLLVLLQSKVDFQHSPLPCDLRGGSLPIRHNLSEFVKPVSSKGISLEDIHLSRDDLEMYIDLAPFVNPSPYIVPEDMSLSKVYNLFRQLGLRHIFVVPRASRVIGMITRKDLLIEEHEHSGAVELQSTSVRGQKHDKRIRKRNTDGEQPLLDGLLV >CDO99361 pep chromosome:AUK_PRJEB4211_v1:3:5893863:5904956:1 gene:GSCOC_T00026500001 transcript:CDO99361 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPSSGRNNQTKKKLKKEKKRARVKSEEQLERFDSLPWNPSLPDKDDAYSFLIGSNELEGGFLSLEEIDETQYALDIPKPQNESAEKILKRTSKSKKRKVSEHDDSSVAEDEGGNEERPEKEEVVEGELKELKQNKKKKKKKRIKLKRRVTAVAGGKEDVDEESVDESEYLAWNELRLHPLLMKSIHRLKFKEPTPIQKACIPAAAHQGKDVVGAAETGSGKTLAFGLPILQRLLEERDKVEKLISEYEEADERIASRGFLRALIITPTRELALQVTDHLKEVAKPTNVRVVPIVGGMSTEKQERLLKSRPEIVVGTPGRLWELMSGGAVHLTELHSLSFFVLDEADRMIENGHFRELQSIIDMLPVTSGSDDAQSHNTENCVTVSSLQRKKRQTFVFSATIALSADFRKKLKRGSLKSQPNDGLNSIETLSERAGMRASAAIIDLTNASILAHNLEESFIECKEEDKDAYLFYLLSFHGQGRTIIFCTSIAALRHISSILRILDINVWTLHAQMQQRARLKAVDHFRATEHGILIATDVAARGLDIPGVRTVIHYQLPHSAEVYVHRSGRTARALSDGCSIALISPNETSKFAGLCKSFAKENFRRFPIDISYMPEVMKRLSLARQIDKILRKDSQEKAKKSWLERNAESIELVLDDNDSEDERVNKQKQKKASSAHLKKMQQELNTLLSRPLQPKTFSNRFLAGAGVSPVLQNQFEELARQKLADSNTSAQNKRRKLVIIGQDCVEPLQALRSTGEEACQDLKEIAKKRKNMENLRRKRKEEKKRLHDQRRKQRKKLKGGS >CDP10882 pep chromosome:AUK_PRJEB4211_v1:3:28306252:28307575:1 gene:GSCOC_T00031819001 transcript:CDP10882 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGIKKGRWTAEEDEILTEYLQSNFESNWKIAPKVQIGGN >CDO98772 pep chromosome:AUK_PRJEB4211_v1:3:687818:690310:1 gene:GSCOC_T00025690001 transcript:CDO98772 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWETTGSPLIPLVEFSDPHVMKKYGVHPDAETLEIVTCAAREKEIVVLLKIYWGDAREKLCESIDKIPLDCIVIGNRGLGKLKRAIMGSVSNYVVNNASCPVTVVKNVEHD >CDO98889 pep chromosome:AUK_PRJEB4211_v1:3:1600404:1601570:-1 gene:GSCOC_T00025843001 transcript:CDO98889 gene_biotype:protein_coding transcript_biotype:protein_coding MFKCVCRRAVHHARECSAISSSLYHKLHFLELHRPLSSSTSSITNSSNQQPMVVSYFMNSFGFSQERALSASKYVHFEIPGNADALLLFLKNHAFTDAQISTVVRLRPSILSSRPEKTLLPKLVFLQSIGVSGLDIPRIICRSPNILCRSLKNQIIPAFNLLQDLLHSNENIVFAVNRFPDLLVTNLENKVAPNLEILREAGVTESGIGYCLKHMPRLLARLPEHLKESVERVKQIGFNPQTTMFLQAVKVMAATASRSWDRKMDVYKRCGWSEEEVLTAFRRQPHCMLASESKIVRVVDLLVHKMGCHISELAKNPLLILLSLNKTIAPRCSVYNVLRMKGLVRKNLSLARCLTCPEKFFLERFVQRYKEEAPELLELYQEKMQLSK >CDP16832 pep chromosome:AUK_PRJEB4211_v1:3:16443877:16445308:-1 gene:GSCOC_T00019368001 transcript:CDP16832 gene_biotype:protein_coding transcript_biotype:protein_coding DIPYEFIWPDEEKPCPEPPPVLHVPCIDLNGFLSGDPVALSTTTKLVKQACLEHGFFLVVNHGMDLQLLKVAHKCLDFFFDRPLQEKQRVQRKLGDHCGYASSFTNRFSSKLPWKETLSFRYCDDGQQALNIVESYFLDAMGEDFRESGKVFQKYSEAMSSLSLKIMELLGTSLGVKAKHFREFFTRNDSIMRLNYYPPCQKPNLTLGTGPHTDPTSLTILHQDHVGGLEVYVNGKWHSVPPNPEAFVVNIGDTFMALSNGIYKSCLHRAIVNSRTPRKSIAFFLCPKMDKVVSPPEELVSFDNPRMFPDFTWSELLEFTQKHYRSDMKTLDAFAKWLIHQRDDQKMVA >CDP16559 pep chromosome:AUK_PRJEB4211_v1:3:25669437:25670896:1 gene:GSCOC_T00018950001 transcript:CDP16559 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSNQLTKLFLGGNQLSGTIPEGFGNFVNLYLLGLELNSLSGVIPRDFGKLQNLQHLHFDNNQFSGQIASTVCNAITLYYLDLSFNHGSLPPEIGKLVHLVDFNVSHNKFVGAIPSSLADCSNLANLSMQANFFQGTIPPNLASLKSIQQIDLSSNNLTGSMPKELEKLQYLRNLNLSYNDIEGEIPNTGIFSNANQISLIGNNKFCGGILELEIFSRKLNWFRKFWSCLQRKARKHSNKFVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIVSYCSSIDSKGDEFKALVYELMENGNLDLWLHPETTDKPTSSRSLNLSQKLNIAIDVASTLQYLHNHCVLRKLYQIKV >CDP16820 pep chromosome:AUK_PRJEB4211_v1:3:16067657:16070025:1 gene:GSCOC_T00019343001 transcript:CDP16820 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQFHESGVSYETELIRCPYYGHNFCFLYIRSTVGVPILVNNLKRIPCPSYNLFSKITTPSCTFDWSFYYLEQSWNGLQMAANEEKSGPFYQVYCSINLILTGGLDQPIRRPYVELNWRFWAL >CDO99083 pep chromosome:AUK_PRJEB4211_v1:3:3020847:3022133:-1 gene:GSCOC_T00026105001 transcript:CDO99083 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRAGMALALALFLFGMIWTSATAQSTDCTNVIISMSPCLNYIQGNSSTPSSGCCTQLSSVVRSQPQCLCQVLNGGGSSLGLNINQTQALELPKACKVQTPPTSQCNAASPAQSPATETPGNPSSEPAGRGSNSVPSTNSGSSDATSTKLAAPLLFFLLFVASYASTFTLSV >CDO99099 pep chromosome:AUK_PRJEB4211_v1:3:3160977:3166385:-1 gene:GSCOC_T00026127001 transcript:CDO99099 gene_biotype:protein_coding transcript_biotype:protein_coding MGNADFESQHRVRVESPPSKPFFQNLGMSVKETLFPDDPFRQFKGQSFSKKCVLGLQYFVPILKWAPGYTFDFFKADFIAGITIASLAIPQGISYARLANIPPIMGLYSSFVPPLIYAMLGSSRDLAIGAIAVPSLLIAAMLGKEVHPAEDSKLYVQLVLTATFFAGVFEASLGLLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGILGLVHFTHETDITDVMKSIFSQTHQWKWQSGILGCSFLFFLFIARYFSKKKPAFFWANAMAPLISVILGSVLVYFTHAENHGVQVIGHLKKGLNPPSFSELSFDSPYLMTVVKTGIITGVIALAEGIAVGRSFAAFKNYHIDGNKEMLAFGLMNIAGSCTSCYLTAGPFSRTAVNFNAGCKTAVSNIVMATAVMITLLFLTPLFHYTPLVVLSAIIIAAMLGLIDYESAIHLWKIDKFDFTVCLSAYVGVVFGSVEIGLVIAVALSLLRVLLFVARPRTVVLGNIPNSMAYRSIDQYPGAINVPGMLILRIDSPIYFANASYLRERISRWIEEEEDRLKCSKDVELQHVILDMSAVGTIDTSGIGMLEEVKKNMDRRCLQLVLANPGSEVMKKLDRSKLIATVGREWIFLTVAEAVCACTFMLHSFKQKAKATDGEGSDNTV >CDP15478 pep chromosome:AUK_PRJEB4211_v1:3:17860024:17864241:-1 gene:GSCOC_T00043231001 transcript:CDP15478 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKLSIPQVGLQRSFNISFSNLPMPSSRLKITCGLRKIGPRKPMWRSRVLSPEAIQAVQSLKLARSPDKLAEVFANRISRLLKADLLDTLSELQRQNEVELALQVFNFARKEVWYEPDISIFSDMILMLGKSSNIEMAEQLFGEIEKEGLRPDTRTYTEMIGAYFRAKMIEKAMESYESMKGSGCIPDKLTFRILIKNLEKSGEKELIATVKKDCLTYIEYPEKFLEEVEKTYPKRRLLNVV >CDP11145 pep chromosome:AUK_PRJEB4211_v1:3:7851240:7861726:1 gene:GSCOC_T00033203001 transcript:CDP11145 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNKENKKQEELLKTLGDFTSKENWDQFFTIRGNDDSFEWYAEWPQLKDILLPHLSSPPAATSDGGGSSSSSRGKAEKIQILVPGCGNSKLSEYLYDEGYQNITNIDFSKVVILDMLRRNVRLRPEMKWRVMDMTQMQFEKESFDIVLDKGGLDALMEPELGPKLGNQYLSEVKRLLKDGGKFICLTLAEAHVLGLFFPKFRCGWRMSIHAIPQKSTDRSSLLTFMVIAEKDNLTSFSQISSSIDQSLIAKNGNQARGLYEVLESENKIRSTYSSSSDVLYSLEDLKLGAKGNLAELNPGRRIQLTLGEPGCSRFTYKTVLLDASHSSGPFSYHCGVFLVPKTRAHEWLYSSEEGQWVIVDNSKAARLIMILLDSSHSDASMDDIQRDLSPLVKQLEPEDCENGAQIPFMAASDGLKQRKIVHQATSSLTGPIMVEDVIYENNDEYISRLFPCMDVIFRRLTFERSKNLIQSEALLTRVGSQEVGETGQKKTRISTKHKKKGNQRRSVVAEPNNDLRVNHNYLASSYHSGTISGFLLISSYLDIVASKGVMAKVVIIGLGAGLLPMFLHECLPFLEIEVVELDSMVLDIARDYFGLKEDTRLKVHVTDGIKYVMEIANSELANLTTSNHCLVEGKSSVSSGSCTVPLAECTSLRKTDLLIVDVDSSDSSSGLTCPAADFIEESFLLTAKSSLSEQGLFVINLVSRSSTIKDLVFSRMKLVFTHVFYLQLEEDVNIVIFALNTEAGFEDDCFHQAPDKLSRLLKLEQGLRDQILDDARKIKRMR >CDO99175 pep chromosome:AUK_PRJEB4211_v1:3:3951651:3955685:1 gene:GSCOC_T00026225001 transcript:CDO99175 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATSLGKLARQFSQIRLYATHLNVDARYPVRSLRSVSSVLDSQSGSDRVPQFPDEDAGALSRIRIGENIPRKDKAKFLVSTLLELNDSKEAVYGALNAWVAWERNFPIGQLKNVLINLEKEQQWHRIIQVIKWMLSKGQGNTMGTYKQLIQALDMDHRAQEAHEFWRRKIGSDLHSVSWELCKVMISVYYRNNMLQDLVKLFKGLEAFDRKPPEKSIVRKVADAYETLGLIEEKERVLVKYEELFKDNMKGPFANRKRQLKKKTSGKHKDGPEIESHNDVSLSGL >CDP15115 pep chromosome:AUK_PRJEB4211_v1:3:10678223:10679578:1 gene:GSCOC_T00042691001 transcript:CDP15115 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHILIVSYPAQGHINPSLQLANKLIKIGVEVTFATSHFALPVVPKASCKVSNDFHFAAISDGYPDGFKPQGLDVNHVNALKKYGPETLRNVIQASAETGRPVTCIVHTLTLTWAAEVAREYHIPWTLLWIQPATVLGITYYYFNGYEDEIRNCYEPSWSIQMPGVPLLTTLDLPSFMLPSSSSTQNVALLMVKEQMKMIDEEENPYVLVNTFDALEPHALKAIDKYNLIGIGPLIDFPFSEGSGDVMQEEEYYMGWLKSQPRSSVVYVAFGSLLTPSKHQMEEIARGLLECKRPFLWVIRARDDGEEEKLSCIKELEQKGLIVPWSSQLQVLKHPSLGCFVTHCGWNSTLETITLGVPVVAFPLWADQGTNAKLIQDVWKIGVRVVPNEDGLVESDEIKRCIELVMDGGENGLEFGGNAKKWKDLAGEAMVEGGLSDTNLKAFAFKDV >CDO99308 pep chromosome:AUK_PRJEB4211_v1:3:5295649:5298324:1 gene:GSCOC_T00026417001 transcript:CDO99308 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPIRPLCLFSLSLAFLPFLQLIPLAKSASDYTNLVYKGCAQQALSDPTGVYSQAISALFGSLIAQSSKNKFFKANTGSGQTNISGLFQCRGDLSNVDCYNCVSQLPILIDKLCGKPTAARIQLQGCYMLYEVAGFPQVSGMEMLFKTCSGKNIGGAGFEERRDTALSSLENGIGSGNGFYTTSYQSVYVLGQCEGDLSASDCGQCVQTAVQRAQSECGSSISGQIYLDKCFVSYSYYPNGAPKRSSSSSSFSSPETASTGSGQSTGKTVAIILGGAAGVGFLVICLLFTKNLMKKHDDS >CDP19901 pep chromosome:AUK_PRJEB4211_v1:3:9471102:9473272:1 gene:GSCOC_T00004205001 transcript:CDP19901 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTSLAAGLSKTLSRIAFPHSYPYWSFILLELADLQLRLLPAAFFLWAGLVSFGRFPQPSNGLCFELASGKVRLVSWSILPALRCRFDVDLTDHTDTLTASVFGDLAETLLTFTALEAMNYHEENAELPLEKVHQELQSKMFIIQLKAAATRDGGGHQRYTIVYCFEDVSSEESANKSANPDVQTCFEEVGNTVPASVAPENQVSSSKVRIRLDTKFAQSEDAHDNTSKDEEASISKKAKKN >CDP16565 pep chromosome:AUK_PRJEB4211_v1:3:25825370:25828010:-1 gene:GSCOC_T00018961001 transcript:CDP16565 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWSFKLLPLLGLLGYVIYWFYEMVEVYWLKPKRLEKILRKQGFKGNPYRLLRGDQHENDKLLKETFYHDLDPLIEILFTGVACAEGQKWEKLGRSSTLLSLMLPAFQMSCVEKVNKWSRLIPEGGSAEVDVWKGIEGLSGGVISRALFGTSYEEGSVIVELMKELASLTWEAVRSVYFPGKRFLPLKRNNRMRAIDRELRVKITEMINKKIKAMQAGESSGDDFLGILLESNMNEIKLQGSKTAGLTIEQIINECKVFYWAGQDTSSTLMLWSLVLLSKHPEWQERAREEILQVFGDKDPYYDGLSHLKIVPMILNEILRLYPPLAELSKVATEDTQLGKYFIPAGVQMMNPQIVLHYDPELWGDDVLEFKPERFSEGVMKATKIQGAYFPFSLGRRMCIGNNFALLA >CDP10891 pep chromosome:AUK_PRJEB4211_v1:3:28511328:28511979:1 gene:GSCOC_T00031833001 transcript:CDP10891 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNYSFFVVLVLLASSVVLGQDEAGEATETTNAVKTASRKMLPIGGQIIKMLGVGVHDGQEGKCSPATVFSPSTPTYPMVVIG >CDO99368 pep chromosome:AUK_PRJEB4211_v1:3:5989137:5992275:-1 gene:GSCOC_T00026515001 transcript:CDO99368 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILSPIDSCKPAENKNVGCPATNGNVSAVEASSSSHPPSMIVGPEATLGRHIAHRLVEIGVNDIFSVPGDFNLTLLDHLIAEPGLNNIGCCNELNAGYAADGYARARGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNTNDYGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHEQIDRAISTALKESKPVYISVSCNLAGIPHPTFDREPIPFSISPRLSNERGLQAAVEAAAAFLNKAVKPVMVGGPKLRVAKACDAFVELADACGYAVSVMPSAKGLVPEHHPHFIGTYWGAVSTAFCSEIVESADAYIFVGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIGNGPAFGCVLMKDFLHELAKKIKKNNTAHENYRRIFVPEGLPLKSEPTEPLRVNVLFQHIQKMLSSQTAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQSVPEKRVIACIGDGSFQVTAQDVSTMIRSNQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDALCNGEGNCWTTKVRCEEELVNAIETATGEKKDCLCFIEVIVHKDDTSKELLEWGSRVSSANSRPPNPQ >CDP10269 pep chromosome:AUK_PRJEB4211_v1:3:11880752:11890188:1 gene:GSCOC_T00030943001 transcript:CDP10269 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRNTILKENHSHQSETSAFGLPPPSSPNPSSMKQRIPGVSGSNSSGHRRQKSSSSKENAPPQMADLNQVAAVEYFSPSDPRKPSPSPMTVVAAAKIRSPLPPRPPLKRKHSMEASGSEKGPNPASTDSGVKVIVRMRPLNKDEEEGEMIVQKISNDSLSIAGHTFTFDSIADYESAQARYSIDIFELVGAPLVENCLAGFNSSVFAYGQTGSGKTYTIWGPANALEENISNDQQGLTPRVFQRLFSRISEEQTKHADRQLMYQCRCSFLEIYNEQITDLLEPSQKNLQIREDVKTGVYVDNLTEEYVSTMKDVIQLLIKGLSNRRTGSTSINADSSRSHSVFTCVVESRCKSISDGLSCLKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMICAISPAQRCKSETFSTLRFAQRAKAIKNKATINEEMQDDVNVLREVIRQLKDELLRMRANANQTNQDGNYSKGWNIRRSLNLLNFSRNCAMALRHGDDDSDEEMEIVDQDEELHLQSAGDEQSIGLDVNQPDSVIKTAQLVGFDDGMCEGPQCKTSSGEPVLEDDVNMEEVDEQVDKHEVSGVVANLPDYSNSQKQNYDNCSERNAEDNLITSPVNGLDKILSERPNEENVDISVWSSRNDDLSRRLAVEETSKKFELDNKLNGTPCTSPTCFEEDTKASTDLSIVPCNVSPPLKSPTPSVSPKVINSSRKSLRTSSTLTASCKDLANDNLEPEPPCLSFAKPSNSICLNMSGQRRRSSKPTQQLAASLQRGLEILDGRHHQSASMRRSTFRFSNRFADVKGLVPTAKVDAGVQTHISETESVQEHSILTLCSKCKRRNSHEELKDANDSSNLQLVPIDGPHSSDKFKTQVPKAVEKVLAGAIRREMALEEICAKQNSEIMHLNRLVQQYKHERECNAIISQTREDKIFRLESLVDGVLPTEEFMEDELLSLTHEHKLLKEKYENHPEILRTKIELKRTQDELERYRNFFDLGERDVLLEEIQDLRNQLQLYVDTSPKLSRKRSPLLQLTYSCQPSLASTLCTAPESKEESAEERLEQERAQWTEAESKWISLVEELRSELEVSQALLAKEKQELDSEKKCSEELKEAMRMAMEGHARMLEQYAELEEKHMQLLARHRKIQDGIEDVKKAAAKAGIRGAESKFINALAAEISVLKVERERERRYFWDENRGLQAQLRDTAEAVQAAGELLVRLKDAEEATAVAEKRATEAQQETEKAYQKIEKLMRKHEEETRVFNQLLADSHLPKEAVKPVYDVSELATGDAGETQSTGEQWREEFEPYYNAQEEELSKLEPSSWFSGYDRCNI >CDP03416 pep chromosome:AUK_PRJEB4211_v1:3:22754407:22754670:1 gene:GSCOC_T00015149001 transcript:CDP03416 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVVHIESHDAIHFFDILRKSSLLLDVKVNEYYNITHCIVYDLAHDLEQFILSFGKSDAEYLQDLLPWI >CDP10331 pep chromosome:AUK_PRJEB4211_v1:3:12894956:12896285:-1 gene:GSCOC_T00031026001 transcript:CDP10331 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEILDNSAYPMVGGDGPYSYARNSNYQKESLDSAKKMMNELIDQHLHPENHLHSMNPFSNSFRVADFGCSAGPNTFHAVHNIIEAVENKYKSLRMEAEMPEFHVFFNDHVNNDFNTLFRNLPATGRYFATGVPGSFYGRLLPRSTLHFAHCSTALHWLSKIPVEVTDKNSPAWNKGRIHYTGAAREVEDAYSTQFGKDFDLFLRARAQELVPGGLMMIVAVGFPDDVQICESSLGENFNVLGSCFLDMAKMGIITQEMVDSFNLHFYYPSPSELKTLIEVNGLFEIKKIEKLVSSIANKRVLLDVDACILHLRAVLGELIKEHFGEGVIDILLERHREKYIENPILSDERYIKEASYAVFLKRKIRVTSRRNSI >CDP14628 pep chromosome:AUK_PRJEB4211_v1:3:14200990:14201936:1 gene:GSCOC_T00042001001 transcript:CDP14628 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRESLKRLIGKRRRFLPNRHSIISSALPSPESTLNLWKKEEVDDETSSGDDKNGAPELVSCPICGVEVPGDNDVINSHLDACLARGTEPCDAIVSNSRLGNSSKKKKIMKSLIEFHSLSRRTGYLFCF >CDO99224 pep chromosome:AUK_PRJEB4211_v1:3:4451675:4457494:-1 gene:GSCOC_T00026298001 transcript:CDO99224 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGRNDINYRNPCLTMHQPWASLLIYGIKRVEGRSWPAPIRGRLWIHAASKIPEPATIQAMEDFYREIYAVDGITDIKFPEHYPTSRLIGCVEVVGCITREELVNWEEVPGGVQLEGQTDFCWLCEQPQKLIVPFEMRGFQGVYNLEHKIHEAAARGLSPVRVPRPVKFPLPDPRDPFSLKPGSLVSTFKGSGMPKVEKSESLTAALAGARAAATQFSKSGGLEPAAVGGGDEPISSRTRNKSTAV >CDO99270 pep chromosome:AUK_PRJEB4211_v1:3:4918544:4920727:1 gene:GSCOC_T00026361001 transcript:CDO99270 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIVGVHAVTANGGVIAPVGMDMVALAAQKFAVPVVVVAGIHKLCPLYPENPEVIRTAGVGKVLRLHGFWKQLVSLVITDIRGHKPSYVYRLIADYYSSQDYVRYGKDALFKL >CDO99001 pep chromosome:AUK_PRJEB4211_v1:3:2417110:2418870:1 gene:GSCOC_T00026001001 transcript:CDO99001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDAKPISGEPLQENQSFRGFPRAMCPGLRKVLAEAVGTFILVFCICGIIASMELMKGRIGLMEYATTAALTVVVVVYAIGTISGAHVNPAITIAFATVGPFPWSTVPLYLSAQLGGSVLATYAGKFVYGLQTDLVLTKPFHGRSAAAFSVEFIATSMVVFLAAALANAPESVQNLSGFVVGGAIGLGVLITGPVSGASMNPARSLGPAIVSGDFAFLWIYLAAPTLGAIFGAILYRLIRLQGWPCEPSPSKN >CDO99132 pep chromosome:AUK_PRJEB4211_v1:3:3525342:3529216:-1 gene:GSCOC_T00026173001 transcript:CDO99132 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose 3,5-epimerase [Source:Projected from Arabidopsis thaliana (AT5G28840) UniProtKB/Swiss-Prot;Acc:Q93VR3] MGSNCETKYAAYTYENLEREPYWPSENLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCDEFHLVDLRVMDNCLKVSKGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGVRRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEEICKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAAMVLSFEEKKLPIYHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQIEKEKAQGTDVAIYGSSKVVGTQAPVELGSLRAADGKE >CDO98774 pep chromosome:AUK_PRJEB4211_v1:3:695967:699579:-1 gene:GSCOC_T00025692001 transcript:CDO98774 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKYNRKNPAVKRILQELKEMQANPSDDFMSLPLEENIFEWQFAIRGPCDSEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFEVQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTSPNGALGSLDYTKEERRALALKSREAAPTFGTSERQKLIDEIHEYMLSKAPPVPQVCTAQASKDQTSKGEDEVEQNSAIAVADNTQEVLQDPASDGRVVEEQHEAPQNANSVQMSQPHPAMHSSQQQLLHRPEVRVPRQADDRLFTWAAVGLTVAIVVLLLKKFMKAHGHSAFFTDES >CDP08028 pep chromosome:AUK_PRJEB4211_v1:3:29414163:29415023:1 gene:GSCOC_T00026702001 transcript:CDP08028 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGVYMLAFSVLVAAFSSTHSLNGKGSTGGGKLSRNGEVAKGLLTLISSDAPGLQVCDPNGRWYLADSGFVLGDLLFLTGKALIHATAGLRPAYTLPCMTCLVFRLMSQGNAIRDCSPIAAAGHVIPQSYVPISVTQFMDAIIVLVMCQSL >CDO99123 pep chromosome:AUK_PRJEB4211_v1:3:3441414:3443914:-1 gene:GSCOC_T00026161001 transcript:CDO99123 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMTRAKLGCRIFSVHLPPNLGGYDIKIRDSCLVSVHFSRGFKGVHTSIKSITCLIPSQSTAKNWKENSAVATDDRVVMDIVQDLPCFTIDQSSLMHIVEMRSLDQLHKFGGVHGIANSLNSDVHRGIDSDDNAGISRRHEAFGTNTYSEAAGKNFYGLMEGLYGGGSIFSAVLVVLSIVASTDFRKNRNYSENFMVSDSSPVEVMRNGKLKHISTSEVAVGDVICLKIGDQVPADGLLIEGPALRVDESSMTTTGSFHDHEIKHHDQNPFLFSGTKVTVGCARMLVTSVGMNTTRGKMLSSMSSESIEHTPLKSQISRLISSIGEVGSGAAVVAFVLNLIQTKRNYNLERRKKKLKELAMVFTASSAVASSISRNVFGVGKYISKLLKVEQQTSIGNALLGIARPPKPLLIGIPSEAGVFPVLLFIHGYLLYNSFYSQLVQHIASHGFIVIAPQLYSMAGPDTTEEINATAEIANWLSEGLQKLLPPKVQANLSKLALAGHSRGGKVAFGVALGKAVTSLKFSALIGIDPVDGVEKGKQMPPILTYNPHSFNLDMAVSIIGSGLGELRSNFLFPPSAPKGVNHKDFYNECQKPACYFVARDYGHLDMLDDDTSGLRGKATYCLCKNGESRDPMRRFVGGIMVAFMRNYLEGNSRDLQAIRHGHLTAPVELQNVDFLV >CDO99444 pep chromosome:AUK_PRJEB4211_v1:3:7008768:7011287:-1 gene:GSCOC_T00026618001 transcript:CDO99444 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGESTYEPPRGRGKNKCFWTPEEVKALVEALKELSYDPLWKNDGGFKQNYMFEVHNIISSKIPNFTKKVDPHVESKVKWLKSKFYAISKMLRQSGCKWNAAEKMISCERQWYDNWVKAHKEAKGLWNMKFPYLRDLEVVYGDDIVKAEEIEGFEDADQNIETALIEANVMDFSDEGNEEANSVTQDKEANTTSTSTLRKQKRQTSPICKESKKVKTSVAPLLVPEQFELMTGKFEVLLDHLATIATTMAKEDQRAQLAADRSNRVVEELLKLGLPTGDLFGAANILCAESSKLNVFFQLSPKMRRQYVNYLLYPTSSLSGSSN >CDP15102 pep chromosome:AUK_PRJEB4211_v1:3:10924578:10928790:-1 gene:GSCOC_T00042674001 transcript:CDP15102 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATDVVTRNGHSCCSEKGPGYASPLEAMSGPRECLIYVTCVYTGTGKEKPDYLATVDVDPSSPTYSKVIHRLPMPYVGDELHHSGWNACSSCHGDPSAARRFLVLPSLISGRIYAVDTKEDPKAPALHKVVEREDIIQKTGLAFPHTAHCLASGDIMVSCLGDKDGNAKGNGFLLLDSEFNVKGRWDKPGHSPLYGYDFWYQPRHKTMISTSWGAPAAFTKGFNLQHVADGLYGRHLHVYSWPDCELKQTLDLGNTGLIPLEIRFLHDPSKDTGFVGCALTSNMVRFFKNPDDTWSHEVSISVKPVKVQNWILPEMPGLITDFLISLDDRFLYLANWLHGDVRQYNIEDPKNPKLTGQIWVGGLFRKGRPVVAEAEDGTTYQVDVPEIQGNQLRGGPQMIQLSLDGKRLYATNSLFSAWDRQFYPDLVEKGGHIIQIDVDTEKGGLTINPNFFVDFGAEPDGPSLAHEMRYPGGDCTSDIWI >CDO98963 pep chromosome:AUK_PRJEB4211_v1:3:2150878:2158897:-1 gene:GSCOC_T00025952001 transcript:CDO98963 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MKGHDRINSSLPDELILEIFRYLDTKPSRDAASLVCKRWLGLERLSRDTIRIGASGSPDGLVKMLSSRFPHVRNVFIDERRSITLPFQFGKRRRADHSALSPLKLQFAAGKTGPEDYETETYYLSDAGLAVVGDGFSKLEKLSLIWCSNVTSLGLRSIAEKCNALKSLDLQGCYVGDQGLAAVGEWCKQLEDLNLRFCEGLTDTGLVNLALGCRRKLKSLGVAACAKITDVSLEAVGSYCLSLETLSLDSEFIHNKGVLAVAKGCRVLKVLKLQCINVTDEALEAVGIFCNTLEFLALYSFQRFTDKSLCAIGKGCKRLKNLTLSDCYFLSDKGLEAVAIGCTELTHLEVNGCHNIGTFGLESIGRSCVSLSELALLYCQKIGNFALSEIGRGCKFLQALHLVDCSSIGDDAIISIAKGCRNLKKLHIRRCYEVGSEGIVAVGENCKFLTDLSLRFCDKIGDEALVAIGNCHSLRYLNVSGCHHIGDAGIIAIARGCPELSYLDVSVLQNLGDMAMAEMGEGCPMLKDIVLSHCRQITDVGLSYLVRKCTLLETCHMVYCPGITTVGVATVVASCANIKKVLVERWKVSQRTRRRAGSTISYLCVDL >CDP10828 pep chromosome:AUK_PRJEB4211_v1:3:26796208:26797763:-1 gene:GSCOC_T00031723001 transcript:CDP10828 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFPTIIFSIVVLVGAFPQLISSQNCGCAPDLCCSKFGYCGTGNDYCGSGCQSGPCTAAPSSGNSGVSVADIVTDAFFNGIADQAASSCAGKGFYTRSAFLEALNSYPQFGTVGSVDDSKREIAAFFAHVTHETGHLCYIEEIDGPSRDYCDESNTQYPCVPGKGYYGRGPIQLSWNFNYGPAGESIGFNGLSQPETVATNNVISFKTGLWYWMNHCHDLITSGQGFGATIRAINGQLECDGANPNTVSARVEYYTEYCRQLGVETGDNLRC >CDO98693 pep chromosome:AUK_PRJEB4211_v1:3:77821:84531:1 gene:GSCOC_T00025588001 transcript:CDO98693 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWKYDVGRTLGEGNFGKVKYARNLESGQSFAIKILEKHRIFDLNITDQIKREIGTLKLLRHPNVVRLHEVLASKSKIYMVLEFVDGGELFDRIASKGKLSEAQGRKLFQQLIDGVSYCHNKGVFHRDLKLENVLVDAKGNVKITDFGLSALPQHFRDDGLLHTTCGSPNYVAPEILSNRGYDGATSDTWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDAQIPKWLSPGAQNLIKRILDPNPCTRISMADIKVDEWFKQDYSSAIPYDDDDDNKQNAFIDDAVLSVHEVPSEAEKDPESSHLINAFELIGMSSCLDLSGFFEKEDVSDRKIRFASTYSLKELLEKIEQTVTEMGFQVHKKNGKLKAIQEHKGDKGPGSVSIAAEVVEISPSLHVVELRKYHGDPAVYRQLCKKLSNDLGASSSQECLAAEL >CDO99073 pep chromosome:AUK_PRJEB4211_v1:3:2970699:2974588:-1 gene:GSCOC_T00026093001 transcript:CDO99073 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALFELENVLRKEKITSQEANILMQCKSNALRAFTLGATGAAAVSWFASMKLHNLHRLFLTAGGSSVVGMRTFGRSLDSSLNYILSLDGTRMQKELGVLMLQKYQDDPTIMQRISKHFYSEEVYDDSSDRPRLRWRFRNSFGENAAYPLVTDEHASNSEKSDQKRTISKNSDPEPNQAQMNTAGDAVENPFDCIFGIPAEVNEIQQTNRSNAGRSKAVSKRHAHGNKRFHRRHRKHHQEASVA >CDP10053 pep chromosome:AUK_PRJEB4211_v1:3:23136525:23139134:-1 gene:GSCOC_T00030634001 transcript:CDP10053 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTFASPMFIFFWLLMISIILVQPALSGRTLGSSGGDATIGIIPGGPPKATGTSSPTNSAPKAVGRGPIGYGPLHRPPFCNRMFYRECLNPPKRRCSSYNRCGRPPLPPK >CDP15469 pep chromosome:AUK_PRJEB4211_v1:3:17490304:17501804:1 gene:GSCOC_T00043215001 transcript:CDP15469 gene_biotype:protein_coding transcript_biotype:protein_coding MWEVMRSRNSSFKEEDLKKETSPSSASSAVDSRFNQTLRSVHGLLKGRSFPGKILITRRTDPQDDLILRSPDSNRSLSDNDTGSSERVAESSQDGLQNRSNASTTTSFSKMKLSTSNSENASREVQKPTVGARATDSARLMKFTKELSGTTVILDNLRELAWSGVPQYLRPSVWRLLLGYAPPNSDRREGVLRRKRLEYLDCVAQYYDVQDTERTDEEINMLRQIAVDCPRTVPDVSFFQQPEVQKSLERILYIWAIRHPASGYVQGINDLATPFLVVFLSEHLEGSVENWSMADLSPDQISNVEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSTHMENQGLEFLQFAFRWVNCLLIREIPFHLVTRLWDTYLAEGDALPEFLVYIFASFLLTWSDKLQKLEFQDMVMFLQHLPTNNWSHLELEMVLSQAYMWHTMFKRSPSHLVS >CDP08000 pep chromosome:AUK_PRJEB4211_v1:3:28809338:28812845:-1 gene:GSCOC_T00026659001 transcript:CDP08000 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDCRWWSKDTVAIVTGANKGIGFALVKRLAELRLTVVLTARDNARGQEAVESLTRLGHHHVHFFCLDVSDPLSIKQFASWFKEKFAAVDILVNNAAVSFNDIHENSVEHAETVMRTNFYGPKLLTEALLPMFRSSASFGRIINISSRLGLLNKLKNPKLRDILMDGEKLSESQIQEMVELFLENVKNGTWQNQGWPEVWTDYAVSKLALNAYSVVLAKRLKGHGISVNCYCPGFTRTSMTGGKGKYTADDVAEVAAKLALLPPQVLPTGKFYTVSNSGGIYSKL >CDO99011 pep chromosome:AUK_PRJEB4211_v1:3:2496548:2497972:-1 gene:GSCOC_T00026014001 transcript:CDO99011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQHEEITKGARTTVPISFFAEAKNKNQFLPSASLHLIKKHHESKVMPEQSPTSEPSNISCSLSLELSTVDIIKMAKKIGSGDLSYVKSAASPENYKDFELALLLQAAAFNFSNQQFVHARNLLNICQDSASLNGNPIQRVVYYFVEALQEKITMETGGEVLQEKPEGNRRDLTVEEAFLSLHPALMECHAKLPFCRITQFTAIQAILDNVGSAKRIHLIDLGIKCGTQWSVLMQALANRHECPLELLKITAVGPSKEMIEEIGKQLSSFAASLNIPFAFRIVVSDLQNVDQYLFQLAANEVVAIYSELRMASLLAWPHHLESLLSTIKKLRPRVMVMIEIEANTNAPLFMDRFNASLSVSAALFDCLESCMDRDSPCRAIIEGMFLREGIQYLITSRGKESIHRQESIGFWRAFLKRFGMVEIALSNWAFCQANLVAKSNPCWSSCTLEVNGKGMAIGWKGTPIHMLSVWKC >CDO98837 pep chromosome:AUK_PRJEB4211_v1:3:1142360:1145499:1 gene:GSCOC_T00025771001 transcript:CDO98837 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEHKNVEVNGINMHVAEIGQGPVVLFLHGFPECWYTWRHQMSFMASHGHRAVAPDLRGFGGTTGAPIDDPSKFTTLHVVGDIIELLKIVAPDEDKVFLVGHDWGAVMAWALCLYRPDKVKALFNMSVSFGPRNPKRKPIETLRAVCGPDYYVCRFQEPGEIEEEFAKVGTKRVLENFLSYRAPGPLFLPKGILFGDSPDAPTTLPSWLSEEDVAYYVNQYAQSGFTGALNYYRALDINWELTAPWTGAQVKVPVKFVVGDLDLTYNAPGTKDYLHKGGLKKDVPFLDQVVVMKEVGHFLHEEKPDEINKYIHQFIQKVSSPICSQL >CDO99104 pep chromosome:AUK_PRJEB4211_v1:3:3230596:3232609:1 gene:GSCOC_T00026133001 transcript:CDO99104 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSPAISTSTNVFEYGNFTTDLIKVEQGPCWRKAAEQTLPPPKPLLIGTPSEAGVFPVLLFLHGYLFLNSFYSELVQHIASHGFIVVAPQLYCVAGPDSTKEIESAAAITNWFSEGLQACLPSHVRPNLSKLALSGHSRGGKVAFAVALGKAITSLKFSALVGVDPVDGMDKGKQTPPPILTYIPHSFNIDMAVLVIGSGLGEVKRNPLFPPCAPKGVNHEDFFNECQKPAYHFVAKDYGHVDMLNDDTTGIRGKTTYCLCKNGVSREPMRRFVAGISVAFLRAYLEDNSNDLEAIKDGHLVAPVVLQKADFLL >CDP16828 pep chromosome:AUK_PRJEB4211_v1:3:16385547:16386908:1 gene:GSCOC_T00019361001 transcript:CDP16828 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEKGHIVSMSKIHQNGKSRFFKFGNSLWSDLTQENSELMQTKKLQQRKIILCEVVSFLAKIMEVANIRQVRVHAN >CDO98723 pep chromosome:AUK_PRJEB4211_v1:3:297287:301193:1 gene:GSCOC_T00025623001 transcript:CDO98723 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPIEIDALPTPPTTPTTVFQAASGVQISRSESYQALGCSTSIQTTTYVDSPRNIKFLPTIRSGSHTDVGRRRSNEDEHICIDDLSTCLGPLCTWLLPSSFYAIFDGHGGSDAASYVKKNAMRFFFEDAELPKNSDINKSFLEELENSHRRAFLVADQALADERSIDASCGTTAITALVLGKHLLIANAGDSRAVLSRKGDAVQLSQDHRPSSVVERKRVEDLGGVIEYGYLNGELAVTRALGDWCMKLPFGAASPLTAEPEVQHILLSEDDEFLIIGCDGIWDVMSNQDAVSLVRRKLRLHGDPQQCARELVDQALCRASGDNLTVIVVCFSPVDRQDPVASQRPRLRWCKLRKMIFICFVVASDLPRKSSQQFCAGRGIEMVVFVAAFKK >CDP03426 pep chromosome:AUK_PRJEB4211_v1:3:22222214:22226095:-1 gene:GSCOC_T00015167001 transcript:CDP03426 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVDALLGSTIEVLAEKAINLASEQIGQFVGFKNDLEKLKDTLTLIQAVLRDAEKRQVTEEFVKRWLENLERVAFDAENLLDDINYEMIRRKKINMDLIIGMGGIGKTTLARKVFNDPNIEKHFDKRIWVCVSDIEKHFNANGLLALMLESLKVPMAEVRDSREAKVQKLKEKLDGEKPYLLVLDDVWNGGPALWDGFLESLRGISSAKGSWVIVTTRNKRVVDITAIHSDPWPLKELSNDHCWLIINKNAFGDREAPGDLIELGLELAKKCQGLPLAASVLGGMLRNKERNEWQSILDTGLQNIGGDEDGDITKILKLSFDHLPYPSLKKCFAYCSIFPQDFQLERNQLIQLWAAEGFLHSNPRNKMCMEEVGNKYFTFLLESNLFQDAKKDAYGNVLNCKMHDLVHDMAQFISECKTLRLKESTEADFHDKTFRYLAMERSDGEEMLPFPWNESFRYITTLFLLENRSIDDGLIIFLACLRVLNIASSDATELPKSIGKLSHLRYLDSSDTPMETLPDSLCKLYNLQTLRLRDCKSLTKFPNNFKNLVNLRHFDFFSDDTSSNLTPLEIGQLRSLQTLPYFNIGKEAGRQIGQLGSLKNLSGSFEIRNLQLVTSMEEAKSAKLIDKPNIDDECNQVLEGLHAHQNLKGLMIQGFFGDQLSTWIAELGRLVKFELQNCKSCKELPTLGHMPFLRSLHLEGLDSITSIGPSFYGGMHSGSSSQRPPNLFPALQDLILENMQNLREWIEAPVHDGTVTVFPVLHTMRIYNCPQLATFPNHFPCLKILNIWKTQNGSAVMEYICSGVSTLTGLYFYYVNGLTKLPNELFQNNPKLTHLELRDCGDLAEFSDFSFDSLEKLTVWNCPSLKSISIPKGRKYLTTLRILEICKCSGLTHFRPPPPPPLPLKELWVSGCPNLISFPIDLTRTSFLIYLYIFECEKLSDLPKGKLCSLTRLKALEIGPFSETTTELHSFLDLFDTLPPPYPYFPSLSNLHLYGWPHWESLPEQLQHLSALTYLQLDGFGVKSLPDWFRKLSSLQRLDLSHCKKLENLPSHQSMRSLTRLEMLWITDCPLLKERCHPERSSSSTDPNSEWSKISHIPEVVTDWVQISGTHWVQISG >CDP11128 pep chromosome:AUK_PRJEB4211_v1:3:7582363:7587859:-1 gene:GSCOC_T00033177001 transcript:CDP11128 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKKMPNSVAEEEKEKDKEVKIDEGNAKLIEWEDYQQELARFCSLTSALNEAKQKKLVLQQKLQSLIQVEAESLNRSNELDEMREKLESRKLVMGNMSMHSKVAEEKVKKQEEQLSSEIRSLLLAGTALSVASKQLQEANGSLAGERGYLHLKTLQKSLSVRQQYMVSQVSLLYPVKFVIGHRHEQELESFTSSIKSGVTAGSKPLDQGSLTISGLHLTVIPFTKMSFFTDKKEVQQSSTALGYIAHAVLLIASYLQVPLRYPLRLGGSRSYIRDYAPSIEPVSSDSTSNSLVLTNAKPVEFPLFLEGQDSTRAAYAVFLLNKDLEQLLNYIGVKSLGPRHVLANLKELLKTILSPEYIDT >CDO99435 pep chromosome:AUK_PRJEB4211_v1:3:6929159:6930809:1 gene:GSCOC_T00026607001 transcript:CDO99435 gene_biotype:protein_coding transcript_biotype:protein_coding MTRELQKKSTGARCLHCLPLLSISPFFFFCSTCRNQALNIDGCITASIHVDSLRISHGIILQTVQPESRSKLD >CDO99077 pep chromosome:AUK_PRJEB4211_v1:3:2996678:2998866:-1 gene:GSCOC_T00026097001 transcript:CDO99077 gene_biotype:protein_coding transcript_biotype:protein_coding description:FCS-Like Zinc finger 8 [Source:Projected from Arabidopsis thaliana (AT3G22550) UniProtKB/Swiss-Prot;Acc:Q8L471] MADCGGLPAPSETQRRPIFSSLFSSPRLFTGFASKGLTDSESLMSPTSILDSKPFSALRNPFWSDSSTPRTPKTENRLQLEKLESRGVGLGLVDALTDEKADSNACKPENRKVIFGSQLKIQIPPLPPSAVISPADSPKSPADFGIKTRNSVVGSVSPGLSPSSVRKSPFGSSNSGLESSNSPRVFSGLSISEMERSEDYTCVISYGPNPRTTHIFDDCIVESWSGPVQISSSRGQNGFFIDRSLSYPSESFLSFCCTCKKNLGQGKDIYMYRGEKAFCSSECRDKEIMLEKARKIPECDDVYGISSS >CDO98951 pep chromosome:AUK_PRJEB4211_v1:3:2066756:2067873:1 gene:GSCOC_T00025935001 transcript:CDO98951 gene_biotype:protein_coding transcript_biotype:protein_coding description:DMP2 [Source:Projected from Arabidopsis thaliana (AT3G21550) UniProtKB/TrEMBL;Acc:A0A178VDX9] MATQTTPNTASSSSSVGSIRDKTFTGVGNLIRLLPTGTVFLYQFLNPILTNNGSCSNTNKYVSSVLFALCGISCFLSTFTDSYKDDQGNIHYGIATFKGFWPTSGASSSVDFKSYRLQIGDFVHATLSLIVFSVLSLLDNNTVECFYPSFESTQKALLKGLPPVIGSVAAAVFVAFPNKRHGIGYPSDSSSSSTSTTSSAVQTSNAEQKA >CDP10283 pep chromosome:AUK_PRJEB4211_v1:3:12063917:12064200:1 gene:GSCOC_T00030960001 transcript:CDP10283 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVISPSGTTQVSAKELLDSILETVRIFENHVIVGELLETKSAQQFDLNTPKSIVTTSAIRLTIC >CDO98785 pep chromosome:AUK_PRJEB4211_v1:3:795547:796223:-1 gene:GSCOC_T00025707001 transcript:CDO98785 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPRKHRHTSTKGMDPKFLRNQRYARKHNKKGDEAASGDE >CDO99264 pep chromosome:AUK_PRJEB4211_v1:3:4878515:4881529:-1 gene:GSCOC_T00026353001 transcript:CDO99264 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGSSSKQQELRQTYSFIGEEDFVGLASDVDMLVQHLVNEDDEHGYYRVNSISGMVRGRGGGLGKTTIAGKVYNHPNVKHHFDGFAWICVSNNGKQRIFCEGTLQRPATKECLIALDDIWGTDAWECIKQGFPTRQKGSKILLTIRNREVALHTGPNGFHPRLLNENESWVMMVRVAKIESSLKKHEDINQMEQLGKKMVEQCRGVYIYNQTDWTQNRPKTRPKIKQTRHLNKNIKAILGKGESIGQHQGEVQKIPAFSYNELPYQLKPCFLYLSYFSEDTDIKAETLYQMWIAAGMLLMEDRLGEESMMDLAERYLRALAEREEESFLRIINYSSKREVHDSEESFLRIINYSSKREVHDSDDHSDPFTTSSSDHKTHRLVRNEKNSLHQNSFSE >CDP10886 pep chromosome:AUK_PRJEB4211_v1:3:28341540:28343083:1 gene:GSCOC_T00031826001 transcript:CDP10886 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNVVVCDNGTGYVKCGFAGENFPTSVFPCVLGRPMLRYEESLMEQEVKDFVVGDACLKLRHQLDISYPVNNGIVQNWDDMGHVWDHAFFNELKVDPTECKILLTDPPLNPSKNREKMVETMFEKYNFTGVFIQVQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLQRRGYAMNRSADFETVRDIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRLIKVGTERFQASEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITRQDYLEEGVACLSKCGQA >CDO99306 pep chromosome:AUK_PRJEB4211_v1:3:5278590:5286907:1 gene:GSCOC_T00026415001 transcript:CDO99306 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSISGEVPEEPVVSKKSGLLYEKRLIERHISDYGKCPVTGEPLTMDDIVPIKTGKIVKPRPVQAASIPGMLGMFQIEWDSLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARAILAQAERQMPAAAAPVPAAAANAAALSNGKRAAEDDEIGPDGKRIRPGISASIISELTNCNAALSQQRKKRQIPPTLAPIDAVERYTQLNSYPLHKTNKPGILSLDVQYSKDIIATGGVDTNVVVFGRSSGEILSTLSGHSRKVTSVKFVADGELLLSGSADKTVRLWQGSEDGNYECRHIMKDHDAEVQAVTVHATNNYFVTASLDNTWCFYDLASGLCLTQVSNGSTNDGFASAAFHPDGLILGTGTSDAWVKIWDVKSQTNVANFDDHRHGPVTGPVTAMSFSENGYFLATAAHDGVRIFDLRKLKNFRTFSPYDENTSTQCVEFDHTGSYLALGGADIRVFQVANVKAEWNLVKTFPDLSGTGKATCVKFGPDAKYLAVGSMDRNLRIFGLPEEDGPSES >CDP15473 pep chromosome:AUK_PRJEB4211_v1:3:17613905:17615451:-1 gene:GSCOC_T00043222001 transcript:CDP15473 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKNQLSLSPTTNETKGEQKSLVFDAPFLQNQSDIPHEFIWPDEEKPCLEPPPMLHVPCIDLNGFLSGDPVTVSTTTKLVKQACLEHGFFLVVNHGMDLQLLKAAHKCLDFFFDRPLHEKQRVQRKLGDHCGYASSFTNRFSSKLPWKETLSFRYCADGQQSLNIVESYFLNGMGEGFRESGKVFQKYSEAMSSLSLKIMELLGTSLGVKAKHFREFFAGNDSIMRLNYYPACQKPDLTLGTGPHTDPTSLTILHQDHVGGLEVYVNGKWHSVPPDPEAFVVNIGDTFMALSNGIYKSCLHRAIVNSRTPRKSIAFFLCPKMDKVVSPPEELVSSDNPRMFPDFTWSELLEFTQKHYRADMKTLDAFAKWLIHQRDAQKMTT >CDP08087 pep chromosome:AUK_PRJEB4211_v1:3:30794255:30796245:-1 gene:GSCOC_T00026803001 transcript:CDP08087 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFVSKFQRAAYLNYRDLDLGANKEGNTTSVWGIKYFKNNFYRLAHVKQEVDPSNFFRYGQSIPPFLSS >CDP10338 pep chromosome:AUK_PRJEB4211_v1:3:13025964:13029127:1 gene:GSCOC_T00031038001 transcript:CDP10338 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCSIDRVLLGLESIVNNLPYRAWAYGAHAAIKDMKFLKTFLMCARKWSLVHLYLQSDNVVKKVSLPSFLSCIEDTFHEYEEDIHSLSLRSEILTDKYDWDVHYSIVDHGVFREIGIQIISLKQEIIQIYFALASSRSFQSNSCMTDDELLEFIDRILQNLADLDLKNDYKNLKLNKTRIYAALSAQVQDLEAKLTFLKSFIPFAKMRGTADIPALLLAHFEVVALTAARLSYMWSFWNDDVEAKRNPEFDDRNACSFKLLSIRAVDFHVYEIYKEVLAASNSSASLHTAVMDERILNNFNDSLIGRLWELLCCSSSFVDSMKDEMQILYAGLRFLRSILREHHEMMDEQNEKIGALLGEAGIIIFAPTLSRVIEGEVSFSGSTQVLDFHHMLANINIHIKHFQDQISGSSTIESLPNSSHSLRAPEVSQTSSRMLSKGKMPIAREIMVGLDDEAAKVIRRLRWGSEQVEIVPIVGMAGLGKTTLAKKVYNDSSVTCYFHIRLWCTVSQEFNMKNVLLQILCSDGKHSRKDEFQNLDEHALLEKLRQRLLKNRYLLVFDDVWDIEVWNELGTAFPNDKNGSRIIFTSRFSNVASEVQYGGEHHNIRCLTVEESFELLQKKVFGEEEECPQALHELGMREDFIKSRLFCPHLASLLFFDATPGYKKLKLFNISFLFSIYKHLNVLHFEGNNLRLKELPAEVESLLCLRYLALTAWHMKFIPPSIAKLSHLETFCLYSKSVVSLPDSIWNMKKLRHVHLAQVIFIPFSSNDNGVENLSTLPNLDTLSYLCLNAEGENLLRRIPNVRQLKISKCETGVLNMSRLECLESLTWWGNYSSGSREHVELSFPMNLKKLSLYNLGLPCSKMSLVEKLPNLEVLKLQYQSMDGQRWELMEGGFPKLRVLTLGCGEIVEWTETDPDSDDYFPCLQQLKLDGISELKMMPACLGSIYTLETIEMKDCKDGVKSLVREIEEAQKYNGNENLKITIYEDY >CDP03484 pep chromosome:AUK_PRJEB4211_v1:3:19339294:19346077:1 gene:GSCOC_T00015283001 transcript:CDP03484 gene_biotype:protein_coding transcript_biotype:protein_coding MANQNFQILVFSTLFPSTNGNTDGNRSSALKYKLGAIAATLFASSIGICLPIWGKKIPSLNPKSNFFFIIKAFTAGIILATGFIHILPDAFDSLTSPCIPINPWGNFPFTGFVAMVAAICTLMVDVYANSYYKKKYGNENFQATVGAGDRNNGESNLSGVLPLHTHAIHGHGHASMEGDTISTELRYRVISQVLEFGIIMHTRFIAIALGASGSLKTIRPLLVAFTFYLFFEGIGLGGCITQGKFNVRAIAIMSVFFSLTTPVGIAIGIGIANVYKHNSPIAIIVEGIFDSASAGVLIYMALVDLLSADLMNPKMQSNSKLLLGANVSFLFGASCMCLLAKWV >CDP10260 pep chromosome:AUK_PRJEB4211_v1:3:11693355:11694600:-1 gene:GSCOC_T00030930001 transcript:CDP10260 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLMITSEVAAKSVDDSKTVETNQEEEAKYHGGYGDHHGGGHGGHPGGGYGGHPGEGNGDRGGGHGGSHGGYGHGGHHGGGGHGGHPGEAADAEPQN >CDO99427 pep chromosome:AUK_PRJEB4211_v1:3:6870325:6875989:-1 gene:GSCOC_T00026598001 transcript:CDO99427 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSGPKKSSSDASRPPQAVKFARRTSSGRVVSLSRDDEINMAEEFSGSSDFMNYTVLMPPTPDNQPGGSSNAGASGDKPDGPSPYGTASRFRNESQRVRMSSIGSEDEGNYGGIGGGGVGNASGKLERRMSLMNSSNNKSMLLRSQTGDFDHNRWLFETKGKYGIGNAFWQEDSYDQDTGLGMSDFLDKPWKPLTRKIKVPPGILSPYRLLIVVRLITLFLFLGWRVRNPNREAMWLWGISIVCEIWFAFSWVLDILPKFNPINRTADLAALKEKFESSSPSNPHGRSDLPGIDVFISTADPEKEPPLFTANTILSILAVEYPVEKVSIYISDDGGAILTFEAMAETVNFAEVWVPFCRKHNIEPRNPESYFSQTTDPTKNKKRPDFVKDRRWIKREYDEFKVRINGLPEVIRKRCELYNSKEKKLNAETNGATDKANVAKATWMADGTHWPGTWLTPTADHSKGDHAGILQIMSKVPEHDPVMGHPDEKRLDFSGVDIRLPMFAYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYIYNSMAMREGICYMMDRGGDRVCYVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCMFRRYALYGFHPPRANEYSGVFGQNKAPSKKVRSQAEEDESQPLTAHPDLAVPEKFGNSTLFVDSIAVAEYQGRPLADHISVKNGRPPGALIIARPPLDAPTVAEAIAVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNPALASPRLKFLQRVAYFNVGVYPFTSIFLVVYCFLPALSLFSGQFIVQSLNIAFLCYLLVITVTLTLISLLEVKWSGIGLEELWRNEQFWAIGGTSAHLAAVLQGLLKAIAGVEISFKLTAKATAEDEDDIYADLYVVKWTSLFIMPLTIIVVNLIALVLGISRTVYSVIPQWGKLFGGAFFSFWVLAHMYPFAKGLMGRRGRLPTIVYVWTGLLSITISLLWITISPPQGATASGPRDLNLDFF >CDO99206 pep chromosome:AUK_PRJEB4211_v1:3:4287013:4288386:1 gene:GSCOC_T00026274001 transcript:CDO99206 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSKIEFQREITPENDRQSEVQAFDETKAGVKGIFDAGITKIPRIFVLEQQQQQQEFGTEPISGISQDRIPVIDLQGQREEVIAKVSSASAEWGFFQIVNHGIPASILDNMIKGVRQFHEQDTEGKKPYYTRDTTKKMSYNSNFDLHQAQEASWRDSIYVVMAPDPPEPEELPEVCRDVIIEYAEFMMRLAHIMFELLSEALGLKPDHLKNIDCAKGLFILGHYYPPCPEPECTMGLRDHTDSGFLTILLQDQIGGLQVLHEDQWIDVPFLPGALIVNIADLLQASISCMNHQPCSICITFAMI >CDP10880 pep chromosome:AUK_PRJEB4211_v1:3:28276947:28298139:-1 gene:GSCOC_T00031817001 transcript:CDP10880 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNSTTGRMLDEYFRQTECGIIAVYWGQNAREGTLSDTCSSGLYKIVNIAFLPTFGNGRTPKLNLAGHCDPSSGGCKKLSDSIRQCQRRGIKVMLSIGGHIGSYSLSSANDARQVADYLWNNFLGGKSKSRPLGDAVLDGIDFDIEHDQGQSYYATLARALSDYSKKGKKVYLTAAPQCPFPDRNLNTALSTGLFDYVWIQFYNNPSSSTAAARNGYVPPQVLKSKVLPLVKRSSKYGGIMLYDRYYDKQSGYSSAVKSSV >CDP08105 pep chromosome:AUK_PRJEB4211_v1:3:31053091:31056501:-1 gene:GSCOC_T00026829001 transcript:CDP08105 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLNLVIEGVPNKLISLVAEEINIVWGVKEDLESLARNLEMIQALLVDAECQHTSKEAVDLWLKWLRDVSFKAEYLLDELAYEVLRNKLKKRKQDQIKNMNLSIEKVYQEAKRIGLSPVEIGNMSNNPRSDPSSTTHPFVDDSSIVGRSEEVNEIVKSLTSSEKDGNKLSVIGIVGMAGLGKTTIAQLVYKNEKVLRHFDHKLWIHVSEDFNVERLLNKMVESLTGTNSNLTVIEAIVRKLNEVIKTKRFLLVLDDVWNQDAKKWDGMRDCLRGIGASDESRIIVTTRNATVVSIMQASFTCQLGTLSDGDSWELFKKIAFGHGCAAVKTPELINIGRKIVAKCGGVPLAVTAIGGLLYFKKDEREWSKIENNETWAAMEEAGRRVISAIKLSYDHLPSLSLKQCLSYCTIVGKGGVETVESMIQLWMAQGLLNPSKGSDLEMEDVGSNYMSILLRTSLLQVSEKDGFGRTIKFTIHDLVYDFVEEAAKESIFLVPSVERRTGRESLLKPRTLILSDGLAHDLPNVRKSLRVLRVDDEDVKELPTTIGKLNLLRYLDISRTSITELPNLITRLYNLQTMKLSNLQQLPKNFGNLANLRHLYIGKDGIINGKPCLLPDIGQLNSLQTLPFFYVSQDKGCQIDQLGHLRNLRSNLKIFDLQNVSNQEEAKKAKISTKINLDSLELHWDTSTRDGSTDEDVLKGLEPHPNLKGFTMENFMGRSLPSWMLTTSHPLVFRNLEKIVLRNFNKCQQIPPLWHLPHLNIVNIIGMKSVNCIDTDFFGDAVVSFPALKELILEDMPDLKEWSGLMCHDSSHSLVKIFPSLEILRVELCPKLVSLPDGVASLVVLAVLNCPSLVSIPDIHSLRSLIALNLRGCDNLRSLPSGMENKEFQHPFASLRSLRLFGWQAVTSLPEQIQHLSNLIFLLIAYFDGIVALPEFLGSIHSLEELYIVDCQNLLYLPSAEAVRRLTKLRKLAIEGCPLLKDRCKKEIGQEWYKIAHIPEIQLLP >CDP10321 pep chromosome:AUK_PRJEB4211_v1:3:12761125:12762957:1 gene:GSCOC_T00031013001 transcript:CDP10321 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYALLVGCCYLGDRDELQGCYNDVDAMQGLLINRFGFHPNDVIVLTDMPNSPLKPTGAVIKYQLCQMIKRAMAGDVVLFYFSGHGTHQDIWEGPYCKRLEAIVPSDFNLIYNADFRYMVNNMPQGADFVMIADSCYSGGTNRSVKGASWTWLSSRCLLSHKFLVICEVKQLASIFGTEKDRVNCPFYFKIGAWRYGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQPIEHRKIQEHFEDFYEDIFEELGKFDEIESLNICDNLADHMISNAYVQFKEEDQAAAALRALQGHFYSGCTIIADFSPVTDFREATYRRSSRGGYCNFMHIKMIGRDLRRKLFGSHILKYRRSRSRSRSANPHPHHHHRRERERERDYDRSRSEERRARIEQWNRQRKEN >CDP15122 pep chromosome:AUK_PRJEB4211_v1:3:10578639:10582615:-1 gene:GSCOC_T00042702001 transcript:CDP15122 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIDPCSFDNTLRFLESVEINWDLEAPVKVQVHTLKLKARFLKAFFTCTGKWYINNNGLKAILRQVEDVVLSADQELQPLSLNLIPDNLVCSISSALEKLDPIKPLIQEVYALVAQSTRSISSATDAVVGLIDTTLGYLKDLLKYKAQSIANLKKETEALEENLRYLGYLLRVTKKYLPRGHDDRLRDVLIHSEAAADSTACLMYLCLDNNKMDERMMNEVKLQLSYLQHRIEVILPDVRKVYLRAWKASSSLQKSDFSLNEILYLESLGKIKEILQVSVSVETCVMQRINALEDEFKILRDYLMDTSAQEYTDTHPKLKHLFLQIKHVMGRAACVIPSFIDNDVTEDTVRQLDLDARVLLEEFKVIKQEARDIYDSISPKSQRTKFPTTNELGFVDFVIQNVKQLLHSKDDFIALLWHQIEMVHDHILSMRGDFIEIAKHPREHQDLKDPWTRFKDVVYHIDYVVDSFLIKDQPLWYHKLGLFYAIEDIKIISREVSDIKDRTMNHVAALKFSSNVAGISSQANSGEFQVLSRSIYGAGNEANKPGDFVELFEDEAKKIMEQLTNGIMPLQILSVMGIPGIGKTTLVHSIFENPSVLLHFHVRARCCVTQVYQKRRLLLEVLQQVSKVSYKVLGMTDDRLALKLYQSLKGKRYLIFVDDLWDIWPWNDMKASFPDDNNGSRIVFTSRFHNITSQITTNSITIFLNPISDLSSWELLQVKLFKQESCPQELFGIGQQIAANCKGLPIAVDLIAGLLGTKDRKKESWKQIANGLNAHLLEDQNGQCMGMLELSYDNLPNHLKPCFLYFGTFCEDIEVPTSKLIRLWIAEGFVELQKDDKGSLEGAAQKYLNDLIARSLVIISKKGSRGGVKASRVHDLLRDFALAKAKEESFLLTTNGFEQLSSVGDSFYEPYRLSINSGSDYFPRSSLNPAHLAGITQIQLYFGLPLPACHVYQKHVAMGVNPCPRTRSMVYRGSILRKVSFSFDNFKLLRVLDIWAIDIDYCPNIFELVNLRFLSLNHYRRKSIPPEIGNLRNLETLMLGKNHKIDVPTTVWNLVKLKHLLIRGSYTLPPCSQEFLEKPFKLHSLQSLSTPDLAFGEDTEQILRGLCNLRKLSCRFLYSWDYTSNCIQFPNLDFLTQLESLKVAYRGKALHKVQSCKFSFPANVKKLTLSGFCLPWTEISSIGNLPNLQVLKLLDDAFEGTTWDMNEGEFLNLSFLKLERLQLLHWNAFEDHLPSLETVVLVNCKKLVEIPPCLGDIPTLQRVELRGCSHSCRCSLGVIKERQIEMGNEELAVTLG >CDP19147 pep chromosome:AUK_PRJEB4211_v1:3:31613757:31624586:1 gene:GSCOC_T00001650001 transcript:CDP19147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MMGFLSTTKPISSIDQCLCCYSCCNAFFFLRPRIIPSSTPLPPPPYPISMLNSSSTRKSLVVRHSSKESSALVMSHSQNATTKLNIARRRRRRSLLVFCNTNGGNSNEDENDGGPGREAEEEIIEEAEVVSPENKAIINACLVGLFTGIGVVLFNIAVDEIRHVFWDGIPDRGASWLREEPIGVKWGHVILIPACGGLLVSMLKLFQTAIEEPKNWNLSSNVKATLDPIFKAVAACITLGTGNSLGPEGPSVEIGASIAKGVGTLFDNSSQGKLSLKAAGSAAGIASGFNAAVAGCFFAVEVVLWPSPAESSSSLTNTTSMVILSAVIASVVSEIGLGSEPAFIVPNYEFRSPSELPLYLLLGTVCGLVSLTLSQCTTFMRVAVDNIEKITGVPNTIFPIFGGFTVGLMALAYPEILYWGFENVDLLLESRPLVEVLSVNLLLQIVAVKILATSLCRASGLVGGYYAPSLFIGAATGMAYGKVVSFVFSQSNPIFHLPFLEVASPQAYGLNCLLKTKPAACANDKKKKRKKNKNSFKIFVVMPYVARCCKLLAFLATVLAFLYCAPFVVIKQIQLHITESKPAALASLELPLQKLDIVNLLKSSHAFLSFDPLDVDGHCLMSNCLGLKIAKVYCYSCFWASHAFFFSITSSLELLLLYDQCTLGIIMRKIISWLWIKQHNIVSIIVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGLSSWITSGQTRRKGVVGTKKVNNENAFLNQQPEISSSSSPLVSSANSVVEKASDESNIGELGRLLYLGEPNDNTNGIMQRILVAQAMRTRYATVMMSTSVAEAVSLMLAEKQSCAAIVDDKDLLIGLLTLANIQQFTELSKAKTKSPKELTVSEVCAPEGEECQIPLSVTPNMSLLSAQTLMYRHRMSQVPVVQDQGHPVGLLDNECISLICRGLAIGECLQQFPTLERLKN >CDP14664 pep chromosome:AUK_PRJEB4211_v1:3:15359356:15362109:1 gene:GSCOC_T00042068001 transcript:CDP14664 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKVVGKVYCYRCYDWDYPKKSHDKKHLKGAVVEVTCKVGDKKIVAYGKTKINGKYSITLEGFDYGKYGAKACMAKLHMAPKDSKCNIPTNLHWGKTGAELRVKSKTDYEVVLYAKPFAYAPKTPYEECEKPKPTPAPYHYISPPPPTYVYKSPPPPPPTYLYKSPPPPVKPPPKPYYYVSPPPPTYVYKSPPPPPPTYLYKSPPPPVKPPPKPYHYVSPPPPTVSPPHYYYTSPPPPVKPPPKPNLHHHPILTSPHHRRRNLHHHLILTSPHLRRRNLHHLHTTTPHLPHPKNHLLHQSTLMLLPHPQLTTELQQLRHFPPSRKFPFQPKNKGRLLEKKIQTSNILEGHYIPQ >CDP16580 pep chromosome:AUK_PRJEB4211_v1:3:26277312:26278495:-1 gene:GSCOC_T00018990001 transcript:CDP16580 gene_biotype:protein_coding transcript_biotype:protein_coding MILFLWISSSNLKELQVLIESVTCNDELKDGVIVDESWFSDPLYCEEHKLWYQLSKILAENAAWDFSKEHGIDMIAMNPRMVIGPFLQPSATLNAKVILSLVNGMKFPIM >CDP15480 pep chromosome:AUK_PRJEB4211_v1:3:17973229:17975859:-1 gene:GSCOC_T00043235001 transcript:CDP15480 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPATSMGSEGSIHLQISELKARSSKAGSSGPSPATILKPQSPIETTNTNSKGSSVSPPRLPQPIHAPEKKLTLFALRLAVLEKAATKLGTLGFIWATVVLLGGFAIDLDKTDFWFITIILVIEGTRIFSRSHELEWQHRATRALADVGNKSIHQHISKVLYWLQLVSATACVVLSLMKLIKRNFGDVNKGDKEGRNTSAALIIFYSLALAEALLFLLEKAYLEWNVIFHKIFDEVNKECELEPSGIFAIRRFFYDAYSNCVYGSIFDGLKMDMVSFAMDLLASNSPHEQLMGAQILETFSKSKRFSDDTLQKIGVNLLVMERLVEMLNWKDPREEEIRKSAAQIVAHLAGKKRNSLRVAGIPGAMESISSLLHISRSFADAADEIPEKRIIFDDERYSFWTFNNLGLTILKKLARDHDNCGKIGNTRGLLPKIIDCTHAGDRLLTHEAATTSQILTVKRSLQVVKMLSCTTGATGKQLRREISEIVITISNIRDILRYGEKHPTLQKLGIDILRNLGMEEDATERIGGTGGLLKELFNIIFKQVTNEKHKDVKIAAGAALSMLAFESKSNCLRILKLNVTAKLVEALEDPILRINAGRILRNLCAFGGEDSFDQLKDLIVAGPTVLQAIMTEEAKLQEVMIGLAARIFKFMTSRESSIVFQKAGIQEAEVANKLVETLKQYRYPSIKFPRMRRFVLELAMGMMKDKKTNIQIFKSLEMEVQLEHMMNTITDIESFNIFSGTVGLSRHRTTIHSLVETVMQLLADG >CDP15121 pep chromosome:AUK_PRJEB4211_v1:3:10583480:10588670:-1 gene:GSCOC_T00042701001 transcript:CDP15121 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLQIRPRKRKQYYSLKTSSNPFMGRFTRSKSQVHFHQNRSGRTWTDTTSDKSIYHQKLLQISPLKKPKRVHYEIVENEAALDLSIKDLRARARRVFSPTTAVVEGENGTCRVGFDFGEENCNGSSKVLKNFGDLELGVKGLHPDLGSWAGFGVANQKEGRNENKESVNPGSRDPEPGTESHGPASKEDEVDLSKITSPVKEKNGFNKVSNSGMILNSLSRRKVFKSPSSFSYRRLLPFLMDMAKDDSVQNLSNVDYTVSSALRDTENAKTKDVGQHIDDNKLLEKRDIENVKNNLHVREQDPNDPNKKLLQTEENVSSFVEGIANENGLARGDKSIEDCVQTTPPDATILSEIHDHDDRANGEINNLKTGNHILGHPANGSMYSNQTFLHENLHRSPRRNNTMSMTKMILNPCSRSKIFKTSSSFSYRRLLPFLMDVANSSTNIDQSPNIRKMENDQHSPLLASSNKDAFLNKNEVDIFQEKEAKNDEPCCPIAVKVHSIDGSSDDVCPDLSTPFSCSCSSNGKSNPASSENISQCDMLNCLQVESSSTCTHGQLNNPRDLEVVLPTADFRVCDGETNCLTETSCSAIKDDSLPKGSLLEFSQHEQVDIGKNSLVKGLDNNNSKGANIRSLSLDQYSSGVGVFSFQGTDSSIKGILKRNPRGCRGLCNCLNCAAFRLHAKRAFEFSRNQMLDAEEVALGLIDELAELRNLLETSAVDGSKLGAFPFNKLLVREACQKALKTEQQAKQRLGQMIDDLNVHCRITPLQPPGVTFSRCIEEKSIPSRDLSARP >CDP10842 pep chromosome:AUK_PRJEB4211_v1:3:27342045:27346119:1 gene:GSCOC_T00031754001 transcript:CDP10842 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTPVSTLASQICNQISSVFNQPISGPTALEVIVEEVAATAKGNGQIFVYGVGREGLMLKALCMRLFHLGLSAHCVFDMTTPPIASPDLLIASAGPGGFSTVDAICEVARSNGARVVLLTAQPESKSSCVKHASVVAHIPAQTMADDEVAADPKSKPLLPMGSLYEGAMFVLFEMVVFKLAEVLGQSSEVIRARHTNLDPRMDEF >CDO98881 pep chromosome:AUK_PRJEB4211_v1:3:1470063:1473659:1 gene:GSCOC_T00025835001 transcript:CDO98881 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEVINDAKRGIEISFGYQCSYENDNNLSNGIDIPCAIKTQKTNGSFSYFAPKSDGFLNTTEVEVAGGAAGEDRVQAVCSEENRWLFCAIYDGFNGRDAADFLAGTLYDTIGYHLNLLDLELDQAVIKASDLSNANKYIPYLEKSCGLIKERVLGSLQRALAQAENDFLHMVEQEMEDRPDLVSVGSCVLVVLLHGKNLYVLNVGDSRAVLATCGECSDMNSDDGLLAVQLSDTHTVENEEEKAQLLQDHPHDSSIIVGGKVKGKLKVTRALGVGYLKKKHMNDVLMGILQVRNLLSPPYISVQPSLKVHEISDYDHFAVLGSDGLFDFFSNEEIVKLVYSYISSYPSGDPAKFLVEQLLVRAADCAGFTIEELMSVPAGRRRKYHDDITVIVIILGMNKRTSKASARLL >CDO98970 pep chromosome:AUK_PRJEB4211_v1:3:2183335:2185182:-1 gene:GSCOC_T00025959001 transcript:CDO98970 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSFFTNLCIRRTPNTHFQNPNSQIRNRNNSNTSKSSAGNGEFVQNLDANVVKIHDFKPQGFTEIAKAVSKIIRSRPRWEETILSEFPTVNFVDPSFYNEVLKHQNNVFLSLRFYYWISSQHGFLPDSVLCTVIFNGLVEAKAAKIAQNFLGFMRFMPEPNDLERYAECLCENGLIEDALEVFDYLKWVNYCPTLKTWNSALSGALQARRADIVWKVYGNMIESGVIADVDTTGYLIDAFCIDDNVWKGYELLRQVVNGGHFPSSVVSNQLIRVFSKSGNYSRMSDVLHIMIAMNQPPGIFTYQEVIYGLCKRNMKHEAFRVFNDLKDRGYAPDRVMYTTMIHGLCKMKWLGDARRLWLEMIQKGMIPNEYTYNALIYGYFKIGNLAEAERLSKEMCEIGYGETTVSYNTMIKGLCLNGKVEAAHDLFQQMAERNVACDVITFNSLIQGFCQEGNIAMGTKLLHDLINRGLQPSTASYTVLIEKLCEMGHVEEGTVLWKDMQERGVLPAVCSHDSIIVGLVEQGFLAEGMEWLSNMLESRRRPRQETFERLIHCLSEADKWGDALFVLGSMLKMGYTLNECICLSVVGKLCNDNPSGVEKCIEDVLRTANAS >CDP10869 pep chromosome:AUK_PRJEB4211_v1:3:28038291:28043163:-1 gene:GSCOC_T00031802001 transcript:CDP10869 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLIYAVMLLALLWAVSVRGEEEQQLSTKECESLGFNGLALCSDCNTFAEYVKDQELVSDCRRCCTEDSDDGMSKIIYSGAILEVCMRKLVFYPEIVGFIEEEKDKFPSVKVRYAFSSPPKLIMLDDDGEQKETIRIDNWRREHILQFLNGKVKQTSDS >CDP08118 pep chromosome:AUK_PRJEB4211_v1:3:31268525:31274381:-1 gene:GSCOC_T00026850001 transcript:CDP08118 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAEVVKNKQVVLKNYATGFVKDSDFIIKTDSTMSLKVPESSSKGVLVKNFYLSCDPYLSFLLQKSDSSLEAQFSRFSLGSTINGFGVAKVVDSRHPKFEKGDLVWGITGWEEYSLIAEPDSLFKIEHTDVPLSHYTGLLGMPGLTAYGGLFEVCNPKKGEKVFVSAASGAVGQLVGQFAKLTGCHVVGSAGSREKVDLLKNKLGFDDAFNYKEEQGLDAALKRYFPEGIDIYFENVGGKMLDAVLLNMRMHGRIAVCGLISQYNLEKPEGLYNVASILFKRLRVEGFAVFDYLHLYPKFLDLVLPQIRAKKITYVEDIAEGLENGPAALVGLFSGRNVGKQVVLVARE >CDO98855 pep chromosome:AUK_PRJEB4211_v1:3:1280120:1282280:1 gene:GSCOC_T00025796001 transcript:CDO98855 gene_biotype:protein_coding transcript_biotype:protein_coding MTENKNAFDSVREWIAEHKLRTVGCLWLSGIAGSIAYNWSQPNMKTSVKIIHARLHAQALTLAALVGAAGVEYFDHKSGAKAERVAKFLNYEGHPQKD >CDP14631 pep chromosome:AUK_PRJEB4211_v1:3:14252482:14255325:-1 gene:GSCOC_T00042004001 transcript:CDP14631 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKEIGRMAETNGLFNKVIFAVVSRNMDVRKIQGRIGDMLGLYFQEESEMGRAGRLFERLTTQERILLILDDVWNFVNFKEIGIPVNFKGKGCKILITTRQRNLCSTMALIKTNEIPLRLLSEEESRNLFKSNAGSLTDTFSPQQDDVAMKVARECGGLPLALVTVGRALRNKDLELWKAALQQLKKSRPLNINYNEKDIFSCLKLSYDHLQSEEAKECFLLCCLFPEDHDIKIEDIARYALGKGMFTDVETMEEARRETRWIIRNLTDCCLLLDSSTADSVRMHDMVRDFAISMASTGEHGFVIKAGLGLKEWPNQETLERNAVIISLMTNHIQSLPDCLICPKLEILLLAENEVFEVIPEGFFLGMPTLRVLDLSEKIGARSLNRYFEPDKWTSMPSSSFKLPSSFEALVNLRTLHLNHCKLDDVAVLGKLKRLEVLSFYGCDIEELPNEIGELVNLRSLDLNFCQKLKTVPATLISRLSRLEELYMWESFHQWAIQGMVEDTSKACLSEITSLSRLTTLCVQVSNPESVPRKFHIPNVQKFEIVIGKGYDSVTCYPNSRSLSLREIKTSIPEGVKDILQNTEDMRLFCLYDEMIRSILDVDPGTLNNLRYLKVVACMETPFLLSMNQSASDAPAILAALESLHLHVMSELFLICPKLLPVGSLHKLKLLKVQSCKRMWTAITATLLRRLLSLEEVEVTWCEQMSSIFDLGNISSENQQFLLSNLRIIRLTGLESLRTIWKGGVKPLPPSVRLAKLTVVELSSCGRLTVIFPYSIAQNLLQLEVLKINCCNKLESIVEERPDVSVDQYQPACFPNLRIIEVSECSRLRKLFSVAKARYLQQLKEINISSCKDMVELISHDEEGEEDTEDKRISLPELYSMKIKDMSSINRLCATSFSVDLPSLEQVVLEKCPNMEEFNSDPQKYGVGHAPKLKVGQI >CDP08062 pep chromosome:AUK_PRJEB4211_v1:3:30254316:30260008:1 gene:GSCOC_T00026761001 transcript:CDP08062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:Projected from Arabidopsis thaliana (AT2G43800) UniProtKB/TrEMBL;Acc:A0A178VS83] MTFTSISNIFFCIYCCFFTSFFTTASSTTTTTTTTISHHYGRRILHQPLFPYPFSSLSPPTNPQSENNPFFPSIWSPPPAYPPPPPSALSTFPANISSLILPHSSSSSNNRPISHRLIAVIVSVSLVSTVFIAGIAAFILLHHHRRLRYYHQHQRDFSKTDSLRLFPPNVTPSDSTTTKNPLPGTPMPPQLARHTPSSNSSEFLYLGTLYQRLGSPELQPLPPLPRQQQHQHHFHQDYRNGAASNLGSDEDDEFFSPRGSTGEKYSPVHSGSGENLPSPGSNSHRYSTKSHSLSSSPSVMLNLSPRSSIRSKSPDSMVNFLAPPLFIPPPPAREPRGMSSSPPSSGNTKNSPTRVSDYSGITMESPGENLDLSGRFAGMRTVPPPPPPPPPPRFWDTPELNAGPPELVAPSRPVVGQNLSNGLGNTEALEGRNENGVKPKLKPLHWDKVRASSDRAMVWDQMKSSSFQLNEEMIETLFTASNSNARDGTRRPLMPPLNQENQVLDPKKSQNIAILLRALNVTIEEVCEALLEGNADMLGTELLESLLKMAPTKEEERKLIEFTDESPLKLGPAEKFLKAVLHVPFAFKRVDAMLYIANFDSEVEYLRRSFETLEEACKELRNSRMFLKLLEAVLKTGNRMNVGTNRGDARAFKLDTLLKLVDVKGTDGRTTLLHFVVQEIIRAEGSRLAGAHQNQTAETDQQYTLQNEVEFRKVGLQVVSRLSGELSNVKKAASMDSDALNNDVLKLARGITDVTEILKLNEELPLTDSRGKFSESMEGFLKKAEEDIINIQAQEGVALSMVRELTEYFHGNSVKEEAHPFRIFMVVKGFLSILDQVCKDVGKVNERTIVSSARQFPAPVNPSLPQVFPGFSGQQHSNSSDDESSSLP >CDO99248 pep chromosome:AUK_PRJEB4211_v1:3:4715338:4724539:1 gene:GSCOC_T00026332001 transcript:CDO99248 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTRLDSAVFHLTPTRTRCDLFIIANGKKEKIASGLLNPFLAHLKTARDQIEKGGYSIVLEPEPQTDASWFTKGTVERFVRFVSTPEILERVHTVESEILEIEEAITLQGSNDAGQKMVEDHEVKLLKANEGSKSSPDLNDEKAIVLYKPETTQAQTSGEYTQDGNSKVQLLKVLETRKQVLRKEQGMAFARAVAAGFDVDDMAPLASFAECFGASRLKDASSKFINLWKKKHETGQWVEIEATEALSGRSDFSAMNASGIVLSSMGNKQNDFNNESASENNEKSGVDINSGERPPMNHQPSFSQQDYFQGQFPHPMYPPWPMHSANGSMPMFPPYPVQGMPYYQAFPGGVPFYQPPYPPMEDTRVSASPKTRQKRQSMDDRDDNYESEISDMDTKSRLQEGGDLDKEGSQHLQSRKKDGRSGKKQSGVVVIRNINYITSEAKNSTGDGSESEADSESGIDDEDYQADNIGAYCTKTSRSSKRKGDHSKSKAEPIDNKEESIFEKDTDGGHWAAFQNFLLKGADEENHTSNEGMFAMENAGKARRRQNTVIDDPSGLVGRDSNEILDRRMTSVHEGNGYRPRIGRGSNDEGVLSRRGYNDARGLDDPMDMQYAETKGRRFISRTSNDDFMVGRREKLSELHNSSDQLAVNEFEHVNSELHGESSCGIRDESFIVPFRSMALNQAVPEGRTAIDMDSELPSSYQNSENLSSGIKKTVSYEPDDMSLIPERGTEKRSVGYDPALDYEMQVSKEGTATLNKGAKAALNKVKANTKKSEKTRSSKGTSGTLDKERTGGPIRKGKASKTSPLEDARARAERIRAFKADIQKMKKEKEEADLKRLEALKLDRQKRIAARCGSTSAGSTAPSLQTRKLPTKLSPISHRGSKFSDSEPGSSSPLQRSKVRTSLASNDSRKASKSSKLSEGGLFPGNRLTRSASSLSDPKKDSSGVTPESKTSMARIRRLSEPKTVGNHSLTSTKVQSAERVSKLKLSDEPDSTKMSAIMNLDKRKAATLPELKLKPSNVVNKKLLLPKETRNMDEAKPSATSGSSEFFVSNVTLSQHTEADDYPIVEKNVVLENDKPSLPVLNDSGAKIEISQFESPGMLDQSERVSNYTAIRAPPSPSNMVDEALIPGPLQRQSNSNEVNTSRVGESSKSLEVSAAEKPYHAPFARISSLEDPCTRNSDYGKAVPTSSGTTTAAKAYVVNEKSLQIETIPEALARVQVKESPKGLRKLLKFGKKSHSTAAGDQSLELDKATSNGFKPHNNASCTGSGEVHTLKNLISEDETPTSGNASQKSSRHFSLLSSFRSKTGEKKLTT >CDP10312 pep chromosome:AUK_PRJEB4211_v1:3:12633993:12637324:-1 gene:GSCOC_T00031001001 transcript:CDP10312 gene_biotype:protein_coding transcript_biotype:protein_coding MDILKQELLKKRQSLAEETGGKKFFKRSEIEQKRLQKLREEEKREAEAKALRQKQQKEQNEGSKSNSSSSNRDTKSEIPESSKALTDEQKIDELNLPRPEVIRRLRFLKQPVTLFGEDDEARLDRLRFVLKAGLFEVDDTDMTDGQTNDFLRDIVELKKRQRNGILSDRKRKATQDANEDKEGGGGDDDLSGDEASSGADHDKDLKRMKTNFEELCEEDKILVFFKKLLNEWNQELDEMGEAEKRTAKGKSMVATFKQCARYLNPLFKFCRKKVLPDDIKQALMLVVDCCMMRDYLAAMDHYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTFCQRRYPTLPSKAVEFNSLANGSDLQSLLREERSSRANHEPEERLQLMAAPKDS >CDO98896 pep chromosome:AUK_PRJEB4211_v1:3:1652427:1655667:-1 gene:GSCOC_T00025852001 transcript:CDO98896 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSPSRIGKSYKHQPRTLTPRSLEKSIAGKSCSICLSAMEHRRAAVVIPCTHSYCVGCIRKWSNFKRKCPLCNADFDSWYCNFSPSSQAFQKEKLSAPTDEKGFILQEIYARRRHRLLERQRLIRRSREEFNALSLRTRPLPRLRSIGQRQDEHPDVISERVLRWRASIYRQQLQAVPFSSKNCLTAQLMGSYDAKRVLLQRIEPWIRRELHAILEDPDPSVIVHVVTSLFISTYQQEQHCPLGQLGVHNDFLAPLRPFVHERTEMFWHELRCFAESSFCMDAYDCIVKYKRLVE >CDO99030 pep chromosome:AUK_PRJEB4211_v1:3:2633241:2637190:1 gene:GSCOC_T00026039001 transcript:CDO99030 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAQTYHYGQSGPYYGDPMSYGNRHNDTSTSSAVTADHNYHFNGDFNYMRNYGDRHDFSRNCRVYNYNNKYYARPEGAPSLKRRKFSNSTWEDTGRSYQQPYVHDKLPSKWPSIYGNFSSNDSTFYSQASSAYGSHLAVAPTTRSNVNVHYPTSPKRDRSMFEDDDDVLFMSRDEIERFSPSRKDGIDAKHETHLRYSYCAFLQNLGLQLELPQTTIATAMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEETPRPLNNVLRASCEILHNRDFAFLSYTLPVDWFEQYRERITEAEQMILMTLNFELSVQHPYTSLTSTLEKLGFSQTFLVNLALNLISEGVYRRIIVLACLIFLAGG >CDP19154 pep chromosome:AUK_PRJEB4211_v1:3:31677212:31683294:-1 gene:GSCOC_T00001661001 transcript:CDP19154 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVQGIGSTAAPSASSNKFLLSSLGSSLLEKKRNFFVVRSDGKCYTGLNQQQRRAQNVVTNAVATKEEKASAAVGSKPGHELLLFEALREGLEEEMDRDPCVCVMGEDVGHYGGSYKVTKGLAEKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPIVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTAYNAKGLMKAAIRSDNPVILFEHVLLYNLKERIPDEEYVLNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLTAAINENFHDHLDAPIVCLSSQDVPTPYAGTLEEWTLVQPAQIVAAVEQLCL >CDP10049 pep chromosome:AUK_PRJEB4211_v1:3:23351526:23352848:-1 gene:GSCOC_T00030624001 transcript:CDP10049 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNCLVLVAFPYLLLLLLSCIISSRAKLGFLCKQMHNKLLSLDLEMKYQHMQHSLDCRVPIWIQVTVKLHPSLIGTVV >CDO98983 pep chromosome:AUK_PRJEB4211_v1:3:2269725:2274858:1 gene:GSCOC_T00025977001 transcript:CDO98983 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRNCTGASLVDEEEEEEPILTEQSQRFCMFPIKYPQLWEMYKKAEASFWTAEEVDLSLDVQHWETLSHSEKHFISHVLAFFAASDGIVLENLAARFLKDVQIPEARAFYGFQIAIENIHSEMYSLLLETYIKDSREKNRLFNAMETVPCVAKKAKWALNWIQSSRSFAERLVAFACVEGIFFSGSFCAIFWLKKRALMPGLTFSNELISRDEGLHCDFACLLYSLLQKQVQWQKVHQIVHEAVEIEIEFVCDALPCALIGMNAALMSQYIKFVADRLLVSLGCQKMYDVENPFDWMEFISLQGKANFFERRVGDYQKASVMSSLQDGSKNFEFKLDEDF >CDP15458 pep chromosome:AUK_PRJEB4211_v1:3:17153581:17154087:1 gene:GSCOC_T00043196001 transcript:CDP15458 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNHPQELKRARAELDRTIGQNRLMEEQDLSNLPYLRSIIYESQRLYPAALLLVPCESSSDSTIGNYNIIPPKTLLMVNAWAIHRDPQLWDDPESFKLERVLGLENDASKYRFIPFGFGGRICLGAGLANRMVGLVVGTLIQYFDWERISYELVNLPEGNLNHSA >CDP19269 pep chromosome:AUK_PRJEB4211_v1:3:15718477:15726671:1 gene:GSCOC_T00000040001 transcript:CDP19269 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPSPATFKSPAQNLLRKPLCKILLLTIFCSFSYFIGSYTNAPYSSFSHSTARLEDTNCEFQVKKYSLNTSRKGVQESLNFEPKHTLVFPLEDTPESQHLPYPPCPKNYTNYCPCQDYNKERLFSAERRFHKERHCPEKGEKLRCLVPKPAGYKRPFSWPMSRDYAWFKNVPFKSLIESKKTQNWVRLEGARLFFPGGGTSFPQGVKGYIDQLKRVVPLNAGAIRTVLDVGCGVASFGASLMDYDILTVSIAPRDVHEAQVQFALERGVPALLGVLSTYRLPFPSRCFDMIHCSRCLVQWTDYGGLYLMEIDRLLRPGGYWVLSGPPIGWKISYKGWERKASDLEMEQKKLEDLARRLCWKKIRESGPIAVWQKPTNHLHCASKLKTWKAPKFCVDDYPDHGWYMKMEACITPLPKVEHIRHTSGGSLEKWPTRLKTVPPRIRSATIEGITTKTFNEDDQLWKRRVSYYGSVLKYLNRGRYRNIMDMNSGLGGFAAALSQYPVWVMNVVPYHAKNNTLGIVYERGLVGTYMNWCEPFSTYPRTYDLIHADGLFSMYMDKCEILDILFEMYRILRPEGAIIIRDHVDVIVKITKVTDRMKWNSKISHNEISSFHPEKILFIDNSQQ >CDP03460 pep chromosome:AUK_PRJEB4211_v1:3:21180790:21181385:-1 gene:GSCOC_T00015228001 transcript:CDP03460 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVHERIKDRLIGAEQEIITLNLIELAGYSSCGGALDLNSPLVIHFCRLSSWCCALGDI >CDO98781 pep chromosome:AUK_PRJEB4211_v1:3:763959:768101:1 gene:GSCOC_T00025702001 transcript:CDO98781 gene_biotype:protein_coding transcript_biotype:protein_coding MFASCTTTNYKYIHSYFFFFSQITIVVEASSRPPSRARRKRERKETMSSSSNLETRSIIDELRNFDKSGLFDLGHPLLNRIAESFVKAAGIGAVQAVAREAYLTAVEGAGADTSGIPPEISAAKRHRFPDLRGETNAKTVEALVKGTGKESLQWGLAAGMYSGLTYGLKEARGVHDWKNSALAGAVTGAALALTSDGHSHEQIVQCAITGAAISTAANLLTGIF >CDP10307 pep chromosome:AUK_PRJEB4211_v1:3:12513789:12513959:-1 gene:GSCOC_T00030991001 transcript:CDP10307 gene_biotype:protein_coding transcript_biotype:protein_coding MASADGDRFKDLKAFDDTKADVKGLVDAGVASLPKILIQPAEELINGLNNCHLEVQ >CDO99214 pep chromosome:AUK_PRJEB4211_v1:3:4318176:4319620:1 gene:GSCOC_T00026282001 transcript:CDO99214 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCNEEVYILEARIGTIKEILFEKSLICFDLERMDNSSAKDIANHLAADGDRFKELKAFDDSKAGVKGLVDAGVKSLPKIFIRPPEELLEELNLGRSQTEFPVIDLSGIQSGHDQRKSVVDTLRRASEEWGFFQVANHGIPAKLLNGIVHGTCEFHELDAELKDKYYSRDLSRVVRYSSNIDLYQSNVATWRDTLTINLMTTYQVEPDELPEVCRNAVMDYIDHVTKLGETLFELLSEALGLKLDDLNAMECAKARNFVLHYYPACPEPDKTLGTRRHTDPSFLTILLQDQIGGLQVLRDNQWIDVPPVPGSLVVNIGDLLQIVSNDKYKSAEHRVLANRIGPRISIACFFSGVIAPPKIYSPIKELVSEECPALYKEFQVGEYLKNFYSNSLDNSRLQKLKI >CDP10284 pep chromosome:AUK_PRJEB4211_v1:3:12079178:12082746:-1 gene:GSCOC_T00030962001 transcript:CDP10284 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVRRIKLGSQCLKVSVQGLGCMGMSFGYGPPKPEADMIKLIHFFFSKRGILASLIRTPDVCGPHTNEILIGKALRWVQREKVQIASKFASRILESGERTICGDPD >CDP15125 pep chromosome:AUK_PRJEB4211_v1:3:10560599:10560780:-1 gene:GSCOC_T00042705001 transcript:CDP15125 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMVMVMRRCWRWCWWWGFGFEEIHWFFPGYIGTGDMEF >CDP16822 pep chromosome:AUK_PRJEB4211_v1:3:16153769:16156643:-1 gene:GSCOC_T00019351001 transcript:CDP16822 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTLNVLQSFTCNDELKDGVIVDESWFSDPLYCEEHKVFLVSTILAENAAWDFSKEHGIDMIAINPRMVIGPFLQPSATLNAEVILSLVNGMKFPIIIITRGKSIHLCCLCISGIYIPRFKSLVKNLHLYLSIY >CDO99201 pep chromosome:AUK_PRJEB4211_v1:3:4248767:4250936:1 gene:GSCOC_T00026268001 transcript:CDO99201 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGVHRFFDQDFEIRSQWYTRDVKQRVVYNCNFDLFSAPTANWRDSIYCVMAPNPPDPQELPLACRDILIEFCKEVMKLGSTLFEILSESLGLDPNHLNSIGCGEGLASLCNYYPACPQPELTLGTSKHADSDFLTVLLQDHIRGLQVLHQNQWVEVPPIPGALVLISNDKFISVEHRVLANNVGARVSVACFFRHFDMSPSAKLYAPIEELLTEENPPKYRATTVKDYVSHFNNKGLDGTSALLHFKL >CDO99089 pep chromosome:AUK_PRJEB4211_v1:3:3077864:3087405:1 gene:GSCOC_T00026115001 transcript:CDO99089 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFRRRLRASISPSTLLRDKVELYGLPFKSNIVVSFGICNCFRQLSSSGDAKKFDFTDLTRPHTWYPNARRKKRKIFLHVGPTNSGKTHQALKQLETSSSGIYCGPLRLLAWEVAKRLNKANVPCNLITGQEREEVDGAKHKAVTVEMADVTYNYDCAVIDEIQMLGCKTRGFSFTRALLGISANELHLCGDPAAVPLIEEILKVTDDDVKVQHYDRLSPLIPLKVPLGSFSNIRTGDCIVTFSRQEIYRLKKQIEAGKMHLCSVVYGSLPPETRTRQATRFNDAESDFDVLVASDAIGMGLNLNISRIIFSKLEKFDGMETRELTVPEIKQIAGRAGRYGSKFPVGEVTCLDAEDLPLLHSSLISPSPILERAGLFPSFDLLLMYSRLHPKHSLYQILEHFAENAKLSANYFIANCEEMLKVAAVIDELPLELDDKYLFCMSPVDMDDYISSQGLTQEHFAENAKLSANYFIANCEEMLKVAAVIDELPLELDDKYLFCMSPVDMDDYISSQGLTQFAHNYSQRGIVRLKEIFTPGTLKVPKTHTALKELESIHKVLDLYVWLSFRLEDSFPDRELASSQKSICSLLIEEFLERLGWQKPKSRKLSRYSAFNSLLSAETRQHL >CDP10887 pep chromosome:AUK_PRJEB4211_v1:3:28372069:28373378:-1 gene:GSCOC_T00031828001 transcript:CDP10887 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAFISVLVLIATLVVMAPGGKILEAEAATPPRKLREIDHQEKEMLIKLPAKAMSSKIGVPYGEPCSPYNECDPSCHCAYIRFNYGICEGDCE >CDO99195 pep chromosome:AUK_PRJEB4211_v1:3:4206482:4209152:-1 gene:GSCOC_T00026259001 transcript:CDO99195 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPIEAEEGREVKLIKSVFGLEKSEEEISNALTQCHNDVTRAINYLIDTPGILSPPVTVKRTVTSTGARILTQIKQENSEEIKVMVKKEVENFDQSDVGFEKKEVLDEKKVISDGKMSNGLVGRSRLSFEEWLQEQEKNKPSQLCRVKEEPNVVVDDKAVVRLDVPKMENENKGMVKKEVEEIMCVQPLSARRMSDDEFRRVQMSTNGNDTGQSLVAKKEKVEDRMLCTTLIEDGDFPEEPDWLLVGRHIIMGLSTTKGRKLENNEIVHIAFPDRNTSWIKSAIVRFSTIRNGEIGRLPMEWSKCLTPLVNSSKVKVLGRCIAAPTNLHLMQEIMLYLSFYIHHSVFTEGDKSSWKLDSPSDIDTTTYPLLTLFKLLKMKPFQEAEFTPEELDSRKRSLKLGDDCDESVGMLPALKRRKGCPQLPDQNKDEQAFSESSLNKLVGAADTYDLKEMEPPETLMCDLRPYQKQALFWMTESEKGVDVEEAAKTLHPCWGAYRIYDERASAIYVNIFSGEATTQLPTATQMARGGASFFCYCY >CDO99295 pep chromosome:AUK_PRJEB4211_v1:3:5120818:5126453:1 gene:GSCOC_T00026392001 transcript:CDO99295 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSDLDLAQKLYEFLQSKRFLIVLDDVWSADAWECLRIALPSREPTASRVLLTTRDDGVAEKIASSSADDKGFVHQMRFLNPDEGWELLRKTAIRGHSSSVSAKVNRTLALSYHFLPEYLKPCFLYTAVFPEDFEMDVGSLCRLWLAEGFISTTHGSSQQSMEDIAEQYLNELVARNLVLVQKKESSTFMKDLSRLRGLFLSGFNYKVLRFPSATLELFPRRFLSMRDLPQVLSDVTNFKRLRVFSFSGFNFDVTRMPTGIEKVFRLRYLSIRGCNITRLPPTIGSLLNLETLDLGEGTWIRMLIPSELQRLSRLRHLFLPCSYQVVEGGKLQLDGLTKLETLVNFDSRQCRVKDLFKLTKLRKLVATTDVNFEDLEVVINCMETSLNCLRFSSLIVRTHHSDNHFVTHKLLQCANLHLLQIEGHIGKLPLRISHSLTEISLIGSLLDDDPMEKLEKLPNLWVLALHNNAFLGKHMTCSGMGMPQLKYLSISNLRNLENLTVKRGGMPKLSTLELEGCERLKNLPEGLSFLTSLQELTVAQMPPEFMDRLYESQEDFRKFQHVPVIRICWPSQKQKIVPLACRHGLQVAGQELH >CDO98708 pep chromosome:AUK_PRJEB4211_v1:3:160933:164279:1 gene:GSCOC_T00025605001 transcript:CDO98708 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNSHPTTATAAYGESWYWDKRYASSSSGSGSGSGSESEASFDWYQKYPSLAPLLHLYIPRHHRVLVVGCGNSAFSEGMVNDGYAQVFNIDISSVVIAAMQRKYSSCSQLKYMKMDVRDMNAFEAGSFDAVVDKGTLDSILCGNNSQENAAKMLQEVGRVLEDRGIYLLITYGAPNYRLHLLRNSCSWTIKLHVIGKLLSEETSQCREWDLTFPVPLDDDGISTEAVLGKNPDVHYIYVCIKDKKHESTI >CDO98872 pep chromosome:AUK_PRJEB4211_v1:3:1414404:1415501:1 gene:GSCOC_T00025820001 transcript:CDO98872 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHEALEFKLRSSPISLQLISSVLCHSKFPTSPDFAFPSCNLRSRHCILSCHRRHRSFQLNLWIVLQTKKKKRKNYIG >CDO98825 pep chromosome:AUK_PRJEB4211_v1:3:1068391:1069044:1 gene:GSCOC_T00025756001 transcript:CDO98825 gene_biotype:protein_coding transcript_biotype:protein_coding MEISVISDALTSVASTQGLSLGVSKLNFCSNQSSEQSAGILQISAMIFPLDAVAPPPVKSNRTRTLPRRLIKKRRRTRRRSSTGDDDNDDPEEVFGFFGGDGGVFDGPFNNGGGGGGSWNFGGFGGANWEGYSSNSYNDPAFDFVYEVLSWIVLSNCLHFAFKKVVRIVADVFGDPAREKVPMRLEPVC >CDO98701 pep chromosome:AUK_PRJEB4211_v1:3:122855:125846:-1 gene:GSCOC_T00025598001 transcript:CDO98701 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCSEDDMQKTHDHGPFTANNFAGNNAGFRVPESVPKDQPAKRIQPIAVPAIPVDELKDITDNFGTNALIGEGSYGRVYHGVLKSGQAAAIKKLDSSKQPDQDFLEQVSMVSRLKHENVVGLLGYSVDGGLRLLAYEYAPNGSLHDILHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKVQPHIIHRDIKSSNVLLFEDDVSKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSVKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDARLQDQYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARGGASSETPNL >CDP14624 pep chromosome:AUK_PRJEB4211_v1:3:14141367:14148535:-1 gene:GSCOC_T00041995001 transcript:CDP14624 gene_biotype:protein_coding transcript_biotype:protein_coding MANNRQRAHQLDYMEEDGEDEADSVAEMQGEAQNDDTQDGNLDEYDMLTKVTDTSASQARNGKDIQGIPWDRLNITREDYRRTRILQYQNYENVPASGETVDKQCTPIEKGGEYYEFFYNTRLVKPTILHFQLRNLVWATSKHDVYLISNYSILHWSALLHRLSEVLNFSGHVVPTEKHPGNLLEGFTQTQISTMAVKDSFMVAGGFQGEIVCKRLNKPGVSFCARTTYEDNAITNAVEIYESISGGLNFMASNNDCGIREYNVEKYELINQFQFSWPVNHTSVSPDRRLLAVVGDDLEGLLVDAHNGKTVASVVGHKDYSFATAWHPEGHIFATGNQDKTCRVWDVRDLSKSLAVLKGNMGAVRSLRFSSDGQFLVVAEPADFVHVYDTKANYSKQQEIDFFGEISGVSLSPDDESLFIGVWDRTYASLLQYNRRHKYGYLDSFM >CDP11174 pep chromosome:AUK_PRJEB4211_v1:3:8446298:8449112:1 gene:GSCOC_T00033256001 transcript:CDP11174 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLHLAFSSRRRYQNYDDQMEEKGEWKSRWQDQVSELKRRSMYKDAGSMSWGARMRRQKK >CDO98929 pep chromosome:AUK_PRJEB4211_v1:3:1884128:1886728:1 gene:GSCOC_T00025894001 transcript:CDO98929 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHLAHVTSKLTALARSGRIVCARKMFDEMRQRDTIAWNAMLSGYSRLGFHQETLLLFSQMRTSNSKLDHYTFTSALSASAGLGNLPSGQKLHALIVVFGYTLFLPVNNALIDMYGKCMSSPDANRVFEDMGSKNEVSWCSLLFAYVNTGDFDFASSIFSAMPNRVVVAWNTMIAGYAKSGEVELCFDLFKKMLDDSSLPDQWTLSAVMNACAGVSKSCYGCMVHSFIIKSGWSSAVEVSNSIISAYVRFGFHNEISKMIDCLGVLNQVSWNAIIDAYMKAGNCQEALHVFQQAREKNVVSWTTMIAGFARNANGEHAFSIFVDMLRSGLKPDDFTFGAVLHACSSLATLGQGKTIHGCVVQSGLSRYGYVGNSLLNMYAKCGDIVDSFKVFNEILERDLTTWNTMLFAYGLYGLSTQALQLFESMVASGFEPDKVTFIGLLMTCSHSGLIETGRFVFESMTALHGISPDIDHVACMVDMLGRAGHLREARELADQYFGVQSEKISSCDVLFGACSGQDDIEMGAELGEQLQILEPQNEMGYVLLSNVYCASGQWKEAEIIRRRMARQQVKKLPGFSWIEVKNEMASFVAGTRSNACVRELSYMLSILGSEMRYPITVAQWD >CDO99327 pep chromosome:AUK_PRJEB4211_v1:3:5413299:5415257:-1 gene:GSCOC_T00026441001 transcript:CDO99327 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQKGKFFTLHLLLLLYLCCIMRVLAKSNSAEIVTDTENEHVIEHKPEVSDALDVLKRQEIQLEKMEELVKSFTELLSRLESMLSDCSKVKYPIDRKQDTVQEYGEVDGDGNAEKIQDGKLDSSVKNGDSVGVVSVTKFSPFWSEKFQFVSAVKVGSNPTCINVLPYRDFEGFSKYVLVGDDSGRVYALARNGDVLCQFDTLSRSPTTAMVSYMSVYKNESIVVTGHEDGAILMHRVWEYSTGDEWNSLRMDVIVKFDVPQAGDSVSPVTILEVHHVGRKRYILSTDLRGKIRVFRDNGTVYGLASPSSRPLAFLKQRLLFLTETGAGSLDLRTMKIRESFCEGLNVSVVRRYVFDATERSKAYGFTSEGDLIHVLLLGDIMNFKCRVRSKRKFDMGEPLTFQAIKGYLLIGDAKKIYVYNVSSQHYVRAGGPKLLFSASFDGIVASFLNQQQRKIDDKKGFVRPVVASNNERLVVLSLGSGYVAMYHSNLPVFRSEFNTMQWTSPVLFFILFLFGAWHFFAHKKEALTSWGPDDPFSSTSVTTGAPLGSGSGDRSFTDSSRNADVVDSRGNGMRDPSRRYASPSQYPGGSVNSYRRGGGTDTNSISSAVEPNFRTNQELKYRGSNPESTGFPKRRESLFVNSQAVDENN >CDP15108 pep chromosome:AUK_PRJEB4211_v1:3:10876104:10879799:-1 gene:GSCOC_T00042681001 transcript:CDP15108 gene_biotype:protein_coding transcript_biotype:protein_coding MADALIGATIQIILQKMLPLAADGIGMAFGLKEDLKNLRESAAMIRAVIADAEEKQGHDQAVKLWLKRLEGVAFDADNVLDELNYEFIRKQLKEKVRFFSFFCDTALHWRMASKVKNVNQKLKIINQEAIDFGLRSQLMGGGANIAPLPLPAISRETDSIIRQIVVGRSNDASNLVETLLSSSAKVVSVIPISGMGGLGKTTLAQLAYNDPGIDGHFDTKIWICVSEKFEVTRLFKLVLESLTKRKVKTESRDVMVQDVRKELKWKRYLIVLDDMWDERSQLWDDFFQCLVGITNTQGNWILVTTRKLNVASIVATHPTYRLEILSDDDCWSILIEKAFGGGEVPKELEEVRTEITKRCQGLPLAANVIGGLLRIKRKEEWLPIIESGLLHLSENENTVMQLLKLSFDHLPTASIKKCFAYCSILDKDFLVNKQHLIQLWMAEGFLQASHNELLEDIGNNHYHILLESSLLQEVRMYDPYLDVNARYAKMHDFVYDLARSLSGSDSIRGENCQSRYLVLHSFGEETQMKLNDISTSVSSLILLESHISGDILSNFRYLHVLKLSWVTSEVLPSSIGKLIHLRFLDLSYSRIEALPESICKLYNLQTLTFDEYPDKRTLKQLPKGMRKLVNLRHLRFFASDGQFQMPKGMRQLTCLQTLQFFNVGKDNGRTLEELGCLKNLRGRLQIRNLELVNGKEGAQQADLLQKPKMRHLGFEWCSVNAEGGYDDEHVLEGLQPHPNLQSLHINNYNGGKFPHWLMNMAIYMKTTDGSSITRLDKLVKLRLINCKLCTEVPALGQLPSLQVLELNGLENLRCIGTSFYCIADDSSGSSSNRSSSQGSSKLFPALKTLELANMPNLVQWRGAEATSRGGGDEELEVFFPSLEDLMIMMCPKLTTAPGNFPSLKRLKIERMDQVLPVKQICSNATILTDLWIKGMPELTCIRDVLNKQDLAWLRLEEIMNCENLRELPENLHQLQALQTLCIMQCPNIKSVAIPSGQHGLTSLQELRFVDCSGLNSLPAEMLHSRTSLCTLVVRRCPNLVSFPIDLQQTPSLVVLVLSGCPKLNTIPEGLGRFSCLRVLFIGPFPDSSEEFELLSAVASSSVRTLAIVGWPHSNSLPEELQYLTNITKLSVLNFGSVEALPDWLGNLGSLERLHLIDCEKLQHLPTMAAMRRLTKLSFLSISGCPLLQELCSNSERFKISHIPTILIDDEELM >CDP08112 pep chromosome:AUK_PRJEB4211_v1:3:31137629:31138434:1 gene:GSCOC_T00026839001 transcript:CDP08112 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLQISRISTRFGKTVQFNQKGSCKEKFLQHRTVISCQAARTVQTGRAANFYEVLSLDCSKFVGLQEIKKAYRCKALKFHPDSCPPSEKEESTRRFLELRMAYETLSDPISRELYDHELSLVDVDGRTRRGMSCSMGSKVWERQIAELNKRSRQKMEKRKEMGMWN >CDO99229 pep chromosome:AUK_PRJEB4211_v1:3:4515394:4521644:-1 gene:GSCOC_T00026304001 transcript:CDO99229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome 2, blue light photoreceptor, Promotion of flowering tim [Source: Projected from Oryza sativa (Os02g0625000)] MDGKAKTIVWFRRDLRIEDNPALAAAARDGCVFPVFIWCPKEEGQFYPGRVSRWWLKQSLIQLEQSLRSLGAKLVLIKAQSTLEALLECIGAAGATKVVYNHLYDPVSLVRDHDIKQKLGELGISVQSYNGELLYEPWEVHGDDGHAFTTFDAFWDNCVHMQNEPASQLPPWRLGLCAGSVDGCSIDELGLEDESEKSSNALLGRGWSPGWSNADKALTEFVENHLCDYSKDRLRVAGNSTSLLSPHLHFGELSVRKVFHLVRMKHLLWSKEGNHDQEESANLFLRAIGLREYSRYLCFNFPFTHERSLLSTLKFFPWHADQSHFKAWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFFVKFLLLPWQWGMKYFWDTLLDADLESDILGWQYISGSLPDGHELERLDSPEVQGFKFDPEGEYVRHWLPELARMPAEWIHHPWDAPISVLKASGVELGLNYPKPIVDIDVARDRLIEAIFTMRGKEATARATNFNGTDEVVFDNSETSEIVGNPKAILREKLPCPTSSSHDQRVPSLQNSKNVILNRKRPMPAEDKPPLRDNVHNCNHNGETSKTDDDLRSTAESSSTKKQTTSSRTSFSVPQAVSLPLKVKPFPECESSGLKLPVEEEIDTEETSRENRAVGV >CDO99220 pep chromosome:AUK_PRJEB4211_v1:3:4356263:4357342:-1 gene:GSCOC_T00026289001 transcript:CDO99220 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSQKYSLHDSSQTLPPMITPPSSLLGSTLHSSHSGFPIIAVAIIGILATGFLLVGYYIFVIKCCLNWHRIDLLRRFSFSRRRQVEDPLMANSPAVENRGLDEAAIRSIPIFQYKKFLTRKGEPGERSFCECAVCLNEFQEEEKLRVIPNCAHVFHIDCIDVWLQNNANCPLCRTSISVTTRFPLEQIMAPISFSPRDPTRYRDNFTGRDEDYVVIEIGEQNQQKVSQKKKKKFNHVSSMGDECIDIRKKDEQFVIQPIRRSFSMNSAADLHLSLAVQEIIQQQRQISDVISPASEGCSSRVRRSLLFSFGHGRTSRSAVLPVHLEP >CDP14645 pep chromosome:AUK_PRJEB4211_v1:3:14749398:14750876:-1 gene:GSCOC_T00042034001 transcript:CDP14645 gene_biotype:protein_coding transcript_biotype:protein_coding MGFALERDAMKAIILDVFGAGSDTTHSVMDWGMSELLKNPKVLHKLQAEVRDVTQGKPEITRADMEKMQYLKAVIKETMRLHTPVPLLGPKESNQDVKVMGYDVPKNTQVLVNAWAIARDPLLWENPEEFRPERFLSSSVDFHGLNFELIPFGAGRRVCPGINFAMSVTELALAKLVNKFNFTSPDGINPNELDMTESFGITVHRKFPLHAIATPYSC >CDP08109 pep chromosome:AUK_PRJEB4211_v1:3:31125798:31125881:-1 gene:GSCOC_T00026836001 transcript:CDP08109 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPDGIDIYFENIGGKMLDAVIDLTI >CDP10827 pep chromosome:AUK_PRJEB4211_v1:3:26779852:26780341:-1 gene:GSCOC_T00031722001 transcript:CDP10827 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFPTIIFSIVVLVGAFPQLISSQNCGCAPDLCCSKFGYCGTGNDYCGSGCQSGPCTAAPSSGNSGVSVADIVTDAFFNGIADQAASSCAGKGFYTRSAFLEALNSYPQFGTVGSVDDSKREIAAFFAHVTHETGLAPVNF >CDP19150 pep chromosome:AUK_PRJEB4211_v1:3:31639527:31644294:1 gene:GSCOC_T00001653001 transcript:CDP19150 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEPKKIIIDTDPGVDDAMAIFLALQSPEVEVIGLTTIYGNVYTALATRNALHLLEIAGRTDIPVAEGSHVTITKGTKLRIADFVHGTDGLGNQNFPPPKGKPIELSAADFLIQQASCYPGKVTVVALGPLTNIALAIQSDPHFVKNIQQIVVLGGAFAVNGNVNPAAEANIFGDPDAADVVFTSGADVLAVGINVTHQVFFTDADRDKLAESSGKYAQYLCKILDVYYSYHHDAYSTKGVYLHDPTALLAAVTPSLITYTEGVVRVQTTGITRGLTLFYNKQKRFGEVTEWSDKPSVKVAVTVDAPAVVNLVMERLTNS >CDO99210 pep chromosome:AUK_PRJEB4211_v1:3:4300759:4301735:1 gene:GSCOC_T00026278001 transcript:CDO99210 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCRDILLEYSKHVTKLGQTLFELLSEALGLKPGYLKDIGCTEGLFLVGHYYPACPEPELTLGTSNHTDSGFLTLLIQDQVGGLQVLHQNQWIDVPPLHGAVLVNVADLLQAITNDKFKSVNHRVPAKRVGPRISVASFFRTHFGEGSEQRIYGPIKELISEENPQIYREIFTKDYLSLYYKKGLDGTSPLSYFKLLK >CDO98904 pep chromosome:AUK_PRJEB4211_v1:3:1715967:1720242:1 gene:GSCOC_T00025861001 transcript:CDO98904 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWWLAVAILISLCATSTGRELKVKIKDEGHLPVYNHTLARVLAEYASAVYWSDTTQLFTWTCERCNDLTEGFEMIELIIDVQRCLQAFVGVAKDLNAIIIAFRGTQETSIQNWIADLYWKQLDIDYPGMDNAMVHHGFYTAYHNTTLRPGILSAVERAKELYGDIKIMLTGHSMGGAMAAFCALDLTVNIGEKDVQVVTFGQPRIGNAAFASYYSEFVPHTIRVTHEHDIVPHLPPYYYFFPQKTYHHFPREVWLYNIGFGSLVFMIEKVCDDSGEDPTCSRSVPGDSILDHLVYYGIQMGNEEGVSCRIIMDPRIAAYRTMDIDGNFVLARNLSSTILRRSSEVKDQVESM >CDP19083 pep chromosome:AUK_PRJEB4211_v1:3:31911882:31917245:-1 gene:GSCOC_T00002106001 transcript:CDP19083 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAVKKGWSNGRARSGVLQLGSCPFPIQTPALLLTTRKGLPPFISPDLLTSSLPSPDSHLLQFSPLHFLEGISPKTISNIGGLHQMLSLHQHIFAAVPRDSIIALPEHDSTNKYGASFQTPGCRLLIKPAEYVELVSSMEPDFWVTLADEVPAWVSNKRNRTSVDRTLRWLDDCLSLNKESTTIFGSIVGGSSIEERHRCAQEVAQRNVSGYWIGGFGLGESMDEQAALLTAVTDDLPEDMPRHVSGLGLPEEVLQGVAAGIDLFDSTYVYHLTLGGFALTFPLERNKGENADYQLSDLGGDDMKINLKATVYRKDTSPIVESCNCFTCQNHTKAYLNHLFNVHEMLAQTLLEIHNTHHYLGFFCSIRKAIEEGKFEQFRRNFVESRRDRLFAAASSA >CDP11121 pep chromosome:AUK_PRJEB4211_v1:3:7418138:7419418:-1 gene:GSCOC_T00033164001 transcript:CDP11121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF062 [Source:Projected from Arabidopsis thaliana (AT4G13620) UniProtKB/Swiss-Prot;Acc:Q9SVQ0] MQKELPALFTVTPEGSRFLMDPNCISGGLNESFCIDSGGGCNAKSSSASLDRLISSPESSSSIEEASASNINSIKFSEFSEQKDMLKGYDAHSLLSGSATFDYFSGSSSAQNTGSHIPLNFLESFPAINEAQLREPCPSPPSKFPNLGLFLQEPSLMDVSRKATESLGKSQKREPMQLCSQNPLFPISEMGKSQLLPWLKISQSITNNSSKGFSDYWLSTTKTTPMKFTGRRIQNGNQKTSLSSISSPGKLFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEEAAFAYDTAAYMLRGDYAHMNFPDLKHQLKANSISCSTAALLEAKLQAISQGLPATKKSTELPISQPKSVLHENSRFKSLNIQVPAIKEWPLDLGCKIESGEMIDIKKSQESLVDTEAVQLSRMPSLDMDTIWDALLVPDS >CDO99211 pep chromosome:AUK_PRJEB4211_v1:3:4304058:4308876:1 gene:GSCOC_T00026279001 transcript:CDO99211 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYNKGTFDRSQMKKSVAWGDCISCEFDHLPADFPELPGEFRNPMSEYMNCVNTLGMTLFELLSEALGLRKGYLKDIGCAEGLYFVGHYYPPCPEPELTQAAIAHSDLAFLNMLLQDQIGGLQVLYQNEWVDVTPLPGAMVVNFGDMMQLITNDKFKSSKHRVLAKKVGPRISVATFMRPARSEAVTSRMYEPIEELLSDENPAIYKRTTIKDCMAQLYSNLSADEIGGLWSIKINRQIHYWSMFNIWGS >CDP08094 pep chromosome:AUK_PRJEB4211_v1:3:30906226:30911522:-1 gene:GSCOC_T00026815001 transcript:CDP08094 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEVENKQVILKHYVRGSPKESDMEIRTGKTKLKLPEGSSGAIVVKNLYLSCDPYMRNRMSKVEGSYVESFTPGSPIVGYGVAEVLDSSHQNYKKGDLVWGMTTWEEYSVITSTEGLFKIQHTDVPLSYYTGILGMPGMTAYVGFFEVCSPKKGERVFISAASGAVGQLVGQIAKLFGCYVVGSAGSKEKVDLLKNKFGFDEAFNYKEEADLNVALKRYFPDGIDIYFENVGGKMLDAVLLNMTPYGRIAACGMISQYNLEQPEGVQNLFCLVTKQIRMEGFLVFDYYHLYPKFLEMILPQIKEGKITYVEDIAEGLENAPSALIGLFSGRNIGKQLVAVTRG >CDO99100 pep chromosome:AUK_PRJEB4211_v1:3:3177152:3179507:-1 gene:GSCOC_T00026128001 transcript:CDO99100 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGKWIRNFLTGKKDKEREKDKEKVKNTGNLQPPSISAEQIPTTPITIPPTTPKEKRRWSFRRSSATAPGQKDSNSTDMMATTPPSQQAFLEADADQKKHALAVAVATAAAADAAAAAAKAAAAVIQLTAAASGRTSAIEEAAAIKIQSVFRAYLARKALNALKGLVKLQALVRGHLVRKQATATLRCMQALVTVQARARAQRIQMAQESKPNNLKQFHHRKSTQENRFSQSYQDFDKGMEENVKIVEMDIGDPKGSTKSRNSYSNNGQTERTDHRISTHRAYSNQEQISPLPSAITDLSPRACSGHFEEYSFGTSQSSPQYCSAISKPDPSKGAFSYARSEYGDSLYNEYPFYPSYMANTESSRAKVRSHSAPKQRPESFERQPSRRRPSIEGRNVPRAVRMQRSSSHVGSAAQNYQYPWSIKLDKSSISLKESECGSTSTMLTNTNYCRSLVGFEVQGSRY >CDP19145 pep chromosome:AUK_PRJEB4211_v1:3:31604278:31606736:-1 gene:GSCOC_T00001648001 transcript:CDP19145 gene_biotype:protein_coding transcript_biotype:protein_coding MACIRLGSKSEAFRLDGQSWHCSSGLASDVTIRIGEMSFHLHKFPLLSRSGLLEKLIGELEQEDGSACVVQLGEVPGGAKSFELVAKFCYGIKIELTAMNVVSLRCAAEYLQMTEEYGEGNLIKQTEAFLNVVFANWTDTIKALETCEEVLPHAEELRVVSRCINSLALKACADPKLFNWPASGCNSNDAAEANQMWNGIGTATKPLPATDNWWYEDVSYLSLPLYKRLILAVEAGGMNPNTVAGSLVFYAKKYIPLMNRQSSFNDANHSKQGSSMSTPSEADQRALLEEIVELLPSHKGVTQTKFLIRLLRTAMVLQTSPSCRENLERRVGLQLDQATLDDLLIPNMGYSVETLYDIDCFQRILDHFMSMDQSSVATSPCIVEESQLVEGTDSLTSITMVANLVDAYLADVATDVNLKFPKFQCLAAAIPDYARPLADGLYRAIDIYLKAHPWLTDTEREQICRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVSDNLENPENPDGVLALPKSDGSYRAHGRGSGIEDMRQRVSELEKECDSMKQDFHKLVKSRRSWNIFCRKKSHYTNARSGTHAS >CDO98960 pep chromosome:AUK_PRJEB4211_v1:3:2138304:2139818:1 gene:GSCOC_T00025948001 transcript:CDO98960 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme 4 [Source:Projected from Arabidopsis thaliana (AT5G18930) UniProtKB/Swiss-Prot;Acc:Q3E9D5] MAMSGFEGFEKRLELHFSGDDPVNGISLRQLDFESIEEVLHAVQCTVVSAVGNQYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSICPLLHLACDIGLTLSVCRYTRGSFIFPKAQPYPHSSFKEEVIYLEESLPSHLCYRKASVMPSKMSSHSWHVFSACDESHMLMQNFESDHQDFFTIEVCMTELDRVLARKFYRHPNDGKNGDTAGKEMTEITGIVDINPNALICDFAFDPCGYSMNGIDNDRYSTIHVTPEDGFSYASFEFVGSIYDDHNAIVEVLKKVVQVFRPGTMSVATTSTGHEAWTKVASAVEPVGMKCRSCTVDEFPAAGTVVFQTFTPRRK >CDO99147 pep chromosome:AUK_PRJEB4211_v1:3:3730081:3731673:-1 gene:GSCOC_T00026193001 transcript:CDO99147 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVAHENDLNLKATELRLGLPGTDESEKESASCTKNNKRSLPECAEESAKNDDLDAQHGDREAAPAAKAQIVGWPPVRSYRKNSLQQKKAEAETGFYVKVSMDGAPYLRKIDLKLYKGYPEFLKALENMFKLTIGEYSEREGYRGSEYAPAYEDKDGDLMLVGDVPWEMFMSSCKKLRIMKGSEARGLGCGV >CDP10888 pep chromosome:AUK_PRJEB4211_v1:3:28383839:28386327:-1 gene:GSCOC_T00031829001 transcript:CDP10888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MVEMKQLETSPSNITCQNLPFLLSSFVDTFVDFSVSGGLFLLPQGLNPEPKSNPNFNSNSVDPKSNPNFNSNSVDPKVSTTLQTIFPAPTQLVAIGDLHGDLSKAKQALRLAGLVNGEDRWCGGSSTVVQVGDILDRGGDELKIMYLFEKLRREAVKDGGTIITMNGNHEIMNIDGDFRFATKEGLEEFKDWAMWYCVGNDMKKLCEGFGGPTEDPFDGIPHEFSHVKPELLHGIRARIAALRPEGPIAERFLSRNQTVVVVGDSVFAHGGLLPNHVEYGLEKVNEHVRDWILGVRDNVARELVKGKHSIVWTRKYSHEVAKHCDCSTLEYVLNTIPGAKRMIMGHTIQQGGINGACSNRAIRIDVGMSKGCGNGLAEVLQINENSQMTILTSNPLYQRKNETLGANLQDELGSVIPKQGQKQVEVHA >CDO98857 pep chromosome:AUK_PRJEB4211_v1:3:1316675:1317959:1 gene:GSCOC_T00025801001 transcript:CDO98857 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDRGRGPPHGILLAAVVVVVLAVPMLVGDQGEAITEFIADLLSPVGLLLLPIILLLTIQFLSSESGSFISSIFSTGEPNTIHRVSGSPVGVALFLVLILFLLYNRVSIFGGDDDSGE >CDP15113 pep chromosome:AUK_PRJEB4211_v1:3:10767055:10768452:-1 gene:GSCOC_T00042689001 transcript:CDP15113 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQQPHILISCLAAQGHLNPSFQLAKSLARAGARVTFATTIYGFSRIKNLPSFSGLSFASFSDGYDDAESRKNFKVDSCFADLTHVGSKNLTKLIQTLSDEDRPVTFLIYGIMMPWAAEVASGMNIPSAFLSFQCAAALAIYHKYFNSQDGVYDGVRKIEPTISVKLPDLPLFENSLEKDSKPCVLVNTFAELEEASIRAIVDHMNVIPIGPLLPCAHSDGNDLSDKSWLDSKPEKSVVYTAFGSLTKLKKDEKLEILRGLEETGRHYMIVMRAVENEDEEVKEMMENGLNGKGKIVPWCSQMEVLCHKSIGCFLTHCGWNSTLESLVAGVPIVGCPHFADQTTNAKLIEEVWSNGVRAKANEDGVVGREEIKRCVDVLMGGGEKEEEIRRNAAKWRGLALEAMKENGSSHNNFKLFLDSLDN >CDO99054 pep chromosome:AUK_PRJEB4211_v1:3:2862623:2866301:1 gene:GSCOC_T00026073001 transcript:CDO99054 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVIDLDADHPDFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLNDFLQSPDDLERLYCEIHLLKTLKHKNIMKFYASWVDTENRNINFVTEMFTSGTLRQYRVKHKRVNIRAIKNWCRQILKGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAFLRKSHAARCVGTPEFMAPEVYAEEYNELVDIYAFGMCILEMVTFEYPYSECTHPAQIYKKVISGKKPDALYKVKDPEVRRFVEKCLATVSDRLSARELLHDPFLQSDDYLTDLGPVDDYRDFNDVGPMLQEPLLSFSQESSSLIDSYTIYFDQEHRNGLDYHQNGYETNGIDLLNSQEESLGSVDITINGKRREDDGIFLRLRIADEEGRVRNIYFPFDIETDTALCVAAEMIAELDLTDQDMSKIAEMIDGEIASLVPEWKRRLYNEETPNYSNGRCCQNCASNGSLLSYLTLNGSGAKNLQVLCPQHGCGSIHGRFEEITYQFEGSEQCLTESAPLVSSQSDIIHYTDIWAQHEGPELRSQNSSRNQCDDHLEPSQQSTFSSDEKIIKIIEEDSYNAPETRDCPTSPGHSEAADYENEIRQELRWLKAKYQMQLRELGVVSTGIVTKPSSLLDSIQSQKYHLSSVLSTPREVQDGSLHQSLISDKHFPPYLPVDTGKKCANQMLRDQELAYSSCSPEHMITAKSYYTGPLLPHPLHRATSLPVDAIDV >CDP03488 pep chromosome:AUK_PRJEB4211_v1:3:18774546:18781868:-1 gene:GSCOC_T00015297001 transcript:CDP03488 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTSKSTSSLSSDGEAPASNNLWIGNLSPEVTDSELTALFEKHGPVDSITNYASRSYGFVYYKKIEDAKSAKEKLQGTILHGNPIKIEFAKPAKPCKSLWVAGISQSVSKEELEEEFTRFGKIQEFKFLRDRNTAYVDFSRLEDASQALKNMNGRRIGGDQIRVDFLRSQPSRREQLPDFRDAREGHFPNRSIGPPDTRWMAQESIQVGSKRHQFQSPGGRRGDGQPSKVLWISYPPSVQIDEDMLHNAMILFGEIERIKTFEDRNYAFVQFRSVDEARLAKEGLQGKLFSDPRISIEYSNSELAPNKDYLGNYPGTKGTRPDTYLNDVPFRHGQMDIISHDSGVLPPRGAPGPDGIMRPLGPQGNFDLQGGHHAHLGGPNWRRSSPAPGLLSSPSASLNLPNRSASSAWDVYDASQLQRESKRSRVEGTLQAHNSSFSARITDDQGLGLDEPYGLRTYAGSTDPLSNFEGRSHLSPVGMQISVGGLGKRIPEPDYVWRGIIAKGGSLICHARCVPIGEGISSEIPEVVNCTARTGLDLLTKHYADAVGFSIAFFLPDSEADFASYTEFLRYLGARNRAGVAKFDDGTTLFLVPPSDFLTNVLKVTGPERLYGVVLEFPQAASASSNIPPSLVQPQYVDAQQQASSLTGYNEIAQEEIGIQMGYNKVVPEDMKPPLKMLGSSLNSTPPINNAAVSQAGLKLTPDLIATLASIYQGNSKSSGSESSSVQSASTTLGPALNITPAPDKGLPQGWQHERQVPEQAGYVTQQFNSQFHSQAQFIPQVHAYPVVSNTLNLPAQGALGYSQIQDRGFNMQPQGAVSSRPIASATPSQGQVSALSNVDQQHQLGMPHDPLKGHGMAQGTDALRLYGSSVLHQPTNLVTLGSEINGPNVLQHASMPQTTEADVRNQVQEHHSALQGAGQDTSETEEEKNRRYQSTLLFAVNLLNRVQQPPGTQAGQGSGS >CDO99349 pep chromosome:AUK_PRJEB4211_v1:3:5717928:5720040:1 gene:GSCOC_T00026482001 transcript:CDO99349 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDQKLSELLDTSEKPSIFKIHGQLRSENEGAYEPQVVSIGPYHHGKPKLKEMEKHKLIYFKELLRRRGESAEKYIIALANLQDQARRCYAEEINLSNDDFVDMLCLDGCFVIEFLRKKRHPGSHLHNDPIFQMFWLRTATENDLILFENQLPFFVLLQLFDMTKSPGEEENLTGLAIHLCLIGNLPNPGLNSHSPIFEHYKPVHLLGLMHKILFASLSEAFLSTAHFNRRPSSLFIKSAGELGQSGIKFKKAEDSKSLFHITFEKGVLKIPPLVVGDRTESVFRNLIAYEEYMSNPSETWKCITDYILFMDFLIDSPSDVEKLRRHHIIVNWLGSDEALSTMFNKLSKHVQFSERFFCYTQIFDDVDKYTRRRWHIWRAHLLRKYFNNPWAFISFLAACALLLLATVQAIFSILQYTKQKQA >CDO99207 pep chromosome:AUK_PRJEB4211_v1:3:4289147:4289747:1 gene:GSCOC_T00026275001 transcript:CDO99207 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLAFHSCQRMLTLVTNDKFRSVNHRVLAKQEGPRISVASFFRTHLDQEESTLRIYGPIKELLSEENPPLYRETTAKEMLTHRYNDGLNKAPLLSHFRLNATMKT >CDO99019 pep chromosome:AUK_PRJEB4211_v1:3:2562634:2569956:1 gene:GSCOC_T00026022001 transcript:CDO99019 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLLILFILACKFKLWRRFLSSSSAASRTRTIKADDLHRPFISEDLNVVYGHSNSFARNYAPQEADQQTLGGLSSHRAQGASNNQSPPSASPQLTHSDSFVLDIRDTSEDDLVGQTLKRPLVTKQLVEVQKVCTKDDLKDSPRFHIDSETSREFVPKYKADQRSILTLEVVSGLSHGTRCCVKSTDTSRLPLTLGRVSPSDLLVQDSEVSGKHARINWNPNRLKWELVDMGSLNGTRLNSRPVHHHDSGSRQWGDPVELANGDTLTLGTTPKIFVQITSETESQIPFGVGIASDPMSLRRGAKKLPMEDVCYYYWPLPGTEQFGLFGVCDGHGGAGAATSVSQVMPQMVASILSDSFRREKVLSQRDASDVLREAFYQTEACINHHYEGCTATVLLVWADGNDSLFAQCANVGDSACIINIDGKHVKMTEDHRVTSYSERLRIQAIEPLRDGETRLCGLNLARMLGDKFLKQQDARFSAEPYISQVVYIDQSNRSFALLASDGFWDVINVKKAVQLVNQTMERNSANQDNSAEKVANALLGEARTQRTKDNTSIIFLDFDATNRIGSCKLDP >CDO99235 pep chromosome:AUK_PRJEB4211_v1:3:4576120:4576749:-1 gene:GSCOC_T00026311001 transcript:CDO99235 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFNLAEKLLPAKKAWKSFKTKFQSKLQKLKTSKAIKRTKKYCIHTLISIRVFIPCKLYALINRSPLRSRLRFYHHDHQHTGSSSAIYVDELFPGHARHYSSLAKMVASSSSAGNKVKLEETTSSNVTSTSAAASAADAWKIPLSPHLRCVDERAEDFISKFHQEMKLEREQSIIDFHEMLARGT >CDO99387 pep chromosome:AUK_PRJEB4211_v1:3:6178847:6183588:1 gene:GSCOC_T00026542001 transcript:CDO99387 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVKLSDDVAIHPGEKLGQLEQKSYENLVKKKKSLRARYAYGMVFLIINLTAWFFRDYGEKILPLLHYSKACRVGQTGCFHTLGVLRVSLGCFIFFFGMFLTTCNTKKLHQARNQWHSGWWALKFVILIISLVIPFFVPSDYIQVYGEIARIGAGIFLLLQLVSVIEFITWWNDYWMPDEKKKHSCSLGIFMSTLFYIGSVCGIVLMFVLYASKASCTLNIFFISWTGILLAVMMIISLHSKVRQVNRGLLSSGIMASYIVFLCWSAIRSEPATAKCSPQTQDSGHGGWTTVIGFFIAVCAIVMATFSTGIDSQTFQFRKDDIQSEDDIPYKYGFFHLVFSLGAMYFAMLFISWNLDSLTKRWCIDVGWASTWVKIINEWFAATIYLWKLISPVVRQNKVMHHEEPVQGV >CDP19090 pep chromosome:AUK_PRJEB4211_v1:3:31848800:31856912:-1 gene:GSCOC_T00002115001 transcript:CDP19090 gene_biotype:protein_coding transcript_biotype:protein_coding MENDTSTEKKKKKNSHGGDGELESEDDLKSILPYLPLHLCSSSLFWPSPVVEALRALSLGPHHSNVRSGQLLSLAISDLRSSLNYSSTSLSSSALHGYSLFFDDLMPRADSVKWFEEVLPKMALLLLNLPSLLETHYRRHQQQQQPLDSNTTCLRLLDSQQPGLVLLSQELIAALLSCAFFSLFPAANRGATYLPTINFHHLFASLYGCYEEYQESKIKCIVHYFERVCLCMPTGNVSFERKVLPLDRRAVGISYPKPDFWIKSDLPLCPFEVQRSGLIEDQVHEALEVDFANQYLGGGALHRGCVQEEIRFMINPELVAGMLFLPSMADNEAIEVVGTERFSNYTGYASSFRFSGDYVDHKDTDSLGRRKTRIIAIDALCSPGMRQHGSECLLREINKAFCGFFDMSKYQQYQDLFQYDEHRESDVDFAVKKLREQLRNDAMTLEGPSTSYQITEGAPENQLNQCHHKKAFRPSQHQEEIGIVTGNWGCGAFGGDPQLKAIIQWLAASQALRPFILYHTFRLETLEVLDQVTRWIISHEWTVGELWNMMVEYGSKRLKGETRVGFFSWLLPLVDSNDA >CDP07997 pep chromosome:AUK_PRJEB4211_v1:3:28774756:28778007:1 gene:GSCOC_T00026651001 transcript:CDP07997 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIAAAEERIVNDRLRQKLNEVNTAAQSELSPVQDHVNFTLQQAYFKCAYECFDRRRKNEEISNCVEHCSVPVLQAQNLVESEMAKFQERLNRALMVCQDKFETAKLQRSRGDAMKDLESCVDQSVQDSVKTLPSLVDRLKTALSFSE >CDP15117 pep chromosome:AUK_PRJEB4211_v1:3:10657373:10661893:-1 gene:GSCOC_T00042693001 transcript:CDP15117 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKTYKLIGEDYPGNRAEDVKNPGLLWMTGYLFIVSFLGLFSLVPLRKVMVMDYKLTYPSGTATAMLINSFHTNAGAELARQSLLSYKQITIMKNDYNVKDRWRHVAVWKQVSCLGKYLSISFFWSCFKWFFSGIGDSCGFDEFPSLGLTLFKNTFYFDFSPIYVGCGLICPHIVSCSLLLGAIISWGFLWPFISQHAGDWYPADLGTNDFRGLYGYKVFISISLSLGDGLYNLIKITAISIKEMCKIIISQKDPPILEEVLDNKSSKSLLEGKKRDGVFLKDRIPFWFAASGYVGLAAISTATIPIMFPPLRWYLVICSYIIAPALAFCNSYGAGLTDMSMGTIYGKMGLFTFASLVGSNGGVLAGLAAAGVMTSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLIGTAMGCVIAPLTFWLYWSAFDIGSPEGPYKAPYAVTTRQLAILAIQGFSELPKHCLAFCLVFFAAALLINFLRDVAPKKVSQFIPIPIAMALTFYIGASFAVDMFVGSVVLFVWERLNRKDAEDYAPAVASGLICGDGFWSIPSAVLSIFRINPPICMYFVPS >CDO98949 pep chromosome:AUK_PRJEB4211_v1:3:2047743:2051891:-1 gene:GSCOC_T00025932001 transcript:CDO98949 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKESGGPNSNFDLPEEVLEVLPPDPFEQLDVARKITSIALSTRVSSLESEASVLRHKLTEKDAIIADLQSQLQSLDAALSDASDKLTLADREKEKLLKENETLSNTYIMLEGFRKTLMRSLQDDEDHPAAAAGLPVAGVQARSQAGDDASLPPTRTSSMQSQFSGIGNSCPEDNCTEASRPRISPGLLLASQTNTPRLTPPVSPLSLSASVSPTRTPKPLSPRRHSISLSTTRGVFDDRSSAFPMPASQHSSMSGLDTGSQSVRTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTKEETLQKADEIFGPENKDLYAIFEGLITRNVH >CDO99112 pep chromosome:AUK_PRJEB4211_v1:3:3276665:3282610:1 gene:GSCOC_T00026141001 transcript:CDO99112 gene_biotype:protein_coding transcript_biotype:protein_coding MWYIGLVQFCYFFSLFAFYYIVVYNTPTVFPMARDYKQEENGVVALRKERQEDDIDLDQDEEEDEEDQQNWEDWNEEDGDDENVYDTEMLCLFCDSLYTCSNLLFQHCASAHHFDFNAVKKILSLDFYGCFKLINYIRFQVSEKTCWGCRITCESKQELQHHLHEVASFENNKLPWDDDKYLTPFMEEDPLLYSFGDDEEGEDDGYMPENILRDVGSSEKVSNDDNCAIEQFSSKIKSPLENGEWSSSPESDIKTANLLEKSTCNGFVGVGEGASSHQKVKDKQLIISSAEVAANEIKDINKNYFGSYSSFGIHREMISDKVRTDAYRRAILENPSLLKGAVVMDVGCGTGILSLFAAQAGASRVIAVEASKKMASVAAQVAKDNGLLSSESSGEAKHHCTGKIEVVQAMVEELENAKHIKPQSVDVLLSEWMGYCLLYESMLSSVLYARDKWLKPGGAILPDTATIFVAGFGRGGTSLPFWENVYGFNMSCIGKEVVEDAAQLPLVDTIDGCDIVTETQVLQSFDLMTMKHQEMDFTAKVELAPKFDALVDDPAELSSKMGNCHGLVLWFETGFTKRFCREMPTILSTSPNTPKTHWSQTILTFKEPITMGKGKQVGSDGSGAVGSDACPAARIQSRISIVRAAQHRSIDISMEISAIGPDGRRRSWPVQMFKME >CDO98927 pep chromosome:AUK_PRJEB4211_v1:3:1872008:1876771:1 gene:GSCOC_T00025892001 transcript:CDO98927 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVNSEAKNAPQGAGVGETSVLLIATAIAHAPHSLKIPLHFLHLFDTGTAVDLSLFISFNNTSRGVLRSHKTMVKAVVVLGCSEGVKGTIYFTQEGDGPTTVTGEVSGLKPGLHGFHVHALGDTTNGCLSTGPHFNPGGKEHGAPEDENRHAGDLGNITVGEDGTASFNIVDKQIPLSGPLSVIGRAVVVHGDPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >CDO99341 pep chromosome:AUK_PRJEB4211_v1:3:5563702:5564970:1 gene:GSCOC_T00026464001 transcript:CDO99341 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDQKLSELLDMWEKPSIFKIHGQLRSENEEAYEPQVVSIGPYHHGKRKLKEMEEYKLRYFKELLGRKRELSAKKYITALADLQDQARRYYAEEINLSDVDFVDMLCLDGCFVIEFLRKWRHPELLLENDPIFQMPWLLYATGNDLILFENQLPFSVLLQLFNMTRSPGEEENLIDLALELPLSLHSPNPGPNSHPAIFENYEPVHLLGLVHKILSASFSKTLTPTTNSNGGDSFPFIESAGELLQSGIKFKKAEDSKSLFDITFEKGLLKIPPLVVEDHTESVFRNLIAYEEYMSNPIETWKCISDYIIFMDLLIDSPSDVEKLRRHDIIEKQLGSDEALSTIFNKLCNHVHIGERFCYTKIFDDVDEYSRKRWHIWRAHLLSKYFNTPWAFISFLAACALLLLTIVQAIFSILQYTSA >CDP03496 pep chromosome:AUK_PRJEB4211_v1:3:18505526:18508704:-1 gene:GSCOC_T00015310001 transcript:CDP03496 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIRDAKKTLSSSALRPKKVKDDDVAICFCKYDPNNPESACGDGCLNVMTSTECIRGYCPCQDYCKNQRFQNCEYAKTKLLQTEGHGWGLLADEDIKAGQFIIEYCGEVISLEEAKHRSQSYEAQGLKDAYIISLNSNYFIDATKRGSLARFINHSCLPNCETRKWTVLGETRVGIFAKVDISSGTELSYNYNFEWYGGATVCCLCRAANCCLFLAAKSQGFQVIFFRQLLYLFEKFTVLILIRIILVD >CDP08088 pep chromosome:AUK_PRJEB4211_v1:3:30799654:30801599:1 gene:GSCOC_T00026805001 transcript:CDP08088 gene_biotype:protein_coding transcript_biotype:protein_coding METTSSTKLSYIFLCSLVLVFSMPWSTRAEIQDRFLQCLHSQNNDSISQVIYTPTNSSYNSVLQSSIENIRFISPMERRPLVIVTPLSDFHVQLVVNCAKSNGLQIRVRSGGHDYEGLSYLSYYLQPFVIVDMRNLSRISVDTESKTAWIGVGVRLGELYHAIAEKSPNLGFPAGMCPSVGSGGHISGGGEGALTRKYGLAADNVIDAKIVNAEGTILDRKSMGQDLFWAIRGGGGASFGVILAYRLQLVSVPSTVTVFRVNRTLEQNASKLVHQWQQIGYRLDRDLFIRIFITQARRCGKLTSAVYFAGLPSGSTVNDLVRSTPYPKTYYKAKSDYVLEPISEVALEGLWKRFFEEEAERAQLILSPSGGRMFEISDTEIPYPHRAGNIYQFQHLVFWTEEENANSQRYIDWIRRLYKYIAPFVSRFPRGAYLNYRDLDLGANREGNTSFAQASVWGMKYFRKNFYRLAHVKQEVDPSNFFRYEQSIPPFLSS >CDO99256 pep chromosome:AUK_PRJEB4211_v1:3:4767509:4768853:1 gene:GSCOC_T00026343001 transcript:CDO99256 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVELDEFAAPGLLKYKHCYLHDLMRELCLMKCSEENYVKVVDFQGGRQPVLERFSVIMDDKTTFNTYRLAIHTDGNFKGDAIGKITERLSPRLRSITFTDMSQDENFSVDMAWTSSEIFNRFKCLRILGFDWYTFVNKKSIEFVGKFIHLRSICFNSCKDELPLQVLNLPFLQSLNFEGSVWTNATKIPKLDVVWKAKRLRHLLFPGNSVKMVDQEKFRLCGLVQLEVITDSTQNNGSCNESLSLILNCIEVNSPNIGHVLLTIYNCNFTENESGTNSNLVRKVFNCHHLMELMIEGSLGNKLPRYEINLASSLRRLALSGSEIIEDPMEILGKLPNLRKLQLLMNSFMGKEMVCHNMRFPSLTNLSLKGLHNLEKWRIDEGAMCNLMELEIRNCKTLHMTPIGLRFISTVKELSTVDMPAEFIEKLL >CDO98925 pep chromosome:AUK_PRJEB4211_v1:3:1862656:1863492:-1 gene:GSCOC_T00025889001 transcript:CDO98925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 19 [Source:Projected from Arabidopsis thaliana (AT3G21490) UniProtKB/Swiss-Prot;Acc:A0JPW5] MHCERSVAKAISKIKGVETFMTDMPRQRVAVKGRINPEKVVQKIKKKTGKRVEILINEEGDDTHSDKEDGDSALQETSEQLQIQQPLLLDPCWDSEIYTMFSDENPNACSTM >CDP16561 pep chromosome:AUK_PRJEB4211_v1:3:25743956:25745965:1 gene:GSCOC_T00018954001 transcript:CDP16561 gene_biotype:protein_coding transcript_biotype:protein_coding MFARWRSNLITLNNILLNFRTTNCEYQLVNHDPVVAHCLCSLVSNSASQIPRDLQIMNKNITRLIRSGRIQEARVLFDGLRLRNTITWNSMMSGYVHRREIAKARKLFDEMPERDVVSWNLIISGYMGCRGSRYMQEAKYLFDQMPERDVVSWNTMISGYAKNGRIDEAMRLFMCMPKRNVVSWNAIVSGLLQNGDMKRAVEFFERMPDRDAASLSVLVSGLIQNEELDAAAHVLFKYGTRDSGSEDYLQAYNVLIAGYGQKGRVNDARKLFDQIPIYAADDNRNGVKRFEKNLVSWNSMIMCYVKTGDIISARELFDKMIERDSVSWNTMISGYVHVRNMEEASRLFSEMPRPDALSWNSMISGFSESGNLELARNFFERMPEKNRISWNAIIAGSEKNADYDGAIKLFIEMQGAGEKPDRHTLSSLLSICAESVAVDLGMQIHQLVTKIVVPDIPLNNSLITMYARCGAIFEARTIFDEMQFEKDVISWNAMIGGYASHGYALEALELFDLMKQQRVRPTYITFIAVLNACTHAGLVDQGWLHFEAMINEFGIEPRVEHFASIVDMMGRFGNVEEALNIIYAMSLEPDKSVWGALLNACKVHNNVEIAQVAAEALLRLDPEDSGPYVLLYNMFVDVERWDDANKVRELMDKSRIRKEPGYSRLDSGC >CDP10314 pep chromosome:AUK_PRJEB4211_v1:3:12650221:12655810:-1 gene:GSCOC_T00031004001 transcript:CDP10314 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVSGDYEWKPIEIRAIEALGCGFDFASDSRLKYVKRCPDSRLVVLDEKNKRDMVIPVSGGGGSGSNGAGVVVPNVSESIRCDKGEHTRFKSDVLEFNQMSELLNQKSSVQGKVPSGYLNALFDLSGAWMNDAADVKHLAFDGYFISLYYLHLTASPLVLQEKVKKAVPSHWDPAKLSRFIQTYGTHIVVGMAVGGQDVICVKQKPSSTIPPSELKGCLEDLGDILFSDGMNPLQERKTKDGKKKIPEVFNRMLQSHTMQFTSITEASSKDGLTLIWSKRGGDVFAQSHSKWLQTVAINPEATLFKLVPITSLLTGIPGSGYLSHAINLYLRYKPALDDLQYFLEFQVPRQWSPLYCELPLRHQIRKASCPKLQFSFLGPKLHVSSSQVSSSKKPVIGLRLYLEGKQCNRLAIHVQHLSSLPNIMASASADASITKPCQWRGSDDYESSLQFLEPVRWKRFSNVCSSVVKHDPGWINGESSGVFIVTGAQLISKGNWPRTVLHLRLLYTHIPNCFIRKTEWAVAPEASRKSNFLTNLSTTFTFTQRAANDAPKQLPAVLNSGVYPDGPPVPVRSTKLLKFVDTSEVARGPYDFPGHWLVTAAKLVTEGGKIGLHVKFALLDFSQEP >CDO98735 pep chromosome:AUK_PRJEB4211_v1:3:416024:418415:1 gene:GSCOC_T00025637001 transcript:CDO98735 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLNSSPDVVERMISVCKSNGFTQTRNYCLISANGHPSFWPLSSQCKPSPRLRTSAVVAAKRDPSKKKSDSHSFIPRPDEASGPFPEAVLLKERKIEEDGRLVPEFADAEEKELFEALSLELESDLDVERMRHYEVVYLIHEDRKDEVESVNIKVQEFIKENKGRIWRFSDWGMRRLAYKIQKAKNAHYILMNFELEAKLINDFKSMLDKDERVIRHLVMKRDKAETEDCPPPPEFHTLRSGMDDDDWGDTEYDDEEDGGDNFLEEDEIDVSSFRDTTEGIIYVDEEAGGRESNAHSTGKRKQKAEKVVR >CDO99271 pep chromosome:AUK_PRJEB4211_v1:3:4920863:4923323:-1 gene:GSCOC_T00026362001 transcript:CDO99271 gene_biotype:protein_coding transcript_biotype:protein_coding MATTITATMGGLAVGSQAVMAANRPLFKASMKAVGSELGFVTSQLNGIKISCDPNQFKLPSTALSVPFRPSLQPVAKRVCPLTGKKSNKANKVSHSNHKTKRLQFVNLQYKRVWWEAGKRYVKLRLSTKAMKTIEKNGLDAVAKKAGINLSKE >CDO99118 pep chromosome:AUK_PRJEB4211_v1:3:3328854:3338707:-1 gene:GSCOC_T00026149001 transcript:CDO99118 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMKESSEQHFVIKPQCQSSMNPVQKNPKTAQNGKGPPAQETQNTKPYNQSSPPSRNRGRRRGRGGRKSDQGDTLMRPSSRPCTAANKPVSAEPAGPVESTPTSSCAKNGNNKLCKVDMGFPSSSKSLSFAPRPGFGQLGTKCMVKANHFFAELPDKDLNQYDVTVTPEVASKNVNRAIMAELVKLYKESDLGMRLPAFDGRKSLYTAGELPFAWKEFTIKLIDEEDGVNGPKREREYKVVLKFVARANLHHLGQFLAGKRADGPQEALQILDIVLRELSVKRYCPVGRSFFSPVIRRPQRLGDGLEAWCGFYQSIRPTQMGLSLNIDMASAAFIEALPVIEFVAQLLGKDAISRPLSDSDRVKIKKALRGVKVEVTHRGSVRRKYRVSGLTTQPTRELVFPVDDNSTMKSVVEYFQEMYGFKIQYTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLSEKQITALLKFTCQRPRERENDILQTVQQNAYDQDPYAKEFGIKISENLASVEARVLPAPWLKYHENGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYCARPDQVEKALKHVYHACMNRLRGKELELLLAILPDNNGSLYGDLKRICETELGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPARGTVSGGMIRDLLVSFRKATGQKPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSMDKSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDLQENGCAAAGRKSSRAAGESGVRPLPALKENVKRVMFYC >CDO99421 pep chromosome:AUK_PRJEB4211_v1:3:6824967:6828955:1 gene:GSCOC_T00026590001 transcript:CDO99421 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTKKKQESFHVVHKLPPGDSPYVRAKYFQLIEKDPETAIVFFWKAINAGDRVDSALKDMAVVMKQQDRAEEAIEAIRSFRDRCSKQAQESLDNVLIDLYKKCGMLDEQIELLKQKLRMIYQGEAFNGKPTKTARSHGRKFQVTIRQETSRILGNLGWAYMQQSNYAAAEIVYCKAQQIDPDANKACNLCLCLIKQGRYAEARPVLEDVFEGKLSGSDEPKSRNRAEQLLKELELCESEGLAPPVSGPSIEDAFAKGLDQLMNQWTPFRSRRLPIFEEISPFRDQLAC >CDO98683 pep chromosome:AUK_PRJEB4211_v1:3:2533:9408:-1 gene:GSCOC_T00025573001 transcript:CDO98683 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNLLVLAFLLFGAGNLAFNLPSDGILRIALKKRPLNLNNIHAATIYAGHLGNFTGHVESLERNVVYLKNYLDMQYYGEISLGTPPQHFDVVFDTGSANLWVPSSKCFFSVACYVHSKYRSRQSSTYTEIGKSCKIPYGSGSIYGFFSRDNAGLGGITIKEQVFTEATWEGLFTFLVAQFDGILGLGFEDIAIGRVTPIWYNMMLQGVVTQKLFSFSLNTDPKSKTGGEIIFGGIDWTHFRGHHTYVPITQRGYWQIEVGDVLIGSDSTGLCRDGCAAIVDSGTSFLAGPTTILTQINHAIGAEGVVSLECKSIVSSYGDLIWEKLISGLEPKKLCNHIGLCLYKSSENFSSSVDDLAADENALCPFCEMAVFWMQVELRKKKTKDHVLKYVSELCEKLPNPTGRAFVDCESVATLPSISVTIGNRSFPLSPLEYTIRIEENLTAVCLSGFVPLDVPQPQGPLWVLGNLFLGAYHTVFDFGNSRVGFAESSRFQNSFY >CDP15107 pep chromosome:AUK_PRJEB4211_v1:3:10881731:10882979:1 gene:GSCOC_T00042680001 transcript:CDP15107 gene_biotype:protein_coding transcript_biotype:protein_coding MRRILDSVRSHNIHNIKVGTPLAMDILESTFPPSSGKFRSDIPHDQVIIPLLQFLNQTKSYFFLNVFPYFTWSANPTNVSLDLALFRGDKNSSYRDPESGLIYTNLLDQMLDSVLFASKKLGLDHVALAISETGWPHAGDIDQPGANRYNAATYNRNLVRRMSAEPPIGTPARPSVFIPTFIYALFDEDQIPGRGTARHFGILQANSWPVYDLDLTGKLGEGDYPPLPLPSNNEPFKGNLWCVVASEANIMELVPQLESVCSLGNGICDALSPGNDCYEPVTIRAHASYAFSSYWVKFRSRGAACHFNGLAVLSTTDPSHGSCKFPSVYF >CDO98685 pep chromosome:AUK_PRJEB4211_v1:3:25590:27232:-1 gene:GSCOC_T00025575001 transcript:CDO98685 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKRLKMLEGLSRDLSMFSSGGMKFGFADNTVFDEVKANTIAEAAEVLQGQIKQLIAKDTELKMTRKGEKMRSQMQTMPEYGLSSSSMSSTSSDSSDNECGQVVDMKEENCLKPVIEHESVALPGLLAEEKVVTNSQTPILDVLSVIQSSETQERTSTMGACVEIGSSGSSEQGYCFGSGSFFRDYVDNVSSIDECLAAGVSPKKIEVCMGGKCKKSGAAALLGELQRLVGIEGAVSGCKCMGKCRDGPNVRILKGPSESVTNPLCIGVGLEDVDLIVSNFFGDSSERSLPATS >CDO99177 pep chromosome:AUK_PRJEB4211_v1:3:3969968:3971785:1 gene:GSCOC_T00026227001 transcript:CDO99177 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP111 [Source:Projected from Arabidopsis thaliana (AT1G08560) UniProtKB/TrEMBL;Acc:A0A178WAC8] MNDLMTKSFTSYVDLKKEAMKDLEAGPDLEMGMTHMDQNLSSFLEEAELVKKEMNSIREILVRLQEANEEGKALHKPEALKSLRTRINADILAVLKRAKSIRSQLEEMDRANAVSRRLSGCKQGTPVDRTRSAVTNGLRKKLKELMMEFQGLRQKMMTEYKETVGRRYFTVTGEYPDEEVIEKIISSGNGQGGEEFMSRAIQEHGRGMVLETVVEIQDRYDAAKEIEKSLLELHQIFLDMAVMVEAQGEQLDDIQHHVMNAAQYVSDGTKNLKTAKDYQRSSRKCMCIGIILLLVIILLVIIPIATSFSKS >CDO99021 pep chromosome:AUK_PRJEB4211_v1:3:2577053:2587504:1 gene:GSCOC_T00026024001 transcript:CDO99021 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLVAAGLDLVRLLPKELRRKAGMSSYGDLMADFEVAVLKQRENCMSGSGLGELKESNFQSHIHRRLTELEELPASRGEDLQTKCLLELYGLKLVELQNKVRSEVSAEYWLRLHCTSPENQLFDWGMMRLRRPLYGIGDAFAVDTEDPLKKKRDAERLSRLEEEERNHVETRKRKFFADLLNAVRELQLQVQASQKRRKQRNDGAWHAKQRQRATRAEKLRFQALKADDQEAYMKMVEESKNERLTMLLGKTNDLLVRLGAAVQRQKDAEHQGIEPLEGSAADLPELSASKTETPGQSRPLEDEDVLDNETNSPKKGGDLLEGQRQYNSVVHSIEEKVTEQPAMLQGGELRQYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLMENKGVTGPHLIVAPKAVLPNWINEFATWAPSVVAVLYDGRADERKAIKEEFFIEGKFNVLITHYDLVIRDKKVLNKIQWNYLIVDEGHRLKNHDCVLSRTIVTGYNIRRRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVENFEEWFNAPFADRGNVTLTDEEELLVIRRLHHVIRPFILRRKKDEVEKFLPGKIQVILKCDMSAWQRVYYQQVTDVGRVGLDNGTGKSKSLQNLSMQLRKCCNHPYLFVAEYNMWRKEEVFRASGKFELLDRLLPKLCKAGHRVLLFSQMTRLMDILEIYLQLHDFKYLRLDGSTKTEERGSLLRQFNAPDSPFFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEEIMRKGTSSLGADVPSEREINRLAARSDEEFWLFEKMDEDRRRKESYRSRLMEEHEVPDWAYAAPETNERKGKGFQYEAANITGKRRRKEVVYADTYGELEWTKAVENGDLAKHSDKGKKRRLDDPTLNNDLPNNSAGGEKRLPVVKNETVAVAAEITRTSSGSTSVPKRLKYEDANSSKIDHSDRKEGSLDGLTWKAHQKKRSSLV >CDO99395 pep chromosome:AUK_PRJEB4211_v1:3:6374324:6386671:1 gene:GSCOC_T00026554001 transcript:CDO99395 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCPSPRGGSPILVIDTEGSDGCDRGEDVTFEKQTALFSLAVSNTVIMNIFISQFYVSFSTSYKFLVHAGKSTLLNELFGTVFDVMDAEKGMAKTTEGIWMTKCRSPSGDPPLLVIDTEGSDGCDRGEDVAFEKQTALFSLAVSNTVIMIMKCDNVNLHHGGGWSLLRTVFEVMIRKSKTFTPRKVNLVFVLRDDNGVPSRKLKEQLEDGLKKIWEETFKRQALPKAALEKYFEIKVATLPHYVHQKDAFRKEVARLKDRIMRWATSCDQKIAASKTLTYHNTEIMVSGVRCEEIADEKYKSFRKNESWTKIKKQVGERTKIKKQVGVSPELELHFGENVSSICKKYLSQYDDDTQHYHQTERDKYKQQLTDNLLKEIEPIYQSLVNHMYQATLQMFKEAALEEIKKTHDLSTPQANKFVTKFQNHLEDAAIRPANLDYKKKLVAEIKSEMHKIIESFQKINDILKQQQKDRRKFWGKVVEIGADILTVAVNVVVMVLVPGSGAVGGLTPALWIIKKLMKGDQRGAQKALLPP >CDP14656 pep chromosome:AUK_PRJEB4211_v1:3:15143473:15145275:-1 gene:GSCOC_T00042055001 transcript:CDP14656 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFSRNQPLAFWKALFPMFNSVFELHGATLMARENDRFLKQVAFHLLRLVVFRNDSIRKRAVTGLQILVRSSFSYFTQTARLRVMVTITLSELISEVQVSQMKSDGTLEESGEALLEYHNSLVSVPQNLSENHWSWTEVKYLADSLLLAFDASLEHALLASIMTVDRYAAAEGFYKLALAFAPAGVPDLYIMWLLHLCDAYQEMQSWAEAATKILGLQKGRGEKVEGRRRRMLVFVRCNPLKNGSI >CDO98944 pep chromosome:AUK_PRJEB4211_v1:3:1993107:1995042:-1 gene:GSCOC_T00025919001 transcript:CDO98944 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP1 [Source:Projected from Arabidopsis thaliana (AT3G21510) UniProtKB/TrEMBL;Acc:A0A178V856] MEVGQLQKSFVDYTASLFREGFLDGQFSQLQQLQDESNPDFVVEVVSLFFEDSDKLLNDLTRALYQQNIDFKKVDAHVHQLKGSSSSIGAQRVKNACVAFRNFCEEQNVEACLRCLHQVKQEYLLVKSKLETLFTLEQQIITAGGAIPMME >CDP16557 pep chromosome:AUK_PRJEB4211_v1:3:25634211:25634528:1 gene:GSCOC_T00018948001 transcript:CDP16557 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAASTLGDVYSYGILLLEMVTRKRPTNDMFMDEVDLHNYVNRALPGQVYEIVDPLLLSKAGDENKRMTPGEDKTEGGREIECAISLLKIGSNAQRNRQMTVCT >CDP10838 pep chromosome:AUK_PRJEB4211_v1:3:27179582:27184551:1 gene:GSCOC_T00031736001 transcript:CDP10838 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEIIVSPFKKGREAESSTNNSINLEDLKKVYQQNNYINQILHTISQHMEVLNTKIDTIKKPELKFPNDISAPHFKPRSLTREKEQDLVQNINSQKINTTDNLLNKISQALQNLTTESKPSTPKINTLDKIIEENSTEEIEDSSEKSTESETEEDIILPIENQFEEAEGNQINRIKRKNYNKRNDWKMVSSKNYYPRPSPPDIQYEERSKFRTVKTLKKYIYKYQCIFHLHS >CDP16817 pep chromosome:AUK_PRJEB4211_v1:3:15976059:15976986:-1 gene:GSCOC_T00019340001 transcript:CDP16817 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYSVSAPDGGYLAKVTVGGMDFDSSCFSELLSTPEEATDSAAALMIAQLRAMAGHT >CDO98713 pep chromosome:AUK_PRJEB4211_v1:3:201891:202611:-1 gene:GSCOC_T00025611001 transcript:CDO98713 gene_biotype:protein_coding transcript_biotype:protein_coding MIRASVQCVWNLITTASKCQDSPLETPSPTLKLKPPTERSNSTTTLMIPMPLFSLTRLISHRCAPLNLV >CDP16819 pep chromosome:AUK_PRJEB4211_v1:3:15985759:15993191:-1 gene:GSCOC_T00019342001 transcript:CDP16819 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVAPIEEAVSALLDCLVDPLLPSKLYGKDPPSLDNQQSVARQMHAVGLLYNYYYRKQDPELCFLEFVPFCKLALTLKPTLMPHMKFTLQTDPVGLNDLENQLSVTEKAVMDACNISLSLDALKDIPSIEGWPISKVSVLLVDSEKENCVLLFGSVTNGVWSVIEKSVDDFNIDLENTSEGKRISQTMTGEQLTESYFEQLASIAVKEATGINHSDLMVLERHVVYSLSKEKTAAYFYIMQCTSGGDIQIPIKDTIESLQGPLFEWMSHSWMTTTVVEHYNVLPYAMILSSWYSRHEEEKCLDFNQDAHDQK >CDP03461 pep chromosome:AUK_PRJEB4211_v1:3:21177157:21180054:-1 gene:GSCOC_T00015229001 transcript:CDP03461 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKKEKQKRKAANKRDPRRLGVKGKKKKQRFANAEERIKYKLERAKIKEALLIERLMLYEVNKVQGPVVKPHELTGEERFYMKKMAQKRSNYVPIGRRGVFGGVILNTHLHWKKHETVKVICKPC >CDP11200 pep chromosome:AUK_PRJEB4211_v1:3:9080491:9081130:1 gene:GSCOC_T00033295001 transcript:CDP11200 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLICGLVSDFGLAKFLLKDSSNWTPLAGTLGYIAPEFAYTMRVTEKCDVYSFGVLALKITKGKHPGELVGHLMSSTTGDRELKDLLDQRLSHPTQEIEKILVLVLKIAEVCLHVNTPYWPTH >CDO99293 pep chromosome:AUK_PRJEB4211_v1:3:5100410:5104434:-1 gene:GSCOC_T00026390001 transcript:CDO99293 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >CDP14642 pep chromosome:AUK_PRJEB4211_v1:3:14583893:14585254:-1 gene:GSCOC_T00042022001 transcript:CDP14642 gene_biotype:protein_coding transcript_biotype:protein_coding MATCMHRMHNAYTNFSAFSGNVFSENISGKCLSSRSSTQSFKTNGVQLGKQPVIMSASLIAPSMVKKVVATGSRLACVWREIQGMNNWENLVEPLDSLLREEIIRYGEFVVACYKAFELDPNSKRYLNCKYGKRNLLNDVGLENSGYEVTKYIYATPDINVPIQNSPSCGRWVGYVAVSSDHESAKLGRRDLLITFRGTVTNPEWIANLMSSLTEARLDPHNPRPEVKVESGFLSLYTADESQSKFGLPSCREQLLSEVSRVLNKYQGEEMSITIAGHSMGSSLALLLAYDISELGLNKEVSTNDHVPVTVFSFGGPRVGNSGFKERCEELGVKVLRIVNVNDPITKLPGVFFNESLKVLAERYDLPWSCSCYAHVGVELLLDFFKMHNPSCVHDLETYINLLKRPNNLEIQRVGFNFVQKAKKMALSAPKFKGLSWRNAGFNMAGLVQSQRT >CDO98698 pep chromosome:AUK_PRJEB4211_v1:3:106680:107609:-1 gene:GSCOC_T00025594001 transcript:CDO98698 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNEKLARNEIVEGKEDKRNTKTLKREQQQEEEEEKSKCGQKPRSRRGPWRTNNSSEKKKKEGRKTCPTCRSCNSSLRERERERRAEGVLGRTRVR >CDP11158 pep chromosome:AUK_PRJEB4211_v1:3:7989357:7990380:1 gene:GSCOC_T00033222001 transcript:CDP11158 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKIGLNSSNFMESHKEMKMELHEFGISRMNLMLQIHYRFSQLNHFYRFGCAFGFPVVNPVLNTVDCNPYHHRCNTSDEIIDSIYIITTCSSCCWEVEIPGYTFHVFPEQS >CDP10304 pep chromosome:AUK_PRJEB4211_v1:3:12417114:12417705:1 gene:GSCOC_T00030985001 transcript:CDP10304 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFQAENLEHNKKLYERANAIASRKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLDQNIGALSVKLSAEEMAELESIASAGVKGDRYAPGLGTWQTSETPPLSTWKRT >CDP10038 pep chromosome:AUK_PRJEB4211_v1:3:24147959:24157163:1 gene:GSCOC_T00030604001 transcript:CDP10038 gene_biotype:protein_coding transcript_biotype:protein_coding MLELKSISKIPPKIISNFLLICLAWHLIILELGSTVSAIRKDVSLQENRHCRTTVQGRYLLSDDNGHVCDALSVDPKSRCCPIRGEQFSCHGCNLVSQCCNSYEYCVSCCLNPARTQKDLVLKLKIAKPVSAGTYSSIFYYCAGRCRHNSESVVHENAYLSDFHHCFSLPSNSSGDSDVQIEARLVGIKIIAGRQGESCDSVCKSNGQSCVPNKLALLNQCQIMQKYFSCKGSCLASIGADQPAEVVDDAPNDLNPGACLYTEKQSILSCDGSHQHTRRLCPCA >CDP16579 pep chromosome:AUK_PRJEB4211_v1:3:26267767:26273415:-1 gene:GSCOC_T00018989001 transcript:CDP16579 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQVFIATACIGLLTGSDDYFYGYGAARLPVSTSAIIIATQLAFTALFAFLLVKQKFTAYSVNAIVLLTVGSAVLGLHASSDRPKGESNKMYVLGFLMMLAAAALYGLILPMVGLMYMKAKQPITYTLVLEIQLVMCFFATAFCTVGMLINNDFQAISREAREYELGETKYYIVLVSCAIVSQCFFMGAIGVIFCASSLLSGIMIAVLLPVTEILAVIFFNEKFQAEKAVALVLSLWGFVSYFYGDISKTKLEEERKDERIIPSQATGMT >CDP08061 pep chromosome:AUK_PRJEB4211_v1:3:30232983:30234590:-1 gene:GSCOC_T00026759001 transcript:CDP08061 gene_biotype:protein_coding transcript_biotype:protein_coding MCFHLDQEISSFSLMSNSLKFSTMSTTMIFMMVKQDLLTQYTTFNRTPVFLLSSFSYSRTKYQAMDGIRGVGCAGTRYYLNRKALYCRPNHESSYTILYFFLSRFTFFTPSANHTAKSLATCTFEENTEWGNQIGYSYECLLESTFTGYLTHSKGWKPVYLYPKRPAFKKKGQLF >CDP11189 pep chromosome:AUK_PRJEB4211_v1:3:8690579:8692009:-1 gene:GSCOC_T00033277001 transcript:CDP11189 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQEKVVIVVDPGSEVKISVNTSKPNPPGQVELPRYPHYSASAMHLASLINDKLSMLASDPLNYGTVCIYRVPEKQRRKNEEAYTPRLVSIGPLHHGDAQLKAMEEYKLKYLNNFLHTFKIPLELLAEYAHSQEKNVCGCYEDTYIRDLSKLSEVILFDGIFIIELFLKNYFPEMREMGDTIFENRWVSSDIMHDLLLLENQLPMRFIATMYNGFVSRKLSKFLDNDSDDPPSFDKLAFEYLKNVGNTQKLEHLVEFLAVLHWPSDQKAKREEVKKVEFGKCATATKLRAAGVKFSHRAEKCLFDVRFEKGELVIPQLTVNDFTETFYRNLIAFEQCGYHYKDITSYVILMDNLIDTPKDVDLLIKHKIIVNELGSSEQVADVFNNLYKEIVTDPKEFYFANLCNQLNEYSRDWLHKLVTKFAVWYTMLCNDYFGSPWSIISVIAAIILLVLTVIQTVCSVLQV >CDP10856 pep chromosome:AUK_PRJEB4211_v1:3:27790452:27794357:1 gene:GSCOC_T00031780001 transcript:CDP10856 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKFWFHARDNPPKSSLQELKLKSDSLFDKRDAIIKNLVRELKKGKIPTRECHTWLKKVEEIKYEVCDLLKSKAHDSEFEISTIPSRLDAVMNEISEYLDKSPNKNDTVDDAMIGRSKGIQEYSKVGGDKYRTAEVEVGKTHNFQRTAVQLNAAAGESSPAGKKPILGNRMEQNKDKEMISPPLAAVSEPAETCRMENGHVKAENKISLLGEGMSLSAFDQRGEEIPEKLGPEGLRLEKSASSKLLSPLSSMAHPELNEYETRRDTVSLERVETQSNLAEKGEITFSEAKEMLNTNEIKDSETQEKQHGYSGPEGKIPVKQEAKEKLALDVGAGMTPNKTVVEVARISEIEEISPTGEMGDFRMERSIPGSEIEEIEEISPTGEMGDFRIGRSIPGPESPISDPEGIIHEYQSMSSKSGNKRVNPMKTKPLMNLKRRLFAKSSSRSVTVLGAPKVDSSRKDDIRLTQGDEEPRISCMVQKIIECMKDDKYRRIGIYGNGGIGKTTVLKALLSAPEIKDKFDFLIQVTVSRYWSRKKIQLEIARQIELSMEGIQSADELASKLFHALQSRKYLLLLDDVWDSINLAALGINLESHSRLVVATRSVHICKKVATDRQIEVGALSWKEAWNLFQEQVGGVINSPSIRPRAEAIVSECGGLPLMIIVIGRALAKEIDVSGWRLVLSNLLSVTGLESGDIENCDEALLQKLKVGYDKLPDYDLKCCFLYCVLFPEDHNIQIIELINYWIQEGLVTGNSADASRKGFRIVRHLTEASLVEPVDGVSIKMHDLIRDLASWIILSEEGSLDFLVGRKSKKVGNQKLMLEPRTISIAKSPQKLPRVENCLLPRAGAGLLLPPEESEWEEAEMIFLMDNDISKLPEELNCCKLKMLFLQRNRRLTTIPASFFNSMPCLQVVNLSKTNIRSLPSSLYSLSGLQALILRHCPCLSEISPRVGEVKSIEILDLTGTEIYRLPDTIGKLSSLKHLHVSFYAYVDDKKYPNKYKNLVPGGIFSNLQSLEELSIEVHPRDTQWNTDAEFVAEELGNLSNLDTLCICFPEIKFLKTFLGSSPAWKADNLTKFKFVIGHDIKRSASRVPRHTEQDYDQQRKCLRYVSTDIDHIPNEVKEVLKRATAFYLDHQSRIRSLTEFGIQIIRQLKFCTVSECSDMESIVDGGSIIDEIALPNLSHLSLHYLWNLNKIWNGEITAESFKALKVLSVHTCPRLGFVLSCSMVQYIPSLEDLTVEDCASMTEIILCEMQTEVCSAEIPKLKKLELRYLPQLLRICHQSYQHIKHLNIKYCPKFESN >CDO99241 pep chromosome:AUK_PRJEB4211_v1:3:4620370:4623018:-1 gene:GSCOC_T00026320001 transcript:CDO99241 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESWFRSLWKPSKKHGVGQEKAIIGVLAFEVASLMSKLVHLWQSLSDKQVAKLREEIMNSTGIRKLVSDDDDYIARLVWTEMMENLAHVARAVGRLSKKCSDPLLKSFEQAFSDLVDCGSDSYGWLLTSKKMDRKMRKMERFIMVNANLYQEMEALADLEQTLRRMKSNDDADSISLVEYEKRVAWKQQEVRHLKQLSVWNRTYDYTILLLARSVFTICNRIAHVFGLSNVVDVAAKQSKNLDSDHIHRSRSVVFMQSSVHPSENNIYRFASGPLNRVTRKPGPVSRTNKVSISGLLGKSLASSSPDSAKHNAISSHSAPLGRSSTKSGPLEKANKSVLKFFQFRNQSSHSQGKSPNSKPNQLITMGPLGGCMMGGNTSPVKNCHLDANLEGITDENIAQHAQNNLVPGKSSIFSSKNKLLTAPPETLGAAALGLHYANIIIVIEKLVVSPHLIGHDARDDLYNMLPASIRSALRSKLKPYSKSLNSSIYDTVLAGEWNEAMTGILEWLAPLAHNTIRWQTERSFEHQNLVSRTNVVLVQTLYFANQEKTEATIAELLVGLNYVWRFGRELNAKALMECTSGTTFDDYLEV >CDO98836 pep chromosome:AUK_PRJEB4211_v1:3:1137392:1138664:1 gene:GSCOC_T00025770001 transcript:CDO98836 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPIFPVSEPQHFSDYGFDPQIDYFQVLEEARRHKRETSSRSSSSIAIDALHFKLQKPISKDDYSSSKKKIKKNKKRWWKNALLFFSWKWTSRHSENALEYGVHGRRAIRASISGPVYVIESRSGSSTPFRTTSRPSSGPLAGTLTPADKDEVHIPYISLREFNMDHQHQQHSVPTSAMPIYLVT >CDO98956 pep chromosome:AUK_PRJEB4211_v1:3:2106806:2107338:1 gene:GSCOC_T00025942001 transcript:CDO98956 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRPNPDSIPTHPSPSEPPPPPPPSPSPQVLHQSDADEDDENVKQLQECSSLYLSLQGCLIKSNRNWKACQTEVQALKECNERRKSSKKK >CDP10339 pep chromosome:AUK_PRJEB4211_v1:3:13056175:13064107:1 gene:GSCOC_T00031040001 transcript:CDP10339 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRRYGISFELRLKLFSSRSSFIGFFLKKLSAPLFKRQKMESNLVNFTKLEPLMDNWTAIVQVIEKKKVQVSRNGKRYQKLVFVDSQGQTAQALIYAGDIMFFRKYFEPYRRYYVSNARIQNVLPRYSTYPNECSWTIDNSMLVQEINEEIKKSIMCLTLLTTIQFISTLNN >CDO98893 pep chromosome:AUK_PRJEB4211_v1:3:1627461:1632190:-1 gene:GSCOC_T00025847001 transcript:CDO98893 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3138 [Source:Projected from Arabidopsis thaliana (AT5G18570) UniProtKB/TrEMBL;Acc:A0A178UG16] MVSPTISSSSAFCFCPQSLARNRPAPKTNISINPKKKTNQNRKLNIKDAPAPSSAVQGGGEATTYTSLPPKDDFSVPLQNNPIREVKLSDLKPPKKQKGFGERLDFDDKSEDENGKFGFDYEKFELYEGGSDSDYESGDDFDDEDDEILVFGDGGDENVFEGMTEKEKGVPAVMRCFDRAKIYVKAGDGGNGVVAFRREKFVPLGGPSGGDGGRGGNVYVEVDGSMNSLLPFRQSVHFRAGRGGHGHGRKQNGAKGEDVVVKVPPGTVVKEAAGKGGVQGDVLLELLQPGQRALLLPGGRGGRGNASFKTGMNKVPKIAENGEKGPEMWLELELKLVADVGIVGAPNAGKSTFLSVISAAQPAVANYPFTTLLPNLGVVSFDYDATMVVADLPGLLEGAHRGFGLGHEFLRHTERCSVLVHMVDGSSEQPEYEFDAVRLELEMFSPELAEKPYVVAFNKMDLPEACENWISFREKLRSCEIEPFCMSAVKSEGTQEVICAAHRLAKEVREVSKEGATGSVNLNHVADMVQKQQTSPINEFEISHDSDSNAWHVTGSGLQRFVQMTNWRYIDSAKRFQHVLEACGVNKSLIRLGVKEGDTVFVGDMELVWHDAPDNAGPSSVRRWAEDSVK >CDP19614 pep chromosome:AUK_PRJEB4211_v1:3:13839278:13839877:-1 gene:GSCOC_T00007795001 transcript:CDP19614 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTMLKAPFHSIRSMRRTVFNHLFAIVYTIALLFLLYHHAFKLFSSTTLPSFFISISMFISDLLLAFLWFTAQGFRIRPVIREVFPENLEEMIDKKDFPAIDIFICTSDPYKEPPIDIVNTALSVMAYDYPTEKLSIYVSDDGGSELTLFAIMEAAKFGAHWLAFCRENKVLDRSPAEFFRLQETKNSKTEKIKVDF >CDO99352 pep chromosome:AUK_PRJEB4211_v1:3:5775700:5778847:1 gene:GSCOC_T00026488001 transcript:CDO99352 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESQKRISASLNEKLSGRSQLSNEKCIFRVHDRLRRHNPQAYEPEIVAIGPYHHGKSKLQNMEEHKLRYLQGLLHRRGETSVERYIEALLPLEGRARRCYAEEISLSKDDFVEMMCLDGCFLIETLRKSQQHNLRNDEDPIFQMDWLGLSVGRDLFLFENQLPLFVLVQLFDMTKFAESENLSDLAIPYFTTGFFPCRDGYQFCTKNSDGEAIHLLDLMHKSWCSSFAAKLPEIKDFCDDLPFEFIKSSTELELRGIKFEKAERSTSWLHITFANGVIKIPPFPVGDVTETFFRNLVAYEEYILTGYEDRRCVTDYAIFMDCLIDSSRDVERLGQRGIITNCLGDDAAVSTIINKLFNDVILRREHFCYRRLFKEVNEQSSRQLNIWKAHLMRNYFKTPWSIISFLAACFLILLATVQAIFSILQYTSGLTKQK >CDP03487 pep chromosome:AUK_PRJEB4211_v1:3:18902452:18912963:-1 gene:GSCOC_T00015295001 transcript:CDP03487 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 3 [Source:Projected from Arabidopsis thaliana (AT2G43420) UniProtKB/Swiss-Prot;Acc:A9X4U2] MAMNDDANNNVNNGEDLKTCVVLGGRGLIGRSLVTRLLKLDNWIVRVADSDSSIQLDELDDDSPLSQALASGRASYFHVDVRNKAEVIRAIEGAVVVFYADTLDSCTHDFYSSYTIIVQGARNVVNACQECKVKQLIYNSPADIVFEIGRDIKEGDESLPCVGKFGSMLTDLKAQAEAMVLLANDIDGLSTCAIRSSNVFGPGDKQLVPLLVHMAKSGWSKFIVGTGKNVCEFTYVENVAHANVCAEEALSSRMVSVSGKVFFITNLEPIKFSDFVSAVLNGLGYQRPVITLPAVVIKYLIFLVNLMRLKMDSTSVNRVLELSSCTRTLNCSSAQKYIGYSTVVSLQEGITLTVESFSHIAQDSITKYGDFAEQSKANKLLGNGKAADILLWRDEKKSFNCFLSLVLFYYWFFLCGRTFISSLSKLLLLIIVSLSLYGRLPSTVSGVTVPRVPSSYFEISEASMRNTFSAIGYMWNSGCHLVESLAQGEDWNAFIMIVALLYSFKLIASHSLILATGIALILAFNVFFIYEQYEEEIDGAVTSLLIHTRKAGGWLLMNLPSPMVSLLPGRGTSSEKPSYTFKTE >CDP10852 pep chromosome:AUK_PRJEB4211_v1:3:27500759:27511414:-1 gene:GSCOC_T00031766001 transcript:CDP10852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MGRKAGKYKKKENNDSKKKTTYHDEDNDDMMNDEIDAFHKQRDIVPLDLDEDAGESDADFEHPVLDFEDEKYDMDDNEDDNDDDIEDDSQLTGFAAKLLRQQKYLRAKTGGVEEEMDDDAEEEEEKERAVWGRAKSTFYNAENIDYEIQSSDEELPAEEEEEVLRLQKEKAKSLTEEDFGLEDVTDNEGDKEPTFEEILVHGKPAFKPYASKEGKEDNATAYEEVKKDINALTREEQMDVLNSSAPELVGLLSELDDALGQLENKVNPLLSKIRDVKNAIKGGMHYMEIKQQLLLSYCQAITFYLLLKSEGQPVRDHPVISRLVEIKNLLDKMKELDDNLPPELEDILDENESALRVEKLVQENVPANSVSCSEVHKPAAVPVETIEKPGAHEGASLVEEDSFKEYKVTKSKSRHQDDQVGKQSMEMLKVRAALEEKLKQKGIFSSITNKHYRDKKRSLPVNGQLETLEDFDDDAIELEKVNHRTDLRNGSLLHPLKLSKLVAPQANKIKVVSGDDDLPKRDDIGERRRKHELRVLAGAGIRTVDDVDDESGTLVNEGVPEVDLDGETESDLEFYKQAELEHTAKLAAKAEMHTRSTKLTPLPETLVDGKRQISYQMEKNRGLTRARKKLTKNPRKKYKLKHQKAVVRRKGQVRDIRKPTGTYGGEASGINAAISRSIRFKS >CDO99403 pep chromosome:AUK_PRJEB4211_v1:3:6468956:6472247:1 gene:GSCOC_T00026564001 transcript:CDO99403 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATAVVKGLVLSRPVTFVTGNAKKLEEVRAILDNSIPFQSLKLDLPELQGEPEDISKEKAKIAAKEVNGPVLVEDTCLCFNALKGLPGPYIKWFLQKIGHEGLNNLLMAYEDKSAYALCIFSLALGPNMEPITFLGKTAGKIVPAKGPNDFGWDPVFEPDGYNETYAEMPKEEKNKISHRSKALSLVKSHFAEAGYTFQTDTTIAE >CDO99345 pep chromosome:AUK_PRJEB4211_v1:3:5657895:5659139:1 gene:GSCOC_T00026476001 transcript:CDO99345 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDQDPSIFKIHGQLRSENEEAYEPQVVSIGPYHRGKPKLKEMEKHKLRYFNELLRRRCESFVKYIIALADLQDQARRCYAEEINLSNDDFVEMLCLDGCFVIEFLRKWRYPELRLENDPIFQMLWLCYATKTDLILFENQLPFFVLLQLFDMTKSPGEEENLIDLAIHLCLVGNLPNPGLNSHSEIFEPYNAVHLLGLVHKILSASFSETLSSTMNSNRRDSIWFIKSAGELRQSGIKFEKAADESVFRNLIAYEEYMSNPIETWKCISDYIIFIDSLIDSPSDVETLRRHDIIENALGSDEALSTMFNKLSKHVFVGAGGRFSYTKIFDDVDKYSRKRWHIWRAHLVRKYFNNPWSFIAFLAACALLLLAIVQAIFSILQYTKQK >CDO99163 pep chromosome:AUK_PRJEB4211_v1:3:3860252:3862479:1 gene:GSCOC_T00026212001 transcript:CDO99163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH92 [Source:Projected from Arabidopsis thaliana (AT5G43650) UniProtKB/Swiss-Prot;Acc:Q9FIX5] MEEYFFQHYSQGDEVLWFDGEVLPLPAVDGQYHGSSFVKYSNHPLQGFGSNGTVNHGRNGRNANKRMIELLKKRWKPPTIGAVEAERERNRKHVINERMRREKQKKSFVELHKMLPYGTKGDKNSIVRMAAERIQELQRCNEELKRRKFELELALAAAIHDDEENLEVAKIKLRVVHPSSGIDSMLDVLKCLKNTGTKTKAIQSTFSSQEFSAVLEIEAKGAAEVEKAVHETLFEAEKKFGRPVLFD >CDP10277 pep chromosome:AUK_PRJEB4211_v1:3:12011659:12012173:1 gene:GSCOC_T00030953001 transcript:CDP10277 gene_biotype:protein_coding transcript_biotype:protein_coding MCYCKLHCPRNSGLMIQLKKLSALKVFKTTFPCGFALEILHVYSRLPVIVYKFRHWGFMEGPFKGNSPTREMVEFFGMGIFEVPNSKVVKVQFFYDPGQLLEGLLKEKPSDEYKIKALSTCPFLLQQSNLDK >CDO98914 pep chromosome:AUK_PRJEB4211_v1:3:1776204:1780743:1 gene:GSCOC_T00025875001 transcript:CDO98914 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQEFAQRSCINLPSYTSIREGPDHAPRFKAKVNCNGEIFESPKFCCTLRQAEHAAAEVALRMLSQRGPSRAVAAKVLDETGVYKNLLQETAHRAGMKLPVYTTVRTGPGYAPVFTCTVELAGMSFSGEPAKTKKQAQKSAAMAAWFSLKEMSHICSSSYHLSDLGRKDEQEQVIVARYLSSLRLPEMSISGQGEKGKLRKEIFRVKGNVIPCGVGSPSFRMKHQNSTYSYLPPEWFLYETCHRGVPQQSHFLALPSTSTSIPRPRFFPFIQSMMLRPDIGSIFWAREQEPIAVVPEPRPLFYLSNNLLPVPDRNDSRVTIEEIEENSPMQEGWLNKDVNPSCWRDNFLSSASGIPTLDVSNDPQSQHSSLEEELLQKETGERQVQSTNGTSKSIWPIKPNKEFSWLPPGFMCNRQSVTEAGEAWLQPQNIKSPGFLWSDVQHLHSKVASCPKDTRHSAGLTTVSGVTGRNGGAFSSASFRPVVSNRSLPVSTPRNAVFTGSTRPRVECKMKHSHPKPCASQMAPAVQIRTVMPVRSSPTRRMPSPSQEGTSSEGEIKSAEVTGKDISTASSEFFLRKLQDSCTLHIVIA >CDO99337 pep chromosome:AUK_PRJEB4211_v1:3:5496007:5497136:1 gene:GSCOC_T00026456001 transcript:CDO99337 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQLAWGVMEEGWRKGPWTAEEDRLLIEYVKLHGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEEKVILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKVSSDNSEKSKARLLRRQQFQQQQLLLQQQQQQQQTQVFDMRRLMSLLEENENRVPTLPQMKQDASAAATTIFPNTIDDHHQVFLYSVFNNSAALPEATNHDQDINWDGLWNMDEFSGNIYYSNSANRATLRTSAATPYY >CDP11172 pep chromosome:AUK_PRJEB4211_v1:3:8347342:8355173:1 gene:GSCOC_T00033250001 transcript:CDP11172 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNSSTNGNPNVAAAAAAGAAATGAVAKTIWMKQAEEAKLKSEAEKTAAAKAAFEATFKNSSNNTAAAAGSEDDDDDEDEEERERRRLALKPIGPVDPGKCTAAGAGIAGGTACVGSTFVVVTKDADGRKLPHGGAQVKVRVSPGVGVGGTEQEGIVKDMGDGTYTVTYVVPKRGNYMVNVECNGKAIMGSPFPVFFSSGPTTGGLLGVAPSSTYPNMVNQTMPNMPNYSGSVSGAFPGLLGMIPGIVQGASGGVVLPGIGASLGEVCREYLNGRCAKTDCKFSHPPHNLLMTALAATTSMGTVSQVPMAPSAAAMAAAQAIVAAQALQAHAAQAAQAQAQSAKDSSGSADKEGKNDTLKRTVQVSNLSPLLTVDQLKQLFGLYGTVVECNVTDSKYFAYIEYSKPEEATAALGLNNMDVAGRPLNVEMAKSLPPKSAILGSSSLPLMMQQAVAMQQMQFQQALLMQQTLTQQQAANRAASMKTATELAAARAAEISKSLNPNGIVAEDKEADRKSRSPSTTRARSRSKSRSPVSYRLRRKSRSITPPRRYSRGYRSRSPVRSRHYSSYEHERRSYRDSRDDSGRSRRRDSGKSHDRHSPVSRRRRSRSGSPRTRRSYRDDSDSPKRHRDSPSHRRRKSSCANSRSPDHHRGSKSSPRNDESRAKHRKQSRSRSAEVNHNSGDRMDESREEKSKHKERRRSRSSSTGGKTREEKSKHKERRRSRSLSTEDKIRKRSRLSPRVSGETKSKHKRRSRSRSPEKRHHVGSKVDKNSEEKSKHHERRRSRSRSTEGKRHKSCGMSPRRTDERKTKHRKRSRSRSTEHKYHSSDNGLQESKHENVKHLKERPTESDDSSMEPGPKDLNDSENDDGHMEINKRDERDYERTEATVTELKPYQRNVN >CDP03432 pep chromosome:AUK_PRJEB4211_v1:3:21909566:21911628:-1 gene:GSCOC_T00015187001 transcript:CDP03432 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDLVEMKFRLADGSDIGPSKFSPSTIVLSLKEKMTSLWPKVLGSPQHCSAGGFNEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRLKICDFGYSKSSLLHSQPKSAVGTPAYIAPEVLSRKEYDGKVCIIKILEEFTKILSL >CDP15457 pep chromosome:AUK_PRJEB4211_v1:3:17125164:17131763:-1 gene:GSCOC_T00043195001 transcript:CDP15457 gene_biotype:protein_coding transcript_biotype:protein_coding MADAILSSTVQVLVEMAINFACGKIGQSSELEKDLKNLRRTMTLIQDVLHDAEKRQVNEHSVKHWLEDLERVAFDAENLLDTFNYEMIGREVENQNQRKRKLDFFSFPRSDSREFHSNMAREIQKINANFTSINEQASKLGLLQSQNVARDAPAFMESRETDSVTVDTSFVGRDGDVSAIVTQLTATSNNETISVLPIVGMGGIGKTTVARKVFNDPNIEKHFEKRMWVCVSEDFNANRLFGMILQSLQGREPEAKDREARVKKLKELLDGKKYLLVLDDVWNKEFMPWNDFLGSLKGTSQAKGSWILLTTREQQVATITRISSPENYSLNQLSDDQCWRILKENAFGVGEVPDGLQDIGLKIAHKCRGLPLAASVLGGMLRNKGTDEWQKLESRLQILGGGENRYIKEILKLSFDHLPYPSLKKCFAYCSIFPKDFEMKRNQLIQLWAAEGFLHPNPREKMCMEEVGNEYFTILLDSNLFQDAKKDAYGNVLNCKMHDLVHDMVQSISESKTLRLKEPIEADFPDKTFRYLAMERSDGQEIPPFPRNQSFRNITTLVLLENRSIDDGLITFLTLLRVLNIASSATKELPESIGKLSHLRYLDSSDTPMETLPDSLCKLYNLQTLRLRDCESLTKFPSNFKNLVNLRHFDFFPNNESSDLTPLEIGQLRSLQTLPFFNIGIETGRQIGELRNLKNLSGQLKLRNLELVKSKEEAESANLIGKPNIDKLRLQWNEIHNSRNNDSEYNQVLEGLHPHPNLKGLIIERFFGDRLSKWVGELGRLVKFKLQNCKNCKELPTLGNMPFLRFLHLDGLDSLASIGPSFYGRSGVHSGSTSQGHVNLFPALEDLSLDDMPNLREWMEATVDDGTVVVFPVLHTMRITNCPQLATFPNYFPRLEKLEIRKTQNGSALMTYICSGVSTLTRLSIWSVNGLTKVPNVLFQNNHKLAHLWLNDCGDLTQFLDFSFESLEELLVWDCHSLESISIPKGRKYLAALRELWIKSCNGLIHLSIPQISESESDSTSSPFSSSKLPKGKLCSLTRLVRLQIGPFSETTTELHSFLDLFDALPPPHPYFPSLSTLFLYGWPHWESLPEQLQHLSALTHLDLSGFGLKSLPDWFGKLSSLEELKLYKCEKLENLPSHRSMTSLTELRGLWIRDCPLLKERCNPVSSSSSSSSSDPMSEWSKISHIPLYCN >CDO98850 pep chromosome:AUK_PRJEB4211_v1:3:1250193:1250774:-1 gene:GSCOC_T00025790001 transcript:CDO98850 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSILFISLAVAIMAIPIAEAQLGGLISGLLGSINVKGIVFCTLDVPPSFRVNALVQLQCGNGDVVSTTTTNSAGVFGFVLDPLQMLLSSLITNCSVVVKTPLSTCNVNLPSVGGLQSPLKYVGDTVLGLLNIANLIPSGFRLIPGLAN >CDP19286 pep chromosome:AUK_PRJEB4211_v1:3:14058774:14059337:-1 gene:GSCOC_T00004058001 transcript:CDP19286 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSRRFPLHCSRYYSDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWDVIEFGEQIELRNGCSRCSLVHSTRIRI >CDP03422 pep chromosome:AUK_PRJEB4211_v1:3:22571288:22571621:1 gene:GSCOC_T00015159001 transcript:CDP03422 gene_biotype:protein_coding transcript_biotype:protein_coding MFQILSPLPIGFAVFLVQLASIPVTGTGIDPASSLGVAITFNRDLGWNDHIVIKAIPYKSQA >CDP08072 pep chromosome:AUK_PRJEB4211_v1:3:30420120:30426433:-1 gene:GSCOC_T00026775001 transcript:CDP08072 gene_biotype:protein_coding transcript_biotype:protein_coding METELKEILNELNSLQNSLLDPSHQSQIHQLQCRIERLSNLAKSGGMHRSKVKDMSAEVVDSNPYSRLMALQRMGIVQNYERIRQFSIAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPEQVGMTKTEAAVETLSDINPDVVFESYTLNITTVQGFETFMSSLKNKSFGPHKEGSGVDLVLSCVDNYEARMVVNQACNELNQTWMESGVSENAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVTPYLGYNALKDYFPTMEMKPNPQCSNSACLERQKEYILVKPARDAAARAKMEAEAQSATECPIHADNEWNISVVDDSEVAGPDVRSSGILPDGLMHELPSADGFSNLPVSGDGSNPLDDLDELRKQLEALNADKS >CDP10262 pep chromosome:AUK_PRJEB4211_v1:3:11780962:11784884:-1 gene:GSCOC_T00030933001 transcript:CDP10262 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLERNGPSGFTSSSTADEVTLGIDGSGFTAIVTGGSSGIGAETVRVLALRGVSVVMAVRNMTAGQEVKDAIVKEIPAAKVDVMELDLSSLASVRKFAAGFTSSGRPLNILINNAGVMGPPFTLSKDNIELHFATNHLGHFLLTNLLLDAMKKTYHETQREGRIVIVSSDGHRHTYREGVRFDRINDREGYNGFFAYFQSKVANVLHANELARRLKEDGVGITANSVHPGVVATSIFRQLGFFEGLLNYVGKYIMKNVQQGASTTCYVALHPQVKGISGEYFEDNNLSKASSKAMEVDLARRLWDFSMNLVRETVYDGL >CDO99093 pep chromosome:AUK_PRJEB4211_v1:3:3103077:3106667:-1 gene:GSCOC_T00026120001 transcript:CDO99093 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNNDEYMWDWRCDEYCLEDNADLDISNCLLSGDGQNEHFPYIFNDETTPIKACGDLAYHVNVDENSSKELNQCKEASLQAKRRRTLQFESEDLVASFCNEGISSTYLKSKETEDSVEEAISEMSKWVSGFAEGTSASGDDILDQSSEGWLADCINDADIQFSSEDINSSGASDVQIDVAELCGTPPEYEVNAIQERPVRTCRNVVFKGRKSYMRPPPKLASSVVYPFAFIKPCGVHGDVTLKDINQRLRDPPSKLKQNQEDPSVSYPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >CDP10883 pep chromosome:AUK_PRJEB4211_v1:3:28318041:28325578:1 gene:GSCOC_T00031820001 transcript:CDP10883 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLNAINFQSQKFPSFGLPPMASLRSPKFIMASTLRSGTKEVENIKKPFTPPHEDHVQVTHSMPAQKIEIFKAMENWAEENILVHLKPVEKCWQPQDFLPDPASDGFHDQVKELRERAKEIPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMKQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARLAKEHGDIKLAQICGTIAADEKRHETAYTKIVEKLYEIDPNGTVLAFADMMRKKISMPAHLMYDGRDDDLFEHFSAVAQRLGVYTAKDYADILEFLVGRWKVGDLTGLSAEGRKAQDYVCGLPARIRKLEERAQARAKQGPRIPFSWIYDREVRL >CDP03491 pep chromosome:AUK_PRJEB4211_v1:3:18579824:18581284:-1 gene:GSCOC_T00015304001 transcript:CDP03491 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGQRIAFTIFLVTFLGFFLSISQSYTFYVGGRDGWVLKPKEDYNQWAGRNRFQINDTLIFRYKKGYDDVLEVDKDDYYNCNKENPFLALKDGESVFKFNRSGPFFFISGYADNCQKGQRLIIVVLHPRGNPTNAPSPVAANPPSPSPSPSPSPSPAPIFALTSSPVKPNTPPPAAASPPSPVIVQPFAPAPSPSAASTTFGGSIGSLLGISLFLAIFAFGY >CDP11129 pep chromosome:AUK_PRJEB4211_v1:3:7601571:7608970:1 gene:GSCOC_T00033178001 transcript:CDP11129 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSLQCGDCGTLLKSVEEAQEHAELTKHTNFSESTEPVLNLVCTSCGKPCRSKTESDLHTKRTGHAEFVDKTSEATAPISLEAPKVSDDVAMGEAGDSSSSGQQEEMVVPEVDQKLLEELEAMGFPNGRATRALHYSGNASLEAAANWVVEHENDPDIDEMPLVPASAKKSEASKPSLTPEEIKKKQQELRERARKKKEEEEKIKEREREKERIRVGKELLEAKRIEEENERKRLLLLRKAEKEEERKALEKVRQKMEEDKAERRRKLGLPPEDPTTTVKRSAPVVEEKKSSLPVRPATKAEQMRECLRSLKQNHKDDDAKVKTAFNTLLTFAKNVATNPNEEKFRKIRLTNAAFQDRVGKLKGGIEFLELCGFEKLDGGEFLFLPREKVDIAVLNTAGSELNSAINNPFFGVL >CDP10298 pep chromosome:AUK_PRJEB4211_v1:3:12327806:12332902:1 gene:GSCOC_T00030978001 transcript:CDP10298 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVTVPRIKMGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMIKLIHYAISRGITHLDTANFYGPYTNEILIGKALKEGKRETMQLATKFAFIDQDGQSGIRGDPAHVRAVCEGSLKRLGLDCIDLYYQPRIDTNVPIEVTVGEFKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAIQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLVENLTDDDYRKHVPRFQAENLEHNKNLYERVNAIASRKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLDQNIGALSVKLSAEEMAELESIASAGVKGERYGPEVSTWQNSETPPLSTWKGI >CDP11148 pep chromosome:AUK_PRJEB4211_v1:3:7885292:7886635:-1 gene:GSCOC_T00033206001 transcript:CDP11148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B13 [Source:Projected from Arabidopsis thaliana (AT2G23640) UniProtKB/Swiss-Prot;Acc:O64837] MPVDDTLPPIAVGVQTPHAAVAALIMDLLFWRSMYLSILVLLVATATWVTLQLYHYYMVDVVSRMAMLLVTLIFVWGNIHRLLKNEAPDFSGMEISEARAEGMARGIRECIDEGIRWLFRVGVEREWSVFGATVFALGLLSWIASHFDLLTIVYMGVVLGMTVPAIYVKHEEKIIELWKAIYLQGLSACPCI >CDP14657 pep chromosome:AUK_PRJEB4211_v1:3:15150133:15151050:-1 gene:GSCOC_T00042056001 transcript:CDP14657 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGKLVNQIEIKSDGDVFHEIFRYRPHHISTMSPTNVQGCDLHGGDWGTVGSVTFWNFTHDGKEKVAKVVIEAIDEGKKSITFKMIEGDLMEFYKTFIATVHVEALGQSSLIAWTIEYEKRSENVPDPNTLMELALNITKDIEAHQLK >CDP16834 pep chromosome:AUK_PRJEB4211_v1:3:16554174:16557665:1 gene:GSCOC_T00019373001 transcript:CDP16834 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSSVKNKAFWLLLLFVSEFLAGIALAAEGTQKQNLGTVIGIELGTTYSCVGVYRNGNVEIIPNDQGNRITPSWVAFTDTERLIGEAAKNQAALNPESTVFDVKRFIGRRVDDPEVQRDMKLLPYKVANKDGKPYIDVKMKNSEMKLLSPEEVSAMVLQRMKKTAESYLGKEVKNAIITVPAYFNDAQRQATKDAGTIAGLNVQEKERNILVFDLGGGTFDVSILALDGGVFEVLSTNGNTHLGGEDFDQRVMDYFKGMWRAKRALSNRHQVRVEIESLFDGIDFSEPLTRARFEELNMDLFKKTMAPVKQALKDAGLKKTDIDEIVLVGGSTRIPKVQQLLKDFFDGKEPSKGINPDEAVAHGAAVQGAILGGHGDVLVIDVTPLSLGIETVGGVMTKLIARNSGIPTKKSQIFTTYQDQQTTVSIRLGKFDLSGIPPAPRGVPQIEVTFEVDANGILQVTAIDKAAKKSNSITISNEKGSLTQEEIDRMVKEAEEFADQDKELAAKIDSDDKESIETTLKEALEWLDENQNAEKVNYDEKMAELEAVFNPIIRRVYEEALLIQKMNPMMSCEL >CDO98980 pep chromosome:AUK_PRJEB4211_v1:3:2247346:2253251:-1 gene:GSCOC_T00025974001 transcript:CDO98980 gene_biotype:protein_coding transcript_biotype:protein_coding MQADQRKKASIDVDFFTEYGEGSRYKIEEVIGKGSYGVVCSAYDTHLGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSSEAIARIRNEKARRYLSSMRKKKPVPFSHKFPNADPLALRLLERMLAFDPKDRPNAEEALADPYFRNLAKVEREPSAQPVSKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYLEGTEPTNFMYPSAVDKFKKQFAYLEERYGNGGAAAPPERQQASSLPRPSVLYSDNSTQNVAEVANDLSKFCIKEVEKSNTDRISGIPMSRLPLQVPPSIQAGAARPGKVVGSVLRYNNCGAAAAAAEAIEQRRMARNSAVPNQYGVSASSYPKRHPSYKSDKEESIEGPNPLQPKPEQYMARKVAAAQVGSGSQWY >CDP19148 pep chromosome:AUK_PRJEB4211_v1:3:31625639:31630212:-1 gene:GSCOC_T00001651001 transcript:CDP19148 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADTVTVMAQRLHERLQECNGDEILKAEAGAKVQKFTEWALKCIGFHSRSQGNRDRVGQNSAVEIQLQLSAFKTFLDLAGNHLTGKDFTEAFDAACFPLTLFSTSFDPGWATGTSANAIQGLLAMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYGKIGTMQCLVEEGNAMAFLGPLMRAAERGCMPVVQWFVERGCRDMELCLALTAATSSSQVEVAAYLLPHVPKHILAALSIEILKAAAERSGRSLDGVSFLLQSDFLGEPAATYAVADSIARSNDESVAPELRAFLKEHWSEAAFLDGLKQGQEHYMNLVRIVKWGESPICLRDLPGPLRVAIAYLPLYRECRKAGGCLLSQRRRGQLVEAARRLGGVELEEASQGRQLLAVLEHHLPSFLLNT >CDO99044 pep chromosome:AUK_PRJEB4211_v1:3:2785275:2787673:-1 gene:GSCOC_T00026058001 transcript:CDO99044 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLNLQLAVGPFQLLNKYKFGHDYPSIRTGLAKYDVRVTPYNKSERWAGGTFVDQSRGDDNLAVRSLRQNREMKNKDIVLWHILGLHHVPIQEDFPIMPTINCGFELRSANFFDIIRYLK >CDP15132 pep chromosome:AUK_PRJEB4211_v1:3:10505312:10505659:-1 gene:GSCOC_T00042713001 transcript:CDP15132 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPALNSSAFKLIPKALTWPHKHHGLLREEIQENAQQGEDLGLYIHTRLSREWFHSHLSCLFPRVVQAHGPARHYNHCSKSRSQLQPQLGPFHIGFDISVAVSARREFVKYLIF >CDO98884 pep chromosome:AUK_PRJEB4211_v1:3:1560653:1567031:1 gene:GSCOC_T00025838001 transcript:CDO98884 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNQRNTIDLDQGWDFMQTGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEDYVTSMVLPSLREKHDEFMLRELVKRWSNHKIMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDRVYHELNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEAAMLKDTAAYYSRKASNWILEDSCPDYMLKSEECLKREKDRVAHYLHSSSEMKLLEKVQHELLSVYASQLLEKEHSGCHALLRDDKVEDLSRMYRLFSKIPKGLEPVANIFKQHVTAEGTALVKQAEDAASNKKVDKKDVVGMQEQVFVRKVIELHDKYLAYVNDCFMNHSLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQANFEEYLSNNPQANPGIDLTVTVLTTGFWPSYKSFDLSLPAEMVKCVEVFREFYQTKTKHRKLTWIYSLGTCNINGKFEPKTMELIVTTYQASALLLFNASDKLSYQEIMAQLNLSDDDVVRLLHSLSCAKYKILNKEPNTKTISHTDVFGFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVMECVEQLGRMFKPDVKAIKKRIEDLITRDYLERDKDNPNLFKYLA >CDO99025 pep chromosome:AUK_PRJEB4211_v1:3:2602565:2603521:-1 gene:GSCOC_T00026029001 transcript:CDO99025 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSKEVATDLSPLLKVYEDGRVERFFGSPYVPPSPEDPSTSVSSKDITISADFSARLYLPNVQDSSKKFPILVYFHCGGFCTESAFSILHHRYLNLLVSEANALAVSVEYRLAPECPLPAAYEDCWAALQWISSHVVVNSNTDKEHWLLSHGNFDEVYIGGDSSGANIVHNIAMRAGVESLDGDVKILGGFLSHPFFWDPEINAENVEETMLYRVWKLVYPAPPGGLDNPMINPLADDAPSLSQLGCSRLFVCTSERDELRERTGLYVQTLTESGWKGEVELVEIEGEDHCFHIFHLESEKAKSLVKRLAFFINNN >CDP11178 pep chromosome:AUK_PRJEB4211_v1:3:8480468:8482898:-1 gene:GSCOC_T00033262001 transcript:CDP11178 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEKQKEKRQKKEKKEKEKREGKEKKDKERSDGKHRDKKDKKEKNKERKDKHREKKKEKCKDKDKSSTSETSRVTGIPEAKGVEKLNAGDGKIDRYSAEEKNHPAQFHGHNGGRSVESNLVASRAEESELVPQLDKSIRVEQKSIGDELVEKFSGLDKKRDDEAHRFAARNPGNLAEEKGKSSDKQFDNKKMEGQGTFSGNSVVYNLSKTTEGKVEGIPKVVEKNDERRLGEKEKTKERGNDKRGDKHKDKDRDKKSHGKDKDKEKERKKKKEKDTIGHKKNDQDKAEDGRSGIIGVIDSRSSSLSSDLQKNANVEGNPKKRKDVGINGFLHDTEVRPHKLQRPTSHQVVENGRKLEACQIQSLLTSDRQPNAAILKVDEKEGRKNGIIESLPLPAKPKSSAAAADVDEITAVLRKPPHPDSKYLSKVLSVPKMDEWSEFDDQEWLFASQAPRNPKKGGSAVGEELQVWSKALHIESADAVALPYVIPY >CDP10043 pep chromosome:AUK_PRJEB4211_v1:3:23861061:23861504:-1 gene:GSCOC_T00030611001 transcript:CDP10043 gene_biotype:protein_coding transcript_biotype:protein_coding MITLVDFYHVMTAVVPFYVAMILAYGSVKWWKIFTPNQCSGINRFVALFAVPLLSSHFISTNNPYTMNLRFIAADTLQKIIVLAVLAVWTKVSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYPS >CDO99004 pep chromosome:AUK_PRJEB4211_v1:3:2438173:2442774:-1 gene:GSCOC_T00026005001 transcript:CDO99004 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGFSPAAAAAVFRRQNFLIRCLGGYRKEKNYSNNFHHHCVIRMHSAMSGGPSLEADAVSILRSITPTLDPTRHKGQAGKVAVIGGCREYTGAPYFSAISALKLGADVSHVFCTKDAATVIKSYSPELIVHPILEESYSIRNDEKGSISAKVIEEVDKWMERFDCLVIGPGLGRDPFLLDCVSNIMKRARESNVPMVIDGDGLFLVSNSPDLVRGYPLAVLTPNVNEYKRLVQKILNCEVNDEEGSKQLLALAKGIGGVTILRKGKSDFITDGEKVSAVSIYGSPRRCGGQGDILAGSVAVFLSWARQSAYRGELGTNPTILGCIAASAILRKAASLAFDQKKRSTLTSDIIECLGRSLEEICPVIS >CDP11168 pep chromosome:AUK_PRJEB4211_v1:3:8241928:8242685:1 gene:GSCOC_T00033238001 transcript:CDP11168 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDINADDDQPQQQQTSPVDQPQQHHQTPPVNQPEPEEEKKGENQEPPKPERLAPNKSNGLDMENYTWGQSLQEVTITVPVPPGTKSRFVVCDVKKDRIKVGLKNSPPILDGEFFGLVKAQDSIWSLEDNDISILLTKQDKTNWWKSLLKGGPEIDTQKVQPEPSKLSDLDMETRSAVEKMMFDQRQKQLGLPTSEEIQNQELMKKFKEQFPNMDFSGSKILGGRQK >CDP11201 pep chromosome:AUK_PRJEB4211_v1:3:9101984:9103398:-1 gene:GSCOC_T00033297001 transcript:CDP11201 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQFDISKWIGVCSKLKVASRIFPFLSLLNLEYPNLGVNQFFGGIPHQMGILSKLIYIDFSVNELSQEIRALISDNPLNKQAWNNLDSNFSSIPIPPEIGTTYGIVNVYLQYNNLIGPIPATFDNLDRLFLVLSQNYLAGSIPKSLGNLTNLMHLYLFDNQHSGSIPKNLGDLKFLVDMELGKNQLNMVPILF >CDO99405 pep chromosome:AUK_PRJEB4211_v1:3:6502370:6506174:1 gene:GSCOC_T00026568001 transcript:CDO99405 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPRLKRNVTGMFPEQIALALSTPTSMWISWITGDAQIGLNVTALDPSTVASEVWYGKESGKYTMNKTGVSLVYNQLYPYEGLWNYTSGIIHHVRIEGLEPQTKYYYKCGDSSLAAASEEFVFETLPLPSPNNYPPRIAVVGDLGLTSNSSTTIDHLTSNDPSIILMVGDLTYANQYLTTGGKGASCFSCQFPDAPIRETYQPRWDGWRRFMEPLISRVPMMVVEGNHEIEPQAAGVTFKSYLSRFSVPSNESGSNSNFYYSFDAGGAHFIMLGAYTDYNQTGIQYAWLKDDLHKIDRSVTPWVVAAWHPPWYNSYSSHYQEFECMRLQMEELLYQYGIDIVFSGHVHAYERMNRVYNHTLDPCGPVYITVGDGGNIEKVEVDHADEPGKCPSPGDNVPEFGGVCHLNFSSGPAKGQFCWDRQPEWSAYRESSFGHGILEVVNSTHALWTWHRNQEIYGLNTPGDQIYIVRQPQLCSSASKVRFSCLFSLAC >CDO98972 pep chromosome:AUK_PRJEB4211_v1:3:2199839:2203943:-1 gene:GSCOC_T00025962001 transcript:CDO98972 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNNSSERRTKQKRDPAWNYCEMYKNGEKVELKCVFCGKIFKGGGIHRVKEHLAGAKGDGGSPCMRVDPDVRRAMQENLSGVVGKRKKKQRFVDAEDELLIQNDITIEDEGFRNNYDLNTVDDLLRLTETIETNSDKRLNIEGASNEFNGEQSEGGMTRRRRRNLQENSANMEPVAIGSLKRDPAWKHCQMFNNGGKVLLKCVYCGKIFNGGGIYRLKEHLAGRTGNGSVCSEVQSDVRLLMQESLNVSGSVGKKKKKQKHFIEMPNHNAGNGAVQTLANGRDLNNEIDLLPETDALEENSDVFLNQEHEGNGSGGRIEKGQMGKASVLINPHKSHTLTADGNKAVGSKVVDNQVQMAIGHFLLYAGISFDATNSVYFRRMIEAIASQGSQVVTPSCNDLRSWILKNSVKEVKSDFGRFTGCWARSGCSILVDDWVTQNGRTLVKVLVDCPEGNLFLKSLDISEIRNPVDALYELLAKVIEEVGVRNVLQVVTRGEEPYFVCGKRLIDAYPSIFWTPCAGHCLSLMLKDFTKLDLISAILEQAKSITRFIYNHNVILNMMRRHTFGVDLVDIGVSKSATDFMTLNRLVSLKQNLQNMVSSEEWAESPYSREPEGLAVLDCFSSESFWSTCALISRLADPFLRLLRIVSSEKRPGMGYVYAGIYRAKETIKKELVNQKDYHDYWNIIHRRWEKLQHHPLFAAGFYLNPKFFYSTGGDMHHIRSHVYDCVEKLVPDPNTQDKIVKETISYQNGDGDFGRKMAIRARDTLLPAEWWSTYGGACPNLARFAIRILGQPCSLIGSKPSHIPLEQMHETKNCLEYQRLNDLVLVQYNWWLKERAQKIKEQEPVDPLLHANSRVAEDWLMKEEAYSDDQNISDWSTISPPMGNIMLLGSRADDFEALGVGFDDLEVLNGVSEEATDDKPAEFF >CDO99056 pep chromosome:AUK_PRJEB4211_v1:3:2886317:2890828:1 gene:GSCOC_T00026075001 transcript:CDO99056 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRIITQASENENGSPLTSSQMGRVGEVKRVTKETNVFIKVNLDGNGVAENATGIPFLDHMLDQLASHGLFDVHVKATGDYHIDDHHTNEDVALALGTALLQALGDRKGINRFGDFSAPLDEALIHVALDLSGRPHLSFDLQIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATECDSRRHGSVPSSKGVLSRT >CDO99440 pep chromosome:AUK_PRJEB4211_v1:3:6978302:6979124:1 gene:GSCOC_T00026614001 transcript:CDO99440 gene_biotype:protein_coding transcript_biotype:protein_coding MYLWSLQSSPNPLNSTISFLYRKPEIPKPRNAIPARDRVIDFGKYKGKMVGSLPSNYLKWVSKNLRARDFEEWAKLADEVLEDPVYKDRIEWEFAQKVLNGDVLSSKSVQLQQKSAVSGLVEISERFGWDNEDKLGWAKVDFRLLGTSKGGRIPRVSDSGPRVMRDGGLEIVKPKAGESGPVKGRRKERRERLKLRRRKNGERNGIKVDDKGNFGNSSKNKLDGDQEEVDEDRRVDIIKTKSSSVFPGREAFLKKAVNRRRF >CDP08077 pep chromosome:AUK_PRJEB4211_v1:3:30613718:30615563:1 gene:GSCOC_T00026785001 transcript:CDP08077 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDISPSKIHILAFPFPAKGHINPMLHLCKRLSAKDFRCIPDDSDEPVEEDLKGFLARLRAAASRGLEELVEKCRKTDPYPPRIVIYDSTMPWILNLAHRVGLHGASFFTQSCAVCALYYHMDQGTIQLPLDKSVTVKLPSMPALESNDLPDLQYFPDPDNVITRTLLDQFSNIDKVDFILFNTFEELEDESPNAVPIGNPLIPYLLLFFQRNVTNAEACLKWLDERETCSVVYVSFGSIAAPGEDQIEEVAEALLRSNCKFLWVVREEEESKLPKNFKSESSEQGLIINWCPQLEVLAHWAIACFVTHCGWNSTIEALSAGVPMIAAPQWVDQTTNAKFIADVWQTGLRVKLNDKGFVGREEFEYCIRDITGGEREKEIRRNAKKWKELAIQAVGEGGSSDRNLEDFATSLLCLP >CDP10313 pep chromosome:AUK_PRJEB4211_v1:3:12642527:12648682:1 gene:GSCOC_T00031002001 transcript:CDP10313 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSSSAFITSKLEFPVPYFHGLTKRLPPLTPCNNRSHDCFCNHNKFLISPITSEFRISKSSGLVLCSLMDVDSSIDDAAKAITPQVEVKTSIWNWRGYSIRYQYAGSSGPVLVLVHGFGANSDHWRKNLPVLAQSHRVFSVDLIGYGYSDKPNPCELQEHNFYTFETWGSQLNDFCIDVVKDEAFFICNSIGGVVGLQAAVTQPQLCRGIVLLNISLRMLHIKKQPWYGKPLIKSFQNLLRNTALGKFFFKSVATSEAVKSILCQCYHDTSQVTDELVDIILRPGLEPGAADVFLEFICYSGGPLPEELLPQVKCPVLVAWGDKDPWEPLELGRAYEKFDSVEDFVVLPDVGHCPQDEAPHLVNPLIESFVARHSVPKGNISLEAS >CDO98740 pep chromosome:AUK_PRJEB4211_v1:3:444337:444873:1 gene:GSCOC_T00025642001 transcript:CDO98740 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTSFMLLFPYALVVLTIVPPLISCDLISETCDQTPNDRLCVKILRKDNRSLDADVAGLALVAVEAVRDKANSTLQSIKELKRSNLTLANALMECQENYYVILRIDVPKAVGSMRENPRLAEHGMADAVIEAQGCEASLNKLEQSPLADVNAAVYDLSVVALSIIRILLHRIYTVN >CDO99348 pep chromosome:AUK_PRJEB4211_v1:3:5692395:5693645:1 gene:GSCOC_T00026479001 transcript:CDO99348 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDISDEISVRIDEKCSDLSDAWRRQSIFKFHSKLRCENEMAYEPQVVSIGPYHRGKPKLKKMEEHKVRYLNMLLLRRKESSAKKYVEAMAELQEEAKSSYADEINLSDGDFVEMLCLDGCFVIEFLRKLCQPKLYSENDPIFQMSWLISATKRDLILFENQLPFVVLQKLFDMTKLRGEEENLNDLAIRRLSSLMPGSYPGQSSHSTIPAGYKAVHLLDLMHKNFTASFSNTFRDLKHEPTKTLLQKPVIELVHIGTKFKRAIISESLLHITFKNGVVKIPPLFVDDHTESIFRNLIAYEQYMSKPFETRRYVTDYISFMDLLIDSPSDVEKLRNSDIIRHGLGNDKAVSVMFNKLSSGVSNEVPFCYDEIFVEMEKYYRCRILRAYLMQKYFHTPWSIISPLAAIVMKLKLWG >CDP08136 pep chromosome:AUK_PRJEB4211_v1:3:31454657:31455596:1 gene:GSCOC_T00026874001 transcript:CDP08136 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYNSNKVLVSGLSSLNSQFFHIILDGCQNTRVGVKISAPENSPDTNGIHVQSSSGITIANSHIGTGDDCISLGPGCSNMWVENINCGPGHGISLASSVQESGVKNVIVKTVTFSGTENGLRIKTWAKPCNGFVAGVLFQHAVMINVQNPIIIDQNYCPSGGKCPGQASGVRISDVTYQDVHGTSATEVAINFDCSKKYPCSRIILEDVNLSYKDQPAMASCINAGGSSSGLVEPKAYL >CDP11177 pep chromosome:AUK_PRJEB4211_v1:3:8473101:8476122:-1 gene:GSCOC_T00033260001 transcript:CDP11177 gene_biotype:protein_coding transcript_biotype:protein_coding MGATESSLASDSMLASTESIAEQFATENQRDVSRPIANGVNKVPPTNLDIGEPSLREQRRSTKRSVIDPLTKIATEPIVLPDVPSCPYCHAKRFH >CDP11126 pep chromosome:AUK_PRJEB4211_v1:3:7463836:7469516:-1 gene:GSCOC_T00033171001 transcript:CDP11126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 13 [Source:Projected from Arabidopsis thaliana (AT2G32770) UniProtKB/Swiss-Prot;Acc:O48840] MALLKGRALVGLAVFLLLNLVQVYGRIPTTVEGPFKPVTVDLDKSFRGNAIDLPDTDHRVQRNVVGFEPEQISVSLSSDYDSAWVSWITGEFQIGDNIKPLNPKTLKSFVYYGKSNLFLNHKVKGDSLIYNQLYPFEGLQNYTSGIIHHVQLTGLEPNTEYYYRCGDPSIPAMSDIYQFRTMPASGPKNYPNRIAVVGDLGLTYNTTSTVSHLISNQPDLVLLVGDVSYANLYLTNGTGADCYSCSFPDTPIHETYQPRWDYWGRFMQPLVSKVPLMVVEGNHEIEEQVGNRTFAAYSSRFAFPSKESGSLSTFYFSFNAGGIHFIMLGAYVAYNKSADQYKWLKRDLAKVDRKVTPWLVATWHPPWYSTYVAHYREVECMRVEMEEILYKYGVDIVFNGHVHAYERSNKVYNYTLDPCGPVYIAVGDGGNREKMAIKHADEPGNCPDPSTTPDSYMGGFCAYNFTSGPAAGKFCWDRQPDYSAYRESSFGHGILEVKNETHALWTWHRNQDMYNEAGDQIYIVRQPERCPVEPKVSSSVEF >CDP19089 pep chromosome:AUK_PRJEB4211_v1:3:31860790:31863458:1 gene:GSCOC_T00002114001 transcript:CDP19089 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHASSHLNIVQLPHNYNYAKPALKLTVPAAPPPPPSLAVKNAQQNPEHAAALFPPANQSVAAIVFGDGSPSRLYPLTKRRSEGAIPIAGNYRLIDAVVSNCINSNISKIYALTQFNSTSLNSHLSRAYSGASLGKEGFVEVIAACQTPEAMGWFKGPADAIRRSLSVLEEYPVLEFLILPGHHLYKMNYQKLVEAHRNNSADITIAVHGSRRYDNPGFGIFTVNSKNQVTGFLREPGMNPESAQHSATFTDIPTQYNIYPGMGIYVINRDVMINLVTEHFPTANDLTGEIMPGAISLGMKIHAYKFEGYWEDMTSIEAFYHANMESTKNASTAAHNFYDRDNPLYTLPRHLPPTLITDAVIVDSVVGDGCVLSRCKIKGTVVGMRTRVGEGATIEDSIIMGSDTYPGSIVQGVNANHGVSNIPIGIGEGAVIKKAIVDKNARIGKNVLIINKDNVQEGDKEANGYVIRKGIIIIIERAIISDGTIL >CDP15146 pep chromosome:AUK_PRJEB4211_v1:3:9706750:9707007:1 gene:GSCOC_T00042738001 transcript:CDP15146 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCGATTASRAVGLRSTDGRKKMGAIGGGVAMSNPTAAAITHVLNNKQEFCKGVEDLIMVSLGNGEMDCAVGNHWNSSPAEYS >CDP19157 pep chromosome:AUK_PRJEB4211_v1:3:31699664:31703448:-1 gene:GSCOC_T00001664001 transcript:CDP19157 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFVKKPKITEVDRAILSLKTQRRKLAQYQQQLENVIEAEKQAARDLLREKKKDRALLALKKKKVQEELLKQVDTWLINVEQQLADIELTSKQKAVFESLKTGNNAIKAIQSEINLDDVQKLMDDTAEAKAYQDEINAILGEKLSAEDEEEILAEFDNLETEMTVQDLPEVPTAVPPHPEDEERLDLPEVPTKAPAIAEAIEEDVKRKKVLEEPLPA >CDO98741 pep chromosome:AUK_PRJEB4211_v1:3:450017:450910:1 gene:GSCOC_T00025645001 transcript:CDO98741 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFAFSCIVLAILPSLSTSYALPPLKKSNHHIFMVREKVNDLIENTCRNTPDYQLCFSTLLSDPRSFDADTYGLGLIIVDALKDKATSAVNAINRLKGSNPEFIRPLIKCSISYNAILKADIPEAIEGLRKGVPKFAEYGMADTAVEVQGCENSFKQSNSPLTDLNKQVYDLSIVAKSIIRMLL >CDP11176 pep chromosome:AUK_PRJEB4211_v1:3:8471725:8472867:-1 gene:GSCOC_T00033259001 transcript:CDP11176 gene_biotype:protein_coding transcript_biotype:protein_coding MKLICTVTFVMSGLFILFPVLLLILIPEQSPPIQEVIQAGVVPRFVHYLITEDFLNFSFKLIIPHPAYKMKIIFFEAAWALTNIASGTSMHTKVVIDIGVVPIFAQLLSSPSDDAREQAVWAP >CDP15137 pep chromosome:AUK_PRJEB4211_v1:3:10460714:10462629:1 gene:GSCOC_T00042719001 transcript:CDP15137 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRGGPFAERTKPLEEEEAEPPCGAPALHMSTKFDKYLGKSNVLLSLGAILDPRYKMVLVNHSFLVIYGENAAPRFMAEIRDILFELHNEYIDCSSSSSQKASKMTGPTILTGKEKFHMHLSEIDKAPPEKSNLNVYLEEGRYACNANENLDVLAWWKGERLRFPILSRMAADILSVPITIVASESTFNAGGRVIDDRRASMSIETVQILLCGNDWIRNLHGLKNKSRVSN >CDP19084 pep chromosome:AUK_PRJEB4211_v1:3:31903658:31910242:-1 gene:GSCOC_T00002107001 transcript:CDP19084 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEGEGLTLEYTPTWVVALVCTVIVALSLFAERVLHYAGKYLLKKNQKPLYQALLKIKEELMLLGFISLLLTVSQSRINEICIAKHLTDDWLPCKKKDDTSSSTTSHLQTTSAFFSSLLPGPGGLHRRLLAEASSTQGYCEKRGKAPLLSLTALHHLHIFIFALAVSHVIFCALTILFASAKIRQWKLWEDAIQKKEDDPEQAERMKFTHVQEHDFIRDRFLGFGKDSAALGWVESFFKQFYGSVTESDYTTLRFGFIMTHCRGNPKFNFHKYMIRALEADFKRVVGISWYLWLFVVLFLLLNVYGWHTYFWISFIPFALLLAVGTKLEHVISQLAQEVAEKHVAVQGELVVRPSDDHFWFNRPKLVLHLIHIILFQNAFEIAIFFWTWAQYKFDSCIMGAVGFVIPRLVLGAFVQFICSYSTLPLYAIVTQMGSTFKKAIFEEHVQEGLVGWAQKARKNKGMRKVVNASSTQVGPKESPSVEMTQTQNESASRTLLEKNINKAAGEIEPVTELHETPLPSASDIRLADANDSR >CDO99439 pep chromosome:AUK_PRJEB4211_v1:3:6971265:6977525:1 gene:GSCOC_T00026613001 transcript:CDO99439 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFLSAPANLSLVSIVKNKEMTTKDNPPVTYAIAASETQNVSVSVLPCFGLTEGSCVTAKDMWGKMVEDGHFDRENFTKGPSMPSSPGETHCAAVSASTWVEPHGKCTVAFAVAWSSPKVKFMKGKSYNRRYTKYYGTSEKAAVDLVHDALTNYKLWEEEIERWQTPILKDDRLPEWYKFTLFNELYFLVSGGTVWIDSSLPTEDSWEVQNEAVPVKIKEVTVSKTQENHNQDMENNQTVVNTHVDMMYVNSQDDGVKSSKVSNERASNNSDEKDESTLFRRRFESRFTTSSSKLLENDTDDVGRFLYLEGVEYIMWNTYDVHFYASFALLELFPKIELSIQREFAKAVLCEDKRKVKFLAEGNCGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDFSFAADVWPSVRAAMEYMEQFDRDHDGLVENDGFPDQTYDTWTVHGISAYCGGLWLAALQAAAAMAVQLGDRAFAERCKSKFVKAKAVFEEKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTASSGLPDLFDKVKIQSALQKVYDFNVMKNRGGRMGAVNGMYPNGKIDETCMQSREIWTGVTYSVAANMILAGMVEQGFTTAEGIFLAGWSEEGHGYAFQTPEGWSKDGHFRSLLYMRPLSIWGIQRAMSSTKTTLEAPVMNIMDRINIPYHNSTLSSRSESGVKKIAHKAKCFKNSVFHCAC >CDO99209 pep chromosome:AUK_PRJEB4211_v1:3:4299091:4299669:1 gene:GSCOC_T00026277001 transcript:CDO99209 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENRGSDRAALTWLIRCRIAYGTASGIEYVHSLGSSSSHGNIKSSNIFLKQYYDACVSEYCITRLVSPIPTSDLIGYKAPEVVDSRKVSQKADVYSFGVLLLELLTGKQPRNALQEEEIVLPRWVKSVAKERWTIEVFDPELLRHQNFEEQMVQLLNLAISCTSQHPDRRPSMHEITVQIKNISGAPVSD >CDO98950 pep chromosome:AUK_PRJEB4211_v1:3:2059211:2061114:1 gene:GSCOC_T00025933001 transcript:CDO98950 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPQDVFYDRFRLSPPAFHHRRKNYFANGNAFGNPRPINNSGHKRPVPRLERSDLKRKTSHQSPPPQKSHQQPQLQIPRRSSSASPPPQPQKSERRIRSSSAPPLPQPPKPAVVEQQQQLNHLLLPRRSASGDELQNDLPRVTILRRGQSLDTIDATRLERDLEEETTGGGGLVRDDVYAGSAFFVSPSPSSLPLPSFFNINNGNSNNNSVNISNHITIINNEEFHDSATRDLRRLLRLE >CDO98868 pep chromosome:AUK_PRJEB4211_v1:3:1390987:1394097:-1 gene:GSCOC_T00025815001 transcript:CDO98868 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSGTMTTGLPHPNFAAATPVLRRTPLRLVCSVPAPTPRRLTNRKNYLRQKVLKTLTKPYPSSFTVESPQKNPAIPVELKQEQVLIEQFSGDSCPATVLNELEEREMQEFREAEVREPVKLVDDRIGNVSKNSIFKHGLWLVAAFLFQTICAVWVFGSAEFEDKNSALEVDVNGKGKSNVKYLRNTELRLGNGGFVYVDEDEIGKKIEEIQVMAREARARERLEREVNGFPAGDSEGEDEFGQSGIEKEVNDRLAKVRRRYKDTRGTAPVGSVDRVKENAVSEKNNLAGRQPMDDVKKDGVSEKKSLGGKECEESLMFKKKYRFRGLSSKPSDKPKGFTGLIDHGVGKEAITSEGGGGEVLMNGGIGGDVADLLVDVRKQDLWPSDFRTGMSIRLEDGEKKQVLEAPMLQGTAEKKLMKEKDRREAEEKVGFVKSKSDGLAQERNQGKPLTNAEISRKSNILEKTDLSNEHDVLNNTGNLKSRGTENYQSASKIGQNNLENVTDCWWLRLPYVLVILMQRRLDGNGVEQGLYTLSSPYAENDSSHMVAFEDRSDATNFCYLVQSFFEDLEDFTAEIVPLSIEELKERVSSHTLKVIVVKKGQLKLYAGQPLPDVEMALRSLVGQN >CDO98869 pep chromosome:AUK_PRJEB4211_v1:3:1395747:1399775:1 gene:GSCOC_T00025817001 transcript:CDO98869 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRLLGVPVSATQSAPPMLPSSASTGIRAPLTLDDSDVEYGQKDSGFSSYSSDSGVDSDGFLSGEEGIQTASERAFVAETNDEIREETRFLKQYVVSRPLVKCPPKEINDESSSDREFDDSRPVLPCTDEEIVGNDVAGEYSGSRPILADKCVEDVGASTEEHETAPGNHLPLVDIQIPLLQKQGVPIAKLSGFSDDDSLGSESVEEETFLGVARVPSSGVLERLVSAPKVRIFEVGEGDGYASVSDYGSEPEVGEDSVLVGGDNGEEKQISNHLMSADVIEDKGPEIAEEAGGAELDEHLQDFHGDNGEMNFEIGANANNPDPTTVVNSNILEINAVELTGAKATEGEAKDEFEAASMDRGKCANNDGNGSIVRSQPNEGEKSVCKIEHKIDEKSKSHCFDDPHNVDSLDNATSEEEVQMMNSVKLSDEHVAQNLEGYTNGDLDVYQRLVMKDSLCSGSPIPQAISKCTKLGPEGTREEEDDAVLVEEDEYLVGHDVTEELDFEGSNGVDTIDQLEQSTAPSLLSHGESSHSHLQAQVVRDLGDEADVHRQSEWEDMVDPFSLAALLRAATGVKSESSSVMLTSVDGKSLFPLEQPVGSDSRFLGLRPTAQLSPTLFTPGRMDNGESVENLSRGEKRKIDRLHEIRVKFLRLLHRLNRSTEDPVAVKVLYQLELAAGRPSVLAFHFDFAKQDAIRLEAEGKKDLNFSLNILVIGKSGVGKSATINSIFGEEKATTNAFEPATTSVNEITGTVNGTEVRVLDTPGFRSSLGDQSFNRRILSSIKRFTNKLPPDVVLYVDRIDTQTGDLSDLPLLKLVTGYLGSSIWYKTILILTHAGSVPPEGPSGDPLSYDIYVSGRSRFVLQLISHSVGNLHTMKPGLIPVALVENCSMCEMNKNEQTFLTNGDSWRSELLLLCYSMKILLEIDSEVKTDEVTDYQKLFGFRVPSPSLHYFMSSLLQSNSHPKLSSIEGGENFDSDVELAFSSDCDQQSENEHDQLPPFRPLTKSEIAKLNKEQRDAYAEEYDYRIKLLQKKQWNGKVRRFQDAKKKQKDMDECQDPDMDCQEAVAVPVPDMVLPLSFDGDYPAYRYRLLESSSRCITRPVLDLHGWDHDCGYDGVSIEDNLGIAGRFPAAIDVQLTKDKEVFNIRLNSCVAAKHGDKGSTMAGFDIETVGEQLAYTLKADAKLSNFGVNRTAAGVSITCIGENLIAGFKVEDEIALGKDLVLVGSTGLVKCETDAAYGANLGIRLREKDYPVGQDQSTLGLSLTKWRGDLVWGLNLQSQLSVGRNSNMTVRAGFNSNKRGQVSTRISSSDQLIIATLGLLPIANTIWKNLFTLVGRR >CDP08013 pep chromosome:AUK_PRJEB4211_v1:3:28949508:28952664:-1 gene:GSCOC_T00026673001 transcript:CDP08013 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPIPDRVKRLWDEWNLRAAVLISLFFQVVLICCATSRKRTGNMIVTATIWLFYLLADWFAAFAVGLISNGQSNNCPEKFAVNQDLAAFWAPFLLLHLGGPDNITAFSLEDNELWIRHLLGLIIQLAAVAYVFSQSLRNTFYVPTILLIFAGTIKYAERTRALYLACLEEENLSPEDISDIDIVQYGYEFFTTFRGLIVDHMFSFHERSKSRKFFFQRSALDAFRVMEVELNFIYDTLYTKMAVVHSKKGYCLRLICSILIVLSFERFASHHKPNINHFDVATTYILLSGAVLLDFVAFTKLIFSDWTIVKLKNLTVKSIVYAVRDKLSCSKRWSNTIWQCNLINFCVNQRWRWLDIAAETVGIKDVLDEMYYKEDIVTPEDLKDFIFNELKVKATKAKTTNVAKEIYSARGDWALLDYTSHYPYPTISSSVGDEVEYDESLLLWHIATELCYCMSPDDGNSNRNYCKLISDYMLYLLVMRPNLMSAVAGIGQIRFRDTCEEAKKFFSRESSSANRKRNACKNLLNVNALVRAIEVKGDRSKSILFDACRLANDLKKLNDNKMWEIMSKVWVELLSYAASHCRANAHAQQLSKGGELITFVWILMAHFGLGEQFRIEAGHARAKLIVGK >CDO98821 pep chromosome:AUK_PRJEB4211_v1:3:1038497:1041766:-1 gene:GSCOC_T00025752001 transcript:CDO98821 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDIFCPYPLELIHESDFDKIVSSDGLVSVCGFGSLLSERSARSTFPELINFRIGKLSGFRRVFAHVAPIFFERGIAKPETKEISSLSVEPCDGESLIITIFEIPKSEIPSFIEREHEFRFLVVVPETINGLFYTNPAVLCARYSDEEYFQNRCKGNHEIFFQRYGRYGIQKIWHDDILPCRVYLRHCVLAAENLGDLVYNNFVDHTFLADRKTTIRDYLATTGSGIMEEEPPGPLKFRYGG >CDO99372 pep chromosome:AUK_PRJEB4211_v1:3:6012976:6013752:1 gene:GSCOC_T00026519001 transcript:CDO99372 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQFNAGETHGRTQAKTEQWVDSCKDAANAARDRSAQAADQSAGFLQQTGEQVKSMAQGAVDGVKNTLGVGDNNTKK >CDP08056 pep chromosome:AUK_PRJEB4211_v1:3:30169059:30180553:1 gene:GSCOC_T00026753001 transcript:CDP08056 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAQPSDTVMTEAGAAPPQPPQAQQQQQHQAYAAAAGVENVPAVLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETTEHVALKKIANAFDNRIDAKRTLREIKLLRHMDHENVVAIRDIIPPPQREAFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTTAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEADLGFLNENAKRYIRQLPLYRRQSFGEKFPHVHPAAIDLVEKMLTFDPRQRITVEDALAHPYLTSLHDISDEPICMTPFSFDFEQHALTEEQMKELIYREALAFNPEYQQM >CDO99226 pep chromosome:AUK_PRJEB4211_v1:3:4471486:4475198:1 gene:GSCOC_T00026300001 transcript:CDO99226 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQQLEVLNALDVAKTQLYHFTAIVVAGMGFFTDAYDLFSISLCTKLLGRIYYFHPDSKKPGSLPPGASSAVTGVALVGTLVGQLFFGWLGDKMGRKKVYGITLVLMVVSSLASGLSFGNRPQGVIATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGSFISAVFAMQGFGILTSGIVAIIVSASFNHAYPAPTYEEDKAASTISQADYMWRIILMFGAVPAALTYYWRMKMPETARYTALVARNAQKAAKDMARVLNVELDPEVEKVEKLTERSSNSYGLFSRQFVRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDVLTGIGWIPPAATMSAGEELFKIARAQTLIALCSTVPGYWFTVALIDVIGRFAIQLMGFFFMTVFMFALAIPYNHWTKKAHRIGFVVMYSLTFFFSNFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYASQSKDPNKTDPGYPTGIGMKNSLIVLACVNALGMLFTFLVPEPKGKSLEELSGENEDENNDEDAKTASNRTAPV >CDO98783 pep chromosome:AUK_PRJEB4211_v1:3:781027:785013:-1 gene:GSCOC_T00025704001 transcript:CDO98783 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEKSPSANNSMQRVKVYRLNDDGKWDDQGTGHVTVDYLQRSEELGLFVISEEDDETLLVHRISSDDIYRKQEDTIISWRDPEHATELALSFQETTGCSYIWQVLMPISFLLF >CDO99122 pep chromosome:AUK_PRJEB4211_v1:3:3429963:3434313:-1 gene:GSCOC_T00026160001 transcript:CDO99122 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIIDANLRCKRLVNQLSSQNKRRWHSAFVTIYCSRAFKYALITTKKTSNISQLSPDRVTIDVIKDYSNFFNVDQPSLTKLVRDKSLGQLVQFGGIQGIVTSLNTEVQHGLNGDDDEDILSRIEAFGSNTYRKPPKKGFFHFNGPKEGWYDGGSIFVAVFLVISVSAISNFRQNLQFEKLSKVSSNIPVEVLRNGRRQQISIFYIVVGDVVCLKIGDQVPADGLFLEGHSLRIDESSMTGESDHLEVNQNQNPFLTSGTKVADGYGQMLVTSVGMNTTWGEMMSSVSQDSNEKTPLQSRLNKLTSAIGKVGLAVAFLVLLADDVINAVVKIIAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATTICTDKTGTLTLNRMTVTKFWLGKESVEKDSYLLNTTGSVYRSINLGTEGLEFSGSPTEKAILSWAVMEINMDMERVKQNCSILHVEAFNSQKKRKIIQGMAASSLRCIAFAHKQIREANDASGEIQQTLEDRNLILLGIVGLKDPCRPGVKKAVEDCQYSGVKIKMITGDNVFTARAIATECGILKPDLEANDELHLMVKCLKAKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLTWGRCVYSNIQKFIQFQLTVNVAALVINFVAAISAGEVPLTAVQLLNLMSQALYQIAVLLTLQFKGKSIFGVSKKVNDTLIFNTFVLCQVFNEFNARKLERKNVFEGIHRNKLFLGIIGVTIILQVVMVEFLKRFANTERLNWGQWAACAGIAAASWPIGWIVKCIPVPDRPVFSYLKWKNFKCIPPLLVFFKVALKFYKNIGRTSNERQTSTHGVIKRSTRTAVFEKNGKCSPRNFFCVSMPKIYAACKSQFYNMQKRDPSQLLNQQELLTTKLSIYRLRRDYTTSHSLSPPSKQ >CDP10347 pep chromosome:AUK_PRJEB4211_v1:3:13438303:13438506:1 gene:GSCOC_T00031052001 transcript:CDP10347 gene_biotype:protein_coding transcript_biotype:protein_coding METSALDSTNVITAFEMVIREIYNNVSRKVLNSDSYKAELSVNRISLVNDGSDGTKQTQGLYSCCSR >CDP19272 pep chromosome:AUK_PRJEB4211_v1:3:15648941:15660781:-1 gene:GSCOC_T00000045001 transcript:CDP19272 gene_biotype:protein_coding transcript_biotype:protein_coding MADFIKEFFTKKTVVAIGLGQLLSLLITSTGFSSSELARKGINAPTSQSFLNYVLLGVIYGGVMIYRRKPLKAKWYYYVLLAVVDVEANFLVVKSYQYTSITSVMLLDCWSIPCVILLTWLFLKTKYKYRKFVGVAICVAGLVLVIFSDVHSADRAHGSNPIKGDILIIAGATLYAVSNVSEEFLVKSADRVELMAFLGFFGAIVSACQICILERDELKSIHWSAGAALPFFGFSVAMFFFYSLVPVLLKISGSTMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGVAVGLVVYSGSDKEEDGIRTETGDEQQSKHFDEEAGSGSSSRTVYNSRPGVTSTSASEKDKIPRGKDMARETQGNKFWHLGVYKG >CDP14673 pep chromosome:AUK_PRJEB4211_v1:3:15522717:15524461:1 gene:GSCOC_T00042080001 transcript:CDP14673 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDLMIHYKLPTFSNMCSASLKAEDILNSWPDAEVGKVLREYGEESNWYHIQNKIVKARLHGGLHSTAELVDLIRSSASRTRGGRQGWIKTAIRVFQALRIAVNDELNTLQDSIHACFDSLASGGRLAVISFHSLEDRIVKQTFLDIINCNGGKDEVQDGWSCDPAKVIVDRGEKEAWIRRMISGRIGSILTKRPITPSEKEETLNPRSRSAKIRVIQKG >CDP10266 pep chromosome:AUK_PRJEB4211_v1:3:11822218:11822659:-1 gene:GSCOC_T00030939001 transcript:CDP10266 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASTCSSDRVFLDLELLLNRFRDRDVLYDARGSIKHMKFLKTFLMCARKWSQSNDLYLESDNVVKKMMNCWNS >CDO98954 pep chromosome:AUK_PRJEB4211_v1:3:2083402:2090686:-1 gene:GSCOC_T00025940001 transcript:CDO98954 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAKAMLIKSITDDVYTRKLLRGKYADQFDLLRATPALSVHVSRWSHGGGGGRGIKATRLSNSKDRRREPPLIRSQAILTPVSDHAPTTNKRVFTFGKGRSEGNKGMKSLLGGKGANLAEMASIGLSVPPGLTISTGACEEYQHSGKKLPQGLWEEILEGLGIVEKDMGAFLGNPSKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAAKSGERFAYDSYRRFLDMFGNVVMDIPHSLFEEKLQKLKDEKGIKLDTDLSASDLKELVEQYKSVYLEAKGEKFPTDPKMQLELAVKAVFNSWDSPRAIKYRSINQITGLKGTAVNIQSMVFGNMGNTSGTGVLFTRNPGTGEKKLYGEFLINAQGEDVVAGIRTPEDLDTMKNCMPEAYKELVENCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAIKIAVDMVNERLVDTSTAIKMVEPQHLDQLLHPQFEDPNAYKDKVIAKGLPASPGAAVGQIVFSAEDAETWHTQGKCVILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCGDIRVNDAERALVVGGQVINEGEWISLNGSTGEVILGKQPLAPPAMTGDLEIFMSWADKIRRIKVMANADTPDDALTARNNGAEGIGLCRTEHMFFASDERIKAVRKMIMAISLEQRKEALDSLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNLEEIVGQLTTDTGMSEDEVYSRIEKLSEVNPMLGFRGCRLGISYPELTEMQVRAIFEAAASLRNQGFTVLPEIMVPLVGTPEASLDELGHQVSLVRNVAEKVFSVMGASLSYKVGTMIEIPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAKGILQADPFEVLDQKGVGQLIKIATERGRAARPSLKVGICGEHGGEPSSVAFFAEAGLDYVSCSPFRVPIARLAAAQVAAVE >CDP11153 pep chromosome:AUK_PRJEB4211_v1:3:7927302:7931111:-1 gene:GSCOC_T00033215001 transcript:CDP11153 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFLCLKVKTEATPKTEDVAANNSNVGTQTLATENATLDSSNVVVEKSIVEKGAPDNPNVETGVPASEEVVPNNPNTRRRHSRRVTDESSQNFEEANKKEQNSEDQTADVQNCVSKSFDTEGSTDDITSTLTKMLYEKVSRENNAGKTEEKEVKGKSQSASVKW >CDO99139 pep chromosome:AUK_PRJEB4211_v1:3:3597133:3601915:-1 gene:GSCOC_T00026184001 transcript:CDO99139 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVPVGTILAVLSNQIIKTAVAAKEVLEKESFKILSKHLFSIQVVLEELQSKKLDDSPATRKALESIESDVKKANNLVEKYKNKGRFYLLIKCRNIVKEVQDITRHIGKSLAALSVANIEVLSGMSEQVNRLQNEMQRAELEASHSQKRVVDKLNQALKDQIQDQEFANDMLKEIARAVGVPVEPAEISKELESFKREKEEAANRKEMAEVFFLEQVIKLLSQADAARNYEEVRNQYFQRLRVIECHDPKEESIQPFKPFICCITGHVMLDPVSLCTGTACERTALEAWFNSGEKTDPETGEILEDCSYRSNLRLRQSIQEWRELNYCVKIRSCKEKLLAEADSSIKEALSCMQELIKESSINKDWISIGGLTEILVSIISPLHDDDANIHQINALNDIVEGNERNKEIFIENQGLYNVIPYLALDSSVAKAAINLLHEVLHDSSGWNTAYCNDLSQQKNAITFLVELLKSPTREVAEKAEAILMKLCDEEENILKAAEVHWYKPLVDRVNEGPASSRMSIVRALLSLELDEDHIKLVGAGVISPLLEMLAESMEVKELSLSALVKLSGIHEIKKLIADAGGVRLILDLMFSSHLRTVIIAKCSEILKNLSSDGDGTKFLVNENGMQLQLEPVITNVLAYQQNLMISDIVRRPALHALLQICQSDAGLVKTAVSASGVSVILPLLDDSNQEIRETAINLLFLFSQHEPQGVVEYLLKPRRLEALVGFLENEDKSDVQMAAAGLLANLPKSEIRLTEKLIDIGGLKAIISILRSESIEAKENALSALFRFTDPTNIQFQHSVVDLGAYPLLVSFLRDASVTTKARAAALLGDLSMRSSELSVMPKIAGCWSILWTRGKICPVHGVVCSVTTTFCLLEANALPELVTLLQGNVHATAYEAIQTLSTLVREESPHRGANVLHENNAIRPLIEVLSWGQESLKAEALGLLEKIFMSKDMVDLYGSTAKVPLFGLTGRSMHEEGHLQRKAARVLLLIDRHSRSSTSLVAGISD >CDO98799 pep chromosome:AUK_PRJEB4211_v1:3:878310:883783:1 gene:GSCOC_T00025723001 transcript:CDO98799 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPTERTEVRRNRYKVAVDAEEGRRRREDQMVEIRKSKREESLLKKRREGLQMPFPAVVPSNNIEKKLESLPSMVNGVYSHDNNLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSEDVREQAVWALGNVAGDSPRCRDLVLGHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALQRLVHSSDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLVHPSPSVLIPALRTVGNIVTGDDYQTQCIIDHGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIEAQLIGPLVNLLQTAEFDIKKEAAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLVCPDPRIIAVCLEGLENILKVGEVEKSLGNSGDVNFYAQLVDEAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEEDETLPAGDGGQPGFNFGGNDMQLPPGGFKFG >CDO99078 pep chromosome:AUK_PRJEB4211_v1:3:2998918:2999425:1 gene:GSCOC_T00026098001 transcript:CDO99078 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFPHVDSRLLYKTKAQKTKETEKKNPKTPKLENNKALLPNPFAPSLFRLSGVIRFREIFFFASH >CDO99261 pep chromosome:AUK_PRJEB4211_v1:3:4814149:4818844:1 gene:GSCOC_T00026349001 transcript:CDO99261 gene_biotype:protein_coding transcript_biotype:protein_coding MENDQCHPSLRGGRRETKYSHGFSSSELEALTGICEAFIPPLPLNNSPDIVGKDGKTKEAIQSFYKSSGAQYPIPDEVAELAVKRGFLEAVILVRLLLIILSTRLGTLLLCGTLCFGEKWPYINKFSDIPVENREKVIQKWFKHRFLTPIRLPFVFIKFLCLYAFFTQVWDDLKNPAWEAMDYQVDNRKDSSTTPHEKPLMKGMVETTHETESTIVSSLVQKGLRVKEVPKEKLYKIKCDVVIVGSGCGGGVAAAVLAKSGQKVVVIEKGNYFTKTDYSSLEGPSMNELYESGGILATLDGKMMLLAGSTVGGGSAVNWSACIKTPMSVLQEWANDCQIPLFTSSQYISAMDTVSQRIGVTEKCSEEGFQNQILRRGCEKLGLEVESVPRNSSENHYCGSCNYGCRTGDKKGTDSTWLVDAVACGAVIITGCKTEKFVLEKNKYGRSRKSKCLGVIAKCLNGDIRNRLCIEAKVTISACGSLLTPPLMISSGLTNQHIGRNLHLHPVLMAWGYFPESDSELKGKIYEGGIITSVHKVGSDDSSVRALIESPALGPASFAALRPWESGLESKKRMLKYARTAHLFSMIRDRGSGEVRSEGRISHTFDATDKENLTAGLRQALRILVAAGAVEVGTLQSDGQKFKCKGTSEGELEEFLDEVTAAGGPKSLVQNWTTYFSAHQMGSCRMGKSEKVGAVDENGESWEAEGLFVCDASVLPGAVGVNPMITIQSTAYCLSNKIAEILKERKFSD >CDP08006 pep chromosome:AUK_PRJEB4211_v1:3:28878040:28897504:1 gene:GSCOC_T00026665001 transcript:CDP08006 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MESPGNHLRDTSVVVVTLDSSEVYIVVSLSSRTDTQVIYVDPTTGALCYNAKPGFDVFRSQNEALDYITNGTKWLCKSITYARALLGYAALGSFGLLLVATKLTATIPCLPGGGCVYTVTESQWIKISLQNPQPQGKGELKNVQELTDLDIDGKYYFCETRDLTRPFPSRMDLQNPDEEFVWNKWFSSPFKNIGLAQHCVTLLQGFADCRSFGSLGQPEGIVALLARRSRLHPGTRYLARGINSCYSTGNEVECEQLVWVPRKTGQSIPFNTYIWRRGTIPLWWGAELKITAAEAEIYVSDRDPYKESPQYFERLTKRYDTRNLDVAVGGNQKKSAVVPIVCVNLLRYGEGKSESILVQHFEECLNYIRSTEKLPYTRVHLINYDWHASVKLKGEQQTIEGLWYLLKAPTVAIGISEGDYLPSRERIHNCKGEIICNDDFDGAFCLRSHQNGVIRFNCADSLDRTNAASYFGSLQVFVEQCRRLGISLDSDIAYAYQSNNSYGGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMTFEEFKRSTILSPVSQLADLFLIAGDIHATLYTGSKAMHSQILSIFNEDAGKFKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEIFLGLRLFKHLPSVVLRPLHVPSRPSGCFLKPVASMIPSSDGGAGLLTFKTKDLIWVCPLGADVVELFIYLGEPCHVSQLLLTVSHGADDSTFPSTVDVRTGRYLDGLKLVVEGASIPQCPSGTNILIPLPGPVNAEDMAITGAGARLHTQDTSCLSLLYDFEELEGELDFLTRVVALTFYPAMGGRSPMTLGEIEILGVSLPWRFIFTREGPGARFCKHANANQKEVNPLLSGTDMNPFADALSDNKVMAHVQSDKSASSWIDLLTGEERHSDSFSEPVIESAMPEGSELLDFLDDATIHNHNGVNNDAKAVSYNEPSDSSTQQYIKYFKLLSGPQMERQLDFMEAMKLEIERLRLNLSAAQRDRALLAVGVDPASINPNLLLEDSYTGRLCRVVHTLALLGHTSLEDRITAAIGLEMMDNGVVDFWNITGIGESCLGGICQVRAESESSAQASTTSTSSKGSQSFFLCSECERKVCKVCCAGKGALLLSSYNSRDASNPGSASTQGGSSHGSISDSSSNRSVSLDGIICKLCCHDIVLDALIVDYVRVLISQRRGSRADDAAHKALDHIVGFSAVDCVPQSSQHTTKVLRQLTNGEESLSEFPYASFLHPVETAVGSAPCLSLLAPLDAGSPHSYWRAPPSASSVDFVIVLSNLSDVSAVILLVSPCGYSMSDAPIVQIWASNKINKEERSCVGKWDVHSLIKSSSELCGPEKSQEEKVPRHVKFVFRNPVRCRIIWITLRLQRMGSSSVSLEKDFNLLSFDENPFSEPNRRASFGGLAESDPCLHAKRVLVVGIPTKKETEVSSGGLDQTSVRNWLDKPPALNRFKVPVEAERLTDNDLVLEQYLSPASPTLAGFRLDGFSAIKPRVTHSPSSDVNMLDMSSYILDDRLISAAVLYIQVSALQEPHNMVTIAEFRLPEVKAWTPMYFDFPRQINTRRISFRLLGDIAAYADDPAEQDDSEYRARQLASGLSLSGRVKLYYYGDPYELGKWASLSAV >CDO98719 pep chromosome:AUK_PRJEB4211_v1:3:231957:241612:1 gene:GSCOC_T00025617001 transcript:CDO98719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive DNA (cytosine-5)-methyltransferase DRM3 [Source:Projected from Arabidopsis thaliana (AT3G17310) UniProtKB/Swiss-Prot;Acc:Q8H1E8] MTDLTDGENSSKQQTDVQTMPKVEPLSYDLPLPSENVHSRVMVNNVASSSSSNTRSFIMEMGFEPYLVDRAIREHGEDNVDLILQTLFACSAPQKPKSEHCNSVDALFHNNNKLPADCGASETVDISDSSDSLDSLFGDDQETCSHFDRNRDVFLKEEPDVDSVTSEKKASLVAMSFSKDEVEFAMNELGVDASISDLVDFIFAAQIADNYAKGVNKPTPSDVGKDQQFSTEALFGTMEKTLVLFEMGFSEQQISTAIEKHGSEVPVSELAASIVAEEDTGTKTDKHLLAGSSLSNSTAGKYHSFHPSFVKAEEYSLDDVSCRNFDVLEKLKGKRPKEKCDDELCGLKIPKQEYNEDFSYFVAPVRSEATQRDSISYGGCHMQIRKKKIHRGAEQMGIYGPEKLPMPKSCRAYDDMLAKPPYFFFGNVISLTHESWVKISQFLYAVRPEFVSTDTFSALSREEGYVHNLPTQNRFHILPKPPMTIQEAIPRTAKWWPSWDTRKQLSALTAEATEMFQACERLGRMVGNSRGLLSVEQQSNLLHQCKMFNLLWVGPHKLAPIEPEDAERIFGYPVHHTRSAGFILADRLSSLKNCFQIDTLAYHLSVLKSLFPGGLTVLSIYSGIGGAELALYKLGIQLKVVVSIEPCEIRRRILKHWWEKRGITGELVQMESLGSRLAFNKLDGLIHKYGGFDIVIGQNPSTSSSRSSCSKKDKNSPGLDFQLFYEFVRALQLVRSTMEKNSCHCVGIKLGKI >CDP10336 pep chromosome:AUK_PRJEB4211_v1:3:13006569:13014723:1 gene:GSCOC_T00031036001 transcript:CDP10336 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDEEGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNPHSKATIGVEFQTQSLEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRTTFESIPRWLDELKTHSDTTVARMLVGNKCDLENIRDVSVEEGKSLAESEGLFFMETSALDSTNTSALDSTNVITAFEMVIREIYNNVSRKVLNSDSYKAELSVNRISLVNDGSDGTKQTQGLYSCCSR >CDO99060 pep chromosome:AUK_PRJEB4211_v1:3:2910453:2914778:1 gene:GSCOC_T00026079001 transcript:CDO99060 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLAVSLGLADKMPDIIEELISRGQQVDAVHFIFEVDLVDEFPPVPLLKAFLKDAKKLATSILGDPNNTGRAAHMAAKKEQSAIRAVIKCIEEYKLEAEFPPENLKKRLEQLEKTKTEKRKPVVVPANKRTRASNGEHVPPAKAGRLTNAYVSSFPAAPTFVRSPSHSPYHAGVPAYPSPPAIYGHGSRSPSPYVYSPEAYPGAPVTYPAYGGYGSPMAPAYQQPYY >CDP16583 pep chromosome:AUK_PRJEB4211_v1:3:26284811:26286056:-1 gene:GSCOC_T00018993001 transcript:CDP16583 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRTLASFKEESATGFIISAFSSFHSSSSPPSFSPQPPPPPLSECYFSFPSYPPSTITSSCSTSTSTSTLCSSSSSSSSYFGILISDKTMISVSSGKAKSNRSLYIVAGVFEKFTERAIKTVMFSQREAKALEVEMVYTQHLLLGLIAEDRASGGFLGSGITIKMARQAENGKGNGSGLGPEGAEVSATDVPFSLSTKRVFEAAVEYSRTMGYNYIAPKQIAVGLFTVDDGSAGRVLKR >CDO98936 pep chromosome:AUK_PRJEB4211_v1:3:1935802:1936309:-1 gene:GSCOC_T00025907001 transcript:CDO98936 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKAGSILVRLVSAAGTGFFYVVRKSRRFQNTQAKLEFRKYDPRVKRHVLFTEAKMK >CDP10862 pep chromosome:AUK_PRJEB4211_v1:3:27858734:27864165:-1 gene:GSCOC_T00031788001 transcript:CDP10862 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPKTLWGFRSSTLANIFLLLLVAMKFPVNHVSAAKQFQNETDRLALLEFKRQIYDDPFGVLNSWNHSQHHCQWQGVTCSTLHQRVTALTLRDKHLSGTISPHVGNLSFMRFIHLAENQFQGEIPKEFGRLFRLRVLNLSSNALGGKIPANLSYCSEMIVISLESNRLEGKIPIDQLSNLKKLELFYLMSNNLTGEIPSSIGNLSSLIRLGLSFNNLEGNLLMEMGLLKRLAILAVSGNKLSGIIPASIFNISAIGVISVSANSFHGYLPNNIGLTLPNLEKLYVGVNKFYGKFPTSVTNASGLEILDLSTNKFEGQVPANLGDLTNLHRLNLEDNLFGSNSTRDLDFLKNLVDFVVSRNQFSGDIPISFADCKNLENLYMQANFFQGTIPPNLASLKSIQQLDLSSNNLTGPIPKELEKLQYLRYLNLSYNDIEGEIPNTGIFSNASQISLIGNHKLCGGIPELEFPPCQVIKGKNRGKFKVIILLSILVAVKVLDLRKNGASKSFKAECTTLRNIRHRNLVSIVSYCSSIDSRGDEFKALYGMGVAASILGDVYSYGILLLEMITRKRPTDDMFMDELDLHNYVNRALAGQVYEIVDHLLLSKAGDGNKRMTPGGDKTNGGRDMDCVISLLKIGLKCSEKLPNDRMHMNEVVGKLHHIKDVFLGVRAYQKNLEA >CDO99153 pep chromosome:AUK_PRJEB4211_v1:3:3791795:3795619:1 gene:GSCOC_T00026200001 transcript:CDO99153 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPLLSCPSTILSRERAIILNLEHIKAIITAEEVLIQDPLDDNVLPVVEKLRRRLNPVDANHRHQGDDQCSAAQHDVEVDGEDSNCPFEFKVLEVALEAICSYLAASATEFEAALYPSLDLVTCKINSRHLDHIRKLKRNNKVRDGLQQLLDDGDSMADLYLSRKVASSPLPTSRSIPNVAKLFLAAPSVSSRQSEASRESIVIVRGDENDVAALEMLLEDNFKQIDGTLNRLTTLREYISNTEDCLNIQLDNLRNQFIQIELVLSAAAACIAIHSLVAGIFSVSVPYTWNYGHPYTFKWVASVPGIFSAILFVIIICYARKKGLLGS >CDP15118 pep chromosome:AUK_PRJEB4211_v1:3:10650478:10654636:-1 gene:GSCOC_T00042694001 transcript:CDP15118 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLNCYFPCLLIHFLQACFALTTPPNITTDQSALLSLKPLITSDPLAKNWSVAFPVCQWTGVTCGARRQRVTSLNISNMGFTGMIPPTLGNLSFLVSLDLSGNDFHGQLPHELAHLRRLRFLNLGINDFSGEIPSWLGYSFPKLQYLNLANNSFLEGTIPKEIGKLHNLKKLICQRNQLSGSLPSEIFNISSLEIIALRANHLSGSLPSDMCRRLQKLFWLNLSENKFNGEILSTLSQCSALQSLSLSYNNFTGIIPNEIGNLTELQLLYLGSNNLDGTLFQAVLMFFHLLITTSPVQMGDCILMCPSIFCLNQSKIFPPTFHPYSSPSVIYKIIKILQLFRPCFSGTIPGEIGKLTMLNELGLSGNNLTGKIPGEIGNLTMLVSLSASYNDLIGLIPQELGNLYNLEVLQIKNNSLSGSIPAGIFNLSRLISLFFAANQHSGNLPQSMDFGLSSLTELVLSMNNLGGVIPNSISNCFQLKFLELSSNQFRGSIPCSLGNLSFLRYLGLHINNLTSEPSPPELSFITCLANCKNLKTIIGLIGSIPDAISQLQKLQGLYANSNKLSHILSDKL >CDO98945 pep chromosome:AUK_PRJEB4211_v1:3:2006093:2014012:1 gene:GSCOC_T00025920001 transcript:CDO98945 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKENVESEEVNIYPKQHVIAPEKKDEFGGLGGENHEGEGKSDLGPVEKVTALEKKNEFVDLVGEQQEHNWGPEVKSDLGQVKKINASDKKVESVDLVGEQQEQNHESEVKSYLEQVETISASEKKVQYVGLVAQQHEQNCEGEVKSELEQARIGSAKKGELEGLDDEHLNQNRERDEEVFYLRQVSSLIADDNKDELVGLLKEKLERNVSGISSLKLESLLTMVCQCRAKSCATALVAGEAGLKLDLHAKLSSGEYPLHVAAHNLSFELVNLFLQLGAQTNVVGSSGKLPLHMAVEAISRHDFLADWIPEKSIHKLIYLLCLPDLHKPLEVVKLLAQSSGEVQSVAKHCVEDGNLVQIATLLMGAREKLLEPVPGYETEGPILGLYIAKKLRSLTCEEFRLIAYGKREEIRPFRSKKDALLSAVSLLQVFESAGGAIQDYCHCNTRSSLNNKVAKDIHCLLWKFGFLRSREHINSCLSNLGKSEKAKLIQSVNLTRSLPELVRESRKAGSQLTPRVYSPPNQSFHSCTWANTAKGVKLVSPVRTQADGIINQAMKPLMRKFKTAAQMSVMGLSMRRRIRY >CDO99243 pep chromosome:AUK_PRJEB4211_v1:3:4643907:4645498:1 gene:GSCOC_T00026324001 transcript:CDO99243 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSH4 [Source:Projected from Arabidopsis thaliana (AT3G23290) UniProtKB/TrEMBL;Acc:A0A178VHD2] MTISSPSANNTSTMMMIASPTPASSSAASPTTLSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGHPNPPSPCPCPLRQAWGSLDALIGRLRAAYEENGGKPETNPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPQQQQQQALPPPSSS >CDO98712 pep chromosome:AUK_PRJEB4211_v1:3:200854:201830:-1 gene:GSCOC_T00025610001 transcript:CDO98712 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSPTRNGSRTLKPITMVTYPIVADPNKEIIKQLNMVDPDEKDSSGNHLPSRALHIVGPDKNVKLSFLYPASTGRT >CDP11132 pep chromosome:AUK_PRJEB4211_v1:3:7628365:7630561:1 gene:GSCOC_T00033183001 transcript:CDP11132 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDVRPSRLTFPFVLKSVVGLGDKWLGGMVHGGILKMGLEFDGFVRVSLVDMYAKMELLSLALQLFDESPERNKLDSVLLWNVVINGCCKSGILEKAVELFEAMPERNLGSWNSLINGLIRNGKVDKAVELFEGMVEKNVVSWTTMVHGLSLNGMHEKALEMFFNMIEEEGVRANDLTLVSVLSACAKTGALEAGIKIHKYILGNKFRLNAAVGTALIDMYAKCGQIQSASQVFRDTKEKDVRTWSVMIWGWAIHGSVEQALQCFEKMKLTGIEPDEVAFLAVLTACSHAGLVDEGLKFFDSMKLDYSIEPTMKHCAVIVDLYGRAGQLDEALRFIQCMALTPDFVIWGALFSACRAHKNIEMAKYVSEKLLQLEPKHSGSYVFMSNIYAGVGIWEEVERVRTSMKDKGAAKDTGLSHVEVHGKLHSFVAGDQAHMHTKEIYSKLEEMTNRAREHGYIPETEWVLHNIEEEEKEDALGTHSEKLALAFGLISTGPGVVLRIVKNLRTCGDCHSLMKHVSKLSQREIVVRDIKRFHHFKDGICSCQDYW >CDP10363 pep chromosome:AUK_PRJEB4211_v1:3:13813170:13814678:-1 gene:GSCOC_T00031072001 transcript:CDP10363 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLKEYMVMIPFMAHGHLIPFLDLAKKIRQRTGFAITLVSTPLNVKYLENTISKDSSQESQINLQSLPFNSVEHGLPPNTENTGALSLDRIIKLFQAAATLEGPFRRLIAETIEKEGHPPLCIVSDIFVGWATDVAKDFETVNVTFTTGGAYGTAAYVSIWQNLPHRSSGKDEFSLPGFPDSCRFHITHLHRYLQAADGTDEWSKFFQPQISKSLGSLGWLCNTVEEIEPFGLDVLRKYIKLPVWCIGPLLPPQMLEQDSSSESKSISQPSGREAGLPTEQCLEWLDSHPECSVLYISFGSQNTISPSQMMALAMGLEHSGKPFIWAIRPPFGFDPKGEFRAEWLPESFEERMAQTNQGLLVHKWAPQLEILRHKSTAAFLSHCGWNSIMESLSQGVPMIGWPLAAEQGYNSKLIMEDMGVGVELTRGLQSTVEKEHVERVINTVMEKGGKGEEMKRKAVEIRGLIRAAVREDEGHKGSSLQAIDDFISVVLSKRKVLTAS >CDO98933 pep chromosome:AUK_PRJEB4211_v1:3:1919230:1920726:1 gene:GSCOC_T00025901001 transcript:CDO98933 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAMQDCRLRMHFHDCFVRGCDASVLLDSTAKNTAEKDAVPNLSLAGFDVIDEIKNQLEKACPGKVSCADILALAARDSVSFQFNKPIWEVLTGRGDGRTSHASEAPANIPSPFSNFTTLMQSFASKNLTVHDRVVLSGSHTIGIGHCNLFSKRLYNFTGKAAADPSLNSTYAAFSRTKCKSLSDNTTTVEMDPGSSVASDNHYFSNLKHQQGLFQSDAALLTDRGASNIIDEMLTAGKFFTEFGQSMKRMGAIGVLTGNAGEIRKKCSVVN >CDP10830 pep chromosome:AUK_PRJEB4211_v1:3:26900306:26901316:-1 gene:GSCOC_T00031728001 transcript:CDP10830 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFAIVLFVIALTFPTPLFGAHTPSSSFTALNQLKYWSENVQGTMPGNLLSKLSPLNKEQAEHFTSLVSKKNLPFNANHCSLANLACSPKSFVNNLMHNIFYGYGRISPSHVQHVDPSSFLRISLLKQGSMVHLPDLENQLPQHSFLPSQIASKISIAENDLQKLFPQSLKNPQTKDAIQSTIVYCNTPALKDEIQSCVKSLEDMVEFAKTATGNNHLVALTSKNTKGSGKDLIVGQMKKLKFGKTVSCHELFLPFSTYFCHLLSKTEVYAVDVLEPKTKIPINTVTVICHMDTSDWSPNHVAFKVLKTSPGQSEACHWISQNDLIFISQEDN >CDO98888 pep chromosome:AUK_PRJEB4211_v1:3:1595896:1598608:1 gene:GSCOC_T00025842001 transcript:CDO98888 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTEEEKRALRGSKFAPLPSAPQPRHQPRQAHPGGPLKTNKAAALAKFLQRKLQEPNGLASVDPKLVELAVKNAKDAVNPSGTSSYGRTIHHVDSFGDSEESAEEEVKISVSKKRKKKRKKSDRKQQKKMKDSTDGKKKRPKKKMKQ >CDO98993 pep chromosome:AUK_PRJEB4211_v1:3:2352847:2359355:1 gene:GSCOC_T00025990001 transcript:CDO98993 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKFSWVSFFKITLFLILVAAIATACYTLPIEKMLKNFLVWVEQDLGPWGPFVLAVAYIPLTILAVPASILTLGGGYLFGLPVGFIADSLGASIGAGAAFLLGRTIGRSFVISKLKDYPQFQAVAIAIRRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVPFGEYVLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEFSKTRWAFIALGLVVSVILIFCVTRVAKRALEKALAENEDFDGIAPSPQLPIVADSPVHLNEPFIIKIDPSQDNHEK >CDP03457 pep chromosome:AUK_PRJEB4211_v1:3:21257805:21260879:1 gene:GSCOC_T00015224001 transcript:CDP03457 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGALLFSLLALAMSLHIGYLRAAVTLPPEDRPLTRHFYKKLNTCANVEAFVKHQVTLFWNQDKSITAKLFKLLYADCMVNGCDGSILLDGRYAEKNAPQNSGLGERIFEIIDKIKTVLEIRCPGAVSCADILNLAARDAAHLAGAPSYPVFLGRRDGLESNAAWVDYPSPSSSWDTVVTYANSKGLDEQDLVTLLGAHTMGRTHCQFIRDRLYNFKNTGKPDPRMKKSLLKDLRQQCPPNTPKGKEDPLVYLNPENGPGYKFTNSYYKRVESYQAVLGVDQQVLWGNHSLVDAYAKYFEQFRREFALSISRMGGLRVLTGKNGEIRRNCRFTNGNNPSIK >CDP11191 pep chromosome:AUK_PRJEB4211_v1:3:8735165:8735350:-1 gene:GSCOC_T00033279001 transcript:CDP11191 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTFSNKLISRDEGLHCDFACLLYGLLRAKLSEEILISEMEGFIVTSPREAMAEAMEDS >CDO99029 pep chromosome:AUK_PRJEB4211_v1:3:2624190:2625466:-1 gene:GSCOC_T00026038001 transcript:CDO99029 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTHSHPKSNTLPSSPTHSFSSSSSSDFEFTISLSPRKASSNLCPADELFYKGQLLPLHLSPRISMVRTLLASSSTSSSSDTTTTASRDSTGSSNDSHSSFSSDLILLADCDSSRPSSVAEEDEFRRITNMNSSSFYHGQGNASSSSIKKTKYFSRLSRFSSVFRKESSKARHQESYNPSGPSGSSSSSVKRMSTTAKEVIRKYLKKVKPLYERLSQKQQQKMAGMMGRRMASSSANVTLCMKPDGSVKETDHISYASLLPNGKKEMNSNATIAHSFSGNLRYPRRRSCVSSCPSSMRSSPSHSGILCKTAFTPPMTSKSYSHDNSTMEELQNAIQGAIAHCKNSLLQNKTMVSNEI >CDP14638 pep chromosome:AUK_PRJEB4211_v1:3:14479381:14484252:1 gene:GSCOC_T00042017001 transcript:CDP14638 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHKERFVLLPFPMGCDSESSVAVGKCTQPKKQKHSSQKILLPPTTTRSNDEKEILQNKEEKETKSRGKGKKLRAFLALPKSGLSKGVNKLMKRLENISRLLVDNDEDEEIEKEMEIGLPTDVKHVTHIGWDGSTTINPIKGWENLEAPEILSLPSISLKQFELAMEAQAGAPISTVGSTNMK >CDO98819 pep chromosome:AUK_PRJEB4211_v1:3:1032322:1035958:1 gene:GSCOC_T00025750001 transcript:CDO98819 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMATAAGAVVLLYYVLNRRLSAKGGDEDVAGKNGEEYSKRSGRRRMSTRRPVAQAPATWFETIMTLSETLRFTYTETLGKWPIADLAFGIKYLIRRQGNLQLASVYAGEDSVQLKGIEIIAQLYYYLKLLTLCMLFSKKPFPVFLESAGYSQADVLLQKAKAGLLKPAFTIIRDKDSKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVLDDGGISNLVLGYAHCGMVAAARWIAKLSTPILLKALHENPDHEVKIVGHSLGGGTAALLTYILREQKELSSITCVTFAPGML >CDP11219 pep chromosome:AUK_PRJEB4211_v1:3:9358439:9358908:-1 gene:GSCOC_T00033326001 transcript:CDP11219 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCVACSKQHLNAASLPQPQHDGDNDAAAATISTPSTRQAIKALTSQIKDIGIKASGTYKNCKPCSGSSNHHNNRGNDYADSEPGSVSGRYHFSYARAAAAAAGSSNSTPRRP >CDO99037 pep chromosome:AUK_PRJEB4211_v1:3:2703503:2711175:1 gene:GSCOC_T00026047001 transcript:CDO99037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee [Source:Projected from Arabidopsis thaliana (AT3G22380) UniProtKB/TrEMBL;Acc:B3H7F6] MDRNREARRAAASMVASSNGLPRRRHRSSNSLRDSPDEDGPVELQESVRLRERVKKDRERDRDRDRERDRDRERERERERERERSSRSSKRRRGERIMIHGSNRDDGGEDSSEESVNDDEDEDDEDNATTTAAAANNTHNGHHNSGGVRLLPPNPAAASVGVNSMSNHFHSSNSNSHHHHHHHNNTNNNNSSTNHNHQQNHNLQHRKSFPPSAAKVFRAAPVWKAGDEMIGVSVPRKARSASTKRSHDWINSSNTGGNNGGCGGGGGGGGAGVAGVGGGEQIQQPAPASPVRQGLIITTSTPLPVAPMSPSSSNASVRKKIKPNGPKQPRPPKSSSKASSSNPEELEIEIAEVLYGLMTQSQAPPKKEILSNDSREVNRSSSDAKSRVSSPISNSPSTANIQSSPSILPPNSVSSPAGTLSAVAPKRKRPRQVSENPGIYSVRNSPISSTTAKLEADQTPKAETSSPNLEKNSGSTAEGGYDLGNLMNSHVLPPAVAELPLPEPMKVDSEAKLEVKAALAEELGESRDVVLPKEEVVSSPKKESPAVRAEETNREDAASVAALASATKISLTVPEVDKQRGEKFQIDLEAPPPQLRSSPERDGQICLGSASMNHKPIASDVNTEMKPMVAKDKHDEKMVKIGKENGVNVGADEKKVKSAGEDTELPKRGENKPRIIDLQLDLEKPERENGAGQSNSLPLPMSMTSWPGGLPPMGYMTPIQGVVSMDGSTVSPAPIQPFFSQPRPKRCATHCYIARNIHYLQQIVKMNPFWPAAAAAAGSASLFGSKACNLGVVPPADLHGNIAGRGVNNAQDKGQGLAIFPGHAGKDRVSQPASIADAGQRKQQILLQQALPPVAPNNILHGPAFIFPLNHQQAAVAAASARPSSAKLPPTTGGNVTSANTSSSAAVNTSATASGPPAAVSFNYPNMPTSETQYLAILQNNAYPFPLPAPPNFRGTHPNAMPLFNGSFYSSQIIHPSQLQQQPQPQASASQSQQMPQSHQNTSISSGSSSSQKHLQSQQQRPSGTGDVSGTATGNLHNFPAPKIRPPQQSQQSPNQHITLPQGRQLENEVGTEDSPSTADSRGSRAPVNIYGQNFAMPIHAQSYALLTPPAALANASATNGTGNQSEKKQQHQQQGLKTLVDSLPAQAFAMSFASINGATAAPGIDLSSMAQNHAILQSLPEARQMMAANAAQVAQQRKNFRVSEDSKSGGGDSLNADDERKGLVGKSTASVGQSIAFSRSEFADASGPPAVANSVIDSSRSVSLASGAARTSRPTMSNSVGAVGVSNAQLQAQHQPQQIMQFHKHQQQFVAAAAAWSKNPPTGNGSVYPEHLVSSSPGTAKFPNALSAFPQNLVQSSNSSSPTQSPQWKNSTRSTPSQVPSSFQSSSTSSLKNLPQQHGRTQPSQSQISFAANQKSSAAPAGPPPSSTNQSPASPMMVGSPSTSTISKGASGSPRTTTSASASGKAGQASLSAQQAKNSSVAGQKSSPVGGRNVPSILGGTHIAVTSISGPKPQMQHQQHQHQHQHQHQHQHQQQITKNLQQAQLFFSNPYMQSQSPNSTGTNSAVSVPGGGYYVQRRRPDQQQQAQPQQPPGSSTSSSTGMLSLCPVSLAGASTSDPAKAIAAATAAANSLKGGSLASQSILQAAQFAAQSSGTQHQLGFAYVHAVPAGVQVKPVEQKQPAGNDNLHACWQPEKK >CDP08137 pep chromosome:AUK_PRJEB4211_v1:3:31465232:31467300:1 gene:GSCOC_T00026875001 transcript:CDP08137 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPISHFTVTLLFLLFNQSLAIPGTHNILDLGAKPDGKTDSSKSLLSAWAAACGSPKPATIFVPKGRYFVKQAQFLGPCKNRAISFRINGTLVAPSDYKAIGNADFWLQFLRVDGVSIRGGILDGQGTGLWGCKASGKNCPSGAATLGFTNSNNIAIAGLTSLNSQLFHLVFNGCNTVKLQGVKVLAAGNSPNTDGIHVQYSSGVTILNSKISTGDDCVSIGPGTTSLWIENVLCGPGHGISIGSLGKDFEEEGVQNVTVKGVTFKNTQNGVRIKSWGRPSKGFVKDVLFQHATMINVQNPILIDQNYCPDNINCPGQVSGIKINDVTYQDIHGTSATEIAVKIDCSKKNPCSGIRLEDVKLTYKNQPAKSSCANAAGTTLGLLGPDSCL >CDP03413 pep chromosome:AUK_PRJEB4211_v1:3:22871601:22875374:-1 gene:GSCOC_T00015143001 transcript:CDP03413 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVLGSTTQVLVQTAINSASEQIGQFVGFKKDLQKLKDTLTEIQAFLSDAEKKQVTENSAKLWLENLERVAFDAENLLDDFNYEMIRREVEIRNQMKRKKINMDLISIHERRMKLGLQPQNGARDALAISSPSGEAFMKNRETDSVTVDASFVGRDGDVSAIVTQLTATNNNETISVLPIVGMGGIGKTTVARKVFNDPNIEKHFEKRMWVCVSEDFNANRLFGMILQSLQGREPEAKDREARVKKLKELLDGKKYLLLLDDVWNKESTLSRPWNDFLGSLKGTSQAKGSWILLTTRDQQVATITRISSPKNYSLERLSVDQCWSILKENAFGTGNVPGGLKDIGLKIAQKCQGLALAASVLGGMLRNTEINKWCQILETGLQNIGEVGDGSITKILKLSFDHLPYPALKKCFAYCSIFPQDFEMERNQLIQLWAAEGFLHSDPRKNMCMEEVGNDYFTILLASNLFQDAQKDGYGNVLNCKMHDLVHDMVQSISKCRTLRLEEPTEADFHGKSFRYLVLERSCGEEIPPFPRNQSFRNITTLVLLENRSIDDGLITFLACLRVLNIASSDATELPKSIGKLSHLRYLDSSDTPVETLPDSLCKLYNLQTLRLRDCKSLTKFPNNFKNLVNLRHFDFFPYGESSDLTPLEIGQLRSLQTLPFFNIGIEAGRQIGELRNLKNLSGRLQLRNLELVKSKEEAESANLIGKPNIDKLRLQWNEIDNSRNNDSEYNQVLEGLQTHQNLKGLIIERFFGDRLSKWIGELGRLVKFKLQNCKNCKELPTLGNMPFLRFLHLCGLDSLASIGPSFYGRSVVQNLILYDMPNLREWMEATVDDGTVVVFPVLHTMRIINCPQLATFPNYFPRLKELNIWKTQNGSALMTYICSGISTLTRLSVESVNGLTKVPNSLETLTVRKCHSLESISIPKGRKYLAALQGLRISKCDGLTHLSILQISESEWDSTSSPFSFPIDLTRTPSLSLVDISECKKLTDLPKLYLFDALPPPQPYFPSLSKLDLYGWPHWESLPEQLQHLSALTALGLSGFGVKSLPDWFGKLSSLEELDLSNCRKLENLPSHQSMRSLTRLNLLCNPESSSSSSTDPNSEWSKISHIPELVIDGQRISI >CDP08121 pep chromosome:AUK_PRJEB4211_v1:3:31288051:31289709:-1 gene:GSCOC_T00026853001 transcript:CDP08121 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEIVKNKQVLLRDYVSDFPKESDMIISTDSTISLKVPEGSNGVLVKNLYLSSDPFMRRFMQVSQPARSKTGFIPYTPGSPINGFGVAKVVDSGHPNFKKGDLVWGITQWEEYSLIAERDSLFKIEHTDVPLSYYIGLLGMPGLTAYAGFFEVCKPEKGEKVFVSSASGAVGLIVGQFAKLLGCYVVGSAGSKEKVDLLKNKFGFDDAFDYKQEHDLDAALKRCFPEGIDIYFENVGGKMLDAVLLNMRMNGRIAACGMISQYYSDKPEGVSNMMWIIYKRVYIKGFSVFDYYHQYSKFLDTILPHVREKKITYVEDIIEGLETGPKALIDFFNGRSVGKQLVVVARE >CDO98835 pep chromosome:AUK_PRJEB4211_v1:3:1124052:1129360:1 gene:GSCOC_T00025768001 transcript:CDO98835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin-2 complex subunit H2 [Source:Projected from Arabidopsis thaliana (AT3G16730) UniProtKB/Swiss-Prot;Acc:Q9LUR0] MNNTQDQQPESTGIFHSVQPLRDLESNWAVDLAKNLSEYLLKICSGEITSDDHDVPQLSVNFAEAALLLQGSVQVYSRKVEYLYSLVLHALEFISQKRRFAHNLLAFPLDWCDSWLTFLEMNVYATFRFCLHMCFCSQEQGTSASAQSEESGLEAPHEEEDDRFWGLDDIPVEAKNILDSVNGKDIPAHHFVRPPANLVVLEGDCLDAIGDAGELESYLLATNDLFQDFILLDSSDAVAVIDFLNSQSKAGKLHNDVCRGSSLNCKSCKSFQSPTRRSGGTGHKLSAQKYQDAEINQSPLVDHGVKLNDHGVGSSLPAYDFPGDDGGHFNMENEYAESDDSDSDDPWKPLNPHELGNLKVKPYKRVKVKGKLVANWRKSDPLMAEFPLARQDGPSSELAEIWEARCSTLQRPAEPHSPPLFEKLRQSFFVRGRNTEYNAVPNPKENNTDDGYDSEDPGNEPPDFDMPEDTYIYEDVPQQDKHDGDIHLDRDEGYENPTSHASLEDLCRSHLDSLLASLAETEKQTELAARVSTWKQRIEHNLEEQDARPPFDIHQYGERVMDKLHMAGDTGISMSFADVVKGQEKHDVARTFSALLQLVNDGYVDLEKSGGDGGSICYTVGNPFLVHLLQNEKRSDVAPPQLSKKRAKSPKGPKGCTRGERHKKPGKENCPVAADIPIPSSVSTSTCRLSVKLGKPGGVSKICTPEGKKRRKSRLVEPVNLHLAG >CDP10821 pep chromosome:AUK_PRJEB4211_v1:3:26539206:26543620:1 gene:GSCOC_T00031708001 transcript:CDP10821 gene_biotype:protein_coding transcript_biotype:protein_coding MDMWVGVDQIRSRTKLSYPVIEPTILFGEKESFLLSNQKICYNRSSFSQKISCSLTEQGLKPRPKLHNPVPKPMKNKQSWGNEHAEVMVDGSFSSGICGKIEKLVLQAKYHEALELFEILECKSIEDNLLNSSTYDAVVRACIGLKSVRGVKRVLNCMVSCGFKPDQYLSNRLLLMHVKCGMMVDARKLFDDMPERNLVSWNMIIGGLVDSGDYIEAFRLFFMMWEEFPDAGSRTFAMMIRASAGLELISPGRQLHSLALKMDATDDIYVSCALIDMYSKCGNIENARCIFDEMPEKTTVGWNSIMAGYALHGYSEEVMNMYYEMQGSGVKMDHFTFSIIIRACTRLASLEHAKQAHAGLVRHGFGLDIVANTALMDFYSKWGRLEDARNVFEKMPQKNVISWNALIAGYGNHGRGIEAVELFERMISEGFVPNHVTFLAVLSACSYSGLSDHGWKIFESMSKVYKIKPRAMHYACMIELLGQEGLLDEAFSLIRDAPFEPTLNMWAALLTACRVHKNLVLGKFAAEKLYGMEPEKLSNYIVLLNIYNNSGKLQEAAGVVQTLKKKGLRMFPACTWIDIKKQPYVFFSGDKWHHQTNEIYAKLDKMMQEISEHGYVLESKSFLADVDNHEEELRLHHSERLAISFGLINTVDLTPLHLVQSHRICCDCHNAIKLMSLVTKREIVIRDGSRFHRFKSGTCSCGDYW >CDP03419 pep chromosome:AUK_PRJEB4211_v1:3:22636674:22637115:1 gene:GSCOC_T00015156001 transcript:CDP03419 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTSLCDFNILIQGNLSGFRKEQRYGLANTWESSWLDFKSCLAETEFASILMTNAANGACHPFKET >CDP15477 pep chromosome:AUK_PRJEB4211_v1:3:17789260:17790262:-1 gene:GSCOC_T00043230001 transcript:CDP15477 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHIKITKWHGIVLSFLDHSIIPGSLHSKKVAVKKFQFGSIIGGNGLDLNQKFYLQMFSWDFKPI >CDP08051 pep chromosome:AUK_PRJEB4211_v1:3:30041144:30043796:-1 gene:GSCOC_T00026745001 transcript:CDP08051 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGSSMMAMKEWFEGGRVAIAMLTVQVISGALQIMARIIFNQGTFVFAYLFYRHVVGAVCIAPLALHRERDGGKKLSWGIFLWLFVVALTGLTMALGLFCYGMSDTTATYATNFLTLVPVVTFLFSTILRMEKVQLRTKVGKMKILGTTICFGGALIMALYKGKAFYIIHRTQKHHRMLTKSKPQNRTLGTIFLIGSCLSNCFWFISQGKLLEIYPYKFWTTFFICIIGSMQQAVIGLCINRSRGVWRLGWNLELLTIFISGTLATALSFCMISWAVAERGPTYPAMFTPLRLIFVAIGETLFLDEVLTVGGLLGMSLIIIGFYSFLWAKNKEIKAKTTNADAEVESMPDESATA >CDP08089 pep chromosome:AUK_PRJEB4211_v1:3:30847911:30855708:1 gene:GSCOC_T00026809001 transcript:CDP08089 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAQPSFQTINSLKQPSFATIDSSSNASSSNSSRILHVGCFSNPSSSPQRLLLKKTPSFSTQQHPPRLLVSANSTSSSIDSNSFSVSVSPPSTTRPKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVCGTDTVLQQVMEQLQKLVNVIKVEDISKEPQVERELMLVKINSDPDYRAEVMWLVDTFRAKIVDLSDYSLTIEVTGDPGKLVAVQRNLSKFGIREIARTGKIALRREKMGESAPFWRFSAASYPDLEGTMSPDAVLRAAKRIRDEKSDTSTGGDVYPVEAADDFYISPVLDAHWGVLDDDDTSGLRSHTLSMLVNDTPGVLNIVTGVFARRGYNIQSLAVGHAEVEGLSRITTVVPGTDASISKLVQQLSKLVELHEVRDLTHMPFAERELMLIKIAVNAAARRNVLDIASIFRAKAVDVSDHTITLELTGDLDKMVALQRLLEPYGICEVARTGRIALVRESGVDSRYLRGYSYPV >CDO98721 pep chromosome:AUK_PRJEB4211_v1:3:246608:286253:1 gene:GSCOC_T00025619001 transcript:CDO98721 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFYFLTFVVLHLLRRYLGEYVHGLSAEALRISVWQGDVVLKDLKLKAEALNSLKLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFLLAHPAADSRSLKEEDREKLFQAKLQQIEVKIFHAYASLCFIFAFFHFDIDIIVTVKLIKMEGAMIKEKIYDIFLENMVIEAESATLEALSRSKPGSPSPGNSWLGSLIATIIGNLKISISNVHVRYEDWISNPGHPFSCGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLSVYHDSNRDPWKLDKKWEDLSPKEWVEIFEDGINELPNGNSLVSAWSQDRNFLVSPINGVLKYHRLGNQERNDPNIPFEKASLIVPDVSLAITEAQYHDWIRLMEVISRYKTYVDISHLRPMVPVSDNATLWWRYAAQAGLQQKKMCYRLSWGQIKCLCNLRRRYVLLYATSLQRSSNIDNSAIRNIEKDLDPKVILLWRLLAHAKVESVKSKEAAEQKMLRKRSWFSFRWRTPPEDVSADNTSEGSQIVEERLTKEEWQAINNLLSFQSDEDLTLHPAKEMQNMIRYLVDVSITRAAARIINIDDTEIACGRFENLHVSTKFKHRSTHCDVTLKFYGLSAPEGSLAQSVCSEQKVNALAGSFVYSPTGENLDWRLSATISPCHVTVFMESYNRFLDFLKRSNAVSPAVALETATALQHKIEKVTRRAQEQFQMVLEEQSRFALDIDLDAPKVRIPIRTAASSQCDCHLLFDFGHFTLRTKDDDQFNDQGQSLYSRFFISGRDIAAFFSDCGLDSQSCSLACQPSISPSLQDVDSIYSLVDRCGMAIIIDQIKAPHPSHPSTRVSIQMPSLGIHFSPARYCWLMELVNIFYGTMETSEQLVTDSLQTEFAPWNPPDLATDTRILVWRGIGYSVAAWEPCFIVLSGFYLYILESETSHSYQRCLSMAGKQVFEVPPANVGGSLCCLAVCVRGVDAKKVGNVLEPYMRIQEGSKLSRHLSALESFSSLIIEFRDEEEKAAWMRGLVQATYRASAPSSVDVFGELSNDASELAESHAIHVKSVDLVVNGTLVETKLSLYGKSEDEMNRRPEESIILQVLAGGGKVCVSRCIGDLSVKMKLHSLKIKDEHQGSSSSGPRYLARSFVGDRDSGHNLDLLESDRREQLMMEEDDNFKDALPEFMLLPDSPETYIDEKDKLKGKSVASDVFYEAEGADDTDFVSVIYLTRSPASPNYDGIDTQMSIRMSKLEFYCNRPTLVALINFGFQISSANSGANGSKAVNVSDDESSVKKEKTEEYGNSFVTGLLGYGKGRVVFYMNMNVDSVTVFLNNEDGSQLAMFVQESFLLDIKVHPSSTSVEGSLGNLRLRDLSLGSNNFWGWLCDIRNQGTESLVQFKFSSYSTEDDDYEGYDYSLHGRLSAVRIVFLYRFVQEITAYFMELATPHTEEAIKLVDKVGGIEWLIQKYEIDGASAIKLDLSLDTPIIIVPRNSTSTDFMQLDLGHLRVKNEFSWHGCPEKDPSAVHLDILDAEIVGINMAIGVDGSIGLPMIREGNDIHVYVRRSLRDVFRKVPTFALEVKVGSLHAVMSHKEYNVILDCFYMNLNEQPRLPPSFRGHKSASKDTIRLLADKVNMNGQVILSRTVTIIAVKVDYALMEVYHGLLEELPLAHVALEGLWVSYRMTSLSEADLYITIPKFSIIDNRPSTKPEMRLMLGSCTDVMKQMSSESTADVPTSTMFVMDCRWRLSSQSFVVRVQQPRILVVLDFLLAVCEFFVPSLGTLTGREEMMDPKNDPVIKSKSIILLTPLYKQIDDMVILSPNRQLVADAVGVTEYVYDGCGKTICLSDDKDNKEFQSSGNWPIIVIGRGKRLRFMNVKIENGSLLTKHTYLSNESSYTVFQEDGVEVIFLESKSSNGRKHPDHREELCYTSDASDSFQTESCKLQSFSFETQVVSPELTFYDGSSSSVDDSTRGEKLLRAKMDISFMYASKESDTWIRGLLKDLSLEAGSGLIILDPVDISGGYTSVKDKMNISVTSTDICVHLSLSVLSLLLNLQGQATAALQFGNADPLSPCTNFDRIWVSPKEIGRHNHLTFWRPRAPSNYVILGDCVTSRPSPPSQTVVAVSSMYGRVRKPLDFKLMGTFSGIQGSAGLEGHANDHYDCSLWLPIAPPGYLALGCIAHGGKQPPPTHIVHCLRSDLVTSTMYSECIYSAASCGSYTSGFCIWRLDNAFGSFYAHPFTCNPPLNNCFDLNHLLRGFSCHHSSSSSQVASEFGAKHEDTRELVTTQGTTSSGWDILRSISKATTCYMSTPHFKRIWWDRGSDLRRPVSIWRPMPRPGYAILGDCITEGLEPPPLGIIFKADNPEISSKPVQFTKVAHIGMKGLDEVFFWYPIAPPGYASLGCIVTQNDEAPNLQLFCCPRLDLVSQTNVLEMPITKYSSSKASQCWSIWKVENQACTFLARSDLKKPSSRLAFAIGDAVKPKARENITAEMKIRYFSLTVLDSLCGMMTPLFDATITNLKLATHGRLEAMNAVLIASIAASTFNTQLEAWEPLVEPFEGIFKFETYETNLNLPSRIGNRMRLAATSVLNINLSAANLETFVQTITSWRKQRELEEKSVKRIEEASLHDGHGDDATFSALDEDDFQTVIIENKLGRDVFIKRVEQDFDTVELLPHDSCASVWLPPPRYSDRLNVADESREPRRYVAVQIVEAKGLPVVDDGNSHNFFCALRLVVENQELSQQKLFPQSARTKCVKPSIVKSKDVVEGTAKWNELFIFEVPRKVFTRERERERETTRHGASTLEKVASVKMLHQAYDFQNTVSYPLKKRGQDTDDYQGSVLVSASYYEGKIVSNFESDRGGGSDIARDIGFSVGLAPEGSWESFRSSLPLSVITRTLKDDLMAVEVLMKNGKKHAVLRSLATVINDSDIKLDISICHYSMGQTRDPNLQRSNANVFVEEVFQNQWYHPSGWGNHRPSLGDDGPRRWSSRDFSYSSDDFFEPRIPPGWTWASTWTVDKSYCVDDDGWAYGPDHHNCRWPPNSATKSNLHKFRRRRWTRTRKQVNDQVAAITDVFNATSPGSATILPWRSMSRDSNCCLQVRPWIDDSQTLYAWGHSVVQGSASVWGKDQSFADQGVPARQNTMKEAKISTSPLRLDQLEKKDVLFCCPGTGGKNFWLSIGTDASVLHTELNTPVYDWTMSVSSPLKLENRLPCGAEYIIWEKIKDGNNIERQRGFIESRGTVHMYHADIRNPIYLKLFLQGGWIAEKDVLILDLASNSHAASFWMVQRQRKRRLRVSIERDIGGSNASPKTIRLFVPYWISNDTSLSLAYQVVEIEPLESADVDSLALSKAKSAKIAMKATPGSLLGRQISSRKNVQVLEIIEDTSPVPSMLSPQDYIGRGGVMLFSSRNDNYLSPRVGISVALRSSEYFSAGISLLDLEKKQRVDLKAFGSEGYYYKLSALLNMTSDRTKVVHFQPHTLFINRVGCSVCLQQCDTQSTEWIHPSDTPKCFGWHSTKVELLKLRLDGYEWSAPFSVNTEGMMSIHLRSETGTEQMPLRVEVRSGMKGSRYEAIFRPNFFSSPYRIENRSLFLPIRFRQVGGSSDSWKFLLPNSSTCFSWEDLDRERMLEILVDGSDSGTSQKYNIDEIFDHEPIHVDGGNIFTLRVTILKEEKTNVVKISDWMPENESSATFNSISSSMLHISGNYLQPQQSMSTPECEFHFIVEVSELGLSIIDHTPEEILYMSVQNLLLSHSTGLGSGISRLKIRMHGIQVDNQLPLTPMPVLFRSQRIGEENDYLLKFSLTQQSNGSLDLHVYPYIGFQGPENSAFLINIPEPIIWRIHGMIQQANLSQLSDAQSTSVSVDPIIQIGVLNFSEIRFKVTMAMSPSQRPVGVLGFWSSLMTALGNMENMPVRINERFLENVCLQHSVLVANAISNIKKDLLSQPFQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQRQDAKGVEDFGDVIRDGGGALAKGLFRGVTGILTKPLEGAKASGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVRMKIASAIASEDQLLRRRLPRAINGDNLLRPYDEYKAQGQAMLQLAESGSFFSQVDLFKVRAKFALTDAYEDHFLLPKGRIVVITHRRVILMQQPFNIIAQKKFNPARDPCSVSWDVAWNDLVTMELTHGKKDHASAPPSRLVVYLQSRSLEAKDQVRVIKCNHDTNQAMEVYTSIEQARSTYGPSQFQALRERKVTKPYSPTADMASEVISKDGVCVSSPQQLPASVPLTSTFGTSEH >CDP10855 pep chromosome:AUK_PRJEB4211_v1:3:27623122:27626283:-1 gene:GSCOC_T00031774001 transcript:CDP10855 gene_biotype:protein_coding transcript_biotype:protein_coding MADNVASPLLQRLSDRLYTLAPVTNKEIFRNLRLTLPRVHTLVQEAEMHSEDNETLKLWITEIKNTAYEADDIFDEYNLRMMRHKPPGIFSLFFFFKDLIYGFKLGSKLEKIEKNLDSLLKRSAEFGRFTNACTLKGAAEENYSSHVHGVQRLSSSFMIDSEVVGRESDKEYILSVLFKSSDENQLTVIPIVGMGGVGKTTLAQLIYNDEGVSEYFDLQMWVSVLQETLRRSISGKRFLLVLDDVWNDDSWKWSMISRGFLGADSWSLFTRVAFKSIPDPENQELEDIGRRIVQRCGGLPLAIKSLAGLMRSKRKANEWLSFMEENMGNLPEIENHFFPILKLSYNHLPSHLKQCFAYCSIFPKNQRINREKLIQLWIAEGFVRSGKISRRPEDAANEYFVELLERSFFMEITKDEFGEIQECGMHDLMHDLAQSVASVGCSIVEAEDSQDVPKELRYSSLVGKSKTSTVPESLNEATNLRTLLLLSCKFDSIPKLLLNLACLRVLDLSQSGIRKLSAAIGNLKHLRYLNLSHTHIKTLPETISQLRNLQTLELVECYDLHEVPRAICELTNLRNLDFQSCPLLTSLPFGIGKLKFLQRLPIFLVSDKTGSADLSDLQSLELRERLEIKNLEYVKSAADASKAKLHEKAGLSSLTLSWGEDTDSVTTKILDHILENLKPPPHLKVLEIIGFKGHTFPTWLRNQDLPNLVKLSLANCSCRELPPLGDLPYLNDLSIKGMTEVHSIGDEFYGHGDSSSFPSLKQLELFDMPNLSEWKCRGKDKLFRFSSVQESSSNWYNQSFACLETLTVMGCSKLINLPSLRYLKSLALWNSNEQLLGSISNLTSLSSLLVYKFRLFREPEFQQAEAGFSSVTTLTIYDCGDLISRLNEGIRGFTSLKHLHVLYCDRLESLPPGVGYLTTLQKLSIADCQDLAYFPDTMSNLSSLIEMKIEACPLLKSLPLGMLQLPSLPKFVIQECPNLEKFLRTSASVVC >CDP03473 pep chromosome:AUK_PRJEB4211_v1:3:20171543:20171862:-1 gene:GSCOC_T00015257001 transcript:CDP03473 gene_biotype:protein_coding transcript_biotype:protein_coding MYILKFKLYYERTTCGLGNGWILLSMNISALYVFVLI >CDP10291 pep chromosome:AUK_PRJEB4211_v1:3:12213040:12218279:1 gene:GSCOC_T00030971001 transcript:CDP10291 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLIHYAISRGITHLDTSDVYGPHTNEILIGKALMEGMREKVELATKFAVTYEDGNFNICGDPAYVRAACEASLKRLGVDCIDLYYQHRIDTHVPIEITIGELKKLVEEGRIKYIGLSEASASTIRRAHSVHPITAVQLEWSLWTRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLIENLAEGDLRKYMPRFQAENLEHNKNLYQQVNAIASRKGCTPSQLALAWVHHQGKDVCPIPGTTKIENLNQNIGALSVKLSAEEMAELESIASAGVKGERYGPEISTWQNSETPPLSTWKCT >CDP08071 pep chromosome:AUK_PRJEB4211_v1:3:30407866:30409945:1 gene:GSCOC_T00026773001 transcript:CDP08071 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGCRIHVLAIPYPLQGHLNPMLQLCKRLTSKGLRITLVTSTSARISVQNQFESIQIEYILDDDNIEAEGSNDSEKGAAHFKRIQIAVSDNLAKLVEEKASSGHPVNIVLYDSMMPWILEIVQGQLGLKGAAFFTQACAVSAIYNHIHRGTLKVPLETSTILLPSMPQLESNDLPSFVYNPGPYPGVLDLVLGQNINLEKSDWLLFNSFDKLENEAVTWLTERYPIKTIGPSTPSMYTDKRLKDDKDYTINFFTPDSGACLKWLDTKETGSVVYVSFGSMSDLGENQMQEIACGLMNSNCNFLWVVRPSEESKIPRDFMSEAEERGLIVNWCPQPKVLSHRAVGCFMTHCGWNSTIEALSLGVPMVTMPVWADQTTNSKYIVDVWKVGLRVKASEEREMVTREEVERTIREVMHGEKASELRSNALRWKELAKEANSEGGSSDKNIEEFVSSVESTHSLS >CDO99331 pep chromosome:AUK_PRJEB4211_v1:3:5426258:5429064:-1 gene:GSCOC_T00026446001 transcript:CDO99331 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAHQVQQWWKLRWLSFKHATIVVCLFNVLTALFLLQGFLSAFSFGKFSSSHQSNSVLLRHIKESEEIRRAMIPVDLIKRVKEIEKEAHAEPEAVKQKDTKQGAASDLVSRLNNLRSYSDAGSLKALEEWRKRKMERARQRELGKNGTVV >CDO99039 pep chromosome:AUK_PRJEB4211_v1:3:2736559:2740860:1 gene:GSCOC_T00026050001 transcript:CDO99039 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFPPCSSTEMLGKLLETVCGKNRDTPIEIENGERPKIKGTVVLMKKNILDVKDVGASILDRLHELFHRGVSIQLVSADQIDPENGRGKLGKAAILEKWNAKLTSVSATDVKFDVNFEWDESMGAPGALIVRNHHHSQFYLKTVTLDDVPGHGQLHFVCNSWVYPQHRYKYNRVFFANKTYLPSNTPEALRPYREEELDNLRGNGTGMLKEWDRVYDYAYYNDLGNPDGGPEHERSVLGGSKQYPYPRRGRTGRAPTKKDPTTESRLRLLDLSIYVPRDEKFSHVKFADFIAYAVKSVGQVLLPEVASVFDKTFNEFDSFQDVLDLYEGGIKLPSHAMDKLKHCISWELLKELVRSDGEGMMKFPVPSVIKEDKTAWRTDEEFGREMLAGVNPVIIRSLREFPPTSKLDPIVYGNQTSTIRREHIEKNMNGQTVDDALRHSKLFILDHHDALMPYLRRINTTTTKTYASRTILLLQDDGTLKPLAIELSLPHPQGDKHGAQSEVFTPAEHDAEGSVWQLAKAYVAVNDSGYHQLISHWLYTHAVIEPFIIATNRHLSALHPMYKLLQPHFRDTMNINALARHTLINAGGVLELTVFPSKFALEMSSAVYKNWVFPEQALPADLLKRGIAVPDSTKPLGLKLLIEDYPFAVDGLEIWSAIEEWVREYCSFYYHNDGMVQGDSELQSWWTELRNVGHGDLKDEPWWPQMQTRDELVQACTIIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRFMPEPGTPEYAELASNPDLAFLKTITAQFQTLLGVSLIEILSRHSSDEIYLGQRDNPEWTSDTIPRDAFTRFGAKLVEIENHILARNNDKKYKNRTGPVKMPYTLLIPNSSDYSKVGGLTGKGIPNSISI >CDO98694 pep chromosome:AUK_PRJEB4211_v1:3:84773:90114:-1 gene:GSCOC_T00025589001 transcript:CDO98694 gene_biotype:protein_coding transcript_biotype:protein_coding MSWASRGLICRLRLFSISDGLTTTPNCRFFSAQPLRVDELQENTSSSSRVIEAKSRVMTSNSKRPGAIAVKCGMTALWDKWGARLPITILHMDDNIVSQVKTPEIEGISALQIGCGHKKEKHLTMPEVGHFRAQGVPMKRKLREFPVTEDALLPVGTHIGVRHFVPGQFVDVTGITRGKGFQGGMKRWGFKGMPASHGASLSHRSIGSTGQRDAPGKVFKGKKMPGRMGGKQRTVKNIWVYKIDPARNLMWVKGQVPGATGNFVFIKDSVFRKPDISTLPFPTYFAPEDEDLHELEPLIADLGDTDPFMAAD >CDP16577 pep chromosome:AUK_PRJEB4211_v1:3:26123905:26124271:-1 gene:GSCOC_T00018984001 transcript:CDP16577 gene_biotype:protein_coding transcript_biotype:protein_coding MTASEVPIKGYTESSDGPRGLPEVAKSVSGAVKVDAETALYTELWRACAGLLVTVPREKELVYYFPQCHIEHVFLITFFIQMLYR >CDO98918 pep chromosome:AUK_PRJEB4211_v1:3:1803112:1804250:1 gene:GSCOC_T00025879001 transcript:CDO98918 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGAGPGSSSGGFVGQLCNVVSSCFYILCCCWLLKECFGSPTGDPGPQSSPSVVPSPPPPPPPPPPPPPPPPPGPPPPPPPGPPYGGPPYGPPGPYAPPGPGGPPAGPPRW >CDP10843 pep chromosome:AUK_PRJEB4211_v1:3:27395541:27397887:1 gene:GSCOC_T00031755001 transcript:CDP10843 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMTDIQCYSMFFIISFVSTLVIRSFSKKTNRTHFHLPPGPRPLPIIGHLQLITFPLDQFYQKLSTKYGPIIYLQFGASKQIIISSASLATEIFKTQDLAFASRPPFAVEDRLTFIASSFLYSDPQALEASSGVRRQGLRSFLQKIVESASLLKPVDVGLELLKLTNNIICTMAMNTNCSSNDDEAEKCRKLVQETFEQALKLTIGDVLGPFKWLGFWIYGKQAVNLERRFDGMVENILKQHEEKREENSKTSQYKDLIDVLLEMHYDNQAEFKLTRTQIKSFLLDIFVAGTDTSANTMQWTLAELINHPKVFKKVREEIDSLVGNSRLVEESDISSLPYLQAVMKEILRLHPLGSLIPRKCREHCKLDGFDIPKNTTILINTYAAMRDPNLWDDPNEFKPERFLISKDTEKTLARQDQMEGQLLDLLTFGGGRRRCPGMMLAFHTMSPTVAAMVQCFDWTPIEEGREVDVVNMEVRKGLNHVMEQSLVCTPRVRLNPLDCIA >CDP10342 pep chromosome:AUK_PRJEB4211_v1:3:13177585:13179206:1 gene:GSCOC_T00031044001 transcript:CDP10342 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRHVDAWRGVVIGLSSNDNGVENLSTLPNLDTLSFSDRQTGNGVLNMSRLECLESLTWLAYYCSSSWEHVEPPFPMNLKELSLRNLGLPCSKMSLIEELPNLEVLKLRDRAMEGQRWELMEGGFPKLRVLTLEALEVVEWIETDPDSDDYFPCLQQLKLFGIFNLKMMPACIGSISTLETINVSVCGDGGGCQTSKNKIPNSYS >CDO98999 pep chromosome:AUK_PRJEB4211_v1:3:2409546:2410868:1 gene:GSCOC_T00025997001 transcript:CDO98999 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPLSVCLPHSYVIRLFSSMASLFPLVLICFCTVTIAKEGPFLPNSVILPVTKDIATHQYVTQTYVGDQPLAPTKLVIDISGSSSWIDSAHLLSSSGHPRPFGCFSLQCSWAEANSCTRPSGTSRNTCTLEAENPITNMVTRGELTEEIIAVQVTDGIKTGLASVPHFLFSCVPALLLRGLGDGVKGVLGLGNTRISLPSQVADAFSFQRTFSLCLSSSNGALIAGEIPYALPPNNDVAKSLMYTPLVFREGSKVQGYYINVKSIKINGKKLSINESMLSVNQEGVGGTKISTAVPYTTMVSTLYNTFIKAYIKAAIGMNMTMAPPVAPFGICFRSERLEANRDASNVPIIDLVLQSEMVKWRIEGRNSFVQVNDKVMCLGFLDGGSSSKASVVLGGYQLEDNLVEFKLGTKMLGFSALAMGHTTCSDLRTSYIPREAF >CDO99400 pep chromosome:AUK_PRJEB4211_v1:3:6444203:6444843:-1 gene:GSCOC_T00026561001 transcript:CDO99400 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAAVIFVHAAAARNIPSTPIATTAKPNETQTENTLNVRTNAAPSPSGAGVKDKKNFITYGGIGGWAGVGYAGLIPTLGGIGGVVLAVLVE >CDO98853 pep chromosome:AUK_PRJEB4211_v1:3:1273311:1276563:-1 gene:GSCOC_T00025794001 transcript:CDO98853 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDHKTNVMGTLNMLGLAKRINARFLLTSTSEVYGDPLEHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGLNIEVRIARIFNTYGPRICIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGDHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRENTADDPHKRKPDISKAKKLLGWEPKVSLREGLPLMVSDFRRRIFGDEKDTQGSQKLAE >CDP10881 pep chromosome:AUK_PRJEB4211_v1:3:28305122:28306113:-1 gene:GSCOC_T00031818001 transcript:CDP10881 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLEIEELSIKFSQRQGRKVSAAFLDGWMRFFCPLCLIFEQILLVNGLVVHPIGVELGKPAAMVSAVRISLVLCGAIYFTIGIFGYLLFGDSVMDDILVNFDQGSSGSTISSLLNDIVRLSYALHLMLVFPLLNFSLRANIDELLFPKRAVLATDNKRFVILTLVLLGFSYVAAIAIPSIWYFFQFMGSTSVVCLAFIFPGIIALRDIHGISTRRDRIIAAAMVILAVVTSTIAISTNICTLVGNKS >CDO98981 pep chromosome:AUK_PRJEB4211_v1:3:2258942:2263129:-1 gene:GSCOC_T00025975001 transcript:CDO98981 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPQCCENAPSLSSGSGAGHVEELGGLQSYVTGSADSEIAVLLVSDVFGYEAPKLRKLADKVAGAGFFVVVPDFFFGDPVLVLEGTDLDAWLKKHGPDQGFEHAKSVIEALKGKGITKVGAAGFCWGAKVVVELATYACIQAAVILHPAFVTLEDIQGVKVPMSILGAETDHTGPPELLRKFGQALDAKPEVDGFVKIFPGVAHGWSVRYKDEDEKEVKAAEEAHQDLLGWLVKYLK >CDO99187 pep chromosome:AUK_PRJEB4211_v1:3:4099237:4099884:1 gene:GSCOC_T00026243001 transcript:CDO99187 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNSHKKNAGCYKVKNPPWDFLNDCNGTEEADLLGLFSWPPRSYSCSFCKREFRSAQALGGHMNVHRRDRARLRQSPPRDGQYSLLNLNLEPNPNFSTFNTNPSPSARCPLMPSTFPSPIPPPVSTISTTPPATVTGMRIWGDKDGGATKRLLELHEKEGSLLKRGDIVKLELEIGLVGEAKQDLDLELRLGYT >CDP03467 pep chromosome:AUK_PRJEB4211_v1:3:21079407:21082302:1 gene:GSCOC_T00015238001 transcript:CDP03467 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLIGAKNHRALNPNSPLPLQRKKMIRQRLGWFAFGFTAASATIGVFVYKDLQAHRNAHFSQANEEYNVLNTRVTNLERVCLNNPKSNVQSGGSDLK >CDP10340 pep chromosome:AUK_PRJEB4211_v1:3:13155367:13155838:1 gene:GSCOC_T00031041001 transcript:CDP10340 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGNKCDLGNIRSVSVEEGKSLAESEGLFFMETSALDSTNVITAFEMVIREIYNNVSRKLLNSDSYKAELSVNRIILVTNGSDGTKQTQGLYSCCSR >CDO99254 pep chromosome:AUK_PRJEB4211_v1:3:4746758:4749939:1 gene:GSCOC_T00026338001 transcript:CDO99254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein 1 [Source:Projected from Arabidopsis thaliana (AT2G33470) UniProtKB/Swiss-Prot;Acc:O22797] MNHLKSEQGELLTKPFLDVCRLILPILDKFGAAMTVVKSDVSGNISRLESKYSSNTSRYNYLYSIVQSEVETKSAKASSSCTNGLLWLTRAMDFLVELFQNLAQNQDWSTAQACNDSYSKTLKKWHGWLASSSFTVALKLVPDRKKFMEVLGNSENIYGDMEKFCTTFSPILREIHKFLASVGLDSMKSL >CDP10047 pep chromosome:AUK_PRJEB4211_v1:3:23363840:23366671:1 gene:GSCOC_T00030621001 transcript:CDP10047 gene_biotype:protein_coding transcript_biotype:protein_coding MGETNDGMLSPFQSLPEGCISHILFYTSLQDACGASLISKDFNSASKSDTVWGKFLPPDLKEIISNLVPWLSSKTKKELYRLLCHFSNPIDKGILINKGNRRFWLTKCRKKCYMLSAWELSIHKKGDPECWKWYELSGSRFSEVAELQHVDILDIQVKMPARSLSLKTNYAAYLVFKTTDYSYGLETVAKASVSFAATAATSGLSEPEGDSVYLKIPKIPAEVHYLPPIYEDDLRYGWWEPDMQRRIVLAPRPEPEIDGRVPCERNDGWQEIFLGEFFNDEGVGDIEIKISEILDSKRGLILEGIELRPKEEA >CDO98953 pep chromosome:AUK_PRJEB4211_v1:3:2079208:2082902:-1 gene:GSCOC_T00025939001 transcript:CDO98953 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKRYESYVLIHNIAKRHNVGTLARSCTAFGVSELILVGRRDFNAFGSHGSTSHLNFRHFHSLSLAKSFLKERDCDICGVEITDDAVAVNQHPFKRSTAFLLGNEGTGLSAKECEICDFFVYIPQYGCGTASLNVTVAASIVLHHFGVWAGFSERTREGNKFVVAERPTKQERKNYCAETTESIVEERRLKRENTSNGFFDESVKDDSSSNLLDGLFDS >CDP10893 pep chromosome:AUK_PRJEB4211_v1:3:28588706:28590677:1 gene:GSCOC_T00031839001 transcript:CDP10893 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPIPDRVKRLWDEWNLRAAVLISLFFQVVLICCAASRKRTGNMIVTATIWLFYLLADWFAAFAVGLISNGQSNNCPEKFAVNQDLAAFWAPFLLPHLGGPDNITAISLKDNELWIRHLLGLIIQFSAVAYVFSQSLRNVFYVPTILLIFAGTIKYAERTRALYLACLAAQVPVEIEIVKEHDRGTQTSANPEEENLKLFTTFRGLIVDHMFSFHERSKSRKFFFQRSAFDAFRVMEVELNFIYDTLYTKMAVVHSKKGYRLRLICSILIVLSFERFASHHKPDINHFDVATTYILHSGAVLLNFVAFTKLIFSDWTIVKLKNLTVKTTVYAVREKLSCSKRWSNTLWQCNLINFCVNQRWRWLDIAAETVGIKDVLDEMYYKEDIVIPEDLKDFIFIELKVKATKAKTTNVAKEIYSARGDLVLLDYTNHYPYPIISSSVGDEVEYDESLLLWHIATELCYCTSPDDGNSNRNYCKLISDYMLYLLVMRPNLMSAVSGIGQIQFRDTCEEAKMFFSRESSSANRKRNACEKLLNVNALVKAIEVKGDRSKSILFDACRLAKDLKKLNDKKRWEIMSKVCDR >CDO98804 pep chromosome:AUK_PRJEB4211_v1:3:921074:925174:-1 gene:GSCOC_T00025729001 transcript:CDO98804 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGGGQTAKAMSAPSSSASLKSGDVVSDQFPAGLRVLVVDDDPTCLRILEKMLRNCLYEVTKCNRAEIALKLLRDNRNGYDIVISDVHMPDMDGFKLLEQVGLEMDLPVIMMSADDSKNVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKHEWKDKDFEQSGSAEEGERLVKPLEDVDYSSSATEGNWKTSKRRKDEEDEPEEKDDTSSLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSSHQNGLNSSFMGTPEATFASMSSLGGLDLQALAATGHIPAQSLASLQALGRPTTKNSISMPMVDQRNLFSFETPKLRFGDGQQQLNNNSKQINLLHGIPTNMEPKQLASLNQSAQTFGGLNMQVNPQASQSSSLLVQMSHPMSRTQMLNENKGNHVPRLQSSIGQPILSNGIHSGVLSRNGIVDNVRGAVYNPVSQASSLVDFSINKSAELPGNSFAQVSNSGISSLTSKGIIQEEVSSEVKGSRGFIHSYDIFNELQQHKAQDWGMQNVGSTFDASQHSALQGSLDVSPSSLVQQGFSSSQKDRNVSIGDAIFSGGEGAHCNNSKFGQQFNSFVADNTLRVKAERLPDSGCQNNLFPQQFGQEDLMSALLKQQEGIGSVESEFGFDGYPLDKFPV >CDP10311 pep chromosome:AUK_PRJEB4211_v1:3:12630970:12632830:1 gene:GSCOC_T00031000001 transcript:CDP10311 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVETLSPSKHSARVSPFNESDDQSRMKKGIKNEQIKQEEAEENGSKSDVDIDEREKDDLSLKCNKALQVSSSMPLEKDKVEIKSISIMCRGRPEIVLSEPFASSPKALLFILKEGSRYRLRFNFIVSNKIVTGLRYVNTLWKAGMRVDKSEVCLGSFSPKEEPYSYELEEDTAPSGIFVRGLYCARTKVIDDQQNCYLDIKYYFEIQKTWPSDS >CDO99299 pep chromosome:AUK_PRJEB4211_v1:3:5164246:5165688:1 gene:GSCOC_T00026399001 transcript:CDO99299 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSFGQTQLLLLTSNCSFHSLKDIKVHKLLQYYEWDLISNKEHGLYNVVHVFSGTSYAKNRMILCIL >CDO98699 pep chromosome:AUK_PRJEB4211_v1:3:107680:112906:1 gene:GSCOC_T00025595001 transcript:CDO98699 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLDLQMSPQMEQIHGEIRDNFRALANGFQKLDKIKDSNRQNKQLEELTAKMRECKRLIKEFDREIKDEESRNPPEVNKQLNDEKQSMIKELNSYVALRKTYMSSLGNKRVELFDMGAGASEPTAEENVQMASEMSNQELVNAGMKTMNETDQTIERSKQVVHQTIEVGTQTATTLKGQTEQMGRVVNELDTIQFSIKKASQLVKEIGRQVATDKCIMFFLFLVVCGVIAIIVVKIVNPHNKSIRDIPGLAPPAPTTRRLLYLKSGTTY >CDP10308 pep chromosome:AUK_PRJEB4211_v1:3:12572576:12576707:-1 gene:GSCOC_T00030992001 transcript:CDP10308 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTLDHHRLTFRKLDSLFSSQNFLFSSLPSVCSKPEDLCWRFSYPHFLHNLFLLGSCNGLLCVYVFGDIFLWNPSIRKSKKLPGFSPKSGTAAAMLYGFGYDESNDDYKVYRILGSNQTWVDVYSQNTNSWGRIDEFKGMLINQGVYFVSGKLHWSVGSARGKIVTLDLTDGTYGEVEQPKYGEHHVGWNLEVLGGYLAVFYHHQNGNVDLWIMQEYGVKESWTKAVLMINYPYSSFQMLQKPIFLLKDGEILFQFGDGLVLYYPKRKSCTYPRIANVKALLEVDVYAESLVLLNDHDGVEIA >CDO99332 pep chromosome:AUK_PRJEB4211_v1:3:5433780:5435270:-1 gene:GSCOC_T00026447001 transcript:CDO99332 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDAIRVLAIAEDEKHRGDLVPHRRTRGDLKAAALLKQRGLWLNCDRRIVGTIPGVEIGDLFFFRMELCVIGLHGRARAGIDYLSASQGSNGEPIATSVIVSGGYEDDVDTGDEIIYTGHGGQDKQNRQCTNQKLECGNLALERSMYYGIEVRVIRGFKYEGSVSGKVYVYDGLYRVVTCWFDVGKSGFGVFKYKLARIENQPEMGSSILRFAQTLRTRPLEARPKGYVSLDLSMKNEKVPVFLFNDVDNNNAPVFYEYLLSTVFPLHVYKHGKNGTGCDCVGDCFDGCFCAVKNGGDFAYEENGILLKGKPVIFECGPDCRCPATCRNRVSQRGVRNRLEVFRSRKTGWGVRSLDLIQAGAFICEYAGIVLTREQAQIFTMNGDSLVYPSRFPDRWAEWGDLTDIFPDYKRPEYPSIPPLDFAMDVSRLRNVACYISHNSNPNALVQPVLYDHDNVSFPHLMLFAMENIPPLREISLDYGIADEWTGKLPMYDK >CDO98909 pep chromosome:AUK_PRJEB4211_v1:3:1741689:1744687:-1 gene:GSCOC_T00025869001 transcript:CDO98909 gene_biotype:protein_coding transcript_biotype:protein_coding MESQYIGSNGGLEGRGKEVEVANKSSLRGSDVILRFLAFASTLVAAIVLGVDKQTKLVSMTVVSTLPPITVPVTAKWHYMSAFVYFVVANVVACAYAALSLLLVLGNRGAKKGLALMIITLDLVMVALLSSGGGAALAVGLIGYRGNSHVQWNKVCNVFGRFCNQVAGAIVVSLLGSAAFLLLVLLAMINLHKKHN >CDO99140 pep chromosome:AUK_PRJEB4211_v1:3:3602989:3605477:-1 gene:GSCOC_T00026185001 transcript:CDO99140 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGVLEIAKFAVYVSVPIGLMYFYANNTKNLQKFMGNRQYVVYPPEAPRPPSPEELRERARELARKRNNQ >CDO98878 pep chromosome:AUK_PRJEB4211_v1:3:1451027:1453305:1 gene:GSCOC_T00025830001 transcript:CDO98878 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQVNVGHLDERGIYTGNFSTFALCGFVRAQGDADSALDRLWQKKKVEARQQ >CDO99085 pep chromosome:AUK_PRJEB4211_v1:3:3040019:3043764:-1 gene:GSCOC_T00026109001 transcript:CDO99085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MDSVGHKSDDEYSVIWDKGDIGFIDLDNCKSVCSYNPAEESDLVTISVPFPLVEGKPQSGLVGETIVDSITIENKTSAPVDLWSVKIYDSKPEDSFTISLMKPPTATSDAQYVQEFLESFSLEDRVLQPGQTLTIWLSCKPKGIGLHTSAVHFSVGDDTIERLVFVMAEDKVSQFLASNRPFNRIRKKKHSVTKVFSAEPFIGGSRPIRSPNRGFRYRLHAYPVPQHIRNSIEQQQIPDALGDGLTKENYFSYFQTLLALEEIKMEEDMRDFDMESVTMTSKGFQFLCLSVPGLAERRPSLVYGDCVFARPSSVHATNTPPYQGYIYRVEAEEVYLRFRDDFHSDHRPGNLYDVQFTYNRTRVRMLYQAIKAAETLEMELLFPSVSHQARLIQPTSLVPISCMLNPEQSSTIEMILGCRGGSPYVIHGPPGTGKTMTLIEAILQLYAKRKHNRILVCAPSNSAADYILEKLITEEAVQILKNDIFRLNATTRLIEDVDQNYKDFCCIEDAVFRCPILSRLRQYRIIVSTYTSASLLYAEGIKRGHFSHIFLDEAGQASEPETMVTLAHLYQKKTVVVLAGDPKQLGPVIYSKIAESYGLGRSYLERLFECQLYNDGNRSYITKLVRNYRSHPKILYLPSTLFYGGDLISCKENDGNFILPLLDLLPDQNFPLLFFGIQGCDEREGSNPSWFNRIEASKVVDIIIHLIDDKGLHEEDIGVITPYRQQVLKIRNALESFNKTKIKIGSVEQFQGQERQVIIVSTVRSTIKHNEFDKIHYLGFLSSPRRFNVAITRARSLLILVGNPHILCKDGHWNKLLWYCSDNDSYKGCFLPGKEEDVKEQTVPVNFDGEYSAFQPSGDVEWGEIVQPSGDVEGEQPGEVGCSGNLQPSRAVEWGHNYFNTEEIPKPVTDEAEWSDGWK >CDP10836 pep chromosome:AUK_PRJEB4211_v1:3:27041249:27043330:-1 gene:GSCOC_T00031734001 transcript:CDP10836 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSEPQDQKKIPLYLKAMSGSLGGIVKASCLQLTCAPIEVIKTRLQLDRSGNYKGIIHWDSTIVRDEDVWALWKGLTTHLTHKYALQMGSNAVLQSVFKDSETRKLSP >CDP03499 pep chromosome:AUK_PRJEB4211_v1:3:18294656:18303625:-1 gene:GSCOC_T00015316001 transcript:CDP03499 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDVVIVGAGPAGLSAAIRFKQLCHEKNVDLSVCVVEKGAQVGAHILSGNVFEPRALDELLPQWKQEDAPIHVPVTSDKFLLLTKNHALPLPSPFNNKGNYVISLSELVRWLGVKAEELGVEIYPGFAASEVLYDVDDKVIGVATNDMGVAKDGTRKDIFQPGVELKGMFTLVL >CDP14655 pep chromosome:AUK_PRJEB4211_v1:3:15138742:15139880:-1 gene:GSCOC_T00042054001 transcript:CDP14655 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGRLVKQIEIKSDGDVFHELFSSKPHHIPAISPTHVQGCDLHEGNWGKVGSVLFWNYTRDGKQEVIKVIIEAIDEEKKSVTFKTTEGDLKQLYKKLLVTLHVDTKGQSNLVTWTLDYEKVNESVPDPNSVMELAQKVTKDIEAHHLK >CDP11125 pep chromosome:AUK_PRJEB4211_v1:3:7456564:7463505:1 gene:GSCOC_T00033170001 transcript:CDP11125 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSNVVQMRIHTLHALLLISSSLVIHVAAATWCVARSDASDQALQTALDYACSAGADCAPLQSTGLCYLPNTLIAHASYAFNSYYQRKAMAPGSCSFAGTSTIAKTDPSYGSCVYPSSPSAAGGPPTVGGMPTNPITSPSLTAPPPPETTPLNNGGGSRPGLGGGIPATQNSKAASLPSSTATTLTLSSFLLVLFLISEP >CDP03434 pep chromosome:AUK_PRJEB4211_v1:3:21860447:21869334:-1 gene:GSCOC_T00015190001 transcript:CDP03434 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVKRITRLKGPFGHHFLLFAIWCWSTIQDGVAFQTPPEPGYISSNSELASPPAAGLFEPIEISPAVFPHYPISGQPLPPMYPIYPTTYEPVLTGRCPVNFSAISSITQKTASDCSQPLAAIVGNVICCPQLGSLLHIFQGFYSKNSSNLVLQDAVADDCFKDIISILASRGANSSLPVICSAKSLNITGGSCPVKDITTFEKTVNTSKLLEACTTVDHLKECCRPICQPAIMEAAVQISGLQSVMTGNKDIAAAQSSVDTINDCRGVVYSWISSKLPLEDANSVFRMLSACKANRVCPLNFTQPSEVIKACRNVAAPSPSCCSSLNTYILGIQRQMLITNKQAIICSTVFGSMLQKAGVMTNVYELCDVDLKDFSLQANGQQGCLLGSLPADVVYDNSTGYSFTCDLRDNIAAPWPSSSSMTSLSLCAPEMSLPALPTSETLHNYGCRHVRLDFVVTILLFVVLSTLSY >CDP10318 pep chromosome:AUK_PRJEB4211_v1:3:12703616:12704451:1 gene:GSCOC_T00031009001 transcript:CDP10318 gene_biotype:protein_coding transcript_biotype:protein_coding MAPITYSSEMTSPIPPARLFKAAILDDTLLPKILPQAIKSVEILEGDGGAGTIKLSKFGEANKFKSAKHRVDELDKENFVYSYSVIESDALKEGIEKFTNEIKFEASPNGGSICKTNSSIYTKGDVQITEEEIKGATERALGMFKAVEAYLLANPDAYN >CDP11157 pep chromosome:AUK_PRJEB4211_v1:3:7986357:7989291:-1 gene:GSCOC_T00033221001 transcript:CDP11157 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPIHNMIISSSRLQDKLNPIKSTLRVVSPPFPLSDFPKTYKPGFVEFLIGSLEELLNYDPASIEPVRNYIKEIHLHFKSLGSFLMKVSESDIDDNPEVKVLGGHVANIAYKIEFVIDSIEVDPQWQGFFLVYDLLEELRIVNNRASRIQLTAPQAKVLDNKHVIQVPPNVISRDATPAINGMVVDPRDEEQSITDQLVKGSSKFGIVSLVGMPGIGKTTMAWKLFNCQKVLSHFHCRAWCTVSHEYEKRELLLEILCGILGLTDEIHQMTNEDLQLKLHHRLRDVALQIEPDSDPHSLRLFSDDESWNLLVEKVFHGEGCPEELLLVGREIAKKCEGLPLAVVAISGLLQKIEKSRASWGKIAKGLIAEVMEDPKAQCMEIFELSYKHLPGYLKPCFLYLGVFLEDKDIPVSKLIRFWLAEGFIHDSELKSLEGIAEGYLMELISRSLVEVSKRRSNGTVKSCRLHDLLRDFCQLKARAENFFRLVTRFDEPYVSFPSSDFGFEIGHHSDPVTYEAYRLCFFLKRLHFFKSRPFGLGTRSLLFFPSADSEPRCPYDISFIWHNFKLLRVLDFECINLGVTFPADITYLVHLRYLAVTGYMQTIPQSIGNLRKLETFVLKGLRGQVVLPDTIWHMTRLRHLHVNMHVAFTLDDQGLEVCCKLGDLVSLSCLSLSCGEDTESIIKRLPNLQKLRCIFFHPQGSSKNSYQFPRLDFLTHLVSLNVFYYGSAITREFILPSNLRKLTLSNFRLPWSHISTIGRLPNLEVLKLLLDAFEGLIWDMEEEQFKELKFLKLDNLNVSQWNATCDHLPKLERLVLQNCKDLEEIPDDFAAIGTLKLIEVHWCGQSAEESAKRIREETGDIKVLISNDKCMIVDSAVLLPELVR >CDO98997 pep chromosome:AUK_PRJEB4211_v1:3:2401817:2403363:-1 gene:GSCOC_T00025995001 transcript:CDO98997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MUTE [Source:Projected from Arabidopsis thaliana (AT3G06120) UniProtKB/Swiss-Prot;Acc:Q9M8K6] MSHIAVERNRRRQMNEHLKVLRSLTPCFYIKRGDQASIIGGVIEFIKELHQVLQSLEAKKRRMSLSPSPGPPGPSPRPVLLQLSPNSESSLGGHDKFKEVGTCCNSPVADVEAKISGSNVILRTVSKRIPGQLSRIISVLEKFSFEILHLNISSMEDTVLYSFVIKIGLECQLSLEELAMEVQQSFRFQSVYAKETVG >CDP19143 pep chromosome:AUK_PRJEB4211_v1:3:31581545:31586959:1 gene:GSCOC_T00001642001 transcript:CDP19143 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLTNTGCSTELILYFSCADSLIWDNIFKKYRPDLMADALLGPIVEVLVEKAINLASEQIGRFVAFKKDLEKLRHTLTLIQALLHDAEERQVTEQLVKSWLENLERVAFDAGNLLDDINYEMIRRKVEIQNQMKRKVCFFFSLSNPIAFRCKMANKIQKINMDLERINQEALGFGLRPQTGARDAPRVPPKNRETAAATVDVCFVGRDNDVSEIVKMLTAPNNHDETISILPIVGMGGIGKTTLARNVYNDPKIKEHFEESMWVCELLDGKRYLLVLDDVWNKESRLWNEFLGSLRGTSQAMGSWILVTTREKEVATITRISSPQDYSLKELSHDQCWLIFKENALGARKVPNRLQEDIGLKIAEKCQGLPLAASVLGGMLRNKEIGEWQKLESGLQRGENNGIHEILKLSFYNLPYPSLKKCLAYCSIFPKDFEMERNQLIQLWAAEGFLYSNPRNNNMCMEEVGNMYFTILLDSNLFQDAKEDRYGNVVNCKMHDLVHDMVQSISSSKTLRLTESGSDDQGTFPIQYLALERSGEEMPFPPSERFKCITTLFLLEDRSLNDREISFFMLRVLSLRSSSVEELPKSIGKLTHLRYLDLSRPSIKIMPDSLCRLYHLQTLRVEGCESLTKFPENFTNLVNLRHFEVRNCTNCKELPTLGHMSSLRSLHLERLNGITSIGPSFYGESTIHSGSGSQSPLKLFPALEHFILRHTYNLTEWTEAEVHDRELMVFPVLETIEINYCRELATFPSHFPSLKKLHICQTKNGSAVMEYIRSSGVSTLTSLCLSFVNGFTELPNMLFQNNPNLAHLRLSSCDDLTQFLNFPSDVPQTLEGPNSQTVLELSQPHTCIDNSATQRLVGLESLEKLEIFRCISLESISFPKGDKYLTALRELGIFDCNRLTHLSIPQLSESGWDSTSPPSLSAASPPPLLPLEKLKVYCCPDLISFPIDLTRTPSLSTLDISCCPKLTDLPKGKLCSLTSLRTLSIGPFSETTELHSFLYLFDALPPPHPYSPSLSKLGLRGWSHWESLPEQLQRLSALTTLYLDGFGVKSLPDWFGKLSSLEQLWLWNCKKLENLPSHQSMRSLTRLRKLDSLRCPLLRERCKPESSSCNTTESNSEWSKICHIPQIWIPS >CDO99344 pep chromosome:AUK_PRJEB4211_v1:3:5637762:5642755:1 gene:GSCOC_T00026474001 transcript:CDO99344 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGARNEQEVREIVKPRTDKRDYRRIVLHNALEVLLISYPETDKVLLVIQKVLKASRIFLVYAATTVFLVPFLERKGNFLRLRKITRKY >CDP08031 pep chromosome:AUK_PRJEB4211_v1:3:29427198:29429014:1 gene:GSCOC_T00026706001 transcript:CDP08031 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAEVAVGFVLQNLVQLINENRSLIGGNYGKISDLQDGLRLLKTFMADYNEKHYNSRTLMELAEDIRHLVFDVEDLLETYIMEETLYKYKNTLSQVVSAKDHLENLRKIGETVQKLSAKVKQTYKENKDFGVPALGRKGLARHNSIDEHNQVTDSNCIFDSLEVGIAEEQTPSGAEQHGESKQLEVVAIHGMFGLGKTTLAKKVLNDPRIDYHFFTRIFVRVSNVYNKQEVLFSILSAFTKNIKDQNLSMKELEDKVKETLKNKYLIVIDDVWATKAWDDLKKVFPDNNKGSRVLITTQLEPVAKYVTTKTEPYNLRYMNDDEAEKLLRTKVFNDNKCPKELLSLERKILARCKGLPLAIVVTAGILWKHPRDPNWWDDVLHDPSVKIVATVDR >CDP08129 pep chromosome:AUK_PRJEB4211_v1:3:31371647:31373747:-1 gene:GSCOC_T00026866001 transcript:CDP08129 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPSGHFRKHWQNYVKTWFNQPARKTRRRNARQKKAAKIFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFTLEELKAAGIPKKLGPTIGVSVDHRRKNRSLEGFQANVQRLKTYKAKLVVFPRRARKFKAGDSAPEELATATQVQGAYLPIVCEKPCVELVKVTEEMKSFKAYDKLRLERTNERHIGARLKKAAEAEKEEKK >CDP08095 pep chromosome:AUK_PRJEB4211_v1:3:30917281:30917439:-1 gene:GSCOC_T00026816001 transcript:CDP08095 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRLLRDAEADGWETIRLPYHMRALPRRQPLCSNGNVSVVTRPLSFIAFLG >CDO98810 pep chromosome:AUK_PRJEB4211_v1:3:957975:958998:1 gene:GSCOC_T00025735001 transcript:CDO98810 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTFTEEFTSTIPASRLFKALILDSDNLIPKIAPQAVKNVELIEGDGGPGSIKKMNFGEGKTINSTLDMYVKHRIDAVDKENLTYAYTLIDGDALMDKLDSISYEMKFEPSPDGGCKGKNVSTYHTKPGVEIKEEEIKDGKEKAAGVFKLVEAYLLANPEAYA >CDO99282 pep chromosome:AUK_PRJEB4211_v1:3:5034741:5037625:-1 gene:GSCOC_T00026376001 transcript:CDO99282 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNGKSDKKESLNGVDSQNLALNGGKDEHEESQLLLPPNNEGGLSKKSGKPRRKVQWNDKNGNKLAEVLEFQPSDVSESDDDESDTCFCRIM >CDP15145 pep chromosome:AUK_PRJEB4211_v1:3:9835541:9837462:-1 gene:GSCOC_T00042735001 transcript:CDP15145 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQCLLVRVFQKLPSPDYLSICQLLMFLDTLEDIAAVFEKLLRTKSKNDALFGFQIAFDLRVIKILKETIYVTRLSKIKGILSGETSIQLTLQFLYIVGFLHIRLHLIILNTIKQSVEMRNNICHNANSIMHAITTVNTLLCTYFPHRFICCIMIKFLLRSGLALVYGLFANLKNAHVRYSLALALGISCAGTGLSKAISLLKPLTSDVPVIPLLVHSSSFFPISQ >CDP10050 pep chromosome:AUK_PRJEB4211_v1:3:23275263:23280517:-1 gene:GSCOC_T00030628001 transcript:CDP10050 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFTPFIVLWFTIGFDFHVRAYSNVSCFESEQKTLHEFKEGLIDKSNHLASWTGENCCSWEGVGCNRNIQHVVKLDLRNNATFDSNRFWYGDTQNYVSIYGKTCLGGQISPSLVNLQHWHYLDLKVNHYYLSTKSLWWITSLSSLKHLDLSKVDLGERLETGCKQLTNFTSLTSFDLEGSEFNSTIPLWLFNLTSLHWTSLSYLDLSYNQFNISLPDPLFTLNNLVYMDITFNQIQGPLPFGLGNLTSLSILHMISRNKLNSSRPEYKQVMSSSHEFKSGVNKLGNSSFTSRLPNLPDCSRAGLEQEVQRGLSSAITDLHSCIHMSNPTNEFEGKIPSAIGQLRELTELDLNSNGFNGTIPSSKCDATLLALNVNDLSDNHFNGSIPDSLCSLQMLVLLDLSNNQLSGRIPSCIGKLKTLGVLNLANNSLYGHIPISLGHLNDLQSLHLNRNKFTGMVPFSCRHLKNLQYLDLGNNELEGIIPAWIGEELSLLKM >CDO99126 pep chromosome:AUK_PRJEB4211_v1:3:3468409:3472682:-1 gene:GSCOC_T00026165001 transcript:CDO99126 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFSYNCLRASTRAALSEPRIPKLQNPHFILIKEPPDLIKLTKKNKPRILRSTRIHVSSGGDSYLDMWKKAVDSDRKSKEFERIAENLARDSDDDVGEAEEEEKVSQEELERKSSEFQKILDVSTEERDRIQRMQVVDRAAAAIAAARALLKENKPPKMQESSEVKVEAGEDVEDDHRGEQNGNSLLSLTGGTSTGTPGPNFWSWTPPSETDDAIDGIKAKLDMKAFLDPTNISPVMEKEQSADFLLLPFESKSFPSKAPLPPLQSLVEVEELGVSSSAEETPHLEEEHELGIAFSANAAEAVQALYEAKEVSSQGFYPDGSRWWKDTGLERRPDGVVCKWTLTRGVSSDKVVEWEEKYWEAADEFGYKELGSEKSGRDATGNVWHEYWRESMSQNGGLVQLEKTADKWGKNGKGDEWQEQWWEHYGASGKAEKWAHKWCSIDPNTPLEAGHAHVWHERWGETYDGQGGSAKYTDKWAECFQGGGWDKWGDKWDENFDLNGNGVKQGETWWQGKHGDRWNRTWGEHHNNSGWVHKYGKSSSGEHWDTHVQQDTWYERFPHYGFYHCFENSVQLRDVKKPSEWS >CDO99278 pep chromosome:AUK_PRJEB4211_v1:3:4999605:5004053:-1 gene:GSCOC_T00026371001 transcript:CDO99278 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLTRSSTQPSPRADPDPAEAISDLVWPFGKLEGLDRDDFREAAYEIFFTACRSSPGFGGRSAITYYNPSDEKDGSGSGSSFGQPGSPVKPPGVGMAVTSRVKRTLGLKMKRKSPSRRASSVGAAPSSPNGGAGSSPRSAFSSLPSRPRRPMTSAEIMRQQMRVTEQSDNRLRKTLMRTLVGQQMGRRAETIILPLELLRHLKPSEFNDPQEYHFWQKRQLKIFEVGLLLHPSIPLEKSNEFAIRLREIIQASEHKAIDTGKNSETMKSLVNCVVSLSWRTADGSPTDICHWADGYPINVHIYIALLYSIFDIKEETLVLDEVDELLELMKKTWSTLGINRSIHNLCFTWVLFEHYIMTGQVEGDLLGASLTMLAEVANDAKKVDREPLYVKMLASALTSLKRWAEMRLLDYHQNFHKGTIGLMESIVPLVFSAAKILEEDVPGYLAVELEKGEMANISSGNRVDYYVRSSLRNAFAKILKDRNIDGAVVEMEEASEVLIKLANETERLAAMEKEIFSPVLKKWHPVAAGVAAVTLHTCYGTLLKQYLVATSALTEETTTLLHRAGKLEKVLVQMVVEDSVECEDGGKAIVREMVPYEVDSIILNRMKQWIQEILKKGKELLLRAKETETWNPKSKTEPYAHSAVELMKHIKDSLDSFLHTPVNLSEDLVHTLTDGLEHLFRDYITFVASCGSKQSYLPILPPLTRCSQETKFSKLWKRAACSVGIEDPGQNMLNEGNHPRPSTSRGTQRLYIRLNTLHFLNSQLNSLDKTLSLSSKIVPSPHSRINNRNRQLGDSSSYFDHARSAIHVATQHVCEVAAYRLIFMDSNSVFYGSLYVGDVANARIRPALRTLKQNLTLLCAIVTERAQPLALKEVMRASFEAFLMVLLAGGSSRIFFRSDHQMIEEDFENLKRMFCTCGEGLIVEDAVNIEAETVDGVVALMGQSTEQLMEDFSIVACEASGIGVVGAGQKLPMPPTTGRWNRSDPNTILRVLCHRNDRAANYFLKRSFQLAKRRG >CDO99181 pep chromosome:AUK_PRJEB4211_v1:3:4015833:4032213:1 gene:GSCOC_T00026235001 transcript:CDO99181 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYNDQPFKVGQLVEARSFMKGYRGAWFRCKIVDTKRKDGHINYGLRYLDFPDEKDKPIRLYQLPPVGHKRRSGVERELMLRPPFPPIYHEGQLPPANETSEVIVITEAIWNVGDLVDWWKDQCFWSGKVTQILGNEEAMVELTPPPMGEGSSYKASLIDLRPSLDWSLEDGWKLPAPKDGANHRQCARLVCPVNQSGGRLAFEMPVQGEGTTDGEAAQSLSSHGAARSLPASEKTKTCGASEMLKESESFPKEVVGAQEENVNLVPGDSGSRKLSCSDGTCPHARDGSAEAAGLSLGEDLSDSSSPQKKLRNIVGLPLNSTRSDTLDALILDLEELANKIKWLKIILKRGYPLSNAVDTSWMFVEHRVPSMPW >CDP10825 pep chromosome:AUK_PRJEB4211_v1:3:26714088:26714312:1 gene:GSCOC_T00031720001 transcript:CDP10825 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRKAAILVLVFVIFVAIMGQVHVEAARLLTEEDFAGANHLDKFPSVYAKARDTMSHWLERLASGPSPRGPGN >CDP03468 pep chromosome:AUK_PRJEB4211_v1:3:21056418:21065660:-1 gene:GSCOC_T00015239001 transcript:CDP03468 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITVDQTRAENMAEQPLQEISTEAPPPAPPPPPPPPSPGVVPIQLKDCIKDLLKFTLTSSVNGELDLGLSKDYCSQLLQRDDHPSTPSSSFPLSSSTGVPSYPLYKHLAACLYHSISCGGLFRISDKLDFVHHEDNPLKLKEEEWTKLINEKGSQLLNMLATVDFELHVQEPFFSQLKDARKTVEGRCAVGNYNRIVPGAFILFNKSLLLQVQDVHKYISFHEMLEAESLQRVLPGVKNIEEGVQVYRNFYSEEKERSNGVLAICVTKPTSQLYSCMAAILLGLSYGGIRVMLNMVHTVGTVSERLPPPTSTLISSFLTPHNPHVKGSRLTNGARALAKHVNRSSDKYWGNFCGSDSNKNRLALDVIRRLIANCCWLNMHRVPPHGVVFEIRVADGYGARWFADGHKFIGFLEPYMVDGHSKGWKH >CDP19149 pep chromosome:AUK_PRJEB4211_v1:3:31630276:31631868:-1 gene:GSCOC_T00001652001 transcript:CDP19149 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDRIGFGSFFSFFFLFPIFWDLLNLSHRFHLIAAVFCVWNEEFSWFLPKLMVATVMHLK >CDP08085 pep chromosome:AUK_PRJEB4211_v1:3:30777499:30779112:1 gene:GSCOC_T00026800001 transcript:CDP08085 gene_biotype:protein_coding transcript_biotype:protein_coding METTPSTKISYIFLCSLVLIFSMPWSTRAQIHDRFLQCLHSRNNDSISQVIYTPTNSSYNSVLQSSIQNIRFISPMERKPLVIVTPLNDFHVQSAVICAKSNGFQIRVRSGGHDYEGLSSISYYHQPFVIVDMRNLSGISIDTESKTAWIGVGVQGTLTRKYGLAADNVIDAKIVNADGAILDRKSMGEDLFWAIRGGGGASFGVILKYRLQLVSVPSIVTVFTVNRNLEQNATKLVHRWQQIGYQLDRDLFIRLLITQARSGQGGNLTVQVGFQSLYLGTVAKLLPLMQESFPELGLRREDCTELSWIESALYFSGLPSGSTVNDLPISEVALEGLWKRLFEEGAEAGMLILSPSGGRMFEISDSETPYPHRAGNIYQIQHISSWTEENNANSQRYIDWVRRVYKYMTPFVTKSPRAAYLNYRDLDLGANREGNTSFAQASIWGMKYFKNNFYRLAHVKQEVDPSNFFRYEQSVPPFSSS >CDP08025 pep chromosome:AUK_PRJEB4211_v1:3:29354867:29357196:1 gene:GSCOC_T00026696001 transcript:CDP08025 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQRKPEEIVPTLLERLEKARDELGPINKNDEKIVSGFEEIKTELDKMKHWEGKLITQFRTLEQDIDNDVLFDGLKHDNADDILNGLELIRKSVASVKQLFLAEESQLTERTRSMPITRMQFPLKEAVGKDQTMSKEWSRHGVEERIYASKAMSDFRKSFDCLESNQLKVCSLCLSIFPENSIIKKRPLIYWWIGEGMVAKTSEKTAEEVGEDVFGQLINESFIIPNVENVENRSPPSINSFIVRPWIRRMLILVAKGFQFFEFTPSGMPSNGHHRAFLFADHSDSYSAMTDDTLTVFNLNYRYLQFKPDWLSRLNRVEVLQLGRWQNSVEHHIEVESEDLIVESKKKKKVEYEVSLNGLGSQTSLRYLSLRGVSRVTNLPRSVFKLVSLEILDLHACHSLEKLPSDISALRNLTHLDVSECYLLESMPDGIHKLSALQVLKGFVIGRVGRNPCKLSQLAQLKNLRKLSIRIGNEAVGEGEFSNLKDFEALEILVISCGAVTEAALETFSVPPKLKKLDLRCIPLQSMPDWLQPGVLQDLKKLYIRGGELKSLKNQGGQTWNVKILLLKYLKHLKVDELELQHMFPEVVYLEKIKCNKTDQDTYDPPIIWDKTPHDKAIVANA >CDO99262 pep chromosome:AUK_PRJEB4211_v1:3:4823071:4833542:-1 gene:GSCOC_T00026350001 transcript:CDO99262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 [Source:Projected from Arabidopsis thaliana (AT3G23430) UniProtKB/Swiss-Prot;Acc:Q8S403] MVKFSKELEAQLIPEWKDAFVNYWILKKHVKNVKLSRKSHLVQDKTCGIGRSIFNPIRIFANKISQTVHNARGDPDLFQFIFASGSGHSAEKKMKKGYHCQGRSEEGVEEEGYEKELLQLFSEEDEIQLFFERLDEELEKVNKFYEARETEFLERGEILNKQLQILLDLKPVLSDRRRKLSPRSSSGFLSRSYSSSTRNSDFSETQSEFSDTPTETSQTDGVIAALEKNGINFINSATRSKTKKGKPKMAMRINIPATTPTRTIAAVTSMLWEDLVNNPKKESPGDCINRKKIQCAEKMIRGAFVELYRGLGLLKRYSSLNMMAFIKILKKFDKVSNQQKSASYLKKVKESHFISSDKVVRLMDEVESLFTQHFANNDRKKAMKFLRPQQNKDSHMVTFFVGLFTGSFVTLFTVYAILAHISGMFSPRSEKNYMETVYPVFSMFALLSLHLFMYGCNLFLWKSTRINHNFIFEFQPNTALKYRDAFLICTSLMTAVVTALVIHLILLSNNLPPTRVDAIPGVLLLLSLALLICPLNIFYRPTRYNFLKVMRNIICSPFYKVLMVDFFMADQLTSQIPLLRHMESTACYFIAGSFKTHRYATCKSGKMYRELAYVISFAPYYWRAMQCARRWFDECDVNHLANLGKYVSAMVAAGARITYARNPSDFWMIIVLVTSLVATVYQLYWDFVKDWGLLNPKSRNPWLRDNLILRNKCIYYISIAFNLVLRVAWVETVMHFNNVGIFESRMLDFLLASLEVIRRGHWNYYRLENEHLNNAGKFRAVKTVPLPFRDIDSDG >CDP10820 pep chromosome:AUK_PRJEB4211_v1:3:26441008:26443065:1 gene:GSCOC_T00031707001 transcript:CDP10820 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLLTAILANFLVVHIGAGAAVSDDFGFIYQGFQSSNLSLDGLATVTKNGLLRITNTTKLQTGHAFYPNPLKFKTKSNGSAFSFSTQFVFAIVAEVPGMPGPGMAFVIAPTRGLAEGPSTRFLGLFNTSTDGNRTNHVFAVELDTIQNPDFVDINDNHVGIDINSMTSKVSQPASYQANNKNLFDNLTLSSGQEMQLWVEYDGVARRIDVTLAPVAASKPHTPLLSLTYDLSPIFQQSMYVGFSAATSPRDIGSSHFILGWSFRMNGVAQALDLSRLPKLPRYGHKKVSEIFTVGLPLICMLFLLILISGVAYYRSRKWKFAEVLEEWELAYGPHRFKYKDLYIATKGFREKEMLGEGGFGRVYKGVLPTSKVEVAIKKVSHQARQGMREFIAEIVSIGRLRHRNLVPLLGYCRRKGELLLVYEFMSNGSLDKFLYNQPKYILSWSQRLRVIKGVASGLFYLHEEWEQVVIHRDVKASNVLLDSELNGRLGDFGLARLYDHGTLPQSTHVAGSLGYLAPEHSRTGRATTSTDVYAFGAFLLEVACGRRPIEPQAAPEEVILVDWVFSCWKAGNILQAVDQKLGTEFVKEEADSVLKLGLLCSHSEPKIRPSMRQILLYLEGSVALPDLSSLAMGVSAVGLGFAHPAGFEDILSSFAFSTDKRFSHSVADPTLSGGRQLRCSSF >CDP08117 pep chromosome:AUK_PRJEB4211_v1:3:31258690:31259193:1 gene:GSCOC_T00026848001 transcript:CDP08117 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGFAGEFENHGPLLPEGFLNRTASIGKVVGWVPQLAILSHPAVGGFVSHCGWNSTLESIWCGVPIATWPLLGDQQLNAFQLVKELRIAVEITGQIEKGIREVMDGENEVRKRVKELSEKSRQAMKEGGSSHVTFENLIHTICSSRPKSGV >CDO99151 pep chromosome:AUK_PRJEB4211_v1:3:3777457:3781354:1 gene:GSCOC_T00026198001 transcript:CDO99151 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIELEAIEGLRWSWNSWPTTKSEAAALVVPLSILCTPLTQFGDLPVLPYDPLICSKCGAVLNPYARVDYQSRIWYCSFCNGRNPFPKSYLGINENSIPAELFPTYSTVEYHLGKKSMNLGHNPQKPGFGSVPGYGNGLVLSGSSSISRMGSFSSSNSSLAGSDRAGSGFVPGPAFVFVVDVCTAEEELRVLKSELLHVITRLPENALVGLVVFDSLVRVYDLGFSECFRVVLFHGEREISSEQTKQLLGIHHIKQQLGKTSTVHKQGFLLPVSECEFSFTNAIEDLHSSPQALTGHRPLRSTGVAISVAVGLLEGCFVSSASRIMVFTSGPATIGPGIIVASDYSHAIRTHRDIDSGYAPHYIKSCEFYKRLSKRLCDSSVVLDLFACSLDQVGAAELKVPVESSGGFMMLGESFDSEKFRKCLNHIFIHDEEGNLNMCFDATIELVTTKDVKICGALGPCVSLQKKNSSVSDKGIGESGTYVWKLGTLNKKTCIAFFFEVGHEQHIQPNSAFFIQFITQYRYGNMGIRKRVTTAARRWVANHSPEIHAGFDQEAAASVMARLAIHRTERFFARDVISWLDEKLILFASKFGQYVQEDPSSFHLASNFSLYPQFMYHLRRSQFIDVFNSTPDETAFFRLMLNREGVVGSLLMVQPTLFQYSFEGPPIPVLLDVCSIFPDVILLFDSYFNVVIHYGSKIAQWRKLGYDRDPNHENFRKLLEAVDLDAKHLVAERIPVPKLIKCDQHSSQARFLLAKLNPSVTQNSTCTDGSEVIFTDDVSLQVFIDHLQALAVQG >CDO99081 pep chromosome:AUK_PRJEB4211_v1:3:3016818:3017875:1 gene:GSCOC_T00026103001 transcript:CDO99081 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDLQLSSEAMEVEDECSDIRLRLIDLSDIDDLVEWVMDEKVSKFCSWDYFPSREAAMNYVANVIMPHPSSMAQGHMPEGQAGWLHFSDSVPGHCTMQG >CDP08032 pep chromosome:AUK_PRJEB4211_v1:3:29429100:29430359:1 gene:GSCOC_T00026707001 transcript:CDP08032 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRTLSGRIKTCHIQDMLRDFCKNIAKAEGLFQETQVRTSSSSSARRLFCNNSQFSQYVRKKQPAEKARSFLSFGRDEIKLDPNLSPDDVFKHFKLLRVLDILSVKLLTVHLPKKLSNLVLLKFIAINCEVEILPKIMSSLLNLQTLIVHTVSPTLDIQADIWAMTKLRHLITNSSMSLPRCEEQSTICENLQTLSVVSPESLTDEVLKRATNLKKLGISGNLGTLMKAKGESNLFDHLCELNFLERLKLCSNDVNSKLLALPQPHKFPKRLTKLSLQNTSLGWDQMSILGKLQYLEVLKLKDYAFTGDDWQTEEGGFRSLKVLFIGATDLKCWEAQATDFPELRRLILRQCKQLQRIPPDFVDMKKLEDIHLEHTTGSVISSARRIRQLQIDMLQRQNLNDKKTTPTRINVYPPEY >CDO99442 pep chromosome:AUK_PRJEB4211_v1:3:6999230:7002020:-1 gene:GSCOC_T00026616001 transcript:CDO99442 gene_biotype:protein_coding transcript_biotype:protein_coding MESQLHRPYGHTDDQDPQHAAVEDEGDHHHHEKTSVMRKVKAKAKKIKETLAKHGLGHEHEHERDYSHDDPDEGEENEDEEMEEDPEVHGAPMYESAVIGSPIPAQNVNLEKPTAIGETGATRPVFEGQSGENLGKPSAMEVVHAPEDKDISFPPEIRRTKGDSGARNDNENVGPQGFRIGALEGLEEDPQAPKNRPGEVPPSNYETKVTDPTGKGGEEIGITPLIQSFNKMGVYDESVPKSESGQEGYTGSHGQFAPEPNPTEGKSDSAPKSCDPSKPEDNLPRDTLTGKSSDQSGYVEKISLATSTIADKAMSAKNVVASKLGYGGTEGGKVPETDEKKNAAKSGASPAEYAHKVSATVTDKLAPVYQKVAVAGSSVVSKVKGSTGTGQEGSETSGGKVPDKGVSVKEYLVEKFKPREEDKALSEVISETLHKKKEEVGKTGESKPMGKVTESEEVARHLGTGMERKREGEDAIAAGRESSGKGMVDRLKGAVSSWIVKGREDQQYSQGSADSSNVRNEGSAASDEIGHRRLQESGN >CDP03441 pep chromosome:AUK_PRJEB4211_v1:3:21755957:21757621:-1 gene:GSCOC_T00015201001 transcript:CDP03441 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTRPLSLYRNHPSALLARPPSDSPCTGFIVVSDEESEEMDTFCWGICKSNRIKRLPFPQDRILNIVHTSEHEQSRVSKVWFIPVPDQPLSSNRYYAIKAGGYHKGQAYTCSREDGIETCCYNNVRVDAKPQPFDHRDVYQQFEIRPYGNGGFYAIPVAWDGFPPKFLRKRGWEVHISHSFRLHLREAQGIRTTSLSDVPEFHVPLLPRRSSPIIIGKWYCPFVFVKERAKVKEQMKASLFYELTLKQWWEQIYSCENQGDRGNMVVVDACVRKFVTLVYGMEAEKQDTTRNDGDGFIWFRAKARYGKKATAGLSRVVYEKLRWVQESRGWFDGGVEDVRVEGENEIRSENGWRRFGCYVLVESFVFRRVDGSLLINFNFKNSHRIQCKCE >CDO99105 pep chromosome:AUK_PRJEB4211_v1:3:3232886:3236208:1 gene:GSCOC_T00026134001 transcript:CDO99105 gene_biotype:protein_coding transcript_biotype:protein_coding MKILQEYAGALTNFEVLDFLRSRGAGKDPTRLIAGIAPSEYKVYDYLEQTAASTQTRDIAIEFVQKCKEYNLAKAEILNILNIRPSCEAALYPIIEDWDIRFSVEEGKEEEELGLLKTICQVLPPPPSVMESDEGIGVEEEDNPDEQQKKAME >CDO98808 pep chromosome:AUK_PRJEB4211_v1:3:952241:953090:1 gene:GSCOC_T00025733001 transcript:CDO98808 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCPSLMQRNGGAGTIKLTTFGEGHQFTSLKHRVDELDTQSFTCKYSAVEGGALMGVLESISHVIKIEESPEVGSVCQITEEQIKSGKEKALAMFKAMFKAVEAYLLANPDAYDYLLSSHCFITLHCNPVCNTGYIYNFTCTLVSCS >CDP03459 pep chromosome:AUK_PRJEB4211_v1:3:21217762:21226416:-1 gene:GSCOC_T00015227001 transcript:CDP03459 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYHIYEAIGRGKYSTVYKGRKKKTIEYFAIKSVDKSQKSKVLQEVRILHSLDHPNILKFYAWYETSAHLWLVLEYCVGGDLMNLLQQDTKLPEDSVHDMASGLVRALQFLHSKGIIYCDLKPSNILLDENGHTKLCDFGLARKLSDISKTPFSQRGTPCYMAPELFQDGGVHSFASDIWALGCVLFECYAGRPPFVGKELTQLIKSILSDPTPALPGSPSLPFVNLINSLLIKDPAERIQWPELCSHAFWRSKFDPLPLPSQPAFINMIELSSKPYLSERNGEKPLQNKTPTKHGKDSKGTIKPDENSVLEVKGRETPIKGAFSSRKTQTKVSTKIVDEKQKDNSNTRGVNLLRLSRIAKTNLHRENEKENYRRPLPNGSENDAEVEINNNDMELDFNENTEDEVNDEADGPENANCASDSDFSTPTQHEGKIEETDNNKGNSETSPIVQTPISDEFRTSDQEPSSEHAELTSMPPSLSTQTTPVKAKDVSGPVDTECSKLSTNISQVFWHPSDLSVRPVMPSKKSDKVSGAIPSVPFDALPVSDFVKMSREQLDSVNNKIVGIFNGNTAIGEKQNVIRYLEMLSSNSDAANILTNGPIMLVIIKVFRQSKTSALRAQLASLIGLLIRHSTFIGDELANSGILGSLTDGLRDRQEKVRRFSMAALGELLFYISTQNEQLRVGNPPESPSKDIRHASGWQVSNPLISLVSSVLRNGEDDMTQLYALRTIENISSQGGYWAARFISQDVISNLCYIFRAQGKQESMRLTAGSCLVRLARFNPPSILRIIEKLSFKDTASSLHKGSPREQQIRLNLLNMAMLEITNIGKYLLSLAEDKNLVPNLISLIEQGSEILKGKALIFAALLCKNGKRWLPQFFCNARLLSNVDRLLKEKDSYLKLCLDAFAHTVASVVPGLLETITGEIQQSSGGRRRMQFAGLASRNSAKSNINLFPVILNLLGSCLFKHRVVDSGVLQQFANLLRLAESPFQGRDEFQITLLKVLESIAEEPSAVHQNCDIFVSQILPSLAVLYKGNKDGDARFLCLKILFDSMVIFLNETSQNEQKLEDLKSISNSHFLPLYPSFIEDEDPIPMYAQKLLVMLIEFEYIKISDILQTKTISQCFEFLLGDLSTANVNNVMLCFTLASAPELETKILSQLKVVRKIGNLLEFVCAKEMEDFVEPTLNLCKAFLLRQVGSRKGFIYAKDPNLLSDNSSDGIIALDQHECIRDIADFGDNVGVLLELTKSNEMNVADLASECLILLFMASPREATTGFLTNLSKVSAILESWSRGVPHLLLQRILHALGYSCRQYLSYSIILSLSKPEILKIEAIVSEIKSSGVPALADAALRVALELQRLPRRI >CDO99005 pep chromosome:AUK_PRJEB4211_v1:3:2442999:2443465:1 gene:GSCOC_T00026006001 transcript:CDO99005 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTAKMNAMRSGVVVLGALAFGYLTLQLGFKPFLEKAQKRQQESLLLQQQQQQSEEASQTLSE >CDP11190 pep chromosome:AUK_PRJEB4211_v1:3:8713826:8717720:-1 gene:GSCOC_T00033278001 transcript:CDP11190 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLGVKVHLQDLMESLKNIQALLSTADQKQISASADLRLWLKRVNDLNAKADDLLDGFAYEARRPGAVRNFFSGCNSSVIKRRKEIRQFKFVSSRYRDLARGAHKFGLDSPARGDDATAKFEQILRTTDYSSVVESHMFLVGGDSLKLKEMLLSAGNDRDFSFVSIVGMGGTGKTTLARVVYNDYDVVNHFDVRMWVYVGREVSIRRILESLLECLTDFIPNWFCMEQIVYYLRDRLEGERCLLVLDDVCEEDPLLTGSLKESLLALGGSRGSKILVTTRSERVAQLLQPGSRYPLAPLPPEYCLGLFRQIAFASGGPVETPRLKEMGDAMVEKCRGHLLAIKTIGGLLYTKKDEEEWNSIATSDTWGIVDPIPGALLLSYIHLPSISLKQCFIYCSIFPRGSVMEKDELIQLWNAQGLLNPPQGSGLSEEDLGAKYLAILVRTSFLENAEQDEGDRRKFYKMHDLLHDLASSLSKGQCTIMNGIDIEANDLKLAAHMSLINCEGEILKSLRGKEKLRTLRMVNSEVLGHTLAYTTSLRVLIVVDWFVDELPDSVSKLKLLHYLDISKTRILKLPVSISELYNLQTLKLYDLIELPKNYENLVSLRDLYIERFEDFNRLSDLPPQFRKIINPSSFKACFKAYLDSKCPIEKLGTLLERPDLYYRLSIYRLENVGSCEKAEEAKLSIMSKIESLRLHWNARRENNNDEDVLDGLRPHENIKNLAIENYKGSAFPSWMGKTSQQLTRDQLAEMEKTELTLREMTSLEEWSEPANLSNHSSSVCPLLEKFEVEQCPKLKCLPNVMTTSHRLRRLEVAMCDSLGCLPEGVGGLESLKDLDIRGLPSLSQLSIGHCRNLTSLTIGGISKLASLPKQLQYLSALRFLTISGYGGLETLPEWISRLQSLQTLKLEFCWKLEHFPAAEKMQQLKKLDELDICYCPLLEARCTKGSRPELYKISHIPRIMINSEEIKFRPRNKNKNTINTQTQRNKDTESEISGNGERNVGEREQQGSNATLSSSQPAEQVRPETLFLSTQPFS >CDO99415 pep chromosome:AUK_PRJEB4211_v1:3:6715595:6718052:1 gene:GSCOC_T00026583001 transcript:CDO99415 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIEVLHMNGGNGDISYANNSLVPQKVILMTRPITEAAISDLYCSLIPKSICIADLGCSSGPNTFLAVSGLIKTVDKKRKIFGHKSPEYQIYLNDLPSNDFNTIFKSVPPFQEKLKMQMESGFGPCFFAGVPGSFYRRLFPTKQGRSEKFIFGGAEVPELEEINKGNIYMASSSPSSVIRAYVNKNSRFLWWGEVCKQ >CDP14640 pep chromosome:AUK_PRJEB4211_v1:3:14487067:14492801:-1 gene:GSCOC_T00042019001 transcript:CDP14640 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVEMEKFLCHLLLDHNKPISERFRALFSLRNIRGPTPRDALILATRDSSNLLAHEAAFALGQMQDGEAIPALELVLSDLSLHPIVRHEAAEALGAIALERNIPLLKKSLISDPAQEVRETCELALSRIEELRDHGSVDPSSAEPSPFLSVDPAAPASCSSVQELRDTLLDEKKGMYERYAALFALRNNGGEEAVTAIIESLGSRSALLRHEVAYVLGQLQNKRASDALSRTLRDTNEHPMVRHEAAEALGSIADDECLSLLEEFAKDPEPIVSQSCEVALSMLEFEKLGKSFEYLFMQAPKVELVP >CDP14649 pep chromosome:AUK_PRJEB4211_v1:3:14987035:14989194:-1 gene:GSCOC_T00042041001 transcript:CDP14649 gene_biotype:protein_coding transcript_biotype:protein_coding MALINLDLSFFSIFSLLIFLLSLLKWFSAASKPQKKLPPSPPKLPIIGNLHQLGQFPHRSLQSLSRKYGPLMLLELGSKPMLVVSSSNAACQILKTHDLSFASRPKSGIPDKLFYGSKDIAFAPYGEYWRQLKSISMLHLLSNKRIQSFQHVREEETSLMIEKISRMCSSSAVNLSDMFLILTNDIICRVALGRKYSEEENGRKSMENLKVFGELLGIFDVGNYIPSLAWVNRFNGLDSKVKKTVKQIDGFLEGVIEEHMNKRKGKAESHSTAEARCQDFVDILIEINEEKTMGFALERDAMKAIILDVFGAGSDTTHSVMDWGMSELLKNPKVLHKLQAEVRDVTQGKPEITRADMEKMQYLKAVIKETMRLHTPVPLLGPKESNQDVKVMGYDVPKNTQVLVNAWAIARDPLLWENPEEFRPERFLGSSVDFHGLNFELIPFGAGRRVCPGINFAMSVTELALAKLVNKFNFTSPDGINPNELDMTESFGITVHRKFPLHAIATPYSC >CDO99108 pep chromosome:AUK_PRJEB4211_v1:3:3249246:3253481:1 gene:GSCOC_T00026137001 transcript:CDO99108 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTKDDREEVIQAWYMDDSDEDQRLPHHREPKEFVSLDKLAELGVLSWRLDADKYENDEELKKIRDARGYSYMDFCEVCPEKLPNYEEKIKNFFEEHLHTDEEIRYCVAGSGYFDARDRNDEWIRIWVKKGAMIVLPAGIYHRFTLDSDNYIKAMRLFVGDPVWTPFNRPHDHLPARKAYVETFGQKEAAGQAVDAAA >CDP10033 pep chromosome:AUK_PRJEB4211_v1:3:24498071:24514881:-1 gene:GSCOC_T00030596001 transcript:CDP10033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MAAISFSAVSLYPYAHKGSLSRAANPNPSRQCISCCGPSHSTSTIGSRGGSREPHKRSRGRAEGPRKSMEDSVQRKMEQFYEGSDGPPLRVLPIGGLGEIGMNCMLVGNFDRYILIDAGVMFPGYDELGVQKIIPDTTFIKKWSHKIEAVVITHGHEDHIGALPWVIPALDARTPIFASSFTMELIKKRLKEFGIFIPSRLKIFKTKMRFVAGPFEVEPIRVTHSIPDCCGLVLRCADGTILHTGDWKIDETPLDGKVFDRGALEELSKEGVTLMMSDSTNVLSPGRTLSETVVADALLRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMALRTYLDAAWKDGKASIDPSTLVKVEDIDAYSPKDLLIVTTGSQAEPRAALNLASYGSSHSLKLTQEDLILYSAKVIPGNETRVMKMLNRISDIGSAIVMGKNEYLHSSGHAHREELDEVLKIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTVVIKNGEMLGVSHLRNRRVLSNGFTSLGKENLQLMYSDGDKAFGTSTELCIDERLRIASDGIIVISMEILRPQASNSLTEKTLKGKIKITTRCLWLDKGKLLDALHKAAHAALSSCPVNSPLVHMERTVSEVLRKVVRKYSSKRPEVIAIALENPAGVLADDINGKLSERSRVGLGISTLRKAVDGHQRKRRPNGAQEVDDSDSHAHLRSIMQQDLEDNEMDFDKLLSKDEANSVSTSSKAFSSNGAESDNFWKSFVQIPTNNVVEEGNTSLQLQKEHYENSEIDSGELDSGLPKSELKSSKSVKRNKWKPEEIKKLIRMRGELHNRFQVVKGRMALWEEISSNLLSGGISRSPGQCKSLWASLVQKYEESKTDSKSREMWPYFDDMNTIFVRH >CDP10316 pep chromosome:AUK_PRJEB4211_v1:3:12685044:12685655:1 gene:GSCOC_T00031006001 transcript:CDP10316 gene_biotype:protein_coding transcript_biotype:protein_coding MDPITYSAEMTSSIPPARLFKAAILDHHLLPKVVPQAIKNVEILEGDGGAGTIKKFPEYPFKSDKHRVETLDKDDFVYCYSVIESDALKEGIEKFTNEIKFEASPDGGSICKTTSRPALSTPRVMSRSLRKKSREENKGPWDCSRLLRLTSSQILMPTTN >CDP15111 pep chromosome:AUK_PRJEB4211_v1:3:10784787:10786202:1 gene:GSCOC_T00042686001 transcript:CDP15111 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQRHILLVSYPVQGHINPSLQFAKRLLRMGIQVTFATSVFALGRMTKSSGSIPKGLSFATFSDGYDNGFQPKGVGHSEHMSGMEKHGSNALKNVINTSANQGCPVTCLVCTFLLPCPWATTVARECHIPSALLWIQPATVMDIFYDYFHGYEDDVKNNSNDPTWSIQFPGLPSMKAKDLPSFILPSSDAIYGSVLSAFKRQFETLDEEEKPKVLLNTFDALEPQALKAIESYNLIAIGPLTPSAFLDGKDPSETSFSGDLFQKSEDYKEWFNSRPAASVVYVSFGSLLRLPKKQMEEIASGLLKSGRPFLWVIRAKEDGEEEKEEDRLSCMEELEEKGMIVPWCSQIEVLRHPSLGCFLTHCGWNSTLESLVCGVPVIAFPHWVDQGTNAKLIEDVWETGVKVVPNEDGTVDSDEINRCIETVMDDEEKGIELRRNAMKWKELAREATQEGGSSDKNIKAYVEEAGKGY >CDO99401 pep chromosome:AUK_PRJEB4211_v1:3:6448962:6453263:-1 gene:GSCOC_T00026562001 transcript:CDO99401 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCFCKQVVLVPSKLMAELAEPVVSFILERLSNLLIEEGKFLRGVSDQAEQLQSELKLMQALLKDADAKQHDDAVIREWISQSKDLAYEAEDLIETFAFKVGSRRRGGVANVLKRYTCIFKECYMRHRVGVDIQGLNTRVAKLTKRFRDYGIRTIMEKEGPSSRQLQSIRRTYSHVEEDDFVGLESDVKMLVQNLVSEDGTSHYRVVSLCGMGGLGKTTIARKVYNHPNVRRHFDSFAWLCISQQWQTKEILQGILVKLIPETKEEIVRSWNDDKLVRQLYNIQQNKRCLIVLDDVWSTDAWECIKNAFPTREKGSKILVTTRNKNVATHIGPNGFHHEPRLLSYDESWELLRKKALREIYNHGHEDIVKLEELGMEMVKHCGGLPLAVVVLGGILSTKHHFSEWEFVYLHIKFYLGRGERIGQREGEIQRILALSYYDLPYQLKPCFLYLGIYPEDHDIRTDSLYQIWMAEGMVSKEDQIEGESMMDVAERYLGEFVKRCMVQVKLKDEPGYRKFESCRLHDLMRDLCLAKGRDENFLKVNDNLELIESTSSASKSDRVVISLSSVEIPKYVPHKKKTIRHVRSLTFCIRDPPYYDRLARTRFSNFRKLRVLNIEGLRYLEGHIHLRYLSLRNSDAIILQSSIGKLDHLETLDLHGFRVFWIANVLWKLRRLRHLYLPDPEESAFLNRKCKLRLAGLIKLEILENFSAFNCDVRDLQQLTKKCSNLLEKLFESNLHHLTLNGSIGILPDYKLHFFKNLNELSISCSSIRRDPMLTLEKLPNLQKLWLISVSFGTEMACHSLGFPRLRFLGLHDPDRLEDWRVEEGAMPNLSHLRIRKCTALKMIPDGLKFISTLKEIVIQGMRDTFNNRVRVIDGQEGEDFYKVSHVPSILIS >CDO99131 pep chromosome:AUK_PRJEB4211_v1:3:3510399:3513342:1 gene:GSCOC_T00026171001 transcript:CDO99131 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQMKVQPIDSDQVYLESSKPPPVLKSRLKRLFDRPFNSVLRISSATTEKQASFPGEVKDFAAPSPPAAGVPEFELSSVCLDNMVQNFIEENAFEKQPQTAQKCGRNRCNCFNGNSNDSSDDEFDFGFGDVVTNSNSYSFGDSVDFLKSLIPCSTTVERNLLADTSKMVEKNKTCKRKDDLRKIVTDGLLALGYDASICKSKWDKSASIPAGEYDYIDVITEGERVLIDIDFRSEFEIARSTGSYKAILHSLPFIFVGNVDRLQQIIGIVSEAARQSLKKKGMHIAPWRKAEYMKAKWVSPHVRTTVPPQNDVVAVEGKQAEEVVVETEEKDESKEVVVEEASEESECGELELIFGEKTTPSEEPKSGLSSPARRFPAKYQKGRRRRSGRGNRR >CDP11214 pep chromosome:AUK_PRJEB4211_v1:3:9312748:9315072:1 gene:GSCOC_T00033317001 transcript:CDP11214 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFLDEALEGYVCNTHKDYQTIGWTERLYLHVPPESISNFQKWPQKPENFRRVLLEYTEKLRVLNEIILKAMAKSLNLDENCFLHQFGGNQRLLSTFNFYPPCPWPDVLDANKPHSDPSGITFILQDDEVEGLQVLKKNQWHTTPLAPDAIVVNVGDQVEIMSNGIFKSPIHRVPTNNKRERISLAFFFCPEPSFGEIKPAEGLIDEKNPRLYKNVIDYLRHFRENIQHGITRPLDALKI >CDP11186 pep chromosome:AUK_PRJEB4211_v1:3:8600693:8605093:-1 gene:GSCOC_T00033273001 transcript:CDP11186 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSFEPQFHLGLALTELHRQLQQEGWRFGYEGVAGVSGLRGLLNPNLRRIGDQNLYNTLDDHITKLQDDANFLITFRDCIHKWTDPGYVIRNSGFLVSKINAEIVDIAGKLSIACRKKYVSKCPSKLIDVLSNLLTNIQKLKPEIAQACQALSASRSFQVVSPLHRYFLWVEFVHSVAVTNFRPLLKRVDRLDQQENLAALVENLNKLISFLNRAQKGFEDGFVRDRSIIDGFLVHAASVVIRAANLSCFFWSKHWADPTSDQAMVDSTITDLSNLRSKIDFTTPKMIEFAIKFLNALNKISHGRTANHEVDLAANFLEHVLCGESKTCFSKELMPLVIFVLNEPGESLQPLDAKCFSAEIKHLILEVALQRQRWVPGKIRLIKEKMLLLEVQIFLKEQLGNSTIFKQPAEDQIKALHKRLKDLPNDRSGLQEAEFENGKLVFDCIEKATAEIESLYHSFKAEKIKKSKVRSLVSLLLSQILLFKASWVLMELRNGEETLTGLKSEDQIEAFLIGAKLFLALLEDKKEKSKEHEEKMYMIPVEAISWRITHLYYLIIAQKVEKEVIENLFSGFIDEMEIITAELRGICPKISRSNFPNFIGTQFFDFLSANLLELIKRNPDSIALVKDHIEKIQLDLVSFTSLFTGTSYPDNEHQELKDLSTCLTEMAYEVEYVIDSIEMGIGANLQHLLWIYDLQERIRFIKNLAKNTGVPSVAQIRGHVRQASTPKTDKMVISLGDQEQEVIDRLTWGSSKRDVVSLVGMAGIGKTTLAKKLYNDPNIVYHFHCRAWCSVSQVYLKRNLLLNILRDIEGLTDEIHEMSDADLEQRLRQRLLKNKYLIVLDDVWDVEVWNVLERSLPDDGNGSRIMITSRLRKVALEAEPDRDPHSLRLLNHDESWKLLQMKIFHGEGCCPKELVDIGEQIAERCGGLPLAVVAVAGILEKTEKELEQWELIAKELNSQVIGDAETRCKDILQLSYSHLPAHLKACFLYFGAFQGDKDVRVNKLIQLWIAEGFVQKKVPRSLEETAEEYLLDLIDRSLVIISKKRSKGGVKACRIHDLLRDLCQSQSSEDKFLQLVTRYDEPYASLLDSDHWVDFDSYCPSNPVKYESHRLCICLKRKVFVDSRPSGPSTRSLIFSASSDTYPRRPYNLSFIPRHFKLVKVLDLECINIGHSFPAEMEFLVQLRYLAISGDVGSIPRSISNLWKLETLIVKGLSGMVLLPDSLWCMTRLRRIHVKKQAAFSMGDDISGYSFQLENLVTFSSLSLSFGMDTEKILRKLPNLRSFSCIFLDARDSSQSCNQFPRLDFLPQLESLKIFYAGRTRKPGEFSLPLNLKKLTLSSFGLPWDHISTIGRLPNLQVLKLASEAFDGESWDMREDEFPELRFLQLDSLNLVQWNASYDHLPKLAQLVLRNCKRLQEVPYDFADIPTLELIQVQQCGKSLEESVRSIGEATECIQVLISRSYS >CDO98923 pep chromosome:AUK_PRJEB4211_v1:3:1847934:1853375:-1 gene:GSCOC_T00025886001 transcript:CDO98923 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLEVQNPVVKKQLLDVQPRELKFIFEVKKQSSCAVHLANLSDHYVAFKVKTTSPKKYCVRPNIGVIKPKSTYDFTVTMQAQKSAPSDMQCKDKFLVQSTVAPFGTTEEDITPDLFAKDSGKYVEECKLKVSLTSPTQSPVLSPVNGVSKLEQSPASEISEEKLLTRVENLPPLQMLDEKFEGEKLVMDAEVLTSAKVEENVLLPTKTGKLICDRDVEYRPLDVVDGTKLKFSRDVEELKSKLITLDSELIQAKSNITKLTEEKSCAVQEKQTLKRELATLRRKTGVRTVERGFPPLFVCMVALISLTVGYLLHG >CDP08140 pep chromosome:AUK_PRJEB4211_v1:3:31497743:31498864:-1 gene:GSCOC_T00026878001 transcript:CDP08140 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTTALRNVVKNCRWMYFSEGRWVGTMTPKKQANDDAGEGHMVETKANPDPTVAFGRPPPLPPVLGPLVAFSLLEPWLQRDGDDD >CDP08068 pep chromosome:AUK_PRJEB4211_v1:3:30333410:30336546:1 gene:GSCOC_T00026767001 transcript:CDP08068 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTHVLALPLPFQGHINPMLQFCKRLASRGIMVTLATVFSANISMQNQFESIRIEFIPDTSGIEAATSLADFVNRVTATISDNLPEIVEEKARCGEPVKVIMHDLLLPRALETVYKLGIPVAAFSTQSCAVCAIYNHVRRGTLKIPLEKPNISLPSLPVLESNDLPSFVYDPCAYPDPSGVLLDQITILEKADWIFFNTFDNLEKEVLEWTANQYPIKSIGPAIPSVYLDKRLKDDTDYSLSLFKPTTKSCMEWLDSKEIDSVVYVSFGSLSDIGENQLAEIAWGLMRSDYNFLWVIRASEESKLPSNFQSKARDKGLILNWCPQLEVLSHQAVGCFMTHCGWNSTLEALSLGVPMVTVPVWVDQTTNSKYIVDVWDAGVRVKADENGAVQKEEVEMTIKEVMHGEKANKLRKNALRWKELAIEAMSEGGSSHQNIEEFVSSILST >CDP16556 pep chromosome:AUK_PRJEB4211_v1:3:25617376:25620333:1 gene:GSCOC_T00018947001 transcript:CDP16556 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFWTSTLAYIFLLLQFVMSFPAIHVSLSAAKQFQNETDRLALLEFKHQIYDDPFGVLNSWNHSQYHCQWEGVTCSTRHQRVVALTLMDRHIWNYISSQFDRLFRLRVLNLSSNALGGKIPANLSYCSQMITIILNRNKLEGKIPIVQLSKLKKLENFHLDTNNLTGEIPSSIGNLSSLTTLALDFNNLEGNLPSEMGLLKNFAILAAGGNKLSGIIPASIFNCSAIIAISVPTNSFHGNLPTNIGLTLPNLEGLYPGANKFYGNFPTSITNASGLEVLDLSRNKFEGQIPANLRDLTNLIVFDLYDNLFGSKSTGDLDFVASLINCSNLRSLSLSGNEFGGNIPKKTLFLGKLKNLRRLRLDHNEFSGQIVSTLSNSTTLYHLDLSTNHFEGGNVFDNVLVNYQNLQYLDISQNNFTGIISPHFLQTQSSLMYMILGENSFSGSLPPEIGKLIHLVDFNVSHNHLVGDIPLSLADCSNLENLSMQANFFQGTIPPNLTSLKSIQKLDLASNKLTGPIPKELEKLQFLRYLNLSSYDIEGEIRNTGVFSNASQISLIGNNKLLAAWVSIMSTRVDKHLQISYHELHRVTSGFSPENLIGSGNFGTVYKGRLEKHGNKLVAVKVLDLQKNGASKSFKAECKTLRNIRHRNVISIMSYCSSIDSKGDEFKALVYEFIENGNLDLWLHPSETTDQATSSRSLNLLQMLNIAIDVASALQYLHNHCEAEIVHCVVKPSNILLDNDLVAHVGDFGLARLLPKPINISSEQGTSSTIAIKGTIGYTAPGKYKFLRSLKLYTNY >CDP14675 pep chromosome:AUK_PRJEB4211_v1:3:15543794:15551706:-1 gene:GSCOC_T00042085001 transcript:CDP14675 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQAAISMKMGLSNISSPSHLQRLLFLASGINHRKIINSASINKNARINSKNFHSSMSVSASYDDNVDATPSPPSSSYQAEKLRKLLGSPGIHLGPACFDALSAKLVEQAGFDFCFTTGFGISAARLALPDTGLVSYGEMVDQGEQITQAVSIPIIGDGDNGYGNAINVKRTVKGYIRAGFAGVILEDQVSPKACGHTRGRKVVSREEAVMRIKAAVDARKESGSDIVIVARTDSRQAVSVEESLWRSRSLADAGADVLFIDALESKEEMKALCEVYPLVPKMANMLEGGGKTPILSPMELEEIGYKLVVYPLSLMGVSIRAMQDALTAIKGGRMPSPGSMPSFEEIKDILGFNKYYEEEKRYATNISQLTSQSGYSSASSDTYSTQQISEDNSSGPFSGIWSIKLRVKITGRDGFEKLDIQIPVSDLVNDLMTIPYLCLTYFVLVKIKEIMNKKGMQLKW >CDP08046 pep chromosome:AUK_PRJEB4211_v1:3:29912622:29922109:1 gene:GSCOC_T00026734001 transcript:CDP08046 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLLMSASVEVLLQKIFSVTTENINLVFGGPQEISCLMENWFSRSSEETNVVQAIRQELEELKGSLSNIQAVSQDNLRLQDKAVIVTLWLQKLSDLAVDADNALAEFGYQILQHEVEMLNQEKPKVSLFSCHSDKKAFRQEMMPKARGISNKLEMINKEVKDFLASEKYGVTTASSPQVDVVREVGFLIADLKITENEEKTSIENFWSIIKEKAGVSDEIPIGLEDIGRHIAEKCQGLSLAANLLGGLLQNKRRDFWLSILESGVLDKEDVIPAILKLCFENLPSPFLKRCFAFCSMFPRNSVIERDQLVQLWMAEGFIDPGLGISVMEEIGNQYFDTLLQNSLLEIASKDNFNKVTHCKLHNLVYDFAYSLSSFESITFGERDQDDIRQIQHLALETFTEETMKIAKEKARYLRTLFLKNNLPDNNLLNVNFLYVLNLCDADIAELPASIGKLRHLEYLDLSRTKIKSIPDSACNLYKLKTLRIIGCNSLKKLPKDFKDLLCLRHLHFYYDEFFSMPYEFGRLSHLQTLPIYNVGEECGPPIGELKHLKDLKGKLEIRNLDLVKDKKEAQQANLFGKPNLQELELRWINFEREGPNNDENVLEGLEPYHNLKSLRIEYFKGDRFPSWVMKMSVKEGSLRLNNLVESITFGERDQDDIRQIQHLALETFTEETMKIAKEKARYLRTLFLKNNLPDNNLLNVNFLYVLNLCDADIAELPASIGKLRHLEYLDLSRTKIKSIPDSACNLYKLKTLKIIGCNSLEELPKDFKNLLCLRHLHFYYNEYFSMPYEFGRLSHLQTLPIYNVGKECGPPIGELKHLKDLKGKLEIRNLDLMSVKGGSLQLNNLVEVKLERCTRCNEIPTLGSLPLLQNLVIVELSEVSCFGSSFYSGNRSNTSTSETQATESFFPALKSLIINNMPSLLDWKGPEEISASYEAKTFNSLERLFLRWDPKLMTAPSHFPALKVLRVEFIKSGSPLDRICNAKLIPLPPIPSEVLESCKFLQSLWVTDCENLIEFSPNFPEMPNAMPKGLGALSKLADLGMGPFSNSMEFETFQTCFTGLQQLSSLVSLFLVGQHHWNSLPEELQHVTALKEMTLYDFGIEVLPDWIGNLSSIQKLEIFNCRKLESFPSREIMEGLKNLEKLEVEDCNLLARKWMLQNEPDSEWFKVSHIKKVILDLEEVSDPIIWNMIHRMMEKRMQKRNNEEKKKKKKKTKKMKKKTNSIITKYFHKKRYYITLFKDGSSRWKNCTPKQSRLETTSSVHQTMSMSRIRDSQKRAVAHVALFPSAGIGHLVPFLRLAAMHASRNCQCRVTLIAVQPPVSAAESNELSAFFASHPQINRLDFHLPIPSSPSEFADAEKPDPFFARFLDISSSVHLLHPLLASLSTPPLSAIFADFAVAADINRLADELSMPLYIVSTTSARFFSLMACLPDLILESKSSEDSSIQLPGLASVPISSLPPPFFTPDHIFTAHIRLNAQFLSKAKGILLHSFDWFESETIAAVNTGRVLAHLLPFLPIGPYEPCKVVMKAGSYLQWLDGQPSDSVVYVSFGSRTALSKEQIRELRNGLERSGCRFLWAIKTTKVDKDEREDLQDLLGSSFLERTKKKGLVCKGWVEQEQVLAHPVIGGFVSHCGWNSVTEAAQFGVPILAWPLNGDQKLNAEVTEKAGLGVWMRYWGWLGENLVKGQEIGDQIVQLMQDKTLRVMAKKVKEEARKAYEVGGSSKKVLLEIIENL >CDO99194 pep chromosome:AUK_PRJEB4211_v1:3:4202904:4206410:-1 gene:GSCOC_T00026258001 transcript:CDO99194 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGQWKDELETHSKPDSISISVFYGGDRSSDPRVIAEPDVILTTYGVLTAAYKNDGENSIFHRVDWYRVVLDEAHTIKSSKTLGAQAAFKLSSYCRWCLTGTPLQNKLEDLYSLLCFLHVEPWCNWAWWQKLIQKPYESGDYRGMKLIKAILRPLMLRRTKDSKDKEGRPILVLPPTDIKIIECEQSEAEHDFYDALFRRSKVQFDQFVAQGKVLHNYANILELLLRLRQCCNHPFLVMSRSDTQEFADLNKLARRFLDTNPDSATQKIPSRAYVEEVVEGIRKGENAECPICLESADDPVLTPCAHRMCRECLLSSWRSPTAGACPICRQVFKKTEIITCPSENRFRVDVEKNWKESSKVSKLLDCLERLRKSGSGEKSIVFSQWTSFLDLLEIPLKRRRIGFLRFDGRLSQKQREIVLREFNETGEKMVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRTVRVRRFIVKGTVEERLQQVQARKQRMIAGALTDEEVRSARIEELKMLFR >CDO98779 pep chromosome:AUK_PRJEB4211_v1:3:741817:743685:-1 gene:GSCOC_T00025699001 transcript:CDO98779 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNKEESGAQDAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKQVRLGERKDLKSSMSTLGAASQCQKCFQTGHWTYECKNERVYISRPSRTQQLKNPKLRMKVSISYDLDNPDTEKEGKSDKQVKKTKRKHKSDTESGSDSEASVFESDSGGSSVTGSDYSSGESETSYSSSTDSEEERRRKRKKKKKQQRKRRHRRYSSTSESGSDSFSDSESESEERSSRKKGRSSRSRRHSRKH >CDO99057 pep chromosome:AUK_PRJEB4211_v1:3:2891174:2892818:-1 gene:GSCOC_T00026076001 transcript:CDO99057 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSVKMLLPTMPHSTGRHKASGENQLRSWCFDRLSCEGASSRRELVLRCGGISIASCVMLNWVLTPSPAWAEEESNSPEDKDEGVVGAIKSLLDPNEKTKSGKVLPKAYLNSARDVVKTLRESLKEDPKDMVQFRRTADAAKEAIRDYINNWKGQKTVINEESYAMLEKAIRALANFYSRAGPSAPLPEEIKSEILGDLNTAEEFL >CDO99198 pep chromosome:AUK_PRJEB4211_v1:3:4229161:4232962:-1 gene:GSCOC_T00026263001 transcript:CDO99198 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSFLGRVLFASIFILAAWQMFNEFGDDGGPAARAWAPKLVPIQKFLRSKFGEGGPNIDVRHFVAGSIALKGLGGLLFVFGSKMGAYLLMYHLLYTTPLFYDFYNYEFGGPEFFSHLQDFLQCVALFGALLFFLGMKNSILRKQLKKKTPKPKTA >CDP14654 pep chromosome:AUK_PRJEB4211_v1:3:15122047:15124260:1 gene:GSCOC_T00042051001 transcript:CDP14654 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLFNRTFCTFPWNPRTHIFQCNKRIQELLKFGQLDHARKVFDSMPQRDSVTWNSMISGYSKSNRIKDAEVLFNVCQDKNVTTWTAMLSGYAKNGRIWEAIRLFEAMPERNIVSYNAMISGYWGIGDFVNARRLFDVMSEKNVSTWNSMITGYCRCGRVYEAHALFDEMPERNSVSWVVMISGYVEIGQFEEAWALFLEIHRWRERNDYAYTTMITAFSECGRLEDAIALYRQVPDVTDASLEVLVQLHRSGTSPSCSSFTSALLACSNCGDYEVGRQIHSLVFKLGYQYNTYIGNGLISMYAKSKNMEVSSLAFSSMSERDIVSWNSLIIAYVQAGEGEFACQLFLDMLGQDVKPSELTFPYLLSVSGSLCAVKLGKQIHALIFKLGWASHLFVGNGLINMYFKFGSKDGFLAFESMEERDLVTWNTVLTGCGQNGFVEDAIQIFQKMEGEGFVPDQVSFLGLLCACGRAGLVAEGLAYFSSMIQYYLIAPTIYHYTALVDLLGRAGRLSEAESIIEKMPLEPDAVILEVLLAACRIHNNIKLGQKIAGRLLQIGM >CDP15120 pep chromosome:AUK_PRJEB4211_v1:3:10632652:10634052:1 gene:GSCOC_T00042698001 transcript:CDP15120 gene_biotype:protein_coding transcript_biotype:protein_coding MENCHFLVVTFPGQGHINPTLQFAKRLAKLGVRTTFSTSLGAINRMSRASDSLPEKLSIVAFSDGYDQGWTTDDDVQEYMTSLVTRGSQTLKELIMAQSNEGRPITHVVYAILMPWVGQVARQLQIPSTLLWIQPAALFQLYYCFFNGCGEVIGDISSSKAIKLPGLPTLASRDLPTFLLASNPDVYSFALPTINKHFELLQEEETPKVLVNTFDALESETLKAAVKLKLVAVGPLIPSSFLDGRDSSDSSFGGDLLQETKDCVEWLNSKNDASVVYVAFGSFADVPLKQLEEIAQGLLQSKKPFLWVLRKSPKGEKLEEKLSCKDELEKQGLIVSWCSQVEVLSHPSVGCFVSHCGWNSSLESLASGVPVVAIPLWTDQTTNAKFIQDVWKTGIRPTANEEGTVEADEIRRSLEIVMDGGVRGEEMRKTAKKWRELAVEAAKDGGSSSLNVKAFVNEVAAGVIRG >CDP15114 pep chromosome:AUK_PRJEB4211_v1:3:10722387:10724270:1 gene:GSCOC_T00042690001 transcript:CDP15114 gene_biotype:protein_coding transcript_biotype:protein_coding MADIPTHAALHPGPFVYDIISAGTAHRAHSIFHGHIVGEQLDVRRCDRHFWEHTPIPETVRQYIRLAGFEGVLDCGYMMLDHALITSLVERWRPETHTFHLPVGETTVTLQDVEVLWGLPIDGPPVIGIDTSHSVEEWRNLCGELIGFYPAMSDFNGQHLKLGCLARVLDTELTPDASDVQCRQRARVYLLLLLGGHLLADKFGNKVPLLYMPLLRDLETVGQYSWGSAILATLYRSLCNATSPSRSAIAGPLVLLQLRAWERIPTMRPDRVQPLEYYPGPYGARWNVQFDVHRVARHVVSVFRDQLTGLRAREFIWQPYSNDILASLPAYCTAGRGIWRSVTFLICWEVVEPHLPNQVMRQFGYHQPVPDLRLTDNQQALHSLDRRGKGNQDWITRHAPYIDVWTDRPMHVEDGAVTENPTYPSDEYRQWYRERTVLYISNPTRHPVYPEGFQGDSARAQYLVSFPYSYSKRSFKQTPSC >CDO98940 pep chromosome:AUK_PRJEB4211_v1:3:1962748:1966504:1 gene:GSCOC_T00025913001 transcript:CDO98940 gene_biotype:protein_coding transcript_biotype:protein_coding MATDQFKDIENGISHNNILEDLEKPFIQNGKMVVSEDSSPEADKENGSIAMVLLSTCVAVCGSFEFGSCVGYSAPTQSAIREDLNLSLAEFSMFGSILTIGAMIGGITSGRIADFVGRKGAMRISAVFCVIGWLAVYFSTGALILDFGRFCTGYGIGIFSYAVPVFIAEIAPKNLRGGLATINQLMIVSGSSVAYLLGTVVSWQTLALTGILPCIVLFVGLFFTPESPRWLANVGREKDFLVALRRLRGKDADITREAAEIEAYNVTLRSLPKSRMLDLFDRKYIRSVIIGVGLMVFQQFIGINGISFYASETFAEAGLSSGKTGTAAYAIVQVLLTVVGALLMDKSGRRPLLMVSASGTFIGCFLTGASFYLKGQNLLLEWVPLLAVSGVLIYIAAFSIGMGAVPWVIMSEIFPINVKGAAGSLVVLVNWIGAWAVSFTFNFLMSWSSTGTFFIYAGFCVLTVLFVARFVPETKGKTLEEVQASING >CDO99303 pep chromosome:AUK_PRJEB4211_v1:3:5247484:5253903:-1 gene:GSCOC_T00026412001 transcript:CDO99303 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSIIPGKKELLPDDLSELEVPLSVVLPDNVELLTQGDSSNAKEHFSTSLELIDLDMEDPSAFSLCYEDESSSGKDSLPQGNRLEYQCSVATKEENKSSTSSQIDIASSSSGEVKLSIIYESSLPSNFCIPSLDALFRRMEEKLHKSYRINQPGFSVMNLMKDICEGFLAVGTNTTLDEGVSSADVHANPVAPRILDTQDVLNGNVSHQVGFCVAPSISLGPVEFQSLNEAPPKIPKFQSLNCFDLSRCKIDLTMQGSYSEWNKNSLALGDQKSSTSSSMVIVQAQHFSNEMLSSAYYIDDITRGEEDLEISLINEINNEHQPIFKYIPRNITYQSAYVKFLLARISDENCCSNCFGDCLSSKIPCACAGETGGEFAYMPGGTLKEKFLEDCMLMNQSPQQKNLFYCQECPLERSKDKNLSGKCKGHLVRKFIKECWYKCGCIKDCGNRVVQRGITRKLQVFMTPEGKGWGLRTLEDLPKGAFICEYVGEIVTNMELFDRNSQNTGKKHTYPVLLDADWCTEGVLKDEEALCLDATFYGNVARFVNHRCDDANLVEIPVEVETPDHHYYHLAFFTTRKVDAFEELTWDYGIDFCDHTHPVKAFKCCCGSQFCRDKKYLKSRC >CDP08007 pep chromosome:AUK_PRJEB4211_v1:3:28898632:28903257:-1 gene:GSCOC_T00026666001 transcript:CDP08007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAQDPFYIVKEEIQDSIDKLLSSFHKWERLAPDSGEQLNLTKELLAGCESIQWQVDELDKTISVAARDPSWYGINEPELEKRRRWTGNARSQVGNMKKAIVAGKESNGISSSNVNGMRRELMRMPNSQQTDRSNQYVTQANDDLISSESDRQLLLIKQQDEELDELSASVERIGGVGLTIHEELLAHEKIIDDLGTEMEGTSNRLDFVQKKVGMVMKKAGAKGQFMMILFLLVLFIILFVLVFLT >CDP10861 pep chromosome:AUK_PRJEB4211_v1:3:27833646:27841969:-1 gene:GSCOC_T00031786001 transcript:CDP10861 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQSPSLEILVREPDGFFIWNGPPFADGQPSVTLDKVQCSSAKFSEDGLKLMVMKSDSQISIYDCKTWREIRSFQVPNVLAATMSPCGTYLQTFQKSTSPQDKNVVLWRIDHGDSVHHLSQKNMTKATWPSIKFSLDEAIACRLATNEVQFFDPEDFSKGIVHRLRVPGVAAVELSKTPGAYVAAFVPESKGMPASVQIFATGKDVQSPVARRSFFRCSTVQLNWNSGSTGLLVLVQSDVDKTNQSYYGESKLNYLTTDGTHEGLVPLRKEGPVHDVQWSCSGKEFAVVYGIMPAMATVFDKKCNPLLELGSGPYNTIRWNPKGKFLCLAGFGNLPGDMAFWDYVEKKQLGTTKAECSVTSEWSPDGRYFMTATTAPRLQVDNGIKIFHHNGSLYFKEMFDKLYQADWKPESPDRFGEIAELGKSVESLKIDGTKPQGQGSKSSQVSSKPANAPAQKPAAYRPPHAKAAAAVQAQLFGGSPSGELSKNALKNKKKREKQREKKAAEAGGGASDA >CDO98689 pep chromosome:AUK_PRJEB4211_v1:3:48239:50455:-1 gene:GSCOC_T00025581001 transcript:CDO98689 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWWLPDPPVGVPSFANLSGESLPSIKCSCCSFLYVHVYLECNWCDWNEDNANSSPFDLPAYKWRLVIAYDGTRFSGWQYQRSTPTIQCCLEEALTKITKLERENLHLVGAGRTDAGVHAWGQVAHFITPFNLANLDDVHKALNGLLPSDIRIREIGAAIPEFHARFSVTSKIYHYKIYSDAIMDPFQRHYAFQSVYKLNPDTMRDAAKHFVGRHDFTAFANGSRNDRVPDPVKIIFRFDVIEMGHLLQLEVEGTGFLYRQVRNMVALLLQVGMEAVPSDIVPMILASRDRKELAKFALSVPPQGLCLVAIKYKPEHLHLPADAPTSSFGRHRSIGRCKLPCF >CDP11182 pep chromosome:AUK_PRJEB4211_v1:3:8559720:8563543:-1 gene:GSCOC_T00033269001 transcript:CDP11182 gene_biotype:protein_coding transcript_biotype:protein_coding MAHISGLVAAQEAANPFEYCDLVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQAMSPGFKAYAKQVKANAVALGNYLMSKGYKLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIAEFLHRAVALCLKIQKERGKLLKDFNKGLVNNKDIEELKADVEKFSTSFDMPGFKVSEMKYKD >CDP03433 pep chromosome:AUK_PRJEB4211_v1:3:21871338:21875252:-1 gene:GSCOC_T00015189001 transcript:CDP03433 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSGFVKKENQCQESLLVQQAFADLERGRGYLVPDDDYKSFDQKRSGRFRLDGFISLCIFVQSARMLN >CDP15479 pep chromosome:AUK_PRJEB4211_v1:3:17908791:17913559:1 gene:GSCOC_T00043233001 transcript:CDP15479 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSLIGGTRRFCISSLLKPSSPISLDFRPPMQLTLRSSWLALRNFSHGRVNLVISPQGKPKFETHEIEQPKKDKWMTKKRLKMKRKKEKQKRKAANKRDPRRLGVKGKKKKQRFANAEERIKYKLERAKIKEALLIERLKRYEVNKVQGPVVKPHELTGEERFYMKKMAQKRSNYVPIGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQVQDYASEIARLSGGIPIQIIGDDTIVFYRGMDYVQPEVMSPIDTLSKKRVPNSLYIQGCLT >CDP03421 pep chromosome:AUK_PRJEB4211_v1:3:22628644:22631915:1 gene:GSCOC_T00015158001 transcript:CDP03421 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVVDALLGSTVKVLVEKTINLASEQIGLFVGFKKDLEKLKDTLTLIQAVFRDAEEQQETQEFMKRWLENLEAAAFDAGNLLDDINYEMIRRKVKMQNQMKRKVCFFFSLSNPIAFQARSFGLQSQIAPAHKYNNNHAVLISENRETDSVTVGASFVGRDDDVSSIVTQLTATSNNETLSVHPIVGLGGIGKTTVAQKVFNGLNIKNHFDKRMWVCVSDVGKHFDANKLFGLMLEKLEVPMAEVAGMDSREAKVQKLKEMLDGEERNGKKPRKYLLVLDDVWNEDPAPWNRFLDSLRGISSAKGSWILVTTRKEQVATMTAISSRPCSLEKLSYHNCWLILEKPAFGSRETPDDLKELGLELAKKCQGLPLAATVLGGMLCNKGSDVWRSILETGLQNIGGDGESYITKILKLSFDHLPDPALKKCFAYCSIFPQDFQMERNQLIQLWAAEGFLHSDPRKNICMEEVGNRYFTILLESKLFQDAMKDGYGNVLNCTMHDLVHDMVQSISECRTLRLKEPTEADFHGKTFRYLVVERSENPRENDSECNQVLEGLQAHQNLKGLIIESFFGDKLSTWIGELGKLVKFELRNCKSCKELPTLGNMPLLKSLHFEGLDSLTSIDPSFYGRSDVHSGSTSQRPLKLFPALEDLILENMQNLREWMEATVHDGTVAVGLFGLLHISPILFAYIIFTISNTPFYLPNIFLSHILHITKSATVKISQIIPNNLQSKQTHRLATFPVLDTMRIFNCPQLATFPNHCPSLKELEIETTQNGSALMAYICSGVSTLTRLSIKSVNGLTILPNVLFQNNPKLACLELRNCVPRGISCS >CDO99453 pep chromosome:AUK_PRJEB4211_v1:3:7147767:7149548:-1 gene:GSCOC_T00026636001 transcript:CDO99453 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYTB5-D [Source:Projected from Arabidopsis thaliana (AT2G32720) UniProtKB/TrEMBL;Acc:A0A178VXM5] MGADDKVLGYDEVSLHNTSKDCWVIINAKAYDVTNFLDDHPGGGDVLLEAAGKDASEEFESAGHGSAARLMLDEYYVGEIDPSTTPSPKPAATAHLLSKEPKDDNAKSSGLLVKLLQFLLILGFAAGMHFFTKSSSNFEL >CDP10288 pep chromosome:AUK_PRJEB4211_v1:3:12165861:12168578:-1 gene:GSCOC_T00030967001 transcript:CDP10288 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVLRCFCDFLKSLGDSWINNGEWMVKCKYDASIKLNQNDLEDIAQSMLEDMNKLARERMFDMMDEDEQMNGYSPSETAFGKALSESYSDSKNSLSSSPATPTSVLQDMTNMLSKGTKQSYTPPLLLQLRVQAVEKLNPIDIKRLSFHMLPHAAAPDPNYPLQKSKHESVSKAAGTNEVNQDFEMEEDTFDVVMTNSDESAIEAGKTRTTIENLVTGPVPCTPAKGDIDVVPPPTSLPKLRSNVSEKENTPQIPVEYKLPLDKIASQGSAAPPPPPPPPPQMFPKNEVLLARQCQTQLLETICPTPPPPPPIPSPIAEGSIAITPPPPPPPPLPPVTSMSTTFFPPPPPPPPPPSTSNIPGSIAPPPPPPPPSSMPSGNFTFHPPPPPPPMGSGKIAPPPPPPPMGASNGGVPAPPPPMQPGMRGPPPPPPGLGGAKDPRLRKAATKLKRSSQMGNLYRLLKLKVEGGSNLDAKSSRKGKISSTSGGQQGMADALAEMTKRSAYFQQIEEDFKNHEKSIRELKVSINSFQCSDMTELHKFHKHVESILEKLTDETQVLARFEDFPTKKLEALRMAAALYSKLDTIITTLKNWQIESPVGPVIDKIEKYFSKIKQELDALERTKDEESKKFQSHKINFDFGILVRIKELMVDVSSSCMEQALKERRDAKAMENAQKGPKTECPKKRSGKMLWKAFQFAYRVYTFAGGHDDRADQLTRELASEIQTDPNH >CDO99284 pep chromosome:AUK_PRJEB4211_v1:3:5052717:5054435:1 gene:GSCOC_T00026380001 transcript:CDO99284 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVCATGFKGGLSSSFHGSWGKSVGGEDFPMLAKTVPSHVRVGKPVRLQPMMGNVNEGKGLFAPLVIVARNIIGKKRFNQFRGKAISLHSQVINEFCKQIGADSKQRQGLIRLAKKNGEKLGFLA >CDO98834 pep chromosome:AUK_PRJEB4211_v1:3:1120797:1122757:1 gene:GSCOC_T00025767001 transcript:CDO98834 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDDSSRNKKLAILSVSSILLVAAVVGVVTYGSSHKNVESPSAGADATPVTSSSKAIQSLCQHTDYKETCEDSLSKAKNTSDPRELVKVAFQTTVDNLGEVIKNSSLLQNAAKDPRTSDALDICKEVLNTAIDDFKRSFDKVQDFDISKLDDYAADLKTWLSGAITLQQTCLDAFENTTGDTGEKMKQLMRTAVQLSSNGLAIVTDFSEILGTLAIPGLSRRLLSAEKRKLDEENFVERRLLQVDPSSIKPNAIIAQDGSGTFKTIRDAINTVPKNNTTPFVILIKAGVYKEVILIPRRINNVVIMGEGPNVTKITANKNYVDGIGTFHTATVAINGDGCILRDIGIENSAGPEKHQAVALRVSGDRTIVYNCQIDGYQDTLYSHTYRQFYRDTTISGTIDFIFGDAAAVFQNCKLIVRMPLVNQACMVTAQGRNNSRSTGAIVLQNCSIVADPALLSADPPVRAYLGRPWKEYSRTIIMQSNIDGFIDPQGWSPWMGTFGLKTLYYAEYQNRGPGANTDQRVDWPGIQHITPQVAESFTPGKYFIGDSWITASGFPYVPGMLKV >CDO98987 pep chromosome:AUK_PRJEB4211_v1:3:2298277:2303905:-1 gene:GSCOC_T00025981001 transcript:CDO98987 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLASCCASLTCGLCSSVASGISKKSARLAYCGLFGLSLIISWILREVGAPLLEKFPWINTSDDQSKEWFQIQAVLRVSLGNFLFFGVLALLMIGVKDQNDRRDSWHHGGWTVKMVIWALLVILMFFIPNVVITIYGFISKFGAGLFLLVQVIILLDATHTWNDAWVAKDEQKWYIALLVISVVCYLGAYALSGVLFIWFNPSGHDCGLNIFFIVMTMILALAFAVIALHPAVNGSLLPASVISVYCAYVCYTGLSSEPRNYVCNGLHKASRAVSTSTLILGLLTTIISVLYSALRAGSSTTFLSPPSSPRSGGQKPLLESDELEAGKDKKDAEPRPVSYSYSFFLLIFALASMYSAMLLSGWTSSSESSDLIDVGWTSVWVRMCTEWVTAGLYVWSLVAPLIFPDREF >CDO99197 pep chromosome:AUK_PRJEB4211_v1:3:4218001:4228194:-1 gene:GSCOC_T00026261001 transcript:CDO99197 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIFKFMKLLHVTLFISLFIYVPFAPIMCVGFLAIDSLPTLCFPMDYLKTIVPSQFLAERGSNLVVINPGSANIRIGLARQDGPLNVPHCIARRTTGANQFPKKNVLDQGLNSQVTTAQHMEREKAYDIIASLLKIPFLDEEVTNNAFPRKMGRIDVYPPQTNKKETAFSWTDVYEKTIPSSASDPLRNAQNDESSVEKEGHDYRENNSSEPRYRECIFGDEALRISPTEPYCLRRPIRRGHLNISQHYPMQQVLEDLHVIWDWILTEKLHIPLSERTIFSAILVLPETFDNREVKEMLSIVLRDLHFSSAVVHQEGLAAAFGNGMSTACIINLGAQVTSVICVEDGAVLPTTQMTLRYGGEDISRCLLWTQRHHQTWPPVRTDALTKPVDLLMLNRLRELHCSIREGELETVAVVHSYEDGMPPGSHKTRLTALNVPPMGLFYPMLLVPDVYPPPPRTWFKDYDDMLEDTWHTEGFFPSGASAFPMWESYPIFQARPKKEDNIGLAEAITKSILSTGRIDLQRKLFCSMQLANGSMIQIGGVALIDGLIPAMEERVLHAIPPNEAIDTVEVLQSRTNPTFVAWKGGAILGVLDFSRDAWIHREDWIRSGIHIGSGRKYKDSYFLQAQAMCYINS >CDP03412 pep chromosome:AUK_PRJEB4211_v1:3:22884584:22886287:-1 gene:GSCOC_T00015142001 transcript:CDP03412 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRKYASLEEATRERNARRRRQRGNASANDNSGECRDTAARTRLSVPIEQPSSGPSSVELSYKGTVGINTEGINNRDGHRVGSTCLHSSGGSIHKQRQSCHHTRKPDTVHHILTTQILNTKLPTINYIRVTQIWGNLARSARLEKLVTNNDKGTVLLFYE >CDO98813 pep chromosome:AUK_PRJEB4211_v1:3:994143:995604:1 gene:GSCOC_T00025741001 transcript:CDO98813 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPRVTEIQVRMDCNGCVQKIKKALLGISGVYELYIDFPQQKITIIGCADPEKIVKAIKKTRKTAIICSHTEPQAQPSDPDNQGEAPPQESANPPQQESATPPPSEGPPTEEASPAEAPPAEAPKDPPPPAESPKPDAAEAPASKPVQSSGPKDVEEVHVIYHHPPDFGYRYGYNPSMSSPNMGQGYISGYWHSYPTGPVFRPEPPAPVPAPPPPPTYVTHSYNTHRASPYVTGYEYTRPPPQYSHYTRPESYQQPQEDYHYGNNGNGNITSVFSDENPNACRIV >CDP10320 pep chromosome:AUK_PRJEB4211_v1:3:12749662:12750756:1 gene:GSCOC_T00031012001 transcript:CDP10320 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTSSYEVTCSIPPARLFKATILEEKHLHKILPQGVKSVEILEGDGGVGTIKLTTFVEGGELKTAKQKVDGIDKEKFTYSYTVLEADGFNDVIEKICCVIKFEPSADGGSICKTTNTYYPKGGAQISEEHVKGGKEKGLGMVKAVEAYLHANPTAYN >CDO99255 pep chromosome:AUK_PRJEB4211_v1:3:4761324:4761884:1 gene:GSCOC_T00026340001 transcript:CDO99255 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVKYDISGNILRLQSKYSSNTSRYNYLYSIV >CDO98770 pep chromosome:AUK_PRJEB4211_v1:3:665667:673898:1 gene:GSCOC_T00025688001 transcript:CDO98770 gene_biotype:protein_coding transcript_biotype:protein_coding MEITDQPNHMDEEEDPFLKFIDYAQLILKATENDNSDGPGWSWIASRILKTCVAYSSGVTPAILLSDLSQAWNEQNRGRAPKKRPECINQLKEKHRRAKLPSTVTIDSIYEKRFLSLTSVIEAVIVDAYCLPGTNICMITLGDFWSSNTIDLYLHRRFYKLADPNNGILKKGREAFLTGCHLRTASKSCGQARLLPTEYFVVLLDEDQDDDAMLIGAQFCSDSFSSISLEAVKEGVSYSLYARIESIGSLETQKYGNLQKKQITLVDNDGVKLKFLLWGEQVMLSNLFSVGSMLALDRPFIASSSNGEIETCEEIFLEYGSATQLFMVPSIQHEERVSVSLTQSRSQGSKLLNLSDQRSVISQVTLPCDSQGSIDFSLCPFRSFVVDLHDKMTGLSLYGVVTDIVHERNTAKTTFSLKLKDITGTIWIKLYFVGLWSLGRLGLGHTVYISGLTSSTSIENRLQLSWLESDIGASFINISCLPALLNSSCLHKLSRLSDLSIRIGVTHICRIWLDQIDYCHVSTRFSHSLCGNFVDEARGGDPFCNFCNWNCSAEVVRSFHLKITLADGSAKVCAWCTGQTAAELLQITPDDFDELSEEEQILYPSSLENERFIVAIVSCRSGDGGLINAEHEKTAWEVTRATKV >CDP14627 pep chromosome:AUK_PRJEB4211_v1:3:14195004:14199038:1 gene:GSCOC_T00042000001 transcript:CDP14627 gene_biotype:protein_coding transcript_biotype:protein_coding MANYYDIDDVLAEEEILPVVFQQAADCFGLLDSSDDTNEVEAGAKAELPFWLARDLHVRGVVAVDVPPYFRKVSRTRKEIEADAAHVDLRGRCQYFYELGLKIAPLVGDKTIGPFLLVAFQTRYKEVLVRAHTAASAVAPKHLTLLTNEEAKLYEAGQLSTAAFKKWRMGGPRLQRAPVLGRKRKPIE >CDO99253 pep chromosome:AUK_PRJEB4211_v1:3:4744373:4745715:1 gene:GSCOC_T00026337001 transcript:CDO99253 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLKDLSKKLEEFAQARDWERYHSPRNLLLAMVGEVGELSEIFQWRGEVGKGLPNWEESDKEHLGEELSDVLLYLIRLADICGIDLGDAATKKIVKNAVKYPPKAF >CDP10254 pep chromosome:AUK_PRJEB4211_v1:3:11502593:11503806:-1 gene:GSCOC_T00030921001 transcript:CDP10254 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLFLFISLAITLMITSEVAARELAETSTSLVVINHFKDIFETDGYRGYRGGGYGGYHGGGYGGYPGGGYGGYHGGYPGGGYGGRSGGSGGGGRGGYGGYHGGGYGGYPGGGFGGRGGGGHGGHPDEAVDAETTN >CDO99410 pep chromosome:AUK_PRJEB4211_v1:3:6571003:6575451:1 gene:GSCOC_T00026574001 transcript:CDO99410 gene_biotype:protein_coding transcript_biotype:protein_coding MERAIERQRVVLQHLKPSFTSSSLENLDSSLSASVCAAGDSAAYHRTSAFGDDVVIVAAYRTALCKSKRGGFKDTYPDDLLAPVLRALIEKTNLNPSEVGDIVVGTVLAPGSQRASECRMAAFYAETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTTNPMAWEGSVNPRVKSMAEAQNCLLPMGVTSENVAHRFNVTRQEQDQAAVESHKKAAAATASGRFKDEIIPVATKIVDPKTGDEKPVTISVDDGIRPSTTVADLAKLKPVFKKDGSTTAGNSSQVSDGAGAVLLMKRSLAMQKGLPILGVFRTFAAVGVDPAIMGVGPAVAIPAAVKSAGLELEDIDLFEINEAFASQFVYCREKLGLDPEKINVNGGAMAIGHPLGATGARCVATLLHEMKRRGKDCRFGVVSMCIGTGMGAAAVFERGDSCDELCNARKIEANNLLSKDAR >CDO99149 pep chromosome:AUK_PRJEB4211_v1:3:3762208:3765375:-1 gene:GSCOC_T00026195001 transcript:CDO99149 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVSLALGMVKDLLVEEARFLSGVADQVKEVEAELKRMQCFLKDADKKQLNDSTVRNYVREIRRLAYRTEDAFRRFACLISEGTSLHRVGSEIASIKAGINSLTTNLETYGVIALSSTEDGPSSNARLEQNQQRLRQTYPHQVEEYFVGMEDDIRQLVSLITDEGLRSHRVISVYGMGGLGKTTLARKIYKHIEVERAFKQFAWVSVTQQCNTMTVFRDILKQLVPDQRKESVEKMDERELVGELYRVQKETKSLVFLDDLWEIEDWKCLSVAFPLAEADSKILITTRNQKLAEVEFPYPLNLLNEDEGWELLQKRAFAKRNGAAFFLLGKFRSVSLQTKWNNSKSDPQLEAVGRAIVGKCGNLPLAISAIGGVLSQKTSLEEWETVKNDVDSYIRMSEGGKEEGYGTVLQVLALSYDELPYHLKPCFLYLGQFREDEDIDAQMLYRMWTAEGMVSSDHRRKGETLTDVAERYLYEMASRSMLQVKFDECSTSRKVESCYLHDLMRDFCLARGKEVEFLKLFDFRGGNDPSSDYSRKHDDSTPRCSIHTGDDEKHGLGDVDSMISRALEASGQLRSLTVSGSSGFHYVGVSFPRVICDSNKCKYVKVLKFEGYDFTGKGLPKGIKKLVNLRFLSVKDSELRELPSSIGQLQYLETLDIRARGSIKVPDVFFLGLSKLETLVGFNVNFGDLKHLSGLNNLRFLRAIMNISKEKNDLPQMLNYLNSNRHKLREAQLVIYADVQNKVVLPFRDLLSCHCLHQLRLTWGRCEFQKVRAPLSPSNLSELHLFWCSIEGDPMSVLGNLPNLRRLLFVFVDLVERKMMIIDANAFPKLVSLGIIGIKNLEKWVVAEGSMPNLSDLAIRSCEALEMIPDGLRFITTLRKLEIRMPEEFIVQRIHGIDGRGGPDRDKISRVPVIKIESYASKKKKLLIQPTDDISSEEASSSVD >CDP03500 pep chromosome:AUK_PRJEB4211_v1:3:18284494:18294086:-1 gene:GSCOC_T00015317001 transcript:CDP03500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein-ubiquinone oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43400) UniProtKB/Swiss-Prot;Acc:O22854] MNNEKSPIIRNRNQKVNENNKRELEPPKACFKYDFYIKFVCISFFLINKFRFSAHCCGCSAGKVTLLAEGCRGSLSENVISKYNLRDKGQGQHQTYALGIKEVWEVSGDKHYPGLVLHTLGWPLDHKTYGGSFLYHMKDRQVAIGFVVALNYQNPFLNPYEEFQKFKHHPAIRNLLERGTVLQYGARTLNEGGYQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMVAAETAFGVLHEGFSMEAYWEKLINSWIWRELHAARNFRPAFEYGLIPGLVLSALEHYVMKGRSPWTLKHGKPDHEATKEARLCPRIEYPKPDGVLSFDVPTSLYRSNTNHDHDQPAHLRLRDPQIPDLVNLPIYDGPESRYCPARVYEYMPDEKGQLKLQINAQNCLHCKACDIKDPKQNIQWTVPEGGGGPGYSIV >CDP11167 pep chromosome:AUK_PRJEB4211_v1:3:8229051:8236551:1 gene:GSCOC_T00033237001 transcript:CDP11167 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSTAISPSSETLDLDSLQSQILELREILRSCNEDYEMGPSEVKELFQDQALEVQSNMDQIMADTSDINSLSLEGLAELSDHLKNELRSVESENAKIENELNELSRRYVEDSCQLESEVEGLSSFLDSIDKQASKIYFRRDGDLQVDYSTNGKDQANRLKACDGSNIEILELSHQIEKSKSTLKSLQDLDYQVKIFEVVEKIEDALSGLKVLEFEGNSIRLSLTTYIPNMDNMICLQKVELSVEPSEQNHELLIEVVDGTLELKNAEIFPNDVYIGEIVDVAKSFRQLYSPLPLLDNMSSLEWFLRRVQDRIVICTLRRFVVKCANKSRHSFEYLDRDEMIVAHMVGGIDAFIRLAQGWPIASAPLNLLTLKSSSNYSKEISLSFLCKVVDVANSLDEHLQHDISSFADGIEEILLQQMRTEGQPGDTMEK >CDO99079 pep chromosome:AUK_PRJEB4211_v1:3:3011495:3012219:1 gene:GSCOC_T00026099001 transcript:CDO99079 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPSKLSEGFQESSEVITIRPTELSDVDELMVYLTDDQVSRYCIWDTYTSREQALDYIKNIAIPHPWSKVICIDNRAIGAISVTPNSGNSKCRAELGYVLGQYYWGKGIMTRAVKTVASAIFDEWPYLERLEATVDVNNKASQRVLEKSGFQREGVLRKYKMLKGYSRDVVMFSFLSTDRSPS >CDP15141 pep chromosome:AUK_PRJEB4211_v1:3:9985994:9987481:1 gene:GSCOC_T00042727001 transcript:CDP15141 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKNLLFLFVVLFALALQIPSDVTAADQASVKTTGEAHQDKISEDRCRYRCCSWYHGQCQRCCASPEETPEATSGDKAAVNADRCRYRCCSWYHGQCQRCCASAEETPEAISADRCRYRCCSWYHGQCQRCCASAEVDPEAISADRCRYRCC >CDP10354 pep chromosome:AUK_PRJEB4211_v1:3:13561520:13562365:-1 gene:GSCOC_T00031062001 transcript:CDP10354 gene_biotype:protein_coding transcript_biotype:protein_coding MENNHQSQPLQPTKASRFKRVCVFCGSSPGKNPSYQLAAIHLANQLVERNIDLVYGGGSIGLMGLVSQAVFNGGRHVLG >CDO99086 pep chromosome:AUK_PRJEB4211_v1:3:3051228:3055188:-1 gene:GSCOC_T00026110001 transcript:CDO99086 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLVGKGFALVVSDTSAVHSILVHKSDEDKIMKLDDYKLMGASGEAGDRVQFTEYIQKNVALYQYRNGIPLTTAAAANFTRGELATALRKNPYTVNILLAGYDKETGPSLYYIDYIASLHKVDKAAFGYGSYFSLAMMDRHYHRDMTLEEAVELVDKCIIEIRSRLVVAPPNFVIKIVDEGGARELHRRYSIGDAPLAAE >CDO98736 pep chromosome:AUK_PRJEB4211_v1:3:419266:426054:-1 gene:GSCOC_T00025638001 transcript:CDO98736 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIYGPLTPGQVSFFLGIVPMFAAWIYAEILEYKKASVSKSRHSDITLVELGNGGVKEEDSAVLLEGGGLQSASPRVRSSSAASQILRFLMMDESFLLENRLTLRAISELGALLIYFYVCDRTNIFGQSKKSYNRDLFLFLYFLLIIVSAITSFKIHQDKSPFSGKSIMYLNRHQTEEWKGWMQVLFLMYHYFAAAEIYNAIRIFIAAYVWMTGFGNFSYYYVRKDFSIARFAQMMWRLNFLVLLCCIILDNNYTLYYICPMHTLFTLMVYGALGILNKYNESGTVIAAKIMTCFLAVILIWEIPGVFELIWSPFTFLLGYSDPSKPPQPRLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEETEVKRRISIKTAVVIISLAVGYLWLEYIYKLPKITYNKYHPYTSWIPITVYICLRNVSQYFRSYTLTLFAWLGKITLETYISQIHIWLRSGVPDGQPKLLLSLIPEYPLLNFMLTTSIYIAVSYRLFELTNMLKSTFVPSKDNKRLGHNIVAAVVIASGLYMLSFVLLRIPPMMV >CDO99258 pep chromosome:AUK_PRJEB4211_v1:3:4781019:4785715:-1 gene:GSCOC_T00026345001 transcript:CDO99258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-10A [Source:Projected from Arabidopsis thaliana (AT4G14330) UniProtKB/Swiss-Prot;Acc:Q8VWI7] MAPTPSSKPHSMMQTPSSKSNPAHNQTRTPQSKQRLNFNVSKPSPNHNSSAMAKESNNPPAEHPVEINPDKQSLRVKTEIGYRDFSLDGVSLSEEEDLDAFYKKFVESRINGVKLGDKCTIMMYGPTGAGKSHTMFGCGKQPGIVYKSLKDILGEGEEVGEENGEKLGPATFVQVTVLEIYNEEIYDLLSTNNGGGFNLGWAKGGSASKVRLEVMGKKAKNASFIAGHEATKISREIQKVEKRRIVKSTLCNERSSRSHCMIILDVPTVGGRLMLVDMAGSENIEQAGQNGLEAKLQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTISTLEYGAKAKCIVRGPHTPIKERGAEDSSSAVILGSRIAAMDQFIYKLQMENKLREKERNDAQKELTKKEEEIAALRAKVSLAEGKGHDTMEEEINLKANQQVQKLKSELEKRIQECEKMADELIEMERRKMENVVLQFQQESEMLRQRLRELESELYKSRVGGGSLDMDGSNFVRKLLDTYSEDSGMVKSMDLDKSYDLDAGKQDVVVFKAGNSETKSYLDFDSRKNSRDDKDYDLLVRSFSSKGCLSTVFEADEEEGSGDDKEKFSDEEVRKEVIEEKVVCSSTLAAKAPSIPVSSPEVSSQNQEKVENFRETLVDKLLQAESPSEPESAQDTASSRLMRIQNIFTLCGNHRELSQHIRTPMPGRKRSENIDPMASPVRTTEEGSDAKSISDVKQLQKSLSKDLLVSDIPENFQTPMGNKKMAPSSFESTLASKENCNPIDEKIGDLDVYVKWEASMENPGKFITTLKVVKDSTLADLRKLIEIHLGGDNQAFTFLVLGDPSGAPVPRETEATMQASKLPICNNQLPGRLACLRPLKGTRNTPHHLPLSPLENKLPLTPISNVTKHCDYPSPELPTHLDSTPFVTLRRY >CDP11202 pep chromosome:AUK_PRJEB4211_v1:3:9120719:9125854:1 gene:GSCOC_T00033298001 transcript:CDP11202 gene_biotype:protein_coding transcript_biotype:protein_coding MFILVLHTLAPSFPPKVCASDFAEEAASLLKWKSNFANKNSSLFISWSISPIQAKNSSSLCTWAGVSCNIDGSVNRLNLTNSNLSQEIPPEIGLLRNLQVLHLSQNHLSGPIPEELSHLQLFYLNLSNNNLSQQIPPQMGELTRLSTLDLSHNYITGEIPSEFRSLQSLEILDLSHNYLSGFLPKALAELPGSLHINISFNNFEGPIPYGKAFKNITIEELRGNKGLCGNITVCRQRKRKTTENVEDADLFSITTYDGKAMYREIIKATEEFSEIFCIGEGGFGSVYKTILPPSNLVAVKRLHLLPEKVYFNSFLNEIRALTNIKHRNIVKLYGFCSTSKHSLLVYEYLERGSLAKIFSVDEEAKELDWEKRVNIIKGVAHALSYMHHDCTPSIVHRDISSNNVLLDSEYEARLSDFGTAKFLRKDSSNWTTLGGTLGYVAPELAYTMRVTEKCDVYSFGILTLETIKGTHPGDIVANLIFGVLTLKIIKGKHPGELVGHLMSSTAGDRELKDLLDQRLSHPSQEIEKIPVFVLKIAVACLHVNLGQLCI >CDP03425 pep chromosome:AUK_PRJEB4211_v1:3:22296385:22300188:-1 gene:GSCOC_T00015166001 transcript:CDP03425 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVLGSTTQVLVQTAINSASEQIGQFVGFKKDLQKLKDTLTLIQAFLSDAEKKQVTENSAKLWLEHLERVAFDAENLLDDFNYEMIRRKVEIRNQMKRKVCFFFSVSNPIAFRCRMARKIQKINMDLISIHERRTTLGLLRSQDGARDAPALSLLNRETDSVTVDASFVGRDGDVSAIVTQLTAMNNNETISVLPIDFELERNQLIQLWAAEGFLHSDPRKNMRMEEVGNDYFTILLESNLFQDVEKDGYGNVLNCKMHDLVDDMVQSISECRTLRLKEPTEADFHGKSFRYLVVERSCGGEIPPFPLNRSFGNITTLVLGENRSIDDGLIRFLTLLRVLNIASSDAEELPKSIGKLSHLRYLDSSDTPMKTLPDSLCKLFNLQTLRLRDCESLTKFPSNFKNLVNLRHFDFFPDDESSDLTPLEIGQLRSLQTLPFFNIGIEAGRQIGELRNLKNLSGQLELRNLELVKSKEEAESANLIGKPNIDELRLLWNKIDNSRNNDSEYNQVLEGLQAHPNLKGLIIERFFGDQLSKWIGELGRLVKFKLQNCKNCKELPTLGNMPFLRFLHLDGLDSLASIGPSFYGRSGVHSGSTSQGHVNLFPALEDLSLDDMPNLREWMEATVDDGTLVVFPVLHTMRITNCPQLATFPNHFPRLKELEIRKTQNGSALMTYICSGVSTLTRLCILSVNGLTKVPNVLFQNNHKLAQLWLNDCGDLTQFLDFSFEVPQTSEGPNCQSVLEHTSIDNNAPQHLAGLESLEELFKLSVYECPNLISFPIDLTRTPSLSSLYISECKKLTDLPKGKLCSLTSLRRLEIGPFSETTTELHSFLDLFDALPPPHPYISSLSHLWLYGWPHWESLPEQLQHLSALTHLDLSGLWIRDCPLLKERCNPVSSSSSTDPNFEWSKISHIPLYCN >CDO99157 pep chromosome:AUK_PRJEB4211_v1:3:3813357:3817492:1 gene:GSCOC_T00026204001 transcript:CDO99157 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKDGKVVPEGQPSSGGDGGLIKKAAGSWSWMLINASGQEMVLDVDKYSIMHRVQIHARDLRILDPLLSYPSTILGREKAIVLNLEHIKAIITAEEILLRDPLDDKVAPIVEELRRRLKPVNADDGDNISGRESVPLHDLDTGEEDESPFEFRALEVALEAICSCLAARTIELEMAVYPALDMLTEKISSRNLDRLRKLKSQMTRLTARVQKVRDELEKLLDDDDDMDELYLSRKLVGASSSLSGSAAFLHYSPSIGSKTARASRASVATALGDEDDVGEVEMLVESYFMQIDGTLNKLTTIREYIDSTEDYINIQLDSKRNQLIQLELILSSGTVSLSIYSLVAGIFGVNIPYTWNTGYGYLFKWVVIITGIFCSVVFILIMSYARYKGLVGS >CDP11161 pep chromosome:AUK_PRJEB4211_v1:3:8089336:8092137:-1 gene:GSCOC_T00033228001 transcript:CDP11161 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFFSQTEIFTTVMMTEGNKNMILSSLELSDKVKRIKAKLREVCPQVPMSDFPKTYRPGFIDFLIRNVEDLLKYDPSSIAPVKHHIKEVELQFKSLSSIFMKASESVISENPELKYFLNCVANIAYKIEYVIDLIEIDAQWQHFFLVFDLLEELRIVNKRASGIHLTTSDDGDRDYQNISQVPLNKMSRDGVPLIKETVVDISDEEQVITDQLTKGSARLGIVSIVGMPGIGKTTMAWKLFNCPKVLSHFHCRAWCTVSQEYEKRDLLLEILGGIHGLTDNICQMSNEDLQLKLRQCFRHHDVALQIEPNGDPHSLRLFSDDESWNLIVGRVFQGEGCPEELLLAGKEIAQKCKGLPLAVVAISGLLRRIEKNRESWEKIAKSLIAKVMEDPKARCMEILELSYKHLPGYLKPCFLYLGVFLEDKDIPVSKLIRFWLAEGFIHDCELKSLEGIAKGYLMELISRSLVEVSKRRSNGMVKSCRLHDLLRDFCQLKARAENFFQLVTRFDEPYAYRLCIFLKRVHFVVSRPFGLGTRSLIFFPSADSEPRCPYDISFICRNFKLLRVLDFECINLGITFPADISLLVHLRYLAVTGYMQTIPQSIANLQKLETFVVKGLRGLVVLPDTIWHMARLRHLHVNMHVAFKLDDEGLEDCSQLGNLVSFSRLSISCGEDVESIIKRLPNLQKLRCIFFHPQGSSKNSYQFPRLDFLTHLVSLNVFYYGSAITREFILPSNLRKLTLSNFRLPWSHISTIGRLPNLEVLKLLLDAFKGLIWDMEEEQFKELKFLKLDNLNVCQWNATCDHLPKLERLVLQNCKGLEEIPYDFADIGTLEVIEVHWCRQSVEESAQRIAEATGDTKVLISGSYLRSSV >CDO99199 pep chromosome:AUK_PRJEB4211_v1:3:4240688:4243752:1 gene:GSCOC_T00026265001 transcript:CDO99199 gene_biotype:protein_coding transcript_biotype:protein_coding MALISFVGRLLFVSVFVLSAYQEFSEFGVDGGPAAKALSPKFSAFSKHVTSQTGLHLPPVEMKHLVLGAILLKGLGSFLFVFGSSFGAYLLLLHQAIASPILYDFYNYDADKKEFAQLFVKFTQNLALLGALLYFIGMKNSMPRRSAKKKAPKTKTV >CDP08115 pep chromosome:AUK_PRJEB4211_v1:3:31219911:31220695:1 gene:GSCOC_T00026844001 transcript:CDP08115 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLQISKISTLSGKMVQFNPKGSSKEKFLQHRTMFRCQADRTLQNGRAANFYEVLSLDCSKSVGFNEIRKAYRCKALKLHPDACPPTEKEESTRRFLELRMAYKTLSDPISRELYDLELSLVKVDGRTRHGMSRSMGNKVWERQIAELNKRSMQKMEKRKKMGI >CDO99133 pep chromosome:AUK_PRJEB4211_v1:3:3531702:3535058:1 gene:GSCOC_T00026174001 transcript:CDO99133 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAVSLALGTVKDLLAEEARFLSGVADQVKEVEVELIRMQCFLKDADKKQLNDSRVRNYVREIRRLAYRTEDVLEKVGSEIASIKAGINSLTKNLETYGVIALSSTEDGQSSNARLEQNQQRLRQTYPDQVEEYFVGMEDDIRQLVSLITQEENRSHRVISVYGMGGLGKTTLARKIYKHIDVERAFKQFAWVSVTQQYNTTTVFRDILKQLVPEQRKESVEEMDERELVRELYRVQKETKSFVVLDDLWEIEDWKRLSVAFPFAEADSKILITTRNQKLAEVEFPYRLNFLNEDEGWELLQKRAFAKRNGADNESDPQLEAVGRAIVGKCGNLPLAISAIGGVLSQKTSLEEWKTVKNDVDSYIRMSGGGKEEGYGAVLQVLALSYDELPYHLKPCFLYLGQFREDEDIDAEMLYRMWTAEGMVSSDHRGKGETLTDVAERYLYEMASRSMLQVKLYEFSPARKVRSCYLHDLMRDFCLARGKEVEFLKLFDFRGGNDPSSDYSRKHDDTGGHLRSLTLSGGSGYPRAKISFPQVICDSTKFKYVKVLKFEGYDFMGKGLPKGIKKLVNLRFLSVKDSDLRELPSSIGQLQYLETLDIRVCNTIKVPDVLCKLKGLKHLYFADTNVEDGLLSFLGLSKLETLVGFDDNFGDLKHLSGLSNLRFLRAIVIIRKEKNDLPQMLNYLNSKQHKLREPQLEIRADGEEEVVLPVLDLLAPLSPSNLSQLLLFGCSIEGDPMSVLGDLPNLRSLLFYKVDLVERNVMISDANAFPKLVSLGIIDIRNLEKWVVAEGSMPNLSDLRIEGCEELEMIPDGLRFIRTLRELEIEMHEEFIVQRIRGIDGRGGPDRDKICHVPVIKIQSYGCKKSAPYVLPTDGICGEESSSSVD >CDO99043 pep chromosome:AUK_PRJEB4211_v1:3:2774006:2783372:-1 gene:GSCOC_T00026055001 transcript:CDO99043 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2754 [Source:Projected from Arabidopsis thaliana (AT4G36630) UniProtKB/TrEMBL;Acc:A0A178UXB5] MVHSAYDTFQLVHNSPIKIDAIESYGSNLLLACSDGSLRIYGPESSSSPTSDRSPTSSDFHPHELKKEAYALDRSINGFSKKPMLAMEALSSRELLLSLSESISFHRLPNLETMAVITKAKGANAYSWDDRRGFLCFARQKRVCIFRHEVGRGFIEVKEFGVPDTVKSMAWCGENICLGIRREYVILNSASGALSEVFPSGRIAPPLVVSLPTGELLLGKDNIGVFVDQNGKLLQEGRICWSEAPAVVVIQKPYAIGLLPRHVEIRSLRDPYPLIQTVVLRNVRRLLQSNSAIIVALDNSVHGLFPVPLGAQIVQLTASGNFEEALALCKLLPPEDSSLRAAKEQSIHIRYAHYLFENGCYEEAMEHFLASQVEITHVLSLYPYVVLPKSSLIPEPEKFLDVTGDASYLSRGSSGMSDDMDSPPSHLLESDENADIESKKISHNTLMALIKFLQKKRYSIIEKAAAEGTEEVVLDAVGSNFISYGSTRAKKAGKGRGNVPISSVARDLAAILDTALLQALILTGQSSSAVELLKGLNYCDVKICEEFLQKWNQYVGLLELYKSNAMHREALKLLHQLVEESKSEQPRMELSQKFKPEMIIDYLKPLCGTEPMLVLEFSMLVLESCPAQTIDLFLSGNIPADLVNSYLKQHAPDMQATYLEHMLAMNENGISGSLQNEMVQIYLSEVLDWYVDLNAQGKWDEKTYTPRRKKLLSALENISGYNPEVLLKRLPPDALNEERAILLGKMNQHELALSIYVHKLHVPELALAYCDRVYESGLNQQSGKPYGIYLTLLQIYLNPQKTIKNFEKRISNLVVSQSPGIPKIGPGPLAKTKGRASKKIAAIEGAEDSRISPSSTDSGRSDGDADDAVEEGASTIMLDQVLDLLSRRWDRMHGAQALKLLPKETKLQNLLPFLGPLLRKSSEAYRNFSVIKSLRESENLQVKDELYEQRKTAVKITGDSMCSLCHKKIGTSVFAVYPNGKTIVHFGCFKDSQNMKAVSKGSSARKR >CDP03489 pep chromosome:AUK_PRJEB4211_v1:3:18737942:18745422:1 gene:GSCOC_T00015300001 transcript:CDP03489 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVFSCLNSGISTNFTFCGFYRIIAVVRGALRTNVQCPICLGIIKKTRTVMGCLHRFCQECIDKSMRIGNNECPACRIHCSSRRSLRVDLDYDALIEAIYPDLAEYELEENVFHEEEKALNKQVQASIAEISRRQSEALNKRRRTYRDGDDLRVPRSRNATSRRRSNQRTDIESDNVSERENDLQGNLDPSTSDRSHIEIKNCRPKRRASTQPPPPSPSAANPDSEYLKNPVEPVRENLVNPLGVAHNPEMLTWGRGGARSHTRHGGGNAGRGTRGSRMSKLMEQLESSSKIDYMTETPFALVSLDKERIPNLEKPWLCLPSSFSVNQLRKLVADEAKLEAEDVKMLLVKEAGVDKTSADYSQMIDRQDPLSRMVNWSNICLEIQEGQETLSGLRCSTNTIYLILAYQYKKDNQQIQPSPL >CDP10286 pep chromosome:AUK_PRJEB4211_v1:3:12096392:12099040:-1 gene:GSCOC_T00030964001 transcript:CDP10286 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSDEEVTRLHRIRKTVMEMLQDRAYFVTDKEIQMEKREFIQKYGENMKREDLVINKSKRNDSSDQIYVFFPEEPKVGVKTMKTYTNRMKNENVFRAILVVQQNLTPFARTCISEISTKFHLEVFQEAELLVNIKNHVLVPEHQLLTPEEKKTLLERYTVKETQLPRIQITDPIARYYGLKRGQVVKIIRPSETAGRYVTYRFVV >CDP10870 pep chromosome:AUK_PRJEB4211_v1:3:28052857:28070131:-1 gene:GSCOC_T00031804001 transcript:CDP10870 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLIPSWWEVQVTVAAAAFVVLAYWFLSLGGGAGVGGEGNADDRMMVGGDEIDEKDKGVSIYKAESQANAAYMIKVELLAAKNLIGANLNGTSDPYAIITCGREKRFSSMVPGSRNPMWGEEFNFPVDELPAEINVTIYDWDIIWKSAVLGSVTIPVETEGQTGALWYSLDSTSGQVCLHIKTIRLLINSPRELNGFAGANARRRTLDKQGPTVVHQRPGPLQTIFGLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQIKVIIPFGDIDEIKRSQHAVINPAITIVLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRGLQRSAKNYHTMLESEKKEKEQSALRAHSSSIRGSKRQDKVPKESVAKFQPFIREDVLSSIYSDTFPCTAEQFFELLLNDGSTFTNEYRAVRKDTNLSMGPWHAADEYDGQVREITLRSLCNSPMCPPDTAMTEWQHAVLSADKKMLVFETVQQAHDVPFGSYFEVHCRWSLDTKSDSSCIMDIKVGAHFKKWCVMQSKIKAGAINEYKKEVDIMLDVARSCIKSRTSNATTENVASAPSVAPEIR >CDP19615 pep chromosome:AUK_PRJEB4211_v1:3:13855994:13872163:1 gene:GSCOC_T00007797001 transcript:CDP19615 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPEDVFRDDEDDPESEFHQGRDSTKELVVYLVDASPKMFSTSCPSEDAKDGSLFHAAMSCILESLKTQIINRSYDEVAICFFNTREKKNLQDLNGVYVFNVAEREDLDRPTARLIKEFECIEEKFDNDIGSKYGILSGSRDNSLYSALWVAQALLRKGSAKTADKRMLLLTNEDDPFGSIKGITKMDMLRTTLQRAKDAQDLGISIELLPLSRRNDEFNVSLFYAELLGLEGDELTQFQALAGERLKDMKEQLRKRIFKKRKIRRIKFIIANGMSIDVDTYALIRPTNPGTITWLDSVTNLPIKSDRSFICTDTGALLQEPAQRFQSYKSEDVMLSVDELSEIKRIASGHLRLLGFKPLSCLKDYHNLRPSTFIFPSDEEVIGSTCIFVALHRSMLQLNRFALAFGGSSNNPHLVALVAQNEIISGGGQVEPPGMHMIYLPYSDDIRHIEEVHADANTIAPRATDDQTKTASALVRRIDLKDFSVCQFSNPALQRHYAVLQALALDEDEMPEIKDETLPDQEGMARPGIVKLLEEFKLSVFGENYEDNDLTTGGTMTEASRKRKAIADNATKEYSKYDWLELADTGKLKDLTVAELKYYLTANNLSVTGAKAALISRILTHMGK >CDP14651 pep chromosome:AUK_PRJEB4211_v1:3:15044749:15045557:1 gene:GSCOC_T00042046001 transcript:CDP14651 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYRLFIGSCRLEQAEIIGQIMKASQEFGFFQVINHGVSEGLMEETMNVIQDDNVTHSCHPVEDHIQSLPEKPTRCRDVISTYCVEAQKFLLRISDLICEGLGLIGYFEDELTKVQLFLDWECQNTMILIS >CDO99092 pep chromosome:AUK_PRJEB4211_v1:3:3095666:3099244:-1 gene:GSCOC_T00026118001 transcript:CDO99092 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTSSGGVEVPETENLENQNGNLDSKLKGTGGVSEKNISATGIGSISSKDMIFRADKVDLKSLDVQLEKHLSRVWSRNVENNQKPKEAWEIDPSKLEIRYLVAQGTYGTVYRGTYDNRDVAVKLLDWGEDGMATVAETAALRASFRQEVAVWHKLDHPNVTKFVGASMGTSNLKIPSKNPSEGYTNLPSRACCVLVEFLAGGTLKNFLFKNRKKKLAFRVVIQLALDLSRGLSYLHSKKIVHRDVKAENMLLDTYRTLKIADFGVARVEAQNPQDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDLPYTDLSFAEVSSAVVRQNLRPEIPRCCPSPLANIMKRCWDANPEKRPDMDEVVRMLEGIDTSRGGGMIPEDQAGGCFCFAPARGP >CDO99212 pep chromosome:AUK_PRJEB4211_v1:3:4308949:4311082:1 gene:GSCOC_T00026280001 transcript:CDO99212 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYNKVTFDRSQMKKSVAWGDCISCEFDHLPADFPELPGEFRNPMSEYMNCVNTLGMTLFELLSEALGLRKGYLKDIGCAEGLYFVGHYYPPCPEPELTLANFVHNDLAFLNMLLQDQIGGLQVLYQNQWVDVTPVPGAMVVDFGDMMQLITNDKFKSSKHRVLAKEVGPRISVANFMVPANNEVVTSRMYEPIEELLSDENPPIYKRTTIKDCMAQLFSNYISGDRSSALLHFKI >CDP11211 pep chromosome:AUK_PRJEB4211_v1:3:9297553:9298136:1 gene:GSCOC_T00033313001 transcript:CDP11211 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDCSPPVVHRDITSNNILLDCDYEAHVSDFGTAKLLKKDSANWSALAGTYGYVAPEFAYTMKVTEKCDVYSFGVLIMEVFKGKHPRDLIPRLQSSAPGDIELEDLLDQRLQYPAQKILEILMSIIRIARSCLHVDPQSRPTMHFISRSLSVATPFPGDLGK >CDP16578 pep chromosome:AUK_PRJEB4211_v1:3:26232106:26236938:-1 gene:GSCOC_T00018987001 transcript:CDP16578 gene_biotype:protein_coding transcript_biotype:protein_coding MANYYDIDDVLAEQEFLPVLFQQGAEGVGLFDSGDDANEVEAGAKVELPFCLARDLHVRGVVAIDVPPYFRKVSRTRKEIEADAAHVDLRSRCQYFYELGLKIAPLAGDKSIGPFLLVAFQTRYKEVLVKAHTAASAVASKHLTLLTNEEVKLCEAGQSSTAAFKK >CDO98806 pep chromosome:AUK_PRJEB4211_v1:3:944014:945804:1 gene:GSCOC_T00025731001 transcript:CDO98806 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGFLALCFFLTGHYVQRKRRYRRGGSGTPLSILKRELREGNFQSLLGGSSSFTPSSTSQPDSLLSSFICNPPAADEPLTVQPLSSSEVRTHWNGSAEDLSERSAQPSRLSEKDQEERGRKCEFVQGLLLSTFVDDDL >CDP14622 pep chromosome:AUK_PRJEB4211_v1:3:14122185:14123714:-1 gene:GSCOC_T00041991001 transcript:CDP14622 gene_biotype:protein_coding transcript_biotype:protein_coding description:COMPASS-like H3K4 histone methylase component WDR5B [Source:Projected from Arabidopsis thaliana (AT4G02730) UniProtKB/Swiss-Prot;Acc:Q9SY00] MPVTSVHFNRDGSLIVSGSHDGSCKIWDAASGSCLMTLIDEKVPAVSFAKFSPNGKFILVATLDDTLRLWNYAAGKSLKVYTGHVNRLYCITPTFSVTNGKYIVSGSEDKCVCIWDLQGKNMLQKLEGHTDVVISVTCHPIENMIVSAGLDNDRSLRIWVQD >CDP10326 pep chromosome:AUK_PRJEB4211_v1:3:12846090:12847967:1 gene:GSCOC_T00031019001 transcript:CDP10326 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLTQNYLPVIDFTKKNLDPSSSFWPSTRQAVVRALEDYGCFIALYDEVTLELNEAIFRASEELFDLPTEIKVLNTSNTPSHGYVGQEPIIPLYEGLGIENATTLDGVEKFTNLLWPNGNHSFSETAFSYSKIVAELDQMVMRMVSEAYGIEKNYESLLGSMSYLLRLIKYRRPHENEKNLGIVPHTDKSFMSILQQDQVKGLEIKTKDGEWMVIDPSPFSFIVMAGDVCMAWTNGRIEPPHHRVVMTENAERYSLGLFTFIRDLIVQVPEELVDDEHPLQFKPFDHYKYIDYYYTDEGKRSKCPIRDYCGV >CDO98990 pep chromosome:AUK_PRJEB4211_v1:3:2333375:2336019:1 gene:GSCOC_T00025987001 transcript:CDO98990 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCLRFQINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNRIYIPKDRYLQDEAEKMAMAEKIERMELDVESRDKQFVELQELYKSQQLLTAELSDKLDKTEIKLIYFKMLQETEHALADLEERFRQANATIKEKEYLMANLLKSEKALMERAFDLRTELENAASDVSNLFAKIEHKGKIEDGNRIFVQKFQPQLTQQLRILHKTVTASATQQEQQLKDMEEDMQSFVSTKTQLKTMYGSGIESLDSLAGELDGNSQSTFGHLNSQVSGHSSSLTKFFNSIASEADKLLNDLQNSLHGQESKLIAFAQQQRESCHTTTRSIAEITANFLKTLDAHVSQLGRIVEESQTVNDKQLSDLEKKFKECAANEERQLLERH >CDO99165 pep chromosome:AUK_PRJEB4211_v1:3:3875375:3876367:1 gene:GSCOC_T00026214001 transcript:CDO99165 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSKTAAAGKPAKSPATKSAAAVKAKKAPAAKKVRSYPTYFEMIKEAIIALKERTGSSPYAIAKFIEEKQKDLPPNFKKLLSVQLKKLLASGKLIKVKNSFKLAAPVKPTTAAAAGEKKPKKAVAPKKEAAGTKRKRTANVEKKKPKVVAAVSAKVKKTPVKKAPVKKAVKKTPVKKPKSLKSPAKKLKK >CDP03478 pep chromosome:AUK_PRJEB4211_v1:3:19922668:19929526:1 gene:GSCOC_T00015267001 transcript:CDP03478 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MATLESVGGLAMHVILSKLAPNDAASVACVSRRFRTWASDDDSLWSKFCSDDFQLNSPLDPVGNPTATFKEAYGTWRDAFHMYPWPLVMRVKRCWDRLKNWFAANFPEILATLRRGASEEEINALEMSLKVKLPLPTRVLYRFCDGQDLNADNLTGRVPQSLLGLIGGYSFYDHQVNVFLLPLEQIVEETKDYIQQLLLNGRPKYIVVAASCTYREKTFFLNCRSGQLHVGTRNLLTDGEMIPCVPGSLISLLNDRKGSQVQDALLLWLEEHCRRLESGIIKVRQDDELRGINLFPELPPLCCTAITNGVKVRASAVFVPEGSNLEDEDEKYLFAYTVRMSLSPEGCIISGMNFGSCQLYWRHWVIRIGDAVVDNVNGEAVIGKFPLLRPGEEEFIYESCTFLSSLPGSIEGCFTFVPGRLTDPSSSPFEAQVARFPLLLPDYIF >CDO99076 pep chromosome:AUK_PRJEB4211_v1:3:2988745:2993963:-1 gene:GSCOC_T00026096001 transcript:CDO99076 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKTQPPTFSVLPSKTPLLSFFSLSASLHSQARSRRKRKFHVAVARRKSPPGSDMSVSISMPPASSTRRDSESELLLDSRNRVRKGDLPTTNVEEDSSSSSKTVTRSKNRYSLKSITCFGVDLTPDNIAVAMVYFVQGVLGISRLAVSFYLKDDLHLDPAETAVITGFSSLPWLVKPLYGFISDSFPLFGFRRRSYLVLSGLLGALSWSLMAAFVDGKYDAAFCILLGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAFGGIVSAYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVKEQRVVVPARGQNISLGSPGFLESSKNSIIQLWGAVKQPNVLLPTLFIFLWQATPVSDSAMFFFTTNKLGFTPEFLGRVKLVTSVASLLGVGLYNGFLKNVPLRKIFIITTVFGAVLGMTQVLLVTGLNRQFGISDEWFAIGDSLILTVLGQASFMPVLVLAARICPEGMEATLFATLMSISNGGSVLGGLIGAGLTQLFGITKDRFDNLAFLIILCNLSSLLPLPLLGLLPQDDPDTSSSKDSGDIETKTN >CDP15126 pep chromosome:AUK_PRJEB4211_v1:3:10545716:10552716:-1 gene:GSCOC_T00042706001 transcript:CDP15126 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQDHKKTPSSAGGHEGHGVHVCHKCGWPFPNPHPSAKHRRAHKRVCGKVEGYKLVDSETDHISDDDHLSDDDIVKTPSPKMEKGSVKEVGSGAGIGLKSSKSEDDVFSDAVTEFSDSGISPSIEERLESVREVDNTVGAELVHELNDSQKSEDCRADDTTKQLDDLTTGREISNAEVVESVINEAENTKPASDNRAEEVSFGVEQTDGLQINSSPNVFETISEDLVANAESGKQKEIGSSKSETNIQVKESVNEVESSTESVVLLSKSPDEASLSKSKSDVAEGSSGCLVVETMEHEADRKVSDTMTMEPKLHEASGSISHAAAVKEIVEQEKEPSNKSEARMTSVSTINEIIEQEKGLSNVLQAEMTKVDLPSQTEPHKCTDTSVTTQAEADSAGDTIRVGHDELAKVCDLKEGGDKEVHVVSVAETLPMVENPEIMIGDFKDYKVLRSSFPVDLGDAEVTRSGEDANKVNLPESVLSPSSSVPVGRLHTSNLNSSCSEASLEKEGLLTPVQGEVDTSGLRGISEEGSGSNGLEGSSVNSGFEKQVIEGCQPKPTDKESSQDGVSAPSSIEHSDVMRAVSSIDEEFSSQTTKLSNFDNDVNLKSDHVRSENGTDHLLDEDNISSSKKCTIEVDVETSQGTETGRSTEVQRSEGVFVVDPDLIPEGHSVASGEQSENPKEMEAQISSSDSQLKPEDHGGASDKKSEDYKEAAGLPVASTNSSQSSATVEDKITNDAVTSEIGKSTEVQRSEDVFVVDPDLSPEGHSVASGEQSENPKEMETQSSSSVSQLKPEDHGGAYDKKLEDYKEAAAFPVASTISSQSSATVEDKITNDAVISQPLLDGDNGKLTKESVHVSAVDDSVVSSSRTESLDGNWGSVSVLSTQSDGTALVDAETLSSAGNQGPEKSGVMSLKLQTPSQDSHPDKSDAFEPPSFMTLVESRVEDDKKDVATETHNIQKTEQPNSEALQAGWFPSLTNVVNESEGRKKNEEIIAKVTNWNAGKQHSPLKNLLNEAQAETKVKSADQKQVPSVNQGNETVSKNNGAAVTTVGSIMGSETPVDNAAKRDMEKEWNSPARYPVEIKKEKKKGKPYWVPFVCCTSIHQDL >CDP08019 pep chromosome:AUK_PRJEB4211_v1:3:29079651:29085971:-1 gene:GSCOC_T00026684001 transcript:CDP08019 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRGGGGGGGGGGSFVLDLDFCKYLLLHVRLTVSAIGHMAAKHWGCEDSSVKIHFRSLYDCISYIDYYLVPSFDARKFLGFGGTTDNVLQHTYHRYDARGLPSFDACVGHVLSLVLQIANRCSDYWLNCKAGRIQVMKGELIEFLVNLYREIHPSNPKFMRFLLDFLMARSTEKAALVNFLESFCHYLFLGEYGHFRAEVFPLLQLFLCTTSILDDEDAAPIFIPELHAVLVEMASIFESTGRVLVEVASLGSELLSKICLLETELFLVVQIHSMKNNTNASSFSFSMLPGFEDVMDKCRQIPERLRRYSEKLPIKARSDWKKLLALIESTFEEEKSLYKSSMLTEITASTLTNSLMLLRSKIVIFEGESFLMEPLLPKSRNDDRLTVREKDLLELFLQRVEYIRQIPSDEIIKVREDVLGAIGESVRRLTCFSYYFLDTRDEMTSLSFSELLDKETHLIKAKITDIIPKFPRFDFPKTSSLNFIDLLWRNLGDLLIYNPASTALAKHHMEEIQTHLQSLRSFLENVSQLHIKENPELEDLVNRVIDAAYKAEYIIDSIEVDAQWQDYFWLDNVLEELRLLSENAGGIHLTTPDAEVQDSKNVTQVSFDRLSRKSTPAIDEIVVDLSNRENEIRNQLIRGSSKLDIVFIDGMPGLGKTTLARKVYSSLSVTSHFHLRAWCTVSQEYEKGRLLLEILAGIQGLTEEIRQMRDEELKDKLRKSLLKNKYLIVMDDIWDVGSLFSHEESWQLLEKKVFKEECCPDELLGIGKEIAYHCQGLPLAVVAVAGILKTTGKSQSSWKRIADTLSSHIIDNPEARCKEVIDLSYKHLPEYLKSCFLYLGVLNEDRDILVSKLIRFWIAEGFVPETKKKGFEDVAEAFLMDLIDRSLVIISKRRSNGKVRACRLHDLVLDFCKSKTKDENFFQLVTRSDNPYTSFPSTDYGFEFDFYHHSSAASFASYRLAIYLKRIHFVESKPSGLATRSLVFFASRDSKPERPYEVSFICHNFKLLRVLDFECFNLGISLPIEIGILVQLRYLAVGGYLKSIPQSIADLRKLKTLIVKGLSGKITLPNTIWRITSLRHLHVNLHVAFDSDAEELGDSFILENLVSFSCPSLSCGEDAERIIKRLPNLCKLSCIFYESPDSSMNCNHFPRLNCLTHLESLKIFYYGSPLNNGEFSLPLNLKKLTLSNFRLPWSHISTIGRLPNLKVLKLLSGAFEGKIWDVEEEFQNLKFLRLDNLNIAQWNASCDDFPKLERLVLQNCKDLEEIPEDFGNIYTLGMIEVHWCGRSAEESAKKIEEEYGDIEVLIRKAWCKEVTDLSYKHLPAYFKSCFLYLGVLNEDKDILVSKLMRLWVAEGFIPDTKKRFDDLAKAFLMDLIDRSLVIISKRRSNGKVRACHLHDLVLDFSYFTTIDYDFKFDFYCHSSPVSFASSRLAISLKRNHFVESKPSGLATRSLVFFASTDSEPTCPYDISFIWHIFKLLRVLDFECINLGVSFPVEIGLLLFLRYLAVGRYMRSIPHSMANLRKLETLVVKGLRDVEELVDSSANLVSFSCPSLSCGEDAERIIKRLPNLCKLSCIFYESQDSSTSYNRFPRLKFLSHLESLKIFYYGNPLHNAEFNLPLSLKELTLSRFCLPWTHISAISSLPNLEVLKLLSGAFMGRTWDMMEDEFQKLKFLSLETLDIAEWNASYDHLPRLERLVLQHCKDLEKIPEDLSDITSLETIEVHWCGQSVEESAIEIGKATGEIKVLIRSSNLRS >CDO98725 pep chromosome:AUK_PRJEB4211_v1:3:305402:306542:-1 gene:GSCOC_T00025625001 transcript:CDO98725 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGEVRHVLLAKFKGGLSEDEIDQLIKGYANLVNLIPPMKYFSWGKDVSIENLHQGFTHIFESIFESTEGIAEYIGHPAHVEYANLLLPQLDKVLVIDYKPTVVHL >CDP08067 pep chromosome:AUK_PRJEB4211_v1:3:30330442:30332740:1 gene:GSCOC_T00026766001 transcript:CDP08067 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFIAFEGTYPAYFQLVLRQFLNIDKADYVLVNTFYKLEAEALDAMSKVCPLFTIGPTVPSVYLDNRVANDEEYGLDLFELDPSMSIKWLHSKPKGSVVFIAFGSMVTFDEKQMEELAMGLKQSNFYFLWVVRACEEAKLPRNFVQETREKGLLVRWSPQMKVLANEAIGCFFSHAGWNSSIEALSMGVPMVVMPQWTDQTTNAKLVQDVWKVGKRAKVDENGLVGREEVEGCVRQVMEGESGKEMKDNAIKWMNLAKEAVCENGTSDKCIDEFLSRWKV >CDP08018 pep chromosome:AUK_PRJEB4211_v1:3:29051741:29053053:-1 gene:GSCOC_T00026681001 transcript:CDP08018 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLFLLSLLVSLTQLSSVLASTLGDVESASIIQEDLSFRQVVPLDDDVDPLLNADHHFSLFKKKYGKTYSTQEEDDYRFSVFKANLHRAKRHQLLDPSAVHGITKFSDLTPSEFQQRLHLGHHKQKRRLSVSAEPNEAPILPTRDLPSPGSALDYRQWGVVTPVKDEGSCRSSWAFSSTGAVEAANALASGDLVSLSEQQLVDCVHKV >CDO98899 pep chromosome:AUK_PRJEB4211_v1:3:1683149:1683457:1 gene:GSCOC_T00025856001 transcript:CDO98899 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVKLASQKAVVIFSKSSCCMCHAIKRLFYEQGVSPMIYEIDQISNGTEIEWALIRLGCNPSVPAVFIGGNFVGSASTVMTLQINGSLKKMLKGAGALWL >CDP10315 pep chromosome:AUK_PRJEB4211_v1:3:12664327:12670208:1 gene:GSCOC_T00031005001 transcript:CDP10315 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQGHDYAAAMAYAQQQQATNIPQQQQQQPYGFHPQHQQFPPPAHGPPFIPPHPSLQQFPYPRPMQQPQYPHPPPHPHLLHMQQQQRPPAFPPHMPPHAIAPPFHGPYDSAPPPSPPPSDPELQKRIDKLVEYSAKNGPEFEAMIREKQQENPEYGFLFGGEGHNYYRYKLWMATRPPGGPLNPSFPPSSMPMMHPPNPMMSPSPLNAPPMSGPNTAVGSAAMMGAPYLHQPPFPPFYEQQHPQHSPSFLGQTRPDYDQSYRSFRGLSRPLPADVEMELNNVLNNLNGTKESIKGAKNWFIQRSPFIPALAEALRDRMFSLDDSERQLHIIFLANDILFESFQMRQSPHELDNEALAFRPFLGSMLARIYHNPQNKEENQERLQKILQFWASKEVYDQDTIHGLENEMIRVLPMNSFPGPRDLRTVSPDPSTATGVPHYPANQNAWQPDKQTSFPNLPDQEYRDKLVPPVQPSLPPQQFHSASVPPSGFAVSAATPLSAQPASQLPASATSAGEKLPPYPLFPPGLIPGMVRKMQIGSGVPYCPMSPLDIPTVIPPPNASPSEILERVSKFFREIGEVNPSEGPMKSSGSRDDYDDYERESPVRKGGACIPPPPDLHLDPESGTYPDGSVEQKPGASDSGRLGLGATANPNEVSQYDDVYASYRKQRSTTYHSSMSARAAAR >CDO99364 pep chromosome:AUK_PRJEB4211_v1:3:5932176:5936409:1 gene:GSCOC_T00026503001 transcript:CDO99364 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYDAAEHLQGPEGTGVDLTVRQGSEIRNVPLIRERVSLNPVKSKICKTPGMGKDGARVGYIKLTSFNQNASGAVKEAIETLRKDNVSAFVLDLRDNSGGLFPEGIEIAKIWLDKGVIVYICDSRGVRDIYDTDGSNAVAASEPLVVLVNKGTASASEILAGALKDNKRATLFGEQTFGKGKIQSVFELSDGSGLAVTVARYETPAHTDIDKVGIIPDYRLPASFPRDDESFCGCLQDPVSACYLDKVKLFAR >CDP15143 pep chromosome:AUK_PRJEB4211_v1:3:9884656:9885600:1 gene:GSCOC_T00042733001 transcript:CDP15143 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPPIPHADPDLIALDFVPFFRVYKDGRVEKFIKAPFVPPADSDDPQSTGGVRSKDVIISSETQVCARLYLPATVKPDEKLPVLIYIHGGAFVIGSAFGVVYHSYLTSVVAEANVVAVSVEYRLAPEHPIPACFDDSWAVTKWVDSHANRKGPEPWLNNHADFSRVFLAGDSAGGNIAHYMTVKASQEGLGDGVKLEGLILAHPFFGKGGREELWEYITSDFKGWDDPRLNPMASPELLSGLVCGKILLFTSETDPLRDRSLRYCEAIKMSGWSGELEVVDVEKEGHTFHILNPSSDSAGILMKRLVSFLGN >CDP08063 pep chromosome:AUK_PRJEB4211_v1:3:30260924:30266880:-1 gene:GSCOC_T00026762001 transcript:CDP08063 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSPVTNALWEARSSIFERLNNVAADAPSPNELIAKPPSKSRTSIVYKFSTDYILREQYRNPWNEIRMGKLVEDLDALAGTISFKHCSSADSTTMPLLLVTASVDKIVLRRPIRVDTDLTIVGAVTWVGRSSLEIQLEVTQSAQETSSQSDSLALTANFTFVARDSRTGKSAPVNQISPETEREKLLWEEAEERNQIRKKKRGEKRQGIDNDEETKRLNELLAEGRVFCDMPALADRDSILIRDTYLQNSLICQPQQRNIHGRIFGGFLMRRAFELAFATAYSFAGAAPRFVEVDHVDFLKPVDVGNFLRFKSCVLYTELENPDKPMINVEVVAHVTRPEFRSSEVSNKFYFTFTVRPDAIKNGLKIRNVVPATEEEAKRVIERMDAEKM >CDP10261 pep chromosome:AUK_PRJEB4211_v1:3:11775368:11777599:1 gene:GSCOC_T00030931001 transcript:CDP10261 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEGKKPITHEVMVGLDDEAEKVIDRLISGQEHLEIVPIVGMAGLGKTTLAKKVYNDNSIIYNFHIRFWCTVSQEFNVKKLLLQILHSDGENEKLEFLDEDELLQKLYQKLKGNRYLVVFYDIWDIRAWNELRYSFPDNNKRNRILFTSRFSNVASQVEYGGQPHNLRPLTEKESCELLQRKVFGEEDCPQPLCGFGMEIAQKCKGLPLTVVVVAGILATIEHEVWAWEEFSERLTLTMVSSTELCKKSLELSYEYLPYRLKVCLLYFAAFQEDEIIGTKNLMRLWIAEGFVENVEGKRLEDIAEEYMMDLIGRNLVMISERRSSGGVKSCCIHDLLFEFCKIQAKEKNFLQVLRGFDELSTFNEPPNLPLLSIFSSGEDFIKSKLFCPHLRTLLFFDQTAARYFQLADTSFPFSIYKRLNVLKLDHIHLMQEELPEEVESLLNLRYLAIRAKNIPPSIAKISNLETFSLKHCREVTLPGTIWSMKKLRHLHVRPGPAVIGRLPKDNAVENSSTLLNLDTLSNLDLPFNQEGENIMRKIQNIRKLRISSTMAGRNLSLPMSLKKLLLAGLFLPCSKMQLIEQLPNLEVLKLHHDSLYGGSWELTKGGFTKLRVLTFSQLDIVEWTESDPDSDDYFPCLQQLNLEFSWKLEKFPSCLERISTLEKIKMKHWKSNDHDRVLCLVRGIEESQRNYGNENLKIITQRIY >CDP11216 pep chromosome:AUK_PRJEB4211_v1:3:9333748:9334297:1 gene:GSCOC_T00033322001 transcript:CDP11216 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLISGGTSSHLLVFSEDLFFIYLLPLIIFNAGFQVKKKQFFRNFITIMLFGALGTLISFVIISLGKDLFLLHFFFLGSFVHQVPFEFFISSSKA >CDP11199 pep chromosome:AUK_PRJEB4211_v1:3:9050990:9053600:1 gene:GSCOC_T00033294001 transcript:CDP11199 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFVVHANKQTCSEILIGTIPTSLGNLTNLTVLHLYDNQLSGSIPEELGNLELLTDLELDRNELNGSIPKSFGDLSNLEFVFFRENQLSGSIPEELGKLAKLAVMEMDTNQFSGHLPEHLCQNGTLQNFTVSNNNLIGPIPISLKICSSLFRARFQGNRLTGNLSEMFALLLADNHITGQIPSEVGNAYQLHVLDLSSNDFTGEIPKQVMMLASMLNLYLQNNQLFGNIPEEVGQLKIYFILTCQQISCIPPQMGELTRLSILDLSHNYITGEIPSEFRSLQSLEVLDLSHNYLSGFLPKALAELPGSLHINISFNNFEGPIPYGKAFNNITIEELRGNKVVLPLLGSLLLLCAFFGALKVRRQRKRKTTENVEDADLFSITTYDVKAMYREIIKATEEFSEIFCIGEGGFGSVYKTILPPSNLVAVKRLHLLPEKVYFDSFLNEIRALTNIKHRNIVKLYGFCSNSKHSFLVYEYLERGSLAKIFSADEEAKELDWEKRVNIIKAVAHALSYMHHDCTPSIVHRDISSNNVLLDSEYEARLSDFGTAKFLKKDSSNWTTLGGTLGYVAPELAYTMRVTEKCDVYSFGILTLETIKGTHPGDIVANLMSSTPGNIELKDLLDQRLLHPTEETEKILISTIKLAKPCLHVNLDSRPTMHMISSLLSVGAPCRQQVGKY >CDO98739 pep chromosome:AUK_PRJEB4211_v1:3:437554:443739:1 gene:GSCOC_T00025641001 transcript:CDO98739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear poly(A) polymerase 3 [Source:Projected from Arabidopsis thaliana (AT3G06560) UniProtKB/Swiss-Prot;Acc:Q56XM9] MEFETNYYSDTEGAVFWVPNQEAIHFRRVNPPLTCNSGLHINPRRPPFCPGFSPVNPLLVGFLELGFDPDVLMKMEVQRSISLLQFMADERLVPSPEEEIKRRNVIAKLKQIVMHWVKRVAYQCQLPKNRVRAASATILTFGSYGLGVHNSGSDIDALCVGPYFATMAEDFFVLLHNMLASRPEVTEIHCIKDAKVPLMKFKFDGLSIDLPFAQLKVISVPENVDLLNPFFLRNIDDTSWRSLSGVRANKSILQLAPNVELFQSLLRCVKLWAKRRGVYGNLHGFFGGVHFAVLAAFVCQRHPNASLSLLISIFFKTFAFWPWPRPVFLHGRMMPPTISSDSRFLMPIQLPCSPNEYCHSNITRSTFFRIRTEFLRGHALTKDILRPDFSWSILFDPFPYAKKYAQFVKICLSASNQDELRGWVGWVKSRFRSLLVKLEELQGFCDPSPLEYVDVDLAAPNVVFYWGLQPSRRDVIDIDFVDEDFMKNMSNGYRVPPGRMKLSVVKASQLPKSVTCNTGSKGVRARWRILDNSQRKIPVRTNSLPQEFAGLMATNGSAEFPSAGV >CDP14652 pep chromosome:AUK_PRJEB4211_v1:3:15051046:15053375:-1 gene:GSCOC_T00042047001 transcript:CDP14652 gene_biotype:protein_coding transcript_biotype:protein_coding MALINLDLSFFSIFSLLIFLLSLLKWFYAASKPQKKLPPSPPKLPIIGNLHQLGQFPHRSLQSLSRKYGPLVLLELGSKPMLVVSSSDAASQILKTHDLSFASRPKSSIPDKLFYGSKDIAFAPYGEYWRQLKSISMLHLLSNKRIQSFQHVRDEETSLMIEKISRMCSSTAVNLSDMFLILTNDIICRVALGRKYSEEENGRKSMENLKVFGELLGIFDVGNYIPSLAWVNRFNGLDSKVKKTVKQIDGFLEGVIEGHMNKRKGKAESDSTTEERCQDFVDILIEINEEKTMGFALERDAMKAIILDVFGAGSDTTHSVMDWGMSELLKNPKVLHKLQAEVRDVTQGKPEITRADMEKMQYLKAVIKETMRLHTPVPLLGPKESNQDVKIMGYDVPKSTQVLVNAWAIARDPLLWENPEEFRPERFLGSSVDFHGLNFELIPFGSGRRVCPGINFAMSVTELALAKLVNKFNFTLPDGINPNELDMTESFGITVHRKFPLHAIATPYSC >CDP03474 pep chromosome:AUK_PRJEB4211_v1:3:20059764:20061468:1 gene:GSCOC_T00015260001 transcript:CDP03474 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSSNTFNSLALVLSLSIILNLLPSSFASNKRGIPQSDVDLLEFPLNLEYLEAEFFLWGSLGYGLDKIAPELTGKGPEPIGAKIAKLDPFVRDVVAQFAFQEVGHLRAIKSTVPGFPRPLLNISSESFATVINSAIGRTLEPPFDPYANDINYLIASYVIPYVGLTGYVGANPNLQSPAAKRLVAGLLGVESGQDAVIRALLFEQAYVKVKPYGITVAEFTDRISNLRNELGHAGLKDEGIVVKPSEGAEGRISGNVLAGDKDSLAFGRTPEEILRIVYGSGNESKPGGFYPKGAEGRIAQSHLRLNEALLNFN >CDP14641 pep chromosome:AUK_PRJEB4211_v1:3:14504093:14516971:-1 gene:GSCOC_T00042020001 transcript:CDP14641 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGGNQSQSHKSHRSRQAGPSAKKKSKSNKKSNNWDKNQRQHNPKAFAFNSTVKAKRLQARATEKEQKRLHVPTVDRSTGEPAPFVVVVQGPPKVGKSLVIKSLVKHYTKHNLPEVRGPITIVSGKRRRLQFVECPNDINGMIDAAKIADLALLLIDGSYGFEMETFEFLNILQNHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYSKREIHNLARFISVMKFPPLSWRLSHPYILVDRFEDVTAPEKVHMDNKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDCNLAGITALADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHLVQFSKDDNADDGVGRKGKDRDVGEVLVKSLQNTKYSIDEKLEKSFISLFGKKPNSVSDKQSDERDIHGSMENNGNMELMEQDQSAAEAMDNASDEDNDSDDNDISDFSDDEKTLQMDLTTKMPEDSSGEEDNLASDKQPSSRDNYTEQIDFHEGRMRRKAVFGDVSDADDPKDSDDDDDGRDGSASSYSESSEDDASDPTRANLEMGNASKWKESLVERTASRQNVNLMQLVYGKAEKTSTASVNSTVDEESDDEFFKPKGEGKKKSQEGSDVDNLNAEDCSKFTISSGRNWKDEEVIVNIRDRFVTGDWSKAARRGQVSEAIDDDEDGDVFGEFEDLETGQNGGSKSWHFVQSLMLNILLCEVINFFHFPLLPPPQPFPRVMHVRVDLQHLRIDFLLHRKFYFCAHCTYNGSDSLDEENDDTKGSKFSCNQANGSGFFDKLKEEIELRKQLNMAELNELDDDTRVEIEGYRTGTYLRLEVHDVPCEMVEYFDPTHPIIVGGLGHGEDSVGYMQVRLKRHRWHKKVLKTRDPIIVSIGWRRYQTTPVYVIEDSNGRYRMLKYTPEHMHCIAMFWGPLAPPHTGVVAVQNLSNTQASFRITATATVLEFNHASRIVKKIKLVGHPCKIFKKTALIKDMFTSDLEIARFEGAAVQTVSGIRGQVKKAAKEEIGNQPKKMGGFAKEGIARCTFEDRILMSDIVFLRAWTQVEVPRFYNPLTTALQPRNQTWQGMKTVAELRTELNIPVPVNKDSLYKPIERKQRKFNPLVIPKSLQAALPFASKPKDIPARRRLLLENRRAVVMEPHERKVHALVQHLQLIRNEKMKKRKLKEEEKKKAHEAEKAKEEQLSKKRTREERRDRYRVQDKLNKKIRRNSGS >CDO99101 pep chromosome:AUK_PRJEB4211_v1:3:3193583:3196735:1 gene:GSCOC_T00026129001 transcript:CDO99101 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHTIPFLIIILILQTWSATSSIFAPFVGGDVCKSAECGKGTCKPSNDSNFGFACQCDDGWKQARLEHDDGLKFLPCVIPNCSLNYSCGQAPPPAPAREKRANESIFDPCYWADCGGGTCNKTSIFGHKCECQEGYYNILNVTAFPCFRECELGMDCKHLGIGVMNNTPASSPPSLLPENGQNQATSIAVGDLTWSMFTMVLSVALLLSK >CDP10027 pep chromosome:AUK_PRJEB4211_v1:3:25060913:25061159:-1 gene:GSCOC_T00030582001 transcript:CDP10027 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIVYSLSLDLFYVRVGLFPLHL >CDP19080 pep chromosome:AUK_PRJEB4211_v1:3:31936303:31939462:1 gene:GSCOC_T00002102001 transcript:CDP19080 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIQNSHGRLLIQKKKIWEQVQPHLGTDDSCIAALGMHTMRTTDGVVVCRSLKNARIS >CDO98734 pep chromosome:AUK_PRJEB4211_v1:3:412422:413472:1 gene:GSCOC_T00025635001 transcript:CDO98734 gene_biotype:protein_coding transcript_biotype:protein_coding MYWMLLFVHFCFGDILFCFNCMGEKSIFSRRRGFFSRSFAHGETDEEDTLKESRRIACRKCGNFHVWIHTKKVKSRARWCQECKDFHQAKDGDGWVEQSSHPLLFGMLQKACISRNHCIIY >CDP16566 pep chromosome:AUK_PRJEB4211_v1:3:25843690:25845336:1 gene:GSCOC_T00018962001 transcript:CDP16566 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEFSLLLLLSIIVFGAITVTNAGGGAPGGGGLRPNFYRFTRCPQAEMLVKALTIRKVRSDPTLAPKLLRVHYHDCFVKGCDASILLDTVGTNQSEKDARPNQTLGGFEAIDDIKAQVEKACPGIVSCADILALAARDAVSFPFGRNLWEVPTGRRDSRVSLISDVNGNLPSPFSDFPTLQQLFAKKNLNVNDLVALSGAHTIGVAHCGAFSRRLFNFTGKGDMDPSLDATYAGTLKQQCPNPANPATTVGMDPQSSRSFDTHYFTILNQKKGLFQSDAALLTNAVSAAIVNRLQFPRNFFFEFGRSMVNMGNIEVLTGTAGEIRKNCRVINPLA >CDO99329 pep chromosome:AUK_PRJEB4211_v1:3:5418443:5422042:-1 gene:GSCOC_T00026443001 transcript:CDO99329 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g24315 [Source:Projected from Arabidopsis thaliana (AT3G24315) UniProtKB/TrEMBL;Acc:Q1LYX4] MDPVVEEVEKVKKEFRETEEQLSKNIKSIEEYGKSSQKLSSSSVEEEEHHQSKNSLPRLNGLAQDGLNLLQSLHFKLDLLAPQLPSDDQAQQAQDLANSWQKQIESLRSSLRKANLRAKENIRKTAQQERELLLGGGEESTIRRRNLQTKAGMTSAAGSITESLRRTRQLMVQEVERSASTLMTFEESTGVLGKAESEYRGHRSLLMRTRNLLSTMQRQDVIDRIIMVVGFLLFSLAVLYVVSKRIGLLKLQRMLMDAVKGGVVNEAGVLPRGRGNGVNIPQMNEDAVPLLDVPLERHMRDEL >CDP10835 pep chromosome:AUK_PRJEB4211_v1:3:27012130:27013391:-1 gene:GSCOC_T00031733001 transcript:CDP10835 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFKQNIELPLETVHTDLESKWFLIHIKPVQTQVADTKQRYTIIYYSEIVDVATPISSVNESIVPFLSVQIRMALHRSQLQAL >CDO98873 pep chromosome:AUK_PRJEB4211_v1:3:1417811:1425670:-1 gene:GSCOC_T00025822001 transcript:CDO98873 gene_biotype:protein_coding transcript_biotype:protein_coding MCIQEASESNKEKTYSRGITIQFRNEEESRAFHCAFDQWKKEVVFQASHLPNGTVLASQSKFDSKIEASSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFFGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPILSQRITVIKGKVEEAELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPNGKMFPAVGRIHMAPFSDEYLYIEIANKALFWQQQNYYGVNLTSLHGSAFQGYFSQPVVDAFDPRLLVAPAISHVINFTSIKEEELYDIDIPLKFVSSVGTRIHGLACWFDVLFNGSTVQRWLSTAPGSPTTHWYQLRCVLSQPIYVMPGQEITGRLHLAAHTAQSYTIHLTLSAKMWGPGAEQGGILQTSTGKLDLKEPYYRMSQPQVCPAAQDQQSQQLLQTQDIPILSQDEDGGSDLMQQPFQESGAEFNSL >CDP08128 pep chromosome:AUK_PRJEB4211_v1:3:31369108:31371051:1 gene:GSCOC_T00026865001 transcript:CDP08128 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLAVPFLFIFFLLPSAESVTYNVQSYGAKSDGRSDSTNSFLSAWAAACASVAPATIYVPPGRFLVGGASFWGQNCKNNAITIRIDGTLVAPSDYNVLGHTGNWLKFERVNGLSIYGGTLDGQGTGLWACKNSGKHCPQGATSLGFYNSNKVLVSGLSSLNSQFFHIILDGCQNTRLEGVKISAPENSPNTDGIHVQSSSGITITNSHIGTGDDCISLGPGCSNMWVENINCGPGHGISIGSLGSSVQEPGVKNVTVKTVTFSGTENGLRIKTWAKPSNGFVAGVLFQHAVMINVQNPIIIDQNYCPSGGRCLGQASGVRISDVTYQDVHGTSATEVAVNFDCSKKYPCSRITLEDVNLSYKDQPAMASCINAGGSSSGLVEPKACL >CDP19074 pep chromosome:AUK_PRJEB4211_v1:3:31986497:31994016:-1 gene:GSCOC_T00002095001 transcript:CDP19074 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMLKRNRQQQPDYYYHSLLDKIQRYRGVFLVISLPLLLVTIVLFLMPARSPSDSLPRKFSPMNANDNANARSYAVIFDAGSSGSRVHVFCFDSHLDLVPIGKDLELFEQKKPGLSAFANDPEAAANSLQELLQKAEAVVPPELRQKTPVRVGATAGLRQLEGDASDRILQAVRDFLKNKSSLTSKADWVTVIDGNQEGAYQWVTINYLSGKLGKKYSRTVGVVDLGGGSVQMAYAISESDAAKAPKLPDGEDAYVQEMYLKGTKYYLYVHSYLHYGLLAARAEILKAGVESSNPCILAGFDESYKYGGKEYPSSASPSGSNFNGCREVALKALKVNDSACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVDPHAHVAKVHPVDFEEAAKRACQTRLEDARSTYSRVEPDNLPYLCMDLVYQFTLLVDGFGLDPWQEITLVKKVEYKNSLVEAAWPLGSAIEVVSSLT >CDP11165 pep chromosome:AUK_PRJEB4211_v1:3:8208536:8212931:-1 gene:GSCOC_T00033235001 transcript:CDP11165 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRWVLQLHKDVPKAAKLYSEGLGFTVNVCTLRWAELELQPGPLKLALLHSPTSSLQKGYSSLLSFTVTDISSTVTKLMALGAELDGPIKYEIHGKVAAMRCLDGHMLGLYEPA >CDO98745 pep chromosome:AUK_PRJEB4211_v1:3:460386:465753:-1 gene:GSCOC_T00025650001 transcript:CDO98745 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEELPVPIYASLEPVYGGGSQLEEAELRFNLLKSKFREFFGHLPDVYARSPGRVNLIGEHIDYEGYSVLPMAVRQDAIVGIRVNHSEKILRIANVNDKYPMCTYPADPEQEIDLKNHRWGHYFICGYKGYHEYAKSKGIDVGAPVGLDVIVDGTVPTGSGLSSSAAFVCSSTIAVMAAFGVNFPKKELAQLTCECERHIGTQSGGMDQAISVMAKTGFAELIDFNPIRATDVLLPPGGTFVIGHSLAESQKAVTAATNYNNRVVECRLAAIVLGIKLGMKPEDAIANVKTLSDVEGLCVSFAGTHTHGSSDPVLAVKELLKEEPYSAEDIAKITDKSLEDIFAASPTSLDVLRAAKHYKLHQRAAHVYSEAKRVHAFKDTVNSNLRQVILTSMLSLRCFIMHDKPFQ >CDO98756 pep chromosome:AUK_PRJEB4211_v1:3:563247:565506:1 gene:GSCOC_T00025669001 transcript:CDO98756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 67 [Source:Projected from Arabidopsis thaliana (AT3G17060) UniProtKB/Swiss-Prot;Acc:Q9LSP1] MPSSSSTLPWAKNVAYVHFLVMSVLISDVVHCSSDRNVIDSNLLTQKIGTSRGTIVDISGQGDFRTIQAAIDAVPEGNSNWTIIHVRKGVYREKVHIPRNKPFIFLRGNGKGKTSIVWSQSSVDNYQSAAFLVESQNFVAFGISFKNEAPTGIAYTSRNQSVAAFVGADKVAFYHCAFFSSHNTLFDYKGRHYYDNCYIQGSIDFIFGRGQSMFHNCEIFVVGDKRAEIHGSITAHSRRSAGENSGFVFVGGKIYGVGSLYLGRANAPFSRVVFANTYFSRTIVPQGWTNWSYAGSPDRVYLAEYKCHGPGSASKDRAKWSKQLDDKEVAPFLSIDYINGKQWLPAWL >CDP19144 pep chromosome:AUK_PRJEB4211_v1:3:31593400:31594609:1 gene:GSCOC_T00001643001 transcript:CDP19144 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNVPHQIVQSPARLGLPNPNSPSLQNATPANLFFCKCPHLPNRSHWISAFRGSLPSFLSSQSQPLTSTAPDSYPSSSKEILALFTNLQTQLFEAVAELQEILDLQDGKQKLSHEIRSKDAAILALASKLKEAEQVLDNLVDDYSDYRRSKRSKSEDVAEDSSTTTVASQLKLSDILSYAHRISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYAFADLDVGLPKTDEHKKKIIEPLIETPVGQPAEPNPLANLAGMQGLLPPNIAVPSGWKPGMPVELPSDLPILPPPGWKPGDPVALPPLDSLPVPPRIEEQQPQHIPPPMLTKAPEPIQVLHVQLDIDDDSSDYSSDVGSSDSED >CDP10292 pep chromosome:AUK_PRJEB4211_v1:3:12226805:12227077:1 gene:GSCOC_T00030972001 transcript:CDP10292 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQRGVYPSQLALAWVHHQGNDVCPIAGTTKIENLNENIGALSVKLSAEDMAELESTASAGVKGDSHGPGLNTWKTSDTPPLSTWKAT >CDP11127 pep chromosome:AUK_PRJEB4211_v1:3:7483982:7488354:1 gene:GSCOC_T00033174001 transcript:CDP11127 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGISLKTQELYAIVFATRYLDIFTDYISLYNTIMKLIFLGSSFSIVWYMRHHKVVRRSYDRDQDTFRHLFLVLPCLLLALVIHEKFTFKEVMWAFSIFLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRSLYILNWIYRYFTEPHYVHWITWVAGLVQTALYADFFYYYFQSWKNNVKLELPA >CDP16836 pep chromosome:AUK_PRJEB4211_v1:3:16567733:16571622:1 gene:GSCOC_T00019375001 transcript:CDP16836 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRELFPMTIMNLLLLACFMCLLSGLEAQSQGCHPNDLAALKEFAGNLTNGSIISAWSNDLSCCNWDGVVCGGSRVIMLNLSRNGLKGVISESLGNLDQLRLLDLSHNDLEGGLPSDLSNLQLLESLDLSHNKLSGQVVAALIILRSIQSLNLSSNLFTGNFTDFGKFPNLVEFIISNNSFSGELDSQLCSISRKIQVVDLSLNRFSGGLEGLDNCSTSLQQLHLDENSFSGPLPESLYSVTSLEQLSFSANNFSGQLSPQLSKLSNLKSLVLSGNVFSGTLPNVFGNLTKLEQLVAHSNSFSGPLPSSLAQCSKLRVLDLGKNSLSGVIDLNFTGLPNLYTLDLASNHFYGPLPDSLSTSQELKILSLAKNELTGHVPECYANLTSLVFLSLSNNSLTSLSGALSVLQNCRNLTTLILTKNFHGEKIPTNVGGFQNLMVFALGNCGLNGQIPSWLLNCSKLEVLDLSWNHLNGSIPPWIGQMDNLFYLDFSNNSLTGEIPKSITELKGHITAKSNPPSLNSSTSIPLFVKRNQSSSGLQYNQASSFPPSILLSNNKLNGTIWPEIGRLKQVHVLDLSRNNITGTIPPSISDMGNLEVLDLSFNDLYGSIPSSFNKLTFLSKFSVAYNHLQGAIPIGGQFFSFPSSSFDGNPGLCGKIISPCAVNNVGLQPVIPATSNNKFGRSSILGLTISIGVGIAILLALVLLKISRRDMGNPIDDLEDEISRPPRLSDAFGPSKLVLFQNADCKDLTVADILKGTSNFGQTNIVGCGGFGLVFKADLPNGTKAAIKRLSGDCGQMEREFQAEVEALSRAQHKNLVSLQGYCRHGNDRLLIYSYMENGSLDYWLHERIDGSSFLRWETRLKIAQGAARGLAYLHKEPNIIHRDIKTSNILLDERFEAHLADFGLSRLLHPYDTHVTTDLVGTLGYIPPEYSQTLTATFRGDVYSFGVVLLELLTGRRPVEVCKGKNCRDLVSWVFQMKSERREEEIFDSSIWDKDFEKQLLEVLAIACKCIDQEPRRRPSIDQVVSWLDAIETGRARK >CDO99024 pep chromosome:AUK_PRJEB4211_v1:3:2601191:2602153:-1 gene:GSCOC_T00026028001 transcript:CDO99024 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSSNIVTDLFPVIRVYKDGKVERLFGSQYVPPSPEDPITGVSSKDITISPEVSARLYLPKITDPNQRLPILVYIHGGGFCIESAFSFDHQRHINLLVSQAKVVAVSVEYRLAPEHPLPAAYEDCWAALQWVASHIDNSSMEREPWLVSHGNFNKLYVGGDSAGANIVHNVVLRAGAESLYGDVKILGAFLTQPYFWSSNLASKGCGNPRVETLATEIWMFAYPSAERGIDNPMINPLADGAPSLARLGCSRLLVNVAEKDILRNGGILYVDAVKESGWKGEIELFEVEGKDHSFHIFNPEDKEAKIQMQVLASFLMY >CDP10263 pep chromosome:AUK_PRJEB4211_v1:3:11791454:11802934:1 gene:GSCOC_T00030935001 transcript:CDP10263 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQSDPRQHQQQQVQNHQQQVHQQHQQLLQQVVGVVNYSNSIGNNSSNSNNVGGGVDRRSDLVFSLHNDSGALPQSANIKQELLSREVDEDMLLTIAHQNYKAGNYKLALEHSKAVYDRNPRRTDNLLLLGAVYYQLHDFEECIKKNQEAIALEPHFAECYGNMANALKEKGNIDLAIQYYLVAIELRPNFADAWSNLASAYMRKGRLNEATQCCRQALALNHRLVDAHSNLGNLMKAQGLVQEAYKCYVEALRIQPSFAIAWSNLAGLFMEAGDLNRAMQYYKEAVRLKPNFADAYLNLGNVYKALGMPQDAIMCYQRALQARPDYSMAFGNMASVYYEQGNLDMAILHYNRAISSDTGFLEAYNNLGNALKDAGRVEEAIHCYRQCLSLQPSHPQALTNLGNIYMEWNMMNVAAQCYKATISVTTGLSAPYNNLAIIHKQQGNYVDAITCYNEVLRIDPMAADGLVNRGNTFKEIGRVTEAIQDYLRAITIRPSMAEAHANLASAYKDSGHVEAAIKSYRQALMLRPDFPEATCNLLHTLQCVCDWDDRDGMFNEVEGILRRQIKMSVIPSVQPFHAIAYPLDPMLALEISRKYAAHCSVVAARYSLPPFKHPAPLSIKGGGRVGRLRVGYVSSDFGNHPLSHLMGSVFGMHNRNNVEVFCYALSPNDGTEWRLRIQSEVENFKDVSSMSSDVIARMINDDQIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGANYIDYLVTDEFVSPMCYSHIYSEKIVHLPHCYFVNDYKQKNLDVLDPNFQHKRSDYGLPEDKFIFACFNQLYKMDPEIFTTWCNILKRVPNSALWLLRFPAAGEMRLRAYAAAQGVQPDQIIFTDVAMKQEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMVTLPLEKMATRVAGSLALATGFGEEMIVSSMKEYEERAVSLALNRPKLQDLTERLKAARMTCPLFDTARWVQNLDRAYFKMWNIYCSGQHPQHFKVAENDLEFPYDR >CDP03446 pep chromosome:AUK_PRJEB4211_v1:3:21681843:21682151:1 gene:GSCOC_T00015209001 transcript:CDP03446 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARGAKLHIAMFPWLAFGHIIPFLEYAKFLVQKGHRISFISTPKNIDRLPKIPPELATSIQCFQNRTGPAGSTGWTANRTCHRSGLKLKPEINRKPLETG >CDP08058 pep chromosome:AUK_PRJEB4211_v1:3:30194875:30195237:-1 gene:GSCOC_T00026755001 transcript:CDP08058 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYFKARPEAGDYTIFMGLDNYESEELIKYGFLEDVWFHVDKMSSTHVFLRLHKGQTFDNISEGVLEDCAQLVKADSIQGNEVNNIDVVYTPWHNPKKTASMDVGQVVIIRFILFHFPH >CDO98973 pep chromosome:AUK_PRJEB4211_v1:3:2205791:2210670:-1 gene:GSCOC_T00025964001 transcript:CDO98973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MYAQVLHTHPSSKLPCPSPLLLVRPLTTPIFKHQFTPNSRTQLYFLHHHCQKVRKPLIRCAANSEGVGGSRNWEKWVPRDFLAADKIFTWISEATSSPIAQYISSPTTFLHTVDPRIKLVWLLVLVVLPARSHISVRFGLVIFLASLSMLMQPKQVWMDQLGRVTLLSGILFIMLGLGTDSAPSLVFSRTPPPSVLGLPNLPTSLDGYSYVVMKFGPLQLTRKGLSTASTSACLTFVIFQSASLCLTSTTPEQLAFALQWFIHPLKHLGLPVAEVILTLLLSLRFISLVFDEVRNVALGIVSRRINWQLLTTMETVDVFFTYIRRIFKNIFRHSEQISQAMIVRGFRGDCDSHKIFLSADTSSAMANIISLLCLFGLVGAATMSKYLLL >CDO98832 pep chromosome:AUK_PRJEB4211_v1:3:1112174:1114103:1 gene:GSCOC_T00025765001 transcript:CDO98832 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGKKKAAILGVSSILLVAAVVGTVTYSVGRHGTETATSGGGSDQVSTSSKSVESVCKHVDYKQTCEQSLSGAKNTSDPRELIKLAFTAAVDNIASVIQNSTLLQNAAKDPRTHQALETCKYALNTSIEDLQRSFETVGTFDINKIDDYVADLKTWLSAAGTFQETCLDAFENTTGDTGEQMKKLLKTAGELTSNGLAMVTDISEVLTNFNIQGFKRRLMSSSVEPDFVDATARKLMAASTASLKPNAVVAQDGSGQFKSIMAAVNTVPKKNNQTFVIFIKAGIYKEYVTLPKHVNGIVLVGEGPTKTKITGNKNFVDGVGTFQTPTLSVNGDATILKDLGIENSAGAVKHQAVALRVSGDRTIVYNCQIDAYQDTLYTHTYRQYYRNCTISGTIDFIFGDASAVFQDCKMVVRKPMENQGCMVTAQGRKERRGIGAIVLQNCQIVADPAFLAVQPPIKAYLGRPWKEFSRTIIMQTSIDGFIAPEGWSPWMGNFGMDTCYYAEYNNRGPGADTSKRVQWKGIKKITPQIAQSFTPGAYIQGDAWITASGVPYAPGMQVDFTANLLS >CDO99452 pep chromosome:AUK_PRJEB4211_v1:3:7133043:7136621:1 gene:GSCOC_T00026633001 transcript:CDO99452 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNHAQPPLGVRTRSMTLELKRLQKLPASPSAASAAIGDGGSYLQLRSRRVERLSPFAAKAKTRKILKGSTSKSQGTGPASENQESEKDKGKGPMLEENENEEENNNPDDLKVMESSWGENNLEAESAERATRETTPVHLIRDPHALVLPPFSSTRRRYIRTTRANPRQFINPVEDYLNALFGDLEERHKNKCIEKYNFDFDKEEPLPGRYEWEKLMH >CDP08107 pep chromosome:AUK_PRJEB4211_v1:3:31102874:31105186:-1 gene:GSCOC_T00026833001 transcript:CDP08107 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLNVVTEGVLNKLISLVAEEINIVWCVKEDLESLARNLEMIQALLVDAECNHTSKDAIDIWLRRLQDVSSKADNLLDEFAYEVLRNNIEKVYQEAERIGLGPVEIVSMSNNPRSDQSSTTDPFVDDSSIVGKSEEVNKVVNLLTSSEKDGNNLSVIGIVGMAGLGKTTIAQLVYKNEKVLRHFDHKLWIHVSEDFNVERLLNKMVESLTATNPNLTVGEAIVGKLNEVLKTKRFLLVLDDVWNEDAEKWDRMRKCLHGIGGSDESRIIVTTRNETVVSIMQPSFPCQLGTLSDGDSWELFEKIAFGHGCAAVKTPELINIGRKIVAKCGGVPLAVNAIGGLLWYKKDEREWSKIENSETWATMEEAGRRVRSAIKLSYDHLPSLSLKQCLSYCTIIGKGGVAAVEIMIQLWMAQGLLNPSKGSLLEMEDVGSNYTSILFRTSLLQVFEKDGFGRTMYFTIHDLVFDFVEEAAKDLLKPRTLILSDGLAHDLPIVRKSLRVLVLEDENVKELPTTIGKLRCLRYLDISRTSITELPNPITQLYNLQTVKLSNLQQLPKNFGNLANLRHLYIGKDGMINGKPCLLPDIGQLSSLQTLPFFYVSRDKGCQIDQSGHLHNLRGDVKIFDLQNVSNQEEALINLDSLELHWDTRTRDGSTDEDVLKGLEPHPNLKGFTMKNFMGRSLPSWMLTTSQPLVFRNLEKIVLRNFNKCQQIPPL >CDP03475 pep chromosome:AUK_PRJEB4211_v1:3:20033887:20035261:1 gene:GSCOC_T00015261001 transcript:CDP03475 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSAASNSPVLILSLFIFLIFPPGSFASSKWKIPQPDVDLLEFPLNLEFLEAEFFLWGSLGYGLDSIAPELTGNGPAPIGVKYAKLSHPVRDVVAQFAFQEVGHLRAIKNTVPGFPRPLLNLSSESFATVINSAFGRTLWPPFDPYANDINYLIASYVIPYVGLTGYVGANPNLESPSAKKLVAGLLGVESGQDAVIRALLFERAYVMVKPYGITVAEFTDRISYLRNQLGHAGVKDEGLVVRASEGPEGRISGNVLAGDKDSLSFGRTPEEILRIVYGSGNENKTGGFYPKGADGRIAKSHLE >CDP10310 pep chromosome:AUK_PRJEB4211_v1:3:12614209:12630400:1 gene:GSCOC_T00030999001 transcript:CDP10310 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRSSRILSGVSSVETSRKEEKKQKKTKQSRQGQEKVLMKVLLNHQVEFGEHIALLGSAKELGSWKKELMMDWTEDGWVCELELKGGEPVECKFVIVRKDKRRAWESGDNRVLMLPEGGSFKTVFRWDRTGEAVEFLPLDLEKEEEGGVGATGNGSAVADDVADTEIVASPLVEQWQGKVVSFVRSKEQLNIEKERKWDISGLEGIALKLVEGDKKSRNWWRKLEVVREIVVGNIESGHRLEALAYSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELERISYGKDTSPQEILVIRKIHACLPSFKAEFTTSVPLTRIRDIAHRNDIPHELKQEIKHTIQNKLHRNAGPEDLVATEVMLEKVTKNPGEYNEAFVEQFKIFHRELKDFFNAGSLEEQLDAIKESLDEKGLSTLSNFIESKKGLDNLNETSNVSDNDVVGLLVQTIHSLNSIREVIVKGLKSGLRNDAPDAAIAMRQKWRLSEIGLEDYAFVLLSRYLNALENLGGAHQLSRNVDSNNISSWNDPLTVLSVGIHQLGLSGWKPDECRAIGNELMAWKARGLPEREGGENGKTIWALRLKATIDRCRRLADEYSEAVLQIFPQKVQILGKALGIPENSVRTYTEAEIRAGVIFQVSKLCTLLSKAVRSTLGSEGWDVLVPGDASGVLVQVDNIVPGTLPSSVEGPVILVVNRADGDEEVTATGSNIAGIVLLQELPHLSHLGVRARQEKVVFVTCDDDDKVTDIKDMNGKYVRLEASSEGVKLSPSSKQKTGESPAKSSPPFSSTVGSTSNSDSSQMNMESSKVLASGGILLLSDADLQNSGAKAATCSHLASLSAASAKVYSDQGVSAAFKVPAGAVIPFGSMELALEQSKSTETFRSLLDQIETAEIHGELDKLCNELQELIASQQLPKGIMESLGKVFSANARLYVRSSANVEDLAGMSAAGLYESIPNVSLSNPLIFGRAISRVWTSLYTRRAVLSRKAAGVPQKQAAMAVLVQEMLSPVLSFVLHTLNPTDLDRNSVAAEIAPGLGETLASGTRGTPWRLSSGKFDALVCTLAFANFSEELVVRGAGPADGEVFHLTVDYSQKPLTVDAVFRQQLGQQLGAVGYFLERKFGCPQDVEGCLLGTDIYIVQTRPQPQ >CDP14665 pep chromosome:AUK_PRJEB4211_v1:3:15399184:15405864:1 gene:GSCOC_T00042070001 transcript:CDP14665 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGILIRAFAQAYRQALANASKTGVAQETLQNVARRGSKIMTEQEARQILGVSEQSTWEEILQKYDNLFESNAKNGSFYLQSKVHRAKECLENVYQGKEQGSSGQNT >CDP03485 pep chromosome:AUK_PRJEB4211_v1:3:19034690:19042540:-1 gene:GSCOC_T00015291001 transcript:CDP03485 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFPRSATAGKGSLGVWPGMAQLSIRKNLVYGLMRLFSMPFKTLRGVSSRTLGVSRFCSINNMSSTLQIELIPCLRDNYAYLIHDTDTGTVGVVDPSEAVPVIDALDRNNRNLTYILNTHHHYDHTGGNMELKERYGAKVIGSGVDQDRIPGIDIALNDGDNWKFASHEVLIMDTPGHTRGHISFYFPGSKSIFTGDTLFSLSCGKLFEGTPDEMLSSLRKIMSLPDDTSIYCGHEYTLSNSKFALSIEPGNKELQSYAAEVNHLRDKGMPTIPTTLGKEKLCNPFLRTSSMEIRRSLKIPPAANDAEALGIIRQAKDNF >CDP03481 pep chromosome:AUK_PRJEB4211_v1:3:19559511:19561294:-1 gene:GSCOC_T00015275001 transcript:CDP03481 gene_biotype:protein_coding transcript_biotype:protein_coding MSHALWTLQQISLFLESSKLEIKYLLEWKGTMEKGITDLEPWKGTSKLSLSRFQPKPIIILLETIAIAYLSARGG >CDP10302 pep chromosome:AUK_PRJEB4211_v1:3:12385768:12397818:1 gene:GSCOC_T00030983001 transcript:CDP10302 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSGSYGPSKPEPDMIKLIHHAISRGITHLDTSDIYGPHTNEILIGKALKEGIRAKVELATKFAISYQDGKFDVCGDPAYVRACCEASLKRLDVDCIDLYYQHRIDTRVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDVEEEIIPACRDLGIGIVAYSPLGRGFFSSGPKLIENLAEGDFRKYMPRFQAENLEHNKNLYEQVNAIASRKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLNQNIGALSVKLSAEEMAELESIASAGVKGDRYGPGLVTWQTSETPPLSTWKRT >CDP08139 pep chromosome:AUK_PRJEB4211_v1:3:31495053:31497568:1 gene:GSCOC_T00026877001 transcript:CDP08139 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWAPRKPKLIKEKKRALDFKMATTAKYFITDLKLGGPFSMAVTMSGRTIRQGCFTATGTRSPIVFSKRALKLQHTEINGPRELMFRKGKVQSKPLSQVALATDSADISINTPATTNSIMQFYKSINDKKLKQLEQLLSDDCFFDDYSFPKPFEGKQEVIKFLEQLITSMGHHTEFSVEHICEGDDLTAAVNWHLDWKKKQVPFTRGSSYFGFARDGETLVIKKVQAVIESPIKPGGLALGLFKIITSLFDAFPGAAERFLKSPHVIFTLLLKIYNIILQPILSPLIAWYLKLWSFTVGIISITLKILQYIAKIISS >CDP08022 pep chromosome:AUK_PRJEB4211_v1:3:29216956:29218848:1 gene:GSCOC_T00026690001 transcript:CDP08022 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLAIFLFMTVFVSPALARNIPPPPSIKSWCAQTPYPQPCEYFLSQSPKYGYNYPIKGKSDFFKMSLNLALERAVHAQENTNNLGSKCRDEREKAAWEDCLELYESTIVKINKTVDPYTKCNAVDAQTWLSTALTNLETCKQGFIELGVADHLVPLMSNNVSCLISNTLSLNKVGYNEPSYKEGFPTWVTPGDRKLLQSSSPTPNAVVAQDGSGNFKTVAAAVAAAATRSGNGRYVIHVKAGTYKENVEIGTKLKNIMLVGDGIGKTIIMGSNSVGGGTTTFKSATVAVVGDGFIARGITFRNTAGPQNHQAVALRSGSDLSVFYQCSFEGYQDTLYVHSQRQFYSECDVYGTVDYIFGNAAVVFQNCNLYSRNPPNKINTITAQGRTDPNQNTGISIHNSRVTAASDLKPVQSSVKTYLGRPWQQYSRTVFMKTFLDGLIDPAGWLPWSGNFALDTLYYGEYANTGPGSSTANRVSWKGYHLITSATEASKFTVGNFIPVGADVVRGHTPKTLYPAFLWRPIVYLSASAPS >CDO99419 pep chromosome:AUK_PRJEB4211_v1:3:6800048:6809061:-1 gene:GSCOC_T00026588001 transcript:CDO99419 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASVQLVYCGINPLRRTTRRQNTLSSNFHFRPADRNGFFRRINERNGVVRAIATEPRPADTKQANNSSSSQPKVVNGFGSSSATTSSKKVNGASTRMQDVSQEIKRVRAQMEENEQLAVLMSGLRGQNLKDSLFADDNIKLRLVEVDESSEFLPLVYDPASIAAYWGKRPRAVATRMVQLLSVAGGFLSRIAWDIINKKVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMIELQKLCDKVPSFPDDVAMALLEEELGQPWYNIYSELSSSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLYIIRNLGLVLRKFPQISVDVVGLVDEWAARFFEELDYVTEGENGTTFAEMMKKDLPQVVVPKTYHNYTSRKVLTTQWIEGEKLSQSTASDVGELVNVGVICYLKQLLDTGFFHADPHPGNLIRTPDGKLAILDFGLVTKLKDDQKYGMIEAIAHLIHRDYDAIVKDFVKLDFIPEGVNLQPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNRDFAIVDEAYPYIAQRLLTDESPRLRNALRYTIYGKSGVFDADRFIDVMQAFENFITAAKSGGGENLSGRMAELGVLQNQSSYMLPGFPTSSPQSVQPIQTRAALAFLLSDKGNFFREFLLDEIVKGIDAVTREQLVQIMALLGIGNVAPMFSMVPALVPFRPAAFLPSITEEDKVILNNVEKIIEFLTAGSITSSNQGVNIPRVIQELLPVLPGLSAKVLPEVLSRLSSRVMARLIRDTLL >CDP10293 pep chromosome:AUK_PRJEB4211_v1:3:12250752:12254685:1 gene:GSCOC_T00030973001 transcript:CDP10293 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGKIPIAHEVIVGLDDEAAKVIDRLVSGSKQVEIVPIVGMAGLGKTTLAKKVYNDRSVICNFHIRLWYTVSQEFNMKNALLKNRYLVVFDDVWDIEVWNELRIAFPNDKNGSRIIFTSRFSNVASEVQYGGEPHYLHPLSEKESFELLLKKVFGKEDCPQGLRGIGMEIAKKCRGLPFAVVVVAGILATIEHDILVWEEFAESLTSTTVSGTDQWKKSLELSYEHLPYHLKACLLYFAAFREDEKIGAKNLMRLWIAEGFVKKIEGKRSEVIAEEYLMDLIGRNLVMASKSRSISGVKTCYIHDLIFEFCKAEAKEEKFLRVLQGYDELSTFIEPPNLPRLSICSNGEDFMKSKLFFLNLGNISLWLKELPTEVESLLCLRYLALTAWYMKFIPPSIAKLSHLETFCLVSGVTVSLPDSIWNMKNLRHVCLRGGVVIHLPSNNNVVENLSILPNLDALSTLHLDLDEEGENILRRIPNVRRLKIFQLGDENRVCCNMSRLECLESLTLRDDYFLGSREHVELSFPMNLRKLCLINLGLPGRKMSLIEQLPNLEVLKLRAQSMEGQKWELMEGGFPKLRVLTLEHAWIVEWTEADPDSDDYFPCLQQLKLSGIPNLEMMPACLGVISTLETITVNFCGDGVKSLVREIEEEQKNNGNENLKIIYKKY >CDP10055 pep chromosome:AUK_PRJEB4211_v1:3:23072370:23075132:1 gene:GSCOC_T00030637001 transcript:CDP10055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein ACR4 [Source:Projected from Arabidopsis thaliana (AT3G59420) UniProtKB/Swiss-Prot;Acc:Q9LX29] MDILRHFLKDLVFLSAKIHIWKAESFVLVVIFLAVFLDSWGKVLSLGSMSSIAISYGESGPVFCGLKADGSHLVTCYGSNYAIMYGTPPHIPFQGLTAGNGFVCGLLSDSNQPYCWGSSNFVQMGVPQPIVKGSEYLEISAGDHHLCGLRKPLMGNQRNTSLVDCWGYNMTRNYVFDGQIQSISAGSEFNCGLFSQNRSVFCWGDETSSRVIRLIPKELRFQKIDAGGFHVCGILEGINSRAICWGRSLDFDKEISLQYSANLNVDLAPTDPMLSVVGGRFHACGIKSYDHGVVCWGYHVETSTPPPSGIKLFEIAAGDYFTCGILVETSLLPVCWGAGFPASLPVAVSPGLCKSRPCEPGFYAFNNVTAPCRSPGSRICFPCSGGCPAEMYLKAECSPTSDRLCEYNCSSCISADCFSNCSKAASGKKHGKFWSFQLPVIVAEIAFAVFLVSVVSLTSMLYVRYRLRNCRCPGKSFKSKRSSGTGSFHTENGKVRPDLDELKIRRAKMFTYEELEKATGGFKEESQVGKGSFSCVFKGVLKDGTVVAVKRAIMSSDMKKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMANGSLHQHLHGKNNAMKEQLDWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPANSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNVVEWAVPLIKAGDIQAILDPILKQPSDLEALRRIANIASKCVRMRGKERPSMDKVTTALERALALLMGSPSNEQPILPTEVVLGSSRMHKKSSQRSSNRSASETDVADTEDQRFEFRAPSWITFPSVTSSQRRKSSVSDADVEGKNLESRNLGNGTNPGDGLRCLEEEIGPASPQEQLFLQHNF >CDO99443 pep chromosome:AUK_PRJEB4211_v1:3:7003618:7008286:-1 gene:GSCOC_T00026617001 transcript:CDO99443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MSSTLPLCFKFNQPQSFPYLKPPTFSCVFQYNLTRNTHICFTLSSSNSNSSNPLSYGPQDEARWHREEQRWLREEQRWLREEQRWQAERLALLNEIQRLNLRVQELEQLNSVRETSLSETIANIATLLQVLKEGGLGKSINKIPESRSGALPFGVETAQKVEEMFVKEVGEVPEKENNGNKRATLRMGSEGDDVQAMQEALLKLGFYSGEEDMEFSSFSTGTERAVKTWQASIGAPEDGIMTAELVERLYIEQNGGTPSFTGGKGPQDSSTTNPEEKGANGAATASITEISEVKETVVGEDGVTGINMSEHRVFLLGENRWEEPSRLAGRNKQPETKIGSGKTMTKCPSCRGEGRLLCMECDGTGEPNVEPQFLEWVDEGAKCPYCEGLGHTTCDVCEGRKVIEA >CDO98812 pep chromosome:AUK_PRJEB4211_v1:3:982402:983325:-1 gene:GSCOC_T00025740001 transcript:CDO98812 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKVSQSFKTQVTPDRMFKALIMDSHNLCPKLMFSSIKSIEYLQGDGEAGSIKQMNFTEASPYTYVKHRIDALDKEHYMVKFTLIEGDALMDKLDWISYEVKFEPYGYTGCICKITSEYKTKENVEIKEEDIELGKDRAIGMYEVMEAYLLAHPRAYT >CDO98958 pep chromosome:AUK_PRJEB4211_v1:3:2112961:2122954:1 gene:GSCOC_T00025946001 transcript:CDO98958 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein B [Source:Projected from Arabidopsis thaliana (AT3G06290) UniProtKB/Swiss-Prot;Acc:F4JAU2] MSFGGGFGKDSGPAAPRNQTPFGNFPRPPSPAQPFTRSPGEPETFKKINSRPSAFESRRLVTSPSRPSAEFSRPSQSAHTWSNGQKFSYKDYDAPVDESIATVVPFVPSSTFTPSVPAKGSQFQDTRTTVSPTLVAFDEEILRRSIDVRGSHAGFLPKSQSDLFPQQMQSPPLPLLGNPYAEGAGPPFSEVQLSALSSNMWGDQSKSSGDLTSLLTQPVISSVSANATYDSRRKSPNRHVDSQVSKRSRSPNFSTSNGGPLEDSSHLQNSRRPSTSPPKPRLSAQYVPSGSQSRQESSTSGHLNKPEVVANKPMTFPAAKKTKLPSSSTLDQIFRETFNSPEDEINRELQAKAKRLMRFKDELTQPTENDLVSKNQSFSVKRQHPVMMEKRKLNGEDAVNMIQDSYNGHLPSDYEGLDSSGIITGLCLDMCPESERAERERKGDLDQYERLDGDRNQTSILLAVKKYNRTAEREAGMIRPMPILQRTMNYLLNLLNQPYDDMFLGLYNFLWDRMRAIRMDLRMQHIFSLGAIKMLEQMIRLHVIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQLYDDHRKKGTNVATEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPDMRQTQDVIFARDVARACRTGNFIAFFKLARKASYLQACLMHAHFAKLRTQALAALHSGLQNNQGIPIDHVSAWLGMEEEDIEDLLEYYGFSIKEFEVPYMVKDGPFLNADSDYPVKRSQLVNKKKSSSIVEDVSYSCLAKSSSPKEARVLELNKAVEHKPIPIQSQSIEIDNTNQAIDEEMLDYASSPKDDIKVTPTPRTSVKRKPYEDQLSPANPCLWDSSVFHSPRSQQNRIGSIQKSKFDTHFRNPLSSDIQVESRASTLHLMPKTVEKANFMLAPSDFVVQNSVAKQPIIEQFGEEQVGVNKEEMTEEVSTVNYDDEVSEAKLKLILRIWKRLSLKKRELRVQKQLAANAALMSLSLGPPIWHPEIQSRSPGDFNIDRLMSKRLEIREKSWSRLNVSEVVAAELSGKNPDSKCLCWKILLLAEHSSYGENWGKEFSDLAAVPWLVSKLLPPTYDDDYTADLPFSSPNTSIWKKWFPSESGNEEICCLTIIKNAKLENQNEELAGASAIVFLVSELIPWELQRQWLHNVLMALPSGTSLPLLILSGSCRDTLDTSSIIKELRLHDMDQSRISNFSVAYLKSQQMGQVDGFFSDELLREGLQWLASESPSQPVLRCMKTRELVLSHLTSSLEVLDGVDGCEVGPNDCISAFNDALDQTLRKVAAAVHANPASWPCPEISLLEESGVDYKAILQYLPSLGWSSAARVELLMRALSDSKLPPFEDHIFWWCTSSSNGNNIENQRSQLENCLIKYLSETSHMMGLPLASKEAGIMLQKFAQLKLDNSAYFIIPNWAMIFQRVFHWRLMDLSDDAISSAYILVQDDISPLTSGLHDRAEVSTSVPYLVRPSLDEMVAIGCDSSTEEMRGFDHGASRPCSAACHSDGHEVPKMTINDNNMEDDRGNFEQIDTSIAKRYHKANDLKNEKLSIYF >CDP10826 pep chromosome:AUK_PRJEB4211_v1:3:26765803:26767333:-1 gene:GSCOC_T00031721001 transcript:CDP10826 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLIIIFSIAVLVGSFSQLISSQNCDCEPDLCCSQWGYCGTSDDYCGKGCQSGPCTAASDGGNNGVSVADVVTDAFLSGTSDQAASSCAGKGFYTASAFLEALNSYSEFGTVGSVDDSKREIAAFIAHVTHETGHLCYIEEIDGPSKDYYDESNTQYP >CDP10845 pep chromosome:AUK_PRJEB4211_v1:3:27406063:27406634:-1 gene:GSCOC_T00031757001 transcript:CDP10845 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDFPQQEMTGIFMKNCTLHYSSYRNIFPTWALGEYRRHVLIA >CDP08045 pep chromosome:AUK_PRJEB4211_v1:3:29874623:29875480:1 gene:GSCOC_T00026732001 transcript:CDP08045 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRKLEAVKKYLKPEQCQNFKSLIVDKVKVLEPSVRTCYSKYLDIDGGTLAWIMSIDGVYLLHRLGTYTDKASVDSADRKLAQDIVMLENQMPVIVLKEILKALQPPVEDEEEGSDDDDDAENEDEEGEEDEEEEEDDGEDEDEGEEEEIKKVEELFSQFLSFCKAHTPLGLTDKAGILGDTSNPHLLSYLYNLIVKNWYIEEEYVESASDRELDEVAEKVTQVARVAAELTGQKPLLLLASLPLQKFAALFKKDPRRGNSSIEEIRIPSVSELDGVSKVKFEV >CDP14626 pep chromosome:AUK_PRJEB4211_v1:3:14184103:14193815:-1 gene:GSCOC_T00041999001 transcript:CDP14626 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEGQDLGQGQGERWCVVTGGRGFAARHLVEMLIRYNMFCVRIADLGPTIKLEPQEEKGILGEALSSGRASYVSADLRDKSQVLKAFQGAEVVFHMAAPDSSINNYQLHYSVNVQGTKNVVDACVELKVKRLICTSSPSVVFDGVHGIFNGDETLPYPAKHNDSYSATKAEGEALVIKSNGTNGLLTCCIRPSSIFGPGDRLLVPSLVAAARAGKSKFIIGDGNNLYDFTYVENVAHAHICAERALASGGAVSEKAAGQAYFITNMEPIKFWEFMSLVLEGLGYERPRIKLPVFLMMPIAHMVEWVYKMLAPYGMKVPQFTPSRIRLLSCSRTFNCSKANDLLGYTPVVSLQEGLKRTIESYPHLRAEVRPKRDGPSKASKILGSGRVADTLLWRDKRQTLLMLLVLAAIYFNFIASGHTIITAVSRLLLVAVVFLFVNGRLPGKLLGYQVEKIPESKFHISQESSHQVAQSIASGWNSAVIDLKSLCRGNDWILFSKVVLSLLILSILGALSLRSLFIIGLPIAFVAFFVYEKKEEEIDGFVHQILLSGCKLRSNVAGKFSNLKKQQ >CDO99068 pep chromosome:AUK_PRJEB4211_v1:3:2942696:2945750:-1 gene:GSCOC_T00026088001 transcript:CDO99068 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKGVSLNHVSRESSDIERLAQFYIEVFGFQRVESPKFEFDVIWLKLEPSFYLHLIERDPTTKLPEGPWSSTSAVADPKHLPRGHHICFSVSNFDSFVQAVKEKGIQIHHRTQPDGKTKQAFFFDPDGNGLEVAGRSEE >CDO99371 pep chromosome:AUK_PRJEB4211_v1:3:6007381:6008157:1 gene:GSCOC_T00026518001 transcript:CDO99371 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQFNAGETHGRTQAKTEQWVDSCKDAANAARDRSAQAADQSAGFLQQTGEQVKSMAQGAVDGVKNTLGVGDNNTKK >CDO98751 pep chromosome:AUK_PRJEB4211_v1:3:535689:536545:-1 gene:GSCOC_T00025662001 transcript:CDO98751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding protein CP1 [Source:Projected from Arabidopsis thaliana (AT5G49480) UniProtKB/Swiss-Prot;Acc:Q9FDX6] MCPTGSVLAAQETGRRSNLRSAFDVLDVDHDGKISRDDLQAFYGGYLAAGTSDIEDDVIGSMISVADANKDGFVEYDEFEKVLGSRNNNNNNNSSSSKKQEEENGREWSLMADVFRAMDRDGDGKVGHQDLKDFLTWAGFGVNDDDVKAMIRLGGGHENGGGGVSYEGLLKILAI >CDP11138 pep chromosome:AUK_PRJEB4211_v1:3:7778045:7782105:1 gene:GSCOC_T00033192001 transcript:CDP11138 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRHLLRRAPPPAASLNPLFHLRNAFSTTTTHHVDHQRNHDFLPPDKYLSSWKAPKDPKEAQAKLAMLRREYGKKVKAVRKEYIREMEFQKLEKLKKDEAKKEALRIATEQRKAAKEAEKKAKAKEREVAEEEFRQTLLKERAEKLEYWRMRTKQVEEKEEEKNELLRRQSSVWINEADLEKKILEAIVDGTPL >CDP10299 pep chromosome:AUK_PRJEB4211_v1:3:12344163:12349223:1 gene:GSCOC_T00030979001 transcript:CDP10299 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVKVPRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMIKLIHYAISRGITHLDTSDAYGPHTNEILIGKALKEGKRETVQLATKFAFTYEGGQFGIRGDPDYVRAACEGSLKRLGLDCIDLYYQHRIDTNVPIEATVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLVENLTDDDYRKYMPRFQAENLEHNKNLYERVNAIASRKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLDQNIGALCVKLSAEEMAELESIASSIKGERYASDAGTWKTSETPPLSTWKCT >CDP08091 pep chromosome:AUK_PRJEB4211_v1:3:30863608:30865546:-1 gene:GSCOC_T00026812001 transcript:CDP08091 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MGWWMLPDELWRRILELGTTSASASASNPPPCRLTYRDLCCLSITCRRLHRLSSEDSLWSSLLLSDFPPPPPNASFNSTSSSSSSSSSLKALYKIRYERNREQKRLAHRRIILRIESEVAESSRKIREMELHLAQEREKMRITVAELLNLRRVRQASVALKVWQPEVVRGTQKQMVEQCDVPVESRISALEMELRLCKQQIANYDKALKVENRKVDRAKEQLESVKYHPLPDFSRNGNRNDKHGIRRKRSKHA >CDO99111 pep chromosome:AUK_PRJEB4211_v1:3:3272514:3273899:-1 gene:GSCOC_T00026140001 transcript:CDO99111 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAMQSFLGSPVAGVSSRRGLNQVVRLSCGLHLPKRANFRVRSMAEENDKETADAAPQPTQPNVAQPNPAPKPKVSTKFEDVLAFSGPGPERINGRLAMIGFVAAIGVELGRGQDLFTQINDGGLQWFIGTSVLLSIASLIPLFRGVRAEAEGGGFMNSDAELWNGRFAMLGLIALAFTEYLKGGALV >CDP16830 pep chromosome:AUK_PRJEB4211_v1:3:16400787:16402062:1 gene:GSCOC_T00019364001 transcript:CDP16830 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIYIFSKFQDCSLQFLAKVSARHRIDAETTVPHFLVPNYSSRPQNVGNFVEVQSTLSPDTRFVYKHYGTLYFVIDFDNSENVLAMLDLIQDYVFSLDKCFRNVCELNILFNCNKVLLLLFLSIFLFHS >CDO98704 pep chromosome:AUK_PRJEB4211_v1:3:143461:145365:-1 gene:GSCOC_T00025601001 transcript:CDO98704 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:Projected from Arabidopsis thaliana (AT3G17390) UniProtKB/TrEMBL;Acc:A0A178VIP8] METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPESKVACETCTKTNMVMVFGEITTKAQVDYEKIVRDTCRAIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKCPEEVGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNENGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPKKYLDEKTVFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVGNGLARRCIVQVSYAIGVPEPLSVFVDTYGTGRIPDKEILKIVKDNFDFRPGMIAINLDLKRGGNNRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKGLA >CDP08004 pep chromosome:AUK_PRJEB4211_v1:3:28847174:28857677:-1 gene:GSCOC_T00026663001 transcript:CDP08004 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVCSATTGCSTHSQICIHGGLRSTTYWITSEIRCNVVDRAVPDLFNKTHPQGVWLGKQPAKLSYSNFMDQSERSGSVDTITRCSFHKELNDHQFELLSNGSCTTGGMNESFATRAGSIQFVENSDMYAQQEELMVFTNQIDETNISPQPANPDSILSMDGIQDDPSLPPDTYNADTDSLSSIKTSVVDVVAETNKSISDIVNEGQNFLNSSIDTVISSIQSAFREANEALNNATGELKSSTDRIGELAGSKLSSFSSDLKEASGRLGITALDGLRQSIIVVEESLAKGFTSAAFGYGTVKELLPTEIQNALSASENKLSEFLRPVGSAFQQGYSTLQGLEEKLGLDPNDPIIPFLLFIGASATLWVYYWVYNYSGYTGDLSPRSTLELLTGNEDGDLRERDGIPDLRRAARYRYASIVLPEVDVSLKKLLKAGRNLDDLLIAAVIGNLKNVQDRSKVVVLDAKGVRSKGIARALRKLGVKNSYVVQGGFQSWVREGLRIKDLRPETALTILNEDAEAIIQDFNPTPLRLLGYGMGFAAVSYAAIEWEKTLQIVGIIGLGQSIYKRIASYENTEDFKQDVRLLLAPARLGGQAISWATGKLETNRNGLPTSPSSSDVQSRVLQAAAKHESQPSDSEDTENPSPEVTGPKENMDLSEA >CDP10868 pep chromosome:AUK_PRJEB4211_v1:3:28027372:28029925:-1 gene:GSCOC_T00031801001 transcript:CDP10868 gene_biotype:protein_coding transcript_biotype:protein_coding MELIFFLSLFLIFYIILIKMLSKPIRKNSRLKLPPGPKPLPIIGNIHKLFGSQLHLMLRDLAGRYGPLMHLKLGEISTIIVTSPEMAKEIYKTNDMLFASRPNHHVAFKIISYDFTDLAFAPYGNHWRQLRKICTVELLSRNRVQAFKSIREEEVFNLVKSIHSQKGSIINISKSLFSLGYGITGCIAFGKKDRNTEKYIQLIEEIIKLTSGFSLADMYPSVKLLQVLSITRYKTEIAHKQVDEILENILKDHKEKLLKEANQASTEETKENIVDVLLKIQKRGDFDPELTDTIIKAVIFDIFSAGSETWSTTMEWAISEIIKNPVVMKRAQDEVRNVFDEKGNVDESSLHELKYLGAIIKETLRLHPSAPLLLPRECSEQCEINGYQIPAKSRVLVNAWAMGRDPMYWIDAEKFNPDRFLDSELDYKGNNYEYIPFGSGRRICPGISYAQANIELLLAQLLFHFDWKLPGELKQDQLDMTENFGVSMRRKNDLHLILDPYHCSGFNKNY >CDP11205 pep chromosome:AUK_PRJEB4211_v1:3:9178485:9179316:1 gene:GSCOC_T00033302001 transcript:CDP11205 gene_biotype:protein_coding transcript_biotype:protein_coding MYREILKATGVDYGSVYKPMLPPHNLVPVQKLHLLPEKVYFNSFLNEIRGLTNIKHRNIAKLHGFCSSSKHTFLVYEYLKQGSLAKIFSIDEQAKELDWEKRVNIIKGVAQALSYMHHDCSPSIVQQVVSSNNVLLDLEYEARVSDFGIKCIGFTDDMIFHAELAYTMRVTEKCDFYSFGVLTLEIIKGKHPGEFVAHLMSSTTTGDVELKDLLDQRLSHPTQEIEKILVFILKIAEAC >CDO99010 pep chromosome:AUK_PRJEB4211_v1:3:2488633:2492245:1 gene:GSCOC_T00026013001 transcript:CDO99010 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMLKRAAMVNSMVFKGLQETSRRRAYASIAEGTDLVAAAPNVSLQKARTWDEGVASKFSTTPLKDIFKGKKVVIFGLPGAYTGVCSAQHVPSYKNNIDKFKAKGIDSVICVAVNDPYTMNGWAEKIQATEAIEFYGDFDGSFHKSLDLMVDLSAALLGPRSHRWSAYVVDGQVKVFNLEQAPSEVKVSGGDVILGQI >CDO98697 pep chromosome:AUK_PRJEB4211_v1:3:101873:105132:-1 gene:GSCOC_T00025592001 transcript:CDO98697 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKLQETLSKGVLEEVHRYGGMKQTGVSLRYMMEFGSRPTPRNLLLSAQFLHNELSIRIARRALELQSLPYGLSSKPAVLKVRDWYLDSFRDLRSFPEIKDKNDELEFTQMIKMIKVRHNNVVPTMALGVQQLKKDLKPKVDYQDLDEIHQFLDRFYMSRIGIRMLIGQHVALHDPNPPPDCVGYIHTKMSPVEVARHASEDARCICFREYGSAPDVNIYGDPNFTFPYVPTHLHLMVFELVKNSLRAVQEQFMNSDKVAPPIRIIVADGIEDVSDEGGGIPRSGLPKIFTYLYSTAKNPLDEQVDLGIANTTTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >CDP08074 pep chromosome:AUK_PRJEB4211_v1:3:30473581:30475485:1 gene:GSCOC_T00026778001 transcript:CDP08074 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRRLSLSGPTTPARASEATSVHSFTASNDYIESLVNYFKMPRDHTTAKAYWDENMEMHFCMTYVEWKKSGEWNPNMSTEANWMKLAAHLNSSWGKQYMWTVYHSKYTRLKRIWRAFAKLKGLRLSAETGIGWDENRRCFMADESQWMNLQMENKDYNQFRYFQCVDKYTILSEVFEGETATGSQATSAFASPSSRVPRQMPATHLGGQSSSHGGDEMLTGDPDITCYDTAPTVGRKRGSASVGATNSGYGSRQSKSSKSSDNPFVECANSLNNLASTKLQIKERRAEDFEKYDVTMATQVMESFEGLSKGRRVTALLQLQDDKWRKTFLTMSRDLQEYWINKLQVPDEKGPDDEKGPDED >CDO99423 pep chromosome:AUK_PRJEB4211_v1:3:6836029:6840100:1 gene:GSCOC_T00026594001 transcript:CDO99423 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAMITVDFDKLYHDVQCYSDTIYRFNGVWDGPNPLLPVVPLFLIQLTVAMLATRLLIIAFRPFNQPPFVAEILTGIILGPSVLGKLSFFSNFLFPPYYLPVLEPMAHYSLVYYALLTGLQMDIRAMYRTGTQAKHIAVAGIFIPMIIGSSSYFIIEDHVTAESPTKLGAFFWGSALTVTGFSVLSKVLDRQKILHTEIGKIAVASALLSDLASWFFLALGLAITGDKTTNLIWIVLSTCAVVLLCAFYVRPALSWIVKNTPEGQGYSEFYLCSVITGVGLLGVITDACGTHPLVGALVFGLIIPDEVLESVLVDRLKDVVQGIFMPVFFVACGLRADLNKVEASWIKVALIIILASSAKIVSALTISFLHDLPTKEAVAVGVLTNTKSTLALAILEIGLTQKALTQESFSVMVVAILVMTMVVTPATMLYRPTKNMTPYKRRTIQKAKSDEALRILACIYDVRNVPSIINLLEVSNSTKKSPITVFALHLVELIGRASAMLVVHSAGGSGPRNPSYLEEKTEQILSAFENYKLQSEGVDTQVMTASSAYATMDEDICSIAKDKRAAFIILPFHKQQTLDGDMEDINPTARNVNESVMENAPCSVGILIDRGLTDSQNRARSIAMLFFGGSDDREALAYAWRMAEHPETSLTVVRFISGQDTEEEEWDPLAFADRDQVTIEIDSKSHGLLDDEFLNKFKIATVNDSSISFSELMLNDEEETVNAIKDMDGDNHDLYIVGKGRGVTSPLTVGLADWCDCPELGPIGDLLVTSEFKSVFSVLVVQQYHKPSVPRDGSVRSAGTISQRKDMEFRPSLSETETFEPYGSFRKTNFLTMN >CDO99353 pep chromosome:AUK_PRJEB4211_v1:3:5780415:5784865:-1 gene:GSCOC_T00026489001 transcript:CDO99353 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKPLYGVLSDALYIGRARRIPYVSIGVFLQVLSWGSLALIPVASEALSILLACVLLSNLGASIAEVAKDALVAEYGQKNKMPGLQSYAFMALAAGGILGNLLGGYFLLQTQQPKSMFLSFSVLLAFQLTITLGMKEDSLGLPQTSSHSLVRKSISESIRKQYFDLLVAAREESISRPLIWIVASTATVPILSGSIFCYQTQCLNLDPSVIGMSKVIGQLMLLVMTVSYDRLWKHVPMRKLVGIVQILYASSVLLDLILVTQVNLWWGIPNEAFVFCFSGVAETLAQFKLLPFHVLFASLAPPGCEGSLMSFLASALCLSSIASGFFGVGFASVIGITSNDYTSLPTGIVMQFLAALLPLLWINRVPATHPSAEKESKKGRSRRTRRTRRVGRVGFGSIYSYRREREPDFLRKLPIETNRNPF >CDO98977 pep chromosome:AUK_PRJEB4211_v1:3:2231527:2237601:1 gene:GSCOC_T00025969001 transcript:CDO98977 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAATGMMIRARNSKHVVLPLLSPAHYSCSRGPSPIHCLVADSVLAGNAMPTSNFSPFWRSMATFARTKTHVNVGTIGHVDHGKTTLTAAITKVLSEQGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETANRHYAHVDCPGHADYVKNMITGASQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVEDPELLELVEMELRELLTFYKFPGDKIPIIRGSALSALQGTNEKLGKEAILKLMDAVDEYITEPVRQLEKPFLMPIEDVFSIQGRGTVVTGRIEQGIIKVGEEVEVLGLTQGGPLKTTVTGVEMFKKILDRGQAGDNVGLLLRGLKREDVQRGQVISKPNTLKTYKNFEAEIYVLTKDEGGRHTAFFSNYMPQFYMRTADVTGKVILPEDIKMVMPGDNVTAKFELLSPVPLETGQRFALREGGRTVGAGVVSKVLG >CDO99204 pep chromosome:AUK_PRJEB4211_v1:3:4263971:4270440:1 gene:GSCOC_T00026272001 transcript:CDO99204 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDPVRRKEIVDKVRDASERGGFFQVVNHGIQVSVLEEMIQGARRFFEQDTEVKKKWYTRDRTKRVVYNCNFDLYSPTAANWRDTAYCFMAPNPPAPEELPDVCSKILIEYSKQVMNLGCLLLELLSEGLGLDPSYLESIDCGKGLAVLCHYYPACPQPELTFGTSPHSDNDSFTILLQDNLGGLQVLHQNQWVDVPPTPGALIISNDKYKSSEHRVLANRVGPRVSIASFFTPDVRATGKLYGPIKELLSEENPPKYHETTAKEYTDYSPFDETKAGVKGLVDAGITKVPRIFIQPQCSLDDGLNGTKGIFKFPVIDLDGIDTDPARRKEIVDKVRDASETWGFFTVVNHGIPFSVLEEMIQGARRFFEQDTEFKKQWYTRDYTKTVVYNCNFDLHISPAANWRDTCYCYMAPNPPAPEELPDACSEIVIEYSKLVMKLGCLLLEFLSEGLGLNLSYLKDIDCAEGLAVLCHYYPPCPQPELTLGTSKHSDNGFITVLLQDKIGGLQVLHQNQWIDVPPTPGALLISNDTYKSVEHRVKANKVGPRVSVPSLFSTGPRPTAKVYGPIKELLSAENPPKYRATTVNEYTQYFRAKGLDGTSALLHFKL >CDP19091 pep chromosome:AUK_PRJEB4211_v1:3:31844981:31847438:-1 gene:GSCOC_T00002116001 transcript:CDP19091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT3G02660) UniProtKB/TrEMBL;Acc:A0A178VAQ8] MPFYIYLAAEGMSMAASAAAAAVGAGAVALFRLNRLPSSPSTSYRSCLLLKGLRAFAPFPYRYHFASYSTSSLLSQEAAAVASQLPRSHAANTSSNVVEILEQRGLLESLTSDGLRSVCSSSAPNQPPLRVYCGFDPTADSLHLGNLLGIIVLSWFLRCGHRAVALIGGATGRVGDPSGKSSERPELDLETLHKNISGISATIRHILSRAAPTPDSVSILNNYEWWKDVRLLDFLKDVGRYARVGTMMSKESVRKRLESDQGMSYTEFTYQLLQGYDFVHLFRQEGVSVQIGGSDQWGNITAGTDLIRRILRVEGAAHGLTFPLLLKSDGTKFGKSEDGAIWLSPSKLTPYNFYQYFFSVPDDDVVRFLKMLTFLSLEEIARLERDMDTPGYVPNTAQRRLAEEITRFVHGQEGLDEALKATEALRPGAATKLDAKTIEGIGEDVPSCSLPYDQVLSLSLLDLSVSSGLLESKSAARRLLKQGGLYLNNSRVDSEAKKIEANDIIDGKVILLSAGKKNKMVVRVS >CDP03493 pep chromosome:AUK_PRJEB4211_v1:3:18569131:18573844:-1 gene:GSCOC_T00015306001 transcript:CDP03493 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPQAEVFEVPAEALVSELSLQFYKLGWLSGTGGSVTLKVHEHNVPRQNQFIVMLPPSVQKDRMSPEDMYVLSSGGSILSPPPTKTYPHNPPKCTDCAPLFLKVHQFMKCVTYAGAVIHSHGLDACLVTMINSSSNEFRIRNMEMIKGIQGHGYHDELVVPIIENALSEGKLVESPTKAIRAYPKSTTVLVRRHGVFIWGDSWISAKTQAICYRYLAAAIKFHQLGLPY >CDO99238 pep chromosome:AUK_PRJEB4211_v1:3:4592845:4594661:-1 gene:GSCOC_T00026316001 transcript:CDO99238 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHMISLSSYVLLLFLGCLAQLGRPEPRLGGKTQCNIQKLNAQEPSFRFPSEAGTGVQGTVIPGCAETFESQGESFSGGQEQPGKGQEGSKGGQEGQRQRFPDRHQKLRRFQKGDVLILLPGFTQWTYNDGDVPLVTVALLDVANEANQLDLQSRKFFLAGNPQQGGGKEGHQGQQQQHRNIFSGFDDQLLADAFNVDLKIIQKLKGPKDQRGSTVRAEKLQLFLPEYSEQEQHPQQQQEQQQHGVGRGWRSNGLEETLCTVKLSENIGLPQEADVFNPRAGRITTVNSQKIPILSSLQLSAERGFLYSNAIFAPHWNINAHSALYVIRGNARIQVVDHKGNKVFDDEVKQGQLIIVPQYFAVIKKAGNQGFEYVAFKTNDNAMINPLVGRLSALRAIPEEVLRSSFQISSEEAEELKYGRQEALLLSEQSQQGKREVA >CDP08021 pep chromosome:AUK_PRJEB4211_v1:3:29107029:29110403:-1 gene:GSCOC_T00026688001 transcript:CDP08021 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLSYSEQLDNVVHLIKAKLTDIIPQFPKLNFPKASNLELFDFLCRRLGEFLRHDPASTALVKHHVEEIQIYFKSLRSFLENVSELDIEKHPELKDLLDRATDSGYKVEYIVDSSEVDAQLQEFFWFGDVVEQLRLLSEKARGIQLTTPHTEAQSSMNVTRVVLDRLSRNSTPAINEIVVDQSNRGSEILNQLMGRSPQLDIVAIVGMPGLGKTTLARKVYDSEHVRYGFHCRAWCTVSHVYEKRRVLIEILKGIHGLMDEIHQMSDEELESKLKKCLLRNKYLVVMDDVWDEESWKLLEKKVFKEECCPEELLAVGQEIARLCQGLPLAVVAVAGILKKTEKSQISWKRIADSFSLQNIDNPEAQCKEVLELSYKHLPEYLKPCFLYLGVLNGDRDIRVSKLIRFWLAEGFIPQTQKKSYEDVAENFLMDLIDRSLVKACRLHDLVLDFCKSKTKETNFFQLVTRSDYPYASFPSSDYGFEFDFYRHSSPVSFACYRLALSLKRNHFVESKPSGLATRSLVFFASTDSEPRCPYDISFICHNFKLLKVLDLECINLGISFPVEIGLLLHLTYLAVGGYMRSIPHSIANLRKLETLIVKGLRGKIILPNTIWRMTCLRHLHVNVHVAFDSDVEESVDSSVLANLVSFSCPSLSCGEDAERIIKRLPNLCKLSCIFYESQYSSTSCNQFPRLKFLSHLESLKIFYYGNPLHNGEFNLPLSLKELTLSRFCLPWSHISAIGTLPNLEVLKLLSGTFVGRTWDMMEDEFQKLKFLSLDTLDIAEWNASYDHLPKLERLVLRNCKGLEKIPEDLSNIASLETIEVHWCGQSVEESAIEIRKTTGDIKVLITSSNLSS >CDP08124 pep chromosome:AUK_PRJEB4211_v1:3:31308803:31313749:-1 gene:GSCOC_T00026858001 transcript:CDP08124 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVETVKNKQVLLKDYVTGFPKESDMIISTENTISLKVPENSNGVLVKNLYLSCDPFLRSLMQKPKPNSKSVFPGFKPGSPIHGYGVAKVVDSGHPKFKKGDLVWGMTGWEEYSLIQQADSLFKIEHTDVPLSYYTGILGMPGITAYGGFYEVCHPKKGEKVYVSAASGAVGQLVGQFAKLMGCYVVGSAGSKEKVDLLKNKFGFDDAFNYKEEHDLDAALKRHFPEGIDIYFENVGGKMLDAVLLNMNMFGRIAACGMISQYNLDEPEGVKNLIVVIPKKLNIRGFTASDYFSLYPKFLDLVLPHIREKKITYVEDIAEGIESVPAALIGLFSGRNVGKQIVVVARE >CDP15149 pep chromosome:AUK_PRJEB4211_v1:3:9536587:9537913:1 gene:GSCOC_T00042746001 transcript:CDP15149 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLFLFISLAIALMITSEVAARELAETSTSVDNSNAVETDGYGGYRGGGYGGHPGGGYGGYHGGGYGGTWWRRLWWTS >CDP16815 pep chromosome:AUK_PRJEB4211_v1:3:15928609:15934465:1 gene:GSCOC_T00019338001 transcript:CDP16815 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLSPSSSSSSSTLSFSSDRYTRKCYCKQFSRVCYRAHSSIWVPKIFSRIHSRNPSDLNSSNGYPLNAVSLQDGSMSKPLAEEVPASRPGEAETTLSITVVGASGDLAKKKIFPALFALFYEDFLPENFIVFGYARTKMTDEELRNMISTTLTCRVDKRENCADKMEQFLMKCYYHSGQYSSEDDFAKLDCKLKEKEGGSLSNRLFYLSVPPNIFVDAVKCASNKASSVSGWTRVIVEKPFGRDSESSRELTRCLMQYLSEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQFIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLEDVIVGQYKGHSKGGKSYPGYIDDPTVPKDSVTPTFAAAALFINNSRWDGVPFLMKAGKALHIRKAEIRVQFRHVPGNLYKWNFGTDLDKATNELVLRLQPDEAIYLKINNKVPGLGMRLDRSDLNLHYSARYRREIPDAYERLLLDAIEGERRLFIRSDELDAAWALFSPLLKELEEKKIAPELYPYGSRGPVGAHYLAAKHNVRWGDLAGED >CDO99070 pep chromosome:AUK_PRJEB4211_v1:3:2957888:2961908:1 gene:GSCOC_T00026090001 transcript:CDO99070 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDVSKAGHDCRPLKRKSEVLTSPVPPGASGKGLPYAPVDWPNVGDIWGWRVGTRVRVAGFYNDRFLYAPQRLQKKPTRKLLFQSKPSVLRYLKSQFPEADIEEFFASFTWDVPAEAHSSKLSKCSPSPQSRPLKKEPGKLVKGVEVSNKKQKAAVKKPGQKSARRCGKELMVDVPAVEEQTLASAPTTKILGRSQVSDSHAIVSPHDSACHSPIDLKPQGLKSLDESQPALIPEDFDYFLNSLDEILSLPVTRAEISNPASSSHKEGMTQIRSKLSSLLAMGFASLADSNKLTELIALASKLKNDPTLSPREIYMLKLIEEIPMASNIFLEAKKLSGQAEKFFADLDANMATVASLRNEYSVAKQQLEICQADEASALLTLMEIDEQIAALQSRRAEITQNVKLTNKKIVQYSSSQKKVMECLPKIVHDVQVANSEKQEWELRKKRSAEQEAEILAKFAPLDGFSF >CDP14662 pep chromosome:AUK_PRJEB4211_v1:3:15308301:15309286:-1 gene:GSCOC_T00042062001 transcript:CDP14662 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKPGNVCIEQERFQLRRLEISDNKKGFIELLQQLTACESVSDKDFEERYQELAKLGDEHVICVIEDRHSGKIVASGSVFIERKFIRNCGKVGHIEDVVVDSSIRGMQLGKKIVGFLTDHARSMGCYKVILDCSLENKPFYEKCGYKQKEVQMVKYFI >CDP10889 pep chromosome:AUK_PRJEB4211_v1:3:28403200:28404992:-1 gene:GSCOC_T00031830001 transcript:CDP10889 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGAGFAISYPLAKVLAEHLDSCIDRYPHLYGSDGRIHACLTELGVTLTHEPGFHQMDVRGNIFGLLAAHPTRPLVSLHHLDAVKPIFPKMTAMEALKHLLEATKVDSQRTLQQTVCYDRWFSWTLSVSWGYAVQLVGFNEFLPVAVLMQETYVPWKRGPLYINHNLNTAKYQPDPCKRPVVFFFDRVSSSRDGIASIYKKTENNCTFDKIQEVRVFSKKLDLDIKQLQAPRRQCCDVLPSSADRVMEINIRECGAEELIYMHA >CDP19155 pep chromosome:AUK_PRJEB4211_v1:3:31685931:31690446:1 gene:GSCOC_T00001662001 transcript:CDP19155 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFDFPLEPLPPPPQYPTLPPPGPPPQPTVVGTAGGNGGFFRRRPKIRVTSEYDSESSVFFHKISCKLLDSLAKLKFSFQNDGKGEISDPQLAFTSKYLSLNYDIEEKNALVNGGFDVGPALQFKATHDVKAQQGEVSMIADLVTPGLKLELSSTVPSVGMPRATLKFPLGEFSFEEKEEDEEEELKRRLSVSGIFKTQMLDGICTAEYKDEDLSLRYAYKDEQMAFIPRISLPSNTVSFALKRRFGPSDKLSYWYNFDSNDWSTVYKHTVGKDLKFKAGYDSEVRLGWASLWVGEEDGKAKTAPMKIKVQFMLQVPQDDIKSSALMFRVKKRWDI >CDP10364 pep chromosome:AUK_PRJEB4211_v1:3:13814947:13815499:1 gene:GSCOC_T00031073001 transcript:CDP10364 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFGFTLKFLYPRNSLLRNPKSKNESHFDAHLICLSIRLTVVAKLMFASINLLTKKKKKIFLTIYSKKEISITERHLTAAWLVC >CDO99290 pep chromosome:AUK_PRJEB4211_v1:3:5078662:5083224:-1 gene:GSCOC_T00026387001 transcript:CDO99290 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVNMLSATRGRLQYLAYERGSPLAVDKLDLSSYCEALQNIVDALKFVRSKTETERQSERILEVKRRIRSLLFTMEDEVELYGLQHESGGSGSGDIHSRRMQGITKSRAKLDIIHSQLRDAVVEYKREQAPDLLMGFHDIKSIDDIRGASRTREKAERLVSRANYSNDWEHTVGLEKAISRLVEVLVPGDTDAKVVIASICGEGGIGKTTLANKVYNQHQIRSHFECFAWVYVGINWTIRDILVNILDQLLPSRLTKKNKELMMMTMVDVEDVELAQQVHEVLQENVCLIVLDNCCTYEFWDSLRMALPTEEENASRFILTTRERDLADRVGAGFIWDMQNLDPDQSWELGSADRLPNSRRDSVSPELEQNIRSLISSCRGLPLAIVLVASMLRSRTIDMESVKVSLGIINSSRRRPESLSYYSLPLGISSSFLYLGNFPNNSGIQVDKLCQLWTAEGLISAYREDGETTMDLAGRYFDELLVRSLVILHEDEVSDVKLMSCHVHDLIRDFSVSLGEEEGFYEVMESSSNHPRMRSSAQRCAIYLNRYDNLADVCPSANLRSLLILNSDQSGSGQEHTWRQEFFNFNNHRWLRVLDFDKVCFQDGKLPDGVSKLFFLRYLSFRGSYLKDLPSFVGEFLYLETLDLRVRNDCTLTISNVIWKLKLLRHLYFPLAFQTPDHCGMLKLDGLNELETLEGLDTNVCCAEDLIKLTNLRSLAIINCIKNNSSHLSRTLLDIKNFDCYSEERVSFIPSLFSCGVLKSLRMEGHMGKVPEVSTISSSFTEIVLSGSEFERDPMENLEKLPNLRILVLEIEAFVGKNMNCSAPGFPELRCLKLSKLYSLEAWEVAEGAMHQLFTLEISKCRRMEMLPEGLKYISSLRKLKISMMPEQFVGRLHKVDGKGGEDLHKINSNCTIKFGSDDRWL >CDP08010 pep chromosome:AUK_PRJEB4211_v1:3:28914929:28915901:1 gene:GSCOC_T00026669001 transcript:CDP08010 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGGVKLLGFWVSPFAQRVKWALKLKGVQYEYIEEDIYNKSPLLSKLNPVYGMVPVLVHNGKPISESIIILEYIDEVWKQAPLLPQDPYERAQARFWAKFAEEKVRQSVWEAMCSSGEEKQKAVKSAVEAFEEFEKELKRRGTKFFGGETIGFVDIVAGCISYQLAVHEEVGSIKILDSSRFPAISEWIKNFLNHPLINEGLPQKDQMFAYFSKRSKEIASRKMSHKTA >CDO98920 pep chromosome:AUK_PRJEB4211_v1:3:1812310:1815509:-1 gene:GSCOC_T00025882001 transcript:CDO98920 gene_biotype:protein_coding transcript_biotype:protein_coding MPSELLREVLIRIEESEDKWPSRKNVVACAGVCRGWREIMKEVVKTPQVSGRITFPISVKQPGPRDTLLQCFIKRNHSSQTYHLYLSLSQALADDGKFLLAARRCRRPTCTDYIVSLNTDDMSKGSKTYIGKLRSNFLGTKFVVYDALPPHAGNRMEKSRSTRLVGTKQVSARIPPGNYPVAHISYELNVLGARRGPRRMHCIMDQIPASSIKPGGVAPTQIDLPTGSADYFPLFRSKSSKFEKSVSSPSDDPTEGSLVLRNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGQRGPEHEKVILQFGKVGKDVFTMDYRYPISAFQAFAICLSSFDTKIACE >CDP08003 pep chromosome:AUK_PRJEB4211_v1:3:28836865:28845861:1 gene:GSCOC_T00026662001 transcript:CDP08003 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDSPSTSFSNRDWFFPSQSFPNSSHHIPRTPIRRFSSPYPKRAATPSFQSFPASPPPPPSTPSISNSSPPPPPPPPANYYAKYAGVRRGSAYLRIKSKSDDAVSEFREDSKTTTEPAGKRLTGLFGGRLIVRWQTAFTAAVLVTAFSSLVHKNFALHNEVIRLQDQIFKLNHRLLVCSLLDSIDDIDSTTHDINHLSNKSLKNIAVVASLALLSIPFLFLKYVDYVSNSRRLSDILTEEVSLNKQLAYRVDVFLSVHPYAKPLALLVATVLLICLGGLALFGVTDDSLADSLWLSWTYVADSGNHANSEGIGPRLVSVSISFGGMLVFAMMLGLVSDAISEKFDSLRKGRSEVVGQNHTLILGWSDKLGSLLNQLAIANESLGGGMVVVMAERDKEEMELDIAKMEFDFRGTSVLCRSGSPLILADLKKVSVSKARAIVVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMPFEEVLICFPDAIPCGVKAASYGGKIILNPDDSYVLQEGDEVLVIAEDDDTYAPAALPTVKEAPFIPITRPARKPQKILLCGWRRDIDDMIVVQRGYLPKDFIVPKSAERILFCGWRRDMEDMIMVLDAFLAPDSELWMLNEVPEKEREKKLIDGGLDSSRLVNIMLVNREGNAVVRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREAMVSQVHRSSFSQGSWIGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNEMQIRGAELYLREGDELSFYEVLLRARQRREIVIGYRVANTERAVINPPAKNEKRKWSVNDVFVVIAEKE >CDO98807 pep chromosome:AUK_PRJEB4211_v1:3:946108:951201:-1 gene:GSCOC_T00025732001 transcript:CDO98807 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYSRIRSRGGGEDRFYSPPALRQQQKTQQPQHQQKQLRFAPVKRAGSGTGSDDGTTSSASTKIGAAAADPRMLSVHSPAVENLTNLERFIEHTAPSVPAQFFPKTTMREWRNYDDEFHPYFVLGDLWESFKEWSAYGAGVPLILNGSETVVQYYVPFLSGIQLYIDPSKPVMRQRRPGEESDADSSRETSSDSSGEIGADGGSSSAQRTERQQHHTSTLAQGLSRISLAHNPFSGLSGDEDEIRNPSGLLVFEYFAKDQPYGREPLVSHLASQFPELKTYKSCDLTSASWISVAWYPIYRIPMGPTLQSLDACFLTYHSLSTPLRIAKDGDWPHLHGSAISGKTQDVGMPLRLQLPTFGLASYKFRISFWNHNGGYESQKADSLKQAADNWLRCLQVNHPDYRWFESHYAFWW >CDP08041 pep chromosome:AUK_PRJEB4211_v1:3:29652118:29655826:-1 gene:GSCOC_T00026726001 transcript:CDP08041 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSRIGDSQKRAVAHVALFPSAGIGHLVPFLRLAAMLASPNCQCRVTLIAVQPPVSGAESNELSAFFASHPQINRLDFHLPIPSSSSEFADAEKPDPFFARFLAISSSVHLLHPLLASLSTPPLSAIFADFAVAADINRLADELSIPLYIVSTTSARFFSLMACLPDLILESKSSEDSSIQLPGLASVPISSLPPPFFTPDHIFTAHIRLNAQFLSKAKGILLNSFDWFESETIAAVNTGRVLAHLPPFLPIGPYEPCKAAVKAGSYLQWLDGQPNESVVYVSFGSRTALSKEQISELGNGLERSGCRFLWVIKTTKVDKDEREDLQDLLRSSFLERTKKKGLVCKGWVEQEQVFAHPAIGGFVSHCGWNSVTEAARFGVPILAWPLNGDQKLNAEVTEKAGLGVWMRHWGWLGENLVKGEEIGDQIVQLMQDKTLRIKAKKVKEEARKAYEVGGSSKKVLLEIIENL >CDP14646 pep chromosome:AUK_PRJEB4211_v1:3:14751025:14753123:-1 gene:GSCOC_T00042035001 transcript:CDP14646 gene_biotype:protein_coding transcript_biotype:protein_coding MALINLDLSFFSIFSLLIFLLSLLKWFYAASKPQKKLPPSPPKLPIIGNLHQLGQFPHRSLQSLSRKYGPLMLLELGSKPMLVVSSSNAACQILKTHDLSFASRPKSGIPDKLFYGSKDIAFAPYGEYWRQLKSISMLHLLSNKRIQSFQHVREEETSLMIEKISRMCSSTAVNLSDMFLILTNDIICRVALGRKYSEEENGRKSMENLKVFGELLGIFDVGNYIPSLAWVNRFNGLDSKVKKTVKQIDGFLEGVIEEHMNKRKGKAESDSTSEARCQDFVDILIEINEEKTMGFALERDAMKAIILDVFGAGSDTTHSVMDWGMSELLKNPKVLHKLQAEVRDVTQGKPEITRADMEKMQYLKAVIKETMRLHTPVPLLGPKESNQDVKVMGYDVPKNTQVLVNAWAIARDPLLWENPEEFRPERFLSSSVDFHGLNFELIPFGAGRRVCPGINFAMSVTELALAKLVNTFNFTSPDGINPNELDMTESFGITVHRKFPLHAIATPYSC >CDP16827 pep chromosome:AUK_PRJEB4211_v1:3:16361700:16371032:1 gene:GSCOC_T00019359001 transcript:CDP16827 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDVTYTDSMSFTVTELLKEVQLDYSPATTKAVDDVVSSVKQVIDKIPENFQVTADLGPRFVRDIKADKCEFKFNRPKFIEIAGSYSMQCVVKPDINVDVFIRLPKESFHEKDYLNYRYHAKRYLYLCVLKKHLTSSSIFHDVRWSTFQNEARKPILLVYPAVKLSQNAKFVVRIIPTASSLFSISKLNLGRNNVRALNQGDVPQATPMYNSSILEDMFLEDNAGFIRRTFAGWKHLGEALVLLKVWARQRSSIYCHDCLNGYLISVIMAYLATESGRNRINKSMNPMQIFRVTLDFIAKSKLWDNGIFFHPQGERNVPHKTQGRRTSLQSFPVVICDSFADFNLGFRISRNGFQELQDVASATLSCMAKYGDGGFDEIFMSRIDYPAKYDFCIRLNLKGNTEVYEPGFCLDDECWRYYEQKVLALMVQGLQDRAKYVRVIWRNTSSCCNYEEGLHSLDSEELLIGISFNSVEDGFRKVTMGPSPEEKEKALEFRKFWGDKATLRQFRDGRIAEVVVWEREEWERHLIIKDLSDHILSCHLPIPKENIIAIVDQLDFALLYGKKDPIAYSKSLLVAFDDLSKRLRLLDDIPLRVSSVQPLSSAFRFTSVFPPGPHALACGNHVNVKIQKLTSTSVQPLELEGSGNWPMDDVALEKTKSAFLLKIGESLQKNWGMTCTAAEDDVDVFMSGFAFRLKILHERGLNLVRRPSGGGQAKWVLSTDRKLFICSQHSSMINGLCGRFPTYGPVVRLAKRWVSSHLLSSLLGEEAIELLVAYLFLKPGPFSPTVSRITGFLRFLRLLSEYDWTFSALVVDINGDLTPEDEKEIHENFTLSRKNSKNPESANPAMFLATPYDKKSEAWTRSSPTPMELRRLVVYATSSANLLTKLILQDRFNSYQWECLFRTPLNVYDVVILLHRDKLPYPHRLLFPSELNEGRLVMRGRASKSFHPFLLAGVGTGIKASLEDLKDKVMIDFNPVRCFIDEIERDFRGIFKVWYDSLGGDAIGLTWDKANPKKRGRDFMDEDNQGLIDVLKTIGDAGKGFVRSVHFLKAPKLSH >CDP10289 pep chromosome:AUK_PRJEB4211_v1:3:12200649:12204579:1 gene:GSCOC_T00030969001 transcript:CDP10289 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVEEVRVPRIKLGSQGFEVSAQGLGCMGMSAFYGPPKPEPDMINLIHYAASRGITHLDTSDVYGPHTNELLLGKALKGGIREKVELATKFGVKIEDGKREIRGDPAYVRAACEASLKRLGVDCIDLYYQHRIDTSLPVEITIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDAEEEIIPTCRELGIGIVAYSPLGRGFFTSGSKVVENLSEGDIRKNLPRFRTENMEHNKNLYERVNVIASKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLNQNIGALSVKLSAEDMAELESIASAGIKGDRLPPGFDSWKTSDTPPLSTWEAT >CDO99034 pep chromosome:AUK_PRJEB4211_v1:3:2669104:2674414:1 gene:GSCOC_T00026043001 transcript:CDO99034 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYDIGISGGVTGMDDFLIKFFPRIYERKLHAAENNYCKYDDQLLQLFTSSLYLAALVSSFFASKACNVLGRKPTILMASTFFMAGAALSAAAQVGWMLILGRILFGVGVGFGNEAVPLFLTEIAPVHLRGAVNILFQLAVTVGIFIANLVNYGTSTMHPNGWRFSLGGAAVPAVVLFAGSLVITESPASLVERKREEEGKAALKKIRGVDEVDAEFEEILSACEIASKIKQPFKKLMKKQSLPPLVIAVALQVFQQFTGINAIMFYAPVLFQTLGFKSDASLLSSVITGLVNVGSTFVAIFLVDKVGRRKLLLQACCQMLISQVAIGVILHLKLSETGSLDKGLAAVVVVMVCTFVMSFAWSWGPLGWLIPSETFPLETRTAGFAFAVSSNMLFTFVIAQMFLSMMCHMKAYIFFFFSAWIVVMGLFVIVLLPETKGIPIDSMVERVWKKHPYFQSKITAYMLICWILSAFGGLMFGYDVGISVTSMDDFLIKFFPDVYERKLRAKENNYCKFDNQLLQLFTSSLYLAALVASFGASKACSILGRRPTILMASAFFIFRAIISATAVNKAMLIVGRILFGIGVGFGNESVPLFLSEVAPVQLRGAVNVLFQLCTKIGILLANLVNYGTQSVHPNGWRISLGLAGAPAILLFIGSIVITETPSSLVERGKEEKGIEALKKIN >CDP10890 pep chromosome:AUK_PRJEB4211_v1:3:28498977:28500015:1 gene:GSCOC_T00031831001 transcript:CDP10890 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNHFFFLVLVLLASSVVVLGQDEAGEATETTNAVKTAPRKMLPIGGQIIKMLGVGVHDGQEPSCVPAGESCTGNPWGCCPGCICIWQLTERCVGNC >CDO99343 pep chromosome:AUK_PRJEB4211_v1:3:5635614:5637341:1 gene:GSCOC_T00026473001 transcript:CDO99343 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAFCIFRKLITECFSLCSESVYEDEDFDETQRQLAALLVICYLNELNHSLLYALGADLLFDVSEDSDYVRSILGRMLFLNSAF >CDP15124 pep chromosome:AUK_PRJEB4211_v1:3:10560870:10565065:1 gene:GSCOC_T00042704001 transcript:CDP15124 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEVIEERLTGVPVYALSNSSEEFVLVSGENTGRNLGLFCLNEADAKALLHQMQSMDPSMRDGSKVVAVALNKVFQLKVDGVAFRLIPEASQVQNAIKERKKSGAYDESFFGVPVFQSKSLILRTQDKRYRPVFFRKEDLIKSLNRATRYERLNPAFREGEIQVAVFEDIIRGMKDDSSSKWDDVVFIPPGFDVATGQSRR >CDP10344 pep chromosome:AUK_PRJEB4211_v1:3:13239811:13243724:1 gene:GSCOC_T00031049001 transcript:CDP10344 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCSVDRVLLGLELLLDRYRDINFLLYGNDTDPLDVRDAIKHMKFLKTFLMCARKWSLVHLYLESDNVVKKVSLPSFLSCIEDTLHKYEEDIHRLRTETFEWDIHNSIVDRGVFGEIGKQITVLKQEIIQIYFALASSRSFQSNSCMTDDELLEFIDLILQNLADLTNDYMNWGIHGYYPLLAALSAQVQDLEAKLTFLKSFIPFAKMRGTADIPALLLAHFEVVALTAARLSYMWSFWKNVEYRSTCSFKLLSIRAVDFHVYEIYKEVLAASNSSASLHTAVMDERILNNFNDSLIGRLWELLCCSSSFVDSMKDEMQILYAGLRFLRSILREHHEMMDEQNEKIGALLGEAGSIIFAPTLSRVIEGEVSFSGSTQVLGFCDMLANTNIHIKHFKDQISGSRTIESLPNSSHSLRAPEVSQTSSRMLSKGKMPIDHEVMVGLDDDAAKVIERLVSGSKQVEIVPIVGMAGLGKTTLAKKVYNDNSIIYNFHIRLWCTVSQEYNKKSLLTQILCSDGKHSRMDKFQNLDEDDLLEKLYQRLLKNRYLVVFDDVWDIEVWNGLRTAFPNDKNGSRIIFTSRSSNVASEVQYGGKPHYLHPLSEKKSFELLQKKVFGEEEECPQALHGLGMEIAEKCWGLPLALVVVAGVLATIEHDILVWEKFAESLTSTMVSGTDQWKKTLELSYEHLPYHLKACLLYFAAFREDEKIGAKKLMRLWIAEGFVEKIEGKRSEVIAEEYLMDLIGRNLVMVSKSRSIGGVKTCYIHDLIFEFCKGEAKEKKFLQVLRGYDELSTFNEPPNLPRLSICSSKEDFIQSRLFCPHLASLLLFDATPGYKNFKLLNISFIFCIYKHLNVLNLEGINLRLKELPAEVESLLCLRYLALTALEMEFIPPSIAKLSHLETFCLYSNRMVSLPDSIWNMKKLRHVFLWAGVVIGLSSNDNVVENLSTLPNLDTLSCVHLYKEGENLLRRIPNVRRLKIFDHQTGVLNMSRLECLESLTWLGNYSSGSRVHVELSFPMNLKKLCLRNLGLPCSKMSLVEQLPNLEVLKLRWPLSRDGGQRWELMEGGFPKLRVLTLEAVEVAEWTEADPNSGEYFPCLQQLKLHKIFNLKMMPACLGSTSTLETINVSSCGDGVKSLVREIKAAQEDNYGDGNLKIIYGKY >CDP08099 pep chromosome:AUK_PRJEB4211_v1:3:30936177:30941640:-1 gene:GSCOC_T00026820001 transcript:CDP08099 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGLSNPSKDVGGNYINILLRSSLLQGLLNPSKGSDLGMEDVGRNYMSILLRTSLLQVFEKDGYGRTIKCTIHDLVYDFVEEAAKESIFFVPSVQLITGRESHLKPRTLILNNGIPHYLPNVRKSLRVLVVAQWHTDEDVTELPTTIGKLKRLRYLDISRTSITELPNPITRLYNLQTMRLSNLQQLPKNFGNLANLRHLYIGKDGIINGKPCLLSDIGQLSSLQTLPFFYVSQDKGCQIDQLGHLHNLRGDLKIFDLQNVSNQEEAIKAKISTKINLDSLELHWDTRTRDGSTDEDVLKGLEPHPNLKGFTMENFMGRSLPSWMLTTSHPLVFRNLVKIVLRNFNKCQQIPPLGHLPPLNIVNIIGMKSVNCIGTDFFGWKNVDDASKLILDDMPDLKVWSGLMCHDSSHSLVKIFPSLEILRVMNCPKLVSLPDGVWHNLRCIKELCIFGCYRLSHLPKDVGGLASLEILRVLSCPNLVSIPDIHSLRSLVKLHLWGCNNLRSLPSGMGVCTSIRRFALLGCPAIQPEDLHPLSRMTQLESLGLGDFSHDLDYFPWPSYTINPCRSLGLYGWQAVTSLPEQIQHLSNLAYLQIQHFDRIVALPDFLGSIHSLEELHIEDCKNLLYLPSAEAMRQLTKLGKLTIEHCPLLKDRCKEEIGQEWYKIAHIPEIQLLP >CDO98846 pep chromosome:AUK_PRJEB4211_v1:3:1213509:1221388:-1 gene:GSCOC_T00025784001 transcript:CDO98846 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSAHPPPPLTDADIIQLAQKHPYSSSQSTTPLPLPPFLLAEASHQTLLSYLQSRATASPNPSSAVSEYASALISLSSLHSPLSSLLSSLLLSYASLFTAHKIPHDRLSLSTIQLFSTHLDAVPVSSLPPIIDLITSYIPKITDSEDTQLLILLPKCLELIRTSNEFENAYGYVNSVVDSLIKSEWRKVLLVKMVEIIREFSFMQKEKRRDFLGKVFNGMRDVDLQDLPGLVYQLLVLASKGFSKKEMIEGIVTYFGDKVQGKKGSSIVKQVEGTVLLHVNFAVKQDPSLGQEVLGLVKADSRAFNHFTVAVLLSVARVKRFCESSIGVLKTALLSAYKDYKFAKECKWLSDHLKEAYLQIAQVMERAIIRAVNESNSGREHIIPSIVQFGFGLLEGAEEVSKRRLDNSDDLLGVEELGSQMLKSSFEVHDMARKEIIEQCKFRILSLKPEQALPITRLLEHLVKSYPFQMLDHVAHLKELLDYFTFTHAEISSHLVAVLLPLTKFSHDLQDYTILVLRKAMFRREDSVRVAATNCIISLILAEKQRKRDGPLSFQESSSQASCSQQAEIPCTTGASLFQELSGLFQRCLYQQAKVREVLYHGLVKVVLVDPLAAGSVFDFLLPHFFRYYKEDADIKLDITSCIKSDSGKFHIEEPLDSLLSCISWILLLQPQDKTGHPPDSWASFGFSLTQENEAGRILSGESFSNALSKIRKFLRTANLEGLLGKTDDSDSTIVEEGKIRCCAFVLLGISEVVLNVIINELEKATNVKKVELQNELFEFVAIHDMLEKFTCNSRQVNGTRRGTVRSTASDPADKRNSSGSTLPQERVPHLATSSIYWLLAMAPQLLQFDSSTSSAPSENHSQVSSCKASDQHSKLINFALNACLCQLTSLPFVGREDPLKMLIYGEIKLLGPPLVKLIQLLTSVPQSHKKKESRGRNVDDRKEHIHLALICLKKLITVSLCSSEIGELIEALVSASEVEHGASDILHSDRGIECELAEGVENQATRSKEMFIKNSMMPLLSELLKSSFFSEVEIVCDILMIIGNQLPAERRNLVGAWILHICKSIDLRNPKLARSWVYLVVSLSLPPNDLTVSQEMASELLKVLRLGKSDPDLSETFHVINKTTCAAIASSILQIVESNIVDMDWIITKLKTYSSATQKGVSLEPDKSLGPGLVLEETLYCRVEAIIKVLSNFVLMNLKDPQAEHFLRLSTKLYKDLARMAKLLIAPRGSKQVLPSLKYQKMVEITCRQLTAPLYKFMEQLQKDQQESGNNKAMASKIKRENKCIPELIFQIEDYEKYLIQLGKAAKLNLLREAKRSTSRDFKILDPKDFVGEEEEEEEGDPTNETEENEGNGAESESSEESGDAEEGEEGNGGANNNELSLEYDVPKAAADSGSDGEDEAGLSNGQRSKRRRVVEESSSDEEMETGQSLAF >CDO98871 pep chromosome:AUK_PRJEB4211_v1:3:1404057:1414349:1 gene:GSCOC_T00025819001 transcript:CDO98871 gene_biotype:protein_coding transcript_biotype:protein_coding MHEAENVKMKGKNGTLGEKLELLQVCLFELANLFLLIRTKIPLLQSLDEVTRKELTPLKLRKKAAKFATATVKAQMASFRRYGVWGDWDHPYLTLDPEYEAAQIEVFGKMAIQGFIYRGRKPVHWSPSSRTALAEAELEYPEGHVSNSMYAIFKLVNAPNLCNLLEEFFPNLCLAIWTTTPWTIPANAAVAVNPKLQYAIVEVQSGSTDSSTLSGNAKKKLGNVFEEYEKLFFIVALDLVPTLEAKWGKKLLIKKKLLGSDLENCSYVHPIDGRDCPVVIGGDYITTESGTGLVHTAPGHGQEDYVTGLKYGLPILSPVDDDGKFTEEAAQFNGLDVLGDGNIAVIEYLDKHLSMLMVEPYKHKYPYDWRTKKPTIFRATEQWFASVEGFRNAAIDAISQVTWIPSQGEKRIIAMTSSRSDWCISRQRTWGVPIPVFYHVETKEPLMNEETIDHVKSIISQKGSDAWWYMTVEELLPDTYHDKASDYEKGTDTMDVWFDSGSSWAAVLEKRNGLSSPADLYLEGTDQHRGWFQSSLLTSVATKGRAPYSAVVTHGFVLDERGFKMSKSLGNVVDPVTIIEGGKNKVESPPYGADVLRLWASSIDYTGDVMIGHQVLRQMSDIYRKLRGTLRFLLANLHDWKVDYVVPYHELPKIDQHALFQLENVVKNIKEGYEQYQFFKIFQIIQRFVIVDLSNFYFDVAKDRLYVGGTTSFTRRSCQSVLAEHLLSLVKVVAPILPHLAEDVWQHLPFQYTNEEGQIAKFVFESRWPIIKERYLAFPVEEVNFWGKILELRTEVNKVLEVARSGKLIGSSLEAKVYLHTSDDTLAARLTEACKSETDADTLPRIFITSQVEVLPSLENEHTEDASYTGEFLIGGKDRVWIRVTRAAGSKCERCWNFSPQVGSFDEHPSLCSRCYNVVAGQPEPALAAVS >CDO98943 pep chromosome:AUK_PRJEB4211_v1:3:1985326:1991820:1 gene:GSCOC_T00025917001 transcript:CDO98943 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRCVFMALVISGIAARVIYPTEGQPHRILLDTDVDTDDLFALLYLLKLNRSEFDLQAVTLNTNAWTDAGHGVNQVYDLLYMMGRDDIAVGVGGEGGILEDGTILANVGGYLPLIEQGIGTAGYCRYRQAIPVGLGGRLDVDTNFGFRKGFLPQGRRKYLPLQQPTAQQVMIDKISAGPTTVFIIGAHTNFAIFLMNNPHLKRNVKHIYIMGGGVRSKNPTGCCPKNASSSCQPRQCGDQGNLFTDYTSNPYAEFNMFGDPFAAYQVIHSGIPVTLVPLDATNTIPISQEFFETFERNQHTYEAQYCFKSLKMARDTWFDDQFFTSYFMWDSFLSGVATSIMRKPHNEKGENEFAEMEYMNITVVTSNEPYGISDGSNPFFDGRKTPKFNLKKDGVHGGHVQTGLRDTFCLVKKGKGRCKDGYTTEVTGLGAVRVLVAVRAKPNRDRSSPLNREFFRSFLDVLNHPQHSGRFDITTQFPRYKKILYKPDFRGRRLGKNVVFDMDMSAGDFLALFYLLKLPVETINLKAVLVTPTGWANAATIDAVYDLLHMMGRDDIPVGLGDVFALNQSVPKSAVGDCKYNKAIPHGSGGFLDSDTLYGLARDLPRSPRRHVYTAENSVKFGAPRDTGHPELRQPLALEVWQSLVKTLDPGSKVTILTNGPLTTLAHIVDLGKNVTSFIEDVYIVGGHINYDNCEKGNVINVPSNEYAELNMYLDPLAARRVFDSELNITLIPLGVQRRVSTFQKVLQRLYLTKKTPEALFARNLLSKLHDLKQAHPRYQHMETFLGEILGAVVLAGDHSTLESTFEVKTVKVSAKGVESEDGRMIVSEKQGKLVKVLADVNSKAYYNLFANQLGDKNQSAIVGSFDEQRKLWSKSPI >CDO98870 pep chromosome:AUK_PRJEB4211_v1:3:1401668:1403946:1 gene:GSCOC_T00025818001 transcript:CDO98870 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNSSYKVILLKNCPSFRKTPPVSFLYLRKCSSSQVLSLLSLLNVRHYSTYPGPEICSSAKRRSRGPVMAAKKASEGLKKDDGKYKHTVDLPQATFGLRANSTVREPEIQKLWDEHQVFKRVVDRNDGGSFILHDGPPYANGDLHMGHALNKILKDIINRYKLLQNFKVQYVPGWDCHGLPIELKGTYQWLLM >CDO99067 pep chromosome:AUK_PRJEB4211_v1:3:2940687:2942126:-1 gene:GSCOC_T00026087001 transcript:CDO99067 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPRRPQEGQEPIKDGDVFSVTGELAGKPIAPGDAAMMQAAETRVLGQTQRGGPAAAMQSAVTINERAGLASHTDVTDRMITEAVAGQVFPGGFGRERGGYGQQARAGAGGGGAVTGAITIGEALEATAQTAGNKPGEQSDAAAIQAAQVRATGSNVIIPGGVAATAQSAASSNEAGQLRDEDKIKLGHVLTDATVELPADKSVEWSCIRSSLMESYTVVFIVNRSNGDVKAQGPLDRKKGAGMFQKRAMSPTDAVHRLEYMVRSLGSTRLGCMVPSHGRGPDRFTSISAYPS >CDP10361 pep chromosome:AUK_PRJEB4211_v1:3:13792088:13795313:1 gene:GSCOC_T00031070001 transcript:CDP10361 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCFTILQLAALSSSQMNASKRELSALLTWKTSLDNKSQAILSSWNSDTPCKWDGIGCNKAGKIVQINLTHFGLKGTLHDLNFSAMPHLIGIYLSNNSLYGTIPSNMCYLSRLESLHLSSNAFYGTLPLEIESLGNLRNLALLHLSENNLSGHIPSSLGNLTKLNILWLSRNQLSGSIPSELGELKSLVELTLYANELTGTIPSAISNITYLKRLYLSGNHLTGQLPENICASQSLTDLVMFENNFFGDIPRSLKNCSSLQVLFLALNQISGNLSEGFGVYPNLSYIDLSYNKFYGELSTKWGECYKLKNFVINNNNVSGSLPPELGKAIQLGRIQLSSNQLSGRIPTSFGSLTSLLYLDLHNNKFSGNVPPEIGKLSQLQILSLSGNDLSGPIPEQIGECAQLRKLDLSQNVLTGSIPSEIGNLNVLETLDLSQNMLVGDIPQNLGSLKSFENMNLSHNNISGSIPKSFSTCFSLLSVDISYNQLEGPLPNISAFQKAPFDALRNNKALCGNVVGLNSCNSSLRNKANKSKTERIIVLIVLPVLATIFLLTISIGIFLIIRPRTRRTDQPGSSTLPENLFAIWSFDGKFAYENIIEATENFHPNHCIGEGGCGSVFRAQLPNGQVFAVKKLHATESGVPGTAKGFENEVRALTEIRHRSIVKLHGYCSHPRHSFLVYEFFEGGSLLHTLSEDEKAMEFEWIKRINAVKDVANALSYMHHNCSPPIVHRDISSKNILLNSDYEAHISDFGTAKLLRPNSSNWSSFAGTYGYAPPELAYTVEVTEKCDVYSFGVVALEVMMGKHPGDLISSLEISSSSSASNNMMLQDVLDPRLPLPVQQELGQVVLVAKLALSCINSNPKLRLSMQEVITQLSAKGLPLKSIPPSITLGQLNGS >CDO99463 pep chromosome:AUK_PRJEB4211_v1:3:7226605:7243273:-1 gene:GSCOC_T00026646001 transcript:CDO99463 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNWMEVLRICKSHPSDACKAKLTKSEETALHIAVSSYHVGEKEAHALAATIGQLVESLPVGQAVEILKAKNDKGDTALHLAAALGSVTICDCIARNRNQIYRELIMIRNRKGETPMFLAAHHGHMEAFQLLHELYNGNASEPDYSLGRRNDGDTILHSAISGEYFALADLITIKYRKLVNSVNEEGFSPLNILARKPNVFESSSNLRLCDRMLYRCVYVPEVKNRQSRRGDRRSLEETGEHYPKNYQTCVNFIRVISTAFWLIAPLGKGQDQGQRPGTEDPEEGKMEGQASPGEDSDSRQPGSTIDRETPIEGNQGKELNDENTFPPNYATCIQLFKFAINLVLIIFGIGIRKISKIREKKQRHNRAVRMMDKLIEKESRYKYSHDGQNPINGAEPTYEGRFVVPEEPPKTSDIPSSSNDISGSESNDEKNKIESKPDAKPKDATETSILLAAKMGISEMVEKILKTFPVAIQDLDANGKNALLLAVENRQIKVFDLLMTMKLPEFVLYQVDKEGNSAMHLAAKFHEHQPWRIPGAALQMQWEIKWLKHVKHSMPPQCFIQYNKKGETAGKIFMKTHEKLVKDGKPGDSLCSRRDGDAIQQSAISGEYFGKYYAHLKISLYNNIGMPDNSQNCIDKVPETFAFSTNYRIFHVNSSKLEDFEPFSKRKKFQNGLCLCLSVVMFPFSRPRKGKRANMSKNELGLQMNQAEKNIQPALAEQQRWAIMVYRSVKETLPCFSLELILYYMNYPANIEEPEDKGQKRILKIAKGICLSAVYKSEKPQGFDVDELFQFTMKKKWKEVLRICKIYPSAACKAKLTKSDETALHIAVSNIIRQLVESLPEGQAVNILKAENDKRDTALHLAAALGSYTICNCIARKDPKLIMIRNLKGETPMFLAAHHGKMEAFQLLHELYNGNVSEPDYSLCRRNDGDTILHSAISGEYFALADLITIKYDRLVNFVNVKGISPLHILARKPNVFESSSNLRLCDRMIYRCVYVPELKNHQSRRGDSRSIKETEEHYPENYQTCVNFIRLLSTAFWIIAPLGKGQDQSQGQGPGLVDQGKNESSRSRSLTNTADPEEGKMEGQASRGEDSDSRQPGSTEDREIPIEGNQANASTQESLYFQGYSLFPPNYATCIQLFKFAINLALIIFGMGIRKISKIAEKKQRHNRAVRMMDKLIQKESRYKYSHDGQDPINAAEEVYTERIDILKAVPQTPDTPPRSEDNIPGSESKDEKNKIESKPDAKPKDATETSILLAAKMGISEMVEKILKTFPVAIQDLDADGKNALLLAVENRQIKVFDLLMTMKLPEFVLYQIDKEGNSAMHLAAKFQDHQPWRIPGTALQMQWEMKWFKHVKTSMPPQCFIQYNKKYETAREIFTKTHEKLAKEGSEWMLKTSESCSVVAALIAAVAFATASSIPGGVNQDSGHPVLEREPIFRVFSVASIVALCFSVTALVFFLAILTSRCEQRDFKISLPRKLLIGLSSLFTSISAILVSFCAGHFFVLQDQVHRGAFPIYAVACLPITFFAFAQLPLYFDLLWSIIRKVPVRCYKLYYN >CDO99012 pep chromosome:AUK_PRJEB4211_v1:3:2502446:2506528:-1 gene:GSCOC_T00026015001 transcript:CDO99012 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RHF1A [Source:Projected from Arabidopsis thaliana (AT4G14220) UniProtKB/Swiss-Prot;Acc:Q4TU14] MTISTPSSSFPATDNAAGDVAVSDDCFEDGCSICLEPFSSHDPPTVTNCKHEYHLQCILEWSQRSTECPICCQLLELKDPTSQELLCAAKAERSKRARSSIRVVYEGPEVNHDSSYVNDPDEEEQFLQHFAAATSRARHVNRSRRQTASRLDSSEVFPTVPLENGINLTYGLEGGLATTGAPASTIEVEASSAVPSVVDVTSMTPETGDGHVGHRIFFRQPSPDAPRKLNSFEFLAFSESVKAKVSAASARYKETITKSTRGFKDKLLARNTTVKELGRGVQREMSAGIAGVARMIERLDLSSKRTGVFVPQSILMFCHSTPLPDQPKRMFLLYRAIADTSVIGIAVR >CDP08016 pep chromosome:AUK_PRJEB4211_v1:3:28981915:28986610:-1 gene:GSCOC_T00026676001 transcript:CDP08016 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAVLSASVKAIVQGPLDKVISLVNKEFSLIYGFKKDLEKLRGSLAMIQAFLRDAERRPVGEEAVKLWLEKLEGVAYDADDVLDEMNYKILQHKVKLQKKVESKVKIFSLLSNPFSFNRELAGKLKDINTNLKRINQEANEFGLQFHIRDVDADSAAGAVFSHSIARSRETDSVSVDPNVLGRDNDKSDLVKTLMRSRDEVVSVIPIVGMGGLGKTTLARLIYNDERIKNYFDARIWVCVSESFDVTKLFAMMLESLTQSHVQVQGREAIVKNLQKAIGVGRYLLILDDVWNDKADKWDDFKRSMEGINRTKGNSIVVTTRSEQVASIVATLPQHFLRKLSEEDCLSILKARAFPGGEVPRELDAIGKKIAAKCQGLPLAANLVGGILRNKGKSEWMSILNEGLSHVNGDENGCSILQILKLSFDHLPTPAAKKCFAYCSIFNKDFNLKKEQVVQLWMAEGFLYSNQGSDVMEKTGIKIFHILLQNCLLQDVEKDIYDNVISYLVKELPSKIKKLTHLRYLDVSGTQIKVLPDSICKLYNLQTLRALHLHGSINKFPPNFHNLISLRHLHFYFPERFQMPLGIGKLTDLQTLSSFNVDQEKGRRISELGCLNNLGGELKIRNLELVNDADEARSANLSGKPNIYKLEYYWGRNREGDNQDENVLEGLQPHPNIKSLKIENFMGNEFPLWAIKMAVKTENAWVLLENLVEIELVQCERCKEIPMLGQLPFLQHLKLEGLTNVRIIAPSTYGTDYYIGSSSSLCRTIWFPALENLVLERMPSLIEWIDFPDMPSSSTTASSEVSFFPRLQNLTIVSCPHLRTVPRKFRCLTGLNLMGDNSILPLSSICSNVFTLETLRIFGLSDLTCLPDCCANSLKILEIEHCDSLIELPQGLHTLQSLERLTINGCDSLRSIPAPECQGQGLTSLRNWEFAGCHGLTNIPGEALQFCTSLESLSVKYCNELVVFPVDLQKLPSLICLRIHHCPKLDMVPTGLCGLTRLRQLYIGCFLEFGSFQIIFNGIEQNLSTLIELELFGCSDWDSLPDQLQYLTSLKQLDINDFGVETLPNWLGNLFSLENLRLTNCKKLHQLPSLEAMRHMIKLKEFTITNCPLLKAILIISYGEYFETFLNVQDSLHLWF >CDP08142 pep chromosome:AUK_PRJEB4211_v1:3:31515158:31516832:-1 gene:GSCOC_T00026881001 transcript:CDP08142 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLAVPFLFFSFLLPSAESVTYNVQSYGAKSDGRSDSTKSFLSAWAAACASVEPATIYVPRGRFLVGGASFSGKNCKNNAITIRIDGTLVAPSDYNVLGDTGKWLAFERTNGLSIYGGTLDGQGTGLWACKNSGKNCPKGARSLGFYNSNKVLVSGLSSLNSQFFHINLDGCQNTRLEGVKISAPENSPNTDGIHVQSSSGVAITNSHIGTGDDCISLGPGSSNIWIENINCGPGHGISIGSLGSNLQEPGVKNVTVKTVTLSGTQNGLRIKTWAMPSNGFVTGVLFQHAVMVNVKNPIIIDQNYCPNRAKCTGQASGVRISDVTYQDVHGTSATEVAVNFD >CDP19079 pep chromosome:AUK_PRJEB4211_v1:3:31940417:31948959:1 gene:GSCOC_T00002101001 transcript:CDP19079 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDELGAGAVPEEEGPSETAAADETEEKVDELTLGGPQEAAKKKKKKSKNKKKKELRVQTDPPSIPVIELFPSGEFPEGEVQQYKDDNLWRTTSEEKRELERLEKPIYNSVRQAAEVHRQVRRYIRQILKPGMLMIDLCETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDSAFTVAFNPMFDPLLEASREATNTGIKEAGIDVRLCDVGAAIQEVMESYEIEINGKVYQVKSIRNLNGHGIGSYQIHAGKSVPNVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDSGIVQPCPPVCDIKGSYVSQFEHTILLRPTCKEVVSRGNDY >CDP08047 pep chromosome:AUK_PRJEB4211_v1:3:29923410:29924732:1 gene:GSCOC_T00026735001 transcript:CDP08047 gene_biotype:protein_coding transcript_biotype:protein_coding MHKYSNLFSHSSEETNLVQTIREELESLEGSLSIIQAVSRDNLRLQDKAENIKHWLQKLGGLAYNADDVLAEFAYEILPA >CDO98946 pep chromosome:AUK_PRJEB4211_v1:3:2018151:2019547:1 gene:GSCOC_T00025922001 transcript:CDO98946 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLNFLNKFSLTFPAISFKLSSVRSIILTLLLSLVISFKLPNKFTIESVVLLVNSFTYST >CDO99391 pep chromosome:AUK_PRJEB4211_v1:3:6199930:6206057:-1 gene:GSCOC_T00026547001 transcript:CDO99391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71-homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13590) UniProtKB/Swiss-Prot;Acc:Q9T0H9] MQGLAVQVPQVLATSNKGTNYRKKRKLPFSPFLGSLPRGLISPASSSSSLPSLIQCRWISRSLVRYKTVTTHASSVGVGSGGFEGSQENNQNIYSTGPSSDNSSETERRPNQIPYPLAIAIVLCGCALVFCLIAFIKGSPSAVLSAIAKSGFTAAFSLIFVSEIGDKTFFIAALLAMQYEKLLVLLGSMVALSLMTVLSVIIGRLFNSVPAQFQTTIPIGEYAAVTLLMFFGLKSIKDAWDIPSNTAKTGEKSSNELGEFVEAEELVKEKVSKRLTNPLEILWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVAIGAIVGHLVATTLAILGGAFLANYISEKLVGYLGGALFIVFAVATFFGVF >CDO98974 pep chromosome:AUK_PRJEB4211_v1:3:2211156:2211985:-1 gene:GSCOC_T00025966001 transcript:CDO98974 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGKSAAFGLLVLNVILYFIVAAISGWAVNHGIQRARETASALTIPARIFPIYFPFGNMATGFLIIFSLIAGVVGFITSIAGIQSVIQWNLPNLHAAAASSLMTWLLTLLAMGLACKEIHKGWTDSNLRTLEVILIILSGTQLFCTGAIHAGVEDLVARERIIRGRV >CDO99375 pep chromosome:AUK_PRJEB4211_v1:3:6029761:6030537:1 gene:GSCOC_T00026522001 transcript:CDO99375 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQFNAGETHGRTQAKTEQWVDSCKDAANAARDRSAQAADQSAGFLQQTGEQVKSMAQGAVDGVKNTLGVGDNNTKK >CDP10831 pep chromosome:AUK_PRJEB4211_v1:3:26949710:26950998:1 gene:GSCOC_T00031729001 transcript:CDP10831 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFGAYGYGRISPSLVQHVDPSSFFEISLLKQGSMMHLSNLENQLPQHSFLPSQIASKFSVVENDLQKLFPQSLKNPRTKDAIQSTLIYCNTPPLKEEIQSCVKSLEDMVEFAKAAIGTNHVVPLTTKNTEGSGKEFIVGHVEKLKFKKIVSCHEFFLPFATYFCHLLSKTEAYAVDVLEPKTKLPINTVMVICHMDTSNWSPNHVAFKLLKSSPGKVVACHWVFQNDLLFVSAEED >CDP15456 pep chromosome:AUK_PRJEB4211_v1:3:17026230:17030039:-1 gene:GSCOC_T00043191001 transcript:CDP15456 gene_biotype:protein_coding transcript_biotype:protein_coding MVDALLGSTVQVLVEKAINLASDQIGLLVGFKKDLEKLKETLTLIHAVLHDAEEKWVAQEFVKRWLEKLEAVAFDAGNLLDDINYEMIRRKVEIQNQLKRKVCFFFSLSNPITFRCKMANKIQKINMDLISINEQASKLSLQPHIGAKDAPHVLSPPSGGGFIKNRETDSVTIDVSFVGRDGDVSAIVIELTAPNNNETISVLPIVGMGGIGKTTLARKVFNDPKIEKHFEKRMWVCVPDDFNANRLFGLMLESLKAPTLEVAGRDSREARVNKLKELLDGKKYLLVLDDVWNKESRLSRPWNEFLGSLKGTSLAMGSWILVTTREQQVATITRISSPQDCSLKLLSDDQCWLILKENAFGGGEVRDGLKDIGFKIAQRCRGLPLAASVLGGMLRNKRPDEWQNLESGLQRLGGGENSDIKEILKLSFDHLPYPSLKKCFAYCSIFPKDFQMERNQLIQLWAAEGFLHPNPRDMTCMEEVGKMYFNILLDSNLFQDAEKDDYGNVLNCKIHDLVHDMVQSISECKTLRLKESTEANLHDKTFRYLAMERSDGEEMLPFPQKKSFRYITTLFLLENRSIDDGLIIFLACLQVLNIASSDATELPKSIGKLSHLRYLDSSDTPMETLPDSLCKLYNLQTLRLRDCKSLTKFPNNFKNLVNLRHFDFFHEDESSDLTPLEIGKLHSLRTLLFFNIGKDSSQQIGQLGSLKNLSGSFEIRNLQLVRSIEEAKSARLIEKANIDELRLIWNEPDNSRENDSEYNQVLEGLQAHQNLKGLIIERFFGDQLSTWIGKLGRLVKFKLQNCKYCKELPTLGNMPSLRSLHLKGLDSLTSIGPSFYGRSSVHSGSSSQRPLSLFPALEHLNLENMPNLKEWMEATVHDGTVFPVLDTIRIIGCPQLATFPNHFPRLKKLKIETIQNGSTLLTNICSGVSTLTSLSLWSVNGVTKLPNVLFQNNPNLAYLELVDCGGLTQFLDFSFDDPQSLEGPNCQSVLEHTGTNNNAPQHLIGLESLETLIVAACHSLESISIPKGRKYLIALRQLNISSCNGLTRLSIDQISESEWDSTSSPFSSSGTCPPLPLEELYVYSCPNLISFPIDLTRTPSLSYLDISQCKKLTDLPKGKLCSLTSLRYLEIGPFSETTAELHSFLDLFDALPPLHPYFPSLSYLRLRGWPHWESLPEQLQHLSALTTLGLYDFGVKSLPDWFGKLSSLEELILWGCEKLENLPSYQSMRSLTRLRELQIIRCPLLKKDEIQRVAAAAAPTSILSGRHSH >CDP03486 pep chromosome:AUK_PRJEB4211_v1:3:19005537:19013461:-1 gene:GSCOC_T00015292001 transcript:CDP03486 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLHQIIKSSQTLTNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTNNDKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLDQLRLIINILGSQKEDDIEFIDNPKARKYIRTLPYSPGTPFSRLYPHAHPLAIDLLQKMLVFDPTKRISVTEALQHPYMAPLYDPSCDPPAQVPINLDIDEDLGEEMIREMMWTEILHYHPEAALADLEVGN >CDP11183 pep chromosome:AUK_PRJEB4211_v1:3:8581215:8584063:1 gene:GSCOC_T00033270001 transcript:CDP11183 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVEKTTSGREYKVKDMSQADFGRLEIELAEVEMPGLMSCRAEFGPTQPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEEYKKSGKLPDPASTDNAEFQIVLTIIRDGLKTDPTKYAKMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVGVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGFQVLTLEDVVSEADIFVTTTGNKDIIMVSHMKKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETKSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSTGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLSKDQADYISVPVEGPYKPPHYRY >CDO99267 pep chromosome:AUK_PRJEB4211_v1:3:4905918:4907368:1 gene:GSCOC_T00026358001 transcript:CDO99267 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAMRVAVLLLFCFHFFVVSRVVGRDVNFVKDEKFLTAVGKGGGFGFGAGGGFGTGAAGGGWGGGGGGGGGIGGGGIGGGAGGGFGGGGGFGKAGGIGGGAGGGFGGGGGGGAGGVGGGAGGGVGGGAGGGAGGGAGVGGGVGGGAAAGGGIGGGAGGGAGGGAGVGGGAGSGGGAGGGIGGGAGAGIGGGAGGGGGAGGGQVVALEKVEV >CDO99281 pep chromosome:AUK_PRJEB4211_v1:3:5032583:5034397:1 gene:GSCOC_T00026375001 transcript:CDO99281 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGFTKKRRRTKGRSKNASGHQSYGRYVPLDCDVTVKLECDEMQKASATELRDKPKESSTAKIKKKVSFNLNVKTYEPLPNDELSNCNLSEGEEKTMWEYNQEETAGASMKYFNYEDNLMASKIGSFPSNYRYQNCRDSYDEEDEMEVEDSDLDDEDELDFDEDDIGESYDFRSQKLCQASVEEEGKVTTDQLAEKGSNLLQPQVLQHQEHNKTELNQNARNRSHNVFSVLAPVENLTQWKEVKAKTRQQLKHQKENIVLEQKQHMPLLDLNQFKPQSHEIAVDASLSNWLVSFNGKDSNAAIANAHQKRSRWMHQTRSADEIELL >CDO99362 pep chromosome:AUK_PRJEB4211_v1:3:5919016:5920931:1 gene:GSCOC_T00026501001 transcript:CDO99362 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSCSADQLAPFFGPNTTNATAAAEYICNQFTAVSDRFTDTGYAIDTTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGGPSNGFIGKHFFGLKQIPSSSFDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSADGWASASNTGNLLFGSGVIDFAGSGVVHMVGGIAGLYGALVEGPRIGRFDRTGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFNKIFVTYNVGNQPYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRILSGHWNVTDVCNGLLGGFAAITSGCSVVEPWAAIICGFVAALVLIGCNMLAEKVKFDDPLEAAQLHGGCGAWGVIFTALFAAEKYVNEVYPIPGKSTRPYGLFMGGGGRLLAAHVIQVLVILGWVSATMGPLFFILHKLKLLRISAEDETAGMDMTRHGGFAYVYHDEEDSNKNSIPMRKIEPAGSS >CDO98710 pep chromosome:AUK_PRJEB4211_v1:3:165783:178639:-1 gene:GSCOC_T00025607001 transcript:CDO98710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phragmoplast orienting kinesin 1 [Source:Projected from Arabidopsis thaliana (AT3G17360) UniProtKB/TrEMBL;Acc:A0A1I9LN37] MSGDNSTSCVGSMSRTNPSSSPRNENDSSDPLIQLPAGPSPPPPPPSRAPLNSIPDPSQIQHDLGHNNNSNNKEKSASGAGTKCKSDAAAHTPPHSLTPTTQKLQQSHQLLVVSNSRPNATPRRGPKPTSDPTSAPATPASSSKPIQHPLRISNVGPKGAIFSRGISVPCPDPHQLQHVPHFDLPQDHSFWKHHNVQVLIRIRPISNIEKVSQGYARCLRQETAKSLVWLGHPETRFTFDHIACETISQEKLFTIAGLPMVDNCMSGYNSCMFAYGQTGSGKTHTMMGDIGQMAGKLNEDCGITPRIFEYLFTRISEEENNRRNEGLKYSCKCSFLEIYNEQITDLLEPSSTNLQLREDLKKGVYVENLTEYSVRTVDDVLRLLLQGAANRKIAATHMNSESSRSHSVFTCIIESRWEKDSMTHIRFGRLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAQGKNRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDVIALQRQIQQLKGQLSILSKHSHDSEYLSNHTPSCKESYLGTFLEELDSSEQMIMHDNHGTQSGRRKKTMCSKATLLGALRRENLAELEVKRLKAEMEQLNSLVHQLELDAQHAKMILRSRDERIKHLELLADGFVSADAYILNENNALREEIELLQSRIGKNPELTRSALENIRLLEQLRLFKEFYGQGERETLLAEISELRDELSDILEAEESCVHSHSSPCKENQIQKELKDARSVIEAMESKQLCLIEELEFLRNENDKLMETLQNQQNGEGKHSPEPEHCNMQSGFVLDEDLNFVTEGENDSCTLVLQAKLVKLSKDLQHAQLLNSQYLKDHATGLSQQSQAESIQEDVEIETARTILHLQEEIATLQSELQGRTKSLEEENRSLRNCIAAKDGEMEVLCSEWERATLELTNFLLDGSKSIGDASSQIESIGSLFPCDNVWVSEHVERAAKLCVEKEESMFLLKRSLEDAQTTVLEMDQKLNSLRGATMVLSEAQHLESNLIYHEDPITEVKRYADYNFLEMKTNFHQPMVVLWDNVEKNVPSADAHTSVTAEVNADIELAHYGLLEAESAISISRVDAESHFMSLQSDIHETFSLYKELIQDLVNDIHDMRRNFVKLNVNYGSVDIHTSGSPLVHTLRFLEHENGYNMLHQILGELAEVNKRLNSMNAYLSGIVYSCQSPAEFNSYYKINQADSFFSKFEEAQATVKEADYMLNALLKSNDDAKQLTSAWKQVGNELMIQKANLFEEVNLLKSSIHFKESENEMLQHQIHFILTEIASLMFLIEGSFQKDVEDLCKTSYCDTILMVKETLNNISSLRSLLEEISAEVRENGIISFVFNGRYLGKIYDEIRRLNKNSDFLASTCEEGLTVMQNSGKESASYRNESEVKLAQGEEEGCQYEFVNRSEAGEDDLINEHLELKREVERKEVLLKGLLFDLSLLQESASSTKEAKDEIEKLHAALNQVRNELKMKTSQLDNMLIKHKTLEGRLMEAENALCVSHSDLEEVRGTLDILLEENAELRMLLKDVYLKKSETEQQLEEQRESVKDLEDEILRMASSAEEKMASSIKDIKDDMKRVTAERNQLLDQLQSLQDKLDIAYAVADEKEAIALEACQESEASKVYAEQKEEEVKILEHSVEELDSTINVLEKKVSEMEEEVERYRQVRDSLEVELHGLRERLLMVESITEDLGSSRELSENQFLRSISPFTSLFVELHEALSQIRILEEEKDKLAKEIKQYREYISEVVVHAEAQASQYQQKYKSLEAMIHEVRTDSSDVICGAPTLDKTEKTSVRSRGSSSPFRCIASLVQQMNMEKDQELSIAKFRIEELEALVASRQKEVCLLNTRLASVESMTHDVIRDLLGVKLDITNYADLVSQNRLQKLVEEAQHQAKQYILMEKEVLELRRRINDLVEERERCVLEVNRREADVLATHMILEQVRERDQLLTAQNEMLKADKTNLQRRVAELDDMVKKLIGRQNIVVQKQQQTSSFARLKG >CDO99188 pep chromosome:AUK_PRJEB4211_v1:3:4127610:4133522:1 gene:GSCOC_T00026246001 transcript:CDO99188 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLASGLTENILDIQKVSDFLIAVAYFSIPIEILYFVSCSNVPFKLVLLEFVAFIILCGMTHLLNGWTYGPHPFQLMLALTIFKTLTALVSVATAITLISIIPFLLKIKVREILLRRKTWDLGRQVGMIKKQKEAGLHVRMLTQEIRRSLDRHTILDTTLVELSKTLDLENCAIWMPNDNKTEMNLIHESIRRNSSDMNECIPTSDPDVREIKGSDGVKILESDSPLAVASSGGNGEPGAVAAIRMPMLRVSNFKGGTPEMVPACYAILVLVLPGSQGRSWSIQELEIVKVVADQVAVAISHAAVLEESQHMREKLEEQNRALQQAKQDALLASQTRNAFQMVMSSGLRRPMHSILGLLSMMQEENLSSEQQLLVDAMVKTSNVLSTLINDVMDTSTKDNGRFPLETKSFSLHAMIKVAACLAKCLCMYKGFNFAMEVDKSLPNHVMGDERRVFQVIFHMVGSLLNSSNGGGCLVFRVLSASGSQASNQGWGPWRSSSSDGYTYIKFDVGICKDDSDFESMPSTVSPGCQRYNSGIPEEGLSFSVCRKLVQLMQGDIWVVPNSEGFKHSLALILRFQKQTSTVVSISEQGQSSDHRYSNSFRGLRVLLADDDDMHRAVTRRLLEKLGCNVSAVASGYECLSALGRAVSAIQIVLVDLHMPDMNGFEVTVKIRKFCSRNWPLIIALTAGDDEDSWEKCFQVGMNGVIRKPVLLQGIADELRRVLLHASRVP >CDO99121 pep chromosome:AUK_PRJEB4211_v1:3:3426883:3429819:-1 gene:GSCOC_T00026159001 transcript:CDO99121 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSINAFSRARDNAAENYSGLLDNLLPSPSDTVVDIIPERLSELAHLKNIEQFRQLGGVPGVASFLRTNAEKGIPGDDEDIIRRRLDFGSNAVQKPTPRVWHTVFEAFSDPIIIILLICATLSLCFGIASHGLRGWSDGGSILVAVFLSSMTAGRESIEINESCNPFFLSGTKVINGSARMLVTAVGLNTERGRMLSSKDYDIEQRTPLQRKLHELTIQIAKVGLMVASLVLVTKIHEVCNTVVGILATPAAIAATAIPEGLLLAVMITIAYSTKRMASQKAIVRNLSACEAVGSTTVICTDERGSLTLNHLIISEFFRANCAVHRPESFNSENTQSSIWIKRNASDTIHVHQKGQPEIILAMCSQYYDEDGIVKHMSIDAKKNLEQIFQEMKNFDPKLKAENSAFIGCLGLKYPCRPEVQKAVMDCREAEVDIKLVTRKKCGTIRVMARASTLDKLQMVQGLKERGHVVAVTGHSIGDAIVLREANAGLSLEIQGTSQAKENSDIIILDDNFDSVARVLSIMWKNIVGQAVYPIIVLLTIQFKGQSTFNLDPKIKDTMIFNILVLWELFVIFNTKRVEGNFFKGIHKRKMFWGVILAIILLQVLIVELLKELADTKQLSWKQWIICIGIAVLAWPMGWLVERIKYPFSSHL >CDO98746 pep chromosome:AUK_PRJEB4211_v1:3:468221:479858:1 gene:GSCOC_T00025651001 transcript:CDO98746 gene_biotype:protein_coding transcript_biotype:protein_coding MERHRGGRGRGRGEDRYGRSPDSHHHFNSTRYSRGPPYSSRPSSYSSDNHNRQYSHHHRRSPDDDSTNNYRGGGGGARDYHRAFDSPPRYHSPSRSASSGSAGGDRGRGAGGGGFNYDYPMPSLAGQKRGYSYSDSRGSPPDRFDGGSFAKLFVGSVPRTATEEDIRPLFEVHGRLLEVALIRDKRTGQQQGCCFIKYSTSEEADRAIRALHNQYTLPGGVGPIQVRYADGERERLGAVEFKLFVGSLNKQATEREVEEIFTPYGRVEDVYLMRDDMRQSRGCGFVKYSHRDMALAAINALNGIYTMRGCDQPLTVRFADPKKPRLGESRGGAAAYGGPGFTPCFPTPGIRPPTNLGEPLNERIPPNAWQPMSPQNLGPPPSAGMHGFGNHLLPRPGDIAATSAPGSIPSSVSGNADRLLPGFAVSSTSMSQSNYNQSLPQAPSVGPQISPLQKPLQSPQYLPPSLLLQPSNAASFVQSQTYHASVRPFSQAQMPHPTGHTPLNQALPPDQLTGFGGQLSVSQPQVPLNASSAIGQASRTSNSQQNVMSALANQQQLPAPAQSLQPPNQSPSQLAQMLQQQTQNLQASFQSSQQAFSQLQQQMQMMQPSNQGLQSSKQQALWAGTAPQKVVNNSDQLGGDVAAAESANPTVPATSRAIAAGKCNWTEHISPEGYKYYYNSTTGESKWEKPEELTLYEQQQQQKLPVQQPQVQPHPQNLSVQNFPQRQFQVQNQIQTQQQSQIRPQQVQQSSQSFPVPGVTDHQNVQELGYMQVLPATVSKSMDDPAHFQQRHQPAQDWMWKSKPAGCFSLSLSLINKVSFMLACVPESNSFILSLFFFFFDGGWVAGVGDVNNYPAD >CDO99003 pep chromosome:AUK_PRJEB4211_v1:3:2435285:2437673:1 gene:GSCOC_T00026004001 transcript:CDO99003 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGNGQLPAGPVQAPQTAGLPASSTQMAQHQLAYQHIHQQQQQQLQQQLQNFWANQYQEIEQVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKEEMLASIPRGPLPVGGPAEGVPYYYMSAQSAPPVGAPGMYMGKPVDQALYGHQPRPYMPQQMWPHQQQPPADS >CDP19448 pep chromosome:AUK_PRJEB4211_v1:3:10964629:10968250:-1 gene:GSCOC_T00005524001 transcript:CDP19448 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKSKSNKVVTDSSIKGFDAGQGTGGVTRKANKEILEHDRKRQIQLKLLVLEDKLVDQGYTDAEIAEKLQEARQNLEAAAAAEEAGGPSAIVVSSSDNKVSDTQTHQIAARKEKQMETLKAALGIGSETDKQKKQQDAWVLDSEGSGEDEKIRSGILVDAAGYGNIHEKDTKKEKVQDTKSKKNDSERDTTKNRKKKQGRRRGEDSSDTDTEGKHARETSGKRGKNRRAISSDDSDSDSDIEERRQKSRRKHRSSRKHDSSSSSPESNSDSSSESESDYSSDEKLKHKSQKVKQLSRYKRYDSEDEYKRYGSEDEYDIGKRKSDKEKKRKETRKTLVKTDKRSRRHDTDEDSSDSDYDGKRRTKRGRRHDSDEDDYEIRGKASLEKSSRSDSDSETSDQGRGRYEKFKSSEKSRGGNENNVSGRGGGSVVNETFKKLEQLQQSKHDVMDGSRQGNQETTRGKKKMDDEDREGKLEVKSRRRDSGKEADNGKMTEDRNVGALEGITVSCSMGIGEGFRTLKGMDRIEEEIGMKITIGEESTKEMKRKKHIGIVKKMGSIKKRGWRKSMGAERMIGIENMSRPREVDMMICIPVEKDDMMMIGVMSGGLGTETWFLPCSAGFSDSLLGLGLLRICIVARRPAFI >CDP03427 pep chromosome:AUK_PRJEB4211_v1:3:22177543:22183292:-1 gene:GSCOC_T00015172001 transcript:CDP03427 gene_biotype:protein_coding transcript_biotype:protein_coding MADAILGSTVQVLVEMAINFARGKIGQSSELEKDLENLKRTLTLIQDVLHDAEKRQVNERSVKHWLEDLECVAFDAENLLDTFKYEMIRREVENQNQRKRKFGFFSFSRSDSSQNVARDATAFMENRETDSVTTDTSFVGRDDNVSAIVTELTATSNNETISVLPIVGMGGIGKTTVARKVFHDLNIEKHFDERMWVCVSDFEKHFDANRLFGLMLESLNGVRPEVASKEAKVRKLKELLDGKEPNGKKPLKYLLVLDDVWSEDPAPWDGFLDSLRGISSAKGSWILVTTRSKQVATITAISSRPCSLEELSDYDCWLILEKKAFGSREAPDDLKELGLEIAKKCQGLPLAASVLGGLLRNNGSDVWQSLLDTRLQNIGGDGDSYITKILKLSFDHLPCPALKKCFAYCSIFPQDFEMERNQLIQLWAAEGFLHSNPRNRMSMEEVGNRYFTILLERNLFQDAEEDDYGNVLNCKMHDLVHDMVQSISDSKTLRLKESTEADFHDKTFRCITTLFLLENRSIDDDGLINFLACLRVLSTASSDAKELPKSIGKLSHLSDLTPLEIGQLRSLQTLPFFNIGIEAGRQIGELRNLKNLSGRLQLRNLELVKSKEEAESANLIGKPNIDELRLLWNEIDNSRNNDSEYNQVLEGLQAHPNLKGLIIERFFGDRLSKWIGELGRLVKFKLQNCKNCKELPTLGNMPFLKSLRLKGLDGVTSIGPSFYGRSGVHNLSLDDMSNLREWMEAIVDDGTVVVFPVLHTMRITNCPQLATFPNHFPRLEVLKIRKTQNGSALMTYICSAVSTLTRLSIWSVNGLTKVPNVPQTSEGPNCQSVLEHTSIDNNAPQHLAGLESLEELVVWNCPSLESISIPKGRKYLAALRKLWIKSCKGLIHLSIPQISESERDSTSSPFSSSECKKLTDLPKGKLCSLTSLGTLIIGPFSKTTTELHSSPGLFDALPPPHPYFPSLSYLRLYGWPHWESLPEQLQQLSALTELLVDGFGVKSLPDWFGKLSSLKELNLYECEKLENLPSHRSITSLTKLGGLWIRDCPLLQERCNPVSSSSSSDPMSEWSKISHIPFIVIDRKNIRG >CDP10045 pep chromosome:AUK_PRJEB4211_v1:3:23512669:23519622:1 gene:GSCOC_T00030616001 transcript:CDP10045 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNFVKKVHAVSRLEEQEGSDNHLAAANVDVDIREVYFLIMRFLSTGPCQKTFTQILDELLEHELLPRRYHAWYSRSGAQSGHENDDGVSFPLNYDNLVKRFPHIEDDHLVKLLRQLMLSTSTPLPCIVGRTVPSASDVPTLLGTGSFSLLCSDRNSVSKQVKHVASYLRWPHMLADQVRGLSLREIGGGFSKHHRAPSVRFASYAVAKPSMMVQKMQNIKKLRGHRDAVYCAIFDRSGRYVITGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNILVASASNDYSIRVWRLPDGFPISVLQGHTGAVTAIAFSPRPSSSILDAFGCCDRASSLVDLRSLLLVIDKPCKLPRRYLRELGPEFEYCWLTFLSVSFQAFKWLIFIDSFSDSFLVSTIVACYLLAQRRYQDFHNVLLDVYIIYSESSLSLTIYLYTHLPAYLSSCPSLFFFFVKIYLVVYLIIYLSIYLCLYLFYTHTPTQSSWAHIGCLLYFIYLVLVLCLHAIYFQLYYSGSMCFCGGIFFRKLSFSLSLLVFNLCRSSDDGTCRIWDARSSDCSPRVYLPTPLEVVSGKTSSLPLANVPSSSNVSQCHQILCCAYNANGTVFVTGSSDTHARVWSACKSNSDDPEQPSHEIDLLAGHENDVNYVQFSSCAVASRSSASDFSTEENIPKFKNSWFSHDNIVTCSRDGSAIIWTPKSRRSQVTLTMTESLMTFGASLQF >CDP19270 pep chromosome:AUK_PRJEB4211_v1:3:15702290:15702709:1 gene:GSCOC_T00000042001 transcript:CDP19270 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMSKSSKIQFIFLLLTVLFIAVRSSQFNPLLLPSDLAQNNNNNNNDGYDDHLCPRVTEPAPDSCPVKCFRTDPVCGVDGVTYWCGCADAHCAGTRVAKLGFCEVGNGGSGSVSGQALLLVHIVWLILLGIFVLFGLL >CDO98902 pep chromosome:AUK_PRJEB4211_v1:3:1700691:1710572:-1 gene:GSCOC_T00025859001 transcript:CDO98902 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTRAMKESSPSSSSSSSEEEEEPLSNSSEDEEVERGVNINDQVEEEEDEEELEAVARTADDSDEEENDAAANDGGGEPDDDDEEEGNGNDVGTAVAKREKERLKEMQKLKKQKIQEILDAQNAAIDADMNNKGKGRLKYLLQQTELFAHFAKGEQSAPQKKAKGRGRHASKLTEEEEDEECLKEEEDGLSGTGNTRLVAQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEYRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPDERKYIREELLVAGKFDVCVTSFEMAIKEKSALRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYLYCRIDGNTGGEDRDASIDAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDSADFYDFDDEKDENKFDFKKIVSENWLEPPKRERKRNYSESEYFKQTMRQSGPARPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQLKDTIDVEEPEDVGEPLTAEEQEEKERLLEEGFSTWSRRDFNTFIRACEKYGRNDIKGIATEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKSAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVERENQEFDERERQARKEKKLAKQNMTPSKRAMARQATESPPISKKRKQLLMDDYVSSGKKRK >CDO99048 pep chromosome:AUK_PRJEB4211_v1:3:2807900:2811348:-1 gene:GSCOC_T00026062001 transcript:CDO99048 gene_biotype:protein_coding transcript_biotype:protein_coding MMALVLPLGSVPAPMYSTLLTHVLIFLCIFSALCLSSDHEIHPLDSLTASELTQVQTIVNNSICSTSCQSLSFHYVGLHEPDKPIVLSWLSDKDSTKVPPRQAFVLARINFKNHELIVDLNASAIISNKVHDGHGYPLLNFAEQSAANRLPLTYAPFIASIKKRGLKLEEVICQSFTIGWFGEQKTKRIVREMCYYLDGTINLYMRPIEGITLTVDLDEMKIIGYRDRLIVPVPKAEGTDYREVKQKQPVSSPIKPITMLQPHGPSFNIEGHVVSWADWKFHLDFDMRVGPILSLASIYDLEKDESRSVMYRGFISELFVPYMDLTEEWYYRTYFDSGEYGFGLCAAPLLPSSDCPENAVFFDGYSMSQDGTPRKLPNIICIFERYAGDILWRHTEGAIPGKVFTEVRPEVSLIVRMVSAVGNYDYIVDWEFRQTGTIKVNIGLTGLLEVRAEAYTYKDQIGEEVYGTLLAENTLGSYHDHFLNFHLDLDVDNPANSFVKTKLQTTAVMDKRSPRRSYWTVVSETSKTESDARINLGSGAAELLVVNPNKKTEMGNYVGYRLLPGSVVNTLLSDDDYPEVRAGFTKYNVWVTPYNKSEKWAGGTYADQSRGDDNLAVWSLRNREIENKDIVIWYTLGFHHVPYQEDFPVMPTINNGFELRPTNFFEHNPVLKVKQLAKVKWLKCCKECRHNLTADIDPLA >CDP11154 pep chromosome:AUK_PRJEB4211_v1:3:7935662:7936334:-1 gene:GSCOC_T00033216001 transcript:CDP11154 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTTNQQKSTDEKTPLEVDHEEQQDKVQETTCQHAFLRLPPGRRSILFRRNPSGTTHQVPNPEDGSAPRVLPANNTSSTAETRISAVS >CDP10036 pep chromosome:AUK_PRJEB4211_v1:3:24167112:24168336:-1 gene:GSCOC_T00030601001 transcript:CDP10036 gene_biotype:protein_coding transcript_biotype:protein_coding MATNYFKSIHHHHHRSLRRRHSIDCPRTTFSSTSSSFLKPTTTKTTNTLSLSQSIFATFSLSSTTSTSSTTPSTSQTISFDLLQQHLSLKDFRQADEETRRLLIILAGEAAQKRGYVFFSEVQFISEDDLRTIDELWRKSSNDKYGYSVQKKIWNKVSRDFTKFFLKVGWMKKLDTEVQQYNYRSFPSEFTWEMNDETPEGHLPLTNALRGTQLLNRILSHPAFEGDEEEEEEEEPLIQEKGAENGGLRSSSAIPPLSKNIFKPDYSF >CDO98912 pep chromosome:AUK_PRJEB4211_v1:3:1760157:1763924:-1 gene:GSCOC_T00025872001 transcript:CDO98912 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVAMFASMNTLTAPSKQDFFLLKSQMLVLSSSGNKWFVGKLYLCGQQAQEPCQTFWFPAVLSRVKVMKQECLQKE >CDP08135 pep chromosome:AUK_PRJEB4211_v1:3:31423223:31424803:-1 gene:GSCOC_T00026872001 transcript:CDP08135 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPRKLFSLALPFLFFFLSSASTITYNVRKFGAKPDGKTDSTKAFRSAWAAACASVMSATIYVPQGRYLLGAASFWGNKCKNNAIKIRIDGTLVAPSNYRTIGYAGNWLKFERVNGVIISGGTLDGQGSSLWNCKASKSNCPGGPTTLAFYNSNNIVISKLTSLNSQMFHVMLYGCQNAKLQGMNISASATSPNTDGIHISGSSGVTILGSNIATGDDCISIGPGASNLWIENVSCGPGHGISIGSLGWDMQEPGVQNVTVKTVTFRGTQNGLRIKTWARPSNGFVKRVLFQNAVMLNVRYPIIIDQSYCPTKNNCPNQGSGVKISDVTYHQIHGTSSSQVAIAIDCSKDSPCSGIRLQDVNLRYMNQPAQVSCSNVAGTSTGFVQPKGCL >CDO98780 pep chromosome:AUK_PRJEB4211_v1:3:747569:747727:1 gene:GSCOC_T00025700001 transcript:CDO98780 gene_biotype:protein_coding transcript_biotype:protein_coding MISLQKNSALAGAVTGAALALTSDGHSHEQIVQCAITGAAISTAANLLTGIF >CDO98842 pep chromosome:AUK_PRJEB4211_v1:3:1175010:1180202:-1 gene:GSCOC_T00025777001 transcript:CDO98842 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRRMHQQMPWITCRRWLYSSTAPTRPPVATGGPGQGLTTTLSLGSTSQASIVPCSTMSFLQYIKLNESNYEFGMRRCCFSTQVVVDSPVGGIVDIPLAQTGEGIAECELLKWFIQEGDEVEEFQPLCEVQSDKATIEITSRYKGKVSQILHVPGNIVKVGETLLKMIVDETACPMQTLHPLDNLNCNGLEGDSPSSVLQRSAIKKVLSTPAVRSLTKEYGIDINDVVGTGKDGRVLREDVLNYVAKKENIKDPTSLGAYSGEQSQVADNSFGGISGAYGWEFEDKTLRFSGNLVFLIEDCQSISSQYVYMGYQRAMIKSMSSAAKIPHFHYVEEINCDALVELKSSFHNENSDPDVKFTFLPILIKSLSMAISKYPWLNSSLNEELNEVTLKGSHNVGVAMATPHGLVVPNIKKVQSLSILEITKELSRLQQLALANKLNPDDITGGTITLSNIGAIGGKFGSPLVNVPEVCIIALGRIQKVPQFAEDGNVYPMSVLTVNIGADHRVLDGATVARFCNEWKLYIEKPELLMLHMR >CDP10023 pep chromosome:AUK_PRJEB4211_v1:3:25233905:25243976:1 gene:GSCOC_T00030564001 transcript:CDP10023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43360) UniProtKB/Swiss-Prot;Acc:P54967] MISKIRLIGRPLSAAVLALPQLPTYSNCYSTLVSPSATTASSSAAAIEAERSIRDGPRNDWSRDEIKSIYDSPLLDLLFHGAQVHRHAQNFREVQQCTLLSIKTGGCREDCSYCPQSSRYDTGLKVQKLMNKDAVLEAATKAKEAGSTRFCMGAAWRDTIGRKTNFNQILEYVKEIRNMGMEVCCTLGMLEKQQALELKRAGLTAYNHNLDTSREYYPNIITTRTYDERLETLKYVREAGINVCSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALLAVKGTPLQDQKPVEIWEMIRMIATARIIMPKAMVRLSAGRVRFSIPEQALCFLAGANSIFTGEKLLTTPNNDYNADQYMFKLLGLIPKAPDSSELALKDVEAEDIEEVISSSG >CDP10865 pep chromosome:AUK_PRJEB4211_v1:3:27940664:27945833:1 gene:GSCOC_T00031795001 transcript:CDP10865 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEFMICVDRLIASSSASSAAAACFDSPVNEVSNSERVQDINGAMEGLKTQESEKSNGEFGNEKDGFLRECRICQEEDEEQDMESPCACTGTLKFAHRKCIQRWCNKKGDIRCEICNQMFSPDYISPPKHADVVAIDIREAWGSNFNLQDPHFLAFAAAEQQFLQSEYEYYATTNSSTLACFRSVVIVVMLLLLIRHTLLVTRDFGMVQGSSTFYRYQILLLQLAGFLLPCYVMACSWYIAQCRRRRQVC >CDP03453 pep chromosome:AUK_PRJEB4211_v1:3:21417093:21419200:1 gene:GSCOC_T00015219001 transcript:CDP03453 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGNITSVLKKIHREGGRKIGILTLGPLGCFPRLRLANVAAGGNGECLEQATALVKLHNPLLSQKLQLLQKQLKGFKYSYFDFFTVYSETIQYPAKYGFKEVKSACCGSGPFRGNFSCGGKRGVKEYELCHNPKDYLFFDAEHPSEAANFLSARLMWGGPSNVTGPYNIRSLFMR >CDP03417 pep chromosome:AUK_PRJEB4211_v1:3:22741260:22747890:1 gene:GSCOC_T00015151001 transcript:CDP03417 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVDALLGSTTEVLVEKAINLASEQIGQFVGFKKDLEKLKDTLTGIRAFLRDAEKKQVTEDSVTRWLEELERVAFDADNLLDDINYEMIRRKVEIRNQMKRKVCLFFSVSNPIAFRCRMAKKIQKINMDLTSIHERRTQLGLQSQNGVRDAPALSPPSGEAFMKDRETDSVTVGASFVGRDDDVSSIVTQLTATCNNETLSVHPIVGLGGIGKTTVAQKVFNDSNIKNHFDKRMWVCVSDIEKKFDANKLFGLMLEKLGVPMAEVAGMDSREAKVQKLKEMLDSEERNGKKSLKYLLVLDDVWNEDPASWNRFLDSLRGISSANGSWILVTTRNEQVATITTISSRPCSLEKLSDHNCWLILEKKAFGSRETPDDLKELGLELAKKCQGLPLAATVLGGMLCNKGSDVWRSILETGLQNIGGDGDSYITKILKLSFDHLPDPSLKKCFAYCSIFPQDFQMERNQLIQLWAAEGFLHSDPRKNICMEEVGNGYFTILLDSNLFQDAEKDDYGNVLYCKMHDLVHDMLQSISDSKTLRLKESTEADFHDKTFRSIDDGLIIFLACLRVLSIASSNAKELPKSIGKLSHLRYLDSSNTPIETLPDSLCKLYNLQTLRLRDCESLRKFPNNFKNLVNLRHFDFFYFDSSSDLMPLEIGQLRSLQTLPFFNTGKEAGRQIGELRNLKHLSGQLVVRNLELVRSKEEAESANLIGKPNIDELILLWNEIDTSRNNDSEYNQVLEGLQAHQNLKGLIIERFFGDKLSTWIGKLGKLVKFELQDCKNCKELPTLGNMPLLRSLHLEGLDSLTSIGPSFYGGSGMHGGSSSQRALKLFPALEYLNLEKMQNLSEWTEATVDDGTVVVFPVLHAVWITDCPQLATFPNHFPCLETLNIENTQNGSSLMTYICSGELRVNNCNNLISFPIDLTRTPSLFWLDISLCEKLTNLPKGKLCSLTSLRYLYIGPFSETTTELHSFLDLFDALPAPHPYFPSVSWLLLYGWPHWESLPAQLQHLFALTTLGLYGFGVKSLPDWFGKLSSLEELQLSNCRKLENLPSHQSMRSLTRLRKLWITHCPLLKERCDLESSSTGPNSERSKISHIHYISIS >CDP16552 pep chromosome:AUK_PRJEB4211_v1:3:25564049:25565156:-1 gene:GSCOC_T00018942001 transcript:CDP16552 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCWDFLLMLMLLLHFALLHKDWSAFFVSSCCKELSFVVIFFYICSLYCFRLADHSLSFFFFFFRFLLRLSGGGSLVRDGHGRFIFGYFYFLNQKIPRFVDYFLNEQKTPFIALKTGDNITEIGMKGKRLKKNWKAFILQHQLQHNDVLIFIPESMTVFPVLIFDSKGDEKIFPLVPCISYPLL >CDP08075 pep chromosome:AUK_PRJEB4211_v1:3:30506385:30516121:1 gene:GSCOC_T00026780001 transcript:CDP08075 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKAKYCKSLHPCQVELKSTDSAIWRRMVNVSRQVELSMLWDGSCHFWYDNWLGSGALFLQAQVIPNLTFRNFVINGHWDLNSLGLNMPKEKISSIVKHIPPEEGSEVEVIWMPTTSGRFSLQLAFEDIRQPRNKSMVFASIWHPRIPWKVSFFMLRLFLGRLPLPDRLCKLGFHLPSKCLCCSSASAESIEHLFANGQIASEVWNYFRGLCGFSCSGSSLRSCIVGWWLRLHDTETRQLIDRILPNIVCWQIWKARNKAMFEGVQMRSSVICHLIFSEIQSIVGIHFKQVFRFQSFCHLYDRSDVSVGTVAYKFVRWETKEIGRLILNTDGCSKGNPGVGGGGGVLRDPTGSPLIAFSAYFGETTSLRAEAWALLIGLQTCKHRGFENISVQSDSLLLVGIIQRSTQCPWQIRREIRQIWKLLQEPIHFSHCYRETNTVADALSNVGVSHPHQKVKVYDSFTMFPREARGAIRLDKLGLPSIRKIRLVKKKKNGGDEKQQQNLVDVLLEIQGKDATNFAFERDSLKAIIMDMFVGGTDTTSSLLEWALSELLRNTNAMQQLQKEVRQFLGSKSCIQEDDLENLHYLKAVIKEALRLHPPVPLLLPRESSQAVKLMGYDIAAGTQVIINAWAIGRDPKLWEAAEEFRPERFLNSSLDIKGQNFEYIPFGSGRRSCPGSAFSMFSISENNLEMYTFPIEEIRALFLKHSISFFLPFLMIIYVLKWRNSTSNTSQNLPPSPPKLPIIGNLHQLGSIPQRSLKSLAQKYGSPMLLHLGRKPVLIISSPDAAEEVMKTHDLIFATRPKPVFAGRLLYNFKDITFSPYGEYWRQVRSICVLQLLSNKRVQSFRNIREEEMEIMLEKVRESCASSSVIRIDEILATLTNNIVSRVAIGKRYSGEESGSKFKEIFEDFTMLLGAFNVGDYIPWLAWINNVNGLEAKMKKVVYDFDEYLEKIVEEGMKRQEEKESGSDGNDKKQQQTFVDVLLEIQKTSAAGFAFGRDSLKAIILDMFVGGTDTTSALLQWTISELLRNTNAMHKLQKEVRQLPRCKSGITEDDLENLQYLKAVIKETLRLHPPVPLLAPRESRKASKIMGYDIAAGTQVIINAWAMGRDPKLWEDAESFWPERFMNSSVDIKGQHFQFIPFGAGRRSCPGTAFAMVTAELALAKLVCSFDFELAGGARPEDLDMTEAPGIVTPRKIRLLLLASLPN >CDP11207 pep chromosome:AUK_PRJEB4211_v1:3:9257776:9258601:1 gene:GSCOC_T00033308001 transcript:CDP11207 gene_biotype:protein_coding transcript_biotype:protein_coding MANAQKWSSGTSILSLPTEVLFEVLARVASSSSTDLFRAKLCCKLFNEVSDAKNIYQRVSLDKFEIVPWQKNHKVSSFLKKCRESKNSEALYRKGVVDFFSDKHEDSALENLEEAANSGHADAAYALGIIYIFVGGDEFFPKGMRLLMKSRILKGRVSLCRQKLRALLRMIWVNGSRILCF >CDO99103 pep chromosome:AUK_PRJEB4211_v1:3:3227298:3229521:-1 gene:GSCOC_T00026132001 transcript:CDO99103 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSRKGGDVENANNGQLYPGMMENPQMRWAFIRKVYVLLCLQLLCSFAVGMVMFFNPTIKHFLIANPLGWVFVLVACILTLISSLLMSCFGNKHPWNYVLALLFTLSMSFMIGVACAYRRGEIVLQAAALTVLVTAGLTLYTFWAAKRGQDFSFLGPFLLCALLVLMAFSVIRILFPMGRLGSLIAGSAAALVFSGFLIYDTDNLIKRFDYDEYLLAATALYSDIVNLFLAFLSILDGE >CDO99363 pep chromosome:AUK_PRJEB4211_v1:3:5930155:5932117:1 gene:GSCOC_T00026502001 transcript:CDO99363 gene_biotype:protein_coding transcript_biotype:protein_coding MELILGTFTTTAASISSRSLIISKSHKNPPIDSQELPWKSQKLLRPTEKTGSLVSLCRVNKVYYHKNASRKKKFDLQPVWRFNKRFTFQQCSKSLSKLSAKFNERFLLSFCRVVCGVMLAVTLSAAVSKSPCWALSEENLLFLEAWRTIDRAYVDKTFNGQSWFRYRENALRNEPMTTREETYAAIRKMISTLDDPFSRFLEPEKFKNLRAVRNTKFSDWSRAVNWLSYRKGWIILWTGGYFSCSRRSCI >CDP11194 pep chromosome:AUK_PRJEB4211_v1:3:8819089:8827364:1 gene:GSCOC_T00033288001 transcript:CDP11194 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIGNLSKLIYLDFSVNEFPIPPEIGTMYGIVNVYLKYNNLTGPIPAIFDNLDRLINLYLFQNHLSVPKSHGNFTNLMHLFLFNNQHSGSIPKNLGDLKFLLDMELGKNQLNMLNSKVVMASFEFISIFIMVLLFPSSHPKAKGVASDSASEAAEYSIKGSLYDFPFSSLPNLEYLELSLNQIFGSIPREIGNLSKLIYLDFSVNELSQEIPPEICNLRNLTHLALGSNQLSGPIPSGIGTLHNLIELYLDNNTLIVNLYLFHNLLSGPIPPTIRNMISLQFLILSQNNLSGGIPNSLGTLSNLIGLLLYDNQLSGPIPKELGDLKLLTNMELGENQFSGSIPVSIGNLSNLEKLFLPKNQFSGSIPQELGNLKKLAILVLDQNHFSGPLPELLCQNGSLQIISVSENMLTGGIPLEFGNLSQLHALDISSNFLSGEIPRVELGMLTELLYLDLSTNSLNGSFPEHLEDLKHLFYMNLSNNIFSQKIPFEIGKLTQLSELDLSKNFFAGEIPSEFRSLQSLGTLDLSHNNLSGLIPKALAELPGSLQINISFNNLEGPIPSGRAFVNLTIEEVKGNKGLCGNITGKKLVLVVVFPLLGSFILLGAFFGIPKLRDQRKKISRIEDTDVKKCHLFAIYGYDGKALYKEIVLTTQEFSEVFCIGKGGYGSVYRAQLSSGDVVAVKKLHNLPEMASHRSFLNEIRALIEIKHRNIVKLLGFCSNSQHSFLVYEYLERGSLAKILSIEEEAIELDWQKRLKIIKGIAQALSYMHHDCSPAIVHRDISSNNILLDSEDEAHVSDFGTSKFLKKDSSNWSSLAGTCGYVAPEFAYTMKVTEKCDVYSFGVLTMEVIKGKHPGDLIAYLVSSKPEKIELNDLFDQRLLYPNQEIENILASVLKAARECLHVDPQSRPTMLFISRLLSTYCTQSV >CDO98838 pep chromosome:AUK_PRJEB4211_v1:3:1147619:1150162:1 gene:GSCOC_T00025772001 transcript:CDO98838 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIEHKNVNVNGLSIHIAELGQGPLVLFIHGFPELWYSWRHQILFLAAHGYRAVAPDLRGYGDTTGAPVNDSSEFTCLHVVGDLVALLHAIAPDEEKVFVVGHDWGAMVAWYLCMFRPDKVKALVNMSVAFIPRNPLAKPVEMLRAYGGDDYYICRFQEPGDIEAELAQMGCKDFLKKMLAYRTPGPLFWPKGKGFGDSPDGPVVLPSWLTEEDVDYYASKFDKTGFTGGLNYYRAVNLHWELTAPWTGAKIKVPTKFVVGELDLTYYMRGVQDYIHKGGFKNDVPLLEDVVVVKDAAHFINQERPDEINKHIYDFIQRY >CDO99455 pep chromosome:AUK_PRJEB4211_v1:3:7166852:7169283:1 gene:GSCOC_T00026638001 transcript:CDO99455 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEENYSGSIPGNFTGLDYTLEHHQQNQHQQLMKPQIGEVSGDDGSNQMVDYMLHNTTQQQPMSSGFCASTSLDKLSFADVMQFADFGPKLALNQAKTPEEETVLNDSVYFLKFPVLNEKLQDDPDHQALMFPRPINEESNSKVGEEGDQRPDQDQARGFGNTSVQLQFLGDNREKSPLTTETKNKRKRPRTIKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLYGDGPRPIGDSSSSVPIQQPQPPFFPGMPLSSDQMKLVELETGLHEETAESKSCLADVEVKLLGFDALIKILSRRRPGQLIKTIAALEDLQLNILHTNITTIEQTVLYSFNVKVASEARFTAEDIANSIQQIFSFIHANSSI >CDO98849 pep chromosome:AUK_PRJEB4211_v1:3:1242067:1243931:1 gene:GSCOC_T00025787001 transcript:CDO98849 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEVVQEDMGDGNMQCTDHPYKNSTPGGICAFCLQEKLGKLVSSSFPVAIFPSSSSSSSPSFRSDFGGGITSASSSSAIRPPHHGSSAASRHISLSNDCEYHTRRSKMPFSLSTHRRKKKKDGTGGVLAAANSDATSIVFKRSKSTTTPRRNGIHFLDADDVEDYSPHKRGFWSFLYLPKHSTAKKGERSFKDVSSYASSVSSASGVGAAAGGSTRSRDKKKEELVVVDENESPDQAALDRKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKPKVPSMHRNSGAGQDCIKERVKCGGIFSGFMVTSSSSSSSSSSYWVSSSTEDNVNGGRSASTPGSARHVAHGRSKSWGWALASPMRAFGKPSNHGKREASNKNAAPNLAAIPSLLAARG >CDO98758 pep chromosome:AUK_PRJEB4211_v1:3:569174:574876:-1 gene:GSCOC_T00025671001 transcript:CDO98758 gene_biotype:protein_coding transcript_biotype:protein_coding MENIGTKSAAAGAGAAAATPPAEELLKKIQELEEGHAHLKQEMSKLMISTTHEKSERQQQRSHSISPQRSRGPRRLGSGGAVGFEGGIVAAWKKGSASFRHSSPLRRESRSRDAYAAVGGGGGPTAVKFTDKQYLNILQSMGQSVHIFDLNRRIIYWNRSAENLYGYSAAEALGQDPVELLADAQDYAIASNIIDLVAMGQSWTGQFPVKNKKGDRFVIVATDTPFYDDDGTLVGIICVSTDSRPFQETKATLSGARQPEANSSFSRPKMLASAKLGLDPQQPLQVAIASKISNLASKVSSKVKSKIRTGDTSTDHEGGSEDNSVDHLSDHGISDAALSDHREDAASSGASTPRADVHPSPFGKSSQATYEELSPGNNSRGSGDEGEGKLGISKIITSKAEALISKTGLTWPWKGNDRENSESKATRFVWPWLHNDLENDLDQQKTSAAPAKPDNQETNRMVNNEASGSWSSSFNINSTSSASSCGSTSSSAVNKIDMDNDCLDYEILWEDLTIGEQIGQVLRRQDIKTICIITDYYKFRIGSCGTVYHALWYGSDVAVKVFSRQEYSEDAIFSFRQEVSLMKRLRHPNILLFMGAVTSPERLCIVTEFLPRGSLFRLLQRNMGKLDWRRRIHMALDVARGMNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKRETYLTTKTGKGTPQWMAPEVLRNEPSDEKADIYSFGVILWELATEKIPWDNLNSMQVIGAVGFMNQRLDIPKDVDPQWAAIVESCWHSEPPNRPTFQELVERLRDMQRQSAIQFQASRAGTGDGSQKEL >CDP19151 pep chromosome:AUK_PRJEB4211_v1:3:31647883:31653135:-1 gene:GSCOC_T00001655001 transcript:CDP19151 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKYLSLQVEFYFSDINLATTGFLYKIMVKDPEGFVPISVIASIKKIKTLTKSQSQLASVLKGSSKLVVSEDGKKVRRLHPLTELDMEDVQSRIIIAENLPEDHCHQNLLKIFSAVGSVKSIRSCQPSGPTVTSTSRAAKGDPVNFSNKLHAFVEYESVELAEKAVLELNEGNWRNGLKVRLLLKRSPKAAQARDKKAGHEGEYSFKDEDGFGPEHIQQHEKCLDDYVQPPNEPMWEEHGNNKEGGLRKSRSREFREVNENRGWGKGRGRPAYNSNNRGNHPGVASNVPLNIEQPTAAKQPPVPRMPDGTKGFSMGRGKPVAVRIV >CDO98966 pep chromosome:AUK_PRJEB4211_v1:3:2167960:2171104:-1 gene:GSCOC_T00025955001 transcript:CDO98966 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGREAWPLLVLAGTGAAIGIAITSCGLWGKVGFVVKKRRDYVLKVAYGDMQDDRISQLPDDILSIILSHLDLVEAIRTRILSRRWKNICKLRSSLDFDCNRMFGENGHHHNADFSRWIQSDALKGVRELMLSFHSCNCVDEYGFPLPFQLLFEAASLTRLKLMNCVLQSSFRCHNNSLRFLTLVKVPLDNGEFPIILSSCLNLQGLVVSHCKVPPKLDISGQCLRLRSLYIEFCPGLKEIDICAGNLYLFSCYTDQIIRCSLQFVPKLEELSLSFNGNGTVPCVFGEVAKSCPRLKELLVQTKTDELLYMPAKMNMFSNLTMLTLLMTFKVQADLQTVAHIIDACPLLDLLHLLARSPRYIEQRGGTWPCRHHSHLKGVAFDGFRGTRYEIEFASYVLQTAAALEKMCIYSKYGTFNEHFRWQDHVDYVMKNEGRRLIYKQLVGQALSGKVELIIE >CDP10840 pep chromosome:AUK_PRJEB4211_v1:3:27195809:27197054:-1 gene:GSCOC_T00031739001 transcript:CDP10840 gene_biotype:protein_coding transcript_biotype:protein_coding MNILYHIRNKKVGLFVYDIFWIFFTPKMVSVAKSFDASIKISFLFPTADSAPPFSMLGLRDTVFPGLLALHFDVSQGKQNQYFKSAFVGYSVGLILDNKCHELVSSFCALVYCTGCHQIFGCVRRLEWGSKTSKSFVIMNLFYNCF >CDP08106 pep chromosome:AUK_PRJEB4211_v1:3:31070036:31070559:-1 gene:GSCOC_T00026831001 transcript:CDP08106 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGKTTIIQLVYKNENVVRHFDHRSWIHVSENFNVERFLNEVILLVLDDVWNEDTEIWDRMRKCLREIGGLRGSRILVTTRSERVVSIMQSSSIYQLSILPKDDSWKLFEKIAFGHSVGVVKTLELIDIGRKLLQSVVVFHWQ >CDO99223 pep chromosome:AUK_PRJEB4211_v1:3:4413134:4415314:1 gene:GSCOC_T00026295001 transcript:CDO99223 gene_biotype:protein_coding transcript_biotype:protein_coding MPDALRLLGPPELRHAQPSVSSADGVETANSATVMSPENPFKFPTENQNPTPQDLIDMINTQYKDLNIDRHPFMGFTENMSPTFLSSGNPCLDFFFHVVPDTKPEDLIGRLQLAWAHNPLTTLKLICNLRGVRGTGKSDKEGFYMAAYWLHCFHPKTLACNLRAIADFGYFKDFFEILYRILEGPLIRKIEKHKRMMVKRRENDVESMGMYCGRRGGRFRRGNRNRTQKEKEEKKGKTQEEIKEEQENARVLRREKEFIKAKKGLEKYNADEKYRLLHDTISDFFAELLRADFENLNAGKLGNISLAAKWCPTVDSSYDKATLTCESIARRMYPKEKFPEYEGIEESHYAYRVRDRLRKEVLVPLHKALELPEVYMSANQWNSLPYKRVASVAMKNYTKVFFKHDEERFKGYLEDVKCGKAKIAAGALLPHEIIGALEDGVGGEVAELQWKRLVDDMVKKGKLSNCIAVCDVSGSMYGIPMEVSVALGVLVSELSEEPWKGKLITFSDDPQLQKVEGETLLEKTKFVREMDWGGSTNFQGVFDRILEVAVEGKLSQEQLIKRVFVFSDMEFNEASMNPWETDYQAIQRKFRENGYQNVPEVVFWNLRDSRSTPVLANQSGVALVSGFSKNLLTMFLEGGGVVNPEEVMELAIAGEEYKKLAVFD >CDO99285 pep chromosome:AUK_PRJEB4211_v1:3:5054511:5057696:-1 gene:GSCOC_T00026381001 transcript:CDO99285 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSP1 [Source:Projected from Arabidopsis thaliana (AT5G35620) UniProtKB/TrEMBL;Acc:A0A178UEE3] MESSPSGKHQKLLNRPPLVLIGPPRLGYQNLRPKLTSHQNANLRETRGRNLETEREMASDAAAAVEVTTSADAPPATVDAAAKLPHKVERKWSFWCDNPSKPKQQGAAWGSSLRKLYTFDTVEEFWSLYDQIFRPSKLVINADFHLFKAGIEPKWEDPECAGGGKWTVTSNRKANLDNMWLETLMALIGEQFDEADEICGVVASVRQRQDKIALWTKTATNEAAQMSIGRKWKEILDVTDKISYSFHDDSKRERSAKNRYSV >CDP10270 pep chromosome:AUK_PRJEB4211_v1:3:11896733:11899565:-1 gene:GSCOC_T00030944001 transcript:CDP10270 gene_biotype:protein_coding transcript_biotype:protein_coding MERENLQMPYGSGIGGFHNNELNCSSSEVQFQNCFFNPSWDNSLDQNDPFESALSSMVICNSGEISPQSYLGGGNNSTNTSCYSTPLNSPPKLNLSMMDHQIRGNLPIPGHHLPSHSSFAPFPTDPGFAERAARFSSSDRKFSKLSRSSTPENTEFGDSRENSSVSEQITTGETGNNGQNDANSRKRKSIARGKAKEPPSSSNSAKDANGASESNESNAKRSKSGEENADEKDATKAMADTNGTDKAAGDGNQKQNKENSKPPEAPKDYIHVRARRGQATDAHSLAERVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATINPRMEFNAEALVSKDVLHSRGSLPHNMYSSEASAAGFPYPMQPQNGTNLPSAAIPNGQDVPFSMNHLNAAISRNQGIHLPPLDNFGEAASQIPSFLEDDLHTVVQMGFGQNQTQNFHGIMPTAQMKVEL >CDO98845 pep chromosome:AUK_PRJEB4211_v1:3:1209202:1212634:-1 gene:GSCOC_T00025783001 transcript:CDO98845 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAKRMVGGAAPAVAKSLSDDRKSTSGSAPTSSAVGKKVIIKSADMKEDMQKEAVDIAIAAFEKNGVEKDVAEHIKKEFDKRHGPTWHCIVGRNFGSYVTHETNHFVYFYLDSKAVLLFKSG >CDP10317 pep chromosome:AUK_PRJEB4211_v1:3:12696145:12697058:1 gene:GSCOC_T00031008001 transcript:CDP10317 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTSSYEVTSSIPPARLFKATILEEKHLHKILPQGVKSVEILEGDGGVGTIKLTTFVEGGELKTAKQKVDGIDKEKFTYSYTVLEADGFNDVIEKICCVIKFEPSADGGSICKTTNTYYPKGGAQISEEHVKGGKEKGLGMVKAVEAYLHANPTAYN >CDP08134 pep chromosome:AUK_PRJEB4211_v1:3:31402581:31407189:-1 gene:GSCOC_T00026871001 transcript:CDP08134 gene_biotype:protein_coding transcript_biotype:protein_coding MLASYCPTVGHHTKLGERGRGPPMHINLEKEEATYGLPGITAYGGFFDVCHHKKGEKVFASAAAGAVGQLVGQFAKLTGCYVVGSAGSKDKVDLLKNKFGFDDAFNYKEEVDLDAALKKYFPEGIDIYFENVGGKMLDAVLQNMNMNGRISVRGMISQYTFEKPEGVHNLMWLFYKRTSFVPFAEFVLPQIQENRLIYVEDIAEGLGSGPAVLVGLFRGRNVGKQLVLAACE >CDP10290 pep chromosome:AUK_PRJEB4211_v1:3:12207012:12211004:1 gene:GSCOC_T00030970001 transcript:CDP10290 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFYGPPKPEPDMIKVIHYAISRGITHLDTSDFYGPHTNELLLGKALKEGIRQKVELATKFGIRPVDGKREICGDPAYVRAACEGSLKRLGVDSIDLYYQHRIDTSLPIEVTIGELKKLVEEGKIKYIGLSEASASTIKRAHAVHPITAVQLEWSLWTRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPTLIENFSEGDFRKNLPRFQSENMEHNKNMYERVNVIASKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLNQNIGALSVKLSAEDMAELESIASAGIKGDRLPPGFDSWKTSDTPPLSTWKAT >CDO98797 pep chromosome:AUK_PRJEB4211_v1:3:868555:868929:-1 gene:GSCOC_T00025720001 transcript:CDO98797 gene_biotype:protein_coding transcript_biotype:protein_coding MKETMASSHWSLTLDHRFQPIRAAKLSNHTNVVANPMYRIPDSSSSSCYGGSDTTPRNTRLTTSSTPASSNAKSSWQRGKDSEVKRKQRIASYKAYAVEGKIKASVRKTFRWMKNKYSYFVHGY >CDO98768 pep chromosome:AUK_PRJEB4211_v1:3:644694:649397:1 gene:GSCOC_T00025684001 transcript:CDO98768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MHSFSSPPPSSTCTSSKYTLLSPQNSNPLIFHLVVPFKTLHPSSSHSQLSPVCSHESSSPPLLQESPQRFQPQADDIIVREDDFEVAEKIRTAKKSLEELLVVRRPVKEITAEEEEEEEEEEELIESEDEEVEEEKSSVSSMPFDAKLSKFAKKMPIFEPERVEQIPGGKPLTINLDLALYRAKILARNFQYQASEKILQKCIYYWPEDGRSYVALGKILSKQSKMGEARAVYEKGCQATQGENPYIWQCWAVLENKMGNIRRARELFDAATVADKRHIAAWHGWAVLELKQGNIKKARNLLGKGLKFCGGNEYIYQTLALLEAKARRYEQARYLFRQATKRNPKSCASWLAWAQLEAQQENNPAARQLFEAAVQASPKNRFAWHVWGVFESNLGNIDKGRKLLKIGHTVNPRDPVLLQSLALLEYRYSNANLARMLFRKASKLDPRHQPVWIAWGWMEWKEGNISTARDLYQRALSIDSTTESAARCLQAWGVLEQRIGNLSAARRLFRSSLNINSQSYVTWMTWASLEENQGNSVRAEEIRNLYFQQRTEVVDDASWAMGFLDIIDPAIDSIKKLLNLGQNPYKKAVNSLTGSPGAENGDSGEESAGRSSDYVKGTRSEEEFDLDKFVREKLSLDPSELEVQLGTLEKVTAVSIKSPRKVSLQQRTAARPSPEP >CDP10822 pep chromosome:AUK_PRJEB4211_v1:3:26577689:26579417:-1 gene:GSCOC_T00031711001 transcript:CDP10822 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRLLSLAIYPILDIGSGGILLCMLICTCVFVDKYLIKTCISYYVDCVLETKLYFFFFLTIQLVQTCLLNVEILLLQDWIDQLPEEILGNILSRMAMKEAARTSILSRRWRNLWKVYGSHLDFDASETMRLMFDGVKERHIEAPKYRDWVNQVLDSHEGSSLERLRIAFDLGGSYIYATAVDKWIDFAIVKRVQRLEVDLTAAAGCYTCSDSVYTFPSWLLDLPSDFPSFDRLTVLCLKSVSITEEDLAYFLSTCPFLEQLTVENSSSLQNFRVSGQSLKLKHLEIGYCQKLQNVEVSAASLVSFKYAGPRINICLIEVPELALVSFSNQYCEKFIINSPSSLYLSRLEKLELDLRFTVISINSFPSNFPEFSNLKHLELKFVAVADESALFFSSIIGASPGLITLTLKYDIDWATRHQTLSNPEQARRYSHKCLNVIEFVGWAGIKTDVQLANYLLETAISLEKIIVDCRIPRYTRTSWNEELCPMPGNREAALLSAKELQRKLPPRAQLIIV >CDP08002 pep chromosome:AUK_PRJEB4211_v1:3:28829794:28830348:-1 gene:GSCOC_T00026661001 transcript:CDP08002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSINSPDCNNVAKSHYHTHKIFLLSNYILLGAASSCIFLLLSLRLVPSVSGFLLILLHVLTIAGAVSGCSAASGGSNKWYALHMVATVLTAIFQGSVSVLIFTTTSNFLGALKSYVREDDAAVILKLAGGLSIAIFCLEWLVLVMAFVLRYYGFVEGNNGSRAQGGGENRGKWASPFQPFQV >CDO98824 pep chromosome:AUK_PRJEB4211_v1:3:1050688:1063622:-1 gene:GSCOC_T00025755001 transcript:CDO98824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase [Source:Projected from Arabidopsis thaliana (AT3G16785) UniProtKB/TrEMBL;Acc:A0A1I9LQ40] MASTEHLVTGGGGGGGGPRYVQVQSEPLPMMMSSFFSFHHHHGAESARIFDELPKATIIQVSRPDAADISPIMLTYTIEFQYKQGTKRLVAYLGTFRYRQFHLKKILILQLFKWQLVKKASQVFYLHFALKKRAFIEEIHEKQEQVREWLQNLGIGDHTPVMQDDEEAEDETIPLRNDESVKNRDVPSSAALPIIRPALGRQNSMSDRSKVAMQGYLNHFLGNMDIVNSREVCRFLEVSRLSFLPEYGPKLKEDYVMVKHLPKIPSDDEHQGCCSCQWFSCCKDNWQKVWAVLKPGFLAFLKDPFDAQPLDIIVFDVLPASDGNGDGRVSLAKEVKDHNPLRHYFRVSCGIRCIKVRTKANAKVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSQAQWFVDGRVAFEAIALAIEDAKSEIFICGWWLCPELYLRRPFDAHASSRLDALLEMKAKQGVQIYILLYKEVALALKINSVYSKKKLLGIHENVRVLRYPDHFSTGVYLWSHHEKIVIVDHHICFLGGLDLCFGRYDSFDHKVGDYPPCNWPGKDYYNPRESEPNSWEDTMKDELDRQKYPRMPWHDVHCAFWGPPCRDVARHFVQRWNYAKRNKAPYEEAIPLLMPQHHMVIPHYMGINRDIDDEIKNDGNIRKRTKKQESFSARSSCQDIPLLMPQEADGLDASEGQLKLNGLSREYGFHDQASRPSKSPFSFRKSKVEPINPDMPMKGFVDDLDASHMLQELSSMQPGFKPSGNEWWETQDRSGQVDLADESGQVGPRVSCRCQVIRSVSQWSAGTSQIEESIHSAYCSLIEKAEHFIYIENQFFISGLSGDEIIRNRVLEALYQRIMRAYKEKKCFRVIIVIPLLPGFQGGVDDGGAASVRAIMHWQYRTICRGRNSILDNLYDHIGPRVHDYISFYGLRAHGRLFEGGPVASSQVYVHSKIMIVDDCITLVGSANINDRSLLGSRDSEIGVLVEDKELFDSLMGGKAWKAGKFASSLRLSLWSEHLGLRAGEVHQIRDPVIDSTYKGIWMATAKTNTMVYQDVFSCIPNDLIHSRASLRQCMVYWKEKLGQATIDLGIAPNKLESYQDGDIKCTDPLERLESVRGHLVSFPLDFMSKEDLRPVFNESEYYASSQVFH >CDO98760 pep chromosome:AUK_PRJEB4211_v1:3:582717:587716:-1 gene:GSCOC_T00025673001 transcript:CDO98760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytochrome B [Source: Projected from Oryza sativa (Os03g0309200)] MVYKFHEDEHGEVVAESKRSDLDPYMGLHYPATDIPQASRFLFKQNRVRMIVDCHATSVQVLQDESLMQPLCLVGSTLRAPHGCHAQYMANMGSIASLTLAVIINGNEEDSVGGRNSMRLWGLVVGHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLASQLSEKHVLRTQTLLCDMILRDSPTGIVTQSPSIMDLVKCDGAALYYQGKYYPLGVTPTQAQIKDIVEWLLAYHGDSTGLSTDSLADAGYPEAASLGDAVCGMAVAYITSRDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWETAEMDAIHSLQLILRDSFRDADGSNTKAVVQNQIVDNEFQGMDELSSVAREMVRLIETATAPIFAVDVEGRINGWNAKVAELTGLPVEEAMGKSLVRDIVKKESAETTEKLLLHALRGEEDKNVEIKLRTFGAEENKKTVFVVVNACTSKDYTNNIVGVCFVGQDVTGQKVVMDKFIHIQGDYKAIVHSLNPLIPPIFASDENTCCSEWNTAMEKLTGWSRGEVMGKMLVGEIFGSCCRLKGADAMTKFMIALHNAIGGQDTDKFPFSFFDRSGKYVQALLTANKRVNMDCQIIGAFCFVQIASPELQQALKLQRQQEKKCVSRMKELAYICQEIKNPLSGIRFTNSLLEATDLTDDQKQFIETSSACEKQMSMIVRDVNLDNLEDGSLELEKSEFLLGSVIDAVVSQVMLLLRERGLQLIRDIPEEIKTLAVYGDQVRVQQVLADFLLNMVRYAPSSGGWVEIQLKPSLKQISIGINILHIEFRIVCPGEGLPPELVQDMFHSSRWVTQEGLGLSMCRKILKLMDGEVQYIRESERSYFLITLELPMQRRGSKSVA >CDO98766 pep chromosome:AUK_PRJEB4211_v1:3:629852:630501:-1 gene:GSCOC_T00025682001 transcript:CDO98766 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVAFVLFSLFIVIIIVCSIQFQSGKSCEIFHEDGNRTTVIEPGKAYLKHRKFLIHDFCSNRDISISQSMYSTSGIPQYIVQIVNTCISGCAPSKIHLHCGWFASAKLVNPRTFRRLSYDDCLVNGGKPLRTGQIIRFTYSNSFMYPLSFKSAKFC >CDP15453 pep chromosome:AUK_PRJEB4211_v1:3:16939431:16940630:-1 gene:GSCOC_T00043188001 transcript:CDP15453 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVDALLGCTIEVLVEKAINLASEQIGQFVGFKKDLEKLQDTLKLIQAVRSHAEKEQVTKEFVKRWLENLERVAFDAENLLDDINYEMIRRKVKIQNQMKKKVCLFFSLSNPIAFRCRMAKKIQKINMDLISINEQATKLGLLRSQTAPALSPPSGAGFIKNKETDSAAIGVSFVGRDNDVSAIVTQLTTTNKNETISVLPIVGMGGIGKTTLARKVFNDSNIEKYFDKRIWVCMSDIEKHFDANGLLALMLESLKVPMAEVRDSREAKVQKLKEKLDGEKPYLLALDDVWNGGPALWDGFLESLRGVSSAKGSWVIVTTRNKRVADITAIPSDPWPLKELSNDHCWLIINKNAFGDREAPGDLKELGLELAKKCQGLPLAASVLGGMLRNKEINE >CDP11212 pep chromosome:AUK_PRJEB4211_v1:3:9305155:9307571:1 gene:GSCOC_T00033315001 transcript:CDP11212 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFLDEVLEVTGKFFKLPLDEKRTYSRDENGIDGYGNDVIYSDRQTLDWNDRLYLHVLPKSITKLKNGLALLKNLPHLPPPPTKTMHSANFDIRLRVMNEIIVKAMEKSLDLEENRFLDQFGESPIVLARFNFYPPCPWPDRILAAKPQGVASGATYLLQDKEVEGLQVLKDDQWWLVPLTPNAIAFNVGDQVEIMTNGIYKSPIHRVATNRTRERERDSGFVLCS >CDO98969 pep chromosome:AUK_PRJEB4211_v1:3:2178180:2180726:-1 gene:GSCOC_T00025958001 transcript:CDO98969 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLPVDSEVGESAHCCTFEEWAGQGANLLSSAVPSCSELGNSESLIGIPSLVRASCSQYNTSDEEDNRRKLDLPYSKIASPVLSWCREVKSLIATMDGPQTQRLLEQVAKEMLIHGFAGFHLLKGYSRKWKCSQQVFLYAERKDFRW >CDP10034 pep chromosome:AUK_PRJEB4211_v1:3:24423366:24429362:1 gene:GSCOC_T00030598001 transcript:CDP10034 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit gamma, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G33040) UniProtKB/Swiss-Prot;Acc:Q96250] MAMAALRREGRRFATPLMSANPANTLRSSLLPSEEQAILGVRSISTQVVRNRMRSVRNIQKITKAMKMVAASKLRAIQTRTENSRGLWQPFTALLGDLPSVDVKKTVVVTVSSDKGLCGGINSTSVKISRALHKLNSGPEKEAKYVVLGEKAKAQLVRDSKKHIELSMTELQKNPLNYTQVSVLADDILKNVEFDALRLVFNKFHSVVSFMPTTATVLSPEVVEREAESGGKLGDLDLYEIEGAETKGEVLQNLAEFQFSCVLFNAVLENACSEQGARMSAMDSSSRNAGEMLDRLTLAYNRTRQASITTELIEIISGASALTG >CDP16553 pep chromosome:AUK_PRJEB4211_v1:3:25565848:25571595:1 gene:GSCOC_T00018943001 transcript:CDP16553 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRPSTLANLSFRLLFAINFSVSHLSASKHFQNETDCLALLEFKNQIYDDPFEVLNSWNHSQHHCEWEGHLSGTISPHVGNLSFMRFIHLEENQFRGEIPQEFGHLFRLRVLNLSGNALSGKIPANLSYCSEMTAFSLMSNRLEGKIPINQLSNLKKLELLNIYSNNLTGEISSSIGNLPSLITVVLSFNNLGGYLPKEMRLLKKLAILRLGGNKLSGFTLPNLVVLAVGENKFYGNLPASITNASGLVTLDLSRNNFQGQVPANLGDLTNLQIINFSINLFGNNCTGDLDFVASLTNCSNLTSLSLSGNNFGGKFPKVMANLSNQLTKLYMGGNQQSGIIPEGFGNLTHSSLMHLMFGEDSFSGFLPREVGKLIHLVDLDVSQNQLAGGIPISLADCTNLENLYMQSNFFQGTIPPNLASLKSIQQLDLSSNNLTGSIPKELEKLQYLRYLNLSYNDIEGEVPNTDFQQCKSNIIDWQQQTLWRHSGIGVPTLPTRQRTQHPRFESRRGSASLKGESQNYQAVEDGKNRGKLKVIILPSIVLPATFLVLGAVLLYLLVYRIRERRLVARFSSMPTRIDELLRLSYHELLRSTSGFSPENLIGSGNFGAVYKGILEKLGNKLVAVKVVLDLQKNGASKSFKAECKTLRNIRHRNLISILSHCSNIDSKGDEFKALVYEFMENGNLDLWLHPETTDQATSCRITFFAHVGDFGLARLLPKPINRSSELGTGSTIAIKGSIGYADPPKYGMGVAASTLGDVYGYGILLLEMITRKRPIDDMFVDELDLHNYVNRALLGQVYEIMECVISLVKIGLKCSEKSPNDRMHMNEVVGKLHHIKDVFLGVSAYPKNLEA >CDP08027 pep chromosome:AUK_PRJEB4211_v1:3:29413726:29414046:1 gene:GSCOC_T00026701001 transcript:CDP08027 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVTVIWSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGQIVEEE >CDP11217 pep chromosome:AUK_PRJEB4211_v1:3:9346735:9346890:-1 gene:GSCOC_T00033324001 transcript:CDP11217 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSKSEFKEHGTSYVQRKYP >CDP10858 pep chromosome:AUK_PRJEB4211_v1:3:27797298:27798614:-1 gene:GSCOC_T00031782001 transcript:CDP10858 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDTTFVNLSIFMLLLASNVSAQSSFRPPALVLPVRKDASTLQYVTKINQRTPSVSLNVVLDLGGQFLWVDCDKNYISSTYRPVRCRSAQCSLAGNDGCGNCTNGPKPGCNTNTCVVFPDNTVTHTATGGEVAQDSLTAASTDGSNPGRSVTVPQFIFGCGSTFLLKGLASGTVGMAGLGRARIGLPSQLSAAFSFHKKFAICLSTSYTVDGVVFFGDGPYNFLPNVEAAGFLQYTPLFINPVSTAGVSSQGEPSDEYFIGVKSIRVGEKAVKLNNTLLTIDRQGYGGTKISTVNPYTVLETSIFKAVTNAFISEAATRNISRVPGVAPFEVCFSSENVLGTRLGASVPYIDLVLQGQNVVWTITGSNSMVYVNDNVLCLGFVDGGLNPRTSIVIGGYQLEDLLLQFDLATSRLGFTSTLLGAQTTCSNFNFTSTA >CDP08123 pep chromosome:AUK_PRJEB4211_v1:3:31304564:31306866:-1 gene:GSCOC_T00026857001 transcript:CDP08123 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEDNICKFAKRGMTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPNWKYESTTASTLVA >CDP15131 pep chromosome:AUK_PRJEB4211_v1:3:10513689:10515250:-1 gene:GSCOC_T00042711001 transcript:CDP15131 gene_biotype:protein_coding transcript_biotype:protein_coding MPREQKEDLLRRRREANATRKKNKQLPASGPTSATRLLHRRCGTDVEQVTSPHEGSPVCPDAPNNQCPPPTQKNFVAPAKGAQYGNAVVSLPSSAPRAPNTTEADVSVLAGLPAVPAHSANASKSKRGSKRILSRLDKIADKVLPLPYAPPCQYCGAQRFHMEPPNFCCSGGGVSLVSSSMPYDLRRLFTGEAEECEHFRRNARTYNNNVAFTSLGAKYDRELTKNRNGLYTFRVQGQVYHFLDGLQSRDDTASGIQLYFFDTDEELARRVAGSPKLRETTLRLLLHILADNPYAKFFKDLRDVPNLDDHRIVLNCNVNLDQRVYNLPTASQVAAIWTGDGDESTNASAHIQVYSHSDTSYKIKHFYSCYDSLQYPLLFPCGECGWHPGIQRVQEQNKKRSTRACKDQSIVDPASLTSASQLIDSEEKGKFSPV >CDO99116 pep chromosome:AUK_PRJEB4211_v1:3:3295833:3300005:-1 gene:GSCOC_T00026147001 transcript:CDO99116 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQAFKSEEQSQLQLVEREEIDDEEDLFEAIDKLTAHGINAGDVKKLQDAGIYTCNGLMMQTKKSLTGIKGLSEAKVDKICEAAEKIVNFGYITGSDALLKRKAVVRITTGSQALDELLGGGVETSAITEAFGEFRSGKTQLAHTLCVSTQLPVNMRGGNGKVAYIDTEGTFRPDRIVPIAERFGMDPGAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFTGRGELADRQQKLAQMLSRLTKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHASTIRLMFRKGKGEQRVCKVFDAPNLPEAEAISFPLNLTYSITPGGIADAKD >CDO99356 pep chromosome:AUK_PRJEB4211_v1:3:5796526:5800089:-1 gene:GSCOC_T00026493001 transcript:CDO99356 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGLNPPHYHTIPTLPLVSAPNFHQMPLLPALSRAIFSSSVHPLPRSFSSMSNFVTPSSSSVKARLRGVVFDMDGTLTVPAIDFPAMYKAVLGEEEYVSIRKQKPSGIDILQQIEDWSPDKQRQAYEIIADYEKQGLDRLEIMPGASELCAFLDSRSIRRGLITRNVKTAVDLFHERFGKTFSPALSREFRPYKPDPAPLLHICSTWEVRPSEVMMIGDSLKDDVACGNRAGTFTCLLDETGKYDSPKYEHVEYKPDYKVSCLADIHSLLEANFELEP >CDO99244 pep chromosome:AUK_PRJEB4211_v1:3:4660537:4665875:1 gene:GSCOC_T00026325001 transcript:CDO99244 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGRADGAPRKRLLTSVSIVAVLLVFLYVYFGSKSGGESALEYGSRSLRKLGSSYLGGDEDGDLGTKQDDSAKFSLEDGEDGFVAKSFPVCDDRHSELIPCLDRHLIYQMRLKLDLSLMEHYERHCPLPERRYNCLIPPPSGYRVPIKWPKSRDEVWKANIPHTHLAHEKSDQNWMVEKGEKIVFPGGGTHFHTGADKYIASIANMLNFSNNNLNNEGNIRTVLDVGCGVASFGGYLLSSNIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFEFAHCSRCRIDWLQRDGILLLELDRLLKPGGYFAYSSPEAYAQDDEDLRIWREMSALVERMCWRIAEKRNQTVIWVKPLTNDCYMERPPGTQPPLCQSDDDPDAVWGVKMEACISRYSDHDHRSRGSGLAPWPARLTAPPPRLADFGYSSEMWEKDMQLWQRRVENYWNLLSPKISSDTLRNVMDMKANMGSFGAALKDKNVWVMNVVPEDGPNTLKLVYDRGLIGTIHNWCEAFSTYPRTYDLLHAWSVFSDIEKKGCSGEDLLLEMDRILRPTGFVIIRDKQPVIEFVKKYLGAMHWEAVGTADSTSDPDQEGDELVFVIQKKLWLTSESLRDTE >CDO99438 pep chromosome:AUK_PRJEB4211_v1:3:6964114:6970515:1 gene:GSCOC_T00026612001 transcript:CDO99438 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNLFHCRRNSWPPEEYIHRSTLQLFDFDSAGPPEQAWRRKLDTHASILREFSITFVEAIKMIRLGIRLWSYVREEASHGRKAPIDPFTRESCKPSASHGIPLGGMGSGSISRGFRGEFRHFQLLPGACEASPIMANQFSIFISRDGGNKKYASVLAPGQHEGLGKSGDQGISSWGWNLDGQHSTYHALFPRAWTIYDGEPDPELKISCRQISPFIPHNYRESSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGVSHMSGDHVNEPFIGEDGVSGVLLNHRQVIKP >CDO99274 pep chromosome:AUK_PRJEB4211_v1:3:4933400:4934792:-1 gene:GSCOC_T00026365001 transcript:CDO99274 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLPQLNCHHPEHYSPLISSILSIIFSGVSPVSFPSSAIPFSRFFQHAGRLEVSCLKSNSGKHEQIQSP >CDP08110 pep chromosome:AUK_PRJEB4211_v1:3:31127995:31128168:-1 gene:GSCOC_T00026837001 transcript:CDP08110 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVSNKQVILKNYVSGFPKESDMEVKTTALKLKLPDGGDYSGAILVKNLYLSLC >CDO99324 pep chromosome:AUK_PRJEB4211_v1:3:5407663:5408116:1 gene:GSCOC_T00026438001 transcript:CDO99324 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGIEAAEYAAELQRVVRYLGVSNGNMQEGSLRCDVNISIRPIGQQEFGTKSSSGIAIYLKWRSYQTSIGYCQMEFFPLFL >CDP19087 pep chromosome:AUK_PRJEB4211_v1:3:31876558:31882494:1 gene:GSCOC_T00002112001 transcript:CDP19087 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLILSTTAFPSFQRKPSLLSTLVPSDATVKLAAATIPITSTKTKSPSHFGLLLHRSCCVNPYKGNNAVGVRTLHHDHDVDDSNDKDDAEVAGDHQLQKEDSASTDWEVKFLGQMGPFQNLPSEKRKEQQKSRLLQDTDKMDWCVRARKIALKSIENRGLTSVLKNSVNGNKKKRKKNKKKSKVEDVVIKELDDDSDFDIHEGDFNNVADLHSSDDTSDLREMVSMMADGMFQERKDKTMETFIQRISQFSGPSDHRKEINLNKLIVEAQTAEQVLEVTADMIMAVVKGLSPSPLSPLNIATALHRIAKNMEKVSMTRARRLALARHKEMSMLVGIAMTALPECSAQGISNIAWALSKIGGELLYLSEMDKLAEVALTKVGEFNSQNVANIAGAFASMRHSSPELFLGLARRASEIIDLFQPQEIAQLLWAFASLFEPADLLLDSLDHVFNNINQFKYSMDVVTKNASLETGREVNGEATSESNLCSPVLSFNRDQLGNISWSYSVFGQMERVFFNHVWRTLSQLEEQKISEQYREGVVFASQIHLVNLCLKHEFPHLQLSLKSDLEEKVARAGRTKRFNQKTTSSFQMEVARLLVSTGLDWVKEYEMEGYTLDAVLVDIKVALEIDGPTHFSRNSGVPLGHTMLKRRYIAAAGWKLVSVPHQEWEELQGEFEQLDYLRRILDDHICKEREHHSVW >CDO98786 pep chromosome:AUK_PRJEB4211_v1:3:798268:808002:-1 gene:GSCOC_T00025708001 transcript:CDO98786 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAGSEIHGFRTMKDLDIGNILEEAKGRWLRPNEIHAILCNYKHFNVQVKPVNLPPSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDNPTFVRRCYWLLDKSLEHVVLVHYRETQEGSPSTPLNSNSSSALSDPSQSLVISEESDSAADRAYYSRERADLDPNCSVNIKDHELRLHEINTLEWDELLVQDDPNKLIGPQEGKYSSELSYQYGMNGYGITSDSISDNKLPVESYLEKFPGQVSMNNSGNLNVPANLCFQTMGAPTANLLLKDSEQMTLFAGDSLEHASKDGLQTQDSFGKWISNVIADSPLPLDDTTLDSSMSTNQSFASPHMNIPQFSAAKQIFNITEISPSWALSSEETKILVVGYFHEGQSNLSRPNLFCICGNACAHAEPVQSGVFRCVVSPQAPAFVNLFLSFDGHTSISQVVMFEFRAPIVDNPAISEERSSWEEFEVQMRLAHLLFSTSRSFNILSTKVTPTALKEAKNFARKTSHIKNHWEFLTKSIKAKEMSFPDAKNCLFELTLQNRLLEWLLERVAEGCQISERDEQGQGVIHLCAILGYTWAVYPFSWSGLSIDYRDKFGWTALHWAAYYGREPMVAKLLTAGAKANLVTDPTSENPGGFTAADLASKNGHEGLGAYLAEKALVQHFQDMTLAGNVSGSLQTAKSDSVEPGNFSEDELYLKDTLAAYRTAADAAARIQAAFREHSLKVQTLAVESSNPEIEARNIVAAMKIQHAFRNFETRKKMAAAARIQHSFRTWKMRRDFLNMRRQAIRIQAVFRGFQVRKQYRKIIWSVGVLEKAILRWRLKRKGFRGLHVNPDEVVNNQKEENDVEEDFFRASRKQAEERVERSVVRVQAMFRSKLAQEEYRRMKLAYNNAAREYEEFENPDGMRED >CDO98908 pep chromosome:AUK_PRJEB4211_v1:3:1739460:1739702:1 gene:GSCOC_T00025868001 transcript:CDO98908 gene_biotype:protein_coding transcript_biotype:protein_coding MDGITFGVPIIALPMQLDQPLNARLAVEIGVGVEKGCGEDIRKKARELSERIQSNVEEEISAVVEQRSAEPRTRLQIEAY >CDO98976 pep chromosome:AUK_PRJEB4211_v1:3:2221608:2230753:1 gene:GSCOC_T00025968001 transcript:CDO98976 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLINFIIRPPRAEYNPKNDLLDQEFKLKRKWYQRKDLEVSNCRGEILQCSHYMPIGFSEGKALPCVIYCHGNSGCRADGSEAAIILLPLNITVFTLDFSGSGLSGGEYVSLGWNEKDDLRAVVDYLRADGNVSLIGLWGRSMGAVTSLMYGVEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIHYMRRAILKKAKFDIMELNTIKVAKSSFVPVLFGHAVEDDFIQPHHSDRIYEAYVGDKNIIKFEGDHNSPRPQFYFDSISIFFNNILQPPVDEVGGAFLDMSHDYFGRGSWSTVDEMRNSDELLSSPVGPGANSTEDAIKQLRSKRPVSRTEVPSGMSSKDEQSEAQGGGSGSDPVASSSKMISFELANGNPYGPHVPASIDDDEYVEYPLDNLADFPNNIEEEERMLMVAVLESLKDLEVKPPVVEEPSSNVGIRPPPEPSQKNDLQDPSTAAKSEPLTEESATTLEANPPHVAPQIPLTDARNESSGTPATTVLGPQAAESNGPSPSSDARVSNQSSSDSDVVGTKATVTVVKNPSSNIMDGLLRRWDLNFFRNK >CDO99228 pep chromosome:AUK_PRJEB4211_v1:3:4508305:4511114:-1 gene:GSCOC_T00026303001 transcript:CDO99228 gene_biotype:protein_coding transcript_biotype:protein_coding MSEALNRDYRVSEELGKGRFGVVFKAYLSNSGEPFAVKSIDKRLIADDSVDRQCLYNEAKVMQLLSPNPHVLRIFHVYEDECFLHIVLELCNGSDLYQRIAARTVFSEAEANVVMRPLMEAIAHCHHLGIVHRDIKPDNVLFNDWNELKLADFGSAEFIDDGQLISGVVGTPYYVAPEVVAGRHYDEKVDVWSAGVILYIMLAGFPPFYGDSAPEIFEAVLRANVRFPTRVFNSLSPEVKDLLRRMLSKDVIRRFSAEQVLGHPWMAGEGGGEIRPVAVEEARPVAVIS >CDO99231 pep chromosome:AUK_PRJEB4211_v1:3:4534825:4538152:-1 gene:GSCOC_T00026307001 transcript:CDO99231 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRATSFSKGPNASSGMGVAEHCKSTYLELQRKKVHRYVIFKIDEMKKEVVVEKTGSPSESYEDFTASLPENDCRYAVYDFDYVTAENCQKSKIFFIAWSPSVSRIRPKMLYATSKDRFRRELQGIHYEIQATDPTEMELDVLRERAN >CDO99164 pep chromosome:AUK_PRJEB4211_v1:3:3863471:3865815:-1 gene:GSCOC_T00026213001 transcript:CDO99164 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDELVKLFHARARRRFNRGLKRKPMALIKKLRKAKREAPPGEKPNLVKTHLRNMIIVPEMIGSVIGVYNGKSFNTIEVKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >CDP03494 pep chromosome:AUK_PRJEB4211_v1:3:18559473:18562184:-1 gene:GSCOC_T00015308001 transcript:CDP03494 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFKRTDPNRSLETLLDMDKSAQLINKNVVKQPTRKLIPGQGLEFNNLSYSVMKKQKKDGVWITKEAYLLNDISGQAMRGEIMAIMGPSGAGKSTILDALAGRIAQGSLEGSVRIDGKPVTTSYMKMVSSYVMQDDQLFPMLTVFETFMFAAEVRLPPSISRSEKKKRVYDLLDQLGLTSTAHTYIGDEGRRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAFSVVEKVKDIARGGSIVLMTIHQPSFRIQMLLDCITVLARGRLIYLGSPTTLGAHLAGFGRQVPESENSLEYLLDVIKEYDQSNVGLDPLVLYQRDGIKPDVVARTPIPKTPKALKTPTNPYGKKTPGPKHISLRSNQFSSGNLTSRGDPASFQSYDDDDYEDDFHSSLERKINHTPMNMHSGIYNPRLASQFYKDFSVWLYHGVTGTPGRAPSWTPARTPGWTPAKTPLSGTRSQVSSRYPTPQQTPMQTKPPVVMSPSQDSYIPSYQEFAEEMLDEPEHRNKFANPWLREVAVLSWRTALNVVRTPELFLSREIVLTVMAFVLSTLFKKLHDLRFTTINRLLNFYIFAVSLVFFSSNDAVPTFIQERFIFIRETSHNAYRASTYVISSLIVYLPFFAVQGFTFAVITKYMLHLRSNIINFWLILYASLITTNAYVMLVSAVVPSYITGYAIVIATTALFFLTCGFFLKASQIPKYWIWLHYISAIKYPFEALLINEFKGNEHCYTGEPTELSPGPLGEVKISKLHNTSLPSQNCTLIGEDILFTMGIDLENIWYNIAILLAWGVLYRFFFYLVLRFYSKNERK >CDO98767 pep chromosome:AUK_PRJEB4211_v1:3:635644:643555:1 gene:GSCOC_T00025683001 transcript:CDO98767 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFDEDDFGDLYTDVKVQAGPALNSVPPLAQFSLDTEISDSSEANERFTAGGSGADNAEEEEEEETAASEDDLNIVLNDDEEVENGNEVINGGQLGFRVGGIGGGLDHEDEAGIKGEDEGSKGRGNHDSCCTGGCMKSKAAAVLSNLKCNGSARVASYSSQFVRGYWEHNCWTPRFGKNSLVPQRGHNFSLPRSRTIIDVNIDAFEWKPWRHPGADITDFFNFGLNEDSWKCYCSCLDGYREQPNEALSHKDCLLIQPKGEAILVEDSICERQASVDVRHSVDRDSDVIIQIHVQDMEDLSSFGNMALDQGSSHVAEASHEGESGDIGIKNEDMVCFNSASKDDLPILKGPTEEKWISIPERCSNDTSTCAGNPVYRDSDNCGSLQVSDTHEHDHEELADSDSDGSAEDRSSDDSKKCIGSVTSNTTSTVRESESSYGLETRGTNFSPSSSHDIKSRCGAYPEPDKIYDHVKRVSPNSESEAVTFDCNSSKDFRSHSIKMKSGAYEYFSRGRNPINRKLKFPERKSTRISKFKSHLEYEDAFYLSNPKKLHDVCRSTSACGNQRNRCHSFDFSERQDFSCYEGLEYLISHRGKRLYDYQSSGAYSQNSHQRGCRVSRYEREQCYNQTVSGKHHFTEQRIPRFSGDTREKDLDHYDGEDSVSGLESLDFHGPGELIFEKSQLLDCGNHARWKWKSGELNFRRKLKNCNFICEHKYSNNMTRENFRSIQCREGDIVQRKYDRQLQYGRGKVRGPLRGKRRFDSPLSGCETIRCRNSEDEKPQTVGRVRAPGAPYSRIYVSERCSKQGKHNCVGRYSGGTKFGFSDGMFDSDENIKHADDQDDFAGRRHYGQSEVLEWREEECNSWYPEHGVLAEGTLYPFNKTSGGKGSVAKHGSVYGGKLIDLSEPEQNRYKLSREGGVGSKFLESPNVSRRDNVQRTRPRCWDSTDKDMVVWDSKSSRCSEAGSSICFDRYEYFKRNEDSEQRTFKGLNDSRLEKVARADNTKAGTFLVDANWRNKFPNGKRNDSPDIEEGQIVTEDLNEKPKERISASRDKTNITGTKAISRENPRILEIIAKMEKRRERFKEPISLKTVSEKNGKPFTADGAETVETKQLPRPARKRKWAGS >CDP16823 pep chromosome:AUK_PRJEB4211_v1:3:16157905:16158051:-1 gene:GSCOC_T00019352001 transcript:CDP16823 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKILTGKTKTLQVESCNTIDNVKAKIQDKESSLSDQQCLIFVGK >CDO98737 pep chromosome:AUK_PRJEB4211_v1:3:427265:430677:-1 gene:GSCOC_T00025639001 transcript:CDO98737 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTTRRTVIVTTMTRTAMVMTMMMTMVRMMKMRTARKLKERRRIWERNTLLGQSDVLRMRKMPVILNQKKMVRGMSLKKRMRMTTTMMVLLVVERLKPL >CDP16554 pep chromosome:AUK_PRJEB4211_v1:3:25584387:25586413:1 gene:GSCOC_T00018944001 transcript:CDP16554 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSTLANIFLLLLVAMSFSVNHVSAAKQFQNKIDRLALLEFKKQIYDHPFGVLNSWNHSQHHCQWEGVTCSTRHQRVIALILRYKQLSGTLSPHVGNLSFMRFIQLAENQFHGEIPQEFSRLKRLRVLNLSSNALGGKIPENLSYCAEMITIDLTSNKLERKIPIDQLSNLKRLENFYLRTNNLTGEIPSSTGNLSSLIRISLDFNNLEGNLPMEMGLLKRLSIFSASENKLSGIIPASIFNSLAITVILVADNSFHGNLPTNIGLTLPNLQVLYVGGNNLYANFPTSITNASGLEILDLPYNKFAGQIPTNLGDLIQLKRANLNHNLFGNNSIGDLDFIASLTNCSNLRILSLRNQLSGTIPQGFGNFVNLIQLGLELNSFSGIIPRDFGKLPNLQGLRLNHNDLSGQIVFALCNNTNLYYLDLSFNQFEGGNIFDNTHSSLMYIKIGENSFSGSLPPEVGKLIHLVDFNVSHNQLAGGIPISRANCSNLENLFMQANFFNGTFPPNLASWKSIQQVDLSSNNLIGQIPKELEKLQYLRYLNLSYNNIEGEIPNIGIFSNASQISLIGNNKLCGGIPELELPPCPVIKGKNRGKLKDVILLSIVLPAMLICQSHKL >CDO99058 pep chromosome:AUK_PRJEB4211_v1:3:2893208:2896138:1 gene:GSCOC_T00026077001 transcript:CDO99058 gene_biotype:protein_coding transcript_biotype:protein_coding MVHELRLYRIIHPNGRPSKANPSAHPSLSSVSERKNQELKNEKKAQRSTPKTLTMALVANEEFQHILRIQNTNVDGKQKVMFALTSIKGIGRRFANIICKKADVDMNKRAGELSAAEIDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >CDP11147 pep chromosome:AUK_PRJEB4211_v1:3:7871925:7876114:1 gene:GSCOC_T00033205001 transcript:CDP11147 gene_biotype:protein_coding transcript_biotype:protein_coding MLWYFLWIACIVIFICGLCCVCKKKKKTLQIVGGKALGWQTNGNSKPSTNLGRTEAENDRDDLETDLYHWTKTLRPIQWFPGHISKTEKELKEQLKLMDVVIEVRDARIPMSTGHPQMDAWLGNRKRILVLNREDMISTADRNAWANYYARQGVKVIFSNGQLGMGTMKLGRLAKELAGGVNMKRRAKGLLPRPVRAGVVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGKDLEMLDSPGIIPMRISDQSAAIKLAICDDIGERSYDAADVAAILVRMLSRLPTVGMTALHGRYKIEADGSCGRGFVQKLANHLFNGDTHQAAFRILSDFRKGKFGWIALERPPQ >CDO99417 pep chromosome:AUK_PRJEB4211_v1:3:6762448:6763699:1 gene:GSCOC_T00026586001 transcript:CDO99417 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRLCEEIEIKVAANEAWKIFGALELGHLAFQQLSDIIHKMEVLEGDGGAGTVIKLSLPGNTYCKEILKVVDQEKRVKIAELIEGGFLDMGFTFYQVRIEVIENAKDENSCTVKFTIEYEVKEDAAANASLVSIRPYVTMMNVGVDYLAKNKNK >CDO98811 pep chromosome:AUK_PRJEB4211_v1:3:961348:962190:1 gene:GSCOC_T00025736001 transcript:CDO98811 gene_biotype:protein_coding transcript_biotype:protein_coding MEIICNQHRRRIQDYTDQIIKGIILVIYYWYVVLTARTDTSSMTIEWALSFLLNYPEVLEKARAKLDAQVGIDRLVDEHDLSNLHNIISETLRLYPAAPMLVLHELSDDCKIGGYNIPRGTILLVNAWAVHKDPNVWDAPTSFKPERFEGFWLQPSKLIPFVMGRRSYPGSGLAQRVVGLALGSLIQSFDWKRIGEEEIDLAKRTEVSMPKAKPLEKTCFRN >CDO99160 pep chromosome:AUK_PRJEB4211_v1:3:3840013:3846667:-1 gene:GSCOC_T00026208001 transcript:CDO99160 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDSGSGAPGTPGGGRLRRRRGSNEVPPEVAKVNGNHLLVHDRNKYKSMLIRMYSTVWMIGGFVFVLYMGHLYIWAMVVVIQIFMAKELFNLLRRAHEDKQLPGFRLLNWHFFFTAMLFGYGRILNQRLVNTVIQDKFLYKLVSEFIKYHMVTCYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIAAYLFGFFFGRTPLIKLSPKKTWEGFIGASVTTIISAFVLANFFGRFQWLTCPRKDLSMGWLNCDPGPLFRPENYALPEWVPQWFPWREFQVLPVQLHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVPQSISIETILDQILMNLTFEEQRALYLKIEQIIQERLTGES >CDP10256 pep chromosome:AUK_PRJEB4211_v1:3:11520828:11522131:-1 gene:GSCOC_T00030923001 transcript:CDP10256 gene_biotype:protein_coding transcript_biotype:protein_coding MITSEVAAKSVDNSKTVETNEEGEAKYHGGGYGGGHGGGYGGGHGGGYGGHHGGGYGGGHGGYGGGGHGGHPGEAADAEPQN >CDO99096 pep chromosome:AUK_PRJEB4211_v1:3:3142977:3145665:-1 gene:GSCOC_T00026124001 transcript:CDO99096 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFSVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQGDEEHAFRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVRKWQSLIEAHVDVKTTDNYSLRLFCIGFTKKRLNQQKRTCYAQSSQIRQIRRKMREIMVNQAQSCDLKDLVLKFIPELIGREIEKATSSIYPLQNVFIRKAKILKAPKFDLGKLMEVHGDYSEDVGVKVDRPAEEPVAEATEVVGA >CDO98692 pep chromosome:AUK_PRJEB4211_v1:3:69332:75082:1 gene:GSCOC_T00025587001 transcript:CDO98692 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSPTSSPTNAVPPATSPSNASGITPPNVSQQPNPTPDAPPPSTPSTSSSPPPQSPPPAPPPQPQSSPPPLSTPPPSPSLPPPSLPPLPSVPPPATPPPSVNLSPPPPPQASPSPPFGIAPPSPALSPSPPLPITVPSPSTSVSPPPPAIKSPLTSPPSPALPLPPPLASSQAPSLLNPPPLSVASPPPITTSLIPPSPPAPRNNTSQADAPTSSALPAIPPQKPTARSTTPGPNITAVTISRNGGGMKGGVVMAIGFVGFLAIGLVVLGVWLARKQRKKGAPFNIGFTMPSPYASSQNSDSSFLRNQHSVHSPLHSAHLAGSASGNNFMYSPEAGGIGNSRSWFPYEELAAATKGFSENNLLGEGGFGCVYKGVLADGREVAVKQLKAGGGQGEREFRAEVEIISRIHHRHLVSLVGYCISDCQRILVYDYVPNNTLHYHLHYESRPVMNWATRTKVATGAARGIAYLHEDCNPRIIHRDIKSANILLDDNFEARVADFGLAKLAMELDACTHVSTRVMGTFGYMAPEYATTGKLTEKSDVFSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLSQALESDNFDGLVDPRLGNNFVESEVFRMIEAAAACVRHLASKRPRMSQVVRALDSISEISDITNGMKPGQSQIYDARQHSAQIRMFQRMAFGSQEYSSSDFLGYSQSSCRS >CDO99234 pep chromosome:AUK_PRJEB4211_v1:3:4568958:4572237:-1 gene:GSCOC_T00026310001 transcript:CDO99234 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGPDQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVAEACAGVSVAVMVGGFPRKEGMERKDVMTKNVSIYKSQASALEQHAAPNCKVLVVANPANTNALILKEFAPSIPAKNITCLTRLDHNRALGQISERLGVQVSDVKNAIIWGNHSSTQYPDVNHATVKTSAGEKPVRQLVADDAWLNGEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCKNGEWSIVQGRSIDEFSRKKMDATAAELSEEKDLAYSCLS >CDP14676 pep chromosome:AUK_PRJEB4211_v1:3:15579494:15589012:1 gene:GSCOC_T00042086001 transcript:CDP14676 gene_biotype:protein_coding transcript_biotype:protein_coding MWIATAAAAAAASSSISLGPSSCHYCPSEGICCSTSYGITGSWTKSVAGVHRISAHSGLSFRCDNSFFGVTLWLPNGHENCNSRVSVAADYSDSLPDSSSYGGDNGYHPLEELRECRRTRVTKLTDAEIARSTVEANNRALLFFPSMVHCEPHEQVSWDDFPYVIDEYGDIFVEIYDKDNILQDPQASNPVNALIGMDISQYENRRIDTSEYGFLENNYGDDITYLNDYAEFEDSEMLAVRVDWGMPDSSSWVHPIYFAKCLTKVVSAEHVKMIDHPSNGVSVWGHLKPAYVDEELYLRRLFDDENNDGYTSGWTDGEGFSSSDCGSHKRSTIYRLDIMKMDLFSVYGEQACDGCMSYKLLLLDILYACGNHFQAYVGSCICDRCQLFLLLAISLEDFQDAEPDILVHSTAALVERFDEPGVRCNFALKALCKKKGLLVEGANLIGVDSLGMDVRVFSGSEVLTHRFPFKVRATSEAAADKQIQQLLFPRSHRKKHRTLEKLRDTDSF >CDP10859 pep chromosome:AUK_PRJEB4211_v1:3:27810479:27812045:1 gene:GSCOC_T00031783001 transcript:CDP10859 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRPTTQVKKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHTKICGTREYRCDCGTIFSRKDSFVTHRAFCDALIEENNKMNQTLAAATGGMLQHQAQELFPSPLPVPDPTNPMMNLSISHANMDTSVLKPLSLNAQGLLAVSSNVDPIFSPNGANPRTSFSTLGGHNGNSHLPIGSAFSSATALLQKAAEMGAKISDNSMAPILLRGFSGYSTSSMNTSNSIQEGSSAVGGQTSGGVPNSLYMRNLDAYGKSTEAGIQRINSFSASQSGGLYDPNTLLMHSSNGTSGILSDRDHVLMGGSERLTLDFLGVEPAAAAAQSSFAKKRRLEGDVMGLDYSKAQQNLHHLHSDW >CDP15471 pep chromosome:AUK_PRJEB4211_v1:3:17512351:17513898:1 gene:GSCOC_T00043217001 transcript:CDP15471 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYIGMATCHVIFLFFSAQLAIVYSTHPTDGFVELPFNTSCYHIQKPYDLPVDQRYRFLGGAHKLWVYSTDNPLSRNSPRMPRTEIMTQVNPLFSCTFFFFNTKNLKTEQKGEIFFWQFEGYGFVPNGTSGVCIMQVFGASPPHASALMLRVYNGSLTCYRANVLVPNI >CDP10060 pep chromosome:AUK_PRJEB4211_v1:3:22907100:22908758:-1 gene:GSCOC_T00030645001 transcript:CDP10060 gene_biotype:protein_coding transcript_biotype:protein_coding MPSILLRIFFLYNLLNTFLLSLVPKKLRTFLPTSWYPSPQPPLNFFKKKDNTTPPSSTTNPSSSLPASSPRSIRRRMDADELRRVFQMFDRNGDGRITKKELNDSLENMGIFIPDKELAQMIAKIDVNGDGCVDIDEFGSLYQSIMDERDEDEDMREAFNVFDQNGDGFITVDELKTVLQSLGLKQGRTFEDCKNMIMKVDVDGDGRVNFNEFKQMMRGGGFAALS >CDO99360 pep chromosome:AUK_PRJEB4211_v1:3:5883367:5893525:-1 gene:GSCOC_T00026499001 transcript:CDO99360 gene_biotype:protein_coding transcript_biotype:protein_coding MIILVAPLAIDCCKHLINWELLDSCAFLKTSSNSSSKSVKLREDSRKKSRPIPPGGSIQQRTTDFLESPCWESFKCGLCDTYYIAHVKNACAFLGDGMSRIEVTYGDNILYDMELMFYLTVHCGSLYNSQSGALDGISYTNFLLNQRISLSKLYDAHFDELLSIYEKNPDSLRGKIVYVCNFFILVGAQWTGIVTTIAVEMLKTGMVEAVICVQRYDPEDRFTLTPEEVIAAKGVKPTLSPNLNTLTLVVAAGVKRLLFCGAGCQLQALRSVEHHLNLEKLYVLGTNCVDNGTREGLDKFLKAASSELETVLHYEFMQDYKVHLKHLDGHIDEQVPYFCLPANDLVDVIAPSCYSCFDYINGLAVNRRGWIGGSYSGISVTQHPQYVTVRMRNAKLVENFWKLLLQLGNRRPFVMETVKADDKAKLGQGPSQPAPKFVGNFLAFVLNLIGPKGLEFARYSLDYHTIRNYLHVYRIWGKQRADRHLPSYAKKIVTIYNQNGEIDQMLLTK >CDP10035 pep chromosome:AUK_PRJEB4211_v1:3:24303649:24313784:1 gene:GSCOC_T00030599001 transcript:CDP10035 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVELPEGLPSFTHIYHNDFVCRKPKKLKDDDVAICFCKYDPNNPESACGEGCLNVMTSTECTRGHCPCQDYCKNQRFQNGEYAKTKLFQTEGRGWGLLADEDIKAGQLISEYCGEVISLEEAKRRSQSHEAQGLKDAYIISLNSNDFIDATKKGSLARFINHSCQPNCETRKWTVLGETRMGIFAKVDISSGTELSYNYNFEWYGGATVRCLCGAPNCCLFLGAKSQGFQEFNHVWEGGDDR >CDO98826 pep chromosome:AUK_PRJEB4211_v1:3:1073328:1075273:-1 gene:GSCOC_T00025757001 transcript:CDO98826 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISGGSPINPGRKLTPKELWAEFDTISPFWGFDPSGQAADTKATDKNKLPKKAGGNQKAEKISQKPRKNKYRGIRQRPWGKWAAEIRDPQKGVRVWLGTFNTAEEAARAYDKAAKRIRGDKAKLNFVADDQQPPTTPSQPTPPRQPLAKRRCVTPEPPPAYYPQPDQEILESIGPTPPVVDPGFQPQPYYPSQVTDQDYQYGDQFSSLESFLGLEPETTTTATSQFGGIAESDPVDLWMMDDLVATAQQPNNRLY >CDO99316 pep chromosome:AUK_PRJEB4211_v1:3:5345621:5347699:-1 gene:GSCOC_T00026426001 transcript:CDO99316 gene_biotype:protein_coding transcript_biotype:protein_coding MFINIRPIQAIKLIRIFSLKSLTIVRCISALPNLKPLNSKLTNFMKNGQVEEAQNLFDEMPHRNTVTWNAMIRGYFQNGNADKALHLYSQMPVRDIFSHNTVISGLMQRGDLKGAEEVFECMGDRDVVTWNSMISGYVNNGMVDTALGVFNEMPAKDVISWNLVIAGLVKIKMLNLAEHLFREIATPDVASWTIMIKGLLSVGRIAEAREYFDGMPIRDVQAWETMIVGYLENGYVGIAEVLFHKMPNKDQSSWNEVIGRLVSVGRLTDAVKLFGEMPQKHGRLFNLILLGFIRNGLVREAHTFVEKYCISDVVSWTNLIIGYFEVGEVKSATKLFELMPNRDTTSWNAAIFGLGENDHIEEGVNLFIKMKKEDLTQDEATFTSIFVLCSNMASLNLGMQTHALVIKAGLDDFTSVGNAIINMYFRCGNIGSAFGQFSIMLCHDIISWNSIICGLAHHGNGEIALEMFENMRLTDVKPNEITFVGVLSACSHAGLVERGKYYFDTLKNEYSVVPTAEHYTCVVDLLGRFGLIHEAMSILDQMRGDGLEVPASVWGALLGACRTHKNYEVGKIAGERILELEPSNSGMYMMLAEIFQASGQREEAERMWIRMKDEGVKKQPGCSWVESNNRNHIFLAGDRTHPDFCTVSHTLELMYWEMDEGVLTAEASSVQEIEVHIRFPSAPCSGELLPYC >CDO98682 pep chromosome:AUK_PRJEB4211_v1:3:499:2040:-1 gene:GSCOC_T00025571001 transcript:CDO98682 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWVRTISSPFRKARTFFNQQSPRDDKSKQPAGHEKRVMDLQVEVMACAYEDVQVMWSILDKSKSGSNLQC >CDP16558 pep chromosome:AUK_PRJEB4211_v1:3:25654014:25657421:1 gene:GSCOC_T00018949001 transcript:CDP16558 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSTLANIFLLLSVAMNFPVSHVSATKHFQNETDCHALLEFKNQIHDDPFGVLKSWNHSQHHCQWEGVACSARHQRVIALTLRSKQLSGTISPQVGNLSFMRFIQLGENQFHGGIPQEFGRLLRLRVLNLSSNALGGKIPENLSYCAEMIAIDLANNKLEGKIPIDQLSNLKKLKGIYFTKNNLTGEIPSSIGNLSSSLNALGLNFNNLEGSLPLEMGLLKRLVQLFLAANKLAGIIPASIFNSSAITSISVTDNYFHGNLPINMGLTLPNLKLLAAAENNFSGNFPTSITNASGLEILDLSQNKVAGQVPANLGDLTNLQLLNLAVNFFGNNSTGDLDFIASVTNCSNLRILSLSYNNFGGNIPRVVANLSNQLTALYLGGNQLSGTIPEGFGHLVKLYILALEENSLSGLIPRDFGKLQNLQLLSLHYNELSGQIISTLCNATALYYLELSFNQFEGDNIFDNVLMNCQNLQYLNISQNNFTGIISPHFLQTHSSLMYIKIGENSFSGSLPPEVGKLIHLVDFNVSHNQLVGDIPISLADCSDLANLFMQANFFQGTIPPNLASLKSIQQLDLSSNNLTGPIPRELEKLQFLSYLNLSYNDIEGEIPNTGVFRNASQISLTGNNKLCGGIPELEFPPCPVIKGKNRGKLKVIILLSIVLPATLLVLGALLLYFLVYHKGERRMVAGFSSMPPRIDELLRLSYHELVHATSGFSPENLIGSGNFGAVYKGRLEKHGNKLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIVSYCSSIDSKGDECKALIYEFMENGNLDLWLQPSETTDQATSSRSLNLLQKLNIAIDVASALQYLHDHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPVNTSSEQRTSSTIAIKGSIGYAAPEYGMGHAASTQGDVYSYGILLLEMITGRRPTDDIFVGDLDLHNYVNGALHERVPEIVDPLLLSEGRDENNRITHGEKTINGGREIDCIISLLKIGLKCSARLPNDRMHMNEVVRRLHLIKDVFLGVRVHQENVEA >CDO98851 pep chromosome:AUK_PRJEB4211_v1:3:1251982:1255530:-1 gene:GSCOC_T00025791001 transcript:CDO98851 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDKCPRPMCYKAYGSGKEDSPMCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLVQENIAINQHEAIQKFIQGTVADGAPVIPISAQLKYNIDVVAEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILKGVLKVNQYIEVRPGIVVKDENGNIKCTPIYSRIVSLFAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVFVELEVNFFLLRRLLGVRTKDTERQGRVSKLAKGEILMLNIGSMSTGARVVAVKNVFAKLQLTSPVCTSKGEKIALSRRIEKHWRLIGWGQIQAGITLDVPPCPI >CDO98755 pep chromosome:AUK_PRJEB4211_v1:3:559447:561124:-1 gene:GSCOC_T00025668001 transcript:CDO98755 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLSYRKLAAGILFQLLALFVRLKTCFCEVAPPANFVFGDSLVDVGNNNYIVSLSKANFVPNGIDFGKPTGRYTNGRTIVDILGEEVGIKGFTPPFLAPTTAGPVVLQGVNYASGGGGILNHTGKIFVGRINFDAQLDNFANTKEYIISTIGAPMTMKLLQTALFSVTMGSNDFINNYLVPVVSKVQQNLVSPETFVADLITRYRTQLTRLYNMGARKIVVVNVGPIGCIPYQRAINPSSGKGCVAFPNRLAQLFNSQLRDLVTELRSNLKGSKLIYADAYRIVDDLIQNYFSYGFGNADSACCYVAGRFGGLIPCGPTSKICADRSKYVFWDPYHPTDAANSFIAKRLLDGGSGDVWPMNIRQLISSN >CDP10274 pep chromosome:AUK_PRJEB4211_v1:3:11983677:11999591:1 gene:GSCOC_T00030950001 transcript:CDP10274 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVLMVAEKPSIALSIASVLSNGQMSTRRGTTEVHEFDGMFMGSRAHFKVTSVIGHVFSVDFPATYQDWTTTDPLDLFEAPIRKTEANPKAHICRHLSQEARGCGHLVLWLDCDREGENICFEVIESTGFHVNDDRRVHRARFSSVTEKDISKAMKNLVEPNRDEALAVDARQEIDLKVGVAFTRFQTSYFNGKYGNLDSRVISYGPCQTPTLGFCVQRYLQITSFKPEKFWVLHPHILHKGYELKLEWARNKLFDIDVAVMFRNLVAEDGILKVKTISEKYECNTRPSGLNTVNLLKVASSALGFGPQLAMQLAERLYTQGFISYPRTESTAYPSSFDFKGTLEGQAHNQIWGDHAQKLLADGYSKPRSGTDAGDHPPITPMRSATEDMLGHDAWRLYQYVCQHFLGTLSADCKYRRIKIEFEAGGELFHCNGYNVIQKGFTSIMPWLAVSEKNLPQFTEGEKLTISRLELDEGNTLPPDYLSESELISLMEKNGIGTDASIPVHINNICERNYVQVQAGRRLVPTALGITLIRGYQCIDSDLCLPDIRSFIEHQISLVAKGQAHHALVVQHVLEQFKRKFSYFVKQIENMDALFEAQFSPLSESGRSLSKCGKCLRYMKYIAMQPSRLYCGTCEEVYYVPQKGTIKLYKELTCPLDNFELLIFSMPGPEGKSFSLCPYCYNSPPFEGIGTLYGTTKSGGSEKLGKGAGMPCILCPHPTCQHSLIALGVCGCPECNGTLVLDPVSAPKWRLHCNMCNCLVYLPEGAHRITTTPSRCPEGRGRGKGRGRGRGSRIKQDPKMSFRDF >CDP10041 pep chromosome:AUK_PRJEB4211_v1:3:23890291:23892375:-1 gene:GSCOC_T00030609001 transcript:CDP10041 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSPLKAQRIMLTYRLKRKHLYQLLSCHSKRQLHLLKCLLHLWMTETAKMLDVSSEPKSNFEFLTQVSLYALWLKLENLVELLGYCVQVNLCVVAYDFATMGSLCDILHSKKIDTSSSECGSVLSCTIGPRITVHVARGLEYLHEKVLPAIIHGVSDPAIWLCSKIMEQRFQILTFPIRLLTWLTHLHSTRVLGTFCYHVPT >CDP08043 pep chromosome:AUK_PRJEB4211_v1:3:29659169:29662651:-1 gene:GSCOC_T00026728001 transcript:CDP08043 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLILIITYKYTALFNFISHSAKTFLPHFKTCQKKKSISASERMAENLLMSASVEVLLQKIFSVTTENINLVFGGPQEISCLMENWFSRSSEETNVVQAIRQELEKLKGSLSNIQAVSQDNLRLHDKAVIVRLWLQKLSDLADDADNALAEFGYQILQHEVEMLNQEKPKVSLFFCHSDKKAFRQEMMPKARGISNKLEMINKEVKDFLASEKYGVTTASSPQVNVVQEVGFLIADLKITENEEKTSIENFWSIIKEKAGVSDEIPIGLEDIGRYIAEKCQGLSLAANLLGGLLQNKRRDFWLSILESGVLDKEDIISAILKLCFENLPTPFLKRCFAFCSMFPRNSVIERDQLVQLWMAEGFIDPGLGISVMEEIGNQYFDTLLQNSLLEIVSKDNFNNVTHCKMHNLVYDFAYSLSSFESITFGESDQDDIRQIQHLALESFTEETMKIAKEKARYLRTLFLKQNLPDHNLLNLNFLYVLNLCDADITELPAFIGKLRHLEYLDLSSTKIKSIPDSACNLYKLKTLRIIGCNSLKKLPKDFKDLLCLRHLHFYYNEYFSMPYEFGRLSHLQTLPIYNVGKECGPPIGELKHLKDLKGKLEIRNLDLVKDKKEAQQANLFGKPNLQELELRWIDPEKEEREGPNNDENVLEGLEPYHNLKSLRIEHFQGDRFPSWVMKMSVKRGSLQLNNLVEVKLESCTRCKEIPTLGTLPLLQNLEIVELSEVSCFGSSFYGGNGSNTNTSETQATKSFFPALKSLTIDCMPSLLDWKEPEEISASYEAKTFNSLERLFLRWGPKLMTTPSHFPALKVLRVESIKSGSPLESICNSKLTTLTSLHLEDVEELTSLPDELLENNTNLSHLCIINCHSLTHIVPHMSGCSAVLQELEIKECGALQEFPPEICSLRSLKRFELSYCPSIKLFPNLNGQGGLPSLQSLTVSECQGLISIPSEVLESCKSLQYLWVTECENLIEFSPNFQQMPNISFMRITSCPKLNTMPKGLGALSNLANLRMGPLSNSMEFETFQTCFTGLQQLSSLVSLFLEGQHHWNSLPEELQHLTALKKMTLQDFGIEVLPDWIGILSSIQKLVLNHCRKLESFPSKEIMEGLKNLEKLEIQDSDLLARKWMLQNEPDSEWFKVSHIKEIILDFYEVSDPIVWNM >CDP08049 pep chromosome:AUK_PRJEB4211_v1:3:29979276:29980844:-1 gene:GSCOC_T00026740001 transcript:CDP08049 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSFFSSSTSEQRWVDQFNKHFIIYIGNRPSIFRVPSKVLETKPEAYVPRQLGLGAYHHFRPELDDDMESKKLEQIKKFLKPEQYNNFKNLIVDEVKELEQPIRACYNNYVDLDRDTLAWIMAIDGTYLLYLLSTSKSINRRLSDDVLKLENQIPAIVLKKINNVLRVTKGDEINSSKPLFSEFHNFYNTQSPLELCSESQIDLDHATSNHLLDSLYQLTMKNWNLPDFVFSPSKNTQENAGSSAIQLSLGQSGNQPLNPSQSSTTTIGLTESLIPTDEEPNQLLQQAATLLANLTGQKPLMLMAKLPLHEGMELFNLFKKVIPVNTVEEIKIPSVTQLHQITRIDFRKWTQQGIGIKYNEGENVLYLPIMKLNTNSEVILRNLKAYEEASASPGSSIKFSEYLDFMCGIIDTAKDVKFLKERAIIDSKMGNQEIADLFNGIQKSSRSDEQKDGIELTIDELNRGYDDSFRIKIWTFLRQHFRPSEVCVRIFLYVLIVVLLAFQAFCDVYGCSSRWFGKKS >CDO99339 pep chromosome:AUK_PRJEB4211_v1:3:5549264:5549491:1 gene:GSCOC_T00026461001 transcript:CDO99339 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLARMYDVKDPNAIFVFKSRTHFGGGKSTRFG >CDO99445 pep chromosome:AUK_PRJEB4211_v1:3:7012537:7018934:-1 gene:GSCOC_T00026619001 transcript:CDO99445 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMP [Source:Projected from Arabidopsis thaliana (AT3G24160) UniProtKB/TrEMBL;Acc:A0A384KKU3] MDPRSPTIAALLFTLILALFSLQSLITTEAASVVFLDSPVHQYLRSPSDPNALTETDSISLAEVSASVSVLLGYAPPATISAASSSKLNKVLAPNPFDRPHAVFALEVTGIKDSQLVGTGKFGGALKSKVLVGESKAHIQLPDEDEVSVISLNDLPGFDSDALSSDNELLEFATFLGGSYASNDLPLTGELTVPSAGDANVRLDLSKKAEMEFIISLVALLRKVERALVILEDLSGSGQKHAELITGSFDGIKVLQEHYGTDSVVQQGVELFALSIPKIFDYLEARYKGQIVGVIIHGEAPASESKKLLNVEIASRASPRWLEETKTPTNSTLVSEIVLVRRTIAWITGILLIIATLLGVYFLLYMPLTRDTLLYSNVKLD >CDP10873 pep chromosome:AUK_PRJEB4211_v1:3:28175346:28181730:1 gene:GSCOC_T00031809001 transcript:CDP10873 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAQLPLESDSKGIAGVTATNTSSRKAGKTFASPDGKGLGEQIKLPKVTEDAAVDVIGSKRNPDSRLAAKEDPDATECSSSFADTTSGEENSSGFSDAEVESQLYDPGGLGSPFDGLSSFFPMRKKKLTCHWRNFIRNLTWRCKWTELKIKEIESQASKYRRHIAAYDQNKLMPFDQLQVEEFGSKSLPYTPQSHRKMPLKRRKRKRVESTTDTTTYMAHHNLFSYRAESKRSDQDGNSVADDFGNPVFPGENPASCDEFGFNNEWLVNKVDTEFQERILQKIDMIHTRVHKLKSTLDSIMTKYAGKFSSSESINHLVPCDLLNSSVRSPTFSTCNGDTVSVGGLYSSIQHMPEYDLGDFVLPDTAVSNYGEGILIPDIIESTVGLLSSADVTHHQAQVADSCEKIVDNILIHNESVGMEIQTFKQTHIASADKYQDAEISGEEESTNPTFPALEDLDKETGPAISQEQSTLKSCLATEIHFPKNKRKRGERKAGSGGWSRQLPGEPDSQ >CDO98705 pep chromosome:AUK_PRJEB4211_v1:3:147706:149469:1 gene:GSCOC_T00025602001 transcript:CDO98705 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTFTSSVFTSRQDFFLSFFLSLYFFQTSIAQTILIYAWIGCNSAKFGHLYAAAPTRPSNAVSVTSLIGGSSDNAGAGIARRIVLKTGLNVVLACNLPKNSPLLEAAAERRLVQKLVSLGYATPKSGVSSSTQEG >CDO98919 pep chromosome:AUK_PRJEB4211_v1:3:1807386:1810774:1 gene:GSCOC_T00025880001 transcript:CDO98919 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVIGSSQVNNLGRVDLRYREVGLCSFSKNLNFAKISSPKYSGLFVGQSSISWSSKYLFPLIVKASATAQTEAAVTSEKASGTRRSEVDNNLMLYVGLPLDAVSSTNTINHARAIAAGLKALKLLGVDGVELPIWWGIAEKEARGQYNWAGYLSVAEMVQKMGLKLHVSLCFHACKESRVPLPEWVSQIGESQPDIYFTDRSGQRYKDCLSLSADDLPIFDGKTPIQVYKEFCENFKTSFSSFMGSTITGISIGLGPDGELRYPSCHKPAKSQGAGEFQCYDKNMLSHLKQHAEASGNPLWGLSGPHDAPSSIELATSSGFLKEHGGSWESQYGDFFLSWYAGQLISHGDRLLSLASSTFSDVPIAVSGKVPLMHSWYQTRSHPAELMAGIYNTVNRDGYEGIIEVFSRNSCKAILPGIDLADEDQPKETRSSPESLLEQIIFSCRKYGIEISGQNARISGSPSGFQQIKKSLTGENAVDLFTYQRMGASFFSPEHFPSFTAFVRDLRQPQLHSDDLPVEKVDSAESLPEKNVQMQAA >CDO98844 pep chromosome:AUK_PRJEB4211_v1:3:1205957:1207357:1 gene:GSCOC_T00025782001 transcript:CDO98844 gene_biotype:protein_coding transcript_biotype:protein_coding description:FCS-Like Zinc finger 15 [Source:Projected from Arabidopsis thaliana (AT5G49120) UniProtKB/Swiss-Prot;Acc:Q9FH22] MVGLSVVLEAEGYEDISSKRSAHHQVISKASMIIKPSPSSPTPPTSPSPFTKRNSSFNLSCGTSGFLDYCFLCRQKLLPGKDIYMYKGDRAFCSEECRCRQIFMDEEETIKAKTDHCSLAASSSNSSSRKGAKKRANGFAY >CDP16584 pep chromosome:AUK_PRJEB4211_v1:3:26306095:26306386:-1 gene:GSCOC_T00018997001 transcript:CDP16584 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTRRAWKTFQRRRGLSTCSSKLAQGENDLQFCLTNYFKVNYFEDPILNWVL >CDO99246 pep chromosome:AUK_PRJEB4211_v1:3:4692202:4694418:1 gene:GSCOC_T00026329001 transcript:CDO99246 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCYQKSLRAFLEQESVIKTKHQAKELHARLIRTMAPVSAILNSKIISIYSNFNLLQDSLTLFNTIDSPQPTKAWKSIIKCYASSGLFLNSLTSFNEMRSVGRIPDRNVFPSVLKSCVQLRDLRLGESVHGCVMRLGLDCDLYTGNALMNMYAKLQLPKAQQPFDEFPERKKQVVGLKDMTEKPIEMEALGMDTVRKVFQTMPIRDVVSWNTIIQGNVHGGRYKEALGMLREMGNSDLKPDSFTLSTVLPIFSKNVDLKKGKEIHGFAIRHGFDGDGFIGSSLIDMYANCTRVEDSYKLFSLLPQCDAVSWNSIIAACVQNGRFDEGLELFRQMLRADIEPLGHSFSIIMPACAHLTTLTLGKQLHAYIIRTGNDENLYVASSLVDMYAKCGNIKNARWIFDNMEIQDSVSWTAMIMGYAMHGHYDAISLFEEMQMAGVQSNSVVFVAVLTACSHAGLVNEAWKYFSTMTQNHGIAPGLEHYAALADLLGRAGRLQEAYDFISSMHIKPTGSVWSALLSACRVHRNFEMADKVAKEIFKIDPEYTGAYALLSNMYGAAGSWKEAQKIRITMRRKGMKKKPACSWIEVKNKVHAFVAGDKSHPFYGQIDEALQDILERIENEGYVPDTSEIPHDVDEEQKRYAVSTHSERLAIAFGIISSPAGTTIRVTKNLRVCVDCHTAIKFISKTMGREIIVRDNIRFHHFKDGNCSCGDYW >CDP10272 pep chromosome:AUK_PRJEB4211_v1:3:11936448:11937414:1 gene:GSCOC_T00030947001 transcript:CDP10272 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSADVLDPNSKEVKELKDLILRILELVGKPNLADFFPILKPFDPQGIRRDIKPAYDGLHSLIENNIDRRMKQRASGIERSGDFLGALLDHSEQYGPDELDLPEVRLLLMDLFIGGTDATTATIEWAMAELLHNPEKMAKVKQELKGF >CDP19158 pep chromosome:AUK_PRJEB4211_v1:3:31703615:31705590:1 gene:GSCOC_T00001665001 transcript:CDP19158 gene_biotype:protein_coding transcript_biotype:protein_coding MSNASLRTKAPILINQACFLALLERCKSMPELKQIHALLITFGLSDHHPFASKVLSFSAVSSSGDIDYANQFFLRLSDPTIFNYNTMIRAYSNSKNPNKSVSLFVDMLRVGVLPDYLTYPFLAKASARLSELRLGGCIHGVILRNGFVSDRFVSNSLIHMYGSCGDAMSARKLFDEIPTRNLVSWNTMLDGYAKCGKLDLMKEVFGLMPERDVVSWSSFVDGYVKGGEYMEALAVFDGMKAEGQKANEVTLVSVLCACAHLGALEQGRLMHRYIVENRLPSTLVLTTSIVDMYAKCGAIDEALVVFHGFPKRKTDVLIWNAIIGGLATHGFVQESLEMYVEMQSLGVRPDEITYLCLLSACVHGGLVKKAWYFFDSLSKNGMTAKAEHYACMIDVLARAGLLLEAYKLVSQMPIEPTASMLGALLNGCIHHGDLNLAEVAGKKLIELEPDHDGRYVGLSNVYAIGRRWAEARTMREVMETRGVKKFPGFSFVEIGGTLHRFIAHEKMHPKCEHIYMILDAIIAQMRPDTDTETQELFLNLTF >CDO99430 pep chromosome:AUK_PRJEB4211_v1:3:6897888:6900173:1 gene:GSCOC_T00026601001 transcript:CDO99430 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPCEVVGAVPILKVLAGRLSLQWRTSANHDFVVWSLMDDGNSSYWTKVISILCSETLVGLEPICMMRNGHFVVRTKPERELFIYDMKKKAFQQVFKNENPDVHICSFKNTVVYTESLVHPCDYGDKVEEWTSAIEDMKESLMLQTKRQFSSCCGEC >CDO99357 pep chromosome:AUK_PRJEB4211_v1:3:5803150:5804310:-1 gene:GSCOC_T00026494001 transcript:CDO99357 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVYLLFMPFLECPGYYLKVLPLEFKDHHCVWLNNCVGHVNYKTFFCLRCLRRCFMHILPGMSIYLFQFSKRFLFFYSMLPSGHFRAPAGAIDSCADHLYYHEGVRAMWLAEKEGYLYSHPYDLGAYENMISVLGPNIFCWVCPTSEQIGSGLRFRAGVDKLLGISFFRPM >CDO99184 pep chromosome:AUK_PRJEB4211_v1:3:4080122:4083829:1 gene:GSCOC_T00026240001 transcript:CDO99184 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPLPLHSCHVQKSCMIINRSYIFVHGTALLALLYYRVSSLLEIILAESRELPYFVSYLLVFASELVLSFLWFLSISYRWRPVSRSVFPERLPEDQKLPAIDVFICTADPEKEPTVEVMNTVISAMALDYPPDKLHVYLSDDGGSPVTLGALREAWKFARFWLPFCTKYGIKTRCPEAYFSKDDDCDGSLSRSSSIEFIDDKKEIEKQYAVFKERVLRIQENTSTASKDHPPSIELIKDADDDRANQAEMPLLVYVSREKRPSHPHHFKAGALNVLLRVSSMLSNSPYMLILDCDMYCNDSSSARQAMCFHLDKTISPKLAYVQFPQKFHNISSEDIYDSQLRLCFSHMWYGADGLKGPTFTGTCFYMKRMALYGTSQLQKDANLAQLQKVFGPSNDFIISIYQKNHTNGREFFSTVLKEVDLLASCSYEKDTEWGEEACILV >CDO98877 pep chromosome:AUK_PRJEB4211_v1:3:1445460:1446735:1 gene:GSCOC_T00025829001 transcript:CDO98877 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLFTCLTIFLAIFLSYSAKVASLQAGFYRKTCPSAEAIVESVVSQAYANDSGIAPALIRLHFHDCFVRGCDASVLLNSVPGNVAEKDGPANFGVQGFELIDEAKAKIEAQCPNTVSCADIIAFAARDGVSRAGGIHYAVPSGRRDGTISRASEAAENLPMATSNVTVLRENFARKRLSLEEMVTLSGAHSIGGSHCSNFVHRLISPTASTGPNQVVSFDPTTPDRLDNHYYKNLEKHKGLLFSDQVLWTTPSTRKMVKNNADHENLWARKFAAAMVRMGSIELLTGTRGEIRKNCHFVN >CDP14653 pep chromosome:AUK_PRJEB4211_v1:3:15114487:15120821:1 gene:GSCOC_T00042050001 transcript:CDP14653 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAKVPIDISATCQQKQLELLFLSSSTKRSISITKTFFRRRKCLITMCSTSHMPHIESLEHINSVQSRSSGLPIMVNGSTGKMGGAVLEAAVSAGLNPVPVSFGGPEDSGKIVQVGGKEIKLHGPSERESILSSVYAEYPNLIVIDYTAPAAVNENAELYAKVGVPFVMGTTGGDRERLYKTVADANIYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYKLQVMESHQASKLDTSGTAKAVISCFQKLGVSFELDQIQQIRDPKLQMEMVGVPEEYLAGHAFHMYHLTSPDDTVSFEFQHNVCGRSIYAEGTVDAVLFLAKKVQSKADKRIYDMIDVLREGNMR >CDP16813 pep chromosome:AUK_PRJEB4211_v1:3:15900302:15902535:1 gene:GSCOC_T00019335001 transcript:CDP16813 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHLNQKLKVLRSWRTLEVDVIVGQYKGNNKDSRSYPGHTDNETVPEDTLLPLLLLLYFFSQCLLDRVPFLLTAGKSLHSKKICIAKRSDNCPFETLELRLESSLCILDWDDLIFFREEGGGRGLAVMVNDFLDAIEEERRSFIGSYGLDASWALFSPLLKELREKIICFLAVPL >CDP10323 pep chromosome:AUK_PRJEB4211_v1:3:12767130:12769190:1 gene:GSCOC_T00031015001 transcript:CDP10323 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLARQMFDHPDTDFQFHCRAWVRVSQVYQLRNLLLDLLRSVTKPSDNVHEKTNEDLAQDLYQSLKGRKYLVVMDDVWSNEAWDCIQMCLPDDNNGSRVVVTSRFMELATYVSPKSLPHCMSLLDTKQSWELLEKLVFGLESCPLELVDLGKQIARKCHGLPLAIVVIAGTLSRTVMTSDCWKDVAASVSSVVFTNPEQCLDILALSYNYLPQQLKACFLYMGAFPEDYEIEVRKLFRLWIAEGFLEASSSSNLEDVAEAYLEDLIGRSLVLVGKRNVVGKIKSCRLHDLLRELCLREAQKENIMTVIKQEDQSFPAKDNQRHLILHLNSDADVHLAPPYRSLQSFLCFTLGSGFVPDIFFSYLIFQSLRVLDMFFLQFDSFPAQIVNLEYLTYLALNVTYKLPTALARLKNLQTLVINGPWPIRDDADLPTLIVRYWSMPNLRHLHTTMVAFLSYITDAASNIYRKPLPLGYLKTLSTVQFLCCRRDAFANMPYLAELGLCETKEDYYRDRSCECLKDLAYLHKLEALNCSFYREIREARTISWDAFPSNLRKLTLSASNLPWEDMTNVAKLPNLEVLKLKNYAFRGSTWKLTDEVFRCLKQLLIEKTDIVQWEAEDDHFPCLQFLILRSCEFLAELPYVLGEIPTLERIELHYCNESAELSAQEFKDLIEDLAVIIRN >CDP11195 pep chromosome:AUK_PRJEB4211_v1:3:8846505:8849544:1 gene:GSCOC_T00033289001 transcript:CDP11195 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFEIISIFLLALLFPSFHPKGGGSASAEEAAALLKWKARCQNQNNGLLTSWNLQSINASNSSNLPCTWAGISCINGSVNRLNLSAWGIKGSLYDFPFLSLPNLEYLDLSLNQFFGSIPRQIGNLSKLIYLDFSVNELSQEIPPEICNLRNLIHLALVRNQLSGPIPPEIGTMYNIVDIYLEFNNLTGSIPASFGNLNRLVNLYLFQNHLSGLIPHAIGNLISLQFLDLSQNYLTGSIPESLGNLTNLIHLYLFDNRLSGSIPKNLGDLKFLTHMELGENQLSGSIPVSIGNLSNLEKLYLLKNQFSGTIPQELGNLKKLLVLELDQNQLSGPLPEQLCQNGTLQNITVSENMLTGPIPGSLKNCSSLIRTRFNGNQFHGNLSEMFGIYPLLDFIDLSNNEFYGELSSSWGKCKILRTLMVAKNNITGGIPPELGNLTQLHTLDLSSNFLSGEIPRVVGKLASMLELDLHDNQLTGSIPQELGALTGLLYLDLSTNSLNGSFPEHFGDLRNLFHMNLSNNVLSQKIPFQIGKLTQLSELDLSRNFFTGEIPSEFQSLQSLGTLDLSHNNLSGLIPKALTKLPGSLHINISFNNLEGPIPSGGAFVNLTIEEVQGNKGLCGNISGLPACESSPLIKKNKGKKKLVLTILSPLLGSFVLLCAFLGGLRLHEQWRKSSGTEDIDMNKVNLFSICTYDGKAVYKEIVMATEEFSDIFCIGKGGYGSVYKAQLPSGDVVAVKRLHNMPEMASHKNLLNEIRALTEIKHRNIVKLFGFCSNSQHSFLVYEYLERGSLAKIFSIEEEAKELDWRKRLKIIKGIAHALSYMHHDCSPAIVHRDISSNNILLDPEYEAHISDFGTSKFLKNDSSNWSSLAGTYGYVAPEYAYTMKVTEKCDVYSFGVLTMEVMKGKHPGDLIAYLMSSSPEEIELKALLDQRLLYPNEEIENILAFVLKLARACLHVDPQSRPTMLFISRLLSTGASSV >CDP08020 pep chromosome:AUK_PRJEB4211_v1:3:29090774:29095869:-1 gene:GSCOC_T00026686001 transcript:CDP08020 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAAGAGSSSLDRLDSALRGIKYPSEILSKLKDDWRFLGKIWESTHKGSGHAATDEEKNLAFCLKIEATAEEIAQKLIRFREEMMVNDNQVKDEEFLNELALDCATKTKLLIAEVEAALDHLYLMWSGGISSSSSFGLLKAKALDVSFCNDLFAYVLSTMSAVENMAVYLVWDHFSSLYGSVSWTRWYLVSMVQHILHRHRARNRQGLQSFDPCVGSRGLPSFDACVGHVLSVALRIANRCRDYWLNYKTGRIQVMRRGLIEFLVDLHSEIHPSNPKFVGFHLNFLMALCCAEVVAIKDLLGSFCLCVFSGKDGYFRDEVFFLLKLFLYTTSVLDDEDTARSFIPETQAVLVEITSLFESTGRKGHELANSPELLAKICLLEAELFLVAQIHSMKSNTNASSLSSIMFPDFKDIMDHCRQIPSRLRRYSEKLPPETRLYRKKLLALIESTFKEEKSLHESSVVKNSLPVLRFQFEIYKGEFFLKRLLRLKSKNDERLMAHEKDQIKLFVQKFECIALILSDERIKDREDVLGAIGEFFHRLPYLPFFLNEQDEMTNPSFPELLDKETHLIKAKLMDLIPKFPEFNFPKTSKLKFLDFLGRSLRELLKYNSASTALAKLHTEEIQIHLQNVSQLDIEEHPKIEDLVDRFTDLAYEAEYIIDSIEDDAQWQHFVWLDNVLDELRLLSEKAGGIHLTTPDMEVQDSKSVTQVSVDKLSTKNTSAIDEIVVDLSNRENEILNQLTRGSSKLDIVFIAGMPGLGKTTLARKVYSSLKVMRHFHLRAWCSVSPEYEKRRLLLEILTGIHGLTEEIRQMRDEDLQDKLRKLLLKNKYLIVMDDVWGIGAWNDLKNSFPDDANGSRILFTSRLHRVASEIKQESPPLSLSLFSHEESWQLLEKKVFKEECCPSELQGVGKEIAYHCQGLPLAVVAVAGILKTTGKSQSSWKRITDTLSSHIIDNPEARCKEVIDLSYKHLPEYLKSCFLYLGVLNEDRDILVSKLIRFWIAEGLVPETKKKGFEDVAEAFLMDLIDRSLVIISKRRSNGKVRACRLHDLVLDFCKSKTEDEKFFQLITRSDNPYASFPSTDYGFEFDFYRHSSPVSFASYRLAISLKRSHFIESKPSGLATRSLVFFASTDSEPKRPYDISFIWHNFKLLRVLDFECFNLGISFPVEIGILVQLRYLAVGGYLKSIPQSIANLRKLKTLIVKGLSGKITLPNTIWRITSLRHLHVSVHVDFDSDAEELGDCSILENLISFSRPSLSCGEDAERIIKRIPNLCKLSCIFYESPNSSTNCNQFPRLNCLTHLESLKIFYCGSPLNNGEFSLPLNLKKLTLSDFRLPWSHISTIGRLPNLEVLKLLSGAFVGKLWKVEEKFQNLKFLSLDSLNIAQWNASCDDFPKLERLILQNCKDLEEIPAEFANIYTLEMIEVHWCSELAEKSAIKIGEETEEIKVLIRSSNLSS >CDO99134 pep chromosome:AUK_PRJEB4211_v1:3:3536923:3540125:1 gene:GSCOC_T00026175001 transcript:CDO99134 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRDQSKAGGGAGGSATPAAKRGRPFGSGSGNAATAAGAAGSADSAAPSTLLGPSLQVHSAFAEQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDEVRKDATPLAKIPGLLDALLQVIDDWRDISLPRVLVKTPRVRMLGANSAVTGFGNEYEALSKNEAAHSSAAGSSSKEASVQKNTSNPRPADWWFEEDGLFNMDEEGRAEKQLCAVGASNIIRNFSFMPDNEVIMAQHRHCLETLFQCIEDYVTEDEELVTNALETVVNLAHLLDLRIFSSSKPSYIKITEKRAVQAIMGVLGSAVKAWHCGAAELLGRLIINPDNEPFLLPFAPQVHKRLVDIMSLPDTNAQGAAVGALYNLAEVNMDCRLKLGSERWAIDRLLKVIKAPHPVPEVCRKAAMILENLVSEPQNKPLLLVYENAFAEMLFSDGRYSDTFARILFELTSRPSNKVATARGIWGM >CDO99418 pep chromosome:AUK_PRJEB4211_v1:3:6766658:6774568:1 gene:GSCOC_T00026587001 transcript:CDO99418 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAIVRRITPSIRTWRSTLKTFCSDTSEKLHGSHGDKAVTDSTDNVPQYDVAIVGGGMVGMALACSLASMPLTKHLNVAIIDSNPALLARDNIKKEDAPDPRVSTVTPATISFFKGMGAWQYVQLHRHAFFDRMQVWDYTGLGYTRYSARDVDKAVLGCVVENKVLQRSLLSCMEDSDVKKKIYPSRLNSMTLHKSSLLSGTDSISSEPSGSLAKLNLSNGDCLYAKLVVGADGSKSHVRNLAGISTTGWKYPQNAIICTVEHTEDSRCAWQRFLRTGPIALLPMGDNYSNIVWTMDPQQAADRRSMNETDFLKAVNDALDDGHGPHPQSERMGGGGILAWLRSDATSSASEPFEVPPRITKLASDRMVFPLSLMHANNYVSKRVVLIGDAAHTVHPLAGQGVNLGFGDANSLSRVIAEGVAVGSDIGEMTLLKRYESERKAANIAMMAILDGFQKAYSIDFGPLNVLRAAAFHGVNYISPLKKNIISYASGEQRLPLFT >CDP11208 pep chromosome:AUK_PRJEB4211_v1:3:9263908:9265119:1 gene:GSCOC_T00033309001 transcript:CDP11208 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAFNFSPAEGTRVEKNPPELASSNDSKKKLLILDLNGVLLSSAFTRMTRNRDFNFRPHCCEFLQVCLSYFEVAVWSSKLSHNIQPVLDSLSKKTNERLEQRLLFVWDQSRCTMTQTRLRKNPDKTVMFKDLKRVWGEYKSYNSCNTILVDDSPYKSVLNSPFNAIFPTSYTCYTVEDNYLDPEGDFVGHLKKLARADNVQDFIKQNRFGQSPVTEGSVDWNFYVNVVSKLGLQNTAKQVTRKREAPNIYPPEVFRCIHVQDYVDNSSFRFFDS >CDO98895 pep chromosome:AUK_PRJEB4211_v1:3:1645291:1651476:-1 gene:GSCOC_T00025850001 transcript:CDO98895 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSIDGEGHDAAASGGPARKIPPASSMLWVRNLRRYVGSDSGLGSEAVMELETKRILLDIFKEKQQKSAEAGTIPSFYKKKPEEGSISHRVERLAKYRFLKKQSDNLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGSKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPAAFVQMYCRIAAHKFFFFCDPNRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELREYADGTLTDIFIERVFDEHVRRGKTGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLHGKGCLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRITLADLLACKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEEG >CDP11206 pep chromosome:AUK_PRJEB4211_v1:3:9200051:9200248:-1 gene:GSCOC_T00033303001 transcript:CDP11206 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGGPSSEKMKLLIALLILQLCFAGFHIVSRVALNIGVSKIVYPVYRNIIALLLLGPFAYFMEK >CDO99162 pep chromosome:AUK_PRJEB4211_v1:3:3851812:3857883:1 gene:GSCOC_T00026211001 transcript:CDO99162 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPIQQFYPRATTFMDSFQSSKQTFFIANKSTMNSDSIQRQNPQKSFDFDGRTKNKEWDFARKGSNASEVSLSRNSWLDKWNETHKQDKKLKRPQVVLNYRNNGEVSSSDCEENGGGTGTTMERIVEKLKKFGYVDNVTDKVGEDRVIERGSIEDIFYIEEGILPNTRGGFSEKSPFGDESVVGSDGEVRFPWEKDEVKDKERYSVRQKSKTSFAELTLPESELRRLRNMALRIKNKTRIGGAGVTQEVVEKIHQKWKGSEVVRLKVEGAPALNMRRMHEILERKTGGLVIWRSGTSVALYRGVGYEAPSERLKKRIYKENEIPHDQSLATTVSNSNDHLECNPCSNVHTPESVTSFSKDAGENRSIGSFPEVKYEDEVDKLLHGLGPRYTDWPGAGPLPVDADLLPSVIPGYQRPFRLLPYGVRSTLGMKEGTALRRLARSLPPHFALGRSRQHQGLAVAMIKLWERSSIAKIALKRGVQLTTSERMAEDIKNLTGGVLLSRNKDFLVFYRGKNFLSPDVAEALLEKERLAKTLQDEEERARLKASALVTPSRVISDESGTAGTLGETLDADARWGKKLDDDLKAKVMREAEMLRHANLVRKLEKKLGFAERKISKAERALSKVEETLYPADRAADLQSITDEERFMFRKLGLRMKAFLLLGKKIFQNICRRGVFDGTVENMHLHWKYRELVKIIVKAKNFEEVKAIALSLEAESGGLLVSVDKVSKGYAIIVFRGKDYKRPSTLRPKNLLTKRKALARSIELQRRQALLKHIARLQTNVEQIRAEIEQMANVKDQGDEELYDRLDSAYPTEDDEDSEEEEDGAYPETYGSETGLADKSDDPFSSHHLGNFVYRTGDVSESETEYVES >CDP16838 pep chromosome:AUK_PRJEB4211_v1:3:16608098:16608253:-1 gene:GSCOC_T00019379001 transcript:CDP16838 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSALISVISEEEKWGFVEFQAFHFTSKIRRLASHFKISKSLAPFLWKFG >CDO99143 pep chromosome:AUK_PRJEB4211_v1:3:3618302:3619907:1 gene:GSCOC_T00026188001 transcript:CDO99143 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLLFKLFSQGLNASSKLLTPCKACIIAGIHPPYLSHFIEHFVCTFSTTTPCVSVPILLSFLVSPSGFPEKLLILIFKPSFSPFLLSELLLWDFSKTTLWPPLGLHFPSKLSWFPLFPSRFPTKPFWVILKLPLFSSESSEL >CDO99280 pep chromosome:AUK_PRJEB4211_v1:3:5027541:5030348:-1 gene:GSCOC_T00026374001 transcript:CDO99280 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETSEDKLKDYFGKYGDVLQTVVMRDKVSGKPRGFGFVVFADPNILDGVLQDRHVIDGRTVEAKRALSREEQQISKVGNANTGRSFGGGGGGNTRTKKIFVGGLPPTLTDEAFRQYFESYGDVTDVVIMFDQQTNRPRGFGFISFDSEEAVDRVLHKTFHDLNGKQVEVKRALPKDANPGGSGRAMGGGGGGYQGYGASGGNSNSYESRMDSNRYMQSQNTGGGYPPYGSSGYNTTGYGYGPTNNGMGYGGYGNFGGANPGYGGPAAAAAYGNPNVPNAGYGSGPPGAPRNTWNSQGSSGYGNVGYGNAPWGASNTSGGGLGGAGAAAGHSPSGAAGYGNQGYGYGGYGTNDGAYGNPATYGGGGRAGGTPNSNSSAGNGAGDLQAGAGGYMGGGYGDTSGNAGYGNAGWRSDPSQAAGNYGGQAGYGGGYGGAPTRQAQQQ >CDO99441 pep chromosome:AUK_PRJEB4211_v1:3:6983861:6997738:1 gene:GSCOC_T00026615001 transcript:CDO99441 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGRKMLIDGEVENSNEGDVRYDFDLFVIGAGSGGVRAGRFSAQSGAKVGICELPFHPISSEVVGGVGGTCVIRGCVPKKILVYGAAFGPELEDARNFGWELNERIDFNWKKLLHKKTEEIVRLNGIYKRLLSNAGVKLFEGEGRVVGPNEVEVTQLDGTKIIYSAKHILIATGSRAQRPAISGQELAITSDEALSLEELPKRAVILGGGYIAVEFASIWRGMGATVDLCFRKELPLRGFDDEMRAVVARNLEGRGIHMHPQTTLTELVKTEEGIKVRTDHGEELIADVVLFATGRAPNTKRLNLEAVGVELDKIGAIKVDEYSRTNIPSIWAIGDVTNRVNLTPVALMEGTCFAKTVFGGQPTKPDYVHIPCAVFCIPPLSVVGLTEEQAIEQANGELLVFTSSFNPMKNTISGRQEKTIMKLVVEAETDKVLGASMCGPDAPEIMQGIAIALKCGATKAQFDSTVGIHPSAAEEFVTMRSVTRHVDAAGKPRTNL >CDO98932 pep chromosome:AUK_PRJEB4211_v1:3:1906090:1911310:-1 gene:GSCOC_T00025898001 transcript:CDO98932 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRTSADVGIAVSANDDPARPVQEDILDRDSLNTVVSSGPSARRADLSLQIPPKPAVFGNSRSGKGLLQSPSILGNSSTAGFLRALSFKRKLTAADGERSSLLNSDPKAAPESPVLANIMSSLSWKKSTSLPVTPASNLSPSVSTPTTTRTYSERKRSNVGASKATVSRSLSVPGRNTVIVRSASFANAEEHLPDADSDQITPAPPDNDEEIPEEEAICRICLEACEEGNTLKMECSCKGALRLTHEDCAVKWFSIRGNKNCEVCGQEVRNLPVTLLRVPNTAQRDNRLDGQSSFSSERISAWQDFVVLVLISTICYFFFLEQLMIHDMKTQALVIAAPFAFTLGLLASIFAVILAIKEYIWTYAALEFALLAIILHFLYSWVHLSAVYAILVSSVLAFGLAMSLNCLYMRYFYWRVQVTQSSNPA >CDP20604 pep chromosome:AUK_PRJEB4211_v1:3:15621943:15626614:1 gene:GSCOC_T00004444001 transcript:CDP20604 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLALQSRLMSSLFRVDAIDPALRRFGRFDTKIEVITPSEAERFQILKLYTKRLSLDPDVNLQSLATACNGYVGADLEALCREAALSALRKSSDGDLGGKICNITVDDFKHARSIVGPSITRGVTVEIPKVSWEDIGGQYELKKKLQQAIEWPLKHSSAFSRLGVSPIRGILLHGPPGCSKTTLAKAAGHAAQASFFSLSGAELFSMYVGEGEALLRNTFRRARLAAPSIIFFDEADVVAARRGGSSSGSTTVGERLLSTLLTEMDGLEQAKGILVLAATNRPHAIDAALMRPGRFDLVLYVPPLDLEARYEILRVHTRGMKVDPDVDLRQIAADTELFSGAELEGLCKEAGIVALREDISATLVCSQHFQTVRNSLKPALTREDINFYSSFMKNPLLRSSAPSKPRSNHRVKETKKLFILTIPITLGVIGFMLYGGMKYFSTSPDIVPKVLAST >CDO98707 pep chromosome:AUK_PRJEB4211_v1:3:151325:160862:-1 gene:GSCOC_T00025604001 transcript:CDO98707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MDNKEGEEEEEEEEEERWCMECLERRIKADYNQKLNFVYGLSPHYSPLPFASSAVVQLSGWNGEGEAASASASPPEFIINYYKRRSRQHHCFTQYIDECCLDYRKDSIADDSVSGVVHELQPEFKPGIPADKTITLEIQSTERRHLSNAGTSTHLHGLGCRSSTCNFSGWYSCTRTITSLAPIARVGVCSYALFEEIASDFLSGSVEDHVLHSLILLIEGKATGQEAINFLNLLGVPAFDDINFPGCVRHPNIAPILGMLKTSSQINFVLPKTPYTLENILHYSPGALKCDWHARFLIYQILSGLSYMHGLGIAYGNVCPSSIMLTDTCWCWLPVGDKLVLSSPSNSKVDIFCPLSRSCSNKACSSGGLFADLKLSQPVDLRSSFYRWYNGNLSNFEYLLVLNKIAGRRWGDHAFHTVVPWVIDFSVKPDENDGAGWRDLSKSKWRLAKGDEQLDFTYSTSEIPHHVSDECLSELAVCSYKARRLPLNVLKMAVRSVYEPNEYPSTMQRLYQWTPDECIPEFYCDPRIFYSLHAGMSDLTVPSWASTPEEFIKLHRDALESNLVSSSIHHWIDITFGYKMSGQAALDAKNVMLPPASPTVLRSAGRRQLFYKPHPARRLLTKSTFKWNKESSGNHYPVSDTAGEQSVETISLGELEETATFCEHAQHLSPIYNFHSDDHLKDNSSKKEHQSENSVKSKLASCTNYGLRSVADINFLIENIEVDDDTVSYQELLLWAQRFSYSDIYSQDIASDIFGVGCILAEIYLNRPLFDPTTMVTHLESGILPRLVQELPPQIQLVVKSCIEKDWKRRPSVKCLLDSPFFPATVRSSYLFLASLQLLAKDESRLRYAATFAKQGALKAMGSFAAEMCASYCLPLVKTTLSDTEAEFAYILLNEFLKCLNPEAIKKLVLPIIQKILQASSFVLDIWNQIGKQAYLEAIHPLVLSNLFVAPNKSSAAAASVLLVGSCEELGVPITVHQTIIPLIHCLGKGLSDDGIDAVVRIGCLFGENFIIKQILPLIRNLVRSCLSYSSASKPELIHSSSTSALINCLMILDGLVANLSREMVVKELIEDGSCLYIKILMQTNIGVPVLQVAASKLVAACEQIGLEFTELHVLPKLKELFDELAFSRENPSISGISGGTIRGPRTTMDEQECIGNRMDLVFLLYPSFASILGIEKLRQCCTTWLLLEQFLLRHYNWKWEYTGESSQSSLETTNARRSSFSKRTTPDHVPAKMLLNGVGWSIPQSQRKRGAKHLISTKHSSEHYQTSDARHIASSHVEEHNPWYWFPSSASGWEGLDFIGRSGGPKDEIPWKIRASVIHSVRAHHGALRSLAVCQDECTVFTAGVGPGFKGTVQKWELARFDCVSGYYGHEEAVNDICVLTSLGRVASCDGTVHVWNGQTGKLISVFSEFSTHSAHPASPSSTSSKIDTDEANVLHYNASSTGILNNAFDGSFYTCMHYSQSTDMLIVGAGNGSLRFIDINRGQKLYLWRSNNSESSFPSLVSSICSCACAKPRAEGPDAFPSWIAAGLSSGHCVLFDSRSGKIIASWQAHEGYVTKLAAPNDHLLVSSSLDRTLRIWDLRRNWTSEPISFKGHTDGVSGFSLWGQDVISISRNKIGLSSLTGSADEDGGRLVTPQYLYTADRESRNMSVLSSISVLPFSRLFLVGTEDGYLKICA >CDO99301 pep chromosome:AUK_PRJEB4211_v1:3:5227478:5238923:1 gene:GSCOC_T00026410001 transcript:CDO99301 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSHYKIVYLDSQIRKLTCYGVPNTDLDPQATDFGNMTAVASISAAVRRLQELLLDEARPLGQLEPEVKSTVLPILQESEEMLMGLPAHKIRVEGAQDDLEFGRTTRILLSSIEDKVESYALQLGSATSSSREACCGGGENTAFGKVVADLKVAVDGLKDCVTSYCAQQNQYQQIRKLGQKSQWLVSRANFSSGRWGDEAFGLEEEVLELTKVLVSEETDPRVVFIVGMGGIGKTTLAKKLFNHPDVRHHFKGFAWVYVGGHWSTGDILITILDQLSSLPRKKRESMMKSEELELAPQVFTILQRKGCLVVLDDCSDRELLDILSIAFPFAVRSASASKFILTTRNRNLSRFLDPGAVYSLRMESLNEKKSWDLLSHIWRKLEEGPFPGKLVPIAWEILARCEGLPLAIIVLASMLRTEREGERVLHNILQSRRPMDGFPIGFHCLQSAYYALPLRLKACFLYLGNFPNNSRIQVEKLCQLWIAEGLISAEDGASEETMMDVAAKYFGELVARSLVTLEEDEVSDLRLMSGHIHGLIRSLCITEGREDEFFEIMPGSEPYMISKAQRCAIYFDKYYSVSNVIPSANLRSLLCLNSEQSGQGSRWPQGLFDFRKLRPLRVLDFDRVSFQDGNLPQGVGNLVFLRYLSFRGCYLEDLPSYIGNLLYLQTLDLRVQKDCILTISNVIWKLERLRHLYFPLAFQTPDHGGMLKLDSLRQLEILEGLDTSVCRAKDLIKLTNLRILAATAEGNLEDLELIIHYIGNSSHLKRTSLDIKKFDCYSEERLSFIKRLFSCPVLDTLQIEGHIGKMSDIGEGNMLDIGTISPSFTKIVLNGSELDQDPMPTLENLPNLRILVLEVEAYAGKKLHCSDTGFPELRSLKLVKLYNLEEWEVDEGALQKLSTLEISMCRRMKELPDGLQSIITLRKLKVSMMPQQFLGRLRMKNGKGGEDRHKINSKCSIEFGNDDPWLESTNSASQQNNSFDGRIPESQASSSSHSPNLSGSFACLPETERERDVYLQ >CDO99120 pep chromosome:AUK_PRJEB4211_v1:3:3412180:3413955:-1 gene:GSCOC_T00026156001 transcript:CDO99120 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIVDANLSCINLVVHLSKLNNTKKKWGSAFLTICCSRAFKNVPSRRKSKILPVPSDTVTIDIAEVHPCPCKADQTTLTKLVKEKSLGQLDQLGGWYDGGSIFVAVFLVISVSAISNFRQSRQFEKLSKVSSNIPVEVVRNGRRQQISIFEIVVGDVVRLKIGDQVPADGLFLEGHSLRIDESSMTGESDHLEVNQNQNPFLTSGTKVADGYGQMLVTSVGMNTTWGEMMSSVSQDSNEKTPLQSRLNKLTSAIGKVGLAVAFLVLLVLLADDVINAVVKIIAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATTICTDKTGTLTLNRMTVTKFWLGKESVEKDSYLSISTNVLKLLREAVSLNTTGSVYRSINLGTEGLEFSGSPTEKAILSWAVMELNMDMERVKQNCSILHVEAFNSQKKRSGVLMKKMVDNSTHVHWKGAAEMILAMCSHYYNLEGEVTLLDHLER >CDP03464 pep chromosome:AUK_PRJEB4211_v1:3:21103222:21104903:-1 gene:GSCOC_T00015233001 transcript:CDP03464 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRGRMASSILLGSLRCQTKPANSHAFFSSSAIETVTRKPPARVPQPMMMNDMFTLDISSQVGSCMPLSMMRIGTLIHNVEMRPGQGAKLVRAAGTVAKILTEPNTTSSRFCEIKLPSGRKKSIDTRCRATIGQVSNPEHGRKKLRKAGQSRWLGRRPKVRGVAMNPVDHPHGGGEGKSKSSGSHGRGSRTPWGKPTKCGYKTGPLKRRK >CDP08052 pep chromosome:AUK_PRJEB4211_v1:3:30046543:30047061:1 gene:GSCOC_T00026746001 transcript:CDP08052 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRALNSKPRRSFHKENSCRSATSILSSEYGDFMVGFMDDLPLISCDGSNHKGSWSESQQLTLRQVLRSSVAVVGQSRLGFTEKVALLDGRMYTVKRIRKVSLRRGEFGRRIVRVALVSSMSNYLVPVTAYFYAKRIKFVICDYYPMGSLADLLAIKFYKSNVTPIRITDE >CDP08120 pep chromosome:AUK_PRJEB4211_v1:3:31284299:31286198:-1 gene:GSCOC_T00026852001 transcript:CDP08120 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKAETVKNKQVLLRDYLNGFPKESDMIISTCNIIRLKIPENSNGILLRNLYLSCDPTMHGLMRKPESPRRTSIPPCKPGSVSGVDFIAGMPGLTAYVGFYEVSNPKKGERVYVSAASGAVGQLVGQFAKSMGCYVVGSAGSKEKVDLLKNKFGFDDAFNYKEEHDLDAALKRYFPDGIDIYFENVGGRMLDALLLNMRKHGRIAVCGMISQYNLEQPESVSNIAWLLYKRVRMEGFTVFEYLHLHSRFLDFVLPDIRKQKITYVEDIVEGLENGPAALIGLFNGRNVGKQLVAVAHE >CDO98750 pep chromosome:AUK_PRJEB4211_v1:3:516054:516773:1 gene:GSCOC_T00025657001 transcript:CDO98750 gene_biotype:protein_coding transcript_biotype:protein_coding METGRRETVKEKLKKKKERELSWKRKKKQKKRRRRRRLLKAIESRQSWPELASPELLYNRSPLPKLPAEAASCNRELTGREHAPSSETPLYPVGQNRSAPSRPTFRRHRQHSIRSIPHCSAALRSSAAGDSDSAESLEKLGRVSLLLSIGFPFSLSGLSLISEVLVLIRNFLLLFSPQK >CDP16550 pep chromosome:AUK_PRJEB4211_v1:3:25313706:25329580:1 gene:GSCOC_T00018936001 transcript:CDP16550 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRKVVPACGNLCFFCPSMRARSRQPVKRYKKLLADIFPRSQDAEPNDRKIGKLCEYASKNPLRIPKASLIHLFALITEYLEQKFYKDLRNEHFGSVKVVLRIYRKLLSSCKEQMPLFASSLLGMVRTLLDLPQYDELQILGCNTLVDFINGQLDGTYMFNLEGLIPKLCQLAREVGDDDRALCLRSAGMQVLAVLVHFMGKNSHISMDFDHIITVTLENYMELPTHLENCEVEKKNSNLSEQWVQGLLKVDKHDSSFPDISQKVSSLPDITSSKMEMATLEATKNPSYWSRVCLWNIAQSAREVTTVRRVLEPLFHRFDSENYWSPDKGLAGSVLAYLQSLLEESGESSHLLLSIVVKHLDHKNVAKRRDMQINIIDVTTQLARNAKQQASVAIVGAISELVKHLRKCLLYSSEASSPQDASDKLNNELQVALEKCISQLADKVADVGPILDMMGVVLESIPTGFVAARATINAVHRTAQIVSTVPNVTYYKKAFPDTLFHPLLLAMAHSEHETRAGAHHIFSTVLMPSLSNMWSILDRNLSRTLSEQSPKMSRKVKFRSFSLLDENDAKSEFSDGEMREEEDSYEDQSVRSMSQGQLHSFKGVVPAGKEGPTSLRLRSHQVNLLLSSIWVQATSAENIPANFEAMAHTYAICLLFSRSKNSSHMALVRCFQLGFSLRSISLNSDGGLQPSQRRSLFTLASYMLIFLARAGNLPEIIPVIKSSLTDETVDPYLKLVEDMRLQALSTTVINETKGYGSQDDEVAALKSLSKIESNDQHLKELVISHFMTKYGRLSEAELSNIKSQLQEGFSPDDAYPLGAPLFMETPCPSSPLAEMDFQTFNEIMAPAGLADEESFPDASGSQSGRKTSLSVNSLDILSVNQLLESVLETARHVASLPVSSTPIPYDQVKSQCEALVNGKQQKMLALQSFKVQQEAKAILSECENENKGPVLSNKVLDLSGDIKSTNSQLIQSRIQLPCAQECVQEQSFRLPPSSPYDKFLKAAGC >CDP08098 pep chromosome:AUK_PRJEB4211_v1:3:30930675:30934739:-1 gene:GSCOC_T00026819001 transcript:CDP08098 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRMRKLEGHYAEAYTPGSPIVGLGVARVLESNNPKFNKGDLLWGLTRWEEYSVIADPETRFKIHNTDVPLSYYTGILGMPGMTAYAGFFEICSPKKGERVFISAASGAVGQLVGQFAKAFGCYVVGSAGTKEKVDLLKNKFGFDEAFNYKEEQDLNAALKRYFPDGIDIYFENVGGKMLDAVLLNMRVHGRIAVCGLISQYNLEQHEGIHNLFCLITKRIKMEGFLVFDYYHLYPKFLDMVLPQIKEGKIAYVEDIAEGLENAPSALIGLFSGRNVGKQVVVVARE >CDO98982 pep chromosome:AUK_PRJEB4211_v1:3:2265420:2268499:-1 gene:GSCOC_T00025976001 transcript:CDO98982 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAQASENPPSKLSSAKYEAATVEELGGLQTYIAGPRDSKTAIILLSDAYGYEGPKIRRLAENVAEETGFLVVVPDFFRGDPIDSIRDRAEWLRTHPADKGCEDAMAVIAALKSKGVSTIGVAGFCWGGMTVVKLARYDSIQVAVILHPGPITEDDIREVKVPIALLGAETDHLCPPELLTKLNGILTEKPEVNNFLKIYPGVKHGWTLQYSDDDEFAVKMAKEAHSDMLNWLTKHVK >CDO99236 pep chromosome:AUK_PRJEB4211_v1:3:4582057:4583578:1 gene:GSCOC_T00026312001 transcript:CDO99236 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQRVWLLGFWASPFVQRVKWALKLKGVEYEYIEEDLFDKSSLLLKLNPVQKLVPVLVHNRKPISESILILEYIDEVWKQNPLLPHDPYERAQARFWAKFAEEKVRESTWDALCSRGEEREKAVKSSIEALEKFEEELKLKGTKFFGGETIGFVDLVAGFISYQLPVFEEVGSMKILDSSKFPATFDWIYNFLNHPVIKDDLPAKDLMFDYYAKRSKEISDEKDSCRNA >CDP08130 pep chromosome:AUK_PRJEB4211_v1:3:31373844:31374222:1 gene:GSCOC_T00026867001 transcript:CDP08130 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRRGQVSNFLILLQLSHSLPAADRHKASIMQKIPKPQFESKTEMTLMTHKSIVFYCQSIVFR >CDP11192 pep chromosome:AUK_PRJEB4211_v1:3:8743088:8744567:-1 gene:GSCOC_T00033282001 transcript:CDP11192 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQEKVVIVVDPGSEVKISVNTSKPNPPGQVELPRVPEKQRRKNEEAYTPRLVSIGPLHHGDAQLKAMEEYKLKYLNNFLHTFKIPLELLAEYAHSQEKNVCGCYEDTYIRDLSKLSEVILFDGIFIIELFLKNYFPEMREMGDTIFENRWVSSDIMHDLLLLENQLPMRFIATMYNGFVSRKLSKFLDNDSDDPPSFDKLAFEYLKNVGNTQKLESKMDHTFPRARHLVEFLAVLHWPSDQKAKREEVKKVEFGKCATATKLRAAGVKFSHRAEKCLFDVRFEKGELVIPQLTVNDFTETFYRNLIAFEQCGYHYKDITSYVILMDNLIDTPKDVDLLIKHKIIVNELGSSEQVADVFNNLYKEIVTDPKEFYFANLCNQLNEYSRDWVHKMVTKLAVWYTMLCNDYFGSPWSIISVIAAIILLVLTVIQTVCSVLQV >CDO98728 pep chromosome:AUK_PRJEB4211_v1:3:316689:325644:-1 gene:GSCOC_T00025629001 transcript:CDO98728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb [Source:Projected from Arabidopsis thaliana (AT5G49930) UniProtKB/TrEMBL;Acc:Q9LTX7] MVKVRMNTADVAAEVKCMRRLIGMRCSNVYDLSPKTYVFKLMNSSGVTESGESEKVLLLMESGVRLHTTAYLRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIVLFQFGLGANAHYVILELYAQGNILLTDSQFMVMTLLRSHRDDDKGLAIMSRHRYPVEVCRIFERTSTEKLQAALTRSMEPAGTESVDGSEQVNNASDVCQGMESDRKVANCRESNKKVNDGARSKHPTLKVVLGEALGYGPALLEHIILDAGLIPNTKVAKNFKLEDDTLQLLVGAVSKFEDWLEDIISGDKIPEGFILMQQKNTGKRDVTFSTTGSSGQIYDEFCPLLLNQFKLRDCKSFETFDAALDEFYSKIESQRVEQQQKAKESSAMQKLTKIRNDQENRVLSLKKEVEHCIKMAELIEYNLEDVDAAILAVRVALANGMSWEDLARMVKEEKKSGNPVASVIDKLHLERNCMTLLLSNNLDEMDDDEKTQPVDKVEVDLALSAHANARRWYDMKKRQESKQEKTVTAHEKAFKAAERKTRLQLSQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLYVHADLHGASSTVIKNHKPEYPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTAGSFMIRGKKNFLPPHPLIMGFGVLFRLDESSLGAHLNERRVRGEEEEINDVEQSESFGEISESESEKAVSDEKLASKLQSIPVSSEDKLMLVDIPSAANSSDGINVLGDHADSNLHNNFLNNNDAVSVSAENSFPSVSPQLEDLIDRALELGSANIYGGTQTTSVESVGEHDHKASEATGRDKPYISKAQRKILKKGQKDTIGTTINNGKEEAEGNHNSARQLEKNVETSKISGGKISRGQRSKLKKMKEKYADQDEEERSIRMALLAAAGKVHKNNEVVHDKETTMSTEEIPVTGFENAPRVCFKCKKPGHLSRDCREYPDGVQSTPDGLEEKSRANLSYAANTVDRITMEEDDIHEIGEEEKGKLIDVDYLTGNPMPNDVLLYAVPVCGPYSALQSYKYRVKLVPGPVKKGKAAKTAMNLFSHMPEAINREKELMKACTEPELVAAIIGNVKVTAAGLTQMQQKQKKSKKSSKAQS >CDO99036 pep chromosome:AUK_PRJEB4211_v1:3:2680715:2686394:-1 gene:GSCOC_T00026046001 transcript:CDO99036 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGMSVGGGKEFEAKITPVVVISCILAATGGLMFGYDVGISGGVTSMGPFLKKFFPVVYRRTQDDGLNSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRKLGRKVTMLIAGCFFICGVTLNAAAQDLAMLIMGRILLGCGVGFANQAVPLFLSEIAPTRIRGALNILFQLMVTIGILFANLVNYGTAKIKGGWGWRLSLGLAGIPAGLLTLGALIVVDTPNSLIERGRLEEGKAVLRKIRGTDNIEPEYEELLEASRVAKQVKHPFRNLLMRRNRPQLVIAVALQIFQQFTGINAIMFYAPVLFNTVGFGGNASLYSAVITGAVNVLSTLVSIYSVDKVGRRMLLLEAGVQMFISQVVIAIILGIKVKDHSESLSHGWAIFVVILVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHFKFGIFLFFSGWVLIMSLFVFFLLPETKNVPIEEMTERVWKQHWLWKNFMDDVHPGEGNLEGGTKKNGHSNGFDPSSRL >CDO98706 pep chromosome:AUK_PRJEB4211_v1:3:149561:151178:-1 gene:GSCOC_T00025603001 transcript:CDO98706 gene_biotype:protein_coding transcript_biotype:protein_coding MADCDQDVADAPPTHYIVKLRSFSLLTKNEVEKYTSADFEAGGYTWKLAIYPNGNKTKGVADYMSVYVVMARENFLRPGLEVHAVFRMFLLDQNSDNYLTLQGKARRFRKMKLEWGFDRLVHLKTLNDPSNGYLVNDTCILGVEIYVCKETYTGAKGESLLMIKDAVSHKSTWKIDSFSNLYDSSNLEASNSFVAGEQKWKIQLYPKGKGSGTGNHISLYLALDDPTSLSPGNQMYVEFALRILDQRQGKHYLGKAKHWFSASNPVSGWPRFVSLSYFNQSNNGLLWYDRCTIEAEVTVHGMADAL >CDP14630 pep chromosome:AUK_PRJEB4211_v1:3:14218794:14226019:-1 gene:GSCOC_T00042003001 transcript:CDP14630 gene_biotype:protein_coding transcript_biotype:protein_coding MEENSDAPLHMLRSLELRLLRCTLPSDNPLPTFPPSDEVPPNLQTLISDVVQLIESGNYVEALSSAAVKSIFSFNSATAFSSSADSAELFYSELVPQSVVVFVNGNSDSEIDELEKCFRAFLVMAIAVAALLAFTQSNVTGPVEKMPAMPLLPINDNWIEWELWAQKDIMSVGSDVRGKFSNLQYIVLSKILLMKTKDLLLDSSITTAAVRSICWWLARVLLIHQKLLDECSSTVFDLLQVYSHESLRHFGSLGKVTNYWGQRLSEEDALTIVSMLHLEVGMMEVTYARVDSSRLHFETAQKQSKLDFSVSGALGFRTMHQVEPKAQLLLVTGKSSDSTTGSVSHEMQGDITTTIDGTSLQHPPETQEASDVLMAPRILEDKTSDSGDQAVQISSISATQLKAIQQAVILAQCLSIEKSARNDELQHYKMAPYMEAIDSQQSSPFTIKYFCNMLRVRWESTRSRTKQRALLMMEKLVESISEPSPGVVQRMFYSFAVNIPGIPALRKEFGDLLVSCRLIGEAIKVYEDLELWDNLIYCYRILEKKAAAVELIKKQLLERPNDSRLWCSLGDVTIDDSCYEKALDISGNKSARALRSLARSAYNRGDYEKSKVLWESAMKLNSLYPDGWFALGAAALKARDVEKALDGFTRAVQLDPENGEAWNNVACLHMIRKKSKEAFVAFKEALKFKRNNWQMWENFSQVAADVGNYSQAMDAIQKVLDMTSNKRFDVDLLERLIVEIEKQGSIINSHPSEATGGNDTQHMHATSDVNYADKSTISEDLARKHEFEHLMQMLGKILQQIVKSSGGADIWGLYARWHKLKGDLTMCSEALLKQVRAYQGSDLWKDRERFVKFAHASLELCKVYQELSYQTGGRRELFAAEMHVKNIIKQGANFSDTQEYQDLLASLNQVQKALQEDSGAA >CDP03448 pep chromosome:AUK_PRJEB4211_v1:3:21547659:21550915:1 gene:GSCOC_T00015213001 transcript:CDP03448 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTKDFWFLTVSLGIADSHCCKRASQVSFTGSWLGNWRFGSFVTEGRSGASCKRSEPVNGNTGRFLDHLQSTKGFTYRNLKKLMSTCNVDFLIVDEADRILEANFEKEIRKISQKKLLSKRQTALFSATQTMKADDLARLSLQGNLIYIGVDDEQEEVTNEGLKQGYCVIPSEKRFTLLYSFLKRNPSKKVMKKQHKRNSTNDEYRKAEKGILLCTDVAKCGLDIPAVDWIVQYDPPKEPKSFLCHFILKYIHRVGRTARGVGAKGNALLVLIPEELQFRCQLKVTNHKYEFDEKKLKNVQPHLEKLIANNYYLNKSAKDAYKSYILAYHSHSMKDIYNVHRLDL >CDP10306 pep chromosome:AUK_PRJEB4211_v1:3:12499021:12501332:1 gene:GSCOC_T00030989001 transcript:CDP10306 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPVNVPSKMKAWVYGQYGKPEDVLKLESEVDVPDVNDNQVLIKVVAASLNPVDFKRMYGEFKATDSPPPTVAGYDVVGVVVRVGSKVKEFKVGDEVYGDVHEHALNPKGCGTLAEYTAAEEKVLALKPKNLSFAEAASLPLAVETAYEGLESARLSACESVLVLGGAGGVGTFTIQLSKHVFGASKVAATCSAGKLELLKTLGADLAIDYKSNKYEDLPEKFDVVYDTVGEADRGIKALKEGGRVVTIVGGQSVVPPAFVFVVTSTGSVLTRLKPLIEEKKLKPVMDPKSPFPFSHTIEAFSHLQCGRATGKVVIYPIP >CDO98788 pep chromosome:AUK_PRJEB4211_v1:3:821313:827403:1 gene:GSCOC_T00025710001 transcript:CDO98788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-D-xylosidase 1 [Source:Projected from Arabidopsis thaliana (AT5G49360) UniProtKB/Swiss-Prot;Acc:Q9FGY1] MAQSQRKCFSFPIIFLLIFLSSYTGGESRPPFACDPNNALSKTFPFCSVSLHIRDRVKDLLGRLTLQEKIRLLVNNAAPVERLGIKGYEWWSEALHGVARSPGVHFGGEFPGATSFPQVITTAASFNASLWEQIGRVVSDEARAMYNGGAAGLSFWSPNVNIFRDPRWGRGQETPGEDPIVASRYATSYVRGLQSPVGNSLKVAACCKHYTAYDLDQWNDVDRFHFNARVNKQDLEDTYNVPFKACVVEGRVASVMCSYNQVNGKPTCADPSLLRGTVRGQWRLDGYIVSDCDSVDTFFNQQHFTPTPEDAVAATIRAGLDLDCGPFLAIFTERAIRAGKVSVALVDGALTNTLHVQMRLGMFDGARQPYLNLGPKDVCTPAHKQLALEAAHQGIVLLQNRARSLPLSTHLHHTVAVIGPNSDATETMIGNYAGIPCGYISPLQGIGRYARTIHQAGCNGVACISNRQFGAAEAAARQADATVLVMGLDQSIEAEAKDRLSLLLPGLQQELVSRVARASRGPVILVLMCGSPVDVTFAKNDPRIAAILWAGYPGQAGGAAIADIIFGTTNPGAKLPMTWYPQDYVVKVPMTDMGMRPDPSRGYPGRTYRFYKGPVVFPFGFGLSYTAFKVALAHAPTTVPVTLASNYAFKNVTLLKDAVRVSHSNCDAMKLGMHFDVQNVGERDGSHSLLVFSTPPAGKWAPAKQLVAFEKVHVLAGAQQRISVHFDACKHLSVVDRFGIRRIPMGVHSLQIGDLEHAITLQAAVEEIKS >CDP19159 pep chromosome:AUK_PRJEB4211_v1:3:31709785:31714127:1 gene:GSCOC_T00001667001 transcript:CDP19159 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPKIDATKSHNRSITSTSSTLLSSSSSSSTTVDKFQQVIHSSSTDGWQKCWEQGLTPWDLGQPTPILVHLHKTGALPKGRALVPGCGSGYDVVAIACPDRYVVGLDISEVALERAKELSSLSSQEHFEFLKADFFTWRPTQLFDLIFDYTFFCAIDPDMRPSWAVGIRDLLKPDGELITLMFPISDHEGGPPYKVSTTDYEEVLHPLGFEATSIVENELAVSPRMGREKLARWKRSICLSTL >CDO99434 pep chromosome:AUK_PRJEB4211_v1:3:6924480:6925589:-1 gene:GSCOC_T00026606001 transcript:CDO99434 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPQELIVDILTRLPVKSILRFRCVSKSWLCLIASPHFIKSHLTISTKVNNHARHGLLLAPIDAPTELYTCSLYSVLYQRSPVSAEKVQLPLQSKYPRLSFVGCCNGLVCLSEGSHDLILFNPSTRKSNVLPNSGIDVGKFKHMTYGFGYDEVHDDYKVVAIGYSCPYGMNCQTIVNVYSLRTNSWRNIQGYEGGFISSCSGVFVNGALHWLVVSEDGSISSWRIVSLNLATETYGEKLQPNYEKGNVAFTPGVLEGNLCVFYNHYEVKFDVWVMKEYGLKESWTKLACIPYSVNFKGRASPLFVSEAGEILLKHDYSLMLYNGKVDIFTNRDLQMQGANYPGAAAVYIESLVSPHIDEVGHKTCAQ >CDO99287 pep chromosome:AUK_PRJEB4211_v1:3:5065217:5067675:1 gene:GSCOC_T00026383001 transcript:CDO99287 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVEDKAEATQASIAGKRNIGSSKQSSGAGTGPRNCSWTALQQHISGFDLNKDGILYPWETYAGLRGIGWNVIASTILTIVFNVTFSYASLPGWVPSLLFPVYIENIHKNKHGDDTENYDKKGSFFSDDFEMLLSDLCPPKSPNKLKLTELWKMPVHIIRWIKEKMGWKALYFLARLEAIFLSKEADDFDRTLYMARKQAETKVKSS >CDP10349 pep chromosome:AUK_PRJEB4211_v1:3:13457440:13462470:1 gene:GSCOC_T00031055001 transcript:CDP10349 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKVKMPIAREVMVGLDDEAEKVIERLVSGPEQVEIVPIVGMAGLGKTTLAKNIYNDNSIIYNFHIRLWCTVSQEYNKKSLLTQILCSDGKHSRMDDLNEDDLLQKLYQRLKRNRYLVVFDDVWDIKVWNELRISFPDDKNQSRIIFTSRSSNIASQVQYGGEPHYLHPLSEKESFELLLTKVFGEEEECPQALHGLGMEIAEKCWGLPLALVVVAGVLATIEHDILVWEKFAEGLTSTMVSGTDQWKKSLEFSYEHLPYHLKACLMYFAAFREDEIIGAKYLMRLWIAEGFVEIIEGKRSEDTAEEYLMDLIGRNLVMVGKNRSIGGVKTCYVHDLILEFCKGEAKEKKFLQVLRGYDELSTFNEPPNLPRLSICSSEEDFIKSRLFCPHLASLLFFDATTPGYKKFELLNISFLFCIYKHLKVLNLEGINLRLKELPTEVESLLCLTYLALAAQDMEFIPPSIAKLSHLETFCLNSKETVSLPDSIWNMKKLRHVYVRGGVVIRLPSNDNGVENLSTLPNLDTLSCLCFYLDQEGENILRRIPNVRRLKISYSNIGVLNRSRLECLESLTWRGNYLLGSREHVELSFPMNLKKLCLGDLRLPCSKMLLIEQLPNLEVLKLRDRAIEGQKWELMEGGFPKLRVLMLEDVLVAEWTETDPDSDDYFPCLQQLKLRKISNYKMIPACLGRISALETIKVSFCGDGVKSLVRKIEAAQEYNGNENLKIIIIG >CDO99388 pep chromosome:AUK_PRJEB4211_v1:3:6188626:6189463:1 gene:GSCOC_T00026544001 transcript:CDO99388 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQSSISIFLAMVFAAIRAATIVDAVDPAPVGEPILELYMHDILGGSNPTARPITGLLGNIYSGQVPFARPLGFVPPQNGVVIPNANGAIPTVNINGIPLGTGLAGTTFAGQNNVNNNGNPITTQLGPDGLGLGFGTITVIDDILTSSPEFGNQPLGQAQGVYVASSADGSTQMMAFTAMMEGGEYGDSLNFFGVYKIGSTMSRLSVTGGTGKFKNACGFAEVRSLIPSGQHVTDGAETLLRLTVHLTY >CDO98714 pep chromosome:AUK_PRJEB4211_v1:3:204160:207166:1 gene:GSCOC_T00025612001 transcript:CDO98714 gene_biotype:protein_coding transcript_biotype:protein_coding METHQIAQLLHQTLGQDASTIHAATDALDALSTSLPDFPFCLVSITTTTTTATGIALAAATYLKNFVQRNCDTNHSPNSIASKEFKDALMRALLGSQALDPSMILVVFFFRPIVAVEFVKNDSWPQLVPELREVIQDSDLVTRNVGSQWKTVHALTVFHSIIRPFQQFKFSTITEMAPTFLIMDLRKQYMIFELYYFLNPKLPKEPVPPQLELIAQEILVPILALFHQLVEKVGFTSSTWDLKSEKILLIVTKSIHFAPIIPLFFSIFSFKRVALNSIYMQFSFSLSSALSFKLIIALNVLLKTVKRSLNIFCVLVTRHRKFSDKLIPDIINSVLKIVKHGAVSSVSAKLLFDTIRIISLASDVISRVLETGRASLGWRLVSPHFTSLLDSAIFPTLVMNEKVRHFK >CDO98809 pep chromosome:AUK_PRJEB4211_v1:3:955511:956983:1 gene:GSCOC_T00025734001 transcript:CDO98809 gene_biotype:protein_coding transcript_biotype:protein_coding MGVITYDHEVTSSVPPAKLFKAFILDFDNLIPKILPQAIKSVETLQGDGGAGTVKLTHFGEGSQYKSMKHRVDELDKENFAFKYTVFEGDVLGDVIEKISYEVKIEASADGGSITKSKSTYYTKDDAKITEEHIKSGKDKSAGVFKAIEAHLAANPDAY >CDO98924 pep chromosome:AUK_PRJEB4211_v1:3:1856947:1859810:1 gene:GSCOC_T00025887001 transcript:CDO98924 gene_biotype:protein_coding transcript_biotype:protein_coding MASITGSFTSLSCSLMPNQAVNRVSKLGSVSLSISGKSFPSLRVQPARFRVSCAAKPETVDKVCKIVRKQLALPDESEVAGHSKFASLGADSLDTVEIVMGLEEEFGISVEEESAQNIATVQDAADLIEKLIENKGA >CDO99062 pep chromosome:AUK_PRJEB4211_v1:3:2918751:2919200:-1 gene:GSCOC_T00026081001 transcript:CDO99062 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQFTTSPSFNLIKPRNQANVPALQLHGLWSRPRLNRHLSCTARSYKVSIEHEGKTTELEVEPDETILSKALDNGLPVPHDCKLGVCMTCPAQLLSGTVDQSEGMLSDDVVERGYALLCASYPRSDCKIRTIPEEELLSLQLATAND >CDP10028 pep chromosome:AUK_PRJEB4211_v1:3:25057590:25057836:-1 gene:GSCOC_T00030583001 transcript:CDP10028 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIVYSLSLDLFYVRVGLFPLHL >CDO99389 pep chromosome:AUK_PRJEB4211_v1:3:6189924:6193027:-1 gene:GSCOC_T00026545001 transcript:CDO99389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyethylthiazole kinase [Source:Projected from Arabidopsis thaliana (AT3G24030) UniProtKB/Swiss-Prot;Acc:Q9LIQ4] MVHSIDEIPEFTPKVHALCINVGTLTPDWLPAMKVAAETANKERKPWVLDPVAAGASSFRLKACLELLGMKPSVVRGNGSEILALVKGSVDPNSKGVDSRHESIDVVEAAKSLAQLSGSVVAVSGAVDVVTDGQQAVGIRNGVAMLQKITATGCSVTALIAAFVAIDPLHVVEATASALSLFGVASEIGMDMAKGPASLRMHLIDSLYGLDQGTVFNRVKITKL >CDP03447 pep chromosome:AUK_PRJEB4211_v1:3:21652183:21657560:1 gene:GSCOC_T00015211001 transcript:CDP03447 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDLFTSLPLAESTTKAIADMGFKYMTQIQARAIPALLDGKDVLGAARTGSGKTLAFLIPAVELLYQTQFTPHRGTGAIVICPTRELAIQTTVLSYYNFLAISLKSPQTHTVAKELLKYHSQTLGLVIGGLGRSSLKEEAVRLVKGVNLLIATPGRLLDHLQNTKDFTYRNLKFLIVDEADRILEANFEEEIRKIVNILPQEHFLVTGWLNLLEIKRQTALFSATQTVKVYDLARLSLQGNLIYIGVDDEQKKVTNDGLKQGYCVIPSEKRFTLLYSFLKRNSSKKVMVFFSSCDSVKFYSDLLKYIGIDCHDIYGKKKQHKRNSTLDDFRKAEKGILLCTDVAERGLDIPAVDWIVQYDPPKEPKKYIHRVGRTARGEGAKGNALLVLIPEELQFLCQLKAAKVPLTEYEFDEKKLKNVQPHLEKLIANNYYLNKSAKDAYKSYILACHSHSMKDIFNVHRLNLQISDLGILAPTYYFAVAASFGLSKIPNVNLKIDSNALKFWSKRRRIVGKWHSFSKSNPYGRVVEDNTRQFVRY >CDO98992 pep chromosome:AUK_PRJEB4211_v1:3:2349035:2351850:1 gene:GSCOC_T00025989001 transcript:CDO98992 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPHMPEKVGNFAWIYPTVHWGFKILLMAVFLGMLIFCSMDGIYMGGLQRNFVTLKINNSSTNLTKSLQDLNITDVSQLQPYIRQHYLNLNHTLRNLTKIHEILAPDVAPEPANLAEKGVNDTRFDPQALPLFSARKDENLEDTVKPMIIPWVLAELEANYSSKLLSGWLAPGGEPCKDSRTEGISVPSLDGQESIELSTGDIHEFRIQALDGFGKHHCLGGDYFEIDLSGENWKSRPPITDMGNGTYTFRLQVHPDFEGRYNLTIILLFRHYEGLKFSPARFAIDRVLRVFPIKFQKSSAQLPEICQCVKSDFVRDVWSGRWTRHAKNDSCPISYDGRYRCQEPLFPCQHPWCDGPMGILESNGWVYSTHCSFKMFNSEQAWNCSSNRWIFWWGDSNHCDTIRNILNFILGLDFATVPRRFDMNITNPKNPSQVVRITSIFNGHWNETSNYQGLNSLSNAEYRELLKGYFSREVVPDTLIMNSGLHDGIYWPNLRHFIKGADYAAAFWSEILEGLRRKGMPLPEVIYRTTVTTGGYARSLGFNPSKMEAFNGVVIDKLRQYNVISRVIDDFDMTFPWHYDNRANDGVHYGRAPLKMKWRDGQIGHQYFVDLMLGHVLLNALCARS >CDP03456 pep chromosome:AUK_PRJEB4211_v1:3:21275123:21276043:1 gene:GSCOC_T00015223001 transcript:CDP03456 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKVLISLFALQVTINLFSIQAVSAARSNLFREYIGAEFKNVKFSDVPIHSNVDFHFILSFAIDYDTSSSPSPTDGNFNVFWDTDNLSPDAVSAIKSQHPNVKVALSLGGDSIGDGQSVYFQPSSVDSWVSNAVSSLTKIIQQYNLDGIDIDYEHFHADPDTFSQCIGKLISTLKKNGVISFASIAPFDEDDVQSHYQALWNSHGQLIDLVNFQFYAYDQGTTVDQFVDYFSQQRSNYDGGKILASFISDGSGGLSPQDGFFTACTRLKSQGQLGGIFVWSADDSKAEGFRYEKQSQALLAIPH >CDO98726 pep chromosome:AUK_PRJEB4211_v1:3:307159:310168:-1 gene:GSCOC_T00025626001 transcript:CDO98726 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNFEKVSSVLMEKEVQPTKRAFVTFLAGSGDYWKGVVGLAKGLRKSNTAYPLVVAALPDVPEEHRQILESQGCVVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFDNIDHLFEYPDGQFYAVMDCFCEKTWSNTIQYQIGYCQQSPQRVQWPEELGAPPPLYFNAGMFVYEPNLSTYHRLLETVKITSPTTFAEQDFLNMFFRDIYRPIPPVYNLVLAMLWRHPENIDLDSVKVVHYCAAGSKPWRYTGKEENMQREDIKMLVEKWWDIYEDESLDYVNIADAPSKINKEGDGNDDQKEKTVAALSDAAGLVHYATAPSAA >CDO98926 pep chromosome:AUK_PRJEB4211_v1:3:1863991:1865661:-1 gene:GSCOC_T00025890001 transcript:CDO98926 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEFSLLLLLSIIVFGAITVTNAGGGAPGGGGLRPNFYRFTRCPQAEMLVKALTISKVRSDPTLAPKLLRVHYHDCFVKGCDASILLDTVGTNQSEKDARPNQTLGGFEAIDDIKAQVEKACPGIVSCADILALAARDAVSFPFGRNLWEVPTGRRDSRVSLISDVNGNLPSPFSDFPTLQQLFAKKNLNVNDLVALSGAHTIGVAHCGAFSRRLFNFTGKGDMDPSLDATYAETLKQQCPNPANPATTVGMDPQSSRSFDTHYFTILNQKKGLFQSDAALLTNADSAAIVNRLQFPRNFFFEFGRSMVNMGNIEVLTGTAGEIRKNCRVINPIA >CDP07996 pep chromosome:AUK_PRJEB4211_v1:3:28750839:28755286:1 gene:GSCOC_T00026648001 transcript:CDP07996 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKWILFIGIIAIFLLQNSSDVEGRHHIHSHKKHKSNNGNAGSPVYAPSPGDPGSDNPDYPPPISSDPSNPPYSGDPGNSGTPVSPDPGNSTTGCTFNVMDFGAVGDGSTDDTAAFRDAWKEACQAESSVLLVPENYVFLITSTIFSGPCKPGLVFQVDGVLMAPNGPDCWPKGDSPQQWLVFYRLNDMTLTGTGTIEGNGEKWWSLPCKPHMGPHGSTLPGPCDSPALIRFFMSSNLMVTNLRIQNSPKFHVKFDGCEGVIIDRVSVSSPKLSPNTDGIHVENTKSVGIYNSVIANGDDCISIGPGCSNVDIESVTCGPSHGISIGSLGVHNSRACVSNITVRNAIIKDSDNGVRIKTWQGGAGSVTGIYFEDIQMDNVRNCIIIDQYYCLSKACRNQTSAVYLSDVSFRNIKGTYDVRSPPIHFACSDTVACTNITMSEVELLPFEGILVDDPFCWNAYGIQETLTIPPIDCLLDGMPETVGESNLYACN >CDP03414 pep chromosome:AUK_PRJEB4211_v1:3:22859159:22862983:-1 gene:GSCOC_T00015145001 transcript:CDP03414 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLGPAVQVLVRTAINSASEQIGQFVGFKNDLKKLQKTLIEIQAVLRDAEKKQVTENYVKIWLEDLERVCFFFSVSNPIAFRCRMAKKIQKINMDLTSIHERRMKLGLLRSQNGARDAPAFMESRETDSVTTDTVGRDDNVSAIVTELTATSNNETISVLPIVGMGGIGKTTVARKVFHDLNIENHFDERMWVCVSGFEKHFDAKRLFGLMLESLKVPMPEVVSKEAKVQKLKEILDGKEPNGKKYLLVLDDVWSEDPAPWDGFLDSLRGISSAKGSWILVTTRNEQVATITAISSRPCSLEELSDYDCWLILEKKPFGSREAPDDLKELGLELAKKCQGLPLAASVLGGMLRNKGSDVWHSILDTGLQNIGGNRNDYINKILKLSFDHLPYPSLKKCFAYCSIFPRGFEMERNQLIQLWAAEGFLHSNPRNKMCMEEVGKLYSNILLDSNLFQDAEKDGYGNVLNCKMHDLVHGMVQSISDCKTLRLTESGSVHMETASIRYLALERSEKEMPFPSTESFKRVTTLFLQGSRSLNDREMSFFMLRVLNLRASSVEELPKSIGKLTHLRYLDSSRTSIKTLPESLCQLYNLQTLRLRDCESLTNDIMPFEIGQLQFLQTLPFFNIGEERGQQIGELRNLKNLSGQLGLRNLELVKSKEEAESANLIGKSNIDELRLLWNEIDNSKNNDSEYNQVLEGLHPHPNLKGVIIERFFGDQLSTWIGELRRLVKFELQNCKNCKELPTFGNMPLLRSLHLKGLDSLTSIGPSFYGRSGVHSGMFPVLHTVRITDCPQLATFPSHFPHLKELNIEKTQNGSALMTYICSGVSTLTRLSVESVIGLIKLPNMLFQNNPKLAHLKLKDCGDLTQFSDFSFDSLELLNVAGCHSLESISIPKGRKYLTALRHLLIVACNGLTHLSIPQISESEWDSTSSPFSSSGNCPSLLPLETLLVLHCPNLISFPIDLTRTPSLSSLLISLCEKLTDLPKGKLCSLTSLRYLCIGPFSETTTELHSFLDLFDALPPPYPYFPSLSALVLHGWPHWESLPEQLQHLSALTTLGLCGFGVKSLPDCLTRLTKLQIQNCPLLRERYNPESSSSSIDPNSERSKISDIPRIVIDGKNIRG >CDP03431 pep chromosome:AUK_PRJEB4211_v1:3:21935775:21941413:-1 gene:GSCOC_T00015184001 transcript:CDP03431 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVDALLGSTTEVLVEKAINLASEQIGQFVGFKKDLEKLKNTLKLIQAVLCDAEKEQVTKELVKRWLENLELEIQNQMKTKVCLFFSLSNPIAFRCRMAKKIQKINMDLISINEQATKLGLQSQTAPALSPQNRDNDSAAVGTSFIGRDDEVSAIVTKLTATSNNETISVLPIVGMGGIGKTTLARKVFNDPNIEKHFDKRIWVCVSDNEKHFDANRLLALMLESLKVPMAEVRDSREAKVQELKEKLDGEKPLKYLLVLDDVWNLWNGFLDSLRGISSAKGSWVIVTTRNKRVADITAFASDPWPLKVLSNDDCWLIISKNAFRDREATDDLRGFRLELVKKCQGLPLAARVIGGMFRNKERNERRSILDTVLQNIGGDKRGDITKILKLSFHHLPSLSLKKCFAYCSIFPQDFQMERNQLIQLWAAEGFLHSNPTDDMHMEEVGNKYFTILLESNLFQDAETDDYGNVLNCKMHDLVHEMVQSISNSKTLRLKEPTEADFHGKSFRYLVVERSCGEEIPPFPLNRSFGNITTLVLGENRSIDDGLIRFLALLRVLNVASSDAEELPKSIGKLSHLRYLDSSDTPMESLPDSLCKLYNLQTLRLRDCDSLTKFPNNFKNLVNLRHFDFFSDDTSSNLTPLEIGQLHSLQTLPFFNIGEERGQQIGQLGSLKNLRGSFEIRNLQLVRSMEEAKSAKLIEKANIDEFFGDRLSKWIGELGRLVKFKLQNCKNCKELPTLGNMPFLRSLHLDGLDSLASIGPSFYGRSGVHSGSTMVVFPVLHTMRITNCPQLATFPSHFPRLKELNIKKTQNGSALMTYFCSGVSTLTRLSVDLYGCDNLISFPIDLTRTPSLYYLQISECEKLTDLPKGKLCSLTSLRFLNIEPFSETTTELHSFIDLFDALPPPHPYFPSLSTLFLVGRPHWESLPEQLQHLSALKELGLELRFGNCPLLKERCNPESSSSSTDPNSEWSKISHIPEVVIDGQPISI >CDO98840 pep chromosome:AUK_PRJEB4211_v1:3:1154711:1161846:-1 gene:GSCOC_T00025774001 transcript:CDO98840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamete expressed 2 [Source:Projected from Arabidopsis thaliana (AT5G49150) UniProtKB/TrEMBL;Acc:W0TY53] MVGLFNVLITEENFNILDSSLHFRVTPGKMYLAAGIVLWMDGVNEFVAGTKATILILPKDAFGNNVSSISKRSESYSFWLSATSLDGSAADVFNITDKGWNQLGYLRIEFVVASSGNLLLHVREKNQTLTGSPLPFKAKTGTLDVANCLVRWRIETKAFQLFSMMEAFIHQHDSYGNLVPGSYAFDVEVVQKGTNLSMPVSDLVFEDVGLGIQSFSFSLVEPGNFMLMISDKNNTLISNVPYDFKVYVGYCDGTNSVVNGTGLNHSFAGEVSTFSVFLRDAYLYPSPAELDMLQVKILHESSLKQLRPRIRPKKAEMGTFAGTLDKLTPSTDGAKNKSTENTRFSASNFEVAFVPEKSGTYEISIFCGNVPLNGGNPFRKVVSAGKVNLSLSGVVEFASKVPKLAKSEVVIQLLDSYSNPVLSEQSKLKLEVASVNRSGFSTMMFVDNKDGTYTGSFLAKDVGTYEICASFDGQHFTPCPVGVNVYTSEYFPKVLNDTVPVWEDESTAFDALDNDYFAGGNASIKEYSRPDHGSLLQYGGLFRYTPYKGFNGNDSFVYTICDVNGNVASGSVNIDILSIPPQFVSFPSQLHGTEDLISPRFGGFSGFEIAYSDLDENITVILNAQYGTVFLSPMLMQFWQPLWGELSVKIDHQKAKELILAGRVDVINSALQAIRYFGDENFCGDDTIQVSTMNRNGKNSVKVPVFVEPINDHPFINAPAFIFLEDSGDVLIFDRKKDKFDFSIGDPDLPNFPGNRSHFLVIISFEVSSGVLSTSLPAELVATTELKLKTSYQWQPLLTFVTISKHFMVKAKGIRVRGTINDCNSIIEQLMYHGGKHGDVLTVRVNDMGNYGCCPNCEQMMSMPLFAEATISLIRRPPMSSLLAHTLGSALVVEFIMLLSLSGLLLYFTCKCAVVLIREKKRKNTIQQDIEHPKPSGEEAVSSNYLCFLAISFQQILVAKEPASQPA >CDP15110 pep chromosome:AUK_PRJEB4211_v1:3:10857470:10861386:1 gene:GSCOC_T00042684001 transcript:CDP15110 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLAALSPNPSGWDSTKPFCRWTNVNCDSTNKFVTSINLDSQSLSGTLPPELGQLSSLQSVSLQKNSLSGALPSFANLTSLESVYLDFNSFSSVPSDFLLGCSNLQTLSISENYNLAPWQIPLYLTGSSNLQTFYASNASIVGGIPDFFDSFPNLQSLRLSYNNITGGLPNSFGGSEITNLWLNNQGLSGGIDVLSSMTQIYQVWLHANAFTGPIPDLSKCTNLFDLQLRDNQLTGVVPPSLMNLPKLANITLSNNKLQGPVPQFRDGVDAKLGSTNSFCGTTPGPCDPQVTALLAVAGGFGYPMLLAQSWQGNNSCDHWSFVTCDPQGKNVTMVSLGKQHLSGIISPAFANLTSLRNLYLNDNNLNGTVPEVLTTLPQLQTIDVSNNNLAGPRPVFPSTVKFVYTGNLLLEKNQTAGGSGSGGGTPGGAPSSGASGGSPSGSGSSKGSSVSAGMIAGVVGAVIVFVGVLLFVSYKCYAKKRVKSFGRVAGSEKGSEMSKPNVVGGLNGYGGVPSEIQSQSSSDHTEIPIFEGGNVSIPIQVLRQVTNNFSEDNVLGKGGFGVVYKGELHDGTKIAVKRMESGAMGTKGMNEFQSEIAVLTKVRHRHLVALLGYCTNGSERLLVYEYMPQGTLAQHLFEWQELGYPPLTWKQRVTIALDVGRGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRNAPTGNYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEIITGRKALDETMSDERSHLVTWFRRVLINKDNIRKAIDSTLGPDDETYESICKVAELAGHCTAREPHQRPDMGHAVNVLGPLVEQWKPAKNDEEESYGIDLHMSLPQALQRWQADEGTSRMFDDVSYSQSQSSIPSKPLGFADTFSSADCR >CDP11188 pep chromosome:AUK_PRJEB4211_v1:3:8634477:8648287:-1 gene:GSCOC_T00033276001 transcript:CDP11188 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQAEPYVGLVNLEGLLRKAESFADGEFSGMAAKLGIEGHLDKLIRSLTTINHFIFDAETRINLSGVRSWLKEINVLFAPLDDLLDEFAYEARRPKVKLDKVGRFFSASNRNRKMSHRLKDVGSKYEGLARRASEINVANASEQYDHFRRRETDPYCAMPEIMVGGADLLNLKEKILGAGNDPDLFFISIIGMAGIGKTTLAKVVYNDDDVANHFDVRIWICVSKEKTVLLKLLERLKGKKYLLVLDDVWEQDYSMWDSFTKYLLKLGGSRGSKILVTTRLQQVADLFKPGSTYKVNLLPEEYCWKLFRQIAIGGSGREMTQQLEEVGRTIVKSCGGLPLAVKAMASIARFRRIEDWDKLAINEAPGSEFVDDVLKLSYDNLPSISLKQCFLYCSIFPKGSLMEKDELIQLWTAQGLLNPPQGRNLSMEDLGAEYLGILVGTSILQDAELDEADGKKFYKMHELLHDLALSLSKYQCSIMEGENVATNHFGVHLSLINCEVSVSEPSRNECKRLRTLRWVNSGVLGDLLAYATSLRVLIVNDWSLEDLPASISRLKLLYYLDISKTNIQELPDSISTLYNLQILRLYDLIGLPKNFENLVNLRHLYIGPGHLYIEKPQDLDKLSALPSQAETLFDHPDSYSCLRIYRLENVSGYEKEKEEEKKAIKEELSRISKISTIESLRLHWNARRENCYDEDVLEGLPPHSDIKHLAIENYKGTAFPSWMQNTSEPLILHHLEKIELEDCSYCEELPPLGHLPFLKVVKISGMVNVKSIGAEFYGLTDVDGPLTARTVFPKLRELTLRQLTSLVEWSEPVTRSNHSSTVCPLLEKFEVEQCPKLKRLPNVITSSREHLRRLEVAWCDSLYCLPEGVVGLKSLEDLEIRWSGERASLPEQLQYLSALKFFTISGYGGMKTLPAWFSKLQSLQTLKLEFCRKLTYLPAAEKMQQLKKLDELDVCYCPLLEERCTKGSRPELHKISHIPRIMINSEEIKFRPLNKSKNTTNTQTQRNKEKDIEKSGNRERNEGEGEQQGRSAASSSSQPADQRAGAGSDRMLYKDSASPPSPSLRQEKTGVASDSNDDVPVSANPSVESKGEDKSKSAGAGNERMLVQDSASLPNPPQLQEITAIGYDSNDDKPSIKSKDEVMAKLPAPTVVGAVSSDTYGIFNDENASKKVELSKVVVNEFSESSGAGNKRTLVKGSKSPPSLPQKQEITAVGFNSDDDVPVLAKPYIESKDERTSRLPAVTTVNGVSSGTIGTEIEELASKEFELSKESVNEYFESSGAGNGRILVKDSTPPTYPPPHQEITAFGSNSDHAVPVSTVSSVESKDEGKSKLPTLNVVGGVSSDTSCTAIEVKASKEVELSKELATEFSESAGTVREKTLVKGNTPLISPPQLQVMNTMGSDSDDDAWFLAKHFYQMKR >CDO99351 pep chromosome:AUK_PRJEB4211_v1:3:5752967:5755188:1 gene:GSCOC_T00026484001 transcript:CDO99351 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDQNLSDVLDTSEKPSIFKVHGPLRSENEEAYEPQVVSIGPYHHGKPKLKEMEKHKLRYFKEILRRRGESSAEKYIIALANLQDQARRCYAEEINLSEDDFVDMLCLDGCFVIEFLRKNQQPESCLENDHIFEMLWLPSTIAHDLVLFENQLPFFVLQKLFDMTKSPSGEENLIDLAIDLRLLWYFPDPGLNSHSTILEQYEEVHLLGLMHKILSASFSGTLSSTMNSNRGDSTLYIKSAGELGQSGIKFKKAEDSKSLFHITFENGVLKIPPLVVHDNTESFFRNLIAYEQYMSNPAEAWKCITGYMIFLDCLIDSPSDVERLRRHDIIENGLGSDEALSTMFNKLSKQVNSGGRFCYAKIFDDVDKYSRKRWHIWRAHLVRKYFNTPWSFIAFLAACALLLLATVQAIFSILQYTKQK >CDP11196 pep chromosome:AUK_PRJEB4211_v1:3:8978470:8982670:1 gene:GSCOC_T00033290001 transcript:CDP11196 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFVLYTLAPSFPPEVCASAFAEEAAALLKWKAGFANQNGSLLISWNITPTKAKNSSSPCSWAGVSCNIGGSVNRLNLTNSKVSSTLYDFPFSSLPNLEYVDLSMNELFGSIPPQVGNLSKLIYLDFSANMLSEEIPPEIGLLKNLQVLHLNENHLSGPIPEELSHLVHLTELDLNTNNITGTIPSSLANLRNLTYLSLYENQFSGPLPPEIGNLSNLLETLFLFQNDLSGPIPVELGQLKSLQSLSLFGNNLIGTIPTSLGNLTNLTVLHLYDNQLSGSIPQELGNLELLTDLELDRNELNGSIPKSFGDLSNLEFLFLRENQLSGSIPVELGQLTKLAVMELDTNQLSGHLPEHLCQNGTLQNFTVSNNRLTGPIPVSLKNCSSLIRVRFDGNKLTGNLSEMFGIYPNLNFMDLRNNDFYGGLSGNWGRCPNLAALLLADNHITGRIPSQLGNASQLQRLDLSSNNLIGKIPEQVMNLASLLNLTLQYNHLSGKIPEELGLLKNLLYLDLSGNFLSGSIPENLGRCQQLFYLNLSNNNLSQMIPPQMAKLTHLSILDLSHNYITGKIPSEFRSFQSLEILDLSHNNLSGFLPNALADLPGSLHINISFNNLEGPIPYGGAFKTLAIKELRGNKGLCGNIPGLQACESPQLIRKHVKNKGLNLVLVIVLPLLGSLLLLSAFFGALKICRQRKRKTTENVKDADLFSISTYDGKAMYREIIKATEEFSEIFCVGDGGFGSVYKAILPPSNLVAVKRLHLLPKKVCFNSFLNEIRALTNIKHRNIVKLHGYCSNSKHSFLVYEYLKRGSLAKIFSIDEQAKELDWEKRVNIIKGVAQALSYMHHDCSPSIVHRDISSNNVLLDSEYEAHVSDFGTAKFLRRDSSNWTTPAGTLGYIAPEFAYTMRVTEKCDVYSFGVLTLEIIKGKHPGEFVGHLMSSTTGDMELKELLDQRLSHPTQEIEKILVFILKIAEACLHVNPESRPNMHRISSLLSSSLDLDPNLHIQIDPKKFGPFLNLCV >CDO99221 pep chromosome:AUK_PRJEB4211_v1:3:4376559:4377071:-1 gene:GSCOC_T00026291001 transcript:CDO99221 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSSSRGKGMQGKEEKEKEDVRYRGVRRRPWGKYAAEIRDPTRQGARLWLGTFETAEEAARAYDKAAFNLRGHLAILNFPNEYYSQLPSPPHYQPFSSSPSSAFSSSFLSRSSASSSSSGAIQGFDQRGSSSSSSTGQQKQVIELEYLDDSLLEELLEFGEKKKNGK >CDP10832 pep chromosome:AUK_PRJEB4211_v1:3:26961620:26964935:-1 gene:GSCOC_T00031730001 transcript:CDP10832 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLPSISSVSRLPSCFSKIKNLAATGRWQEVLSCHRELREAGVQLTDPSVFPPILKACSAISFDYGKSIHASLLKQGLDSFTSLGNSIIDFYVKSGTLGCANDVFDCMRNRDSVSWNIIIHGHLDQCAFEQGLGLFFQAKITGFKPNISTLVLVICACRERQLFDDGQILHGYIIRSGFLAISSVQNSLLCMYADIRMEFARKLFNEMHHRDVISWSVIIGWYVQNSEARVALELFRQMVSEFHIEVDGPITVTILKACTNLQNIEMGNLVHGFAISRGLKCDLFVGNSLVDFYSKCDDVESAFKAFSEMSQKNVVSWNSLLSGYVQSEKHSEALLLFDSMRRAGVEADEVTLVNLLQVCKYLMLPYQCKLIHSKVLRQGYESNELVKNSLIDTYAKCNCISLAWKQFSQMKHRDAVTWSTMIAAFTYWDMPGEAIALFREMKLIEENLNTVAMLNRIEACSLFADLNISKSAHGIAIRHGLAFEVAVGTAVLDMYSKCGAIQASRKAFEYMPQKNIVSWGAMIAAYGMNGLPRDALALHAKMESQGLKPNLVTSLSLLSACSHGGLVDEGLSVFENVIQEYGVEIGVEHYSCLVDLLARSGKFDSAMDFINKIPCTVKPSASAWSAILSGCRNSGNREVGAGALAHILELEPSSSAGYLLASNMYASGGLWSDAANMRLLGKKSGAKVLAGYSLVHVNNRAYRFVAGDKHDPLSDELCIFIEQLHSWMKIENTDHDNILGTKRKEVKSCSSSFRLQQQLEQFVDAGT >CDP11223 pep chromosome:AUK_PRJEB4211_v1:3:9432647:9432892:1 gene:GSCOC_T00033333001 transcript:CDP11223 gene_biotype:protein_coding transcript_biotype:protein_coding MKGALVIFLTLTSQVSFVKCYEGEKHETLRKFLHAESLNRSNNYITDEDSAHQYSTVYIGPQEGFKAANKIIALPGEPKEV >CDO99190 pep chromosome:AUK_PRJEB4211_v1:3:4156030:4160032:-1 gene:GSCOC_T00026251001 transcript:CDO99190 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g14455 [Source:Projected from Arabidopsis thaliana (AT4G14455) UniProtKB/TrEMBL;Acc:Q147J7] MSHRRDQRSSRAALFDDLEEGGLRASSSRDVDEHGNEKAIESLQDRVSFLKRLTGDVHEEVESHNRLLGRMGNDMDASRGIMSGTIARFKMVFEKKSSRRMCKLVVCFVVSFLVICYILRQVSLVSLICLHRSWMRLKSLPEKISIPFDLRLSLVDVVSVNYLILRKNIALWYLRNQETYS >CDP14644 pep chromosome:AUK_PRJEB4211_v1:3:14699966:14702635:-1 gene:GSCOC_T00042031001 transcript:CDP14644 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKISQTCSSSPVNLSDMFLTLTNDIICRVALGRKYSEEENGRKSMEYLRIFAELLGCFDVGNYIPWLAWVNRFNGLDSKVEKAVKQIDGLLEGVIEEHINKRKGEAESDYTSEARCQDFVDILIEIKRANTIGFALDRDAMKAIILDVFGAGTDTTHSVMEWGMSELLKNPEILQKLQAEVREMTQGKPEITRDDMEKMQYLKAVIKETLRLHTPVPLLVPRESIRDVKVMGYDIPTSTQVFVNAWAIARDPMLWENPEEFRPERFLDSSLDFRGLNFELIPFGAGRRICPGIYFAISVNELALAKLVNKFNFTLPDGIKPNDLDMTEAPGVTIHRKLPLHAIATPYSF >CDO99174 pep chromosome:AUK_PRJEB4211_v1:3:3942377:3950756:1 gene:GSCOC_T00026224001 transcript:CDO99174 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLKFIPRDKIEVKGLDEDTDGCRKERKKSRTRKEIDRRRRKKKKGSHYSSSSDDEDFGRIKSGFEKKKKWYASDDGLSSYSDRSGSESESDHNEKKGRSGKRKEKRRWKDRLEDEGVGSTIKKKKSRRKDSDDDDDYSLNDYGNEDSDNESHSKKGKRRWKKDKRGELEDDDDGGGSESQNLRDISRKEMGLDWMLRPKDTMEKTPGTALDNQQEVQVEAEEIKKENPRELNPYLKDNGSGYPEDSDANARRNQLFSSSLVGDGGASWRLKALKRAQEQAAREGRKLQEVVEDRWGSLGEMAVSAASHRVAPNHAHLHAIKCRRRGLKDEGQTDTDGDKGIFTEKDGSSRHTKMRVPKVQDSLSWGKRKMPAQDINLSAAVNSLNKFSNDGSFMHDFMQKNNDSLNDPVSSSNTKNDRLVESNLVERHGEDGPTVKPALTANQLAAKVMQLRMKGKHEEAQKLLEEAESTKVKPDAEEESHRPRIDGSTSRYIMHDVSARQKMKEEDADLHLAQKIMRNQQYKVSGQADDEYDYEDGPRKKTQHRGRGTDQKSNEISQATRIANRLLTQKERCQFCFENPARPKHLVVAIANFTYLSLPHRQPVVPGHCWIVTMQHESSTRTVDNNVWDEIRNFKKCLIMMFAKQDKEVVFLETVMGLAQQRRHCLLECIPLPQEVAKQAPLYFKKAIDEAEEEWSQHNAKKLIDTSQKGLRASIPKNFPYFHVEFGLDKGFVHVIDDEKQFKSNFGLNVIRGMLRLPAEDMHRRQKHESLEMQKQAVAGFAGDWEPFDWTKQLD >CDP10052 pep chromosome:AUK_PRJEB4211_v1:3:23226884:23251482:1 gene:GSCOC_T00030632001 transcript:CDP10052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G59410) UniProtKB/TrEMBL;Acc:F4J8A5] MGHSSKKKKKRGGARRAPSKDHNSLAADNSELIGEEITALCAIFQDECEVVSGSPPQIQIKLRPYSKDTGYDESDISALLSVRFLSGYPNKCPKLRIIPEKGLSVVDVDNLLSLLHDQANSNAREGRVMIYNLVEAAQEFLSEVVPQAESREAAISQATDRSTLLSRKDLAVSRIMMYSCKGPFVYGYIDLFSGCGESWHWSLGMEQNSGLKTEVSSNTFEHSKVGHQNADNKIGKKSVELQGAKQEFAQNPALKLVTVKEESEDEIKSTDSSTTLSCGTVKSGSVGNIKDIFVEENLAETTDEDRLIEPSESVSSKSVINHQLSQTMRTDLIMVHLLRLVCAPKGPLGDALLQITSELYNLGIVSEHVRDLTIEPSPVFEKAFNHVFAQHRVSSKISQFWRTASDFEVQNSSSTSSSRYLSDFEELQPLAGHGGFGHVVLCKNKIDGRQYAVKKIRLKDKSLPINDRILREVATLSRLQHQHVVRYYQAWFETGIAGSFDATQGSKATMSSTFSYMDGSSSDIFGLETKLDSTYLYIQMEYCPRTLHQMFEPYNHFDKELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQVEQDVDATETVGVSVDGTGQVGTYFYTAPEIEQGWPKINEKADMYSLGVVFFELWHPFDTAMERHIVLSDLKQKGELPSAWLADFPEQASLLRLLMSPSPSERPSATELLQHAFPPRMEYELLDNILRTIHTSEDTGVYDKIVNAIFDEELLSTKEHESVERLKLLGGDISSVLFSDVDTSNRDHVLEVAAGVFRQHCAKHLEVIPMHMLADSRQLNRNTVKLLTNGGDMVELCHELRLPFANWIIANQKSFFKRYEISYVYRRAIGHSPPNRYLQADFDIVGGAIALTEAEIIKASMDIIGQFFHSELCDIHLNHGDLLEAIWSWAGIEPEHRQKVAELLSLLGSLRPQSSERKSKWVVIRRQLQQELNLAEAVVNRLQTVGLRFCGLVDQALPRLRGALPAGKSTRKALDELSELYNYLRVWRLDRHIFVDALMPPTENYHRNIFFQIYMRKSLGSLVEGTLLAVGGRYDYLLHHTGDFEYKSNPPGAVGTSLALETILSHSSMMDTKIYRHDVGIEVLVCSRGGGGLLVERMELVAELWQQNIKTQFVPICDPSLTEQYEYANEHDIKCLVIITDSGVSQTDSVKVRHLELKKEKEVPREELVKFLSEAMATQFKNPSIWN >CDP15467 pep chromosome:AUK_PRJEB4211_v1:3:17448069:17450369:-1 gene:GSCOC_T00043213001 transcript:CDP15467 gene_biotype:protein_coding transcript_biotype:protein_coding MMILAGYRDSHSCGKEQIYGLANTWESSWLDFKSCLAETEFAPILMTNAANGACQPFKET >CDP08012 pep chromosome:AUK_PRJEB4211_v1:3:28921366:28923437:1 gene:GSCOC_T00026671001 transcript:CDP08012 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLLTETAPATTAIPISTRSKQSNATQSLSFPRVSAFHRGSDQAPVPNLLYRRSLAFGLVGAVLGLNSAEKCASAAARRPPPPQPEEKKDPNVSGLTAKVLASKRRKEAMKENVAKLRKQGKPIITEPSE >CDP16569 pep chromosome:AUK_PRJEB4211_v1:3:25972706:25973507:-1 gene:GSCOC_T00018971001 transcript:CDP16569 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFIFFLSSCIYIYLSKCFISNFCFQIHTKFSWVEASTNQVADQAMPVYNLPWKILCRVINVQLKAEPDTDEVFALVTLMPEPDVSLHMEPLPPPPLRFHLHSFCKTFPASDTSTHG >CDP08044 pep chromosome:AUK_PRJEB4211_v1:3:29816970:29819785:1 gene:GSCOC_T00026731001 transcript:CDP08044 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAREINDKLVLINKEVKYFLVSEKFAVTTASPPQLDVVQEVDFLIADLKITENEEKISPENCWSMIKEKAGARKEIPIGLQDIGRHNAEKCQGLSLAANLLGGLLQNKERDFWLSLLESGVLDQEYIISEILKLSFDNLPSPFLKRCFAFCSIFPRDSVIDRDQLVQLWMAEGFIDPGLGISVMEELGNQYFDTLLQNSYLKLGKLERCKRCKEIPTLGFLPLLQNLEIVDLFQASCFGSPFYSDDGSTTSTNQVTKTFFPALKSLTIENMPRLVDWKGPEEIYAAYEAKAFPSLERLFLTWCLYSLPLENICNSKLTTLTSLHIESLEELTCVPDELLENNTNLSNLCIVKCHSLTHIVPHKSGCSAALQELFEVSRCPSIKSFPTLNGQECLPSPQSLTIFECQGFICIPSEVLRSCKSLQSLRVTQYENLIEFSPDFLPMPNISFLHITGCPKLNCIPKGIGALSHLVNLQIGPLSDLMEFETFQTCFTGFQQLSSLVSLFLVGQYHWSSLPEQLRHVTALKEMTLYGLGAEVLPDWIGNLSSIQKLEQCKCRNLQSLPSKEIMEGLKNLRRLKIENCHLLARKL >CDP19146 pep chromosome:AUK_PRJEB4211_v1:3:31607888:31612624:-1 gene:GSCOC_T00001649001 transcript:CDP19146 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNQASKNEIVVLCPDDNAGEEGTNVVILKETLLVPKEGSEECCSCSCSCGCGAQKLRCRLANSDSGLAKNDRLRGQDKKLCREDRLELGRLFQGAVSTRDWELADNLIVLADPQALNDTLCIALDSVWFLSTQQELHGITGVMRKVIANGAYDFTRAALRTAFLASCVSACQSQKMSHADTVTIMAQRLHERLRECNGDEILKAEASAKVQKFTEWALKCISFHFRCQGNEDRAGFTISEIQIQLSAFKTFLDLVGKHLGGKDFTEAFDAACFPLTLFATSFDPGWASSPSAFAIQGLLGMLVESGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDIDVDLALGFASHYGKIDTMECLVEEGNAMAFLGPLMRAAERGCIPVVQWFVNRGCRDMELCLALTAATSSNQVEVASYLLPRVPQHVLAALSTEILKAAAERSGGSLDGVAFLLRSDFLGDPTATYTVADTIANSNDESVAPELRAFLQEHWSEAAFLGGLRQGREHHMNLVRIIKWGESPICLRDLPGPLRVAIAYLPLYRECLKAGGSLLSQRLRGQLVEAVRRLGGVELEEARQGRQLLSVLEHHLPPFLVTALAAL >CDO99355 pep chromosome:AUK_PRJEB4211_v1:3:5794230:5794815:-1 gene:GSCOC_T00026492001 transcript:CDO99355 gene_biotype:protein_coding transcript_biotype:protein_coding MHANNLHHSLSETTALTQLTNPQSPDYLSKANADISASASPSAVAVGASLTLPPLPPVTLWRPLSDHTSSEFQPTPRPARRTLLRSPTSDQESLESKSLKKIKQKLKVMSQWVEKVMREEDEEYTHSEEENCNINSNSKVCECFFFNLL >CDO98828 pep chromosome:AUK_PRJEB4211_v1:3:1080505:1082017:1 gene:GSCOC_T00025759001 transcript:CDO98828 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKYRSNNELLKERKKEGISDGFSIYLMELKLELKLKFAERLKKSLDRVRLKGAQSHRWRESKSGGIRSATGPRVGDLEQELANYLSCLIQFTNLATETNFLKFANPPNELLLYVIGVHLRNLNINDNFR >CDP19160 pep chromosome:AUK_PRJEB4211_v1:3:31716069:31724192:1 gene:GSCOC_T00001668001 transcript:CDP19160 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRDLEDDDRDALNYQPLPEFMGSGGGVGIFKLPTRAAVHPGRPLCLELRPHPLRETQVGKFVRTIASTDTELWAGQECGVRVWNLSDAYKPGSGLGGRTRRGDEDAAPFYESVNTSPALCLMVDPGTKLVWSGHKDGKIRSWRMDQQHSDDTPFKEGLSWQAHRGPVLSMVFSSYGDIWSGSEGGVIKVWPWEAVEKSLSLSPEERHMAALLVERSSVDLRSQVTVNGVCNISSSDIKCLLSDNLRARIWAAASLSFSLWDARTRELIKVYNIEGQIENRVDMSSVQDQAVEDEMNVKFVSKSKKEKSQNSFLQRSRNAIMGAADAVRRVATKGAGAFVDDTKKTEAIVLAADGVIWTGCSNGLLVQWDGNGNRLQDFIHHPCAVLSFCTYGSRIWVGYVSGMVQVFDLDGNLLASWVAHNGPVIKMVVGNGYVFSMANHGGIRGWNIASPGPIDNILRPELAEKEDMYTTQENLRILVGTWNVGQGRASQDALMAWLGSAVSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGQWWQDAIGKTLDEGSTFERVGSRQLAGLLIAIWVRRTLRTHVGDLDVAAVACGLGRAIGNKGGVGLRLRVFDRIMCFANCHLAAHLEAVNRRNADFDHIFRTMTFSRSSPLTNAAGMLPFLFVCCSLIFSTYLFWLLYSSGFPWILSVAAGVSSAAQMLRGPNAAAVNPEEGKPDLADADLVMFCGDFNYRLFGISYDEARDFVSQRSFDWLREKDQLRAEMKAGKVFQGMREALIRFPPTYKFERGKAGLGGYDSGEKKRIPAWCDRVLYRDSRAAPAEECSLECPVVASIVQYEAIMDVTESDHKPVRCKLNVDISHVDRSIRRQEFGRIFESNANVRACLDELRFVPETIVSTDRIVLQNQDTFNLRIANKSGKDNAFFQIACEGQSTIKEDEQPSGYRPRGSFGLPRWLEVTPAAGVLKPDQIAEISIHHEEFHTLEEFVDGIPQSWWSEDTRDKEVLLLINVQGSRSLVTGTHRIHVRHCFTSNTVRLDSKSNYARKHSGSAHSRSLPKHAGATSDTIDDHRSLRGP >CDO98765 pep chromosome:AUK_PRJEB4211_v1:3:624562:627141:1 gene:GSCOC_T00025681001 transcript:CDO98765 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDIHVLFLGIACSFIVNKVHSASNSNISNSDSLVLACGAPTNATDNNGRSWIPDSKFLASSDNSIVATALSQDPSLPSTVPYMTARVFQSQFTYTFRISPKARHWIRLHFYPSSYNNLSSSNGFFSVTADGFTLLNNFSALITAQALTQAYIIREYNIVPIQTGILNLTFTPSPAYNGSFAFVNGIEIISTPELFQAAPLVGFSEQSVEVEQNTVQTLIRLNVGGQYIPASDDSGLSRTWYDDSPYLFGAAFGVTSQADENVRINYPTGLPEYIAPVNVYDTARSMGPDPKVNQNFNLTWVFQVDPNYTYLVRFHFCDYQMSKVNQRVFAIFINNQTAFPDADVIGWASAKGVPVYKDFTIHVSDRSGDEQLWVALQPNQTSVPEFYDVILNGLEIFKINDTKGSLAGPNPVPSPLATPMESEPKQFAPSKSRKTGLILGAVGGAAVGFALVIGFVAFLRRRKRMALGESSSIAGWLPIYDSSRSSETGTTISGKSCGSSRISNIGGSLCRRFSLAEIKNGSMNFSESQVIGVGGFGKVYRCFVDGGTEVAIKRANPSSEQGVHEFRTEIDLLSKLRHRHLVSLIGACEEHNEMILVYDYMANGTLREHLYKTKKPRLLWRQRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLHQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCGRPALDPNLPKEQVSLADWALRCHSRGVLDDIIDPCIKGEMAPECLKHFAETAAKCLSDQGIDRPSMGAVLWNLEYCLQLQNNPDGPEFVVQQKANDAYAMHETLLTIEENDKISQETEDSSSNEIFSQIINPQGR >CDO99027 pep chromosome:AUK_PRJEB4211_v1:3:2608547:2614808:1 gene:GSCOC_T00026032001 transcript:CDO99027 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFPFLLLFLSLIPSLTFSESLRGTFIDCGATSPTVINGQQWVPDNDFITVGTPKNLSTQYEELTLSTVRTFPVQNNIYKKFCYDIPAFRTGKYLVRTTYFYGGVNGNANPPVFDQVVDGTIWSIVNTTEDYGQKKASIYEGIFVAAGKTISVCLAANNYTDSDPFISALEVVVLANSLYNSTDFGTYGLKLVARHSFGYNGPLIRYPDDQFDRYWQPFGEDNSTTPSSRNVSVSGFWNIPPLKVFQTHLGKNQPKPLELQWPSTSLPNSTYYIALYFADDRVSPSASPRVFNITVNGIMYYANLAVTEAGEAVFANQWPLAGLTNITLTPATGSAIGPLINAGEVFEVLNLGGRTLTRDVIAMERIKASIKNPPLDWNGDPCLPRQYSWTGVSCSKGPKVRVTTLNLTNMGISGSISPNISSLTALSGILLGSNSLTGSIPNLSSLKRLEILHLEDNKLSGEIPSSVGNIQNLRELFLQNNNLTGTLPSNLVGKSGLNLKDSGNPFLSPPAS >CDO98695 pep chromosome:AUK_PRJEB4211_v1:3:90580:95863:1 gene:GSCOC_T00025590001 transcript:CDO98695 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSGGFLDPGWEHGVAQDEKKKKVRCNYCGKVVSGGIYRLKQHLARLSGEVTYCDKAPDEVCLKMRENLEGCRFSKKSRQVEYEEQSYLNFHAADDVEEEDHAGYKNKGKHLVSDKGLVINMTPLRSLGYVDPGWEYGVPQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKSAPEEVYLKMKENMKWHRTGRRHRRPDTREISAFYMNSDNEEEEEQEVEAIHHLSSEKALLRDKRLGSDVRRAVKGMFHATSSESLSKRPRFDAIALKTPKTQIQASSKQPRAASSRKSRKEVVSAICKFFYHAGVPAHAANSHYFRKMLELVGQYGQDFVAPSSRVLSGRFLQDEIFTVRNYLAEYRASWAVTGCSVLADSWRDTQGRTLINILVSCPRGTYFVCSVDATNAVDDATYLFKLLDKVVEEMGEENVVQVITENTPSHQAAGKMLEEKRRNLFWTPCAAYCIDQMLSDFVKIKWVGECLEKGQRITKFIYNRMWLLTLMKKEFTGGQELLRPSVTRCASNFTTLQSLLDHRVGLKRMFQSNKWLSSRFSKLEEGKEVEKIVLSASFWKRVQFVRKSVDPIVEVLQKVNGGDNLSISFIYNDMFRAKIAIKANHGDDARKYGPFWNVIDSHWNLLFHHPLYLAAYFLNPSYRYRPDFVPHPDVVRGLNACIVRLEADNTKRISASMQISDFGAAKADFGTDLAISTRTELDPAAWWQQHGINCLELQRIAVRILSQTCSSFGCEHNWSIFDQIYSQRHNHIAQKRLNDIIYVHYNLRLRERQIWKRSSDSISLDGVLEETLLYDWIVEREKQAVLEDEEILYSDMEHVETYENELDHDDGNAADSRKGSLEMVTVADIVEPLDVNPAHACGGCDDEGDTNFFDDDQSD >CDP03442 pep chromosome:AUK_PRJEB4211_v1:3:21748128:21751884:1 gene:GSCOC_T00015202001 transcript:CDP03442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 2 [Source:Projected from Arabidopsis thaliana (AT1G67170) UniProtKB/Swiss-Prot;Acc:Q84TD8] MGSKGRIPPPHLRRQLPGPGMGHPDPFGPAIHPPPGGFPPFDMLPPPEIMEQKLATQHVEMQKLATENQRLAVTHVSLRQELAAAQHELQMLHANIGDIKSEREQQMRGLMDKIARMEAELRAAEPIKKELHQAQTEAQSLVAGRQELISNVQQLNQDLQRTHSDIQQIPFLLSELDGLRQEYQHCRATYDYEKKLYNDHLESLQVMEKNYLTMAREVEKLRADLANSSNFDRRTGLTYGGNMGYSENDASGNYPVGPNAYGNGYGVLQGRGPHSGDGSSGAAADAAGAATGGATPPVGAPSGSGNAPLATRAAYDAARGPTFDTQRGPTGTAYDAHRGVGGPGYDAQRGNAVPSYEAQRGLGYEAHRRTASDGHGPTFSGNAAAPYNFQRGSGYDGQRGGYNPQKSVGYDSSSRAAGSQGQAAPVRNAPYESAAPSARGVGMGYEAPPRGGNAVRR >CDO99369 pep chromosome:AUK_PRJEB4211_v1:3:5996191:5996967:1 gene:GSCOC_T00026516001 transcript:CDO99369 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQFNAGETHGRTQAKTEQWVDSCKDAANAARDRSAQAADQSAGFLQQTGEQVKSMAQGAVDGVKNTLGVGDNNTKK >CDO99084 pep chromosome:AUK_PRJEB4211_v1:3:3029422:3030763:1 gene:GSCOC_T00026107001 transcript:CDO99084 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSFTPCLNFITNSSTNGNSPTADCCNVIKAFMANGTGCLCLVATGGVPLNLPINRTLVLSLPRTCNQPGVPLQCKASVAPIPAPGPLAGGPAVSPAANPPTGTMSPAAAPPTGSVVPQAGSPTLAPEAETNPALTPPSSTTNSGSPAGNSGNRQSLTPSAASTLSFSPLLLLAVIGAIALRNY >CDP16816 pep chromosome:AUK_PRJEB4211_v1:3:15936403:15937010:-1 gene:GSCOC_T00019339001 transcript:CDP16816 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTACRSLENASFRTLFFVQKKEEGLDSIVRQHGLNWSMCQRQLFCLGQALFKRRKILILDEATASIDNATDSIIQKTIRTWINSVRLRNGAWIKGWKGGGV >CDO99333 pep chromosome:AUK_PRJEB4211_v1:3:5435384:5437530:-1 gene:GSCOC_T00026448001 transcript:CDO99333 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSKTSKVRAHSFCCKVVRSRETETNGEEAKETESCGRQLKGLMMEIKMAKLQACYNCCLLIQLAHCPFLASTSFGEFSSSHQPNSVLLRYMKESEEVRRAMIPLDFIKRGFLATLLLKEKKGMERMLNLRIVKSRERLCLLILRMRTSLSILRMRTSC >CDO99321 pep chromosome:AUK_PRJEB4211_v1:3:5376884:5380160:1 gene:GSCOC_T00026434001 transcript:CDO99321 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSEGSSYENEEEIELGLGLSLSSGGGTGGVGKNKGSLWGDYGRILTAKDLPNGFSGRAGGVSGTKRAADCVSPAENVPASTGVSQVVGWPPIRAFRMNSLVNQSKNPNVEEDKGVGGNEKKENLKKKTNYGNHKTDDTPKDKGHLGYIKVNMDGVPIGRKVDLNAHNCYESLAEALEEMFFNTIAISGEKESSRQPPKLLDESSEFVLTYEDKEGDWMLVGDVPWGMFLCTVKRLRIMRNSEANGIAPTIQQRHERLKGKPI >CDP11185 pep chromosome:AUK_PRJEB4211_v1:3:8593216:8598468:-1 gene:GSCOC_T00033272001 transcript:CDP11185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-5 [Source:Projected from Arabidopsis thaliana (AT4G13980) UniProtKB/Swiss-Prot;Acc:Q94BZ5] MEAAAAGLISAPGGGGGAGPAPFLLKTYDMVDDSSTDDIVAWSLTRKSFIVWNPPEFARLLLPTYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEEFVKDKKHLLKNIHRRKPIHSHSNPQGTVVDPERTAFEEEIDKLSREKAVLEGNVLRFKQQQSTAKVQLEDLTQRLSGMEKRQDNLLTFLENAVQKPCFVELLSQKLESMDVSAYNKKRRLPQVDQTQYVQENMMVDDHSSSRPEFGNNSIPQDFCNKLTLDLSPAVSDINLLSQSTQSSNEDGEISPKRISEGWPKDVQKCGETLYAPETLDLSDTGTSFGLKVDSPLPHKAADAKSPQLHSFQPCLTSSEDGDGHISCHLNLSLASSALPIGRSQSSAKVDHVVEETGKSSEANLNADGNEADLSIPMESRKHPDGDTTLSSSQNKQQGPATQARVNDVFWEQFLTEKPGSMDNEEASSNHRASPYDEQEHKKLGRGLPRSIKSAEHLSL >CDO98822 pep chromosome:AUK_PRJEB4211_v1:3:1043040:1045749:-1 gene:GSCOC_T00025753001 transcript:CDO98822 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFTTILSTLAKNISIRSGRKSRLGVGRDAADTLAKEARKNEMMLTSSGIVNANRTSNMASAYSKKGKKGINQDRFIVWEEFGCQEDMIFCGIFDGHGPWGHLVAKTVRQLMPSFLLCNWQETLAQFVVKPDSDEFGSSDGCRCQFDIWKQSYFKACSAIDYQLAQLPGIDSFYSGSTALTIVRQGNLIVVANVGDSRAVLATTSDDGSLVPIQLTVDLKPNLPQESERITQSNGRICSCHDEPGVYRVWMPNGNTLEGPGLAISRAFGDYYIKDFGLISEPELTQRRITDTDQFAILATDGVWDVISNQEAVDIVSSTPEREESAKKLVESAVCAWKRKRPGIAMDDISAICLFFHDSCPSQEFDPLKLSKKLA >CDO99110 pep chromosome:AUK_PRJEB4211_v1:3:3264790:3272431:1 gene:GSCOC_T00026139001 transcript:CDO99110 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVPSTPRWGGAAEMRPAETAEYLIGALVGEKSYPLASDYWQKLLESPLHLRWPAHRVLQASQLFAQNNCKTRHLAKILVHLAWCLQECVSASGVPSAALVRALNALYISSVFLKYFIENAKSDHFEELHLSLDENEAKPTSFSKDQTIEQLVMHSVLSFLGKVDVSTNTYLLHHELLNFMLIAMSTQLLSGPSPGPDDSHPFIDAMMVQESSLVSLVVSKLLLNYIRRPNFPIDSSSYSIFSEVNQTGVLQRVGSAAANFVLLPFNLFVGSTGEATRSPLAENSLNVLLILIHYRKCIETDYVKDRGDHSSEPLPKEDACFSENPYCKALENAQDIEFDRLDVEGNVQNGPLVRLPFASLFDTLGLYLADERSILLLYSMVQGNSAFLEYVLVRTDLDTLLMPMLETLYNASRRTSNQIYMVLIILLILSQDSSFNASIHKLMLPNVPWYQERLLHQTSLGSLMVIILIRTVKYNLSKLRDVYLHTNCLATLANMAPHVHRLSAYASQRLVSLFDMLARKYNKLAEMSNNKMHMPNGESREEDNLPEDTTAELHIYTDFLRIVLEILNAILTYTLPRNPEVVYAIMHRQEVFQPFRNHPRFNELLENIFTVLDFFNSRMDAQKMDGEWSVEKVLQIIVINCRSWRGEGMKMFTQLRFTYEQESHPEDFFIPYVWQLVLSCSSFSFNPNSINLFPVDLPLEKQDSFVGEDGQKLQSGGLNGLEQQVDVLV >CDP19900 pep chromosome:AUK_PRJEB4211_v1:3:9469764:9470978:-1 gene:GSCOC_T00004204001 transcript:CDP19900 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGQMEISTLEPVKPADAHVIQIGQFAVEQLYHGLGGFTGSGDKGKNYYLIIETRDSVGATYRNNPRVHETPDGGLKLISSPEPVTPADPHVIQIGQFVVEQAHHGKLLFVAVVGGFTWRLIGGN >CDO98917 pep chromosome:AUK_PRJEB4211_v1:3:1797102:1801748:1 gene:GSCOC_T00025878001 transcript:CDO98917 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKQISSSGPPRGEVVVGGKGREKEGERDLAVSSERREKVGVVGGGEGGGGGGGSGGEVQNGGDHKEEKKDGSARPRGERRRSRPNPRLSNPPKHIHGEQVAAGWPSWLSAIGQGTYSNVYKARDSITGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVVKLHGLVTSRMSCSLYLVFDYMDHDLAGLAASPGIKFTEPQVKCFMHQLLSGLEHCHNRHVLHRDIKGSNLLIDGGGVLKIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATYYGVGVDLWSVGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQHSYKRCIAETFKDFPPSSLPLIETLLAIDPAERQTATAALNREFFTTKPYACEPSSLPKYPPSKEMDAKRRDEEARRLRAAGRVNVDGTKKSRMRDRAVRAIPALEANAELQANIDRRRLITHANAKSKSEKFPPPHQDGTLGYPLGSSHHIDSAFDTSDVPFSSMNFSYEKEPIQTWSGPLGDPATNGSARRKSKPSKKDWPTKKKEKM >CDO99179 pep chromosome:AUK_PRJEB4211_v1:3:3985139:3985925:1 gene:GSCOC_T00026230001 transcript:CDO99179 gene_biotype:protein_coding transcript_biotype:protein_coding MSECPAGKNSWPELVGEDGDKAAAKIEQENQRVKKAIVVDESAIVTTDFRCARVWVFVNKEGKVSVVPKIG >CDO99006 pep chromosome:AUK_PRJEB4211_v1:3:2451501:2456010:1 gene:GSCOC_T00026007001 transcript:CDO99006 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRIFEPSLGILGFFLVAVCVIFGFFLLDYKAVVKGSRFFAASDRLLWLKLNEGGEKRARKVDFLSEEGGRCNVFEGDWIWDDSYPLYQSTDCSFLDEGFRCSENGRPDMFYTKWRWQPKDCNLPRFDPKIMLDKLRNKRVVFVGDSIGRNQWESLLCILSSVIPDKDSIYEVNGNPITKHRGFLIFKFKDYNCTVEYFRAPFLVLQSRPPAGAPSNIKTTLKLDQMDWSWSKWRDADMLIFNTGHWWNYEKTIRGGCYFQEGLEITMDMEVETAYKRSLQTVLDFVDRELNTSKTQVFFRTYAPVHFRGGDWRNGGNCHLETLPELGSSLVPSNNWEMYNLFSNVVSAHLNSSNAEAVEVLNITHMTARRKDGHSSLYYLDPSVGPVPPHRQDCSHWCLPGVPDAWNELLYAIFLKHENLQFRNRYFGHMDDS >CDP03471 pep chromosome:AUK_PRJEB4211_v1:3:20396397:20398079:1 gene:GSCOC_T00015250001 transcript:CDP03471 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSSNAFNSLVLMLSLSIILILLPSSFASNKWGIPQSDVDLLEFPLNLEYLEAEFFLWGSLGYGLDNVAPELTGNGPEPIGAKIAKLSPFVRDVVEQFAFQEVGHLRAIKSTVPGFPRPLLNISSESFATVINSAFGRTLKPPFDPYANDINYLIASYVIPYVGLTGYVGANPNLQSPAAKRLVAGLLGVESGQDAVIRALLFEKAYVQVKPYGITVAEFTDRISNLRNKLGHAGLKDEGIMVKPSEGAEGRISGNVLAGDKDSLSFGRTPEEILRIVYGSGNESKPGGFYPKGAEGRIASHILD >CDP10032 pep chromosome:AUK_PRJEB4211_v1:3:24519369:24528081:1 gene:GSCOC_T00030594001 transcript:CDP10032 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVNAVRDYINRILQDISGMKILILDSATVSIVSVAYSQSELLQKEVFLVEFVDSISMSKEPMSHLKAVYFLRPTSENIQLMRRQLAKPRFGEYHLFFSNMLKDTQLHILADSDEHEIVRQVQEFFADFVALDPFHFTLNIASNQMYMLPAVVDPSSLLHFCERVVDGIAALFLALKRRPIIRYSRTSDISKRIAQEAAKLMYQQESGLFDFRRTEVTPLLLVIDRRDDPVAPLLNQWTYQAMVHELIGIQDNKVDLRNIGKFPADQQEVVLSSEQDAFFKANMYENFGDIGMNIKRMVDDFQQIAKSNQNIQTVEDMAKFVDNYPQYRKMHGNVSKHVTLVTEMSKIVEERKLMLVSEVEQELACNGGQGAAFEAVTNLLNNDSVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSPKYKPGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFHIMESITRGRLKDVDYPFVGNHFQLGRLQEVVIFIVGGTTYEESRAVALQNTTNSGIRFILGGSAVLNSKRFLKDLEEAQRIARTTTGLV >CDO99366 pep chromosome:AUK_PRJEB4211_v1:3:5940844:5941218:1 gene:GSCOC_T00026505001 transcript:CDO99366 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAP1 [Source:Projected from Arabidopsis thaliana (AT4G13520) UniProtKB/TrEMBL;Acc:A0A178UU77] MKPMPIDFFADLEEQGTTVAMDVDDAEALEIFGGGEGPIAAMEHHRLADADFFNSFQDDFDDSDIN >CDO99314 pep chromosome:AUK_PRJEB4211_v1:3:5333830:5338582:1 gene:GSCOC_T00026423001 transcript:CDO99314 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAILLSSVKSIGKLLIDEGNFLRGVTDQVKLLHEDLKRMQWFLKHVAAKQSDRECVQLWISEFRAIACEANDLVEDYALRVSIQRKQGFWHTLKRYVGFFKQGYAKHKLSSEIQSLRTRISNLTKNFSEYGVRAVIEEEGSSSMVMEQQLRRTFSFVVEEDIVGIQRDVEMLVKYLLNGNEAGHHSVVSIYGMGGLGKTTLAKRVYHHPKLKKQFAGFAWVCVSQQWQTKDLLQGMLVKLSPEQRSDVMHGTEGELAKRLHQVLQDRRCLIVLDDIWSPDAWNCIKYALPITEEGSKILLTTRNREVASYVGPNGYHHQPPFLNEEQSWELLQRKSLIGKSSQGCEDLDKIEELGKKMLKHCGGLPLAVVVLGGILKTKKSLSEWRVVHDNIKSYLERGENFGKVGEVPKILAFSYYDLPYQLKPCFLYLGKFKEDSNIEAEWLYQLWMAEGMILENDRIGQETMMDVAERYLEELAKRCMVQVKLHEEGKPAVTRFKSCRIHDLMRDLCLLKAEEQNLYKTKHLHSYMYESLDCNGDNYGRRLGRKIMSEVNNLKMLRVLAIENSSLASRSCYSRSPLECIGNLIHLRCLRLRDCHGLKLPSCLGNLKYLETLDLRGSYCSRLPNVLSKLGRLRHLYLPCCSGKQRLDGLSKQLEILESFDSTLYFHPNLSRLTNLRALKAMQMLFCKNVHELQIVGASLCKQLPIYRPHQLSPRPGLTELTLRFSDIEEDPMATLEKLPNLRRLHLHEHSFVGKEMTCHALGFPQLEVLELICLYNLERWKVHEGAMPKLSSLLISYCEKLEMVPNGLRSITTLERICFFGMPEEFLDRVQTVNGEQAPDYNKIRHVPSVVIR >CDP10866 pep chromosome:AUK_PRJEB4211_v1:3:27957197:27962996:1 gene:GSCOC_T00031796001 transcript:CDP10866 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHKRCPVSLDQSSLASLTPKRHKADISVLSKEKKEKVGEKITALQQLVSPYGKTDTASVLLEAMEYIRFLQEQVKVLSAPYLCNTPTTTEQEQEPYSLRSRGLCLVPISCTVGVASSNGADIWAPIKTASQKF >CDP15451 pep chromosome:AUK_PRJEB4211_v1:3:16924952:16929911:-1 gene:GSCOC_T00043185001 transcript:CDP15451 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNQIFNCATKFLPNSCCKSIFPWSSLNFCFPDICFPFSQEDLFTWQGPSIFKRIHQGSSKRNSSFFLIWRIF >CDO98757 pep chromosome:AUK_PRJEB4211_v1:3:567055:569125:1 gene:GSCOC_T00025670001 transcript:CDO98757 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGGDEGIEMVVDSKDLQQQSKALDKLTDRVEDRQLDSTRVQEAMASIAASKEADLQAMRLREKELAAVKINPEHVDIIANELEACIIFYFRS >CDP10332 pep chromosome:AUK_PRJEB4211_v1:3:12937641:12938972:-1 gene:GSCOC_T00031029001 transcript:CDP10332 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIISQEIIKPSLPTPDHLKIFKRSFIDQITGGYLVRFISFYPRKESKLKINEVTNQLKTSLSQTLTRYYPLAGIYKDDSTIECNDKGALFVTAHVHCNMNELINQPKFQQFHKLGTSSRFYGDGSFQVSVQFNTFSCGGVAIFTCFYHMIMDITTISVFLKCWAAMASGSQDHESAFFYPEYRSAVLFPVKDSVPFGFSVIIKSLSLNEGRSTRKRFVFSSAAISELKVKGSSDRVPDPTSVEVVSSFIWKHAMTAAKVVQGVQEPSVLVHAADMRRRMVPPLPEYSAGNIISMIIAEYDGIDECEVKFGRLVELLRVAKEENKNEFVPKIQSSKGYDVMMKFLEEWGEKCSRKGLNTYQFTCWCKMGLNEVDFGWGKPVWTSLVGGTEVESMYKNFVVLLDGSDGGIEAWLILEQQETAILENDQEFLAYASLNPGIIS >CDP11123 pep chromosome:AUK_PRJEB4211_v1:3:7440887:7449666:1 gene:GSCOC_T00033167001 transcript:CDP11123 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFIAGLVWHEIMGKKAKKKPRSGQKDKRVSAASAKTNSQQQNTIANADKATDDGTTVVLKDKRVCSHLDKGVNLEKLSAKFGSSESFKCEDCRDGGDGRRGGKGKGKQGKKKGGAESRTESKAIWVCLECGHFSCGGVGLPTTPQTHAIRHAKQNHHSLVIQLENPQLRWCFCCNILIVAEKSEDGVEEKDVLHEVVQMMKIRRSDGAALDSEDVWFGSGSVTSTITSEKSAVVGSGGRDSYVVRGLINLGNTCFFNSVIQNLLALDRVRGHFCKLDGCFGPLTAAFKKLVSETNPESGLRNVINPRSFFGCVCAKAPQFRGYQQQDSHELLRCLLDALSTEELSAKKQNKSSQEDGNSDPTFVDAIFGGQLSSTVTCLECGYSSLVYEPYLDLSLPVPTKKPPSKKVQQVNRAKKPKPPPRRNARIRPKMVRDTNDLPSTSTSAAYVDGKSSSTPQSSVHIPEQNVVSSGGSATDVSVMADTKSITADCLSSDQRAQSNKAVESIVEKPIPADDFTWLDFLEADTVSNNDNMTSQMDDLSINHGSADENTVQNEVLQNSLDSCGDNISTFTDTACCSHDEMQLVHHGKEKLLSAQDMASQFDEKVVLDSSGCADTMHSEAYWENSSELSSLTCFRDLNLGVDSLGKFSEEETPLLVQESEVLLLPYKEDTCDIVKTDGDFFSSAIGCEQDSMEFDGFGDLFNEPEATGPSMNPSSMYNASETNEVLHAGFGGNSSESDPDEVDNTDAPVSVQSCLSYFTKPELLSKNEHAWQCENCAKVLQEQKIRSRKKLLNPWSKEMTELGKATRASGLSHLQDSSPFSSEVRHLCNGYLKNETVDPSDDSFLSHSTKTDVKQNVMPKNGENAEVNSVDSSMEGEKCDVVLANVCLPGTSDGDMTFCKLDDNCKRHDGSHVRCTDGKVQKDEFCTGTGKCETEETKDDEKNAEKVERDATKRILINSAPPILTIHLKRFSQDARGRLSKLNGYVQFDRTIDLKSYMDPRCMERDRYKYNLVGLVEHMGSMRGGHYVAYVRGGMKNTESQNGDYVWYHASDAYVREASLEEVLHSEAYILFYERV >CDO99315 pep chromosome:AUK_PRJEB4211_v1:3:5338883:5342915:-1 gene:GSCOC_T00026424001 transcript:CDO99315 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGHPIFLEDWLYQNSGIGDTISSRKSSSISAQAIIQAWTDLRDSLQSQSFEPHHLQSLKILCGSQNVLYVADPQAKLLLSILSLPNVSLPPESYPLFLRLLYIWVRKSSKQSLIMIDSTVEVLSDIFSEKFYINKSSIFFSEGVLLLGAISFVPSASEKSKTFCLELLCKLVEQEYQMIGVLEGVLPNVLGGIGYALSSSVNAYFVSILDFFFEIWEKQDGPSVSVPYGLMILHMVEWVLSNCINLHSTDKADLFRRVMLVNRKPSYSSFALVMAAAGVLKVLNRSGSNDFMPLKVSAEELIGTVATDLVARTEGVNASGTELRDSVLLQCISLGAARSGSISYSASLLLCLALALLGEIFPLVRMYQKMLDLSVGSFKGLLVNEVKEHLASTSFREAGAITGAFCNQYVSADEETKNSIENLIWEHCQEIYLQHQHVAFVYQGVKSGLLGDLEKIAESAFLMVVLFALAVTKYRLGPNSSQHTRLTLSVRILVSFSCMEYFRRMRLPEYMDTIRAAVVSVQENESACVSFVKSMPSYSDLTSKHGFSNLQKMEYLWSNDDVQTARILFYLRVIPTCIEHLPTSLFRKVVAPTMFLYMGHQNGKVARASHSMFVAFISSGKDPNQEERASLKEQLVFYYMQRSLEGYPAITPFEGMASGVAAIARHLPAGSPSIFYCIHGLVEKASSMCGAVNSEDTELQKIREGEWELCQKMVELLLRLLSLVDIQVLPTLMKLLAQLIVRLPKDEQNVVLDELFQHVAESDDVTRKPTLVSWLQSLSYLCSQDTGERGTDIKSAENAAPLNMATLNLNGISSRL >CDO99016 pep chromosome:AUK_PRJEB4211_v1:3:2523082:2527037:-1 gene:GSCOC_T00026019001 transcript:CDO99016 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLESLSEATSGAVGALVSTTILYPLDTCKSKYQAEVRAHHQQKYRNILDVLGEAISTRQILSLYQGLGTKNVQSFISQFLYFYGYSFLKRLYLEKSGSKSIGMKANLIIAAAAGACTVVVVHPLDTASSKMQTSDFGKSKGLRNILSEGSWDEAYDGLGISLLLTANPSIQYTVFDQLKQRMLKGQMRKKRDSESSPESLSALSAFVLGAVSKCIATCVTYPAIRCKVTIQSAESEDDVKNEAQLKARKTISGALYAIWKREGLFGFFKGLQAQILKTVLSSALHLMVKEKISKATWVVLLAIRRYLLITRTKTRLKSS >CDO99138 pep chromosome:AUK_PRJEB4211_v1:3:3589166:3591436:-1 gene:GSCOC_T00026182001 transcript:CDO99138 gene_biotype:protein_coding transcript_biotype:protein_coding MGDENKGNQDTGRISVEGENRRKNNNLPNFLLSVRLKYVKLGYHYLISHAMYLMVIPLLGVVSVHLSTVTVEDLVLLWEQLRFNLVSVILCSALMVFLGTLYFMTRPRKVYLVDFACYKPGPEVMCPKELFMERSKQAGTFSEENLAFQKKILERSGLGEKTYFPEALLQLPANPCMAEARKEAEMVMFGAIDQLLAKTGVKAKDIGILVVNCSLFCPTPSLSAMIVNHYKLRGNILSYNLGGMGCSAGLISIDLAKQLLQVQPNSYALVVSMENITLNWYFGNNRSMLVSNCIFRMGGAAILLSNKSSDRRRSKYQLIHTVRTHKGADDRSYGCVFQEEDENKKVGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFITLVGRKVFNMKIKPYIPDFKLAFDHFCIHAGGRAVLDELERNLDLSEWHMEPSRMTLYRFGNTSSSSLWYELAYTESKGRIRRGDRTWQIAFGSGFKCNSAVWRALRTIDPAKEKNPWMDEINEFPVHVPRVATIAS >CDO98688 pep chromosome:AUK_PRJEB4211_v1:3:39546:47998:1 gene:GSCOC_T00025580001 transcript:CDO98688 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAYCCLTTTTTATTTPDRRILPRISVGPAFLVHYHHPATKSRLLTIRAPPPPSDSLTCRCNSTTKPDVHVFSVTPATNSDVDYLGQSTKGDLNINVGSGGQAALEGPIEKVAWTEARDAEDLLQHLGIPGPFSASNSPRGIFCSRTLNLRSISAIGYDMDYTLMHYNVKAWEGRAYDYCMVNLRNMGFPVDGLAFDPELVIRGLVIDKEKGNLVKADRFGYIKRAMHGTRMLSTRELSEMYGRELVDLRKESRWEFLNTLFSVSEAVAFMQMVDRLDDGFIAADIGPLDYKGLYKAVGKALFRAHVEGQLKSEIMSKPELFVEPDPKLPLALVDQKEAGKRLLLITNSDYHYTDKMMQHSFNRFLPNDMSWRDLFDMVIVSARKPEFFQMSNPMYEVVTGEGLMRPCFKTRPGGLYSGGSAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEYSALIRSHGHRAKLIDLINQKEVVGDLFNQLRLALQRKSKGRPAQTFAATLMDDKELTDSMQKLLVVMQRLDQKIAPMLEADGEHFNRRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLHYTPFMYFRAQEQSLAHDSYSFRHLQIDRSADDKNNAFPK >CDO99454 pep chromosome:AUK_PRJEB4211_v1:3:7155674:7163242:1 gene:GSCOC_T00026637001 transcript:CDO99454 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATMVSSAGMLLAMLNESHPQLKQHALSNLNAFVDFYWPEISTSVPVIESVYEDEEFDETQRQLAALLASKVFCYLGELNDSLSYALGAGPLFDVSEDSDYVRTILAKAIDEYADLKTKAAESNEAAKIDPRLEAIVERMLDKCITDGRYQQAVGMAIECRRLDKLEEAVIRSDNIQATLSYCTDVSHNFVNSREYRREVQRLLVRVFQKLPSPDYLSICQLLMFLDGPEDVAGVFEKLLRSENKDDALLGFQIAFDLVENEHQAFLLKVRDRLSSPKGEPSVAAQSGSAELHSSRVNTTSEDVQMTEGSQDSEGNVSHADPKEAIYAERLSKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANALMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQSGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRSTNVEVIQHGACLGLGLAALGTADEDIFDDIKNVLYTDSAVAGEAAGISMGLLMVGTASEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEGADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHYAVSDVSDDVRRTAVLALGFVMYNDPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALMAMAMVMVQISEASDSRVGAFRRQLEKIILDKHEDTMSKMGAILATGILDAGGRNVTIKLLSKTKHDKVTAVVGLAVFSQFWYWYPLIYFVSLSFSPTAFIGLNYDLKVPKFEFLSHAKPSLFEYPKPTTVATTTSAVKLPTAVLSTSARAKARASKREAEQKAIADKAFGAELPSGSGTSGKAKSGDKDGESMQVDGAAEKKSEPEPNFEVLTNPARVVPAQEKFIKFKEDSRYVPVKLAPSGFVLLKDLRPTEPEVLSLTDSPSSTTSNAGASATAQQGTGSAMAVDEEPQPPQPFEYTA >CDP15472 pep chromosome:AUK_PRJEB4211_v1:3:17581787:17582830:-1 gene:GSCOC_T00043220001 transcript:CDP15472 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKNQLSSSPTTNESKGEQKSLVFDAPFLQNQSDIPHEFIWPDEEKPCLEPPPMLHVPCIDLNGFLSGDPRVQRKLRDHCGYASSFTNRFSSKLPWKETLSFRYSADGQQALNIVENYFLNGMGEDFRESGSLSLKIIELLGTSLGVKAKHFREFFAGNDSIMRLNYYPACQKPDLTLGTCPHTNPTSLTILHQDHVGSLEVYVNGKWHSVPPDPKAFVVNIGDTFMVSNGIVMTPPPPRTYPRI >CDO99456 pep chromosome:AUK_PRJEB4211_v1:3:7172191:7174873:1 gene:GSCOC_T00026639001 transcript:CDO99456 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGAAALARLKVYEGIPPPYDKIKRMVIPDALKVLRLQAGHKYCLLGKLSSEVGWNHYDTIRELEKKRKERAQVAYQRKKQLTQLRIKAEKAAEEKLGPQLEVIAPIKY >CDO99359 pep chromosome:AUK_PRJEB4211_v1:3:5869855:5872532:-1 gene:GSCOC_T00026497001 transcript:CDO99359 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRFSSSSHVPRMWFESNNVVRATRARLSSSDSHVPPAALSAVLRDFFLYLAVSASLGLSRSLGCGVPGVWLSSSSSVPRKDHRTSIPRVPCISVVRISCGAFGSPPPPPLWPLSLYMCLRSIAPCPNGLVSSKLLRRLILKLAMQSMHPKSIVATSLLIHRA >CDP11160 pep chromosome:AUK_PRJEB4211_v1:3:7996122:8000414:-1 gene:GSCOC_T00033225001 transcript:CDP11160 gene_biotype:protein_coding transcript_biotype:protein_coding MDILGGYIIGFFLHELPTDKFKLVTISDWELLSSIWEAIHKLVRDEHAERVLLNMGFKIEAAAQEIDRELLLIDNNKMKLSLVDDEILQDYYKKTCNVIEGIGEAVEHLLVLSGRSSCRLQSPSDVSFWSTFVIDLADTVVSMLGDQSVDALLEELRSIRGYLADVFGALHFPAAAAAAAGGDDENIINNFMTHVASVMVRIAIHTFKYEIYRRKTNGRIKEEETIKLMKPLVDLQHEIDPTYPRFMEFHLHFLVALHKIGGVEPNFCLRYCNYVAPRVRVGDLGGGGSYYRVIYDLLKLLVFTKLEEKEDQTMPIFFAEFHTVLMETGSLKQRRQNVEPGLSELRINVGLLQTELFLKGMLHRNIQGSTFQIKDLLNSAKDDADRMTKELVKRLGKHPHGKAEYNAEYGNKTAALIQQVDHKVASLHQLFEAKKITEPTVRNSTLLLLLNIVAFKAEASFKKLSLESSRAANFVAYRKDQIALLERLNLFTLLCNQLKKETQDMDVIFPQTETFDAGMTGPINNMIKSSSQLLVNQNHLRTKLRELSPQFPFSDIPKTCMPGFMDFLVRNLKELLKYDPASIEQVRNYIKEIHVHFESLGSFRMKVSESDIDDNPEMKALGVRAANIAYKIEYAIDSIEVDAQWQHFFLVYDLLEELRIVNKQASNIQLTTLDARVLSSKHIIQVPPNMISRAAINEMVVDLRDEEQYVIDQLIKGSPRRGIVSIIGMPGIGKTTLAWKVFNHPNVMHHFHCRAWCAVSQVYEKRELFLEILRGILGLTDEIRQMTNEDLQLKLRQCLLRNRFFIVMDDVWDAGVWNELRNSFPDDANGSRILITSRLRDVALQIEPDSDPHSLRLFSEDESWNLLVGKVFHGKGCPEELLLVGKEIAKKCKGLPLAVVAISGLLQRIEKSTESWGKIAKSLIAEVMEDPKARCMEIFELSYKHLPGYLKPCFLYLGVFLEDKDIPVSKLIRFWLAEGFIHDSELKSLEAIAEGYLMELISRSLVEISKKKSNGEVKTCRLHDLLRDFCQLKAKEENFFKVITRSDEPYVSFPSSDFGFEFDFGHYSDRVTYESYRLCIFLERAHFFESVPFGLGTRSIIFFPSTDSEPRCPYDISFIWHNFKLLRTLDFEMINIGVTFPVEIGLLVHLRYLAVSGYMRSIPQSIANLRKLETFVVKGLRGQVVLPDNMWSMARLRHLHVNMHVAFKLGDKEFGGCCELGNLVSFSRLSLSCAEDTENIVKRLPNLRKLKCIFFHPRDSSKNCGQFPKLGSLAHLVSLNVFYFGRAITSEFVLPPNLRELTLSNFHLPWSHISTIGGLPNLEVLKLRLGAFEGLIWEMEEEQFKELKFLKLDDLNICRWNATCDHLPKLERLVLQNCKDLEEIPNDFADIGTLELIEVHWCRQSAEESAKGIREATGDIKVLISSSYSR >CDO98759 pep chromosome:AUK_PRJEB4211_v1:3:574992:575515:1 gene:GSCOC_T00025672001 transcript:CDO98759 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCENVYCMWFIVRPLLSFVLNAGGRFWILVASSSLSNPSSAKLTTSTGFLFIVMTAKFNPILRGGA >CDP15455 pep chromosome:AUK_PRJEB4211_v1:3:17021864:17023138:-1 gene:GSCOC_T00043190001 transcript:CDP15455 gene_biotype:protein_coding transcript_biotype:protein_coding MMVCLLGKGFLALNRSIRALPKETLPPPLYGDNLVFLYMKSYAFQHKLLSQSDGANTLVQGYYKVDGRDAMINGLCRRSKFLCRRGTIQC >CDO99266 pep chromosome:AUK_PRJEB4211_v1:3:4889786:4896018:1 gene:GSCOC_T00026356001 transcript:CDO99266 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIVSFLLETIGHLLIEEGKFLSGVTEEAKQLHSELKMMRALLKDADEKRHEAEVVKEWVSQSKDLAYEAENMVETHAFRLASRQMARGTRNVIRRYTCIVNECYIRHKVGLEIQNLNARVSNLRRSFQEYRIRAATEREESSSRQQQLRMTYSYVADDEDFVGLEHDIEKLMQVLVNEAEFSGSCGVVSICGMGGSGKTTLARKLYNHPNAKHHFDSFAWVCISQQWQTREILQGILVSFFPERRGEIEKWRDNEMVGELLRFQQNGKCLVVLDDIWSTDAWECIKVAFPIRNKGKILLTSRNKDVAMRIGPNGFHHESRLLTDAESWELLQRKASRDRPIQGLLYPVTPTINCKQFLEFAFPSRLLPVFDLAGFHLYVHDFEVLGQQMVKFCGGLPLAVVVLGGILATKHSFNEWNVVYRNIKSFLAKGESIEHQGKVHKILGLSYDNLPYKLKPCFLYLSAFYEDEEVPIERLYQLWMAEGMVSAEDQMGEESMMDIAESYLGELAKRCMVQVQQVTVADGEALLSPRKFWSCHLHDLMRDFCIAKAREENFLKVFGYQHGNEVELAGSTSSSTGNIHRHVIYLGDQDASNYAQEGETTKHLRTLLFCLENSKNSPKLPIGHLIHLRYLSLRSSKFVMSPSSISRLEHLETLDLSNAKIVWTRNVPLRMLRLRYLYLPHDISSINLQELREYRGELEGIEEIINCVSHSHKLNHVNIWTPFIPEFFGLEKGLALLRQLFRSNLQCLRLEGPLIKLPEYESNFFGNLLELQFRESRLEEDPMAILEKLPNLKTLKFWNHSFNGKEMTCHSGGFPQLRFLLLHHLHQLEKWTVEEGGMANLSYLYICNCERLAMIPQGLSTYDSRFLRNLLALKLGASELEEDPMTILEKLPNSQRLGF >CDO99319 pep chromosome:AUK_PRJEB4211_v1:3:5361532:5366547:-1 gene:GSCOC_T00026431001 transcript:CDO99319 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGTSWAPVSQTWTLFSDIPNREFNIRNNTKIGRDGLGRVTPKLSSVLSSVSCNSTSPNISSLSEFKLKSGRKVFSRALSDSSLEGLASNSHELEELFFSRMSSDGCSSEQHSLMLHTEPSFCIYNKDDGEEEVIGNEDLAATMLAGRDGIADLGSPKFSFGKNAMASIEEDADEEEGKEETLGEFKDLGIEGEAISSPWPLAGGRGGNDDGVDGGTLDSVSPRFDENGDVEEHYKRMIKEDPSNPLFLRNYAQFLKSKGELSGAEECYFRATLADPKDGEIMLQYAKLVWELHNDKDKALKYFKKAACAAPEDSRVLAAYASFLWELDEDEDEVESSSSNEQRQVEEFGGQMDQCHSEFAEDQRPASPPLHLATGLGIDMAGFGGTMGYADCMKVDFSESINVEEYYRRMIEENPSNPLFLRNYAQYLCETQGDLQAAEEYYLRAMHLDPSDGEITLQYAKVIWELRQDRHEALPFFKQAVQAAPQNSDVLAAYASFLWETEEDEEEDLGLRENDHVAVPHGTGTTAKCLKP >CDO99300 pep chromosome:AUK_PRJEB4211_v1:3:5215659:5220765:1 gene:GSCOC_T00026408001 transcript:CDO99300 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISLWAQQQHDPQATDFGKMTAVASISAAVRRLQELLLDEARALGQLKPVVEGTVLPRLQESEEMLMGLPAHKIRVKGAQDDLEFGRRTRNLLSSIEDKVESYALQLGSATSSSREACCGGGGGENTAFGKLVADLKASVDGLKDCVTSYCAEQNQYPQIRKLGQKSQWLVSRANFSSGRWGDEAFGLEEEVRKLTKVLVSEETDPRVVFIVGMGGIGKTILAKKLFNHPDVRHHFKGFAWVYVGGYWSTRDILVTILDQLSSLPRKKREAMMKSEEVELAPQVFRILQRKSCLVVLDDCSDRELLDILSIAVPFAVRSASASKFILTTRNRNLSRFLDPGAVYSLRMESLNPNKSWDLFSHIWRKLKAGPIPGKLVPIAWEILARCEGLPLAIIVLASMLSTEREGERNRVLYNLLENRHQMDGFPIGFHCLQSAYYALPLRLKACFVYLGNFPNNSRIQVEKLCQLWIAEGLISAEDRASEETMMDVAAKYFGELALRSLVTLEEDEVSDLRLMSGHIQGLIRSLCIIEGREDEFFEIMSGSEPNMISKAQRCAIYFDKYYSVSNGIPSANLRSLLCLNSEQSRQGSRWPQGLFNFRKLRPLRVLDFDRVSFQDGNLPQGVGELVYLRYLSFRGCYLEDLPSYIGNLLYLQTLDLRVQKDCIMTISNVIWKLERLRHLYFPLAFQTPEHRGMLKLDSLKQLEILEGLNTSVCRAKDLIKLTNLRILAATAEGNLEDLELIIRFIGINSSHLKRASLDIKKFDCYSDERLSFIKRLFSCPVLDTLQIEGRIGKTSEIGTISGRFTEIVLNGSELDQDPMPTLENLPNLRILVLEVEAYLGKKLHCSDTGFPELRSLKLSKLYNLEEWEVDEGALQKLSTLEVSMCRRMKKLPEGLQSIITLRKLKVSMMPQQFLGRLRMKNGRGGEDRHKINSKCSIEFGNDDPWLESTNSASQQNNSFDGRTTESQASSSSRSPNLIGSFACLPETERERDVYLQ >CDP14625 pep chromosome:AUK_PRJEB4211_v1:3:14167129:14179439:-1 gene:GSCOC_T00041998001 transcript:CDP14625 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRAAIAAAARAAEAERVEKMGRNNTSSIFCLLFLVNFLNLISTKVNAGGVFQVDYKFAGTERSLSALREHDSIRHLQIIAGVDLPIGGTGRPDAVGLYYAKIGIGTPSKDYYVQVDTGSDIMWVNCINCQQCPTRGYRGLELTQYNPKDSLTGQLITCEWEFCKEIMRDSSSGCTSNETCLYTEVYGDGSFSMGYFVEDFVQYDRVSGDLQTQSANGSVIFGCGAGPSADLSSSDDALDGVLGFGKANSSLISQLASAGRVKNMFAHCLDGVNGGGIFAIGHVVQPKVNMTALVPNQSHYNVNMTAIEVGHAMLSLPTEVFTVGDTKGAIIDSGTTLAYFPEIVYQQLVVKILSSQPDLKLQIVHDDYTCFDYSDSVDDGFPPVTFHFGNSLNLTVYPHDYLFPFDGLMCVGWQNSGVLSGEKTNITLLGDLVLSNKLVLYDLENQTIGWTTYNCSSSIKLKDEITGSVHLVGAHSIPSCASSQSAQKAFIFLLLMTLLQAIFTGL >CDP15106 pep chromosome:AUK_PRJEB4211_v1:3:10885408:10887122:1 gene:GSCOC_T00042679001 transcript:CDP15106 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLAENSIFYLLFYSLLAFSNATISSKIGINYGLNGDNLQSVHHSINLLQSMNASRVKIYDANPEVLKLLSGTKLQASIMIQDGLIPDIASDQSIADQWVRDNVLAYYPQTMIRFVLVGNEILSSNNTLLWYNLVPAMVRIHNSIKAQNIQNIKVGTPVAMDILESTFPPSSGKFRPEILNHQVTVPLLSFLNKTRSFFFVNVFPYFSWSENPTNLSLDFALFTAKNSSYTDPESGLIYTNLLDQMLDSVLFAARKLGFDNISLAISETGWPNAGDIDQPGANIHNAAIYNRNLVRKVTATPPIGTPAQPGVVIPTFIFSLYDENRKFGRGTERHWGLLQPNGLPNYEIDLTGVQSESNYPTLPQPTNNKPFKGKIWCVVAPGSRITDLGPVLNSVCKEDNGACDALAPGKECYEPVSLVAHASYALSSYWAKHRDSAGATCYFNGFAEQTTRDPSHGPCKFPSVSL >CDO98727 pep chromosome:AUK_PRJEB4211_v1:3:312972:316535:1 gene:GSCOC_T00025628001 transcript:CDO98727 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQHFGGLKRPPLSTILNTNSNNRCSTHCLNSPKQNHQQQQPRRGLVLVVAAAAGPVAVSNAQTRERMKLKEIFEDAYDRCRTAPMEGVAFTLENFHAALDKFDFDSEIGSRVKGTVFAVDANGALVDITAKSSAYLPVSQASIYRIKSVEEAGIVPGLCEEFEVIGEIEADDSLILSLRAIQYELAWERCRQLQAEDVVVKGKVTGANKGGVVALVEGLVGFVPFSQISTKSTAEELLEKELPLKFVEVDEEQSRLILSNRKAMADSQAQLGIGSVVLGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLTSDLPAEGLDLSDIPPADDSS >CDP16581 pep chromosome:AUK_PRJEB4211_v1:3:26279682:26279828:-1 gene:GSCOC_T00018991001 transcript:CDP16581 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKILTGKTMTLQVESCNTIDNVKAKIQDKEGSLSDQQRLIFVGK >CDP11144 pep chromosome:AUK_PRJEB4211_v1:3:7835564:7843258:-1 gene:GSCOC_T00033202001 transcript:CDP11144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphate synthase 3 [Source:Projected from Arabidopsis thaliana (AT1G04920) UniProtKB/Swiss-Prot;Acc:Q8RY24] MAGNEWINGYLEAILDSGAAAIDENKAISSVNLGERSHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQLELEDIQRLAKRRWEREQGRKDVTEDMSEDLSEGEKGDVLGEAVSLDSPRKKFQRNFSNLEVWSEKNKEKKLYVVLISLHGLVRGDNMELGRDSDTGGQIKYVVELAKALAKMPGVYRVDLFTRQISSPEVDWSYGEPTEMLNTGPEDGDGADLGESCGAYIIRIPFGPRDKYLRKELLWPHLQEFVDGALAHILNMSKVLGEQIGGGHPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELSLDAAELVITSTKQEIDEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMAVIPPGMDFSNVIAQEDTAEVDGELVALTNGDGASPKALPPIWSEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSGGNASVLTTVLKLIDKYDLYGQVAFPKHHKQVDVPEIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRALNNGLLIDPHDQQSIASALLKLVSEKNLWHECRKNGWKNIHLFSWPEHCRTYLTRVAACRMRHPHWQTDTPTDEFDPQESFNDSLKDVQDMSLRLSVDGEKTSLTESLDMAAVGDDRQLQDQVQRVLSRMKRQEPGAPDSEVDRKPTDNSPSKYPMLRRRRRLIVIALDCYDSRGNPEKKMIQIVQELFKAIKLDPQIARLTGFAISTAMPISELMEFLKSGNVKVNDFDALICSSGSEVYYPGTYSEEDGKICPDPDYASHIEYRWGSDGLKKTIWKLMNTSEGGEAKSNHSPIEEDVKSNNSHCISFLIKDLSRAKKVDNMRQKLRMRGLRCHVMYCRNSTRMQVIPLLASRSQALRYLFVRWRLNVANMFVILGETGDTDYEELIGGTHKTLVMKGVTEKGSEELLRTAGSYLRDDMIPGESPFLAHLNGDARAEGIANTLRQLSKAGM >CDO99144 pep chromosome:AUK_PRJEB4211_v1:3:3620027:3628961:-1 gene:GSCOC_T00026189001 transcript:CDO99144 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPRNRMTKVTKPLLRLPPKRAKTIARLPPQWQCYTPLNSILPFSHSPSQLPSRLLSSHLFKPFSSTAFSQSKKRFASSLAMDSSGVSSFPENPVGLDRVCEEEYTSQSRLLQEFTEIATIDKAWAFTSSSGYGSKGMFAISQPNLLANVRRRYILSSHISNRSSDSVSFEWAPFPVEMTGVFTIVPSPSGSKLLVVRNAENDSPTRFEIWGPAQVEKEFQVPRSIHGSVYADGWFEGISWNFDETLIAYVAEEPVPSKPTFTCFGYKKGNTTEKDMGNWKGQGEWEEDWGETYAGKRQPALFVINIISGEVQAVEGVGRFLSVGQVVWAPAAENSNQYLVFVGWPSDARKLGIKHCYNRPCALYAVTAPFSKSQATNSGDNASKDVAVIALTQSISSAFFPRFSPDGKYLVFLSARTAVDSGAHWATNSLHRIDWPADGKPGQSGKIVEVVPVVMCPDNGCFPGLYLSKIPSKPWLSDGSTLILSSIWGSTEAILSVNALSGQVSQISPSNSNSAWGLLALDGDSIIAVSSSPVDIPQIKYGVLAGKASKDAKWSWLDVTSPISRCSEKVRSFLSSRQFDILKIPVRDISENLTKGASKPYEAIFVSSKSQKNDMRDPLIVVLHGGPHSVSLSGFSKSLAFLSSLGYSLLIVNYRGSLGFGEEALQSLPGKVGSQDVNDVLAAIDHVIDMGLADPSKIAVMGGSHGGFLTTHLIGQAPDKFAAACARNPACNLSLMVGTTDIPDWVYVESFGSEGKSIFTEVASPENLTAFYNKSPVSRISKVETPTLLLLGAKDLRVPVSNGIQYARALKERGREVKVIVFPEDAHGLERPQSDFESYLNIGVWFKKYCS >CDP10829 pep chromosome:AUK_PRJEB4211_v1:3:26812845:26814889:-1 gene:GSCOC_T00031724001 transcript:CDP10829 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFPTIIFSIMVLVGAFPQLISSQNCGCAPNLCCSKFGYCGTSNDYCGPGCQSGPCTGAPSGGNNGASVAGIVTDAFFNGIANQAASGCAGKGFYTRSAFLQAQKSYSKFGTAGSAADSKREVAAFFAHVTHETGHLCYIEEINGPSRNYCDKSNTQYPCVPGKGYYGRGPLQISWNYNYGPAGQSIGFNGLSQPELVARDNVISFKTALWFWMNNCHSLIISGQGFGATIRAINGRLECDGANPNTVSARVGYYTQYCRQLGVDPGPNLRC >CDO99289 pep chromosome:AUK_PRJEB4211_v1:3:5072978:5073523:-1 gene:GSCOC_T00026386001 transcript:CDO99289 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVHIPEEIIVEILSRLPVKSILKFKLVCKLWNSLLSDPRFSLATKGRERAIFWCRGKRCFSSLDHQYAIREIPRQCWDQRHLDFLGSCNGLVLFSTYDTSYDCYSCCYFYLLNPSTRSFRGLINFSSRMLYGENRITDNPVAYGFCFDKLSDDYKAIMVYYSSSSFPSKKKIRSSLAVT >CDO99298 pep chromosome:AUK_PRJEB4211_v1:3:5141362:5145390:-1 gene:GSCOC_T00026396001 transcript:CDO99298 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSLSRFAAFATRKLKRFVQFTSRDSPSRRQQQIAGSRRSYLWMAGAHAWMAESLYRVVDLMMRESELLSGVVSGEGLEGLGSELEQMGRLLRRSPEQQWPSLCNWDRDVEELVYEAEDLVDKLIYDGLKFGETAEFGGLEKWWLFKKLWVEWVMPRMTKEEELVDLTQRIRLFNGRLLARAAACYRDGMAKAVPAVLGQREGDDLVDDNVCKADHVWKSPSPPVELDDRFDVDAIRSEDQEDDFVVVGREDERRELISSLLAADDALKIIGIYGMGGIGKTTLAQKVYGDSSVRRHFDCFAWATVGKDFRTRRILEDLLLQYVCRARDDMAPFSDLDLAQKLYEFLQSKRFLIVLDDIWSADAWECLRIALPSREPTASRVLLTTRDDGVAEKIASSSADDKGFVHQMRFLNPDEGWELLSKTAIRGHSSSDPKVDAELLDIAKDIVERCQGLPLAIRVMAGLLASNPTPHQWKAVHRSIVSYQTIDQGSQVSAKVNRTLALSYHFLPEYLKPCFLYTAVFPEDFEMDVGSLCRLWLAEGFILTTHGSSQQSMEDIAEQYLKELVARNLVLVQKRELSTFRSLRTCRVHDLLRDFCLLKAEEQNFCDSIIFRHTNDTSLASSSPILTTRIHRLTLSFEDGCMVPHNWNTIKQLRSVSIQSTSHDHGRILSTRMLSEVKDLSRLRGLFFSGFNYKVLRFPSATLELFPHQFLSMRDLPQVLSDLTNFKRLRVLFFSGFNFDVTRMPTGIEKLFRLRYLSIRGCNITRLPPTIGSLLNLETLDFGEGTWIRMLIPSELQRLSRLRHLFLPRSYQVVEGGKLQLDGLTKLETLVNFDSRQCRVKDLLKLTKLQKLVATMDANFEDLEVVINCMEKSLNCLSFSSLIVKTHDSDNHFVAHKLLQCANLHLLQIEGHIGKLPLRISHSLTEISLIGSLLDDDPMEKLEKLPNLWVLAFHNNAFLGKHMTCSGMGMPQLKYLSISNLRNLENLTVKRGGMPKLSTLALEECERLKNLPEGLNFLASLRELTVSQMPPEFMDRLYESREDFHKFQHVPVVRICWPSQKQKIVPLACRHGLQVAGQELH >CDO98791 pep chromosome:AUK_PRJEB4211_v1:3:833931:837174:1 gene:GSCOC_T00025713001 transcript:CDO98791 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFCSLASRASPFETEQHCQTKLRVQKSAKVKSLTSPFARNPAASPTGKNFKDPNLNPISITSAAVPKQNPRLHSKPIDHQYFTHILSRKDWYILLGQEFKARRVNLNCQSIISILQNQENPLLPLRFYIWVLSINPSFGKNQSIRGVLSNTLYRKGPVLLSAELVQDIRNSGSRITEDLLCVLIGSWGRLGLAKYCAEVYEQISYLGLSPSTRLYNAVIDALVKANSLDLAYLKFQQMQVDKCFPDRFTYNILIHGVCKVGLVDEALRLLKQMEGMGFAPNVFTYTILIDGFCTAKRVDEAFSFLEKMKEKNVTPNTATYRSLVNGLFRCLSPSEAFKLLSRWVDRELNLPKVACDAMLCCLSDSFLPREAAAFLRKCCQRGYAVDSSTFDVTMTCLIKGSDLEETCQLLDKFTELGTKVGLRTYLLIIEVLFSSGREEKGYQYLKQMLHDGLVRNVNSYNMLIDCFCKAKMIGLASEAFSKMHNRGIRPNLVTFNTLINAHYKAGNIVKAQELLVMFLEYGFRPDIYTFSSVIDGLCRAHQIEDAFDCLTEMVEWGVTPNAHTYNILIRWLCVTGDVSKAVNLLRQMQIDGIRPDVFSFNALIQRFCRNNEIEKAQRLLLSMLALDLSPDNFTYTAFIRTLCELGRFDEAIRLFHCMEANGCVPDAYTCNSFIQILVKKAKFHEVQNIYNDYRERGMPLKPISVF >CDO98816 pep chromosome:AUK_PRJEB4211_v1:3:1017916:1019286:-1 gene:GSCOC_T00025745001 transcript:CDO98816 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNYVHRTLNKHSYISHCCFTLQFPGQLSPDSSFASMGRARTDSDIKSHLVTEICNISDRAVTCAHQHHFRSTNPPFVDWYLVLKVDENAGPDIIRKHYLRLALQLHPDKNKHPKADTAFKLVSEAYACLSDDARRTAFNLERHRNFCFKCSNISDDSPIPSNTKPKRIPTSERTRSNHALQRMKDLRARFMEEATIIENCLKANAASRVSDSSRKELPTFNPADYLSQGYPHRTTSNNKKLERSISRNYGLHILRTKP >CDP15450 pep chromosome:AUK_PRJEB4211_v1:3:16924367:16924841:-1 gene:GSCOC_T00043184001 transcript:CDP15450 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCFLYSCLRARHLLNGLKTCFEVFLCLKSYDHKLFSQSDGAITRLMDYGEGQIFYVLVVEFNTGSIHEILIHIIESGSAFVRGRINLVSSTVLVEGNFLMRECPCFVRRVIFPLQNYKCG >CDP15105 pep chromosome:AUK_PRJEB4211_v1:3:10897213:10898440:1 gene:GSCOC_T00042678001 transcript:CDP15105 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGMVALYILLPLLSQGNGQQTPFNVVVAQNGSGNFTTIGEAIAAAPNYSSEKYYIQVKEGFYFESIVVGIEKTNIVLIGEGMRRTIISGNKSAGGGFDTVSTSTVGIFGNGFMAQGITFENSAGPRMNQSVALLAKADNLTFYKCRFSGSQDTLYTAEGKQFFRDCIVIGTIDFILGDAAVVFQNCVILARKPLHGQYLIITAQQRLTGEENTGTILQNCTIKATRNLLKEESKFKCYLGRPWGRYSRVVVLQSFIDSVITPTGWVPWPGEPTNDVFYAEYDNRGPGANRALRVPWSTVITNVAQASQFTLRSFLQGGDWIPSSVPRYLDLIQDSTSVLR >CDP15144 pep chromosome:AUK_PRJEB4211_v1:3:9837717:9839339:-1 gene:GSCOC_T00042734001 transcript:CDP15144 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTLIIETIYEDEEFDETQRQLAALLASKIQRCFCCIFNSLLPNCSFATCLVYLTFSDNVSEDLDYVWTILAKAIDECPDLKTKAAKSNKATKIDPRSKAIVERMLDKYIINRRYQ >CDO98742 pep chromosome:AUK_PRJEB4211_v1:3:451007:451947:-1 gene:GSCOC_T00025646001 transcript:CDO98742 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRCCASVQLHGTRECFKTFGRKAREGRESEDRQIYDDDQNNFFFFCAINYVTVTR >CDO99269 pep chromosome:AUK_PRJEB4211_v1:3:4915317:4916457:1 gene:GSCOC_T00026360001 transcript:CDO99269 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMQGLVNELIDKLKKRDGLINGLSFVLVLMLIRWQKGEGITGTALQTAELLRSVISVQKLPPTNKAAALINAVRDVGEKLIAANPVELSFGNVIRRVLHIVREEDLSPSSDEAGDNEE >CDP11163 pep chromosome:AUK_PRJEB4211_v1:3:8100616:8149709:-1 gene:GSCOC_T00033230001 transcript:CDP11163 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQDMFLEARISLLLELVKDCQVKTKHLMEEIKDHLPSEEECSKFQLTPLLLPLSPDDAFWTSFVDSVVMRLESVETGRGLSKDIGLIRDYVVGVCSSCFDIDVLAAGEGGDHNDNEDEDLIIIIESMFEDFLNHVGSVIVRTAFHSCYYWFHCKKSATTTADSASSASAEDVELSRLFLDLRHQLDPANPKYLELHLDFLKALYVMKSVDACRADYVACFCNYFFFSSRGGDISKEVSSLLVLFFNSKLLEDEGDDHDAAVVQSFFAQINAVLLETAYLLKSPGNDEGGREPNYYPASSEPNLLLIKVCLLRAELYFKVQLQNINSGTTVSGMLSAGEQLVADQLEILENLRKFSKDLPDEEFEYGEQATALFQEVADEVASLYVPFDNKITESMFKNSILQFLLKIVIFKAESFLMELLSSFENSSGPAENFMPYIGKGHVESLLEQLNCFKLIFSSKQMMEIESANMILAPIEAFLREVTSLSYSNLPREIVEDTIKKTMLSYSELLGKLKKHLRPKLNEIGHEFPLSKFPKTHRLGFVDFLLRNLRELLKYCPQSIAPVKHNIQEIQQHLTSLSSFLMKVSVLDIDEHPELRDFVDHVTGIAYKVEYVIDSIVVGAQWQQFLWFSHLLEELRLASKQTSVIDLTPDDTVNNVTQISLDVTSSNVTSRDATAAIDEMLVDLNDEEELIINRLTRGSSHRAVICIFGMPGIGKTTMARKVYNNQKVMYHFHRRAWCTVSQLYEKRDLLLQILRDIHGFIKEFHYMSNEDLESKLRQCLLKNRYLIVMDDVWDAAAWDNFRNSCPNDANGSRILITSRLHDVALEIEPNCNPHSLRPFSDNESWNLLQGKVFQGEDCPEELLLVGKEIAHKCGGLPLAVVAISGLLQRMEKSKELWEKIAEGLSSEVMKDPEARCVEIIELSYKHLPDYLKACLLYLGVFLEDRDIPVSKLLRFWLAEGFIEMTEWKNLEDVAEAYLVDLINRSLVMITKRRSNGKAKACRLHYLIRDFCNSKSKGDSFFQLVTRKPVTYEAYRLSIFLKRNHFVESRPCGLGTRSLIFFASTDAEPRCPYDISFVCQNFKFLRVLDFECINIGVSFPGEIGLLVLLRYLAVSGYLNYIPQSIANLTKLETFVVKGFRGKVVLPYTVWHMTRLRHLHVNVHVAFDLDDEELGGCFQLENLISFSCPSLSCGKDTAVKALKKLPNLRKLRCIFFESRESSKNCDQFPRLDCLTNLESLRVSYYGTPLTTSMFCLPLNLKELTLSYFRLPWDHISVIGRLPNLEVLKLLSGAFEGKRWEMREEEFRELKFLKLDALNIAEWEACCDHLPKLERLVLQNCKDLMQIPYDFESITTLEVIEVHWCGESAEESAKEIGEATGDIKEEEDVFLPVPPCSEEDVRLPVPPCSELLIEICLLETELFLKKLLRHESLSSSTLSLISAQKSQTKHEFSQILQNLRRDHQDLLDEKLEHGKKAFAVIEQVIDQVASLCQSFEAKKISESMLKNSIRRMLLKIVIFRADSFLTESLPFMSNYAETFVADGKDQIALLLEQLIFLKPIKNVMDREEMDISFVQIESLLREITSLSYLNLANKRDAKEMIKKINLSSVLLLDKLKHRKRMLIEIGPQFPLFEFPKTHKLGFIDFLYTNLGELLKYDPVSIAPLKHYVEEIQQHLKSLSSFLVSVSESDMHENPELKDVGDRATEIAYKVEYVIDSIVDEAQWQHFFWFHHQLEELRLINELATGIRLTYSDAKVPKSIVSRVAIDMVSQERAREVIKEMVVNLSDEEQVIIDQLTRGSSRRGIVSIVGMPGLGKTTLARKVYNNQNVTRRFHCRAWCYVSQVYEKKELLLKILHDMHGLSDEICQMTTEDLESKLRQCLLKNKYLIVMDDVWDARAWNDLQNSFPDDNIGSRILMTSRHCHVALEIEPNGDPHLLRSLFEDESWILLEGKVFQGEGCPQELLPIGKKIAQRCGGLPLATVTISGLLQRTEKSKEFWENILESLSSEIMSDPEARCNEIFELSYKHLPGHLRACFLYLGVFLEERDIPVSKLIRFWLAEGFIPNTESRRLEDIAEAYLVDLINRSLVIVSKRRSNGQVKSCRLHDLILVFCRSKAKSENFLQLLMKSDEPYSSFPSSDYGFEFDFHDHLAPVTYKAYRLSIFLKRNHFVESRPSGPGTRSLIFFASTDAEPRCPYDISFICHNFKFLRVLDFESINIGVSFPVEIGLLVRLRYLAVSGYLQYIPKSIANLRKLETLIVKGLRGKVVLPKTIWHMTSLMHLHVNIHVAFKLDDEELGGWYQLENLSSSNCNQFPRLSSLTHLESLNIFYYGRPINTTEFILPSNLRKLTLSNFHLPWNRISAIGKLPKLEVLKLLSGAFEGPIWDMGDEEFQELKFLKLDSLNVAQWNASCDHLPKLERLVLQNCKELEKIPHDFAEISMLEMIEIVNILWIPSLQSSTHLQVLLWRTELTLLAEKAGCIAPVTTCSTRMTMVILGRI >CDO99272 pep chromosome:AUK_PRJEB4211_v1:3:4924393:4932480:-1 gene:GSCOC_T00026363001 transcript:CDO99272 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRWKLVDDQEVQDYSYKDKSQRSHVDTERKRISTDGSNSNHRRYSGSSSGLGGYSPRKRKTDAAAKTPSPTTRSPERRTAGWDHPPVGKESDTSSSLASNVQLSSQIASENGPKPLSVIPTISAAIKPVGISQYTSFSQIHAIDSIQLTQATRPMRRLYVENLPPTASEKAVVECINDFLLSSGVNHIKGTSPCISCMIHKEKGQALLEFLTPEDASAALSFDGRSFFGSVLKIRRPKDFVEVTTGVDDKSVDATTSISDDVEDSSHKIFIGGISKVISAEMLMEIVEAFGSLKAFHFEHNVEGVGQCAFLEYVDHLVTQKACAGLNGMKLGGQVLTVVQATPDTPTLGNANQLPLYGIPEHAKPLLKKPTGVLKLKNVLDPVGPLSLSEAELEEILEDIRLECARFGTVKAINVVKHIDNYTTGAAFTAVDGSGSAMDYKGNSEEASGESITDKVLANNITSKPPDSCIESVSADETVNGDAISAENIHFSNLKEPGDTSNINFHDGHSDYKPVSDILNDESHERIINDGNRTNTGSACQEILDISSTECTKNLNTSTNQLMSNDSISDATVGACEMKNEVRVMEKSFLDNVGRWSASEPDSCGKMGSDVLEKGENKEEMPNVSDCFEAGCVLVEFKRIEASSMAAHCLHGRLFDDRIVTLEYVDPDLYHKRFPK >CDP11173 pep chromosome:AUK_PRJEB4211_v1:3:8382076:8396160:1 gene:GSCOC_T00033253001 transcript:CDP11173 gene_biotype:protein_coding transcript_biotype:protein_coding MILVIRSGITTILVKMISNFDPNHLRKSNYKAIETNLCSLVETEQIRLKKKVGHEW >CDP11136 pep chromosome:AUK_PRJEB4211_v1:3:7666356:7667627:1 gene:GSCOC_T00033189001 transcript:CDP11136 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMTSKSFESNCFQDFLESLPKEIFWESFDIYQWKGFWFHPSILQSTIALSTKFVPRDDDIILASSLKVGTTWLKALCLSINNGADQENEDILTKGSPHLFVPTIESMVFVPNSDSVLSGMASPRLLHTHLPYSLLPDSIKNSGCKIVYLTRNPKDTFISFWHYFNSTKVDTCGTERPLVIGIKQQISLEKAFESFCNGTYLYGPIFDHALEYHKESSKMPQKILFMKYEELKKDPKGQLKKLASFLGKPFTEEEKVDKILWRCSLERLKNLEVNKNGVNPWNWLANSSYFRLGVTGDSKNYLTAEMEERLDQIARTKLEASGLDLDA >CDO98961 pep chromosome:AUK_PRJEB4211_v1:3:2141284:2142378:1 gene:GSCOC_T00025949001 transcript:CDO98961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase-like 4 [Source:Projected from Arabidopsis thaliana (AT3G06260) UniProtKB/Swiss-Prot;Acc:Q9M8J2] MANWSCNTSCISLAGLLSLLLLQPLTTTTSFTNGIRVNLVPKPASAILSFREAPAFRNGNECSSSSKKDNIHIVMPLDINYIRGTVAAILSILQHSTCPENVSFHFLSLRLEPGISSLIKSTFPYLTFRVYHFDSNLVRGKISKSIRQALDQPLNYARIYLSDILPRDVERVIYVDSDIIVVDDIAKLWGVDLGDHVLAAPEYCHANFTTYFTDTFWSDVNLARTFEGRRPCYFNTGVMVVDVDKWRKGGYTQKVEEWMVIQKQRKIYHLGSLPPILLVFAGNIEAVDHRWNQHGLGGDNFEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCTVDYLWAPYDLYRSSRIALEE >CDO98763 pep chromosome:AUK_PRJEB4211_v1:3:618384:621650:-1 gene:GSCOC_T00025679001 transcript:CDO98763 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSCCASLQSTQLNISSKREGLGQVKSLVARTGIPSIITSPHESLQKGNWVKLICGASFEDVADIRNLSLVYTLAGVDCIDCAAEASVVSAVNEGIEAARAIFPIRRPWIMVSVNDNEDLHFRKAEFDPDDCPLDCSRPCEIVCPANAILGESTPSGLKGGVLADRCYGCGRCIPVCPFDRIRAITYIRDVTTTVELLKRDDVDAIEIHTNGRQASAFEELWNGLGDSINHLRLVAVSMPDIKDLTIAAMSTLYSIMEPNLHCINLWQLDGRPMSGDIGRGATREAIAFALRLVSASDRPKGFLQLAGGTNAHTVDGLKRERLFQTTTIPEISEGKKMPPVPSSSQNALIGGVAFGGYARKVVGRVLTSMQSNHTHAYIEDCPEHLLKALEESLALVGTVKAYGTN >CDO99135 pep chromosome:AUK_PRJEB4211_v1:3:3541232:3542833:1 gene:GSCOC_T00026176001 transcript:CDO99135 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQRRVDYMREEMPFTARTLERKPSLPNLLLSVRLKHVKLGYCYLISNLRYLIIVPLLGVVSFHLSTVTIKDFDLLWGPFTFNFVATVFCSAFFVFLGTLYCVTRPRKVYLVNFACYKPGPEFMCSREHYMEKCRRSGKFNEQNLAFMEKILERSGLGQKTYAAEPNACLAEARKEAEMVIFGAIDQLLAKTGVRTKDIGILVVNCSLFCPTPSLSATVVNHYKLRGNILSYTLGGMGCSAGLISIDLAKQLLQVLGNTYALVVSMEPMTPNLYSGNNESMLMTNCLFRMGGAAILLSNKTSDHGRSKYQLIHSVRTHKGADDKSYGCIFQQEDEEKKVGVALSRDIVGVAGEALKTNITTLGPLVLPASEQFMFLVSLLAKKEFDLEIKPYIPNFKLAFEHFCIHAGGKAVLNAMEKNLELTQWHLEPSRMTLHRFGNTSSSSLWYELAYSEAKGRIRKGDRILQIAFGSGFKCNSIVFRALRFIDPAKEKNPWTDEIDEFPV >CDO98986 pep chromosome:AUK_PRJEB4211_v1:3:2292607:2297160:-1 gene:GSCOC_T00025980001 transcript:CDO98986 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHLLFSTITMAPVTTSASSSTTHSSPSKPLKKPTNPTSLNSQNCPSSASNSHSLCKHSSPSATLDLLILILVLFAGAFLISSCFSYIFHSLSLLLPPSSSLSLSTLLSYLYSHLQVHHIFFTSLILSLVFMLIFFEICCGPRSRKCGKLGCKGLKKAMEFDLQLQGEDCLKLGKGSKAVQEIDELPWKGGNEFNPDYECLRAELRKMAPPNGRAVLLFRAKCGCPVAKLEGWGPKRSSRRKKSLALYGAGDHR >CDO98957 pep chromosome:AUK_PRJEB4211_v1:3:2107799:2109553:-1 gene:GSCOC_T00025944001 transcript:CDO98957 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMPTSVHKSEEEWQAILTPEQFHILRQKGTELRGTGEYDKLFGEGVYNCAGCGTPLYKSTTKFDSGCGWPAFYEGFPGAITRSPDPDGRRTEITCTACGGHLGHVFKGEGYKTPTDERHCVNSISVKFIPANSSA >CDP10259 pep chromosome:AUK_PRJEB4211_v1:3:11640046:11641725:-1 gene:GSCOC_T00030927001 transcript:CDP10259 gene_biotype:protein_coding transcript_biotype:protein_coding MITSEVAAKSVDNSKTVETKEEGEAKYHGGGYGGHHGGGYGGGHGGYGGGGHGGHPAKTVETNEEGEAKYHGGGYGGHHGGGYGGGHGGHGGGGHGGHPGEAADAEPQN >CDP19288 pep chromosome:AUK_PRJEB4211_v1:3:14073285:14076891:-1 gene:GSCOC_T00004060001 transcript:CDP19288 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFKIISIFLLVLLLFPSFQPKCGASASVEEATALLKWKASFQNRNNSNLTSWNLQSINAKNSSSLPCTWAGVSCIHGSVNRLNLSNWRIKGSLYDFPFSSLPNLEYLDLSLNQIFGSIPKQIGSLSKLIYLDVWVNELSQEIPAEICNLRNLTHLALGRNQFSGPIPLGIGKLQYLVELYLDNNNLTGSIPASFGDLNRLAELRLFQNNLSGPIPSAIGNLIPLRFLHLNQNNFSGAIPKSLGNLTNLIELHLFDNQLSGSVPKELANLKFLTIMAMSQNQLNDNQFSGTIPQELGNLNKLVVLELGHNQFFGPLPKLLCQSGMLQNITVSGNMLTGPTPRSFKNCSSLLRARFEGNPFHTTQILSLSIEANSPTALGIPPEIGNLTQLHALNLSLNSLSGEIPRAVGELAYMLRLDLHHNQLTGSIPQELGVLMEYLDLSTNSLSGTLPENLGGMKRLFHMNLSNNIFSQRIPFQIGDLTQLSELDLSQNFFTGEIPSEFQSLQSLGTLDLSQNNLSGLIPNALAELPGLLHINLSFNNLEGPIPSGRAFDKRKEFVLIIVLPLLGSFTLFGALFGALKLYDRRKRNSRVEDKEVNKGGLFAICAYDGKALYKDIVKSIEEFSETYCIGKGGCGSVYKAKLPSGEVVAVKRLHNVSNVAKDRSFLNEIRALTEIKHRNIVKLFGFCSNAQHSILAYEYLERGSLAKILSIEEEAKELDSQKRLNIIKGVAHALSYMHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTSKFLRRDLSNWSSLAGTYGYIAPEFAYTMKVNEKCDVYSFGVLTMEVIKGKHPGDLIANLLSSKPEEIELKDLLDQRLLYPNQQIQKCPISILKLARECLHVDPQCRPTMLIISRYLASY >CDO99449 pep chromosome:AUK_PRJEB4211_v1:3:7068517:7068702:1 gene:GSCOC_T00026628001 transcript:CDO99449 gene_biotype:protein_coding transcript_biotype:protein_coding MVITSWAFKVILSTHLINRLPQCNLTEESFADEAKSDLDAREPDDRETWKKLCTSFFKGRL >CDO98709 pep chromosome:AUK_PRJEB4211_v1:3:165170:165706:1 gene:GSCOC_T00025606001 transcript:CDO98709 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFLHRSLMFHNSDVLTRDATQGKASLIVHSFSFPPATPGYSIVNRMCSTRQIFMDTMTSSTCSNRFTFMDTWV >CDO99268 pep chromosome:AUK_PRJEB4211_v1:3:4909383:4914788:1 gene:GSCOC_T00026359001 transcript:CDO99268 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKYWLHKRKSRFSGRLRKMMKCICSGEQLRVDEIIPSSESLATRDYSASGFSSRTGEADTKVDTSNIEEAESSLRESGYLNYEEARALLGRLEFQKGNVEAALHVFEGIDIAAVTPKIKLSIARRCELPRRLSQSDPGPPMSMHAVCLLIEAIFMKAKSLLALRRFTEAAQSCKIILDTVEAAAPEGLPESFVSDCKLLDTLNKAVELLPELWKLADVPQEAILSYRRALLYQWNLDLETRTKLEKEFATFLLYSGTDAAPPNLRSQTEGSFIPRNNIEEAVLLLLILLRKFVTKRIGWDPSVIDHLCFALSIAGEFSTAARQIEELPPGIIEDREKYTTLALCYCGEGESLVALNLLRNILNNKENHNCIFELLLASKICGENSEYLEEGEAYAHKVVSKFQGRCDHLASIAHYLIGVSLSAQSKKVCSDSQKISRQSEAIEALETAQRLTDDTNPNVLYYLSLENAEQRKLGAALYYAKKVLKIEAGSGLKGWILLARILSAQKRYGDAESIINAALDETGKWDQGELLRTKAKLQIAQGRLKDAIATYTHLLAVLQVQKKSFGVHKKLLRNRRNINRSLELETWHDLANVYTSLSRWRDAEACLVKSEAINPHSASRLHSTGVLYEARGMKNEALKFFEAALNIEPDHVPSLISVATVLRQLSNQSLPVVKSFLSDALRLDRTNPSAWYNLGLLYKSEIGTSVLEAAECFEAAALLQESAPVEPFR >CDP11124 pep chromosome:AUK_PRJEB4211_v1:3:7454050:7454342:1 gene:GSCOC_T00033169001 transcript:CDP11124 gene_biotype:protein_coding transcript_biotype:protein_coding MVENCEDEFLQFGLEHGKRIVLRAQKAKPANKEILKKQYSVHSTMSGDLLKEFKQPGTP >CDP08092 pep chromosome:AUK_PRJEB4211_v1:3:30875952:30879826:-1 gene:GSCOC_T00026813001 transcript:CDP08092 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAVENKQVILKHYVSGFPKESDMEIRTTKTQLKLPEGCLGAILVKNLYLSCDPYMRNRMRKMEGSYIESFTPGSPIGGYGVAEVLDSTHPNFNKGDLVWGRTTWEDRSIITSTESLAKIQHTDVPLSYYTGILGMAGMTAYAGFFEVCSPKKGERVFISAASGAVGQLVGQFAKLFGCYVVGSAGSKEKVDLLKNKFGFDNAFNYKEETDLNAALKRYFPDGIDIYFDNVGGKMLDAVLLHMTFHSRIAACGMISQYNLEQPEGVCNLMCLIAKRIRMEGFLVFDYYHLYPQFLEMIIPQIKEGKITYVEDIAEGLENAPRALIGLFSGCNIGKQVVAVTRG >CDP16568 pep chromosome:AUK_PRJEB4211_v1:3:25912001:25912258:-1 gene:GSCOC_T00018967001 transcript:CDP16568 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQDFSTGGRQSPRRELQGPSPTPLKVSKDSHKIKKPPVAPQLHHLNHPAQPHLQPTVDNEHRQPVVIYAVSPKVIHTTLWRRD >CDO99437 pep chromosome:AUK_PRJEB4211_v1:3:6949867:6952369:1 gene:GSCOC_T00026611001 transcript:CDO99437 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKKSSIRPLGQRSIHSTFIFRSSNRQLSSDFKKTVEIKASNEKGSQVSLSEFLNRKLHKSSVLPGSAQGKERPFLSPVSYKDVKPLKGETSKKEMSNGEKDFAVDIVLEQFKHSTAETKSVNSLGSNKLMSATTGEYETQELGKRKRGDYQKPAKKLVAVLGDDSVTQRGGRRKSLPDHEKPKLLFNHYANGGGWWDDSMEGVDNEEVGCAEVWEGMGSTTLGGLDWH >CDP10356 pep chromosome:AUK_PRJEB4211_v1:3:13599481:13605110:1 gene:GSCOC_T00031064001 transcript:CDP10356 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKLKNQKQQLSKAPTKKHKNQKPLGPRKSDTGNSNSNSESTKFKRIRKGEKKKKNQSKEYKEERKKNEKKGVKRENDAVQVQEPTASQQLSYFLLQYQSANGIQLSTLELESFKDTCMVELSQDAAQNNLGEHMKTAFGCSFKEVLCEKQLGEGNVDPGNPALLVISLSALRSLELLRELRPLTKECHAVKLFSKHMKIEEQVSFLKNRVNVACGTPNRIKKLIDMEALGLSRLAVIVLDMHTDVKGYSLFTLPQVREEFWDLYKSYIHQRLLEGDLRICLYGQIPAIPRAPTEAKDN >CDP16585 pep chromosome:AUK_PRJEB4211_v1:3:26310716:26317773:1 gene:GSCOC_T00018998001 transcript:CDP16585 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSIARATLGLTQPNQIVPPKISFEAKEIDLVEWKGDILAIGVTEKDVAKDGSSKFQNPILQKLDSKLGGLLSEASSEEDFTGKAGQSTILRVPGLGTKRVGLVGLGPAALTTAAYCGLGETIGAAAKSAQASNVAIALASSETLSADSKLTTVSAIASGTVLGTYEDSRFKSESKKPTLTSVDILGLGTGPEVEKKLKFAESVSSAVIFGINLVNAPANILTPAVLAEEAKRIASLYSDVLTTTILNVEQCKELKMGSYLGVAAASANPPHFIHIVYKPLGGSVKTKLALVGKGLTFDSGGYNIKTGPGCLIELMKFDMGGSAAVLGAAKALGQIKPAGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACRIALGSSIAAVFTPSDDLAKEVLEASEVAGEKLWRMPLEESYWESMKSGVADMVNTGGRPGGSITAALFLKQFVDEKVQWMHIDMAGPVWNEKKKSATGFGISTLVEWVLKNSS >CDP03495 pep chromosome:AUK_PRJEB4211_v1:3:18518093:18520488:1 gene:GSCOC_T00015309001 transcript:CDP03495 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKLDLDNLEQLNEGAETGEKGPVEGANPFEKKQRKKKSTIWEDMIVVKQPDGTLKVQCNHCKELFAKSPSGATSQHKRHLKNCLQKRLAVGEENRKRQQVLSFTEGPSDGITSITNFSYDHAKVRELAAHMVFVHEYPFSMLDHVVFNKFMKAASPFYKKINRQTVKEDCICGNLVQKIQYMAVTGHFVDSDWVLQKRVLNFCNVPPPHTGVIIADALSKYFLEWGIENKVSTITVDNASYNDVCIRRVKEDFSLRKRLSIGGKIFHVRCCAHILNLLVQDGLNQIVDVIDVVREGIKYLKNSESHLNEFAKIKKQLQLPSKKLILDCPTRWNSTYLMLASALEFRDVFPRHGDIDPGFHYAPSEHEWMKVEEVCKFLGIFYEIANIISGSDYPTANIFLVELIKELLNEKALDFSDHIRFMAESMAQKFDKYWGESNVLMSLGAILDPRYKMVLVNHTFPVIYGEGEAPRYIDEIRCILYDLYNEYVDAHISSHSEEPQREAGKRKHAKISSKSTQRAAKKLGVNVLTGKENFQMIVSEIDKAPPENQI >CDP14666 pep chromosome:AUK_PRJEB4211_v1:3:15444541:15450445:1 gene:GSCOC_T00042072001 transcript:CDP14666 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVFSLLAMVARSLLEFKSLELRHHPKVSANKGRKLVTNISFPTTKKGSLYLGNTLLKSSVSDEGYEKTQAQELIDGLHECAANGLLKEAKSIHGHILRCHFTGDNLMVLLNHVMHVYSKCSNFGLARLVFNNMTQRNVFSWTIMIEGSTNNGFLHDGLKYFSEMQKCGIQLDAFTYSVILQLCIGLNCLDLGEMVHARILITGFASHVFVSTSLLNMYAKLGDVEESLKVFDSMNEHNEVSWNAMISGFTANGLYLEAFNHFLMMMEHRYAPDMYSIISVLKAVGMLGDAGKGKQVHNYASNLGLDSNVRVGTALIDMYAKCGALSDAQSVFYSNFSNCGLNMPWNAMIGAYSLCNYSQEAVQLYSEMCWNNIKSDVYTYCSVLDAIASLKCSHFLKQVHGKVLKSRYDLMDLSVENAIADAYSNCTSLGDVRKVFDTMKDRDLVSWTTLVGAYSRCSDWEEALVIFSQMREEGFLPNHITFSTILDACVGLCSLELGEQLHGLVYKLRLDTDNCINSALVDMYAKCGSITVARKVFDCISTPDVVSWTAIISGYAQHGSAADALQLFRKMEKLNVKATAVTLLCVLFACSHAGMVEEGLDYFWSMEDKYGLEPEMEHYACIVDLLGRVGRLNEAFEFIKAMPIKPDEMVWQTLLAASRIHGNINLGEIAAKNILSMQPNYSAPYVLLSNMYTEKGSFRYGLQLRKMMKQQGIAKEPGYSCISLKGRVHRFYARDQEHPEKDDIYLKLAELRKMIKAFGYVPDTKCAL >CDO98771 pep chromosome:AUK_PRJEB4211_v1:3:674005:681295:-1 gene:GSCOC_T00025689001 transcript:CDO98771 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWPLLVLAFAFVICKFLLMLVPSNVPSINVDASDVLGNGNQTDENSYIYIPSRRQTDIVKCYEPATMKYLGHFPALKPDEVKERVAQARKAQKIWAKSSFKQRRQFLRILLKYIIEHQDLICEISSRDTGKTMVDASLGEILTTCEKITWLLSEGERWLKPEYRSCGRSMLHKTAKVEFYPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNSIVIKVSENASWSGCFYMRIIQTALAAVGAPENLVEVITGFAETGEALVSAVDKIIFVGSPGVGRMIMRKASDTLIPVTLELGGKDAFIVCEDVDVPHVAQVAARAALQSSGQNCAGAERFYVHKDVYSSFVAEVVKIVKSVAVGPPLAGKYDMGAICMQEHSEKLQNLVNDAVHKGAEVVGRGSVGNIGEDAVDQYFPPTVIVNVNHSMNLMQEEAFGPVLPIMKFNSDEEAVKLANDSKYGLGCAVFSGSQHRAKQIASQLHCGVAAVNDFASTYMCQSLPFGGVKDSGFGRFAGIEGLRACCLVKSVVEDRWWPFIKTKIPKPIQYPVAENGFEFQVYLVEALYGLNILDRLRALVNVLKILTEQNPSINNKRRNE >CDP19447 pep chromosome:AUK_PRJEB4211_v1:3:10949036:10957494:-1 gene:GSCOC_T00005523001 transcript:CDP19447 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPLITTLSNSVQALGRGFDVTSDIRLLYCKGVPGSRLVHIDEEHTEDLLVSDGVTVPNVSVDIECSRGKRTTETTPVLSFHEMAKYFNDKAKISGSVPLGSFNAMFNFTGSWQLDAAATKSLAMTGYILPLSIVRLTKVNLVLRDEIKRAVPYSWDPAALASFIENYGTHIVTSATIGGRDVVYIRQHQSSPLSALDIENYVKDIGEQRFSDSKSLPSAGPLKYKDKDVTVIFRRRGGDDLEQSHAKWVKTVETAPDVINMTFTPIVSLLEGVPGIKHLSRAIELYLEYKPPIEDLQYFLDFQIARVWAPEQKNLQRKEPVCSSLQFSLMGPKLYISPDQVTVGRKPVTGLRLSLEGNKQNRLAILLQHLVSLPKILQPHWDAHMAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEHTETSIGDLSGVHIVTGAQLGVWDFGAKSVLHLKLLFSKVPGCTIRRSVWDHSPANLSASQRPDGSSTSVLNQKTADEKKGDGSSQSGKLGKIVDMTEMSKGPQDMPGHWLVTGAKFGVDKGKIMLRAKYSLLNY >CDO99457 pep chromosome:AUK_PRJEB4211_v1:3:7177956:7180207:1 gene:GSCOC_T00026640001 transcript:CDO99457 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSNKMLFLQPSLSSLLALFLVLAACGDAEPLRRFHMKAVNNYTTLYVDPSGYGHFSSIQSAIDHIPSNNEQWVRIYIKAGIYNEQILIPSDKPFIYIQGEGKWKTRVIWGGSGPIITCATLISQADNIVIKSVSFTNSYNHPPGSNKNQIKAAVAAMISGDKSAFYRCAFLGFQDTLWDDQGRHYFKLCTIQGAVDFIFGDGQSIYERCTVSVVAGALRGLTGFITAQGRNSPADPSGFIFKDCNVIGDGTTYLGRPWRDYARVIFYNTSMSNVVVPQGWNAWGFTGHEYQLTFAEHDCHGIGANTSGRVGWEHKLSDEMVKKLTDLTFIDNDGWIIQQPFSMVN >CDO98687 pep chromosome:AUK_PRJEB4211_v1:3:34839:37704:-1 gene:GSCOC_T00025578001 transcript:CDO98687 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHQKFTRTTATKDFLGVLPDGILSKIISRLTLKEAVRTSILSKSWRAIWTSHPCLLFDSASILGNKIHSKSMSCCFGEPDRQLQRLHFVEKVDHLMHQRRRGLRIDSLAIYFHLGKEFASHISEWIECAFAKGAEIIDLDLSESCSFKVDNVPLAEFERYTFSCSLLASPNVRCTLKHLRLTCCNFDSVPTPGSLASLTTAELRDVNISDQQLENFLLTCLRLENLSLLACTNLVKLKFSCPKFRLKILSIQNCPRLDSIELGPESLTTFEYTGELATFSFKYAPRLTDMYLSFTGHNRQDGVSSALSRFACDIPHLRTLNLVSVLGMKTPELPDKVLNFTNVQELILTVFPFHDEDKFDWIIYVLKTFTSLRSLQLNLFSPSYIRKSNISPRQLPECTHRHLTKLEINGFYGSPHEIELLEYLLDNLVELGVLFINPCRKIYKRFNRWDSEVASNSHKIRPEVVEWIHDNVSPTIQLHVL >CDO99326 pep chromosome:AUK_PRJEB4211_v1:3:5410250:5412376:1 gene:GSCOC_T00026440001 transcript:CDO99326 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDITADIFVIIVDRSEIEKMVDKVLADNPEQLKQYRGGKTKLQGYFAGQVMKASKGKANPKLLNQILLEKLNTET >CDP11166 pep chromosome:AUK_PRJEB4211_v1:3:8217863:8227980:1 gene:GSCOC_T00033236001 transcript:CDP11166 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDASGIFKDGFFRFLEILKGDHVFQTNISSFNSSLIIKGIHVVIVSPSDELQHGVDESYKLSVPMLGDPSYAYIEAKTVYGALHALETFSQVCYFNHSSRVIEIRQAPWIIFDEPRFSYRGLLIDTSRHFLPVPVIKKVIDSMTFAKLNVLHWHIVDEESFPLEIPSYPKLWNGAYSSSERYSMAQAAEIVRQVIIMHLFGYAQRRGIHVLAEIDAPGHAKSWGIGYPSLWPSINCTQPLDVSNDFTFKVIDGILSDISKIFKYRFVHLGGDEVNTSCWTSTPHVSNWLKEQGLNGDEAYRYFVLKAQEIALSYGYKIVNWEETFVNFGSKLSRETVIHHWLRGGVVQQAVAAGFQCIVSNQESWYLDNVGTTWKTFYANEPLFNIRKPQQKALVMGGEVCMWGERVDGSDIEQTIWPRAAAAAERLWTPYDKVAKDTTEVTERLAHFRCLLNQRGVAAAPLTGWGRAAPMEPGSCYKQ >CDP10341 pep chromosome:AUK_PRJEB4211_v1:3:13156892:13167330:1 gene:GSCOC_T00031042001 transcript:CDP10341 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNIQIPSTKAIISAAASVTATAMLIRSFANDIIPQEFRHFFFTKVHQLFTAFSNEVILAIDEFDGLGQNHLFKAAEVYLGSILSPSTKRLRATLPQKEKKINVYMESNEELTQQFNGIQLKWRMVCKQIQPRYITMPGDYNSTMIFEHRYYELIFHKKHKEMVIGEYLPYGLERSKAVEVEKKALKLFMLGNDRMMGHRSNPWQSVNLDHPATFDTLAMDTDDKKMVINDLENFVRRKELYRKVGKAWKRGYLLFGPPGTGKSSLIAAIANYLKFDIYDLELTDIRTNSDLRRYLISTANQSILVVEDIDCSIELTNNRPKASRAPMHPHQYGQENRVTLSGLLNFIDGLWSSCGDERIIVFTTNHKDKLDPALLRPGRMDVHIHLSYCTLCGFKLLASNYLGITDHPLFLVVEQLMKVTKVTPAEVGEQLLKNGEPETALEGLIEFLEGKKKNVEFENHKSNQQAPEAAVPLELEEEGGNEGETNVISLEAIKELVKMNKVSPDEVKDQVIKRDEADIILRGLAQLLLERKETQVLKIDSGSSAEKLSLT >CDO99322 pep chromosome:AUK_PRJEB4211_v1:3:5381304:5389108:-1 gene:GSCOC_T00026435001 transcript:CDO99322 gene_biotype:protein_coding transcript_biotype:protein_coding MASATITSPPTPLINKKDAGLSAFSSQASFSVRKSQKRACKKIVSVMAPQQSERRPATTGSVKTGMTMTEKIFAKASEKSQLSPGENVWVNVDVLMTHDVCGPPAIGIFKREFGENAKVWDREKLVIIPDHYIFTTDERANRNVGILRDFCNEQNIKYFYDIQDLGNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKLLLKVPPTLRFVMDGEMPDYLLAKDLILQIIGEISVAGATYKSMEFVGTTVESLTMEERMTLCNMVVEAGGKNGVVPADSTTYKYLEDKTSVPYEPVYSDEQARFLSEYRFDISKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKVFLASGKKVKVPTFLVPATQKVWMDVYALPVPGSGGKTCAQIFEEAGCDTPASPSCGACLGGPKDTYARMNEPQVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPREFLQ >CDO98686 pep chromosome:AUK_PRJEB4211_v1:3:27286:28049:1 gene:GSCOC_T00025576001 transcript:CDO98686 gene_biotype:protein_coding transcript_biotype:protein_coding MWHMTSALRIRTRDCRHLSRAFPVLTCACRRSRWFDHLQPHPTVVHHKASRNHVGYQVFGNGT >CDP08122 pep chromosome:AUK_PRJEB4211_v1:3:31299342:31302818:-1 gene:GSCOC_T00026856001 transcript:CDP08122 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEVVKNKQVLLKDYVTGFPKESDVIISTENTISLKVPENSSGVLVKNLYLSCDPFMRGLMRKPAPNSRTPLPAYKPASPIYGFVVAKVVDSSHPKFKKDDLVWGLAGWEEYSLITETDLLFKIEHTDVPLSYYTGILGLAGITAYGGFYEVCNPKKGEKVFVSAASGAVGQLVGQFAKLTGCYVVGSAGSKEKVDLLKNKFGFDGAFNYKEEHDLDAALKRHFPQGIDIYFENVGGKMLDAVLLNMNMFGRIAVCGMISQYNLDEPEGVKNLMWLIHKRINMRGYSAAEYYPHYTKFLDLVLPHIREKKITYVEDIAEGLESVPAALAGLFSGRNVGKQVIVVARE >CDP19082 pep chromosome:AUK_PRJEB4211_v1:3:31925186:31934175:1 gene:GSCOC_T00002104001 transcript:CDP19082 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDELGAGAVPEEEGPSETAAADETEEKVEDKVDELTLDGPQEAAKKKKKKSKSKKKKELRVQTDPPSIPVIELFPSGEFPEGEVQQYKNDNLWRTTSEEKRELERLEKPIYNSVRQAAEVHRQVRRYIRQILKPGMLMIDLCETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDCAFTVAFNPMFNPLLEASREATNTGIKEAGIDVRLCDVGAAIQEVMESYEVEINGKVYQVKSIRNLNGHSIGSYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDSGIVQPYPPLCDIKGSYVSQFEHTILLRPTCKEVVSRGDDY >CDO99186 pep chromosome:AUK_PRJEB4211_v1:3:4087659:4093513:1 gene:GSCOC_T00026242001 transcript:CDO99186 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFPLHQIAVDKLLVYINRSLTFIHSTVLICMIVYRVSSFLFNKDSQAIPLIPWLLIFSSELLLGFIWLMKSAYNWRPVSRSVFLERLPPDDDLPPIDVFICTADPVREPPLKVMNTVLSSMALDYPPGKLSVYLSDDAGSSLTLYAIHEAWDFGRLWVPFCRKYAIKNGCPEAYFSTTIDDHGNKIGFQEEGKNIEVEYEKFKERLRIAGESIGAKSSQDHPAMIEIIGSKDPVIEDSDDAKMPRVVYVSREKRPSHPHNFKAGSLNVLLRVSGIISNSPYILVLDCDMYCNDSTSARQAMCFHLDQKMCSSLAFVQFPQKFGNISKNDIYDAALRYIFVVMWPGMDGLRGPILSGTCFYIKREALYGRSREKDLDLVQLKQSFGPSNEFLRSLKTKRTSHSDNKVVDDQDSGTALLQETRFVGSPTYEANTAWGFLYDSVVEDYFTGFILHCRGWSSVFCNPQRPAFLGSSPTNLSDTLIQGTRWNTGLLEVFLSRFCPIIYGLGRVPLLDCMCYAYFSAQPLYCFPVWCLAIVPQLCLLNGIPVYPRVSSPWFIPYSVAFLSTLGIHLWDVLRTGGTTRIWWNEWRVWMIKSVTAYFYGSLDALLKLFGVKEASFVPTNKVADGEQVKRYQLGIYDFQASTMLLAPLVTLVILNMVSFIWGIGKSTLAGGWGELFGQIFLSFFILNVNYPIIEGMMLRKDKGRIPPSATLFSLACSFLILLFGYTAFFYRS >CDO99200 pep chromosome:AUK_PRJEB4211_v1:3:4246508:4248052:1 gene:GSCOC_T00026267001 transcript:CDO99200 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGVRRFYDQDTEVKKRWYTRDGSKTVVYNSNFDLFSAPSANWRDTSYVNMAPKVPRADELPEACSDILIKYSGYIKKLGRSLFELLSEALGLKPNHLNDIGCSEGLAVLYHCYPACPEPELTLGATKHADYDFMTVLLQDHTGGLQILYENQWVDVPPVPGALVVNVGDLLQARYQSLISNDKFNSSQHRVLANRAGPRVSVACFFTTGLAPSSKRYGPIKELLSEDNPPRYRETTVKDYSLHYNAKGLGTTSALLDFRI >CDO98913 pep chromosome:AUK_PRJEB4211_v1:3:1773476:1774723:-1 gene:GSCOC_T00025874001 transcript:CDO98913 gene_biotype:protein_coding transcript_biotype:protein_coding MSICAAYNGLALHSPKAESFRGLISSNFINRIQVRPAPYSPSLHLSGPFKFGKERCHLISASATPTVECSKTSFRSKNPEDITVLVVGSTGYIGNFVVKELVERGFNVIAIARERSGIRGRNDKDKTSEMLNGANVCFSDVTQLDVLEKSLAERVVSVDVVVSCLASRNGGVKDSWKIDYEATKNSLVAGRKSGASHFVLLSAICVQKPLLEFQRAKLKFEAELMKEAEQDAGFTYSIVRPTAFFKSLGGQVDLVKDGKPYVMFGDGKLCACKPISEPDLASFIADCVLSENKINQVLPIGGPGKALTPLEQGQILFRLLGKEPKFLKVPIEIMDFAIGVLDFLVKIFPSLEDAAEFGKIGRYYAAESMLVWDPETGEYSAEKTPSYGKDTLEEFFDKVLREGMAGQELGEQTIF >CDP10346 pep chromosome:AUK_PRJEB4211_v1:3:13384680:13386551:1 gene:GSCOC_T00031051001 transcript:CDP10346 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKLTKIPPKEIEMASTCSIDRVLLALELLLNNLIGYSHMNYFLPDAIKDMKFLKTFLTCARKWSLVHLYLQSDNAVKRVSLPSFLSCIEDTFHKYEDIHFLSHDEMSLVLCKIKKEIISLKQEIIQIYFALATLASNRSFQSNSCMTDNELLEFIDLILQNLADLTNDDMNWKITNKSSIYAALGAQVQDLEAKLTFLKSFIPFAKMRGTADIPALLLAHFEVVALTAARLSYMCSFWDDREEIENPEFYDRSTCSFKLLSIRAVDFHVYEIYKEVLAASNSSASLHTAVMDERILNNFNDSLISCLWELLCCSSSFVDSMKDQMRILYAGLRFLRSTLREHHEMMDEQNEKIGALLGEAGIKIFAPTLSRVIEGKVSFSRSTQVLGFRGMLANTNIHIKHFKDQISGSSTIESLPNSSHSLRAPEVSQTSSRMLSKGKMPIDHEVMVGLDDEAERVIEPLIKHFEDQLVMLRTIQSLPNSSHSLRAPEVSQTSSRMLSKGKMPIDHEVMVGLDDEAAKVIERLRWGSEQVEIVPIVGMAGLGKTTLAKKVYNDNSIIYNFHIRLWCTVSQEYNKKSWLTQILCSDKEQSRMDEELKNLNEHELLDKLDRCRTCAIIINKS >CDP22187 pep chromosome:AUK_PRJEB4211_v1:3:15606132:15607198:1 gene:GSCOC_T00007327001 transcript:CDP22187 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDPDVDLRQIAADTELFTGAELEGLCKEAGIVALREDISATLVCSQHFQTVRNSLKPALTREDINFYSSFMKNLLLRSSAPSKPKSNHRVKETKKLFILTIPITLGVIGFMLYGGMKYFLTSLDRVPKVLAST >CDO99028 pep chromosome:AUK_PRJEB4211_v1:3:2615432:2616160:-1 gene:GSCOC_T00026033001 transcript:CDO99028 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEAFQATSAALEPTSSPRISFSADFLDDRNFISISPKPPPEKEREKAKDTKEKTRNAEFEFLSNNLTSDSMITADELFFEGKLLPFWQMHHAEKLNKLSLKTEQPEEGRDEADVINKEEPRISWFLDDDPSPRPPKCTVLWKELLRLKKQRASSLSPSSSTSSSSSSSSGSLADMHPADGSKESAVNRQKHVKRIKKGLERTRSASLRVRPVINVPICTQGKNSALPPLFSIRKGRLGR >CDO99137 pep chromosome:AUK_PRJEB4211_v1:3:3582301:3583989:1 gene:GSCOC_T00026181001 transcript:CDO99137 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDQKRVMKSETGNVSVEPLADEKKNNYLPNFLSSIRLKYVKLGYHYLISNALYLMLISLLGVLSVHLSTVSVIDLVLLWEQLRLNLVSVVLCSTLMVFLCTLYFMARPRKVYLVDFACYKPGPELSVSREVFIEHAKQYGIFSAETLTFVKKIFERSGLGQKTYLPESFFKIPPNPCMADARKEAEMVMFGAIDQLLAKTGVKAKDIGILVVNCSLFCPTPSLSAMIVNHYKLRGNILSYNLGGMGCSAGVISIDFAKQLLQVHPNSYAVVVSMENLSLSGYTGNHKPMLVTNCLFRLGGAAILLSNNSSDRRRSKYQLIHSIRIHRGADDKSYCCVMQEEDENMNVGVALSKDLMAVAGDALKTNITTLGPLVLPMSEQILFFVTLVARKVFNMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLSLTEWDMEPSRMTLYRFGNTSSSSLWYELAYSESKGRIRKGDRTWQIAFGSGFKCNSAVWRALKTIDPAKEKNPWTDEIDDFPVQVPRVATIN >CDO99336 pep chromosome:AUK_PRJEB4211_v1:3:5465576:5466890:1 gene:GSCOC_T00026453001 transcript:CDO99336 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVGRSLAAPLLFLNLIMYFIVLGFASWCLNHYINGQTNHPSFGGNGATPFFLTFAILASVLGIASKLAGGNHLRAWRSDSLAAAGASSLVAWAVTILAFGLACKEINVGGRRGWRLKVLEGFIIALGATQLLYVLLIHAGMFSSRYGPGYRDPDYGLGAPGAEPKGTAGVTGSRV >CDP03439 pep chromosome:AUK_PRJEB4211_v1:3:21787991:21790381:1 gene:GSCOC_T00015197001 transcript:CDP03439 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQLTSSSLHSLCFLLSMSVFFHSTASEVGSYLARGATLSVQDEKGFIRSPDKSFTCGFLGDGSNAYWFAIWFTNSRDKTVVWMANRDRPVNGRGSKLSLGRNGAMVLTDIDGMVVWRTNPTSIDVSRAELLDSGNLVLKNFKGDMLWQSFDYPTDTLLPTQKFTKNKRLVSPLRKGSYESGYFNLYFDSDNALRLIYDGPEISSIYWPNPDFSVYVNGRTNWNSTRIAALDDIGRFTSSDQLQFNVTDAGPGIKRRMTIDYDGNLRVYSLFSSTGLWEISWQALAQPCAVRGLCGRNGICVYSTTGMAKCSCPPGFVVSDPSDWNKGCKARFNLSRSNPQAVKFVAIPNADYYGFDLNYTQSITFEACRNICLGDSSCQAFGYRITGWGNCYLKSALFNGYLTPDFPGTIYIKVPQSLQISEPVTLQGSGPICGSKDDAVGRRVKWVYLYSFASALGAIELLFVSLGWFFLFRKTGVPATVEAGYQMIASQFRRFGYDELNKATKNFKEELGRGGSGSVFKGVLADGREVGVKRLGDVFQAEQEFWAEVSTIGKINHMNLVRMWGYCSEKRRKLLVYEYVENSSLDKHLFSRKNFLGWEQRFAVALGTAKGLAYLHHECLEWVIHCDVKPENILLDGDLQPKIADFGLAKLFQRGGGPGSEFSRIRGTKGYMAPEWALNQPITAKVDVYSYGVVILEMVKGIRLSNWATDDGDEQEAELTKCVRIIKRKIQQGEESWMEDIVDTRLQGKYSRKQVATLIGVGISCVEEDRKKRPTMASVVQTLLECDEYTTLPQ >CDO98979 pep chromosome:AUK_PRJEB4211_v1:3:2245517:2246431:-1 gene:GSCOC_T00025972001 transcript:CDO98979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase family protein [Source: Projected from Oryza sativa (Os03g0320900)] MLVRRLCTSLAKFNNNPFFLSRKVINPFAEFPSSPAFLTSPKTLQFTSHRPVLPARCFFSANSPMGDARRPAVVPIPCPETADRAELYRALEASLGSPFSSEPLVPNPNPLVIVISGPSGVGKDAVIKRLREVRESIHFVVTATSRAMRPGEIDGKDYFFVSKEEFLKMIEQDELLEYALVYGDYKGIPKQQIREHMAKGSDIVLRVDIQGAATLRNILGKSAVFIFLVAESEEALVKRLIDRKTETKETLLVRIATAKEEIKHLSNFDYVVVNKEGELDGTVKLVESIIDAEKAKVNQRNIVI >CDO99009 pep chromosome:AUK_PRJEB4211_v1:3:2470119:2472568:1 gene:GSCOC_T00026010001 transcript:CDO99009 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFHLIKAALPNMKNRGDRGPGSIAIMSSQAGQVGIYGYSAYSASKFGLRGMAEALQQELIGDDIHVSLIFPPDTETPGFVEENKRRPQLTSIIAASSGAMKAEEVAEKALKGIQSGSFIVPCNFEGILLSIATAGLSPQRSFLMAFIEVVAASILRIAALCFQWNWYASIERWHAQKKL >CDP10268 pep chromosome:AUK_PRJEB4211_v1:3:11857088:11859041:-1 gene:GSCOC_T00030941001 transcript:CDP10268 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGEFPRGLANCSALTAIDLSTNSLYGTIPSDLSRIVRFATSLNLSFNNFSGEIPVTLANCSFLNALQLSNNQLTGQIPPEIGLLDRLKIFNVANNLLSGPVPNFISATIPSESYANNSGLCGGPLEPCKSNSETKKQDKILFTSGFVVGWVLSTILALVLNLFIVPILSARKLKSKNEKKQKTTASEGPRLLTSNGRIQDSKIMALEKHVTRMSFEELSKATDDFHCMNTIGKGKLGTMYKAILQNGWFLAVKKLHNSYDFDQEFMSEIMTVGRMRHCNFVPLIGFCYEINSRLLVYKYMSNGNLHDLLFSAQNGKVKCIEWPIRVKIAVGIARGLAWLHQVGVVHSSICSRCILLDHSCEPKISNFGSAKLLKSNFSSSSWRTVVDNEVWESGSFKKDVYEFGVVLLELIAEKEFSQMNGCLKSFEGLDMVEWTFQNSKPYDSDEIMQAYKDEILEFVRIAVDCIQSDPARRPSMLEVYKTLSKITGRSVLAND >CDP03466 pep chromosome:AUK_PRJEB4211_v1:3:21082624:21087532:-1 gene:GSCOC_T00015237001 transcript:CDP03466 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILTQSCFLLDVFLFSGRQLKQTKNASEQVKQSDDTVRADPLDHLRKYRGGYDITNKHYWSSTAFTGIYGYAIAALWAICGLIYGAHSLVTSFCWKTNREEKFKKTSSCRKKCYPWSRVLVVLFTILAIIGCGLFLGGNAKFHSRATTVVDILIDTADHASDTIYNTTEAMKDLSANLAADAESGDATRFLKSTAQSLDTEADDIHRQARKHRRAIYNGLKIVYIITTVTISLNLVAAIALSVSGVLKLRRILRLMVILCWILTVLCWLFSGIYFFIENFADDTCTALEGFRQDPYNNSLSSILPCDELSSAKSVLRHVSAEVNSNISTRYGNIFQICNPFSGPPHYHYQPQDCPANAYKIGDIPQLLKQVTCPDSEQGCTGGIVIPTKYYNRLEIYTTSIQRLLNEYPDMESLVECQTVTTAFSEILNTHCKPLKRYTRMVWAAMVFLSTVMVALILLWTTKAHYEQYQHSSDGSMKPFSTSADKLESGTAEAPNNGSIPSSVL >CDP11117 pep chromosome:AUK_PRJEB4211_v1:3:7254474:7256795:-1 gene:GSCOC_T00033157001 transcript:CDP11117 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNKQIEPQEFDVDELFQFTMKKNWKEVLRICKTYPSAACNAKLTKSEETALHIAVSSYQVDEKGANALAAIIRQLVESLPAGQAVKILKAQNDKGDTALHLAAALGSVKICYCIARQERKLVRNRNQKGETPMFLAAHHGHMEAFQLLHELYNGNASEPDYSLCRRNDGDTILHSAISGEYFALANLITIKYDRLVNSVNQEGFSPLHILARKRNLFESSSHLRLCDRMLYRCVYVPEVKNHQSRRGDRRSLEETGEHYPENYQTCVNFIHLLSTAFWLIAPLGKGQDQGQVQGQGPCTEDPEEGKMEGQASRGEDSDSRKPGSTVDREIPMEGNQGLYYAFTDFLQFWNCLNLLLLTKSKLYDMQNMQGSKF >CDP08060 pep chromosome:AUK_PRJEB4211_v1:3:30220576:30220970:1 gene:GSCOC_T00026758001 transcript:CDP08060 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKGENGHDLASDVRTDVVNSDVSANDPHKILAVINNPNTPYCHFTSGCKLPFHDVDYGWGKPLSVFAPALPVKNRIILMDAKYGEGIQALVTLADEDMKVPPDELLSLGTIDLSN >CDP10059 pep chromosome:AUK_PRJEB4211_v1:3:22966022:22968533:1 gene:GSCOC_T00030644001 transcript:CDP10059 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKAVDLKSILQPLTNVLPRGQKFIFKGPSHLLVDEMTMRSLEIINGSKIMLMATQGLHQGVSAVDHYALLFHFSYVLTTEPLKANKEVSVPKSQLERWKATGVIALPECNLKAIPEEVWMCGPSARLLDLSHNSLLQLPDKIACLSSMQKLLLNANDLSDKLISCEGSLDSLIFFCFRCNMIILVVLPSAIGALTSLRQLLVENSKLTENVVVTIVMVTKQFLPDITGYYNCNDHLITC >CDP19163 pep chromosome:AUK_PRJEB4211_v1:3:31766325:31770398:1 gene:GSCOC_T00001673001 transcript:CDP19163 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYASLRSTAKSPCAAGKLREALAFFSSGPGPPDYSFYLKLLQLCIGTNGERQGHSTHGHLIINGFRSNIHLNTKLIIFYSKLGDMINARKVSDNMLERSVVSWTALISGYSQNGELEEALKVFSEMHKDGVRSNQYTYGSALRACTGLVCLDRGKQIQACAQKSRFVENLFVQSALVDLYSKCGRMEDAFSVFSSMMERDLVSWNAIIGGFVIQGFHDNAFYMFHLMLREGLLPDYFTFGNVLRACVGSVGLGKVGLVHGFIIKLGFASHSCLTGSLIDAYVKCGSVDNARHLYKNMQNKDIISCTALITGYAHNGKNINEAVQLFNEVRLMHVAVDNIVLCSLLSICANTASLLLGKQIHCLALKYPTHKDVAMGNALIDMYSKSGEIGDAKHIFDEMEEKNIITWTTLITGFGRNGYGNEAVSLYKKLEDEGLKPNYVTLLSLLSACSHSGLTGEGWTCFNKMVSNYNISPTAAHYSCLVDLFARRGYLEEAYTLMQNMNIEQNATLWSTILGGCYIHGNTYLGEVAAKHLVKVEPENSANYVVIAGMYAAAGLWDSSRMSWKSMEQRSLLKAPGCSCFESASNTVALPSP >CDO99390 pep chromosome:AUK_PRJEB4211_v1:3:6195153:6199856:1 gene:GSCOC_T00026546001 transcript:CDO99390 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHPNHHHQPPSTTPGIRPSTPCILLSTILFSLLLILFFSTSTHPPPSLPPLDPSLFPPDSPHRHLFLSSSNTTSHKSKQNPPSPPPPPSIAYLISGSSNETGRILRLLFSIYHPKNIYLLHLDSRASQAERDNLAVKIQSFPLFKAAQNVNVVGKADIVYQQGSSTISAILHGASTFLRISKNWDWFINLSVDDYPLVTQDDLLHILSYLPKDLNFVNHTSYIGWIESRKLKPIIVDPGLYILEENEVFFATQKRPLPDAFRLFTGSSSAILSRKFIEFCILGTDNLPRTVLMYLANTPSANSVYFPTILCNTQKFNRTIINHNLQYVSLNLRKEPHLLNSSYYTDLVQSGAAFASRFRPDDPILDRIDKEILHRAPRKPVPGGWCLGESGLDSCKVWGDADILKPGLGAKRLENRLLELLSKETFLSQQCVVN >CDO99458 pep chromosome:AUK_PRJEB4211_v1:3:7180969:7188807:-1 gene:GSCOC_T00026641001 transcript:CDO99458 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRSVFPGYLSSLFHSSKVISCGTLLPLQSSASFSTHHFVGDTPMLVRDFIHAALYDPKHGYFSQRSRSVGVLESSINFNKLEGQKAYMQLLDKVYKQNEVSWFTPVELFKPWYAHGIAESILRTANLSVPLKIYEIGGGSGTCAKGIMDYLKLNAPTRVYNSLTYISIEISSSLAKKQMETVGEVQSHSSRFRVECRDAANRSGWGEADQQPCWVIMLEVLDNLPHDIIYSRDQFSPWMEVWVEKQAERSELCELYKPVEDRLISSCMEILDLEKESDGRTSASLVRNVWAKVFPKPRRCWLPTGCLKLLEVLHGALPKMSLIASDFSYLPDVTVPGERAPLVSSKRDGKSFDHKSYMDAKGDADIFFPTDFWLLERMDHHCSGWLKPDQDKSSKKGKKRRTLTLDTSSFMEEFGLPSKTRTKDGYNPLLDDFKNTKIYLSVPTHNTK >CDO99286 pep chromosome:AUK_PRJEB4211_v1:3:5059263:5062739:1 gene:GSCOC_T00026382001 transcript:CDO99286 gene_biotype:protein_coding transcript_biotype:protein_coding MALETDRNAALSPVAPLAPVTLERNVRTDLETFMPKPYMARGLAAPDTEHPNGTRGHRHHNLSVLQQHVAFFDQDDDGIIYPWETYAGLRQIGFNIIASLIIAIAINVGLSYRTLPGWIPSPLFPIYVYNIHKAKHGSDSGTYDTEGRYVPVNFENMFSKYARTVPDKLTLRELWEMTEGNRIAYDPFGWFVNKGEWIVLYILARDENGFLSKEAIRRCYDGSLFEYCAKIHAGVESKLG >CDP08111 pep chromosome:AUK_PRJEB4211_v1:3:31134523:31135029:1 gene:GSCOC_T00026838001 transcript:CDP08111 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLQSSGISTRFGKTVQFNQKGSGKEKFLQHRTVISCQAARTVQTGRAANFYEVLSLDCSKFVGLQEIKKAYRCKALKFHPDACPPSEKEESTRRFLELRMAYETLSDPISRELYDHELSLVDVDGRTRHGMSCSMGSQVWERQIAELNKRSRQKMEKRKEMGMWN >CDP08081 pep chromosome:AUK_PRJEB4211_v1:3:30710286:30717389:-1 gene:GSCOC_T00026792001 transcript:CDP08081 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWLLLFYLVMSARFRGIFSYTDPRDVAVLRSLKDQWQNTPPNWGKSDDPCGAPWEGVSCNNSRVTALGLSSMGLSGKLNGDIGGLTELISLDLSFNRGLTGSLSPRIGDLQNLSILILAGCSFNGNVPSELGNLAQLSFLALNSNNFTGGIPPSLGKLSHLYWLDLADNQLTGPIPVSSSMTETPGLDLLKKAKHFHFNKNQLSGPIPNALFSSDMVLIHVLFDANNLTGGIPSTIGYVQTLEVLRLDRNALIGKVPSDLNNLTNLVELNLAHNQLSGPFPNITEMISLNYVDLSNNSFQQSQSPAWFSTLESLTTLVVEYGSLEGMVPQKLFSLPQIQQVKLRNNAFNDTLDMGKTIGQQLQLVDLENNEIPYVTLGSGYQNTLILLGNPVCDAGLAKTSYCQVKQQAEKPYSTSLANCASKSCPADKKISPQSCNCAYPYEGTMYFRAPSFRELSNDTLFQQLEMSLWVKLSLTPGSVSLQNPFFNVDDYLQVHLGFFPSVGEYFNRSEVQRIGFALSNQTYKPPKQFGPYYFIASPYTFGAERGNAISKTIITAIAAGCAILVVLLVGLGIYAVWQKRRAERAIGLSKPFASWGPSGKDSGGAPQLKGARWFSYDELKKSTNNFSEKNEIGSGGYGKVYRGMLPSGQVVAIKRSQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGQQILIYEFMPHGTLRESLSGKSGIYLDWKRRLRVALGSARGLTYLHELANPPIIHRDIKSTNILLDENLTAKVADFGLSKLVCDGSKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELVTAKQPIEKGKYIVREVRMAMDKNDEECYGLGNIMDPSIRNTTTLIGFGRFVDLAMRCVEESAADRPTMSEVVKELETILQNDGLNTNSTSASSSATDFGMAKGAKHLYDVMPRKDVNSSDAFDYSGGYNISAKVEPK >CDO99392 pep chromosome:AUK_PRJEB4211_v1:3:6210004:6215915:1 gene:GSCOC_T00026548001 transcript:CDO99392 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKGYLQFDVEADVRIGDAFSIPGYDKSVVRTDHDGDPAGIDMDQCGLPIIPYADLVVLKLLGSGRYGIVYHGKWMGTDVAIKIFDKSRVTKDYLDRLTKTFWREAQILSKLCHPLVVAFYGVVLDGPEGALAYVTEYMLDGSLSALLEKGELDRRTKLMLLLNVASGMEYLHSQGVVHFDLKGPNLLVNLGDPQRPVCKVADFGLSKIKQNALVSGRKRGPLRQMAPELLNGRRNKVSDKVDVYSFGITMWETETEEVPYGDLSLDAIKSGVVYFNLRPAIPEYCDPELRKLMEECWSPDPAARPSFTQIRERLQAMLMAPAARDTKLS >CDP10305 pep chromosome:AUK_PRJEB4211_v1:3:12439346:12445768:1 gene:GSCOC_T00030988001 transcript:CDP10305 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEEVKVPRIKLGSQGFEVSAQGLGCMGMSAVYGPPKPEPDMIKLIHHAICRGITHLDTSDIYGPHTNEILIGKALKEGIRVKVELATKFAVSFQDGKFDVRGDPAYVRASCEASLKRLDVDCIDLYYQHRIDTRVPIEVTIGELKKLVEEGKIKYIGMSEASASTIRRAHAVHPITAVQLEWSLWTRDVEEEIIPTCRELGIGIVAYSPLGRGFFSLGPKLIENLAEGDYRKNMPRFQVENLEHNKKLYERVNAIASRKSCTPSQQALAWVHTKAMMFVP >CDO99263 pep chromosome:AUK_PRJEB4211_v1:3:4842807:4843249:-1 gene:GSCOC_T00026351001 transcript:CDO99263 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGVLLLGFICVIVAGVGGQAPPSPPTTSPSPPTLTAPTTAPPAPPTPTASPLPTTNPPPTPTPTSSPPPVPYLLLS >CDP08050 pep chromosome:AUK_PRJEB4211_v1:3:30009148:30009564:-1 gene:GSCOC_T00026744001 transcript:CDP08050 gene_biotype:protein_coding transcript_biotype:protein_coding MSCACRCGESAAKSVFSNFSLVLTEEPFEEPETIDMGVIYGEEKFRSFDNDGLEDEDNDIDDQLHFPPEDKEIDISKPIRDILHVEITIDAICDPKCKGICLRCGTNLNIGSCKCRTQDTDEKHYGPLGNLRKQMQQS >CDP11159 pep chromosome:AUK_PRJEB4211_v1:3:7990479:7992018:1 gene:GSCOC_T00033223001 transcript:CDP11159 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGFQNIHGYWKFFSSFIVITRGHKRHLVFSILVKKFSIFNDCYKKKISKNANSLIIQIEW >CDO99094 pep chromosome:AUK_PRJEB4211_v1:3:3113743:3119555:1 gene:GSCOC_T00026122001 transcript:CDO99094 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPERSKNQINTPISKFEESPVFSFLNNLSPIQPVKSVHITQTLNALSFSSLPSVFTSPHASSLKESRFLRRHQLVDPSRPEFSSDSEKKIDGNGGIVKYAHNSSEQQENFDPGNSLGETSIEPSYDCSQLAVEFVRSLNYDCKSPISSPKASCAASNKGCDWESLISDAADLLNFDTPKDVDSFNESPRSTTAFYTNNTNNIQNMQTFGCIEHQGEGMDNENPSTQPGEGSCVMEFAEPEEVITSSSLNSECMEGSLSQKMDAEPVSNLYRGMRRRCLVFEMAGARRKHLEENSGSVSSILLQSDGNTPSADKQLVPFKSGNDSSRCILPGIGLHLNALATTPKEFKVVKQDASVAGRLVIAPSSTANFQPIMAGQESFNESLALTSTETDMDPTENAAPLTEDANQLSALIANEEFNQISPKKKRRRLEVSGEPEGCKRCNCKKSKCLKLYCECFAAGVYCVEPCSCQECFNKPIHEDTVLATRKQIESRNPLAFAPKVIRSSDSLTEDDSSKTPASARHKRGCNCKKSGCLKKYCECYQGGVGCSINCRCEGCKNAFGRKDGSIYIGTEAELEEDETDALEKTVKDRKPHKTAIQVDVEQQNPESAPPATPLRFGRPSVQLPFSSKKKPPRSSLPSIGSSSGLYPIQGLAKPNLLHPQPKFDKHFQTVQEDELPEILQGNGSPIGGIKLASPNSKRVSPPHCDFEMSPGRRSSRKLILQSIPAFPSLTPKH >CDP10282 pep chromosome:AUK_PRJEB4211_v1:3:12058635:12062822:-1 gene:GSCOC_T00030959001 transcript:CDP10282 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVRRIKLGPQGLEVSAQGLGCMGMSFSYGLPKPELDMTKLIHHAINSGITHLDTSDVYGPHTNEILIGKALKGVEREKVQIATKFAARMLPSGEHVACGHPDYVREACEASLKRLDVDYIDLYYVHRIDTTIPIEITVGALKQLVEEGKVRHIGLSEASPETIRRAHAVHPITAVQLEWSLWTRDAEEVVIPTCRELGIGIVPFSPLGRGFFASGAKLTENLTSNDFRKSFPRFQKENVEHNNKLFEQVTQLATRKGCTPSQLALAWVHHQGDDVCPIPGTTKIENLESNIKALSVKLTPEEMAELESIASANAAKGDRYPPAMMAHTWRFANTPPLSSWKAT >CDP10876 pep chromosome:AUK_PRJEB4211_v1:3:28218212:28219117:-1 gene:GSCOC_T00031813001 transcript:CDP10876 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLKVHSIALIIVSTIFSLVLTSECGVIVVYWGQNVMEGTLRDTCSSGLYRVVNIAFLPTFGNGQTPKLNLAGHCEPSSGDCKKLSENIRQCQSQGIKVMLSIGGGNGSYSLSSANDAKQVADYLWNNFLGGKSNSRPLGDAVLDGIDFDIELGQGQSYYAALAQALSGYSKQGKKVYLTASPQCPFPDKKLNAALSTGLFDYVWIQFYNNPMCEYTSSSPNNFENSWKKWTSSIKANQFFVGLPASKMAAKSGFVPEQVLKSKVLPFVKRSSSKYGGIMLYDRYNDKQNGYSSAVKGSV >CDP14637 pep chromosome:AUK_PRJEB4211_v1:3:14450101:14453898:1 gene:GSCOC_T00042015001 transcript:CDP14637 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLIFRYQVCHVLTIILAFVIYPALTHGIFLGDVELLKALRQSLISRRNAIPSWFESETSPCNWTGIRCEGAVVRQIDLSCTNSPLSLPFPVLIGEFKSIKYLNLSHCALTGGFPESVWNLENIESLDVSDNRLTGMLPPTISNLKNLRQLVLDDNSFSGSFPSAIGLLEELLELSVHGNLFYGNIPEELGNLKKLQSLDLSVNNFSGRLPSSFGNFTRLLYFDARQNKLSGPIIPEIGNLRRLRTLDLSWNSLTGPLPITIGNLKHLQSLDLQNCRISGNIPEEITELRSLTYLNLAENIFKGELPESVGRLTNLNYLIAPNAGLTGKIPPQLGNCKRLKIINLSFNSLSGPLPEDLAGLESISSVLLDSNCLSGPVPGWISNWKEVESIILSKNLLSGSLPPLDLPLMSSLDLSSNKLSGELPSEICNAKSLSNLQLSDNNFTGSIDKTFSNCLSLTDLVLSENGLFGEIPAYLGDLQLITLELSKNKFSGKIPDQLSESKTLMEISLSNNMLEGPIPSAIAKVSTLQRLQLDNNLFEGSIPVSIGKLKNLTNLSLHGNRLTGEVPLEIFECTKLVSLDLGANRLTGPIPKSISQLKLLDNLVLSYNQFSGSIPEEICSGFQKVPLPDSEFVQHYGMLDLSNNDLEGQIPASINKCIVVSKLNLQGNRLNGSIPFEISGLPNLTSVDLSFNSLTGPISPHLTSMSLQGLNLSHNQIKGAIPENFGSKMSSLVKLDLSHNLLSGPLPPSIFNIVSLTFLDISQNSLSGTLSVGPGSTSSLLFLNASFNQLSGNLCDSLSNLTSLSMLDLHNNSITGTLPLSLSSLAALTYLDLSNNNFQSSFPCNICDIEGLAFVNFSGNKFTGNVPDTCKDTEICLLNQNIFLHRHRDSSPVILSHASVLGIALGATLVSLIILIGLVRWRMLRQEAMILERGSNKIVGATEPASSDELLVKKPKVPLSINIATFEHSLLRINPADILSATENFSKSYIIGDGGFGTVYKASLPGRTFAVKRLNGGHLHGNREFLAELETLGKVNHQNLVPLLGYCVFADERFLIYEYMENGSLDFWLRNQADAIQALDWPTRFKICLGSARGLAFLHHGFVPHIIHRDIKSSNILLDRNFEPRVSDFGLARIISACETHVSTVLAGTFGYIPPEYGQTMMATTKGDVYSFGVVILELLTGKAPTGQADIEGGNLVGWMRWMVARGRESEVLDPYLSASSAAWKTQMLEVLAIARRCTCDEPWKRPTMLEVVKLLKGAKTYG >CDP11187 pep chromosome:AUK_PRJEB4211_v1:3:8628578:8631202:-1 gene:GSCOC_T00033275001 transcript:CDP11187 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGKTTLAQVVYNDYDVVSHFDLRMWVWVGEDTSIDRILKSLLECLTYIVPDKKSGREGILWKLLDRLKGKKYLLVLDDVWQEDPQLTGPLKDCLLKLGGSRGSKILVTTRLERVAELTLREMTSLEKWSEPANLSNHSSSVCPLLQKFEVEQCPKLKCLPNVMTTSHRLRRLGVAMCDTSEKMQQLKHLDELDICYCPLLVASAPKEAAQNCTRSPTFPELL >CDP03429 pep chromosome:AUK_PRJEB4211_v1:3:22111879:22112158:-1 gene:GSCOC_T00015175001 transcript:CDP03429 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLKTCFEVFLCMKSYDHKLFSQSDGAITRLMDYGEGQIFYVRVVDFNNGNSDPYH >CDO98874 pep chromosome:AUK_PRJEB4211_v1:3:1429498:1430880:-1 gene:GSCOC_T00025824001 transcript:CDO98874 gene_biotype:protein_coding transcript_biotype:protein_coding MLERCLSPRRARQMQRLLRNGKLTLLCLFLTVIVLRANLGAGKFGTPEQDLNDIRETFSYIRRRAEPRRVLEEARQTFTATDNGASDTNSYADFDIKRIIADEDDGDEVVYKRDPNQPYSLGPKISNWDQQRAEWLKKNPNFRNFVAPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNFALLDAEMSGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFELPWERYKDHNFVMHGWNEMVYDQRNWIGLNTGSFLLRNCQWSLDILDTWAPMGPKGKIRDEAGELLTRELKDRPVFEADDQSAMVYILTTQREKWAEKVYLESAYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYSVERCLKQMDRAHNFADNQILQMYGFTHKSLASRKVKRTRNETSNPLEAQDELGLLHPAFRAVKVSAS >CDP08001 pep chromosome:AUK_PRJEB4211_v1:3:28818616:28827316:-1 gene:GSCOC_T00026660001 transcript:CDP08001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLINKPLTFLCNSKSEFCPENPLLQRGRFGVSSMSFKNVNSSSISSKKFYKEKVSFSSVTCKAVSIEQQPVTEVEGLNIAEDVTQLIGKTPMVYLNNIVKGSVAHIAAKLEIMEPCCSVKDRIGYSMIADAEQKGVITPGKSILVEPTSGNTGIGLAFIAASRGYKLILTMPSSMSLERRVLLRAFGAELILTDPAKGMKGAVEKAEEIRNKTPNSYILQQFDNPANPKIHYDTTGPEIWEDTRGKVDILVAGIGTGGTISGAGRYLKERNPGIKVIGVEPLESNILSGGKPGPHKIQGIGAGFIPRNLDEEVMDEVIAIDSAEAIETAKQVALQEGLLVGISSGAATAAALQVGKRPENEGKLIAVVFPSFGERYLSTALFQSIREECEKMQPEM >CDO99350 pep chromosome:AUK_PRJEB4211_v1:3:5722380:5748992:1 gene:GSCOC_T00026483001 transcript:CDO99350 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEGCTKRSTKDGGDKSTLVQASGICLQVRIWNMKSVGRDLDTDASIPKLLATIRDHFGSVNCVRWAKHGRYVASGSDDQVILIHERKPGSGTTEFGSGEPPDIENWKVAITLRGHTADVVDLNWSPDDSTLASGSLDNTIHIWDMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVIVVKFNHSMFKRSSSNGQDLKSGSLGWTNGSAKTGGKDSQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGTVATFHFDPNELGHRLSDAELDELKRNRYGDVRGRQANLAESPAQLQLEAAAAKQNSSKKVTLDGSQKQTSMKPSADLGIATKVAKSQNEDGQKIEGATGDGLNKSASRISSPVKQREYRRPDGRKRIIPEAVGVPVQQETISGSTHSQPLELATSSGPRKDENGILHADSGIREASVRKTVGGSSDIKERSGVNARAAISESLVIEKFPVSADKDGSISIEQTGLVKHQDSATSGNNLSIRVFDKKAGEDTLPVCLEAHPREHAINDILGTGTTTVMKDTEIVCTRGAQTLWSDRISGKVTVLAGNANFWAVGCEDGSLQIYTKCGRRAMPTMMMGSAAIFIDCDEAWKLLLVTRKGSLYVWDLFNRKCLLNDSLASLIASDPKSNNRDAGTIKVISAKLSKSGFPLVVLATRHAYLFDTSLMCWLRVADDCFPASNFASSWNLGSVHGGELAALQVDVRKFLARKPGWSRVTDDGVQTRAHLETQLASALSLKSSNEYRQCLLSYIRFLAREADESRLREVCESFLGPPIGMDEAKSPDVKPLWDPCILESGMRVYVDICMGISTFLCMSAFEWE >CDP19094 pep chromosome:AUK_PRJEB4211_v1:3:31804070:31808596:1 gene:GSCOC_T00002122001 transcript:CDP19094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D5 [Source:Projected from Arabidopsis thaliana (AT1G02730) UniProtKB/Swiss-Prot;Acc:Q9SRW9] MGVDPPSSSPVTITVSTSGGGGGHGIALTSPIRRHSLSNNPNSPLSGRGLRASSGGKASCSNTTARYLSFSKDSTEEFVAYTVHIPPTPDNRIIAGSQNSPLDRSKSRGIPSAGFIKDTIFTGGHNSVTRAHVRKSSEEVELPNVKTKSNLVCEIEGCDEKAPDRNSKMQCECGFRICRDCFFDCVENGAGRCPGCKEPYRGDVIDDEIQDEPRSQPRIRVGKNYSLVQSFKNPNHDFDHAQWLFETKGTYGYGNAVWPRDGYVSGMGTERHQNPPDFTDRRNKPLTRKVGISAAIISPYRLLMALRLAALACFLTWRVSHPNHEAMWLWIMSVVCEFWFALSWLLDQLPKLCPVKRVTDLSVLKQRFESSDPNLNNPKALSDLPGIDVFVSTADPDKEPPLVTANTILSILAVDYPMEKVACYLSDDGGSLVTFEALAEAASFSKSWVPFCRKHNIEPRNPDAYFSQKSDPLKNKVKIDFVRDRRRVKREYDEFKVRINALPESIRRRSDAYNAQAELRARKKQLELGDNLSEAVKVPKATWMSDGSHWPGTWTSAEEGHSKGDHEGIIQMMLMPPNSEPVFGTEADEDNLIDTAEVDIRLPMLVYVSREKRRGFEHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSLALREGMCFMLDRGGDRICYVQFPQRFEGIDPNDRYANHNTVFFDVSMRAFDGLQGPMYVGTGCIFRRIALYGFSPPRATEHHGWFGTKKIKTLLRRKSKSPKNQDDVETLLPILGDENADDEAAKALLSKQFGNSASLIDSIAIAEFGGRLLHELRGKGCLGRPAGSLAVQREPLDAAALAEAVSVVTCFYEDKTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLIQVLRWATGSVEIFFSRNNALFASPRMKFLQRVAYFNVGMYPFTSVFLLVYCLLPALSLFSGKFIVQSLNVTFLVFLLAITLTLCMLALLEIKWSGITLHDWWRNEQFWLIGGTSAHPSAVVQGLLKVIAGIDISFTLTSKSAAPDDEDDEFAELYEFRWTVLMVPPITIILINMIAIAVAVSRTLYSPFPEWSKLLGGVFFSIWVLSHLYPFAKGLMGRKGKIPTIVYLWSGLICIVLSLIAVYLYPPSGEQRSFQFEFP >CDO99409 pep chromosome:AUK_PRJEB4211_v1:3:6564349:6569249:-1 gene:GSCOC_T00026573001 transcript:CDO99409 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAPVAILYGFNHNFFPGSTQLSPYSVTLLSGFLALISVNMVIAFYIYMAMKEPSYKHEPDPRFLAEAKASIQKSESTELEDSSSARTKQE >CDO99406 pep chromosome:AUK_PRJEB4211_v1:3:6507669:6512552:1 gene:GSCOC_T00026569001 transcript:CDO99406 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGRSGLKVSQLSYGAWVTFGNQLDVKEAKSLLQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDVVISTKIFWGGSGPNDKGLSRKHVIEGTKASLKRLDMDYVDVIYCHRPDVSTPIEETVRAMNYVIDKGWAYYWGTSEWSAQQITEAWSVALRLDLVGPIVEQPEYNLLSRHKVESEYLPLYSNYGIGLTTWSPLASGVLSGKYSLGSPPPSDTRFALDNYKNLASRSLVEDVLRKVDGLKPIAKELDVSLPQLAIAWCAANPNVSSVITGATKESQIKENMKAIDVIPKLTPLVMEKIEAVVQTKPKRPDSYR >CDO99155 pep chromosome:AUK_PRJEB4211_v1:3:3799883:3804703:1 gene:GSCOC_T00026202001 transcript:CDO99155 gene_biotype:protein_coding transcript_biotype:protein_coding MGIITFQFGTLRMFRADEGPSRPLLFSTGGGGTGDLLKKAAGSRSWMLMNSSGQEMILDVDKYEILDRLQIHPRDLRMLDPLLSYPSTILSRERAIILNLEHIKAIITAEEVLIQDPLDDNVLPVVEKLRRRLNPVDANHRHQGDDQCSAAQHDVEVDGEDSNCPFEFKALEVALEAICSYLAASATEFEAALYPSLDLVTSKINSRHLDHIRKLKSQITRLAARSQKVRDGLQQLLDDGDSMADLYLSRKVASSPLPTSRSIPNIAKLFLAAPSVSSRQSEASRESIVIVHGDENDVAALEMLLEDNFKQIDGTLNRLTTLREYISNTEDCLNIQLDNLRNQFIQIELVLSAAAASIAIHSLVAGIFSVSVPYTWNNGYAYTFKWVAGVPGVFSAVLFVVILFYARKKGLLGS >CDO99232 pep chromosome:AUK_PRJEB4211_v1:3:4546163:4549512:1 gene:GSCOC_T00026308001 transcript:CDO99232 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQGHRGSHNPKAMLTSLLSTREKLQEELRNVEKQVYELETSYLQETSNFGNAFKGFDGFLSASKNTANLKRSKKFQPEDRVFSLSSVTSPATEELGTGQEDGKSDLGQVRPKGGGKAINGPGKPKKGRTGQRDGKKIRLSNDADVDAEDDHDLNLR >CDP14658 pep chromosome:AUK_PRJEB4211_v1:3:15155787:15160999:1 gene:GSCOC_T00042057001 transcript:CDP14658 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMVGGGGAAAGGGGGTLSELYQNSKRLVLKCREGVARLERFESSSSSLPSSSLDSSPELANAVKQDINQIRSLCTEMDLLWRSISNKPQRDLWKRKVEQVGEEADSLKDSLEKYLQRHQRRMQEAKERAELLGRANGETSHVLRIYDDEAQAMEKVKSSSRMLEDAYATGVAILSKYSEQRDHLKRAQRKALDVLNRLGLSNSVLRLIERRNRVDKWIKYGGMIATIIFVIMFWRWTR >CDP11164 pep chromosome:AUK_PRJEB4211_v1:3:8160910:8183993:-1 gene:GSCOC_T00033231001 transcript:CDP11164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) UniProtKB/Swiss-Prot;Acc:Q9SCV3] MVVGRRMLLQWLMITAALTLQLALLAGGEYFKPFNVSYDHRAVIIDGKRRMLISAGIHYPRATAEMWPDLIAKSKEGGADVIETYAFWNVHEPIKGQYNFEGRYDIVKFAKLVGSSELYLLLRIGPYVCAEWNFGGFPVWLRDIPGIEFRTDNAPFKEEMQRFVKKIVDLMRAESLFSWQGGPIIMLQIENEYGNVESSFGARGKAYMKWAAEMAVGLGADVPWVMCKQVDAPEYIIDACNGYYCDGFRPNSQNKPMVWTENWDGWYTSWGGRLPHRPVEDIAFAVARFFQRGGSLMNYYMFFGGTNFGRTSGGPNQITSYDYDAPIDEYGLLSQPKWGHLRDLHAAIKLCEPALAAADSAHYIKLGPKQEAHVYRGNITSHGQNISLYESCSAFLANIDEHKSASVTFLGQVYTLPPWSVSILPNCRNTAFNTAKVAAQTSIKTVGFDVPFSKDGSFLAQSTIQEKVAHISESWLSLEEPIGVWGDNNVTCQGILEHLNLTKDLSDYLWYTTRVYVSDEDVLFWEKNEVRPTLKIHSMRDMVRIFINGKLAGSAKGKWIKVVQPVQLTEGYNDIVLLSQTVGLQNYGAFLEKDGAGFRGPIKLTGCKSGDVDLTNSFWTYQVGLKGEYERIYAPDQSMNSRWNELPHGAMSSIFSWYKTHFDAPAGTDPVALDLSSMGKGQVWVNGHHIGRYWTLAAPKNGCQQTCDYRGAYDSDKCATNCGEPTQVWYHIPRSWLQKSDNLLVIFEETEKTPFDVSIKLRFSETICGQVSEKHYPPLDIWSDPEIKDGKLSESDMAPLMNLKCEDGYSISSIEFASYGTPKGRCQVFALGSCHASDSLSLVSEACKGRNSCSVQVSNAVFGDPCRGTVKTLAVQARCSSSSSSSSAMK >CDP10296 pep chromosome:AUK_PRJEB4211_v1:3:12305501:12309362:1 gene:GSCOC_T00030976001 transcript:CDP10296 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASTCSIDRVLLDLESLLNSFQDRYVPDGVLAAIERMKFLKTFVMCARKWSQSNDLYLESDNVVKKVSLPSFLSCIEDTFHKYEEDIHSLSHRSETDEYGMFFEIEEQIKLLKQEIIQIYFALASSRSLQSNSCMTDDELMEFIDLILQNLADLTNDYMHPQISESFVYAILSAQVQALEAKLTFLKSFIPFAKLRGTADIPTSLLAHFEVVALNAARLSYMCSFREDEDLHNLDLCCMVYEQQQKITPIDFQVYEIFMEVLRATRSSKSLHDRMMDKQILNNFNDSLISCLWELLCCSSSFMDSMKDEMRILYAGLRFLRSILREQQEKMDEQNEKIGSLLSEAGIIICSPSLNRVKGGEVSFSESTDALDCYDMLANTNIHIEHFKDQISGSSIIETIPSFHSLRAPEVSKTSHRMLSKGQMPITHEVMVGLDDDAAKVIERLIWGADQVEIVPIVGMAGLGKTTLAKKVYNDSSVICNFHIRLWCTVSQAYNMKNVLLQILCSDGKHSRKDDKLKNLDEHALLEKLYKKLKENRYLVVFDDVWDIKVWNELGISLPDDKKGSRIIFTSRSSNVALQVEYGGKPHYLHPLSEKESFELLQKKVFGEEDCPQALHGLGMEIAKKCRGLPLALVVVAGVLATIEHDICVWEKFAESFTSTTVADAEQCKKSMELSYGHLPYHLKACLLYFAAFREDEKIGAKELMCLWVAEGFVEIIEGKRSEDIAEEYLMDLIGRNLVMVSENRSIGGVKTCYVHDLIFEFCKGEAKEKKFLQVLRGYDELSTFNEPPNLPRLSICSNGEDFIKSKLFCPHLDTLLFHDATLGDKFELRDISFLFCIYKHLKVLNLEGIILRLKELPAEVESLLCLRYLALRALFMEFIPPSIAKLSHLETFSLNSFEAVSLPDSIWNMEKLRHVHVRPGVVIPLSSDDSGVENLSNLETLSALFLYLDEEGENLLRRIPNVRRLKIFDLGEQNRVCCNMSRLACLESLAWLGSYSSGSWEHVELSFPMNLKKLSLSGLALPCRKMSLMEQLPNLEVLKLRAQSTEGQKWELMEGGFPKLRVLTLEYVQIVEWTEADPDSDDYFPCLQLLKLRSISKLEMMPSCLGRISTLETIKMNECGDGVKSLVREIEEAQEYNGNENLKIIIID >CDP08015 pep chromosome:AUK_PRJEB4211_v1:3:28969943:28975978:-1 gene:GSCOC_T00026675001 transcript:CDP08015 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQRKPEEIVPILLARLEKAKQFVVGSISENSEGDPLVLKFKEIEIELGSMKRLFPRIKHWEGKLMEQFRTLEQDIDDDVFFKQHDEANEILNRLKRISESVVSVKQLFSAVERQLMDRTRTMPSSGMQFPSEEAFRKDQTISEEWLRLGVEEKIYASKAISNFQKSFDCLESYQLKACSLCLSIFPENSIIKKRPLIYWWIGEGMNLSPNINTFIVHPWIRRMLILVAKRLSFFEFTPSGMPSNGHRRAFLLAGGSDSYSTMTEDTLMVFNVNDQYLGFKPDWLSKLNRVEVLQLGRWQNSVKHHIEVENKDLTVESKKKKKVEYEVSLDGLGSQTSLRYLSLRGVSRLTNLPPSVLNLISLEILDLRACHNLEKLPSDISALRNLTHLDLSECYLLECMPKGIEELSALQVLKGFVIGIVRRNPCRVSDLAQLKGLRKLSIRIGNEALTEGEFSNLKDFTALQILVISWGIVLSQSSTNAALSPFSVPPKLKKLDLRCIPIVTWPEWLGPGRLISLEKLYIRGGELKSLKYQGEQTWKVKILRLKYLKNLKIDVQELKTMFPKVEYLEKQLLESQTAPVSPCAKCLPGVI >CDP03492 pep chromosome:AUK_PRJEB4211_v1:3:18577272:18577472:1 gene:GSCOC_T00015305001 transcript:CDP03492 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMESDNSRKGLAQVQQFICYAAMRRDSIPCNRRGHSYYNCDYHGPANPYTRGCTDITRCPRNTE >CDO98802 pep chromosome:AUK_PRJEB4211_v1:3:898529:900332:1 gene:GSCOC_T00025726001 transcript:CDO98802 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQTPTPERVSSSSDGTQIKTCTDCGTTKTPLWRGGPAGPKSLCNACGIRSRKKRRALLGLNKEEKKPKKSTSSSSSNNNNSSSTSNNYSSGSGDSNSSSGFSLKRKLLSFGRDVVVPLQRPRSSTNGQRRKLGEVEQAAFLLMALSCGSVYA >CDP10833 pep chromosome:AUK_PRJEB4211_v1:3:26965552:26970784:-1 gene:GSCOC_T00031731001 transcript:CDP10833 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIKEALNVEAVRFHDSNSGFQIHFANVTNSVITPSASMKLENRHLMLNGEQDNNEDSSQKTDTKFRLGGLGWSLPEGHRMEDYLLFWIGLDNSAFTNFILTFNSCEIVRYDAIEGCLKTDVSQQAKILKRRYYLVEKAKDANMVGILVGTLGVAGYLDMIHQMTELVTRAGKKAYTFLMGKPNPAKLANFPECDVFIYVSCAQTALLDSKEFLAPIITPFEALIAFNRGSQWNGAYSMEFRDVIAFQPAEVEDETESRFSFFQGGYVEDFKLQEGKEEKDAVLSLVNVTEKALLLQNKDSELLSRRDAKSGAEFFAARSYQGLEIHSSDSFPELFLIGRAGKASGYEDEQSK >CDO98829 pep chromosome:AUK_PRJEB4211_v1:3:1082179:1084896:-1 gene:GSCOC_T00025760001 transcript:CDO98829 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAARRTLATLFSRTVSSPSRARLTVPLLNLHNETPEAAPIPYNILTRNRTSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPNDPKPSDEEMVNIYVKTLASVVGSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPDVLWVLPDSYLDVPNKDYGGDLFVDGKVIPRPQYRFNERQQTRNRPRPRYDRRRETMQVERREPIQREAWSPNQQAAPQQPTPMNGQNVPQGGEGGYQPNQGGFQGTNA >CDO99119 pep chromosome:AUK_PRJEB4211_v1:3:3358832:3364205:1 gene:GSCOC_T00026152001 transcript:CDO99119 gene_biotype:protein_coding transcript_biotype:protein_coding MATMASLFLKTPTPSPSLPKTQKTLFIPPLTFTLPSRPTSKTRLKARISCGLIEPDGGKLVELFVEQSHKDARKGQALGLPSIRLSTIDLQWVHVLSEGWASPLKGFMRESEFLQTLHFNSLRLGDGSVVNMSVPIVLAIDDSQKQRIGQESSVALLDDQNNPIAILKDIEIYKHNKEERIARTWGTTAPGLPYVEETITNAGNWLIGGDLEVIEPVKYNDGLDRFRLSPSELREEFTKRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLSWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPLEKRDLYDADHGKKVLSMAPGLERLSILPFRVAAYDKTQSKMAFFDPSRPQDFLFISGTKMRTLAKNRENPPDGFMCPGGWQVLVDYYESLTLSENGKVPVPVPA >CDO99265 pep chromosome:AUK_PRJEB4211_v1:3:4883425:4888303:1 gene:GSCOC_T00026355001 transcript:CDO99265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE1 [Source:Projected from Arabidopsis thaliana (AT2G34900) UniProtKB/Swiss-Prot;Acc:Q84XV2] MEIPRSLAPDVQIPEPIEYKDDASEVENLKNQVDEIFVKVDQLEQRLNEVEQFYTIASRKQRSASTPKSLSALKDKDKEKQVASFKKRQQDAARREAAAAKRMQELMRQFHTILRQITQHKWAGPFMQPVDVEGLGLHDYFDVIEKPMDFSTVKSKMEAKDGNGYKNVREICADVRLIFKNAMKYNEERVDEHVMAKTLLGKFEEKWLQLLPKVHEEEKRRKEEEAEAQLDIQLAQEAAHAKMAKDLSIELDDVDAHLEELRELVLQNCRKMTTEEKRRLGAALTQLSAEDLNKALLIVAQNDPNFQAAADEVFLDMDAQSESTLWKLKLFVKDTLQVGKSPACVGGTTNNMNNTSNYQNNNNKRKREICVALAKTSHKKTKKLSI >CDP03470 pep chromosome:AUK_PRJEB4211_v1:3:20822736:20823350:-1 gene:GSCOC_T00015242001 transcript:CDP03470 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTYYRYFPTGVGLFLLSFVLASLAMTTTNVTTDQLALVDLRDKITSDLHQILAKNWTLATSVCDWRGVTCGSHHYRVIALNISKLGLTGTIPPQLGNLSFLVSLDMSWNNFQGELPHELTHLRRLRVLNLTANKLGGSIPSWVGSLQKLHYFSLENNSFASSIPPSISNMSNHIRHFISAFTTPPTPAITTFAAASGWSTLI >CDP10878 pep chromosome:AUK_PRJEB4211_v1:3:28263078:28263976:-1 gene:GSCOC_T00031815001 transcript:CDP10878 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLKVCSIALIVSIIFSLVLISECGIIVVYWGQNAREGTLSDTCSSGLYKIVNIAFLPTFGNGQTPKLNLAGHCNPSSGGCQKLSNSIRQCQNQGIKVMLSIGGGAGSYSLSSDNDARQVANYLWNNFLGGKSNSRPLGDAVLDGIDFDIEHEQGQSYYATLARALSDYSKQGKKVYLTAAPQCPFPDRSLNTALSTGLFDYVWIQFYNNPPCEYTSSTSTAAAGNGYVPKQVLISEVLPFVKGSSKYGGIMLYDRYNDEQNGYSSAVKGSV >CDO99310 pep chromosome:AUK_PRJEB4211_v1:3:5305608:5305934:-1 gene:GSCOC_T00026419001 transcript:CDO99310 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGGKRAPANRSRPDRRAVLSARASSESSSIVDKGKRAACNAAYVGKKLLNSTGKATWYLATTLLIFVVPLLITMDREQQLENFELQNQALLGPAPPPQQVHGPPM >CDP10275 pep chromosome:AUK_PRJEB4211_v1:3:12001041:12003092:1 gene:GSCOC_T00030951001 transcript:CDP10275 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQTLIPALCTLSLLFQIQPSYSATCTSQKFGRNRIFQHCNDLPQLNSHIHWTYDSSKSALSLAFVAFPARPDGWIAWGINPNGTGMIGTQALIAFKKPGGDSMIVKTFRLNSYKSVEQAEIMYDVSRLEAEYHGGLMMIFATINLPNGMTTLNQVWQVGASVMNGTFPAIHAFQPENLSSKDKLDLRKGQSLTSTSQENSGLRSKQIHGALNTVSWGMLFPIGIMMARYLRTFADPAWFYVHISCQMSSYIVGVAGWATGLKLGSQSKGVEYTIHRDFGITLFCLATLQILALFLRPKRDHKYRFYWNIYHHGVGYGVLVLGIINVFKGLEMLDPPKKWKLAYIFFLAVLGGIAISLEVITWIVILRRKPGKSGISIRLYDGQKDSNGRQQPLTS >CDP11210 pep chromosome:AUK_PRJEB4211_v1:3:9291296:9292527:1 gene:GSCOC_T00033311001 transcript:CDP11210 gene_biotype:protein_coding transcript_biotype:protein_coding MILFILILITCLFLATIDMFLEFNTWLGSDFGTAKFLQKDSSNWTPLAGTLGYIAPEFAHTMRVTEKCDVYSFGVLTLKIIKGKHPGELVGHLMSSTAGDRELKDLLDQRLSHPSQEIEKILVFVLKIAVACLHVNLGQLCI >CDO99334 pep chromosome:AUK_PRJEB4211_v1:3:5445705:5448131:-1 gene:GSCOC_T00026449001 transcript:CDO99334 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSDTQNFCLHPLIPSPFCKKAPFPHSFTPHLHENRLNTPLQKPTNRHHLHFLKISSPEFHELAKPISPNHLTTQKLSKRSHLFLNSSSRKKKGEKKEREKKIMGSLIPVEDLNLHLGPSSSISASVRDPLAPSSSVSASIRNPLIIPKKEPKLEPLDDLLDEPPVAHYRTPLPFYLNTSNNLNSSSTFTVTPSPTTPITPATPSPTANNQEPVTSSSNDSSNVYSEFYRLTELFRNAFAEGGERNGEGAEFEDSDISRAIVPFNSENENQLLNAVVSRRKYSQRSAELVRVTDLSADDVQYFRNLVRKTRMLYDAIRVLAIAEDEKHRGDLVPHRRTRGDLKAAALLRQRGLWLNRDKRIVGAIPGVEIGDLFFFRMELCVIGLHGQAQAGIDYLSASQSSNGEPIATSVIVSGGYEDDVDTGDEIIYTGHGGQDKHNRQCMNQKLECGNLALERSMYYGIEVRVIRGFKYEGSVSGKVYVYDGLYRVVTCWFDVGKSGFGVFKYKLVRIENQPEMGSSVLRLAQTLRTRPLEARPKGYVSLDLSMKKENVPVFLFNDVDNNNEPVFFEYLLSTVFPPHVYNHGKNGTGCDCIGGCLDGCFCAAKNGGDFAYEQNGILLKGKPVIFECGPHCRCPSTCRNRVSQRGVRNRLEVFRSRETGWGVRSLDLIQAGAFICEFTGVVLTREQAQIFTMNGDSLVYPSRFPDRWAEWGDLTDIFPDYKRPEHPSIPPLDFAMDVSRLRNVACYISHSSNPNALVQPVLYDHNNVSFPHLMLFAMENIPPLREISLDYGIADEWTGKLPICDK >CDP15136 pep chromosome:AUK_PRJEB4211_v1:3:10479230:10482612:1 gene:GSCOC_T00042718001 transcript:CDP15136 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFYLKEAYRFRVSAQTFSLLIDSVRERRLQNPRRRLVYSTSGRSTATAAVNMGISRDSMHKRRATGGKKKAWRKKRKYELGRQAANTKLSSDKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKAVVVKKEGEEGEAAAATEETKKSNHVLRKIEKRQKERKLDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRKKSKSTGAA >CDP19161 pep chromosome:AUK_PRJEB4211_v1:3:31725538:31731627:-1 gene:GSCOC_T00001669001 transcript:CDP19161 gene_biotype:protein_coding transcript_biotype:protein_coding MERQLSFGGEKKRSKDSPGKRGDTPLHLASRAGNLTKVRDIFQKCDGSVIKDLLSKQNQEGETALFVAAENGHAFVVGEFLKYIDVETASIRANNGYDPFHVAAKQGQLEVLKELLRFFPNLNMTTDSSNTTALHTAAAQGHVDVVNLLLDIDPNIAKIARNNGKTVLHTAARMGHLEVVKSLLSKDPSIGFRTDKKGQTALHMAVKGQNVEIVQELIKPDSSVLSLGDNKGNTALHIATRKGRTQMVQSLIASEGIEINATNKAGETPLDISEKLAMPELDSILKEAGALHSQDHGKPPNAAKQLKQTVSDIKHDVQSQLQQCRQTGFRVRKIAKKVKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEEQTPGSSLGQAHIAKNAAFLVFILCDSLALFISLAVVVVQTSIVVIEQRAKKQLMFVINKLMWTACLFISIAFISLTYVVVGRHEQWLAVYATIIGGTIMLATIGSMCFCVVRHRLEDSKMRNIRRAETLSGSFSVSMVSDPELYGEKYKRMYAV >CDO99328 pep chromosome:AUK_PRJEB4211_v1:3:5416581:5417934:1 gene:GSCOC_T00026442001 transcript:CDO99328 gene_biotype:protein_coding transcript_biotype:protein_coding MACGSTPARKVMVVADPCRESAAALQYALSHVVLENDTLILLHVENPNSAWRIPFGTIFRKAQSLPASAGAASMSSSSSSSSEGFVGGGGGGGTIDFLEAMKQACGIAQPRLKVRVQRVEMDGKDKASVLLAQSKSHGVDVIVIGQRTTLSNAILGPKRSRSLRGLDTAEYLIENSKCTCVGVQRKANGGYLLNSKTHKNFWLLA >CDO99311 pep chromosome:AUK_PRJEB4211_v1:3:5307633:5310807:1 gene:GSCOC_T00026420001 transcript:CDO99311 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVSLVLQTLGNMMKDEARFLSGVTDQVEEVRRELKRMLCFLKDADARNCKDATIRNWLREIRSLAYRIEDLVETFAIEVASRRSSGHRGVKKVLKRVSGTFSEIRSLHNIGVEISKVKSDLSSLTASLQMYDVRAIGEAESSSAANDDRKYQQWIRQTYAHEDVKFMGHRQLVQQLHQVQIEKKCLVVLDDIWKVDDWESLLAAFPVVERGIKVLLTTRNRNVAKRGCLYELKCLNEMEGWELLQKIAFARKRAGIWQLRRQFIQFCNAMRSAKNNMYTLINSMTSSQGYQHNRWKTNLQDVGIEMVSKCGGLPLAITVLGGILKDKNSLREWQMVNRYIGSYLSKMEDDEERDGGSIARVLSLSYNELPHHLKLCFLYLGNFKEDEDIRTYHLYLLWMAEGMVLKEHQRSGETLMEVAQRYLSELGRRSMVQLKLHESSMSRKFFSCRLHDMMRDLCLQKGREEEFVRVVDFQGVKPQPLLHSYSLTNNAHRLVIHAQNEEDGAATVSSALEDCQQLRSLFCLKAVAGIWEPQMLWPQGVVLKNFKSLRVLKFEGFDFDGKKLPIQLPSLVHLRLLMKNKIKIPNVLWKMKRLKHLFLSFKHSTMDEGGKLQLHGLSELETLWALDSKTDEIADLLHLENLRILYAEVNDDESLQILMNRISMNCPNLRELDLFIGDCNFISSEQGHVLLEEVLLCKCLQSLYFEAQLNQFPKYDQPFLQSLVALRLTDNYMEEDPMETLAQLPQLQSLTLGYKSYMGDFLICHESGFPQLGSLILCRLPNLEYWRLDEGCMPNLSHLEIWYCELLAMIPHGLRSALGLKELVIGGMPNEFCDRVKVVDGQEGADFYKIQHVPSISIH >CDO99404 pep chromosome:AUK_PRJEB4211_v1:3:6475523:6480391:-1 gene:GSCOC_T00026566001 transcript:CDO99404 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSAKKWVVSVCSIMLLAVVIVVGVVASVRKFEASNLSDAELEKLQSLHNSTMAARLEEVEAALAENAVDDPEEIASMVAMSIKNSTERRKLGFFSCGTGNPIDDCWRCDPNWQKNRKRLADCGIGFGRNAIGGRDGRYYVVTDSSDDDPVNPRPGTLRHAVIQDEPLWIVFKRDMVITLKQELIMNSFKTIDARGVNVHIANRACITIQFVTNIIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAISIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITISNNYFTHHNEVMLLGHSDSYVRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPLNPFAKEVTKRVETAESQWKGWNWRSEGDLMLNGAYFTPSGAGASASYARASSLGAKSSSMVASMTSGAGVLSCRRGRTC >CDO99146 pep chromosome:AUK_PRJEB4211_v1:3:3713254:3724987:1 gene:GSCOC_T00026192001 transcript:CDO99146 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTTALAAALNRRENRSPVGGKRRMEAPKRMVQIWMLILGAVIIYSDDHIFIRRTFSESVTAEEAKQLRDEVREMFYHAFGGYMEHAFPHDELKPLSCEGEDTLGGYALTLIDSLDTLALLGDRERFTDSVEWIGKNLRFDINKTVSVFETTIRILGGLLSAHLIASDYNTGMRVPSYDGELLDLAEDLARRMLPAFDTPTGIPFGSVNLIHGVDENESKAKPLCYTMGRHMIYLFIYLSLQFQNCSTSQLLPLGPITSTAGGGTLTLEFGMLSRLTNDPIFEQVTKNAVMGIWARRSKINLVGAHIDVFSGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYIFIFQEAYRAAMHYLYNDPWYVEVNMNSAALVWPLFNSLQAFWPGLQVLAGDIEPAIRTHAAFFSVWKRYGFTPEGFNLATLSVQRGQKSYPLRPELIESTYWLYKATRDPRYLDAGRDMLASLQYGARCTCGYCHISDVEFHIQEDHMESFFLAETVKYLWLLFDLAAGPDNLIENGPYKYIFSTEGHLLPMTPQISLVREHCLYFGAYCRSSNLRLETNISGIAKKPGETNHSKTYSCPGPTCSLSRFTPQSSPAISGLVKGYCPGLTHGQRFGIKYAASADANLQDESPTQRPADVVQSHSLVLVSNHNADQVDHVKHQDSSVEGSVVAQGEEKQQGKLIELFEGR >CDO99320 pep chromosome:AUK_PRJEB4211_v1:3:5367925:5369812:1 gene:GSCOC_T00026432001 transcript:CDO99320 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLKAFQLLEINVISAQDLEPVSRKMKTYATAWVNSSRKLSSRVDSGGHVNPTWNDKFVFRVDEDFLRQDTSAVQIEIHAVHWFKDTLVGTVRVLVGNLIPPLLRSRHHNHIGMRFVALQVRRPSGRPQGILNIGVTLLDSSMRSMPLYTQLSASAVGYRDLMGEDDSHLQNNHTKIENQNPNINNNNNNTTNAPNVKPILRRTKSERSERVTFDKTSPNGSVVAIPLQKKGALEKDDSIISISNEPFKGFPKKGKASSVISGAELREKPKSRGKRGGKSGSVLSDSVVSKESSYVRPKDRQPVAKATDLKPAVKTKGANPKPGSDLGSEPPTNKAVDDKYVTISKAIPPLKEPPKMAIGKPVPKLNGYEYGGPKANGKYVFGAPMKANSLWSDSEVGPSPSEVAAAMAEKKYPLDEERSSVLDGWSLDESVEGLRSKLERWRTELPPLYDRGYASSSFQSTGHHKRRHTDGGTGMFSCFGNIYGYECQCICGKPPGKKTMSARYQSPSVGSRSFF >CDO99088 pep chromosome:AUK_PRJEB4211_v1:3:3062079:3066157:-1 gene:GSCOC_T00026114001 transcript:CDO99088 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSKKSKLSWSKKLVRKWFNIKGKAEEFQAHEVVYGGGDVEWRNSFSEREPPTIKKSRTERSSRNLERSRRSRVDLDHPQIINVHNYSMFVATWNVGGKSPPNNLNLDDWLHSAPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWISLIRKTLNNGPGTSGGSGCYTPSPVPEPIVEWNADFEGSTRQKASKFLPRRSFQTPQCWRMDNDMSIPHPRLDRRFSVCDRVIFGHRASDFDPNARWGHRPSDCSSSQRPSDYSSGYRPSDYSSGCRPSDYSSGRRPSDYSSGRRPSDYSWGQRPSDFSRWGSSDDDYGAGDSPSTVLFSPMSCSGYAPMEDGYGMPAHSRYCLVSSKQMVGLFLTVWVRSELRESVRNLKVSCVGRGLMGYLGNKGSISVSMLLHQTSFCFVCSHLTSGQKEGDELRRNSDVMEILKKTRFPRVQGIGDEKSPETILGHDRVVWLGDLNYRVALSYRTVKALVEMQNWRALLENDQLRIEQRHGRVFDGWKEGKIYFPPTYKYSHNSDRYAGDDLHPKEKRRTPAWCDRILWYGRGLQQLSYVRGESRFSDHRPVSSVFWAEVESVPNRLRKSMSCSSSKIQVEELLPYSHGYTELCFF >CDO98777 pep chromosome:AUK_PRJEB4211_v1:3:715956:719116:1 gene:GSCOC_T00025696001 transcript:CDO98777 gene_biotype:protein_coding transcript_biotype:protein_coding MERKDEAEEAKSKVSVIETWLRKHRLLYVGATRHPFIHSIRDGSVDVSSFKRWLEQDYIFVRAFVPFVATVLLKAWKESFDATDLDVILSGMASLNDEFAWFNKEASKWGVSLTNVAPQKANLDYCRFLESLMSSEVEYTVAITAFWAIETVYQDSFAHCLEDGSNTPEELRDTCQRWGNDGFGRYCHALQSIAEHHLEKASDDVRKRTEAAVLDVLEYEVAFWNMSQGDT >CDP14633 pep chromosome:AUK_PRJEB4211_v1:3:14265149:14266213:1 gene:GSCOC_T00042007001 transcript:CDP14633 gene_biotype:protein_coding transcript_biotype:protein_coding MMYVLSLLCPDCHHYAYRISMLDYQLHYFFCHSPLHHSFHCVSPDYHHYVYHISPDYQLHHFSRHRSPPLFGHSIISPIIDPCLIIYCLICPIVDPQLLVDSTTFSVIDPRLIIHCINFLIYSPQLIANCAVIFLVIYPELIVLYPGNSARL >CDP03428 pep chromosome:AUK_PRJEB4211_v1:3:22114205:22124118:-1 gene:GSCOC_T00015174001 transcript:CDP03428 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVDALLGSTTEVLVEKAINLASEQIGQFVGFKKDLEKLQKTLTGIQAVLLDAEKKQVTEDSVKLWLEELERVAFDAENLLDVSNPIAFRCRMAKKIQKINMDLTSIHERRTKLGLQSQNGARDAPALSPSSGEAFMKDRETDSVTIGASFVGRDDDVSSIVTQLTATSNNETLSVHPIVGLGGIGKTTVAQKAFNDLNIKNHFDKRMWVCVSDVGKHFDANKLFGLMLEKLGVPMAEVAGMDSREAKVQKLKEMLDGEERNGKKPPKYLLVLDDVWNEDPAPWNRFLDSLRGISSAKGSWILVTTRNEQVATITTISSRPCSLEKLSDHNCWLILEKTAFGSRETPDDLKELGLELAKKCQGLPLAATCFAYCSIFPQWGCHTQDFQMERNQLIQLWAAEGFLHPNPRNKLSIEEVGNRYFTILLDSNLFQDAEKDDYGNVLNCKMHDLVHDMVQSISNSKALRLIESGSVLMETSSIRYLALERSEKEMPFPSIESFKCVTTLFLQGNRSLNDREMSFLMLRVLNLRASSVQELPKSIGKLTHLRYLDSSITSIKTLPGSLCQLYNLQTLRVKYCDSLTKFPKNFKNLVNLRHFEFFSYHKSSDIMPFEIGQLQFLQTLPFFNIGEERGQQIGELRNLKNLSGQLELRNLELVKSKEEAESANLIGKPNIDELRLLWNEIDNSRNNDSEYNQVLEGLHPHPNLKGLIIERFFGDQLSKWIGELGRLVKFKLQNCKNCKELPSLGYMPFLRSLHLDGLDSLTSIGPSFYVLFPALEHLILEKMQNLSEWMEATVHDGTVVVFPLLYEISITDCPQLATFPSHFPCLKILNISKTQNGSTIMGSICSGVSTLTELSIQSVEGLTKLPNVLFQNNPNFAYLELRNCGDLAQFLDFSVDSLEILIVENCPSLESISIPKGRKYLTALRQLSICSCDGLTHLSIPQISESDLDIFFCPNLISFPIDLTQTPSLYWLYISNCEKLTDLPKGKLCSLTSLKYLTIGPFSKATTELHSFLDLFDALPSPHPYFPSLSHLRLFGWPHWESLPKKLQHLSALTNLRLIGFGVKSLPDWFGKLSSLEDLYLWDCEKLENLPSHQSMRSLTRLTQLRITNCPLLKEKCNPNSSSSSTNPNSEWSKISHIRSIEID >CDP08096 pep chromosome:AUK_PRJEB4211_v1:3:30917604:30918197:-1 gene:GSCOC_T00026817001 transcript:CDP08096 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKKKRKTQNDTVKGLDVDSLFISHIQVNQAHKQRRRTYRAHGRINPYMSFPCHIELILSEKEESVKKEVIHTCRM >CDP11198 pep chromosome:AUK_PRJEB4211_v1:3:9008366:9010339:1 gene:GSCOC_T00033292001 transcript:CDP11198 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPQLGELTHLSILDPSHNYTSGEIPPDLQKYNHRTNEGIVGFVRQYYRFTSLNIKSNRKVDYGSVYKPMLPPHNLVPVQKLHLLPEKVIRGSCFVVLLNFLQKGSCNWTTLAGTLGYIAPAN >CDP10841 pep chromosome:AUK_PRJEB4211_v1:3:27209757:27210023:-1 gene:GSCOC_T00031742001 transcript:CDP10841 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPMEEDGVKNEEEEYNTGTLSVLMLSVKNNTQVLINCQNNRKLLGRVHAFDRHCNMVLEMSERCGLWCPRQEKARKKLFQLTRIVH >CDO99167 pep chromosome:AUK_PRJEB4211_v1:3:3887785:3894352:-1 gene:GSCOC_T00026216001 transcript:CDO99167 gene_biotype:protein_coding transcript_biotype:protein_coding MSADNGDMDLGLSLGCSSNCIRTKLKENSGAGVNAASIIDMTYAASNSLSELIWSPHSGLSVKCAERSLADKKPFLLWNVGPSNRIPSLSQGISCNGTDDETKMEENFIISEAAFHVDSEIVQRASLSQSPGSTARPIIGSSHVQNMESRDEMDEEKIEKDILVKERGENAGYLDENDKESCDPCNERIAIMAERSQENTGPIGSNIDMARTKALSGDLNMGISNDFNPIVSKAVNGGQFLEEVTTAAEVHRETVSEAQITPPQISMYLDEPNKEVKGAFEEERKNKSKIHGSMVPILQKLEHTAENDLQHPMTKDAYQQNEERLLRGCSLPLETSPGDGSKQPCPLKGKEKALSDSNIGGRFSNNLDDSQESVESCTSTGLLGKRRWKFDQQLICESKRVKQQTDGCPESTSLIKHDRSFMNWISNMVNGFSKSNHEEVPSLGLTHAHSSREQTDILHETMICREHQESVSKNMGFQTIFRSLYRSGVKLIETQVSRDDSSVERLQNLVQADKINVQRSSINSSVQNRVSLGHIFMADEKILPSSTECVVSQSDQAWNLSAIPIKNEFSTDSAEDKAPIASDGRETYDLSNKNNLLGSLWITRFSTKTNSIPLNLNHSKRDNYTRVNGNSGDLVKNLETFAASAEFSLGFKEIHERKHSNSVLKIQSTLPSQGPESSEAMASTFARRVDALKHIIPSEAKKDANFTAATCFYCGKSGHNLQDCSEVMESELEDLLINMSSCNWPEDSPCLCIRCFQIDHWAITCPVVTSNRQHQLENKMSFVKCQNACFLDKGDHLKVGLCSGEEPSMNTGLRNLVFNPEEFSGNNLGSDETEKRITSNSGKKKLIEHQNLPLSNLVSERIEEVPKVIFDAVRRLQLSRADILKWINSDVSLLHLEGLFLRLRLGKWEAGLGGTGYYVACITGEPVKDSKTSISVSVGGIKCSVGSQYVSNQDFLEDELKVWWSRTLRNGGKIPSTEDLETKLRQRIKSGF >CDO98801 pep chromosome:AUK_PRJEB4211_v1:3:891627:894442:1 gene:GSCOC_T00025725001 transcript:CDO98801 gene_biotype:protein_coding transcript_biotype:protein_coding MERLAFVAPEGLDDLRHKLITYGSGDFWLPVGGIKKEDLDIPPVITILLAGLTSSGKSSLVNMMYSVLGRSGLIPFAQTSGGSSHRSRTVFLEEHIVVRSARSGFCVFDTRGLDCNQMNAGLEDVSTWMSLGVRQNQPCCRPGDEMLGTATAVRGSSFNTSSRYMNRRVNCAMVVANLAEIHKAFRSGDLKPVEAIRALFQYPCIQKCNESPILILTHGDMLSTEDRINSRLKICEYMGISETSGAYDIACLTEQGILPDEADAVTAFALTEAVYRSLMVSDRCHLPKKRFKDWIWLLVSWIMGCIASFFRFLANLFSKFDHKYKPPKHP >CDO98861 pep chromosome:AUK_PRJEB4211_v1:3:1342545:1343572:-1 gene:GSCOC_T00025806001 transcript:CDO98861 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKQVMLLAIDDSEHSFYALKWTLDHFFTPTSNALFKLVIVHAKYPPTSVIGLAGPGSTDVLPLVEADLKRTAERLIEQAKELCKEKGVEDAEFEVIEGDARMVMCDAVDKHHASLLVLGSHGYGALKRVVLGSVSDHCSHHAHCSVMIVKQPKVHH >CDP10884 pep chromosome:AUK_PRJEB4211_v1:3:28332343:28332720:-1 gene:GSCOC_T00031821001 transcript:CDP10884 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIPSVFGGRKTNVFDTFSLVMWDPFGGFFTSSILINLPTSAGETTAFANARIDWKETPQAHVFKADVPGLKKGEVKVEVLEEGRILQIRGERSKEQEEKNDKWHHLERSSRKFLRRFRLPENA >CDO99222 pep chromosome:AUK_PRJEB4211_v1:3:4399805:4400854:1 gene:GSCOC_T00026292001 transcript:CDO99222 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKKKKKTTLLSSTFPPPPPGAVQVSNCPSPGGIYRRLVLHIEVTKLLQHSKQSILIPTEHEMDSTVFFSRISDFSSDSSFGSVDSFPWDSQNFHNSLPFNENDSEEMLLYGLLAQAQAVQETSEINSSDQIKEEEVSSKPEEKPKKEKAYRGVRRRPWGKFAAEIRDSTRNGIRVWLGTFDSAEAAALAYDQAAFSMRGQAAILNFPVDRVRESLMEMKATMEDGCSPVVALKRKHSMRRRNVIRKSKAREVKVENLVVFEDLGNDYLEELLSTS >CDO99259 pep chromosome:AUK_PRJEB4211_v1:3:4786876:4791004:-1 gene:GSCOC_T00026346001 transcript:CDO99259 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFHPPIKPLLYHHHSTSSSSSLPSITPSPKSSSNTNSYLPRARNLFCSAEFRKRKSCIKRGCICRSALDEASVKYSSEAEQSKAELVSSLKLKLLSAVSGLNRGLAATEDDRQKADAAAKDLESVAGPVDLLVDIDKLQGRWKLIYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVFSKDFDNIVDLELGAPWPLPPVELTATLAHKFEIIGTCNIKITFEKTTVKTAGNLSQLPSLEVPRIPDFLRPPSNRGTGEFEVTYLDSDTRITRGDREELRVFVIS >CDP10295 pep chromosome:AUK_PRJEB4211_v1:3:12290139:12294751:1 gene:GSCOC_T00030975001 transcript:CDP10295 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLIHHAISRGITHLDTSDFYGPHINEILIGKALKEGLREKVELATKFALSFEDGNFIINGDPAYVRAACEASLKRLDVDCIDLYYQHRIDTRVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAIQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGQGFFSSGPKLIENLTEGDYRKYQPRFQAENLEHNTNLYERVNAIASRKGCTPSQLALAWVHHQGNDVCPIPGTTKIQNLDQNIGALSVKLSAEDKAELESIAAAVKGNRYATDANTWKTSDTPPLSTWKST >CDP10322 pep chromosome:AUK_PRJEB4211_v1:3:12763227:12763607:1 gene:GSCOC_T00031014001 transcript:CDP10322 gene_biotype:protein_coding transcript_biotype:protein_coding MPIESVLRHLSHVDSPDIRTLLRDIYGNQASILFRWHVDPDARVDRGILISGCQSNETAVDDDGKHRRPYGLFTDELCSTLRNLRGPMMSNAELVETIRDKLRNEHQHPCLYCSDRRADAPFLRVR >CDO99183 pep chromosome:AUK_PRJEB4211_v1:3:4069069:4073560:1 gene:GSCOC_T00026239001 transcript:CDO99183 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLPLNLCQVKKSSLVINRLYTSLHSIALLALIFYRTSTLLEITKTGNKSMPFVLFYVLVFASELMLSFIWLLNQAYLWRPVYRTVFPERLPEDDKLPAIDVFICTADPTREPSVQVMNTVISAMALDYPANKLHVYLSDDGGSPVTLGAMREAWNFARFWLPFCRKYGIKTSSPEAYFSVAGDGNVSSKEFTAEKEKIKEEYDVFKERVNKIKENATTIISKNHPSIIEVGEPDKAEMPLLVYVSREKRPSHPHNFKAGALNVLLRVSGLLSNSPHILALDCDMYCNDPASARQAMCFHLDSEISPKLAFVQFPHNFHNISDNDIYDSQLRTFFTLLLVNHSFKLRFNSSINQLIPLFNGMIQNQWYGMDGITGPINCGTCFYITREALCETSGSIQEDTNVGQLRKIFGPSNEFIKSLNRKIKSNIIKDEARCSSALLQESQLQVGFRYFSVVEDYFTGFLLHCKGWNSVYYNPQRPPFLGTASTNLGEMLVQNTRWTAGLIEVAISKYSPIIYGPSRMPILACMCYAQLAYYPFGFIPSWCLSIIPQLCLVQGIPLYPEISNPFFMVFVYLFLSSNLKHIQEALSAGHSIRTWMHEQRMWMIKSVTCYFYGSLNAIMEKIGMREASFLPTDKAEDEGRTKLYKSGMFDFRAPGMFIIPMCTLVILNIVSLLIGAVKIIHTRNYGEIFIQAFISFFIIVVQYPVIEGIFFRKDYGRIPKPASLLSTMLAGIILSLGSLVLIY >CDP03450 pep chromosome:AUK_PRJEB4211_v1:3:21468886:21471370:-1 gene:GSCOC_T00015216001 transcript:CDP03450 gene_biotype:protein_coding transcript_biotype:protein_coding MFDYNKKEEARSILAKIYPGEEVEAEMKALECSIEAEIAKQESIGDDTFSKLEVSNPSPWNCMTCLRASSDCAFCANGASRLHPKACLVAGDAMKGACPAERRAWHTEGSSEQTRIFCRSLQFFALYFTLLGWVVGTAPWIVNSEIYPLRYRGTGGGIAALSNRVSDLLVSETFLTLTEARQKHLVPGAPFLLFAVVSFLGLVAIFFLIPETKGLQLEEGYKPSLCCCKVKTEENIIKKRVDDSEK >CDP03420 pep chromosome:AUK_PRJEB4211_v1:3:22631984:22635318:1 gene:GSCOC_T00015157001 transcript:CDP03420 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRHVFEVFLSMKSYDHKLFSQSDGAITRLMEKMSRLMDYGEGQIFYVQVVEFNTGNSVL >CDP10864 pep chromosome:AUK_PRJEB4211_v1:3:27904400:27906673:1 gene:GSCOC_T00031792001 transcript:CDP10864 gene_biotype:protein_coding transcript_biotype:protein_coding MGFENPSCQLLSKIATNEQHGENSPYFDGWKAYDNDPYHPTQNPNGVIQMGLAENPLSFDLVEEWIRKHPSASICTSEGVQKFRDIAIFQDYHGLTEFRNAVARFMGKVRGGRVTFDPARIVMAGGATGACELITCCLADPGDAFLVPTPYYPGFDRDLKWRTGVQLIPVICESSNNFKITKEALEEAFENAKKSSIKVKGVIIANPSNPLGTTVERETQITLVNFINEKQIHLVCDEIYSATIFSRPNFISIAEIIEEVECNRDLIHIIYSLSKDMGFPGFRVGIVYSYNDAVVDCGRKMSSFGLVSSQTQHFLASMLSDDEFIDHFLKTSSMRLAKRHQTFTSGLEEVGIKCLNSNAGLFCWMDLRPLLKEPTFEAEMVLWRLIVHKVKLNVSPGFSFHCVEPGWFRVCFANMDDETLEIALKRITIFIQATEKNQM >CDP08126 pep chromosome:AUK_PRJEB4211_v1:3:31323998:31324292:1 gene:GSCOC_T00026861001 transcript:CDP08126 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSITTTTCINKFCPSTRTSK >CDO99213 pep chromosome:AUK_PRJEB4211_v1:3:4313132:4316229:1 gene:GSCOC_T00026281001 transcript:CDO99213 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQTPNFDRLQQLKAFDESQAGVKGVADAGISRIPSIFVRPADELARDYPVSETNLQIPVVDLAGRREEVVEKLKTAARSMGFFQVVNHGVPARVLEEMLSRARDFHELPHEEKRVYYTRQTSKKVQYTSNFDLYKSRSANWRDTLFCVMGPEPLDPLQLPEVCRDILMEYTEQMRKLGTALFELLSEALGLKSDHLVGMDCAEAQAVLSHYYPACPEPELTMGTSKHSDPDFLTILLQDHIGGLQVLHQNHWVNVPPVAGALVVNVGDLLQLISNDQFISVEHRVRANHVGPRVSIACFFKPHLYPSTRLYGPMKELLSEDNPPVYRETTMQEFVAYYNSKGLDGVPALLHFKLERT >CDO99173 pep chromosome:AUK_PRJEB4211_v1:3:3937832:3941660:1 gene:GSCOC_T00026223001 transcript:CDO99173 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYPRHTCLKLEIPIRSNDSEPREASESIFVKGTWYPARFDLAITDGRDAWICNASEEEVKERASQWDQPVSEYNDLAEKYLGLQQPGSVYGFSDAGSGFKRLTWTFEKEGTKLEWRWKCQPSPNSKKTTADILDFLMDANIRLSEEVVRKTDLFERIKLEAEKCLAQSEKLSLEKAEFENEIYAKFLGVLNSKKGKLRELRDRLSKQKMAEPEEEEQQSTDKTESFDERSDEDKSEEEAGTSKDAPASKSVAAPASRSRGRKRKMCV >CDP15128 pep chromosome:AUK_PRJEB4211_v1:3:10534636:10540726:1 gene:GSCOC_T00042708001 transcript:CDP15128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PRD1 [Source:Projected from Arabidopsis thaliana (AT4G14180) UniProtKB/Swiss-Prot;Acc:O23277] MFFTTAHHHSAPSPPPYEACSQGHSTALNLPTSEGGRICLVCLSNLISNPKSPTVHVSYALSQLSQALAQPQFLHSFVTFHSHFLISPLIQSLSAFNDAAIAAQIVDLVLLICDAAKDGQVYGEFVAKVTDRLSSYSLAWSCQQLQILHCLGVLLECQKSNPHIYVKDKGALVFNLIAGLQLPSEEIQGEILFVLYKIAFLVNANEDNDFSEVLDANCAKLLVLSLEALMKTQSDDVRLNCIALLTVFALRGWFENTCRNEVKDSIEAENLMQISEQTTDGRPLISLFAEAVKGPLLSSDCQVQVATLDLIFLVLACEGGTEEEITVMVEENIADYVLEILRLSGCKDPLVNSCIQVLDVLSTAEQAFRQRLAIGFATLIPVLHHVAKVPFHPAQTQLLKLVGNCVLECPGIVSRSDVEELSTIMTGMLKKNTGGESGILPETFNLACTLLVTLMKNSSSCGALSLSSLHDASRDAVLSCLGNYDKQPDQLLHSLYLLKETYAYSHAENSSEPINLDLRRGIIYVCQKDILPWFMTTINDIEEEDIILGVIETFESIMIRDTDTEIKKLAEIMVSSSWFSVLLGCLGSFPSENLKMKVYLIFSLIADLLLGEDAGQPIRGAAFHLPSDPIDLLFLLGQKSSHNMHLFSCQSAVLLILYVSSLYDDRLADDKMALASLEQYILLNGSDLLCGASPSLTIELLVNLYGLYRGLAKMSYQVPYSPEAERILFHLLTDEVWNLLSIKFHFASLRWLFQQDKIFKILSEQMLNFCRSNSSGGSSVIAFGKNKLNLDVRSIAELIMSGHNFGSMHFVCLLGELIEQDDQEDDIISVVHTIAEIIKVMPATSDLLCVHGIASPICNFYSHSRYSSLPDLFIDISNLVILILRSVQSESLSDDETWVAISIKLMNYLTGTRKTDCWTQESIMVISIFALVLHHSTNQVLIETSKLILLSTPLASIIKQAIAEACSKGPALVDHDEETKNGEALICLLLLIFFSLRSLPAVLPGITELHNLLKDGNEKQPIAYISLHCRDFCKLLHFGSPPIKLVSSYCLLELLNKISDQGSRESDGLNIRSGYLLSIMAILEGLIFSSDVRTSLNCSRCLSMFLDWKELDGKELAAESNIWCRMIVEELVMFLAVPRIGSTSFMIHHKPAINVAIALLKSKETPHWMASVLDDSSLTAIVQNITTSNVSRELVLLFRELLNSGYLKAEHIASLNRVFQACRKHLYVDEVQNDTSEVQKEKESGLSDGSGKVCEILINLMSSLSSHDGLGRSQCTNEELLAEIDSFSKSLMDED >CDP14639 pep chromosome:AUK_PRJEB4211_v1:3:14486607:14487002:1 gene:GSCOC_T00042018001 transcript:CDP14639 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFVLYQQNNAKTNMYIISSSWEISQTVLNSVEYWSIHTDKIFIFKEDRKITLYNGTIILSKLGIDFRLYFLPYMDLKFDSLFPRERTSQIRESIILLILLNWPSW >CDO98996 pep chromosome:AUK_PRJEB4211_v1:3:2393925:2394844:-1 gene:GSCOC_T00025994001 transcript:CDO98996 gene_biotype:protein_coding transcript_biotype:protein_coding MLASHRIASHVRFFNAFKSSLHLLLALLM >CDO98900 pep chromosome:AUK_PRJEB4211_v1:3:1690680:1691242:1 gene:GSCOC_T00025857001 transcript:CDO98900 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIAKLASQKAVVIFSKSSCCMCHAIKRLFYEQGVSPMILELDEESRGQEMEWALMRLGCNPSVPAVFIGGKFVGSANTVMTLHVNGSLKKMLKDAGAMWL >CDO99451 pep chromosome:AUK_PRJEB4211_v1:3:7102873:7105061:1 gene:GSCOC_T00026632001 transcript:CDO99451 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNHAQTPLGVRTRSMTLELKRLQKLPASPSAAFAAIGDGGSYLQLRSRRVERLSPFVAKAKTRKILKGSTSKSQGTEPASENQESEKDKGKGPMLEENENEEKNNNPDDLKVMESSRGENNLEAESAERATRETTPVHLIRDPNALVLPPFSSTRRRYTRTTRANPRQFINLVEDYLNTLFGDLEEKHKNKCIEKYNFDFDNEEPLPGRYEWEKLMP >CDP15465 pep chromosome:AUK_PRJEB4211_v1:3:17297849:17299444:-1 gene:GSCOC_T00043207001 transcript:CDP15465 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFPVKPTQSKIHLTLSSLTKTSDTAREKIEKLFFPIFLLQDQVSVTQVQSPLATAYQTISCPGNPSRPPVCSVRSRCPFHFEM >CDP15109 pep chromosome:AUK_PRJEB4211_v1:3:10868760:10871393:-1 gene:GSCOC_T00042683001 transcript:CDP15109 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTSGCMCNWRFTTYQETKEEWLPIIESGLLHLSQSENSVMQLLRLSFDHLPTASVKKCFAYFSNFEKDFVLDKQLLIQLWMAEGFLQASDDEELEDIGNSHYHILLESSLLEEVIDYDNCLDVDVRFGKMHDFVFDLARSVSRSDSAREDHYQSRYLVLNSSGVEAEVNLNDKFTSVSTLDWLESVMPGDILAKFRYLRILRLLSVTSEVLPSSISKLIHLRLLEISYSRIRALPESICKLYNLQTLIILESGDQRIGMELPKGMRNLINLRHLSFFTFDSELQMPKGMGQLTSLQTLQFFNVGKGKGRTIGELGCLKNLRGRLQIRNLELVNGKEGAQQADLLKKPYVDDLGFQWGSGNREDDNDENVLEGLQPHPNLHSLRIRNYNGGRFPQWLMTMAVCKNSMDASSSTRLNKLVKLRLRYCKMCTEVPALGLLPSLQFLELKGLENLKSIGPSFYGSADDYCGLRSSRNSGELSSNLFPALKTLKLVKMPNLIEWLGVEATPTGDGNEGLRVFFPSLEDLNIKMCPKLTTAPSNFPILKKLTIEKMDQLLPVKQICSNATTLNDLWIKGMPELTCVQDDCPNLIDIQGCGTSLKKLDIINCEKLSELPEDLHQLQALETLNVMQCPNIKSIAIPSGQHGIKFLRELRIVDCSGLKSLPAEMLHSRTSLSRLAVKRCSNFESFPIDLQQTPSLVTLLLSFCPKLTTIPKGLNPLSCLRVLSIGPFPDLRLEAELLSALASSSVRALTIVGWPHSNSLPEQLQYLTAITLLRISKFGSVETLPDWLGNLGSLERLHLIDCEKLRYLPPMAAMRRLTKLRFLSIADCPLLQESCSKSERLKISHIPTILIDDERVDLTQL >CDO99358 pep chromosome:AUK_PRJEB4211_v1:3:5811621:5813543:-1 gene:GSCOC_T00026495001 transcript:CDO99358 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKPLYGVLSDALYIGRARRIPYVSIGVFLQVLSWGLLALISVASEALSILLACVLLSNLGASIAEVAKDALVAEYGQKNKMPGLQSYAFTALAAGGILGNFLGGYFLLHTQQPKSMFLSFSALLAFQLTITLGMKEDSIGSPQTSSHSLVRKSISESIRKQYFDLLVVAREESISRPLIWIVASTATVPNSSGSIFCYQTQCLNLDPSVVGMSKVIGQLMLLVMTVSYDRLWKHVPMRKLVGIVQILYASSVLLDLILVTQVNLDRGGQWSIL >CDP19284 pep chromosome:AUK_PRJEB4211_v1:3:13928271:13931048:1 gene:GSCOC_T00004053001 transcript:CDP19284 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNFLVPFRNLTNLIKLYLQNNQFSGSIPVTFGNLNRLVNLSLDQNHLSGPIPPVIGNLSSLQFLYLYQNNLTGAIPKSLGNLTNLIKLDLSENQLSGSIPASIGNLSTLKVLYLYNNQFSGSIPATFGNLNRLVKLDLAFNQLSGSIPVSIGNLSDLEILALAENQFSGTIPQELGNLNKLVDLRLFSNHFSGPLPELLFAKNNITGGIPPEIGNLTQLHKLDLSSNYLSGEIPREVVKLTSMLTLDLHDNQLTDLSTNSLIGTLPELLGDLKHLFHMNLSNNVFGQKIPSQIGKLTQLSELDLSQNLFTGEIPSEFQNLQSLLHINLSFNNLEGPIPSGRAFVNLTLEEVKGNKGLCGNITGLRASEDMEVNKGGLFAICAYDGKALYKEIVRSTEEFSETYCIGKGGCGSVYKAKLPSGEVVAVKRLHNIPNHSILVYEYLERGSLAKILSIEEAAKELDWQKRLNIIKGVAHALSYMHHDCSPPIVHRDISSNNILLDPECEAHISDFGTSKFLRRDSSNWSSLAGTYGYVAPEFAYTMKVNEKCDVYSFGVLTMEVIKGKHPGDLIANLLSSKLEEIELKDLLDQRLQYPNQEIEKNLISILKLARECLHVDPQCRPTMLIISRLISTC >CDP16551 pep chromosome:AUK_PRJEB4211_v1:3:25330283:25335636:-1 gene:GSCOC_T00018937001 transcript:CDP16551 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRAFWNSPVGPKTTHFWGPVANWGFVVAGLVDTQKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLVCHASNETVQLYQLSRWAKGQGYLESKEEKAASK >CDO99159 pep chromosome:AUK_PRJEB4211_v1:3:3838602:3839123:-1 gene:GSCOC_T00026207001 transcript:CDO99159 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein D [Source:Projected from Arabidopsis thaliana (AT1G04260) UniProtKB/Swiss-Prot;Acc:P93829] MSSPAQPTAAAQLRQRLRPWAQFFSLSTFSLPISLSDTTYRINQNLRFFFPNYTLLVLLVLFLSLIYHPLSLIIFLVIFAGWLFLYFSRNPDDPLIILNFEISDKIVLGLLGLVTLVALIFAKVWLNVVVSVVIGVVIVCLHGALRAPEEDLESPYGSLLSDVTSPSGDYTMV >CDO99433 pep chromosome:AUK_PRJEB4211_v1:3:6921127:6922780:1 gene:GSCOC_T00026605001 transcript:CDO99433 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSGTTGGRSTKIEHTPHQEFPSAPTQDLAIPSASLPILPDEVINAILLRLPVKSLVRFKCVSRSWLSLISSPEFIKAHLKFNTSRDSQRILIKGYVDVDDCVKQCSLNCLMCDEISTDVVMFDNLNWEVPSRTIVIVGSSNGLVCIDANVSGLFLWNPSTGKSKELPDLDVEVPEYSDQYYIIFGFGYDEVNDDYKVVEIICTFDDCAVLSSGRRDVNVYSMRNESWKSLGNFQGGYIAQEQCGIVLNGNLHWPLKKEDYLSICCVDLPSETYREMGLPNFDDYNDSDLDIFQGSLCMLCRHGNEYTHTDVWIMKEYGIRESWMKVLSIPHHESWAYPSYKLLCTSEDEKILLINGPDLVLYNAKDGSFRSYKVGLSSSSADLQFTLSDACVYVESLVSLNQEQQVLH >CDO99305 pep chromosome:AUK_PRJEB4211_v1:3:5257930:5261887:-1 gene:GSCOC_T00026414001 transcript:CDO99305 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDVLEKDDLEEEDSLQSVSKSRGSAEGITLSEDFLPKKESDRIVVLSISGRNSCSRQWFFQKLYGLDNAIPKHIITFDEKYLRRCLEWMHISAFGVFSCNFSSIGVFHNDLSSRGISGRRAYDMARLVIEHPLALLTDSVAISSAGERILGTISASKSMINILRSPLLHQLGALDSNASNGGRGLVDIKEAVASDFINSPVKFNTSKPNKLKDVVLGDNEYGSEPVHKRLASVSSTNSTSSDQSSFSASAAIFQGMLQCTWKDGCPNYLFSVDDQGEVYAANLLKIQSPEDKSLDYIYSFHLKSGPKRDLNIRDRELDLVAKMKVSTSITLCPRNSEIMETQFVLFGSSESWMQETQTSVSVLKKNKGLTKKVADVFRTSQSRKQRTSSKLWGTSIILEDTSWESSDDLCDNFDQSPANLLENDVPPNLELAAIVVKDHIPHKPEEPEIGGWGLKFLRKSVNIQTDAVSENSSISECGQRDGGECSTSMNILVPAGFHGGPRARKGGPSSLLERWHSGGHCECGGWDIGCPLTVISTRTNRIENLPHSDNSGECKTVDFFVEGSRQISPIMKMTNIHDGLYYIHFQSTLSALQSFSIAAAIIHSNSPSLRPKLYRS >CDP08100 pep chromosome:AUK_PRJEB4211_v1:3:30948532:30952138:-1 gene:GSCOC_T00026821001 transcript:CDP08100 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVSNKHVILKNYVSGFPKESDMEVKTTALKLKLPDGGDYSGAILVKNLYFTCNCSAKDRVTIGFPDLFFFRRHPIGDLLWGLTRWEEYSVIADPETRFKIHNNLPPLFNCSALLTGMPGMTAYAGFFEICSPKKGERVFISAASGAVGQLVGQFAKAFGCYVVGSAGTKEKVDLLKNKFGFDEAFNYKEEQDLNAALKRYFPDGIDIYFENVGGKMLDAVLLNMRVHGRIAVCGLISQYNLEQHEGIHNLFCLITKRIKMEGFLVFDYYHLYPKFLDMVLPQIKEGKIAYVEDIAEGLENAPSALIGLFSGRNIGKQVVVVARE >CDP14669 pep chromosome:AUK_PRJEB4211_v1:3:15477215:15477334:1 gene:GSCOC_T00042075001 transcript:CDP14669 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGRNRGWVGVIKNREKHKGSFETIHVQDATGHEFAA >CDO99330 pep chromosome:AUK_PRJEB4211_v1:3:5422709:5424499:-1 gene:GSCOC_T00026444001 transcript:CDO99330 gene_biotype:protein_coding transcript_biotype:protein_coding MANQDSGFSQEFSHHQENTAVDATARNLLAKLHLNSTTTGNHQSTVTTTAAPLFSSSSSMDPCNKCGSTKRQSPSSWSSLQEPSSKRATLLPPSSSTTTFSGDRCILGFSKLPLPATFSNASSSSSLSSPALRRTISDLINSPGASNLSDQAQISLGSLLNNQLPESSMVNPVATSPSGPLYRTISDPTSASYQVVTTTTPPRPPAARKVTWSPNAGEAGLNLKEETPNTKRLKRMKDRLREMRQWWNEVIKEGEEDSCSDSEDNDDLSKDNSEVCQVAGSEGGPCEEAVWVERNGECLILHFKCPCGKGYQILLTGKSCYYKLTAF >CDO99098 pep chromosome:AUK_PRJEB4211_v1:3:3156484:3160830:1 gene:GSCOC_T00026126001 transcript:CDO99098 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR3 [Source:Projected from Arabidopsis thaliana (AT1G04190) UniProtKB/TrEMBL;Acc:A0A178WLS7] MGESEAKMEQSLKDQGNEFFKSGNYLKAAALYTQAIKQDPNNATLYSNRAAAFLHLVKLNKALADAETTITLKPDWEKGYFRKGCVLEAMERYDDALSAFEMALKYNPQSSEVSRKIKRLSQLEKEKKRAQEVESMRSNVDMAKHLDALKSELSGKYGAEEISGEIFSFLVETMEMAVKSWHESSKVDARVYFLLDKDKTDTQKYAPVVNIDKAFESPHTHSSCFSFLRQYAEDSFSRAACLVTPKSIISYPQVWKGQGSRKWKHGQTDGFFVQFETPSIRKLWFLPSSTEKGQTLCRDPVALDISVHEVLPRLFKEV >CDP11142 pep chromosome:AUK_PRJEB4211_v1:3:7817923:7822786:-1 gene:GSCOC_T00033199001 transcript:CDP11142 gene_biotype:protein_coding transcript_biotype:protein_coding MADGISSFWGPVTSTHEWCEPNYLYSSYIAEFFNTLSNLPAILLALVGLVIALSQRFEKRFGVLHISNMILAIGSALYHATLQRMQQQGDETPMVWEMLLYLYILYSRDWHYRSTMPIFLFLYAIVFAFAHAYVRFGVGFWLHYALLCLLCIPRMYKYYIYTEDKLAKRLAKLYLITLIVGSVCWLFDRLLCKGISRWYFNPQGHALWHVFMGFNSYFANEFLMYCRAQQRGWDPKVKYWGILPYVKIQKPKEQ >CDO98862 pep chromosome:AUK_PRJEB4211_v1:3:1349593:1357602:1 gene:GSCOC_T00025808001 transcript:CDO98862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT3G16630) UniProtKB/TrEMBL;Acc:A0A178VP70] MGGQMQQSNAAATALYDHPGNGGPASGDAGDAVMARWLQSAGLQHLASPLASTGIDHRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFNGEPGSEPYTPTSQSLSGFAASDGFYSPEFRGDFGAGLLDLHSMDDTELLSEHVISEPFEPSPFMPAVSKAFDSDFEVIPSQLQKGQTDADVPSGFLASEKDINTRENNVAKIKVVVRKRPLNKKEIARKEDDIVTVHEDAYLTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAEDIVRLLHQPVYRNQRFKLWLSFFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIERGNAARSTGSTGANEESSRSHAILQLAIKKHNEIKDSRRNNDGNESRSGKVVGKISFIDLAGSERGADTTDTDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNTKKDQGSSSLPPSSTKESSSAPFSSFSADVEDLVDQHQESKAVDNRRVVQKEFTSYNSSSDVDKQPSSFTSNYTSGLEESTATSSAPDKERSDMKNSHGGSSQKINLTSFSQIAADTEEKKVQKVSPPRRKTYRDERPEKLGNWPRKDAANFDSSSSYKQQNVNIADTNGVGSKQYEPEQPHEDSINEILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAASLVSLQARLARFQHRLKEQEILSRKRVHR >CDP10860 pep chromosome:AUK_PRJEB4211_v1:3:27827221:27830435:1 gene:GSCOC_T00031784001 transcript:CDP10860 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLNKLIVSYSDWGGSSRGLRAFMESHLPAFKEILPQLEVVTELNCGQHPYLKGLYRNKNERVVSVKNMTPEDILLCATRLRNSLGRKVVKLKTRHVTKHPSVQGTWTTDLKI >CDP08034 pep chromosome:AUK_PRJEB4211_v1:3:29507169:29513333:1 gene:GSCOC_T00026711001 transcript:CDP08034 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFINRFKHNTVLNFWVKYRKLPYDLIYAHFSSLWNFTRMAIQEIANSIVGKIAEKCIDPILQQFQYLIFCKSNVKTLSDSIKKLELKETEVQQKVDRAKYNAEEIKPIVVDWLKQVEDAKKDAHTISEGMETAEVNCFNIVGLPNLKSCYLLGRRAVKRTSVVEKLLGEGQFDEVGDIASPGTMPFSESTISFTSRMSTKKEVMDALKQEKTSLMAICGMAGIGKTTLVKQIADQVKSQKLFDEVAMATVSQSPDMRKVQDQLAEQLGLKITEQTDRARAERLYTRLTSRDKRILVILDDIWKKVDFENLGIPVKGECKSLKVILTSRLLNVCRGMGAEIFKVNALPKEEAWHLLKEVAKIFDDSALSGVAKQVAEECKGLPLAIDVVAGALRSDHTLESWDRALRLLKEYTIRELEGDEDSVFSTIKFSYDYLKSAEAKSLLLLCSLFPEDYSIPIECLVRYGKGLELFPDRRRLVDVRDKVDTLIGHLKSSYLLLNDTENEDSVKLHDVVRDVCLSIASKDEHVFLVSNSGVGEKNSYTAISLILQDSNHDLLPFCKEYPRLRLLRLVVQSGELNLSEDSFVGMEALRVMELNNSQIEFPLSWPGQMLRSLRTLCLDYCSLGTGLSSMLGHMTQLETLSFFQSKIDDEQFPTEIAQLSNLKLLDLRVQRSLHPLPSGILSSLKKLEELYLGSGLHLQLGRDKQEERRCLKEISSISNLACLQIILYDLNLLLLSLQEFDTQKLSRFHIAVANYEGAIEYLSKNYQFQKSFEVYLSDHEALELKEICKGFLPPRCFGQLQEVRLDFLSALECLWKGSVEPPSFCNLRSIEVGDCHQITTLFSQSALKCLAKLQRIYVFVCKNFERIVLREESLTEKVLELPQLKVLDLRLTNFIGFGSKDDKAVAFLDQVCLLSLSLF >CDP14634 pep chromosome:AUK_PRJEB4211_v1:3:14282954:14285800:-1 gene:GSCOC_T00042010001 transcript:CDP14634 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKEIGRMAETSGLFNKVIFAVVSRNIDVRKIQGRIGDMLGLYFQEESEMGRAGRLFERLTTQERILLILDDVWNFVNFIEIGIPVNFEGKGCKILITTRQRNLCSTMGLRKTKEIPLRLLSDDESWNLFKTNAGSLADTFSPQQDDVAMKVARECCGLPLALVTVGRALRNKDLELWKAALQQLKKSKPLNINCNEEKDIFSCLKLSYDQLQSEDAKECFLLCCLFPEDHDIKIEDIARYGLGKGVFTDVDTMEEARRETRWIIRNLTDCCLLLDSSTEDSVIMHDMVRDFAISIASTREHGFVIKAGLGLKEWPNQETLERNAVIISLMTNHIQSLPERLICPKLEILLLGKNEVFEIIPEGFFLGMPTLRVLDLSEKIDKWTSMLSSSFKLPSSFEALVNLRTLHLNHCKLDDVAVLGKLKRLEVLSFYGCDIEELPKEIGELVNLRLLDLNFCQKLKTVPATLLSRLCQLEELYMWESFHQWAIQGMVEDTSKACLSEITSLSHLTTLCIQVSDPESVPRKLHIPNVQKFEIVIGKGYDSVTCYPNSRSLSLREIKTSIPEGVKDILQNTEDLRLFCLYDEMIRNILDVDLGTLNNLRYLKVVACMETSFLLSVNQSASDAPAILAALESLHLQLMNELFVILRLAKLTVVELSSCRSLKVIFPYSIAQNLPQLEVLKINWCNKLESIVEKRPDVSVDQYQHNCFPNLRIIEVSECSRLRKLFSVAEARYLQQLKEINISSCEDMVELISHDEEGEEDTEDNRISLPELYSMKIKDMSNINRLCAMSFSVDLPSLVQVVLEKCPNMEEFNSDPQKYGVGHAPKLKVGQI >CDP10029 pep chromosome:AUK_PRJEB4211_v1:3:25054267:25054513:-1 gene:GSCOC_T00030584001 transcript:CDP10029 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIVYSLSLDLFYVRVGLFPLHL >CDO98856 pep chromosome:AUK_PRJEB4211_v1:3:1283020:1291097:-1 gene:GSCOC_T00025797001 transcript:CDO98856 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGSSASSFSKDNTMRLDIESVSALATTINQHINALLANNKAWMSLKFKCTSKLKIQKQPTFEFSEYSIVSNLYWGIEAIDAATQTKGEEERTSRLQNSEKMLQVPASLHEQGFTSGIPNEYLICCSYFYLSVVRKLQRDDWQAALHFLQTLLVSPRLVRDEFAPELCQSIMHMYIRHKRQQIPGSRLSKSATVIDLDEDQANEIMGWMAKEYKAWLLYYQIMSNGEHDTKHLASIGNAVPDDKSKYIMEPVFRSANGKSQGSNLRNTLPIMGSDNQDFRVLNDAIAIPHLTQGCYAETMRSSSMKCLKDILTESQSDTPISMESCNSSSTEETFTERGSAFSLKIRKKNADDQQAEVDDQNLQASCYKQHAEITACTPQHSTHLMRRDGRGLAVLNLLSRTLTSSFSDIDVSATRPKDNNSQVPVHGKRKKDAAQGKLELQDWRQSSFKELATPPRGHQFHQLHRTRSLVSDTGMKSTTFGDTLHQLQKYPEETSHIEQAQILERLISKLCFSETLGNLEEDYTVEISTVYKLLNNRRGLKYSLLKDIILDQLLMAISTSKKEQVIRASVTILSTIVSGNKTIIEDIKRKGLQLYDLATALRRNVHEASILIYLINPPPEEIKTLGILPCLVEVVCTSNSYKDAITSIRLTPRAASLMIIEILVTAFDYTTNNMHLSTISSPRVLSGLLDVPGNNNLEEFISLAAILVRCMRYDAHCRKFICEFAPITELFSLLRSNQKRATSTALEFFHELLRMPRSSAIKLLQEIRKEGSINSMSALLLLIQNSQPEHRLLAASLLLQLDLLEEASSKLMYREEAMKELLESLISEENSAKQALSAFILSNIGGTYSWTGEPYTVAWLAKRAGLTSLHHKNMIKNYDFSDESLQDAGIDAWCSKLARRIMKFGAPVFHDLVKGLDSKSKRISRDCLTAIAWIGCEVAKSSDELRSSACEILLNKIEQYVHPGFELEERLLACLCIYNYTLGRAGMKKLIHFSEGVRESLRRLANVTWMAEELLRVADYFQPNKWRISCVHTQTLEVGHGRNGAVTALIYYRGQLCSGYADGSIKVWDIKGQTATLVQDMKNHNKAVTCFALLEQGNCLLSGSADKSIKIWQMVQRNLECIEIIATKESIQSIDTFGQLIFTISRGHKMKVFDASRNAKDIFKNKSVKAMTVVQGKVYAGCVDSSIQELAITNSREQEIRAPAKKWLMQNKPVNTLAVYKDWLYGGSVVVEGSTIKDWRRNIKPQVSVMSEKGANVLAMEVVEDFIYLNTAASRSSLQIWLRGTLHKVGRLSAGSKITSLLSANDMILCGTETGLIKGWIPL >CDP16582 pep chromosome:AUK_PRJEB4211_v1:3:26283323:26284542:-1 gene:GSCOC_T00018992001 transcript:CDP16582 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPMNPCSRTVITAPKCICTGSRAHLLSAILLVFSSRTVLLVFSCILRITTKPSVSLVRRKLQLVGVTAMLLACKYEEVSVPVLEDLILISDRAYSRNDVLEMESFMINTLQFNLSVPTPYVFMRRFLKAAQSNKKLELLSFFIVELCLVEYAMLRFPPSLLAAAAIFTAQCSINGFKKWTKTCERHTNYTEDQLLQVVPYVFHTLMNFITSYDDLMIPTNFVHRWNQLKIDGVFVVMTEGCNFIGKFGSYCKFFLLVREENLLVCAHEDLLVCPQENL >CDP10297 pep chromosome:AUK_PRJEB4211_v1:3:12315678:12321845:-1 gene:GSCOC_T00030977001 transcript:CDP10297 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVKVPRIKLGSQGLVVSAQGLGCMGMSAFYGAPKPEPDMIKLIHHAISRGITHLDTSDLYGPHTNEILIGKALKEGIRAKVELATKFAVNLQDGKFDVRGDPAYVRASCEASLKRLDVDCIDLYYQHRIDTRVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLVENFTEGDARKNMPRFQAENLEHNKNLYEQVNGIASRKGCTPSQLALAWVHHQGKDVCPIPGTTKIENLNQNIGALSVKLSAEDMAELESIASAIKGERYASVAGTWKTADTPPLSTWKCT >CDP10042 pep chromosome:AUK_PRJEB4211_v1:3:23862714:23878719:-1 gene:GSCOC_T00030610001 transcript:CDP10042 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGYAMTGQLMQKSNMYSFGVVSLELLTRMKPVHHSIASWTRVFCPLDWPFLLPLATPRLSEDKVKQSMDPKLSYLPQKGLAAVAAVSVRYEAKFQPNTRTLVKALQPLLKPQAHYYYSSRSFIRILPIVQVAGPLLAILGFLIFSFIWNTPETLINSYSVLGSSALLQVTLSFWLITLLAPSRVYVVGYIVVVLGIISLASFILISLIAIPQIHPHRSI >CDP08017 pep chromosome:AUK_PRJEB4211_v1:3:29017317:29021285:1 gene:GSCOC_T00026678001 transcript:CDP08017 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEESSASAPNSNQLQEKQPVQEIPTINFIPDEVLEDILTRLPVKSVLRFRFKC >CDP10892 pep chromosome:AUK_PRJEB4211_v1:3:28530907:28531227:1 gene:GSCOC_T00031834001 transcript:CDP10892 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKKISMPAHLMYDGRDDDLFEHFSTVAQCLGVYTAKDYADILEFLVGRWKVGDLTGLSAEGRKTQDYVCGLLARIRKLEERAQARAKQGPCIPFSWIYDREVQL >CDP08119 pep chromosome:AUK_PRJEB4211_v1:3:31278832:31282526:-1 gene:GSCOC_T00026851001 transcript:CDP08119 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKQVLLKDYVSGSPKESDFIIATDKKTSLEVPESSDNGPANSTFEDGFSHYTPGSPINGYAVSKVLDSKHPKFKKGDLVWGITGWEEYSLIAEPDSLFKIEHTDIPLSYYTGLLGMPGMTAYVGFYEVCKPKKGEKVFISAAAGAVGQLVGQFAKLAGCYVVGSAGSKAKIDLLKNKFGFDDAFNYKEEQDLDAALKRYFPEGIDIYFENVGGKTLDATLLNMKVHSRIAVCGMISQYNLDKPEGIHNLASVLYKRIRMEGFVVKEYYHLYPKFLDLVLPYIRKNKITYVEDIAEGLENGPAALVGLFSGRNVGKQVLVVARE >CDP16824 pep chromosome:AUK_PRJEB4211_v1:3:16233479:16233631:1 gene:GSCOC_T00019356001 transcript:CDP16824 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGGRIVKYERLTLVTVRGAGHLVPLNKPSKALALTHSFLSGKNLPIHC >CDP19075 pep chromosome:AUK_PRJEB4211_v1:3:31985381:31986428:-1 gene:GSCOC_T00002096001 transcript:CDP19075 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLDWSKNAKRSSSSSPSASSSKQLKSCSDCHTTRTPLWRGGPAGPKSLYNACGIKYNKKRRELLGLDRGRNDKGKKKRKSSSGGNKSNEGGGVGQSLRMKLMALGGEMVLRRSGKLMGKLREEEQAAILLMALSCGSVYA >CDP16571 pep chromosome:AUK_PRJEB4211_v1:3:25978340:25978492:1 gene:GSCOC_T00018973001 transcript:CDP16571 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKMEKGRVCVTGGTGLLASWLIKRLLEDGYSVNATIRSSSGSCLLIY >CDP10848 pep chromosome:AUK_PRJEB4211_v1:3:27461085:27466448:-1 gene:GSCOC_T00031760001 transcript:CDP10848 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYITGALNTVLSPEHQKEIVRYLYNHQNEDGGWGLQIEGHSTVFGSTFSYITLRLLGEGPEDGEDKAMARGRRWILDHGGAVGTLSWGKFWLTVLGVYDWEGLNPIPPELWLLPEFFPVHPAKMMCYCRLFYMTMSYLYGKRVIGAITPLVHSLREELYTQPYHQINWIDARNTYAKKNGNSFLVIFLDSKILTLSNLLHCTSFPIFLTRFINQFTKIDFLAFNLFNISERGNIRYSLDIFSYIINYCQDIHFRGCFRKFSNLKGSGCNCQKLHGRGQYSYLFQDMHKNSFGSQTWDGALAVQAILSSNLAEEYGPTLKKAHDFIKASQVQDNPSGDFVKMHRHISKGCWTFSMQDHGWQVSDCTAEGLKAALLLGQLPLELVGEKLETAHAYDAVNVILSLQSKNGGFPAWEPVRAYRWMEKFNPTDFLEDVLIEREYVECTSSAIQALSLFKKLYPGHRKAEVESCISRAIDYIENEQEDDGSWYGRWGICYTYGTWFAVEALVACGRDYDNSSALRKACKFLLSKQLPDGGWGESYLSCSNEVYTNLEGNRSNLVQTSWALLGLVAAGQVSESPIGEKVQLR >CDO98743 pep chromosome:AUK_PRJEB4211_v1:3:452746:456290:-1 gene:GSCOC_T00025647001 transcript:CDO98743 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMFFMYGDFEDDIECCRVVNEEATPVPNSSARLENPFPAKLSIGFDLSVKGGKVDNLGSVPKYGDVVCREEEVKIEIDNKVVGVVAEDPVEKCKSVSAKKPPKPPRPPRGLSLDAADQKLIRELAELAAIKRAKIERIKALRKMKAAKASPSSSSSSGSLFAMLCTVIFCVVIICQGMSSRNNAAVIPAGPRDGRFIAVQDPVNLSAVAKNSVHSEPPDIEEHASGLDTGNSGKRAIGERKLAEK >CDP08073 pep chromosome:AUK_PRJEB4211_v1:3:30436297:30439044:1 gene:GSCOC_T00026776001 transcript:CDP08073 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLPIEQIIGFFFKHSISLLLCFFLTIFVLKWRNCTSNPSQNLPPSPPKLPIIGNLHQLGSIPQRSLKSLAQKYGSPMLLHLGSKPVFIISSPDAAEEVMKTHDLIFANRPKAGFAGRLLYNFKDITFAPYGEYWRQIRSICVLQLLSHKRVQSFRSIREEEIALMLETIRESCASSSIIRINKILATLTNNIVSRVAIGKRYSGEESGSRFQELFEEFTMLLGVFNVGDYIPWLAWINNITGLEAKVEKVAKEFDEYLEKLLEEGVKKQDKRGNKNCGDEKQQQNLVDVLLEIQGTDTTSFALERDSLKAIIVDMFVGGTDTTSSLLEWALSELLRNTNAMQQLQKEVRQFLGSKSCIQEDDLENLHYLKAVIKEALRLHPPVPLLVPRESSKAVKLMGYDIAAGTQVIINAWAIGRDPKLWEAAEEFQPERFLNSTLDIKGQNFEYIPFGSGRRSCPGSAFAMVTAELALANLMCNFDFQLAGGARPEDLDMTEAHGIVTPRKVPLLLVASLPN >CDO99312 pep chromosome:AUK_PRJEB4211_v1:3:5320528:5323639:-1 gene:GSCOC_T00026421001 transcript:CDO99312 gene_biotype:protein_coding transcript_biotype:protein_coding MINKVKSSLSSNLRRFSTAIRRHIEDEGDWFYSSEWWGTDSGSDGQTVFRVVSDKGNGVVSVLAYPSSKPDNIHWHKTESWLQQRFAEIHPFCENNGFKVIGYQWRTLHFNDDTRQSTVKIMAAYEKSDPASVFYMQQPHCLAVPYVKSMISAGLVTISSCNFDLNSAICGKKIMNVLCIGHGGGSIPLFMASKIEGAVVHIVEIDPLVISASTQAMGFPPFAVMTMSGERACPEPDPVNEVLWKGIHERLLLYRSDAEKFISETTTLYDIVFIDAYDGDDIFPHKLWDPHSPFLKTLANKLHPEHGTVVLNLHSDTDLSVDTSVSPVFSVLPMGKYVFSVCRAYKDVLLGDSSLHGGVAYTVSVPWVCNTSLVVSRGFGKAYSRNMVFNSLMSSSLQVENILQLPFSCVQYIKRGFTLFD >CDO98789 pep chromosome:AUK_PRJEB4211_v1:3:828462:830667:1 gene:GSCOC_T00025711001 transcript:CDO98789 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRSEEQHQHRTASATENHEVASQDKKYGGIVPKKKPLISKASHLNLLWLLFFFFPFL >CDO99370 pep chromosome:AUK_PRJEB4211_v1:3:6001786:6002562:1 gene:GSCOC_T00026517001 transcript:CDO99370 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQFNAGETHGRTQAKTEQWVDSCKDAANAARDRSAQAADQSAGFLQQTGEQVKSMAQGAVDGVKNTLGVGDNNTKK >CDO98938 pep chromosome:AUK_PRJEB4211_v1:3:1940443:1944689:-1 gene:GSCOC_T00025910001 transcript:CDO98938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich SC35-like splicing factor SCL28 [Source:Projected from Arabidopsis thaliana (AT5G18810) UniProtKB/Swiss-Prot;Acc:Q1PDV2] MGRYRSRSRSRSYSPARRKRYDDPRDRRRERRSSPAPSGLLVRNISLSARPEDLRIPFERFGPIKDVYLPKNYYTGEPRGFGFVKFRNPEDAAEAKAHLNRSVIGGREIRIVFAEENRKTPQEMRKVSRPSNRGSYRKRSPSRSPRRRYRSYSRSPSPTRPDLRGRDRGAREERYSPQGSRSISRSVSPREERNYRPHERSPRRSGSVSRSASPRDEKHDRPSRQHSTPGGNDHRVLVVDHVPSRSQSPRRNSPSPSRSRSRSYSPR >CDP14620 pep chromosome:AUK_PRJEB4211_v1:3:14099627:14100886:-1 gene:GSCOC_T00041988001 transcript:CDP14620 gene_biotype:protein_coding transcript_biotype:protein_coding MPASFLIHFLKYIFRDQDLMVQRISSVGSGTINFKYGDKLIKLWIYIINFSGVNSSHCLQLSSDLELGYINVYRRTEQQSSILNANPKATNMSTSPSSSSAPLSEDSELQELMEQQRKNLLSTLPRQRWQGSYLYKYNGFWFSTMQMPGLVEFRKHFQARDSDVLIITTPKSGTTWLKALAFALMNRNICPINQNHPLLNQNPHTLVLHLEYPNPYDKQHPDYSFQGSRRLLGTHCPLALLPESVMNSGCKIVYLSRNIKDIFVSYWHFTNKLGSTETSFEEFFDLFCKGVSLSGPIWDHVLGYWKASLDKPQKVLFLKYEEMTQEPAFNLKHLAEFLGCPISQEEETAGVVDEILGLCSFDHMRNLEVNKSGTMWNHSNQVFFRNGKVGDWKNDLPSEMAERLDQITAQKFLGSGLDL >CDP16560 pep chromosome:AUK_PRJEB4211_v1:3:25675964:25688876:1 gene:GSCOC_T00018951001 transcript:CDP16560 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGVLQLRREVLCTIVNNCNFIQSTIVAIEPISQYCEAEIVHCDLKPSNIFLDNDLVAHVGDFGLVRLLLKPFNRSSEQEGSSTIAIKGTIEYGMGLAASTLGDVYSYRIILLEMITRRRPTDDIFILDLHNYVNRVLPEQVCEIVDPLILSQEEDGNRRMTPGRENINGGREMECIISLLKLGLKCSQRLPNDRMHMNEVVSKLHLIKDVFRGVRVHQEILNIKLHDNHMHRHLHNQSVRTNLFFIIFIPILLEVSQIVAMNFSVSHVSTAKQFHNETDRLALLEFKHQMQDDPFGVLKSWNHSQHHCQWEGVTCSTRHQRVIALRRGRLQWGGGRRRERKERGIYIYIYIYNKGEVGWRERKKKSFYRRKVFLFLKKFLHTSKSFSTNFTANCSKVLGKLPKNSLTKWGLLSHISASKQFQNETDRLALLEFKKQIYNDPLGVLNSWNHSQHHCQWEGITCGTRHQRVIALTLRHKHFSGIISPLVGNLSFMRFIHLEENQFHGEIPQEFGRLFRLRFRNKFKGQIPTNLEDLTNLQLLNLGSNLFGSQSTEDLDFIASLTNCSNLSVLSLSVNKFGGNMPKAMANLSNQLTEFYGGNILDNVLMNCQNLQYLDISQNNFTGIISPHFLETHSSLIYVGLSENSFTGSLPPEVGKLIHLADFNVSHNQLVGDIPMSLADCSNLENLFMQANFFQGTIPPNLASLKSIQQLDLSSNNLTVPIPIFSNASQISLIGNNKLCGGIPELEFPPCPVIKGKNRGKLKVIILLSIVLPATLLVLGALLLYFLVYQKRERRMVAGFSSMPPRIDELLWLSYHELLRATSGFSPENLIGSGNFGAVYKGRLEKHGNKLVAVKVLDLQKNGASKRFKAECKALRNIHHRNLLSIVSYCSTIDSKGDEFKAQVYEFLENGNLDLWLHPAETTDQATWTSSALHYLHDHCEAEIVHCDLKPSNICKSQRQPRGGDELG >CDO98773 pep chromosome:AUK_PRJEB4211_v1:3:693930:694823:1 gene:GSCOC_T00025691001 transcript:CDO98773 gene_biotype:protein_coding transcript_biotype:protein_coding MESHIHQKLLLITVFSILVFNYEHAKFVQHVAAHTNSSPEPATFPSQGEESPDQELPGLGHVSFPPSEAFSLPDSDSFPPSESDEPSSEPFSLPDSDSLPPSESDEPSSEPFSLPDSDSLPPSESDETTEAYVPSYFGGDSPLPSSAYVDSDIKKICDSTDYPSLCLSTIVPSLDGQTDVFSVLEIAIKASHGYASTAFSMVKKLALTPGMPNQLVAIINDCRDSYDDVLYNFQRAMTALPARDVGTMNTMLSAVLTDVGDCQDAINAAKIPCPLSVFGDKLTNMTSNCLAIASMIQ >CDP03452 pep chromosome:AUK_PRJEB4211_v1:3:21419336:21426758:-1 gene:GSCOC_T00015218001 transcript:CDP03452 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPIQIVVLMQLLMILVASLCLMKINGVDLGEELTGGVHGGGSGQEQREFDYFKLALQWPGTICQGNHRCCASNGCCQGSNSLSEFTIHGLWPDYNDGSWPSCCNGPRYDSKEISTLTDVLKKYWPSLSCSKSSTCHGGKGTFWAHEFHHIALTCFLSAGFVVELGDNCLQWEKHGTCCSPVIRDEYSYFLTAINVYSKHNVTQVLNEAGYLPSNSEKYPLGGIIAAIQNAFHATPELQCKGGAVEELHLCFFKDFKPRDCISEPHIESYLVASSSCPKYVSLPDVQASSRYADTRVAF >CDP08011 pep chromosome:AUK_PRJEB4211_v1:3:28916605:28921317:1 gene:GSCOC_T00026670001 transcript:CDP08011 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHSSNPGPIDDSVLYDQDKHVSAAVWEGQERGALRCHEHTSKLDRWVLTDKQIELVKKAGFGFLRLIPAISLDNPLISALVERWRRETNTFHFTVGEMTVTLEDVAYLLGLPIDGEPVIGVTYTTCDAVCVKYLGKGPGSGYTSGGMVKLSWLKESFSHCPEDASDEDVECYTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFEDFEEAGKYAWGAAALSFLYRALGNASLKSQSTISGCLTLLQCWSYYHLNVGRPRLKHDPIHECFPFVLRWKGKQSGPTSNRDVAFYRKALDSLKASDVNWSPYTNIGHTVIPVNIINSLILGRSKTMLICFDKAERHLPDRCLRQFDMTQTIPEDVQKWERKSRGVDGGVDLSLKMESELNEWSYRRLHIVEAEEDVEESEYMHWYLRITRKLVGRPIPISSEFQRMNAALRDIAHVADTLSTHGMDDQQIQAVSRIRYVAHECLRDQFGVSLVVASVPNEVGKRTRGKEKVRRKGMGKRRKKEDTDHHSLYRLNPMASQLVSYPAENELDHALQCLPPSETENVRASLMVHKVDEVEVCDDSNEVDDLHFRDTAEEDDDDQPSQGASESAAELPCTATEVVQQPSLESTEDVARQVEAH >CDP15462 pep chromosome:AUK_PRJEB4211_v1:3:17273689:17274721:1 gene:GSCOC_T00043202001 transcript:CDP15462 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGWFMHPVIIFSLSFCCFSYKEFGCRSDACFFVLLGFSLLLAALVAGYFLPPSWSFHILRIFPNMHGFFRIFMLSLVFLLRTLFKLALPFLLATFLYCRWCSCMSSSFDCLISYINSRVSWSSDSSLEIRNCSTNSYPLQSPESRRPPHPFVSPFPFLNQSIFFLCFFAPLFFFSTQVKSLLSISLFFVCLSSPLLSPF >CDP08101 pep chromosome:AUK_PRJEB4211_v1:3:30954738:30958151:-1 gene:GSCOC_T00026822001 transcript:CDP08101 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLNIVIEGVLNKSISLVAEEINIVSGVKEDLKSLAKNLEMNQALLLDAECNHNSSNTVDHWLKRLRDVSFEADELLEEFAYEVLRNKLKKRKQDQVCSFFSCSFYQEGEKIKLSPVEIVNMSNNPRSDRSSTTDPFVDDSSIVGRSQVVNEAVKLLTSPEKDGNNLSVIGIVGMAGLGKTTIAQLVYQNEKVRRHFDHKFWIHVSEDFNVERLLNKMVEPLTGTNPNLTVGEAIVGKLNEVLKTKRFLLVLDDVWNEDAEKWNRMSKCLRGIGASDESRIIVTTRNQTVVSIMQASFTCQLGTLSDGDSWELFEKTAFGPGCAAVKTPELINIGRKIVAKCGGVPLAVKAIGGLLYFKKDEREWSRIENSETWATMEEAGRRVRSAIKLSYDHLSSLSLKQCLSYCTIIGKGCFLAVEIMIQLWMAQGLLNPSKGSHLDMEDIGRNYMSILFRTSLLQVSEKDGFGRTIYFTIHDLVFDFVEEAAKESIFLVPSVELRTDRESLSKLRTSIVGGGIPHDLPIVRKSLRVLFLVDEDVKELPTTIGKLKLLRYLDISRTSITKLPNPITRLYNLQTMRLSNLQQLPKNFGNLANLRHLCIEEDGIINGKPCLLPDIGQLSSLQTLPFFYVSQDKGCQIDQLGHLHNLRGDLKIFDLQNVSNQEEAIKAKISTKKNLDSLELHWDTRTRDGSTDEDVLKGLEPHPNLKGFTVENFMGQSLPLWMLTTSHPLVFRNLEKIVLRNFNKCPQIPPLGHLPHLNIVNIIGMESVNCIGTDFLGWKNVSFPALKELILEDMPDLIEWSGLMCHDSSLSSVNIFPSLEILRVKICPKLVSLPDGLASLEHLTVMNCPNLVSIPDIHSLRSLVELILVGCDNLRSLPSGIEVCTSIRFFFLLMCPAIRPEDLHHLSRMTHLQGLALGAVKSLPEQIQHLSNLAFLQIAHFDGIVALPEFLGSIHSLEQLRIEACKNLLFLPSAEAMRHLTKLRKLTIKQCPRLKDRCKKEPGQEWYKIAHIAEIELLP >CDO99252 pep chromosome:AUK_PRJEB4211_v1:3:4740951:4742284:1 gene:GSCOC_T00026336001 transcript:CDO99252 gene_biotype:protein_coding transcript_biotype:protein_coding MFTILHHNNRDRAWQSLLHLSLSLRLSTEDGKEIALAKHSLDVLGKRLQISRIDAPRTTVVRVLYNQSVKGSKIIQVCNSLGKTRFVRMRSPGIMDIHFKLAEWPNMLKILNRLNAVAIGGQTLLAQPASVFPPDILQILWSHPEERKHVKTLVQKLLQELGENVVHKVGLTDLADFY >CDP10357 pep chromosome:AUK_PRJEB4211_v1:3:13728060:13733202:1 gene:GSCOC_T00031065001 transcript:CDP10357 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLLSASVDFIIHKLASVANENISLLSGFNDDLQRLGRSIPIIQSLLLDSERQPPEKEATKHWLKKLEEIAYDADTVLDELNYENLRQTDSISDANVRARQNEALEVVRVVTRPTNEVPSTYAIVGMAGIGKTTLARLIYNDSIIESHFDCRIWVFVGENFDVSRILCLILESLTEKKNEVQSRDALIKMVKRELQVKRYLLVLDDVWNESPSLWDDFIASLIGINPMSGNWIIVTTRSQQVASLVTSHPCLALEKLSDDDCWSILRYKACANGVVPSKEMETIGKKVAQKCQGLPFAASLVGGILRGKGVEEWHSIQESFSTFFNLLLKSSLFQDVKKDVYDNVTCCKMHDLVHEVLCKVSSSETKRMDKTALDDIPQWNYDWDFDNNIGGDVLEGLQPHPNLKILTVKNFMDEFPPWVRRIASLGFDNVVQIKFLGCKRCREIPSLGQLPNLQYLELNGFDSVTHIGPSFYFTNNHSESNSSGNDYHGRTLYPALKSLTIEDMPNLLEWMEARVMSTVTAADFQVAVFPNLKELTINRCPQLNSAPSHFPRLKQLSMSNIEKHIAENLSCCSPCLRKLEIINCEKLTMLPESLYSLQSLENLMISQCPNLTSLPSIHEEVEAFTSLRSLNILHCDGLTVVQSKILCHCKNLEYLEVSQCANLISFPVEELQQLGCLTILGIYRCPRLTSLPKGLGCLTKLRELWYGPFSDGVEFDAFQASLHGLEQLQSLYYVELCGWLHWNSLPYQLQHLTAMKELYILGFGVEALPDWFRYFRSLVYIGFFNCQKLQQLPPKEVMQCLTHLVDLTIDDCPLLGERCTRRSTTDSEFYKISNIERIYINQKKLEH >CDO98907 pep chromosome:AUK_PRJEB4211_v1:3:1732824:1738011:1 gene:GSCOC_T00025864001 transcript:CDO98907 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPETRRLARALTSRSSILLNSVRVDSSQLNSTPQWRAFCSTILSSSGIIRGNRLQVGLNCSNNVLQHWPMGILMQKQLFSSESNAVDSAPTESVKELHDKILKSISEQKSAPPNAWLWSLVQQSATREDIKLLFDVLQRLRIFRLSNLRIHENFNFALCQEVTKACVRVGAIDYGKKALWKHNLYGLTPNVGSAHQLLLYAKQHKDSKLMADIMELLKKNNLPLQAGTADIVFRICYETQKWGLLSKYAKRFIKAGGVNLRQATIDMWMEFAAKRGDVDSLWKVEKLRSESKKQHTLASGFSCAKGFLLESKPESAAAVIEELNQSLSDGKRQGMLSQLQKLVSEWPLEVIEHQKKEHKKDVAAALQKSIPAMIVSLSSIGAEVNVNLDDLTTKAGILS >CDP10849 pep chromosome:AUK_PRJEB4211_v1:3:27466743:27467030:-1 gene:GSCOC_T00031761001 transcript:CDP10849 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGNAPWLTSTNNYIGRQYWEFDPEAGTTEERAEVERMRKEFKTNRFRRKQSADLLMRLQVEQCCHGSHSLALTVISYSISHYQIYYLV >CDP11221 pep chromosome:AUK_PRJEB4211_v1:3:9375413:9376050:1 gene:GSCOC_T00033328001 transcript:CDP11221 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSAIGTGQTDISSLEPVKPADPHVIQIGQFVVEQCHHGKLLFVAVVGGFTWSVIGGNYYALIIENQDYEGATYLHKALVFETPDGVLKLIWHKK >CDO99385 pep chromosome:AUK_PRJEB4211_v1:3:6164331:6169642:1 gene:GSCOC_T00026540001 transcript:CDO99385 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFAANLASKARVARNSSQQISSRLGWSRNYAAKDIRFGVDARALMLKGVEDLAEAVKVTMGPKGRNVVIEQSWGAPKVTKDGVTVAKSIEFKDKVKNIGASLVKQVANATNDAAGDGTTCATVLTRAIFAEGCKSVAAGMNAMDLRRGINMAVDDVVTTLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLFNELEVVEGMKLERGYISPYFITNTKTQKCELDDPLILIHEKKISSINAVVKVLELALKRQRPLLIVSEDVDSEALATLILNKLRAGIKVCAIKAPGFGENRKASLQDLAALTGGQVITEELGLNIDNVELDMLGSCKKVTVSKDDTVILDGAGDKKAIEERCEQIRSGIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGILPGGGVALLYASKELEKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVLRTALVDAASVSSLLTTTEAVVVELPKDEKAAPAMGGGMGDMDY >CDP11134 pep chromosome:AUK_PRJEB4211_v1:3:7646437:7651625:-1 gene:GSCOC_T00033186001 transcript:CDP11134 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04910) UniProtKB/Swiss-Prot;Acc:Q8W486] MRRHGHRYQHLLKQSNGGVKGMFGKLAIAAVVLVICMVSLVSTLKSSSSSPSRSEINVDTLWENAPSGGWRASSAPRSDWPTPSKQTNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDDSGFQGIYDVEHFIKTLKYDVNIVERIPEISKNGKTRKLKAFKLEPPRDAPISWYTSKALEKMKEHGAIYLTPFSHRLAEEIDKPEYQRLRCRVNYHALRFKPHIMELSKSIVDRLRAQGHFMAIHLRFEMDMLAFAGCYDIFTPKEQEILKKYRKENFAEKKLIYEQRRAIGKCPLTPEEVGLILRAMGFNNTTRIYIASGELFGGERFMGPFQSMFPRLENHSTIDTTGELAKNTRGLVGSAVDYMVCLLADIFMPTYDGPSNFANNLMGHRLYYNFRTTIWPDRKALTPVFIARENGHTAGFEEAIRRVMLNTNFGGPHKRISPESFYTNSWPECFCQTPAQNPADGCPPKNISDILESQLQSSGVTDDGFVGSDVASVTDK >CDP10057 pep chromosome:AUK_PRJEB4211_v1:3:22992054:22993504:1 gene:GSCOC_T00030640001 transcript:CDP10057 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFSILSSELKGSTWTISFACFTCWMFICMSEMAQERRADSVGLPEISGQTEVGDTLTCIWFSVRVPVLSVQMVVAEPIVSQADNLRTRE >CDP08127 pep chromosome:AUK_PRJEB4211_v1:3:31360314:31361897:-1 gene:GSCOC_T00026864001 transcript:CDP08127 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPRKLFSLALPFLFFFSSSASTITYNVQKFGAKPDGKTDSTKAFLSAWAAACASVKSATIYVPRGRYLLGAASFWGNTCKNNAIKISIDGTLVAPSNYRTIGYAGNWLKFERVNGVTISGGTLDGQGISLWNCKASKSNCPGGATTLAFYNSNNIVISKLTSLNSQMFHIPNTDGIHISGSSGVTILGSNIATGDDCISIGPGTSNLWIENVSCGPGHGISIGSLGWDMQEPGVQNVTVKTVTFRDTQNGLRIKTWARPSNGFVKRILFQNAVMLNVRSPIIIDQSYCPSKNNCPNQGSGVKISDVTYQQIHGTSSSQVAIAIGCSKDSPCSGIRLQDVNLRYMNQPAQASCSNVAGTSSGFVQPKGCL >CDO99225 pep chromosome:AUK_PRJEB4211_v1:3:4462431:4467229:1 gene:GSCOC_T00026299001 transcript:CDO99225 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAVDDIINRLLEVRGRPGKQVQLSESEIRHLCLRSKEIFMAQPNLLELAAPIKICGDIHGQYSDLLRLFQYGGLPPKSNYLFLGDYVDRGKQSLETICLLLAFKIKHPDNFFLLRGNHECASVNRVYGFYDECKRRFNVRLWKVFTECFNCLPVAALIDDKILCMHGGLSPDLHDVDQILELQRPTDVPESGLLCDLLWSDPSKDVKGWGMNDRGVSYTFGHDKVLEFLEKNDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKKSKFGFGSTRRTKTGNLHAKTKSFLGKVG >CDO99161 pep chromosome:AUK_PRJEB4211_v1:3:3848059:3849954:-1 gene:GSCOC_T00026209001 transcript:CDO99161 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit 7-like protein [Source:Projected from Arabidopsis thaliana (AT4G14520) UniProtKB/Swiss-Prot;Acc:Q6NML5] MLCEVECVFKVLFPVNSLNKSGLVAKSQIAARLLYQLSNIRVTEECGYFLAVTELRSIGRGVPDESSTYVLFPVTFCCRTFLPKRGEVMIGTVYYIHERGVFLKCGPMNFIYLSRLKMPNYNFIDGVNPFFLSNDLSRIEKDCVICFMVFAVRWNNRDRARHFHILATIDDASLGPVQLAGSEGLDL >CDO98744 pep chromosome:AUK_PRJEB4211_v1:3:459428:460264:-1 gene:GSCOC_T00025649001 transcript:CDO98744 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLGDLMNDSHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVAFVKESLVPQFILNLKEQFYQSRIDKGVIKKNDLGLYVFASKPSSGAAILKF >CDO99066 pep chromosome:AUK_PRJEB4211_v1:3:2936794:2937847:-1 gene:GSCOC_T00026086001 transcript:CDO99066 gene_biotype:protein_coding transcript_biotype:protein_coding MANIFRKNFYLCVSKIKCLPTTLSPPLTLEEEEEEEEEDYHDNAVPINIPNAGTSSSLKNFNSLYRELSSSDAHSNSKSLTSSTDDFFSSSEDSDDNSDSIPDFSTIFASQRFFFSSPGNSNSIIESPVRPQVPEPDNTVVSGGVAIHTYSPDPYTDFRRSMQEMVDAKELKDVKADWDFLHELLLCYLNLNPKHTHKFIIGAFTDLIVSLMSSTTAGHSGQKTDDHHRKCKEPVLVL >CDO99245 pep chromosome:AUK_PRJEB4211_v1:3:4689656:4691424:1 gene:GSCOC_T00026328001 transcript:CDO99245 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPMLAYFAIAENESVGRERYNFMQKMLLPCGLPPEREDD >CDO99168 pep chromosome:AUK_PRJEB4211_v1:3:3899132:3903030:-1 gene:GSCOC_T00026218001 transcript:CDO99168 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFNQTVRDMFRSQTVLETFVDVLLCLVPIWLAVTIGLFIGWSWRPRWTGLLFLGLRSKFRFAWTVPAGFGARQLWFAFTAFSAFSLTRKLLSKLHGRIRKDFSLASARVEQPAVDFPSLSAQTVEARSSSDDIRPTAGTLETDKNVVTENDLAHLLHLLDGKDGEMAWQSMMERSTPNLAYRAWRYEAETGPVVFRSKTVFEDATPDLVRDFFWDDEFRLKWDPMILSVEILEECPLNGTMIVRWTKKFPFFCSDREYIIGRRIWEAGGTYYCVTKGVPHSAVPRRDRPRRVDLYFSSWIIKPVHSLKGDGQLSACEVMLVHYEDMGIPRDVAKLGVRHGMWGTVKKLHAGLRAYQIARRSEESPSRCALMARITTRISSDEGAYALLEPNGKEEEKANRRDIQCHKNDGGIDWRWVAIGGAAVAVGLHTGFIGKVLLVAAGHRAGKRRGN >CDP11156 pep chromosome:AUK_PRJEB4211_v1:3:7980266:7985600:1 gene:GSCOC_T00033220001 transcript:CDP11156 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPQKPIPMNDPPTDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEVTHKYKGKTVMTDVERYESLRHCRWVDEVIPDAPWVTTQEFLDKHQIDFVAHDSLPYADASGAANDVYEFVKAAGKFKETKRTEGISTSDLIMRIVKDYNEYVMRNLDRGYSRKELGVSYVKEKRLRVNRGLRKLQEKVKKQQEKVEEKMQTVAKHRNIWVDNADRLVAGFLEMFEEGCHRMGTAIRDRIQEQIRTKNIRGLIYDKEDEDDYEEDDGYYYDGSTDDEYYDDEGEE >CDP10863 pep chromosome:AUK_PRJEB4211_v1:3:27870822:27875410:-1 gene:GSCOC_T00031791001 transcript:CDP10863 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVVNGQIKRIQDEDIQSNVLEIVGSNIQSTYITCPADPNATLGIKLPFLVMIVKNPKKYFTFEIQVLDDKNVRRRFRASNYQAVTRVKPYICTMPLRMDEGWNQIQLNLTDLTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKA >CDO99018 pep chromosome:AUK_PRJEB4211_v1:3:2549395:2550297:-1 gene:GSCOC_T00026021001 transcript:CDO99018 gene_biotype:protein_coding transcript_biotype:protein_coding MTTILQSCLETQFCETATVKLKVAPSKTLESSRSSPCNRPTEVNSTATPNMSSNHDSRGWSFLQFLDNVSSAPKETMEKENVYVHPLTKQYSSSRLSEKSLELCTENLGSETGSDIMDISILSLSSSNSPTSSEDSWIKQEGKLPQEAETSSKCKVNNSRNFPPPLTTISGSNSLQFRPHREDGRLVIHAVEAPLKHNYFQAERSHGRLRLSFLIDHATKFASQLTRMEEDEVDVSDDEDEDCYQLEEEEDDEEGCNIFDVDVETGREKFHRLMNTRCNEGGHGNNGLCNWQEPVWVATS >CDP19285 pep chromosome:AUK_PRJEB4211_v1:3:13946251:13950683:1 gene:GSCOC_T00004056001 transcript:CDP19285 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKIISIFVLVVLLFPSFHPKCGVSASAEEAAALFKWKASFLNQNNSFLTSRNLQSGNAKNSSILPCTWAGISCIDGSVNRLNLSDWSIKGSLYDFPFSSLSNLEYLDLSFNQIFGSIQKHIGNLSKLIYLDFWANELSGKIPPEICNLRNLTHLDFGSNQLSGPIPVAMGNLISLQFLYLCQNNLTGTIPKFNFKEVGDLKFLTDMKLSDNQLNGSIPTSIGNLSTLEALYLYNNQFSGSIPVTFGSLNRLVTLSLYQNHLSGSIPPAIGNLISLQFLYLYQNNLTGAIPKSLGNLTKLIELDLYDNQLNGSIPASIGNLINLIQLDLSENQLSGSIPVSIGNLSDLELLSFRENQFSDTIPQELGNLNKLVDLRLSNNQFSGPLPELLCQSGILQNITVAENMLTGPVPKSLQNCSRLVRARFDGNRFHGNLSEMFGIYPNNITGGIPPEFGNLTQLHTLNLSSNYLSGEIPREVGKLASMFKLDLHDNRLIGGIPQELGVLMEFLDLSTNSLNGTLLELLGDLKHLFHMNLSNNVLSQKIPLQIGNLTQLSELDLSQNFLTGEIPFEFQSLQSLGTLDLSQNNLSGLIPKALAELPGLLHINLSFNNLEGPIPSGRAFVNLTLEEVKGNKGLCGNITGLRACKSSRLIKKHVKDKRKELVLIIVLPLLGSFTLLGAFFGALKLHDRRKQNSREEDMEVNKGGLFAICAYDGKALYKEIMRSTEEFSETFCIRKGGCGSVYKAQLPSGEVVAVKRLHNIPNVAKDRSFLNEIRALTEIKHRNIVKLFGFCSNAQHSILVYEYLERGSLAKILSIEEEAKELDWQKRLNIIKGVAHALSYMHHDCSPPIVHRDILSNNILLDPECEAHVSDFGTSKFLRRDSSNWSSLAGTCGYVAPEFAYTMKVNEKCDVYSFGVLTMEVIKGKHPGDMIANLMSSKLEEIELKDLLDQKLLYPNQQIEKSVISIFKLARECLHVDPQCRPTMLIISRLISTCRPCELLVHFFSC >CDO98691 pep chromosome:AUK_PRJEB4211_v1:3:59011:60059:-1 gene:GSCOC_T00025584001 transcript:CDO98691 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNCEDYPAKCSVYADLRRKMLEEPSRCHKTAAARGGCFRGYHPLPCTVWFGALTLQQTPNTPPPPPKRNPKTKKNVANRLPI >CDO98952 pep chromosome:AUK_PRJEB4211_v1:3:2075811:2077958:-1 gene:GSCOC_T00025938001 transcript:CDO98952 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILSRRFRRLCSRIRWLLRRRPRPKVVIRRFGKLNSKGQLNKEATIKNSPSYVNGQVKVAFTQRPIRVATFNAALFSLAPAIPKAEKSVVFIPGDEEYLKSETRVKPVSGRPKSILKQSPLHPTIGDPELTSSQIKLTRSKPKVSINLPENEISLAQNKVLSFEEDSSTNIVSFYTNSIAPMRSPICFPLGMANWMNDGSKSIYDVLKELDADILALQDVKAEEEKQMTPLSNLAEALGMYYVFAESWAPEYGNAIMSKWPIKKWKVQRIYDDKDFRNVLKATIDVPWVGDLNFYCTQLDHLDENWRMKQINAIIQSSDHPHILAGGLNSLDASDYSSERWTNIVKYYEELGKPTPKTQVVNFLKEKEYTDAKHFPGEFEPVVIIAKGQSVQGTCKYGTRVDYIMASPDLPYNFVPGSYSVISSKGTSDHHIVKVDIMKAANTAQHLGGKRHKKLKQRVVKITNSCTSRGFWQL >CDP18459 pep chromosome:AUK_PRJEB4211_v1:3:11372895:11377661:1 gene:GSCOC_T00012901001 transcript:CDP18459 gene_biotype:protein_coding transcript_biotype:protein_coding MFELTVILKQPKQKSRIGAAKGRDSMASALAGLVPLSFLLLLVGCASRPLYPLPGKRYDGSKKPLQTFRPYNLAHRGSNGEFPEETAAAYMRAIEEGADFIEGDVLASKDGVLIMQHDVTLDDTTDIAEHKEFANRKRTCNVQGTNTTGFFHFDFTLEELKSLGVKQRYPFRDQQYNGKFPIITFKEFISIALDAPRVVGIYPEIKNPAMVNQYVKWPGGKKFEDKFVETLHKYGYRGEYMSKQWLKQPAFIQSFAPTSLIYVSNLTDLPKVFLIDDITIPTQDTNQSYWEVTSDKYLNFIKNYVMGIGPWKDTIVYVSENYLQPPTDLVARAHALNLQVHPYTYRNENQFLHFNFSQDPYKEYDYWINTIGVDGLFTDFTGSLHQYQEWTTPSPSGEKSATKLLDKIGSMISKYRNPQT >CDP10872 pep chromosome:AUK_PRJEB4211_v1:3:28118677:28118877:-1 gene:GSCOC_T00031808001 transcript:CDP10872 gene_biotype:protein_coding transcript_biotype:protein_coding MALEENGSGGYPEDGIVDLKGNPVLRSKRGGWTACWFVVGNSISSFPCSLPNCVTVCYMSFQILKM >CDP10264 pep chromosome:AUK_PRJEB4211_v1:3:11803488:11804199:1 gene:GSCOC_T00030936001 transcript:CDP10264 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRLNHHNGIVNLGNPRRSGGLNLEIIFDPSNHLLLSLHHRCEDYRSTTFIVLLPQTLVVLRNTVFPKFLNFFVALHILNLLPCSHFPSQGINLEGGGK >CDP10319 pep chromosome:AUK_PRJEB4211_v1:3:12737194:12738915:1 gene:GSCOC_T00031011001 transcript:CDP10319 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTSSYEVTSSIPPARLFKATILEEKHLHKILPQGVKSVEILEGDGGVGTIKLTTFVDGGEHKTAKQRVDGIDKEKFTYSYTVLEADGFNDVIEKICCVIKFEPSADGGSICKTTNTYYPKGGAQIGEEHLKGGKEMGLGMVKAVEAYLHANPTAYN >CDP08008 pep chromosome:AUK_PRJEB4211_v1:3:28904863:28909326:1 gene:GSCOC_T00026667001 transcript:CDP08008 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRIPLKKCATSGSGSGGFSKYIFQNNSTLTSPRRGLFLCDFLVQNISSSPCFPEATSFSHFHRHYTSAHQGDCWLEKPFPESKPSMKKSSLLSNRLLALQSHNISSKSIQVRHISNPSIELKTDKDGVRFNFGSPSVNGGSQRKAKKAGKQVKMSKKAKLNELKFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLRKYEVSKVPVETYDPEILTEEERFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCKPGQVHEYAAELARLSKGTVIDIKPNNIIIFYRGKNYVQPVVMSPPDTLSKAKALEKYRYEQSLEHTSQFIEKLEKELEDYLEHLVHYKKEKENMPPSTTIDT >CDO99082 pep chromosome:AUK_PRJEB4211_v1:3:3018309:3020547:1 gene:GSCOC_T00026104001 transcript:CDO99082 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLTALREYTIRNHLDKISRVGDNFHFGNEYTFPATIETAYRSKHVKSTHYTLETLHHFITNQHLKHSEYVQNASANRIPPVTLPDRKALQDYLTGKISSSDSIDFTMIERQRQDALQRKDNLVAKSRIERGGEELGLGLDGGQKAKLHLKGSKIGEGVPIILVPSAFSTLITIYNVKEFLEDGVFIPTDVKVNQMKGVKPDCVTVQKKFSRDRVVTAYEVRDKPSTLKSEDWDRLVAVFVLGKEWQFKDWPYKDHVEIFNKVLGFYLRFEDDSVESAKHVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFMRSRSR >CDP08104 pep chromosome:AUK_PRJEB4211_v1:3:31043863:31044369:1 gene:GSCOC_T00026827001 transcript:CDP08104 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLQISGISTRFGKTVQFNQKGSCKEKFLQHRTVISCQAARTVQTGKAANFYEVLSLDCSKFVGLDEIKKAYRCKALKFHPDACPPSEKEESTRRFLELRMAYETLSDPISRELYDHELSLVDVDGRTRRGMSCFMGNKVWERQIAELNKRSRQKMEKRKEMGMWN >CDP16574 pep chromosome:AUK_PRJEB4211_v1:3:26074392:26076932:-1 gene:GSCOC_T00018978001 transcript:CDP16574 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPLPFFLLFITAKSFSTLAAADVQLGSTLSASDPNSKWTSPSQTFTFTFISDPSGASSAHFAAIIYDNSPNIPIWIAGGSDLGAADSTATLLLLSNGNLELRNGSYNSLVWQSSTSRRGAASAALDDSGNFALRNATRSDIWSTFDNPTDTIVPSQNFTRNHVLRNGIYSFRLSNSTGNLTLMWNESIFYYSSGLNSSATVNWTSPSLTISPIGIITLSDLHLSSPLSLAYSSDYADATIVLRFVKLDNDGNLRIYSVGKGSGSRTVTWSAVSDQCRVFGYCGNFGICGYNETGPVCSCPSQNFEPVDQRDGRKGCKIKVNLQNCQGHRAMMQLDHTVLLTYPPESDTDNNQVFSACKSNCLQSYPCLGSTSLADGTGFCYQKTSNFISGYQSPALPSTSFFKICGQPEPSPPVLSADSVKRDGWRLKAWIVVVVVLVTILGLILVEGSTWWWCFRDSPKFGGMSAQYVLLEYASGAPVQFSYKELQRATKGFKEKLGAGGFGAVYKGVLANRTVAAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDNFLFTTEEHSGKILSWESRYNIALGTARGITYLHEECRDCIVHCDIKPENILLDENYNAKVSDFGLAKLINPKDHRYRTLTSVTETRGYLAPEWLANLPITSKSDVYSFGMVLLEIVSGKRNFEVSAETNNKKFSLWAYEEFDKGNTEAIFDKQLSKNEIDIEQVMRAIQVSFWCIQEQPSQRPVMGKVVQMLEGIIDIGKPPIPKGVTEGSVSGTSINASSISAFSTIAASAPAPSSPSSVQTRGILSSVSARNTERASSSLLQSEAKSAL >CDP15127 pep chromosome:AUK_PRJEB4211_v1:3:10541063:10544125:-1 gene:GSCOC_T00042707001 transcript:CDP15127 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAPPTAYHQLHKPFTAFLSPCKTPALHLLISCSSTESCNRDNKGHYHSPSRSRQSLQKIKKNHSLQPKWLNQKHRGDSFVEWVKEKKQSDPTDILQQDGDWSKEQFWDFIRFLRESSRTSEILQAFDLWKDVEKSRINEENYVKIISLLFEDGLTDAAILALKQVESHGIRPSLEMYNVVIHGFARAGRFEDAVFYLEEMMNAGLRPDTETYDGLIQSYGKYGMYDEMGRCLREMESSGCLPDYVTYNLLIREFAKAGLLNKMESTYSALLAKRMDLQNSTLVAMLEAYANFGILDKMEKVFRRVLNSKAYLKDDLIRSLARVYIEKLMFSRLEDLGLDLSSRTGSTDLVWCLRLLSHACTMSKKGMYFIIQEMESSEVPWNVTTANIMALAYLKMKDFTHLEILLSELPSRYVKPDIVTVGVLFDAIMSGFRGNPVKRLWTKTGFFDDTVEMNTDALVLIAFGKGKFLKDFEEMYPLFEAKTRNGTWTYRHLIDSVRTWFVGG >CDP10258 pep chromosome:AUK_PRJEB4211_v1:3:11614943:11616299:-1 gene:GSCOC_T00030926001 transcript:CDP10258 gene_biotype:protein_coding transcript_biotype:protein_coding MITSEVAAKSVDNSKTVETNEEGEAKYHGGGYGGGHGGGYGGGHGGGYGGGHGGYGGGGHGGYGHGGGGHGGYGHGGYGHGGHGGGGHGGHPGEAADAQPQN >CDP10257 pep chromosome:AUK_PRJEB4211_v1:3:11605013:11606578:-1 gene:GSCOC_T00030925001 transcript:CDP10257 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLFLFISLAIALMITSEVAARELAETSTSVDNSNAVETDGYGGYRGGGYGGYRGGGYGGYPGGGYGGYHGGGYGGYPGGGYGGRGGYGGYHGGGYGGRGGRGGGHPDEAVDAETEN >CDP14621 pep chromosome:AUK_PRJEB4211_v1:3:14112899:14117829:1 gene:GSCOC_T00041989001 transcript:CDP14621 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGARSFLQVAATEEVAPPLRVVQIEGLVVLKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPIREEDEEIEAEGANYQLEMMRCLREVNVDNNTVGWYQSTLFGSYQTVELIETFMNYQENIRRCVCIIYDPSRSNQGVLALKALKLSDSFMELYRSNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELQPDTPVNQCDYERLQLSTHPYLERNMEFLIECMDDLSMEQQKFQFYYRNLSRQQAQQQAWLQKRRAENMTRKAAGEEPLPEEDPSNPVFKPIPEPSRLDSFLITNQIANYCNQINGVAGQSFSRLYLMKALHEN >CDO99288 pep chromosome:AUK_PRJEB4211_v1:3:5070403:5071372:1 gene:GSCOC_T00026385001 transcript:CDO99288 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGILFAIFCFLLGSAAADCNIPKEKGKDGLQITLKNYCEAWRMNVELHNIRDFDVVPDECVSYMGKYMTSTQYKVDSVRTIHESIVYLSTSCNLKKDGTDAWIFDIDDTLLSTVPYYKKNGFGGKKLNLTSLEEWMSKGKAPALQHSLRLFNELKSRGVRIILVSSRMEHLRSATVDNLVNEGFFGWNSLLLRGADDACKDIQGFKSDARKQLISKGYRIWGILGDQWSSIEGLPSANRTFKLPNPLYYSA >CDP08133 pep chromosome:AUK_PRJEB4211_v1:3:31400190:31401146:1 gene:GSCOC_T00026870001 transcript:CDP08133 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPINIFINCPSGTMTLGFTNSNNIAIAG >CDO99061 pep chromosome:AUK_PRJEB4211_v1:3:2916392:2917837:1 gene:GSCOC_T00026080001 transcript:CDO99061 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMFRRVATTLRSLAYPRNLSLAYSKFSRPSTAFPAKEAESVHTPPDNNQKGDSFGNYTNRIQLSPLCSESSGHHLQDCKIELVDNESWQMSSGLIEAWKGKTKLGCEEKAFLSEEEEDKYNGVLSYVPPNKEDPDFDEIEDMRIRGNLFYKLDKDSKEYEEHKFDFHRKKSSKNKNDQKENTKKEKQNHNVAAGIEKNSIKFKDELKDMKKKEKLCSNSGLAKERYHGVDRNEDLIAGINEVGGDFDGKRLRTPTFNQLTAPYHEPFSLDIYVSKGSVRASIIHRATSKVVAVAHSISKDMKFDLGSTKNRTACAAVGEVLAQRALADDIHNVVYTPRKGEKLEGKLQIVLQSIIDHGVNVKVKIKQRKVRKGGLFQPKTYK >CDP15468 pep chromosome:AUK_PRJEB4211_v1:3:17452580:17456448:-1 gene:GSCOC_T00043214001 transcript:CDP15468 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVDLLLGPTIEVLVEKAINLASEQIGRFVGFKKDLEKLKNTLTLIQAVLCDAEKKQVAEEFVKQWLKNLEAVAFDAGNLLDDINYEMIRRKVEIQNQMKRKVCFFFSLSNPIAFRCKMACKIQKINMDLKRINEEARSFDLQSQTAPALPPPSEARFIKNRETVSADVGASFIGRDDDVSAIVRKLTATSNNETISVIPIMGMGGIGKTTVAQKVFNSLDIENHFDKRMWVCVSDFKKHFDANRLFGLMLESLEVPMPEVARKEAKVRKLKESLDGKEPNGALWARFLDSLRGISSAKGSWVIVTTRNKRVANITAFASDPWPLKVLSNDDCWLIISKNAFRDREAPGDLKELGLELAKKCQGLPLAASVLGGMLPNKERNEWQSILDTGLQNIGGDEDGDITKILKLSFDHLLSLSLKKCFAYCSIFPQDFEMERNQLIQLWAAEGFLHSNPRNRMSMEEVGNRYFTILLERNLFQDAEEDDYGNVLNCKMHDLVHDMVQFISDSKTLRLTESSSIDMETSSIRYLALERSEKEMPFPSTESFKRITTLFLQGNRSLNDREMSFFMLRVLNLRASSVEELPKSIGKLTHLRYLDSSETSIKTLPESLCQFYNLQTLRVKYCDSLTKFPKNFKNLVNLRHFDFFSSHKSSDIMPFEIGQLQFLQTLPFFNIGEERGRQIGELRNLKNLSGRFELHNLELVKSKEEAESANLIGKPNIDELRLLWNEIDDSRNNDSEYNRVLEGLHPYQNLKGLVIERFFGDQLSKWIGELGKLVKFELQNCKNCKELPTLGNMRLLRSLHLKGLDSLTSIGPSFYGRSGVHSGSTSQRPLNLFPALEDLSLDDMPNLREWMGATVDDGTVVVFPVLHTMRITNCPQLATFPNYFPCLEKLEIHNTQNGSELMVYICSGVSTLTNLSIQSVNGLTKLPNVLFQNNPNLAHLELRNCDDLAQFLDFSFDDPQTLECPNCQSILEHTCIDSNASQHLVGLESLETLITLVVFDCPDLISFPIDLTRTPSLSSLDISYCEKLTFLPKGKLCSLKRLDLLTIGPFSETTTELHSFLDLFDALPRPHPYFPSLSELRLRGWPHWESLPEQLQHLSALTNLQLDGFGLKSLPDWFGKLSSLETLSLCGCEKLENFPSHQSMRSLTRLTNLWIQRCPLLKERCNPESSSSNCTDRNSEWSKISHIRSIHIDFEQIRG >CDO98865 pep chromosome:AUK_PRJEB4211_v1:3:1373336:1374131:-1 gene:GSCOC_T00025812001 transcript:CDO98865 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNHIISRAFFVMEKDTDLLLFCLFSRLILKILLNNSNHIEFAFISVEFSAHKIPNALAEVEGRRIVKLTY >CDO99297 pep chromosome:AUK_PRJEB4211_v1:3:5138779:5139805:1 gene:GSCOC_T00026395001 transcript:CDO99297 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQWDLLEALYSELGNVVKCRTADKSWIPVLALQWMNHSNTDISRSPHPRAENILVYGYKKRGPLRANPVSSSELHITAVDFIHVLFMYIA >CDP10040 pep chromosome:AUK_PRJEB4211_v1:3:23895555:23897866:-1 gene:GSCOC_T00030608001 transcript:CDP10040 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLASGSMMPGNGSFGGLDLQGSMRIHHQQPNPFSLHQHNQSHSRQGSMAHQTIHENFPLTIGSMQEHDHTISLADFNKGDKAKCVSDEDEPSFTEDAADGHNESSKGKKTFPWQRVKWTDTMVRLLVTAVSYIGEEAAADYGGARRKFANLQKKGKWKSVSKVMAERGHFVSPQQCEDKFNDLNKRYKRLNEILGRGTSCEVVENPALLDMMDHVSEKAKEEVRKILSSKHLHYEEMCSYHNGNRLHLPHDPELQRSLRLALRSREDPDENNIRKHPADDNEEDDQDAELDDREDYEESHALHAERMPYGISGSSSKRIKHGHGNEDGCYGHSFSALDCSRNLIFPSQSAPTDVNQVMPQGMKANLLQKQWMSHRSLQLEEQKLQIQAQMLELEKERFKWQKFSRKKDRELEIMKIENERMRLENERMALELKRKEMGIESN >CDP10046 pep chromosome:AUK_PRJEB4211_v1:3:23443164:23448726:1 gene:GSCOC_T00030617001 transcript:CDP10046 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKLASLVSASRICNGWTKINKPISQTAPKQLLSTQPCRTSLSNGALGSYYSCSCSHSNQNRGYSSSSSLLALNDLRDNRDSRKQKTRKGRGIGSGKGKTAGRGHKGQKARGTMKFGFEGGQTPLRRRLPKRGFKNPFSLTFQPVGLGKIAKLINAGKIDSSELITMKTLKDAGAVGKQIGDGIRLMARGAEHIEWPIHLEVSRVTVRAKAAVEAAGGSVRRVYYNKLGLRALLKPEWFEKKGRLLPKAARPPPKQRDKVDSIGRLPAPSKPIPFTNEEKEAMSASLA >CDO98749 pep chromosome:AUK_PRJEB4211_v1:3:501618:506076:-1 gene:GSCOC_T00025654001 transcript:CDO98749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G49510) UniProtKB/Swiss-Prot;Acc:P57741] MASTSASAIEGTVTERRGIPAAAFVEDVQTYLSQSGLDANSALAFFQERLQQYRVVEMKLLAQQRDLQAKIPDIEKCLDVVAALQAKKGTGEALLADFEVSEGIYSRARIEDADSVCLWLGANVMLEYSCEEATALLQKNLENAKASLEVLVADLQFLRDQVTITQVTIARVYNWDVHQRRTRQAIATTES >CDO99128 pep chromosome:AUK_PRJEB4211_v1:3:3479142:3482535:1 gene:GSCOC_T00026168001 transcript:CDO99128 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtGG2 [Source:Projected from Arabidopsis thaliana (AT3G22942) UniProtKB/TrEMBL;Acc:A0A178V974] MQSVSSEQGRSAADTRGKHRISAELKRLEQEARFLEEELEQLEKMEKASASCKEMLSKVETRPDPLLPTTNGPLTPSWDRWFEGPQEKSGCRCWIL >CDO99335 pep chromosome:AUK_PRJEB4211_v1:3:5457577:5460684:1 gene:GSCOC_T00026450001 transcript:CDO99335 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQQIPSSSVQVSDHPLILPDLPFQVITEILARLPVKSLMRFKCVSKSWLSLTLSPHFIKRHLSFIEIVCPQLECRDQSVLLVGCCNGLICICTTREGFVLWNPSTRKSKTLPDFSFEKTSEHKFYASCGFGYDETNDDYKVVAVTCYCAEDWEPFASEVKVYSTKTDTWRRIGDFPDGYPMSGSGNRYCGTFAEGKVHWVLNRAFCPYVVFLDLATETYGSLDLPGDAIKSNRDSFVTDIQTVGGSLYFFCRNYEHGLVDLWVLKEYGVIESWTKVVSALSYDKRYFLSVLYQLENGKLLVVLESDVRVLNPKNNKSRRLLRSVYTRSASIYVESLVSPGSVDATTMMSGLQLRTLKASTSRTQD >CDP15475 pep chromosome:AUK_PRJEB4211_v1:3:17746325:17746474:-1 gene:GSCOC_T00043227001 transcript:CDP15475 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVAKKIADVTFKAGKTIHWEGMVKLVISDEGCKEFANLRHTFDEVNS >CDO99095 pep chromosome:AUK_PRJEB4211_v1:3:3129061:3138012:1 gene:GSCOC_T00026123001 transcript:CDO99095 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSSESRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHLTGELRHAHRTMAEAFPNQLPFVLDEESPSRSLEHEREPHTPDILHPLRALTGKMSEPNSGVSSADTSKRGLKQLYDGAEETAKGRLSNTLNHEAESQSFHAEVLQLSSENENLKAKAHSESERALKAESEVESLQWALADMRTEKDSVFVQYQLSQERLTNLEAALLHAQKDSQRFSDQAIQAETEVRTLKEALCRVEIDKEAALMKHKKSIEMISNLEGMVSHAQEDLERLNKRATKAENEAQHLNCEISRLESEKEAGFRKYNDCLEKISHLENKISLAEEDARLLKDQAEQADIEVKRLKKALAELNEEKESSALKYQQYLKRISELENELSSAQEDIKRLNTEMLTGTMKLKHSEEKCNLLELSNHSLRLEAENLIKKIARKDQELSEKKAELEKLQVCVQDEHLRYAQIEAMLQSLQTIQFQSREEHKALAQELKTSLQMLKDLEVRNHDLEHELEQVKDENCSLSEQKLSSDISIENLQNEILCLRKMKEKLEENVAQQIGQSNNLQKEISSLKEEIKGLNNRYEALVNQLQAVGLDPSCIGSSVRNLQDENSSLRQICEMECNEKGALSKKLENMEELTKKKDFFECSLSELNGELETSREKVREVQETCQFLRGEKSILISEKAVLLSQLQGLTENMQKILEKNAVLENSLSGAKIELEGLREKSKGLEEICQLLKDEKSHLLNERGTLVLQLANVERRLEYLEKRFSGLEEKCAYLEKEKESMHSEVEELRISLGVEKHERTSSTLQSETRLVSLEHHIHLLQEESRWRKKDFEDEIDKAVKAQFEIFVLQKFVQDMEQKNYSLLIECQKHVEASKLAEKLISELESENLEQQVEAEFLLDEIEKLRLGIYRVFKALGASSDTLFEDKVENEQVFVHHILGNIEDMKQSLLQSNNSELSLLVENSVLLTLLRQLNAEGTEIESKKEFLEQELAATKDKLLITQNEKHGLLEMNRLFKSEVSEQNKQVMLLEEELENLGVKQSEMVNAYMNLQERFSVVLEENRYLSRKFSELKMEKCVLEQESDVLLQESLAFSNFSIVLESYGIEKSLELKLLSEDAENLSGVMDGLNKEVRLLRGKLELEETNNMLLRDSVQRLEMELHTVRQSNDELKQEIVSVKEVLSQKEADILEAEQKLQAAESLNLELCKTVDTLKTESQESSYIKENLEKNLLKLSEDNSMQGKEIEGLREVNENLTSELCKLHEKCEEQRLREEKLSSELKVKNDEYELWEAEAAAFYFDLQISSIRGALYENKVQELAEVCESLEDHSTSKTLEIEEMKENIRSMENAIGELTAQLSAYDPVIASLRDDVASLEYNVLHQTKLAKADHLEPKCTRLGVLPDESFHDKPMDHQSPMPVGIQDLQKLQCRIKAVEKVMVEEMENLILQESLNTQAKQERVMNETNDLKPRLSFGQEKVKKKEKKKVPGRNLKLQEDKGEGIEIKKGALMKDIPLDHVSSTSLHGFRRKGNVCTERTDDKVLELWETAEWHIPDRTGSVSQNLAFAASEGDIVYDQFESTRQMAGCPSTGSEVEKELGVDKLELLTNITISNEDVHNRMILERLASDAQKLTSLHLTVQNLRRKLDTNKKSQKIKDVDLETVKEQLQEVQETVIQLVDLNGQLMRNIEENPSCSGGKSSAELKEDEDARRKVVSEQARKGSEKIGRLQLEVQKLQYVLLKLEDEKKIRGKSRFSKSKTTIILRDFIYSGRKNSGQRKKSPLCGCFKPSTPCTPRCNSIRRISSFRKLI >CDO99384 pep chromosome:AUK_PRJEB4211_v1:3:6156326:6161376:1 gene:GSCOC_T00026538001 transcript:CDO99384 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNLCNHIWEFSFTEISAPEYWRDLDPYWKGTGKSMRRYFHQDGSQTADPGDEVWGGHQACYSIVTGLQADRNMREHYVRVNHWPRMYIARKQDWSWEMSNSLCRYSSMPDPDKEDGTGPYYAVI >CDP03477 pep chromosome:AUK_PRJEB4211_v1:3:19974263:19975101:1 gene:GSCOC_T00015266001 transcript:CDP03477 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTASNFLGTQIFLSPPTPKTTKSLPRKFLDIPSKATLAALLFSSINPRALAVDNTAPPTLPPVIQAEAPQPSPSNPSPFSQNLILNAPQPQAQPSTDLPEGSQWRYSEFLNAVKKGKVERVRFGKDGSALQLTAVDGRRATVIVPNDPDLIDILAMNGVDISVSEGDSGNGLFNFIGNLLFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVDDFHSHFPNHRLLHFHHRLLPHPKTIDGII >CDP03449 pep chromosome:AUK_PRJEB4211_v1:3:21486213:21503351:-1 gene:GSCOC_T00015214001 transcript:CDP03449 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDRDIRQWKCGKAGVVNLQKVSSIVRDIGEPCLHQSSIKVVITINKMLKPDKWQATFDGDGKVSGFQKVLKLIILGGVDPSIRPEVWEFLLGCYALSSTAEYRRQLRTARRERYTELIKQCQAMHSSIGTGSLAYVVGSKVMDMRTSSKDEGKREAEVQSRQASDVDTNKPDSYTNGNTNCTDTSHAYKRESSSDSGDLLSVRGSLIGAAYDSSCFLPASPISVHYKCSSPNPVKETFGSNFQAENYFDFPPLPVTDLFEKRKKDKKGCRSFDGGLSTRRRLRFGDEHMHSFRISNNADLVVESNHSAPDDILRCSSSESDVCWDGHNPVSWSENLVYETEMLNKLKISDAPETSPINAATSQAGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDTKNLARMSDILAVYAWVDPRTGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQGLWHILELTDKEMFSHLSLIGAESLHFAFRMLLVLFRRELSFNEALSMWEMMWAADFDESLSCHLDDNCPELLVIQIPRDSRAEMEQESIDNSPSCLKGGASSKKGHLEHSVSDNTGIKSASAHPLCGLAKTFWSRNDRLHVGTALSTMGNGDDELPVFCVAAILIMNRQKIIRETHSLDDLIKAEVVGSCLFLGFQFYGWPTSLKCSWFPKSSFLMLAPLIFFFTKKKFSATCLIFPTMFKPFTSLLSIFNDNLLKIRVKRCIRTAIKLRKKYFYKLIKSRSPAAQSVD >CDO98762 pep chromosome:AUK_PRJEB4211_v1:3:618015:618179:-1 gene:GSCOC_T00025678001 transcript:CDO98762 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSDIKLIRTDTTLDLSQKAEKGMLVTRLARCFYTSPSSRDALCCSDVGSLR >CDO98948 pep chromosome:AUK_PRJEB4211_v1:3:2042647:2045421:-1 gene:GSCOC_T00025931001 transcript:CDO98948 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVIASESACDVSEGNSQGDLFQIGQKYLFSLSSISLSAEEAFCFSITDIHLHTLELEGLTLEEAKGDEITNRKAPKGELESETPVAEVSTSDSQGEIEGKSDSQWRGFLRKLKKGPVGFNPFHPSMPSFPSLPSIKKISRKKSRNITQSLPSLPPNLDSQFCHCFEASWKNFTLPELQTATDNFSHDNLIGEGGYSEVYKGHLQDGKLVAIKRLTRGSPEEMTADYLSELGILVHVNHPNIASVIGYGVEGGMHLILPLSPHGSLASLLNGEKEKLTWGSRYNIAVGIASGLAYLHEGCQRRIIHRDIKAANVLLTEDFEPQISDFGLAKWLPDQWSHLNVSQFEGTFGYLPPEFFLHGTVDEKTDVYAFGVLMLELLSGRPALDKSNNSVVMWAKPFLISKNVEGLLDPSLGGVYDAEQLNRIVMVASLCIQQSSTERPQMSQARGEDVKRRRRLLAKQEKVPKKASPQEDISFGAVHHRRINAMCE >CDO99180 pep chromosome:AUK_PRJEB4211_v1:3:3994126:4006352:-1 gene:GSCOC_T00026233001 transcript:CDO99180 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAVDDSGVGRSLEGVSGGQQRCHSGEALAEWRSCEQVENGIPSTSPPYWDTDDDEDGGPKPSELYGKYTWKIDKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFIDADTLIIKAQVQVIRERANRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRGKLGKLIEDKARWSSLRSFWNGMEQSSRRRMTRERTDSILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQTKGKKTKGKYMDSEELPVPIVRMEKDLFVLVDDVLSLVERAALEPLPPKDEKGPQNRTKDGCSGEDFNKDSIERDERRLTELGRRTIEIFVLAHIFSKIEVAYQEAVALKRQEELIREEEAAWLAESEHKSKRGGDKEKKSKKKQGKQKRNNRKVKDKMRDEKSSMLVQDKAEEDILTDERKGYTTEEPEMVLEKPDGIEDVSDVSDSADCAPETLQPDSEDRDTSPVNWDTDTSEVHPPTEAPCLLAVQNGMGERRGTSVMDDSSSTCSTDSAPSVIANGSYKGNPSSSNYQKSPSRRNERSKATLEAADRSQETSSHRSDGVSDVALLNDASRSCKAVESGSQAAVYSQDQMKWSKQHELKKDEEVSSHRKPGAKDETDAQGSSPEKKTSVRSPPRSPPKHMSSVVDLRSESKINTSVELTVQKKPSDSLKLADESVRVMHPAEVAVTSQPGVHKTVPPNASEKKLSSQHVPVGSEKPLTPQMPVMSRPLSAPLIPGPRPAAPVVSMVQTPPSLSRSVSAVGRLGPESSTTSHNYVPQSYRNVMMGGQVPGSAVGFTQPHSPTSGINHSHSYSQSATLLSKPLFLPHSSERMEPNINKSSFSFGMVNHDIMQNGQQWMEGPPRDVNAGVSSDHLMLNDIRNFELYKPLHSRSQDHLPSEVPPCTSGRQTHGVLADEFPHLDIINDLLDDEQAIGKTAAASSSFHPFSNGPHHLNRQFSFPGDIGMSNDMGPSTSSCRFERTRSYHDDTFHRGYGSSAGPYDTLRDMVPTSNLRPYVNGHIDGLIPNQWQMAGSDRCYMNMRNMEGDGYPYQMPDYSNLASGVNNYTVFRPSNGL >CDP10056 pep chromosome:AUK_PRJEB4211_v1:3:23010147:23020232:1 gene:GSCOC_T00030639001 transcript:CDP10056 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIQDRTSGDLLENASGSSVKSTTVRFLEFSVFSQEGINLVVDISSNSLDLPKRYENEVCLCQAFPENKFQSFRQELEYLQKNCRQIKSSLTWSTTSKTTSGSDHVKIDSSPNSLKRENEHLVINCPDGENGSLEMSQMKDCKIVREVSDAVEKQENPTLCQLDLVVVSDTTSSTSCEVIEPSCAPQAKSTCSFVESLAADGSENATDCQSTRLWNKTYKNADIQSRWSEANHENLFDASSAINTPDVQSSEDAGLQKDAACSPFIYEPLLNPLYNVESTKMEGEVLENCLEIDQNGHFKSSSVVGEAWSCSINDIEASSQSGNKAEMSISDGGYKRKRQCYQSDKVFGICGGKILRRSMRHSSKGLPRRSKRLFPKRLSD >CDO99292 pep chromosome:AUK_PRJEB4211_v1:3:5097786:5098753:-1 gene:GSCOC_T00026389001 transcript:CDO99292 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGILLAIFCFLLGTAAADCNILKEKGKDGLQITLKNYCEAWRMNVELHNIRDFDVVPDECVSYMGKYMTSTQYKVDSERTIHESIVYLSTSCNLKKDGTDAWIFDIDDTLLSTVPYYKKNGFGGKKLNLTSLEEWMSKGKAPALQHSLKLFNELKSRGVRIILVSSRMEHLRSATVDNLVNEGFFGWSSLLLRGADDAHACKDIQGFKSDARKQLISKGYRIWGILGDQWSSIEGLPSANRTFKLPNPLYYSA >CDP10335 pep chromosome:AUK_PRJEB4211_v1:3:12992949:13004779:1 gene:GSCOC_T00031035001 transcript:CDP10335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH128 [Source:Projected from Arabidopsis thaliana (AT1G05805) UniProtKB/Swiss-Prot;Acc:Q8H102] MYPSSTSSSSQGSLGPNGGSNTGGLIRYGSAPSSLLATTVDSVTNPSSRELSALGSSHNLHIGPTSSSSSRFFPSSETSSLTSESTCKTSNSNPREKGPNISSGLHRAFGFKQDNHQGSIGGGAGGAGMVSSSSSSTATTASPLVRHSSSPPGFLNHLTHACASNEDNGFPIARTHDISRLNSQLSFTHQETLSQISEETENVDNGLNAENEKRKSAHTYSNVSYGVGPWEDANTIMFSMGPTSKRAKNMSGDVVNLNSMETQFQFSMPQTMLDMSSMDKLLNIPQDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYADMLDLAVQHIKGLQNQVQRLHKELENCTCGCRKPQDSCRGGKS >CDO99257 pep chromosome:AUK_PRJEB4211_v1:3:4777149:4780346:-1 gene:GSCOC_T00026344001 transcript:CDO99257 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQSSQHNDGDEEEEMNVYLKVIKTVAVTVKKSATVRSVKEKLNDKEGISECLQQVFYNGERLRDDQKLLSSNIQQNSTLQLFVQNFMPIRLFIKVPSGQKIIEVEARTCDTIQSIKSLIAAKEGINSQDFNLIYAGKLLDDEKTLGFLDIQKESTIYMVITPRDLFPVSLKMPTGEVVKLEVKGLYTVHDVKIIAESLVGFPLNDLTYHGEELENPKTLSSLGITAESVLEMSPQRIQVFIKNCCGKTMTIDVCLEDLVKKVKAKIFHKLRLPADVQSLVFEGKSLNNSRTLASYNIQKHSTIQLALCPPSVQPDYAPSLQRQFKLSDIGISSRDLPSSMTISQLKNMIQIKTSLPVKSLSIAGDMLLDKLSIANYGINKRTVVVVGWDAL >CDO98901 pep chromosome:AUK_PRJEB4211_v1:3:1695029:1700464:1 gene:GSCOC_T00025858001 transcript:CDO98901 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFPCFGSSDKGGNGVKEVVKKESFKDGSAAVSHHVDRVSSDKSKSRGGNDPKKEPSIAKDGPPAHIAAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGRLESGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHELPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRGPGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNATSTQSNRVGPSTPRSREDRRSMADGVDSPDEPGRGFHGSPSAHKNSPDFRRRDSARELLNNGAELRKIETGGGSGRKWGLDESERPESQRDSPLSAGRARETPRNRDLDRERAVAEAKVWGENWRERKKANNAAGSFDGTND >CDO99373 pep chromosome:AUK_PRJEB4211_v1:3:6018571:6019347:1 gene:GSCOC_T00026520001 transcript:CDO99373 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQFNAGETHGRTQAKTEQWVDSCKDAANAARDRSAQAADQSAGFLQQTGEQVKSMAQGAVDGVKNTLGVGDNNTKK >CDP14643 pep chromosome:AUK_PRJEB4211_v1:3:14679761:14679931:-1 gene:GSCOC_T00042026001 transcript:CDP14643 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMEILMGKTITLEVESSDTIDDVKAKIQDKERHSPETNSASSSSASSWRTAVP >CDO99189 pep chromosome:AUK_PRJEB4211_v1:3:4146966:4148055:-1 gene:GSCOC_T00026248001 transcript:CDO99189 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLEILLLPQLANRWWGGQVGLPGVEPAPCSPVSSNKRGRPDLAILNENSGSKGSEDEERDNTSGEPREGAVEVGNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGSDVAESIAQFARKRQRGVCVLSGSGSVANVTLRQPAAPGAVVALHGRFEILSLTGAFLPGPAPPGATGLTVYLAGGQGQVVGGSVVGSLVAAGPVLVIAATFANATYERLPLEDDEDTTPACGGGGGGQPHLGHAMPDPPSLPSYNLTPNLLPNGGQLNHDAYGWAQSRAQYN >CDP08057 pep chromosome:AUK_PRJEB4211_v1:3:30182270:30186980:-1 gene:GSCOC_T00026754001 transcript:CDP08057 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGANSAAPEEINDGMVDGSFHSPEWHAARLASLNKSHTVTWEEFKRKQKEEEMKKGELEADKDRMMREYRAQLDAERALKLSQGKNHSSRKSGRRKEKKDKDSKKRSSEKRKHSRRYSDSSSSSSPSESSSSDDESRGSKSRSKRRKKEKKHRSRSKQSSSDSGEADGPLPLSRFFGKS >CDP16837 pep chromosome:AUK_PRJEB4211_v1:3:16594673:16597262:1 gene:GSCOC_T00019377001 transcript:CDP16837 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAGILPETYTFNLLIGGLCDSGRLEDAQKLFDKMREKGCEPNEFSFGILVRGYCRVGLASKGLELLDLMKEMDVFPNLVIYNTLIACFCKEGKTEEAEKLVEQMREDGLVPDVVTFNSRISALCKSGNMLEASRIFRDMQMNEELGLPKLNTITFNLMLEGFCNEGMLDEAKTLVQSMKQNDVFPNVESYNIWLYGLVRNKKVFDAQLVLKDMVDQGVEPSTYSYNIVMNGLCKNGMLGDARTLMGLMTSGGISPDTVTYSTLLHGYCCKGKVVEANRVLHEMMKNGYTPNNYTCNILLHSLWKEGKISEAEKLLQKMNEGGCDLDIVSCNIVIDGLCRTGRVDKAVEIVSEMWTHGSAALGNIGNSFIGLVDEGNNGKKCMPDLITYSTIISGLCNDGRLDEAKKKFVEMMKKNLYPDSVVYNTFLYNLCKKGKVSSAFQVLKDMEKKGCNKNLNTYNSLILGLRSKSQIFEMSGLMDEMRERGISPNVFTYNTVISCLCEAGRTEEAISLLEEMLQKGTTTPNTDSFEFLIKAFCRAGEFRPALDVFDIALDICGHKEALYAIIFNEMLTGGETLEAKVIFEAAMNRYLCLGNFLYTDLIDRLCKDEKFEEAHEVLNKMISKGYAFDPASFMPVIDALTKRGRKHEADELSERMLNMAAQGRVVNKVYFSQVDRASSRGKLGKLDRSLGSHWQTILHRSTCFMSSWIPSHIVYNIFVAYDTLVKKSGLSNIYSFIVLLSVFTSVLVNFYREDGSSTALKTLKRVQKGWGQGSVSSLQVKRSDYLDEWDAAG >CDO99424 pep chromosome:AUK_PRJEB4211_v1:3:6840245:6843837:-1 gene:GSCOC_T00026595001 transcript:CDO99424 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLVIVGLLVLLWAVSLGKIHLGSSLNSKTTFLNDNASAGLPSRKNVLLVIAHPDDETMFFSPTINYLTSRGHNVYILCLSTGNADGIGGIRKEELYLASVVLKVPSQRVKVVDHPDLQDGFHKVWNCNLLEMIIKEEVDSKAIDVIITFDNYGVSGHCNHCDVHRGVRSLLQNSSQQVEAWELVSNNILRKYCGPVDIWLSILLARKCLNRELQSLLNENPFQSYAAMAQHRSQWIWYAFRKLFVSFSSYTYVNTLKMMNR >CDO99382 pep chromosome:AUK_PRJEB4211_v1:3:6134382:6148963:-1 gene:GSCOC_T00026535001 transcript:CDO99382 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOS1 [Source:Projected from Arabidopsis thaliana (AT3G23980) UniProtKB/TrEMBL;Acc:A0A178VL19] MASAQVMRKQDHLEAGKRKLEEFRKKKAAERAKKTTSVTQINTSDGGSHENQLSDSERVRLVDSDVAGTSDAVGAAVSEPSGVVINKDSKETEASQKSGFSFSHDESANLPPLNEFAVNTIGPLHSRSSDKEFRGDDTSQLNADYDPQNKKGIDGALESTSSGVAIDQTFALQLPSENIDSTSRPFGYDGLYDTLPSNSDSYLKDLSVTNSKRSHSFTANGFPEDSGNVFLPENSGYGNHGFSNHMSSSFGVEKMGAYDYNNSMVSDLGESKFNCSSAQLSGANNLSPWTPDSYNSSFSSSNYRQQTLSSETNVRRSRPSFLDSINISRGPSASPSVSGPKVELLGSKIHPEDTLGSYPAHNSTQSSVALGNGADMFKQVMDKGLDNRQELYPRKQDEDFAALEQHIEDLTQEKFSLQRALDASRALAESLAAENSALTDNYNQQGSAVNQLKSDMEKLQEEIRANLVELEAVRIEYANAQLECNAADERARLLASEVIGLEEKALRLRSNELKLERQLEESQAEISSFKKKMSSLEKDRQDLQSTIAALQEEKKLLQSKLRKASGSGKAVEVSKSPTSKKDVSTSTEDLRENHDVDTSTSTSNMEGNHGNDSSSLPLLHDNRDFNLQDLSLAIPPDQTRMIENINTLISELTLEKEELAQALFVESSQSSELKDLNKELTRKLEVQTQRLELLTAQSMVNDVTPARQPDTRTVNDNTPYADEGDEVVERVLGWIMKLFPGGPSRRRSSKLL >CDP19165 pep chromosome:AUK_PRJEB4211_v1:3:31782312:31783845:-1 gene:GSCOC_T00001676001 transcript:CDP19165 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIRVSSILFLSCATLLSITRAASFNVLSFGAKPDGRTDSTQPFLKGWASACRSIQPATVYVPRGRYLIKAAVFKGPCRNRITVKIDGTLVAPDNYWALGNSGYWLLFIQVSRLSVIGGTLDAKGAGFWACRQSGKNCPVGARSITFNWVNDGLISGLTSINSQLMHVVVNSCKDVKVQNVRIVAPDVSPNTDGIHVQGSTGVTVTGSSIKTGDDCISIGPGTRNLWMEKIQCGPGHGVSIGSLGRDFNEDGVQNVTLTNSVFTGADNGLRIKAWARPTTAFVSNINFRNIIIKNVDNPIIIDQNYCPNNQGCPRQTSGVKINQVTYQNIQGTSTTQVAVIFDCSPSNPCRGIRLHDIKLTYLTRKAQSFCKNIGGTTKGVIIPESCL >CDO99170 pep chromosome:AUK_PRJEB4211_v1:3:3922953:3926354:1 gene:GSCOC_T00026220001 transcript:CDO99170 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLLETMSGNSDESTISTVMDIEPARFANFDPSSITTLVRTRNRRLLQDLGGVDGIIKALETDTENGIRGDSRDIDARRNIFSSNSNQLQERNLVKSFCVCAVKAIKDPLIIIVVVFTVLSLGFGIKKDVVIVSAGTNFWPITQCHDSSGTSSYTPQVDVVRAGDWTKIPISSVVVGDIVFLKPGDQVPGDALFIDGSSLHLENLINVNGRSECVEVGHENKNPFLFSGSMVVDGYARIVVTADGKNKRNHQQVIWMEGKHADELLNLTTVVGKLGQAVAFATFFLFLCRFFAGNVYHDDKKGNKALLAPALIALTSGLEGLVLAVKITLAYSLRKLMHAKVLVKKPSLCHSVASVDTICLNKTGTLTAYFAEVKKFWLGLSSIEEAPHNLIAPNVLELLHQAIGLNTIQPRSANSTFAPPICSTEAAISDWAVRHFGMDKENLRQSCTILVIEPFNSANKRSGVLISKNNDNTIHVHRKGAADVILPMCSHYYETTGIVNVINKTTRALLEQILEGMTKNGFRCIAFAHRKTSIEEYFNFSKQQLTLLGLVGLKNPLRNGVKRVVKDCQRAGINLKLLTGDNILTATVVASRSGILEPNNQPGEIIEGEEFRNYTSEERIEKLDTIRVVAGATPFDKFLMVQSLKKKGNVVAYLGRGLGDVQALREADVGLCFGTQGGTEILKACSNIVIQKKDLSIVFDILRWGRGFYVSIQIYTQFLITATLVDLVVDFVMSIFPSKIPYPVFQLLWVKLILGFFAAISLIIKQPSEELMSKPPRDRDEPLMNDVMQRSMSAQAIYQIAVLLAIHFKGQSILKVNVNEKNTLIFTTYVLCQVSTVVNARLFEEKKIFQEMHNKKCFWGIIGLIVLLQVMLVEVLKNLAGTAGLDCRQWGICILIATASTPLSWLLKYATAMRIPFLTNVRGTNPKAKID >CDO99053 pep chromosome:AUK_PRJEB4211_v1:3:2852545:2858562:-1 gene:GSCOC_T00026071001 transcript:CDO99053 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSGDISGDRPTVMVTNDDGIDAPGLRALVRVLVSTNRFHVLVCAPDSEKSAVSHSVTWRNPVSAKQVEVSGATAFAVSGTPADCTSLGISQALFPSIPDLVISGINMGSNCGYHVVYSGTVAGAREAFFNGVPSVSLSYDWVGGKSNVNDFTLAAEACLPIISAIAVEIKNHNYPQNCFLNIDVPTDVVNNKGYRLTRQGKSIVKMGWRQVTSDAEGGKMLSTMTMDVSGAEAGGADFSSRQQEQLLFRREVRGGQIENDADTDYCTLQGGYITVTPLGALSPPEIDGYSYFEEWLPIVSERYSSAL >CDO99446 pep chromosome:AUK_PRJEB4211_v1:3:7032137:7033145:-1 gene:GSCOC_T00026622001 transcript:CDO99446 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVFPTLDLRLLFMCTSTRNRCTYVQFFIVFACTYMILCGITSLAWVWPKMTFIGHGWLLKLQYVRSSLHAGPDLIASPFYNYHLKL >CDP03483 pep chromosome:AUK_PRJEB4211_v1:3:19520548:19522087:1 gene:GSCOC_T00015280001 transcript:CDP03483 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKNQLSSSPTTNETKGEQKSLVFDAPFLQNQSDIPHEFIWPDEEKPCPEPPPMLHVPCIDLNGFLSGDPVAVSTTTKLVKQACLEHGFFLVVNHGVDLQLLKAAHKCLDFFFDRPLQEKQRVQRMFGDHCGYASSFTNRFSSKLPWKETLSFRYCTDGQQALNIVENYFLSAMGEDFGESGKVFQKYSEAMSNLSLDIMELLGTSLGVKAKHFREFFAGNDSIMRLNYYPPCQKPDLTLGTGPHTDPTSLTILHQDHVGGLEVYVNGKWHSVPPDPEAFVVNIGDTFMALSNGIYKSCLHRAIVNSQTPRKSIAFFLCPKMDKVVSPPEELVFSDNPRLFPDFTWYELLEFTQKHYRADMKTLDAFAKWLIHQRDAQKKAT >CDO99074 pep chromosome:AUK_PRJEB4211_v1:3:2976816:2978490:-1 gene:GSCOC_T00026094001 transcript:CDO99074 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVPLTPNSSTKSSTTATSSGTSMESESRDSYYFPGCRKDANCNCEMCIESMNATLDLMPQSNYRGSMTKRSISKPTLRRSPISFNTSTLSTPKSRTETVKMSPPLNSTARICFHDKLKRKEKHFRFGVSVIRLLWGLSLILAAEFGFSRVVSGILRPELSREKVRILGEKSLVSKDLNERLSFLKKELWGLVGKQISHYSYVGSPWKINQDGLILNSRNVLYKSLTEEVSVWGWPLQTAGLLTAEFSSRSFTILSGRVTEWTNGEVGYLIRNSNTSWVQGKWSASAVQLDPNTWILEYQQRPVIENSRLISAALHFLKFRLIRELKNVQQEFWLIFAFGKQYSGSTGQNLKIPT >CDP10280 pep chromosome:AUK_PRJEB4211_v1:3:12046414:12051440:-1 gene:GSCOC_T00030957001 transcript:CDP10280 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVRRIKLGSQGLEVSAQGFGCMGMSFSYGPPKPEPDMIKLIHHAINSGITHLDTSDVYGPHTNEILIGKALKGVEREKVQIATKFASRILPTGEHVACGHPDYVREACAASLKRLDVDYIDLYFVHRIDRTIPIEITVGALKQLVEEGKVRYIGLSEASPETIRRAHAVHPITAVQLEWSLWTRDAEEVVIPTCRELGIGIVSFSPLGRGFFASGAKLTENLTSNDFRKRIPRFQEENVEHNNKLFEQVAQLATRKGCTPSQLALAWVHHQGDDVCPIPGTTKIENLESNIKALSVKLTLEDRAELESIASANAAKGDRYPPAIMANTWRFANTPPLSSWKATWKGVLALGS >CDP10877 pep chromosome:AUK_PRJEB4211_v1:3:28225620:28226318:1 gene:GSCOC_T00031814001 transcript:CDP10877 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRKTFFLSSSAVILFLLAAVIQGSHAVEYAVTNTAASTPGGARFGRDIGVQYSKQTLDSAANFIWRIFQENAPADRKNVQKVDMFVDDMDGVAYTSNDQIHVSARYIQGYSGDVRREITGALYHEMTHVWQWKGNGQAPEGLIEGIADYVRLQAGYAPSHWVKPGQGDQWDQGYDVTARFLDYCNSLKNGFVAQLNKKMGNGYSNNYFVELLGKSVDQLWNDYKAKFNTN >CDP03479 pep chromosome:AUK_PRJEB4211_v1:3:19794252:19794773:1 gene:GSCOC_T00015269001 transcript:CDP03479 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSASKRVNQTLTNSSDFNSACDSVYESSLALAQHAFPGIRPYQLFSSIERLHQSLSIPLITKWVPSPPTREQVDRAFKVVINRRSRPGLSQEEENEDDVIGKEEFKEVALEVFTDGVVSNARKEVLKRVPVGVAGIAGVGMLVRPGKEVVGTVMGVYALGVATAVYLSLAG >CDP08082 pep chromosome:AUK_PRJEB4211_v1:3:30721365:30731338:-1 gene:GSCOC_T00026793001 transcript:CDP08082 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGNASLGECSTSTSSSSQQDIEDDKMIAVVLSEEYAKLDGAVGQRVSHLAPIPHVPKINSYIPDSSDASLDYQRLFQRLNVYGLYEVKVSGDGNCQFRALSDQLYRSPEYHRHVRKEVVKQLKDYPNLYEGYVPMKYKRYRKKMAKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFIEIVPQYQAPKRELWLSFWSEVHYNSLYELRDAPVQHKPKRKHWLF >CDO98782 pep chromosome:AUK_PRJEB4211_v1:3:770532:780916:-1 gene:GSCOC_T00025703001 transcript:CDO98782 gene_biotype:protein_coding transcript_biotype:protein_coding MHFNTLSNETYHNVNSELRELPPVELSTLPLILKIIVESGIADQLRVTDLILHDLEFIRKLMDLFRICEDLDNIDGLHMVFKIVRGIILLNSTQIYDRIFGDEYIMDIIGCLEYDPDVPHVHHRNFLKENVVFKEAIPIKDSVVLSKIHQTYRVGYLKDVILPRVLDEATVASLNSIIHSNNATVVSLLKDDNTFIQELFARLKSSSTSAESKKNLVLFLHEFCSLSKSLQMVHQLRLYRDLVNEGILEIIADILQSQDKKLILTGTDILILFLNQDPNLLRSYVTRQEGIPLFGLLVKGMLTDFGDDMHCQFLEILRNLLDSYTSGSQRESIIDIFYEKHLGHLIDVITSSCPPNSAAQAVSKSGRSDEESGNQISVKPEILLNICDLLCFCVLHHPYRIKCNFLLNSVIDKVLLLTHRREKYLVVAAVRFIRTLISRNDENLLSHIVKNNLLKPIVDAFVSNGSRYNLLNSAVLELFEYIRKENLKILLKYLVDSFWEQLAQFDNLPSIRSLKVKYEQALESAGLRSNTNVLDPRKRIDDRALEKEEEDYFNDDSDEEDSASASTPSRKRAQSQPNLPNGSATTYPSTRSGGLVDYDDDEDDEDYKPPSRKRADNSGEDDEVESFRLKRKLATKDEPEPKKLQRSHKSSKPNEGVFAALCSTLSQAVLPGKKTISTAPDILRTDGGKNPVEANHEEKGHKGSSDQGKSSDVDNLADQEEVSPRCFTDGLCGSPDNGQHGDDGPLIPKASPEMAVNGS >CDO99461 pep chromosome:AUK_PRJEB4211_v1:3:7206652:7209129:-1 gene:GSCOC_T00026644001 transcript:CDO99461 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNRLCFILPADVDEIEPIDHQKVQKTKEKQPSPRHCGSQVVNLLRTSVHRFFDSKWINFCHREAPEKHFSGMLFQDMAGVKMSEEVGGENARIFSYSELYIGSKGFSEDEILGSGGFGKVYRAVLPSDGTTVAVKCLAERGEQFEKTFAAELVAVAHLRHRNLVKLRGWCVHDDQLFLVYDYMPNRSLDRILFKRPEKNGSSPLDWERRKKIVNGLAAALFYLHEQLETQIIHRDVKTSNVMLDSHFNARLGDFGLARWLEHKIEYQSRTPSMKNQQFRLAETTRIGGTIGYLPPESFQKRSFATAKSDVFSFGIVVLEVVSGRRAVDLTYPDDQIILLDWTRKLSDEGILLQAGDTRLPDGSFKLSDMEQMIHVGLLCTLHDPQSRPNMKWAVDVLSGNIYGKLPDLPSFKSHPLYISLSSPSNSSSSYTITTGSSTTRSTTSISTSALNSSNFVTATGETMYVTAEVENSNIVSSHSSHPPPCTFPVVETPRVITYKEIIAATNNFADSRRVAELDFGTAYHGFLDNHYHVLVKRLGMKTCPALRVRFSNELQTLGRLRHRNLVQLRGWCTEQGEMLVVYDYSAKCLLSHVLFHHTSRILQWHHRYSIIKSLASAIRYLHEEWDEQVIHRSITSSAVALDADMNPRLGCFALAEFLTRNEHGHHVVIDKNRSACGIFGYMSPEYIKSGEATTMADVYSFGVVLIEVVCGQMAVDFRRPEVLLVRRIQEFEAQKRPYEELADMRLDGKYNRRELLRLIKLGMACTSSNPESRPSMRQIVSILDGHDQWLTDNWRKEEEIEQWKQRNASSLSLIRRIQALSIQ >CDP15466 pep chromosome:AUK_PRJEB4211_v1:3:17387369:17396448:-1 gene:GSCOC_T00043211001 transcript:CDP15466 gene_biotype:protein_coding transcript_biotype:protein_coding MADAILGSTVQVLVEMAINFACGKIGQSSELEKDLKNLRRTMTLIQDVLHDAEKRQVNEHSVKHWLEDLERVAFNAENLLDTFNYEMIRREVENQNQRKRKLDFFSFPRSDSKEFHSNMASEIQKINADFISINEQASKLGLLQSQNVARDATAFMENRETDSVTTDTSFVGRDDNVSAIVTELTATNNNETISVLPIVGMGGIGKTTVARKVFNILNIENHFDKRMWVCVSDFKKHFDANRLFGLMLESLEVPMPEVKSTEAKVRKLKELLDGKEPSGKKPLKYLLVLDDVWNEDPAPWAGFLASLRGISSAKGSWILVTTRNKQVANFTAIPSCPCSLEKLSDDNCWLILEKTAFDSRETPDDLKELGSELAKKCQGLPLAASVLGGMLRNKESDVWHSILESGLQNRGGDGDSYINKILKLSFDHLPYPALKKCFAYCSIFPQDFQMERNQLIQLWAAEGFLHSKPRNEMCMEEVGNWYFTILLESNLFQDAEKDDYGNVLNCKMHDLVHDMVQSISESKTLRSDGEEIPPFPQNESFRCITTLFLLENRSIEDGLIIFLACLRVLNIASSDATELPKSIGKLSHLRYLDSSNTPMKTLPDSLCKLYNLQTLRLRDCKSFTKFPNNFKNLVNLRHFDFFHEDKSSDLTPLEIGQLRSLQTLPFFNIGIEVGRQIGELRSLKNLSGQLALRNLELVKNKEEAESADLIGKPNIDELILLWNEIDNSRDNDNEYNQVLEGLQAHQNLKGLIIKRFFGGQLSTWIGKLGKLVKFELQNCKNCKELPTLGNMPFLRSLHLKGLDSLTSIGPSFYGRLGVHSGSTSQRPLNLFPALEDLILRNMQTLREWTEATVHDGTVVVFPVLHTMRITNCPQLATFPNYFSRLEELEIEKTQNGSALMTYICSGVSTLTRLSIWSVNGLTKVPNVLFQNNHKLVDLELRDCVLEHTSIDNNAPQHLAGLESLETLFVWKCPSLESISIPKGRKYLAALRELRIWSCHALTHLSIPQISESERDSTSSPFSSSDHFDALPPPHPYFPSLSYLYLYGLPHWESLPEQLQRLSALITLGLGGFGVKSLADWFGKLSSLKELYLWDFEKLENLPSHQSMRSLTRLRKLWIFNCPLLKGRCNPESSSSSSDPMSEWSKISHISLIGIDGKNIGG >CDP10025 pep chromosome:AUK_PRJEB4211_v1:3:25067559:25067805:-1 gene:GSCOC_T00030580001 transcript:CDP10025 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIVYSLSLDLFYVRVGLFPLHL >CDO98910 pep chromosome:AUK_PRJEB4211_v1:3:1754040:1756574:1 gene:GSCOC_T00025870001 transcript:CDO98910 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEKAAPGPEKIEAAVTATEPEKIEPPPPAKPTLVPEEPPPPPETKAVATESPPINYFPIIDVVLRVLLFASAVVSVIVAVTSKQTELVAIPFPPFRAPVPAKFNHSPALIYFVAALSVAGLYGIISTLLSVYALLKPDWSTRLLSHLVIFDVLLLGIVASATGAAGGVAYVGLKGNSHVGWRKVCDTYDVFCKHLASSLAISLFASVLLVLLVLLSIYSLSKKIPK >CDP11184 pep chromosome:AUK_PRJEB4211_v1:3:8586243:8589105:-1 gene:GSCOC_T00033271001 transcript:CDP11184 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSALLISDCHVIEIEISLFLPLKKIPLFLYWTSRMEDAHGIGKAVICTIIGEIFTQSRPINRQAAEEIQVLKFSLLQAVIFKC >CDP08141 pep chromosome:AUK_PRJEB4211_v1:3:31500408:31501436:-1 gene:GSCOC_T00026879001 transcript:CDP08141 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKMRFLAIPFLFFSFLLSSAKSVTYNVQSYGAKSDGRSDSTNSFLSAWAAACASVAPATIYVPPGRFLVGGASFWGQNCKNNAITIRIDGTLVAPSDYNVLGHTGNWLKFERVNGLSIYGGTLDGQGTGLWACKNSGKHCPQGATSLGFYNSNKVLVSGLSSLNSQFFHINLDGCQNTRLEGVKISAPENSPNTDGIHVQSSSGVTITNSHIGTGDDCISLGPGSSNMWIENINCGPGHGISIGSLGSNLQEPGVKNVTL >CDO98803 pep chromosome:AUK_PRJEB4211_v1:3:916853:920397:1 gene:GSCOC_T00025728001 transcript:CDO98803 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTSTCFLFLFILSQVRAQPTAPSPAADVCNGVFLSYTFTSSRKIPPALRSGQPYRFQSTLLVLNNGLQELKSWRVFVGFQHDEFLVSASNAVLADGSSLPVGVGNGTVFAGYPNSDLKTAIETAGDQTQMGVQVDLVGTQFGVGSPDVPMPQNISLVNDGWLCSKPSTQGKNVMQVCCTQDSKFKANVTTEEFLPRQNGDLTIMYDVIRTYGSNYWAQVTISNHNPLGRLDNWKLSWDWMRDEFIFAMKGAYPAVVDTSECIFGKQGQFYQDLDFSTALNCERRPTIVDLPLAKTNDTNLGMIPFCCRNGTILPPSMDPSKSISSFQINVFKMPPDNNRSQLNPPQNWNITGKVNPDYQCGPPVRVSPSEFPDPSGLLSNSAAVASWQVVCNITQPKGASPRCCVSFSAYYNESIIPCQTCACGCPSTSTSTCSAKAPALLLPSQALLIPVENRTQLAKAWAGLHHFPVPNPLPCADNCGVSINWHVATDYRGGWSARITIFNWDDFSFPDWFAAVELDKTASGFEKVYSFNGSTLSGVNNTIVMQGKEGLNYLVAESDGADPQKDFRVPGKQQSVISFTKKNIPGVDIAGGDGFPTKVYFNGEECSLPRILPTNNSYRMGSSIIISLIFALAVFSFMQQ >CDO98935 pep chromosome:AUK_PRJEB4211_v1:3:1929226:1933397:-1 gene:GSCOC_T00025904001 transcript:CDO98935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 1-deoxy-D-xylulose-5-phosphate synthase [Source: Projected from Oryza sativa (Os05g0408900)] MALSTFAFPANLSGAVVSDSIKRSLLYSSWLYGTDQHLHFQSMNNQVTKKSSGVRASLSERGEYYSQRPPTPLLDTINYPIHMKNLSTKELKQLADELRSDIIFNVSKTGGHLGSSLGVVELTVALHYLFNCPQDKILWDVGHQSYPHKILTGRRDKMPTLRQTDGLSGFTKRSESEYDCFGAGHSSTTISAGLGMAVGRDLKGRKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPIPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPVHELAAKVDEYARGLISGSGSTLFEELGFYYIGPVDGHNIDDLVTILKEVKSTKTTGPVLIHVVTEKGRGYPYAEKAADKYHGVVKFDPATGKQFKTSAKTQSYTTYFAEALIAEAEVDKDIVAIHAAMGGGTGMNIFLRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRGGLVGADGPTHCGAFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGIGVELPLGNKGIPLEVGKGRILIEGERVALLGYGTAVQSCLAAATLVEAHGLRLTVADARFCKPLDHSLIRSLANSHEVLITVEEGSIGGFGSHVAQFMALNGLLDGKLKWRPLVLPDRYIDHGSPADQVEEAGLTPSHIAATVFNILGQKREALEIMS >CDP16572 pep chromosome:AUK_PRJEB4211_v1:3:25992126:25993264:-1 gene:GSCOC_T00018975001 transcript:CDP16572 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTISRQNEALCGNVPSGRAFVNLTLEEVKGNEALCGNITGLRACESFPLIRKHVKDKRKELVLIIVIPLLGSFILLGAFSGIVILHDQIKKYSRAEDIKVKKGGLFAICAFDGKELYKEILKSTEEFSEIFSIGKGGYGSVYRAQLPSGDVVAVKRLHNMPNTSNWSSLVGTYGYVAPEFAYTMKVNEKCDVYSILLT >CDO99017 pep chromosome:AUK_PRJEB4211_v1:3:2531224:2537391:1 gene:GSCOC_T00026020001 transcript:CDO99017 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFIGSVRRSLVFKPLTGGDSPDNNGTIANGFNGFVEKIGSSIRKSRIGIFSKPQVPSLPPIAKSEPVKVRKDESKPQIRWRKGELIGCGAFGRVYMGMNIDSGELLAVKEVSIAANSASKERTQVHIRELEEEVNVLKNLSHPNIVRYLGTAREQESLNILLEFVPGGSISSLLGKFGSFPESVIRMYTKQLLLGLEYLHKNNIMHRDIKGANILVDNKGCIKLADFGASKKVVELATMTGAKSMKGTPYWMAPEVILQTGHTFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEQLSAEAKDFLLKCLHKEPNLRPSASDLLKHPFVTGEYEGTHPVFRHSIMLDNSGNWIAATRMDITKSTKFDPWVSSNGLKDACTMSGVRRSTMYPEKFSGQGSVWKSGNFDDDMCQLDDKDDLLMVGSSMKSYNSEFHSKDFNKSFNPICEPEDDWPCKFDESPELERHRTSLLASQAIQYPGNSVELSSKKDGGFTFPVGQLVADDEDEVTESKIRAFLDEKALELKKLQSPLYEEFYNSLNAASPVSPVATENKENVSDNLNLPPKSRSPNRLPSRRLSAVVDNTNSCSPESRSKRSSNIGGLNHQVSHDAQSSQLRELKGLLFDSQREPPSPSASFSERQRKWKEELDEELKRKREIMRQAGVVKTSSPKDRIVHRFKDQLRYASPGT >CDP16567 pep chromosome:AUK_PRJEB4211_v1:3:25869167:25869899:-1 gene:GSCOC_T00018964001 transcript:CDP16567 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKALLFFCISVAVVLAITSQAAGRELAETFTSVNDWNPHYVGDPGGGGGGNYGGWGYGRGGGGRYGQAVDAEP >CDP08059 pep chromosome:AUK_PRJEB4211_v1:3:30210370:30217132:1 gene:GSCOC_T00026756001 transcript:CDP08059 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNVNSVFYAESYHPIQAGSIDGTDVLPHDNAIYRALLCTNSGLYDPFGDPKVIGDPYCTIFVGRLSHSTTEETLRQAMSRYGRVKNLRLVRHIVTGASRGYAFVEFETEREMRRAYKDAHHTFIDDSEIIVDYNRQQLMPGWIPRRLGGGLGGRKESGQLRFGGRERPFRAPLRQIPFDDLKRLGIPPPLEGRYVSRFEVPSPPRRKRVSADREDYSYKHDEETSRDYHLERSPSLDQSSDRWRRSRDRHDRSSKHHKRSRHSHRDEKKSNSRDHSGVSGSMDRSSSRQRGRYSHESERWSPRRNSLSDD >CDO99346 pep chromosome:AUK_PRJEB4211_v1:3:5667714:5668982:1 gene:GSCOC_T00026477001 transcript:CDO99346 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDQKLSELLDMWEKPSIFKIHGQLRSENEEAYEPQVVSIGPYHHGKRKLKEMEEYKLRYFKELLGRKRELSAKKYITALADLQDQARRYYAEEINLSDVDFVDMLCLDGCFVIEFLRKWRHPELLLENDPIFQMPWLLYATGNDLILFENQLPFSVLLQLFNMTRSPGEEENLIDLALELPLSLHSPNPGPNSHPAIFENYEPVHLLGLVHKILSASFSKTLTPTTNSNGGDSFPFIESAGELLQSGIKFKKAEDSKSLFDITFEKGLLKIPPLVVEDHTESVFRNLIAYEEYMSNPIETWKCISDYIIFMDLLIDSPSDVEKLRRHDIIEKQLGSDEALSTIFNKLCNHVHIGERFCYTKIFDDVDKYTRKRWHIWRAHLLSKYFNTPWAFISFLAACALLLLTIVQAIFSILQYTSA >CDP11118 pep chromosome:AUK_PRJEB4211_v1:3:7270190:7270531:-1 gene:GSCOC_T00033158001 transcript:CDP11118 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQYYLSKERNCRTFWLYSRVALYLEKTVKEQITKDGVIGIGISVTAVVLIAGGIITALASRKS >CDP10844 pep chromosome:AUK_PRJEB4211_v1:3:27402325:27405352:1 gene:GSCOC_T00031756001 transcript:CDP10844 gene_biotype:protein_coding transcript_biotype:protein_coding MTVESVKLWLEELERVAFEAENLLDDFNYEMIRRKVEIQSQMKRKVCFFFSLSNPIAFRCKMANKFQKINLDLKIINEEANSLNTCMNRFKVLCFTKNRETDSITIDVSFVGRENDVSAIVKKLAAPNNNETISVLPIELLDGKKYLLVWDDVWNKDSTLWNEGLPLATRVLGGMLRNKGTTDWETLESRLQSLGVGENTNVDKILKLSFDHLPYPSLQKCLSYCSIFPKDLEMERNQLIQLWAAEGFLHSNQRNNMCMEEVGNMYFTILLDSNLFQDAEKDEYGNVLNCKMHDLVHDMVQSISSSKTLRLIESGSDDKETFPIQYLAPERSEKEMPFPPSKRFKCITTLFLLEDRSLNDRKISFFMLRVLSLRSSSVKELPKSIGKVTHLRYFDLSRISIKIMPDSLCRLYNLQTLRVGDRESLTKFPNNFKNLVNLRHFELRNCTNCKELPTLGYMPSLRSLHLEGLDGITIVEPSFYGELAMHSGASNQSSPKLFPKLGHFILRDMKNLIEWMEAIVHDRTVVVFPILDMVTIDSCRQLATLSFSMSQEIEDHWTKNGSVVLAYICSGVSTLTSVHIEDVNGLAKLPIILFQNNPNLADLKLSNCRDLTQFLDFPFVISQTLEGPNSQTILGLSQPRAYIDNNATPCLVGLESLEKLVLLQGSFVFL >CDP10874 pep chromosome:AUK_PRJEB4211_v1:3:28184091:28187022:1 gene:GSCOC_T00031810001 transcript:CDP10874 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPKNSSQARKPWYQRAMQMATVWKGSVAKPTEIPTPNASIWKTISRSTEIPGSNSNRHKLRKCTSLKVASSFTRVCLCAPISSYTEVFHGDVPPRRSNSYPRSKPVPIQQEIRTPSGRISTEGRKIFRGKSLTDDVLMRRFVIEEEAMMQLRRRNEMEIIRRRSAMRRKKLGPSPLSRMVLAEEE >CDO98733 pep chromosome:AUK_PRJEB4211_v1:3:407830:412351:1 gene:GSCOC_T00025634001 transcript:CDO98733 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKGNQQKKPVPSTASELPAKRERANASEIKVLHEEQLLRNHSDVNSKEGIDNKCHVQAETKGKQQSRKFPRRMEEVVDRKQVEEKPETDAGDCNTTVSAAESLHSMGKDDVPSNTCHSTKNSESSFAYSLNGMHNGDDTMEKVEFSYILILKRLRSLVLSTLKASTEWMERHRPLLITMRASILKACHYVQVKIEQAFPVVLKWIMHFVNIMLLLFMVWLDCTLRGIDSFLRMGTTSFFSVLWCSVLSVTAMVGIFKFLIVLAVAAIAGLLVGLTIAVLLMAISGIVLLWFYGSFWTTMLVLLSGGLAFILGRERVALFIATSYSVYCAWASVGWLGLLFGLNISFISSDVLIFFLRNNINEQRRATGAAEQTAEVPGQSGFVSDDQVRNSSAEAGAAGPSTDRRSGVPSTSGLDSETTSEDEVVRLLNCSDHYAALGLSRFENIDVSVLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSFKRKAYDDELRREELLHYFRQFQEVSQKA >CDO99338 pep chromosome:AUK_PRJEB4211_v1:3:5510761:5512469:-1 gene:GSCOC_T00026458001 transcript:CDO99338 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPSVSVLVKDVVNLLHEKGEKYLGKAASDEINGLVSELSLLQKLVEEIDKEQRIQDWLTEVEKTCYDIENIVVENQSKNADFLSLGTIKRWFFSDVDDDFPRQISLLRKRIRYLLGVGELLSQGRQNNRPPLPSGLPQKKDSVAVGLVNELDFLLNRILESKLDSFSPNVIFVVGIGGLGKTTLVRRLYNRQSLRHHFEAFAWGTLGSILKQLVPAVNEMFRNCSIMGITINIRSLLASAKCLMVIDDLWSLYYLDWLSSFLGIRETTSKLVATTRFQELATSNPSIELFKLRHLTEEESLELLIRRVYVNIPKDPQLHGMLEFIVKKCKGIPLAINVLGSLLATKQTSGEWKAVLRAMELCNVHQEDSLQLLELKILSLCYDDMPHHLKLLFPLFGPLL >CDO98965 pep chromosome:AUK_PRJEB4211_v1:3:2164129:2166456:-1 gene:GSCOC_T00025954001 transcript:CDO98965 gene_biotype:protein_coding transcript_biotype:protein_coding description:BI1-like protein [Source:Projected from Arabidopsis thaliana (AT4G15470) UniProtKB/Swiss-Prot;Acc:Q94A20] MDLYGAKSTKDDYAVDLEAGDLLYPGIGYGENQLRWGFIRKVYGILAAQIVLTTLVSALIVLYDPINQLLRGNSILLLFLCFLPFVLLWPLHVYQQKHPLNLVFLGLFTASLSLTVGVSCANTDGRIVLEALILTSAVVVGLTGYTFWAAKKGKDFSFLGPILFTSLVVLLLTSFLQMFFPLGSTSTAVFSAFGAIVFSGYVVYDTENLIKRFTYDEYIWASVNLYLDILNLFLTILQMLRQGDN >CDP10350 pep chromosome:AUK_PRJEB4211_v1:3:13468111:13469593:-1 gene:GSCOC_T00031056001 transcript:CDP10350 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVEAISISFGGIFGSHKCLCFKTITGDGAVVRRSIGRSELKYIDPFLMLDEFAVSPPAGFPDHPHRGFETVTYMLQGAFTHQDFAGHKGTIRTGDVQWMTAGRGIIHSEMPAAGGTQTGLQLWINLASKDKM >CDP08053 pep chromosome:AUK_PRJEB4211_v1:3:30048956:30054784:-1 gene:GSCOC_T00026747001 transcript:CDP08053 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCRTSVTEIEMLLKQKSVSRYFFVFKTLFPSSGIPHCLFQMVVLLKKLTSGALLSPLEAPEEFRAADVEAAQGHRGSATLRMEAVNTLHVLIANVGNADALAFFLPGVISQIGKVLHMSKTKLSGAAGNAEALGGEIRSLAEFLSIVLKDDQNLPVHSKSRHICKEKSLVSFLDELHHPASKTQEHGHNESEALQKRISIPDIRKSGSVNTEGTRGNFCVEHSKDWIINTNKIFIKLTKKKKKILVYNSSPFRFGILVAMQTLLLSCSYTLRESRLLLLESWKQINLTRYDSFECICVLVCDDSEEVSSVAQAFIGYLFSSNREHLEQDFDAIFSRLIDKIPHAVLGNDETIALSHARKLLVTIYFSGPRIVAIQLLHSSVAAAQCLDIFALCLSQNATFSGSLDKLVAARPPSAGYMDPTAEMKSMRHAGSEGFESTETTKKCVKCLCAARHSTLISTFGRMFQHSNLICQEIEECDANGYARPCKRDEPAPDNNLWHICNHSRAKNNLNSHCLSSLIVNYTSFKCFNWYLLLLFKPSYMILCVFLCVMYVFEFRAPNEIVRSLGAFIYFCGLFFRFLTSSTLTLRGGLCARITCLHDIHYISCIFSIFYFLFHAYYVIDSICWQLHHLDLNPHMPSVLAAILSYIGEAHKILPLLEEPIRALSLELEILGRHQHPESL >CDO98839 pep chromosome:AUK_PRJEB4211_v1:3:1150407:1153879:-1 gene:GSCOC_T00025773001 transcript:CDO98839 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSAFLKLLTGGTKIIAVGRNYAAHAKELGNAVPKEPVLFMKPTTSYLEDGGKIEVPHNLESLDHEVELAVVIGRKARDVPEASAMDYVGGYALALDMTAREIQAAAKSAGLPWTVAKGQDTFTPISSVLPCTMIPDPHDVELWLKVDGDLRQRGSTRDMIFKIPYLISHISSIMTLLEGDVILTGTPRGVGPVKVGQKIEAGITGLLDIHFDVGRRKSGNS >CDO98747 pep chromosome:AUK_PRJEB4211_v1:3:480037:481772:-1 gene:GSCOC_T00025652001 transcript:CDO98747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 40 precursor (EC 1.11.1.7) (Atperox P40) [Source: Projected from Oryza sativa (Os08g0302000)] MAASLLRLHFHDCFGCDASVLLDDTPNFVGEKTAAPNLNSLRGFEVIDSIKTDLEFVCPETVSCADILAIAARDSVVLSGGLGWEVQMGRKDSLTASKESANNNIPGPNSDVATLAAKFQNVGLTLDDMVTLSGAHTLGKARCFTFNSRLNGNSNADADPNVNLDFIQSLQQLCTQSNANTTLADLDYKTPSVFDNQYFVNLLSGEGLLTSDQVLVTGDEGTRELVESYVDDPLAFLEEFKKSMLRMGSLLPATGENGEIRRNCRLPNNFNQ >CDP08084 pep chromosome:AUK_PRJEB4211_v1:3:30755587:30757212:1 gene:GSCOC_T00026796001 transcript:CDP08084 gene_biotype:protein_coding transcript_biotype:protein_coding METTPSTKVPRIFLCSLVLIFSMPWSTKAQIHEGFLRCLHSQNNDSISQVIYTPTNTSYNSVLQSSIQNIRFLSPMERKPLVIVTPLSNFHVQLVVNCAKSNDLQIRVRSGGHDYEGLSYLSYYLQPFVIVDMRNLSRISIDTESKTAWIGAGVGLGKLYHAIAEKSPNLGFPAGTCPTVGAGGHISGGGEGTLTRKYGLAADNVIDAKIVKADGAILDRKSMGEDLFWAIRGGGGASFGVILAYRIQLVSVPSIVTVFSVNRSLEQNATKLVHLWQHIGYRLDRDLLIRVFITQARSGGKLTVQAAFQSLYLGTVAKLVPLMQESFPELGLRREDCTELSWIESAVYFSDLPSGSTVDDLVRSSPYPKNYYKNKSDYVVEPISEVALEGLWKRFFEEGAEAGMLILSPSGGRMFEISDSETPYPHRAGNIYQIQHITSWTEEENANSQRFIDWIRRLYKYMAPFVSKYPRAAYLNYRDLDLGTNREGNTSFAQASVWGMKYFKKNFYRLAHVKQEVDPSNFFRYEQSIPPFLSSLKWRNA >CDO98732 pep chromosome:AUK_PRJEB4211_v1:3:403974:406464:1 gene:GSCOC_T00025633001 transcript:CDO98732 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSNQRLEFFPDDVILQILARLPVKALTRIKCVCKLWYQLASGDYFRHVHNELSIRNPMVLVEVTDTSSESRSSLICVDNLRGVYEFSLDFIKARVKVRASCNGLLCCSSIPDKGVYYVCNPMTREYKMLPRSRERPVTRFYPDGEATLVGLACNLLTHNYNVVLAGYHRPFGHRPERTFIYDHFTHMNRNQVVFINGSLHWLTGSCTCLLVLDLECDMWRRILLPNETGEYVFLATHKQVLVYQLKNKVWKEMYSVKNSSTLPLWFSAHAFRSTIFSCH >CDO98947 pep chromosome:AUK_PRJEB4211_v1:3:2036728:2040538:-1 gene:GSCOC_T00025929001 transcript:CDO98947 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPRSSFLIFLSISLSIVATSWSSSIINPSKVKQISWKPRAFVYKGFLTDEECNHLISLAKSELKRSAVADNLSGESKLSEVRTSSGMFIPKGKDPIVAGIEEKIATWTFLPKENGEDIQVLHYEYGQKYDPHYDYFADKVNIARGGHRIATVLMYLSNVEKGGETVFPNAEDLSRRRSMTSDEDLSDCGKKGIAVKPHKGDALLFFSLHPSAIPDPISLHGGCPVIEGEKWSATKWIHVDNFDKILGSTDNCTDTNENCERWAALGECKKNPEYMVGSPEIPGSCRKSCKVC >CDP19086 pep chromosome:AUK_PRJEB4211_v1:3:31883198:31888148:1 gene:GSCOC_T00002111001 transcript:CDP19086 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFTEFNLRVYLGRCSILVFVHTNKNQNSKSLSPSSSFPFSLTLSTSHSAWIIYQISLAGMASSDNPEIVERAIKEKEEKEDKKDEQKGGFLEKVKDFIQDIGEKIEETIGFGKPTADVTGIHIPSINLEKADIVVDVLVKNPNPIPIPLVDINYLVESEGRKLVSGLIPDAGTLHAHGSETVKIPLTLIYDDIKSTYDDIKPGSIIPYRIKVDLIVDVPVLGRLTLPLEKTGEIPIPYKPDVDLEKIRFQRFSFEETVALLHLKIENKNDFELGLNTLDYEVWLSDVSIGGAELQKSAKIDKNGMSYVDIPITFRPKDFGSAVWDMIRGKGTGYTIKGHINVDTPFGAMKLPISKEGGTTRFKKNKEDGGDDDDDEE >CDO99002 pep chromosome:AUK_PRJEB4211_v1:3:2427500:2430711:1 gene:GSCOC_T00026002001 transcript:CDO99002 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSSSIMSPPDELVAAGSRTPSPKITAAALVNRFLQTNSSAVSMQIGDDAQLAYTHHNESPLHPRSFAVKDDIFCLFEGALDNLGSLKQQYGLSKSANEVVLMIEAYKALRDRAPYPPNHVVGHLEGNFAFIVFDKATSTLFVATDEKAKVPLYWGITADGWVAFADDADLLKGACGKSLASFPQGCFFSTALGELRSYENPKNKITAIPAKEEEIWGAKFMVEGPAVFAATK >CDP07999 pep chromosome:AUK_PRJEB4211_v1:3:28789693:28793047:-1 gene:GSCOC_T00026655001 transcript:CDP07999 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVAQADASVKAGKWQRSKYVGVSLVGKTLAVMGFGKVGSEVARRARGLGMHVIAYDPYAAADRARAMGVDLVSFDEAIATADFISLHMPLTPATSKILNDENFAKMKKGVRIVNVARGGVIDDDALVKALDAGIVAQAALDVFTEEPPSKDSKLVQHENVTVTPHLGASTMEAQEEVAIEIAEVVVGALKGELAATAVNAPMVPAEVLTELKPFVVLAEKLGRLAVQLVAGGSGVKNVKVTYASSRAPDDLDTRVLRAMITKGLIEPISNVFVNLVNADFTAKQRGLRITEERILLDGSPENPLEFIQVQIANVESKFASAISEPGEIKMEGRVKDGIPHLTKVGSFEVDVSLEGNIILCRQVDQPGLIGKVGSILGEENVNVSFMSVGRVAQRKHAVMAIGVDEPPRKESLKRIGEIPAIEEFVYLKL >CDP19153 pep chromosome:AUK_PRJEB4211_v1:3:31670591:31676283:-1 gene:GSCOC_T00001660001 transcript:CDP19153 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESKENILVVGYIMKPSRKEDFAKRGAFPSHPTQNGLIFLPLNFELPISSQLQQVDVLLHKATDEIVDVKMSSSSEFVDKIEYTKGMQDLQRYTESHPECCVIDPFPNIYPVLDRLRIQQILFGLENLCIKSCYRIRGPRFLKVNSFEEPNLEHRLFEAKLSFPNIVKPQVACGVAVAHSMAIVFNMEQYKGLNVPLPAVVQEYVDHSSCLFKFYVLGEKVFYAIKKSTPNAATLMGLPEACDLKPILFDSLKSLPIDKKNQQVEDGVSSAGIQQLDLELVTDAANWLRSVLNLTIFGFDVVIQEATGDHVIVDLNYLPSFKEVPDDVAIPAFWEAIKWRFKSERGQRNSSLAPSSADSNIVSFP >CDP14661 pep chromosome:AUK_PRJEB4211_v1:3:15301819:15306911:1 gene:GSCOC_T00042060001 transcript:CDP14661 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEISEQKKVGIGLVGFGILFSFLGIILFFDRGLLALGNILWLAGVALLLGWRSTLQLFTDRRNYKGSVSFLLGMFLIFVRWPIAGIITEFYGCVFLFGGFWPSIKVFLYQIPLLGWLLQYILHW >CDP10351 pep chromosome:AUK_PRJEB4211_v1:3:13470823:13473478:1 gene:GSCOC_T00031057001 transcript:CDP10351 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGKMSIDHEVMVGLDDEAEKVIGRLRWGSKQVEIVPIVGMAGLGKTTLAKKVYNDSSVTCHFHIRLWCTDEFQNLDEHALLEKLYQRLLKNRYLVVFDDVWGIEVWNELRNAFPNDKNQSRIIFTSRSSNVASQVQYGGEPHKFRCLTVEESFELLQKKVFGEEEECPQALHELGMEIVKKCWGLPFAVVVVAGILATIKHDILLWEKFAESLTSTMVSGTDQWKKSLELSYEHLSYNLKACLLYFAAFREDEKIGAKSLMRLWIAEGFVEIIEGKRSEDSAEEYLMDLIGRNLVMVSKSRSIGGVKTCYIHDLIFEFCKGKAKEKKFLQVLRGYDELSTFNVPRNLRRLPICSSEEDFIKSRLFCPYLGTLVFFDATPGYDKFKLLNISFLFCIYKHLNVLNLEGINLMLKELPAEVEPLLCLRWELMEGGFPKLKVLTLSNLDIVEWIETDPISNDYFPCLQQLELFGIYNLKMMPACLGCISTLETIQVALCGYGVQSLVRKIGKAQKNYGNVNLKIIYKAY >CDO99215 pep chromosome:AUK_PRJEB4211_v1:3:4320655:4322356:1 gene:GSCOC_T00026283001 transcript:CDO99215 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSVTETTTQSGVDDGRIKDLKAFDDTKAGVKGLVDAGVTSLPEIFVRPPDELVEELNHGHSQAKVPVIDLNGIEIDDQRKSIVDGLRRASEEWGFFQVVNHGIPSSVLDGMIDGTRKFHEQDAELKKEYYSRDRMRKVRFDSNIDLYHSRSVNWRDTLTINLLYYNQIVPDELPEICRSSAMDYINHVTKLAEALFELLSEALGMELNNLRAMECARGRSFVCHYYPACPEPDLTLGVNRHTDPAFLTILLQDHIGGLQFLHDNNWTDVPPIPGGLVVNIADLLQIVSNDKFKSREHRVIANRIGPRISVACFFIGVAVPEKIYGPAKELTSDETPAVYREFTVKEYISGLFSRPIDKSGLDNFKI >CDO99402 pep chromosome:AUK_PRJEB4211_v1:3:6458602:6462276:-1 gene:GSCOC_T00026563001 transcript:CDO99402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 2 [Source:Projected from Arabidopsis thaliana (AT3G24240) UniProtKB/Swiss-Prot;Acc:Q9LHP4] MSMPSSRQQLHYYSYNLSQNNFFPSFFTTCLSPFFLSFTILSALLINLLTPTALAINHEGLALYSWLHSSPSPPQGFSSWNLRDSNPCKWAFITCNSQGLVSEINIQSIPLQLPLPSNISSFIYLQKLVISDANVTGTIPSELGDCAALTAIDLNSNTLVGSIPTSIAKLQNLQDLLLNSNQLTGKIPAELSNCQSLKNLYLFDNRLGGSIPAELGLLPNLEILRAGGNKDIAGKIPDELGNCGNMTNLGLADTRISGSLPASLGKLTKLKTLSIYTTMVSGEIPPEIGNCSELVNLYLYENSLSGSIPPELGKLKNLDKLLLWQNNLVGVIPQEIGNCSKLTMIDLSLNSLSGTIPWSIGGLVELEEFMISDNNVSGSIPSVLSNATNLMQLQLDTNQISGLMPPELGKLSNLIVFFAWENQLEGSIPASLTGCASLQALDLSHNSLTGSIPPGLFQLQNLTKLLLVSNDISGTLPPEIGNSSSLLRLRLGSNRITGQIPKEIGGLKILNFLELSGNRLSGSVPDEIGSCTELQMVDLSNNTLEGPLTNALSSLSGLQVLDVSVNQFSGPIPASFGRLASLNKLVLSENQFSGSIPPSLGLCSSLQFLDLSSNKLSGPIPLELSKLESLEITLNLSCNGLAGPIPAQISSLSKLSILDLSHNNLEGNLTPLAMLDNLVSLNVSFNNLSGYLPDNKFFRQLSVSDLAGNQGLCSFGQDSCFQGNVAGIEVAKNDNNMRRSRRLKVAIALLVTLTIAMVVMGTFAVVRARRSLKGGDDSEMGESWPWQFIPFQKLNFSVDQVLKCLVESNVVGKGCSGVVYRADMDNGEVIAVKKLWPSSMGSTNGCSEEKCSVRDSFSAEIKTLGAIRHKNIVRFLGYCWNRSTRLLMYDYMPNGSLGSLLHERNGSPLEWELRYQILLGAAEGLAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVEDSDFARSSNTVAGSYGYIAPEYGYMMKITEKTDVYSYGVVMLEVLTGKQPIDPTIPDGVHIADWVRQKNGCLEILDPSLLSRPESEIDEMTQTLGIALLCVNASPEERPTMKDVAAMLKEIKNEREDYAKVDVLIKGSPAAGDKGTKKPSNVQATSSSAQANRNLFPKSNNTSFSASSLLYSSSSNVKM >CDO98790 pep chromosome:AUK_PRJEB4211_v1:3:830722:831576:1 gene:GSCOC_T00025712001 transcript:CDO98790 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHERAFFDSADWALCKQGAGACHEKSTVAVETLRPKLQRTPHQQLPPRRPTCTSGSGRDSS >CDP11133 pep chromosome:AUK_PRJEB4211_v1:3:7638049:7645236:1 gene:GSCOC_T00033185001 transcript:CDP11133 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSTSGNNKKRVPDWLNSSLWSSSPPPPPASPSPKSSPNDVVADRGVKSTPVTSAKSSVQEAEESKYEPPAPAPPKVAVTAPPAVVVRAEAPKVEAQDPLGSQGRYYSSKEDGARSNVDAVADTASEASAAVKVSSPVSAARPASSAAEDISRQAQLLQELSRKVINMGELRRLASQGIPDGAGIRATVWKLLLGYLPCDRSLWSSELAKKRSQYEHFKEELLMNPSEITRRLEKSSLKNDELDGEDKGFLSRSEITHDEHPLSLGKTSIWNQFFQDTEVIEQIDRDVNRTHPDMNFFSGDSSFAKSNQDSLRNILIVFAKLNPGIRYVQGMNEILAPLFYIFRSDPNEENAVSAEADTFFCFVELLSGFRDHFCQQLDNSVVGIRSTITRLSLLLKEHDEELWRHLEMTTKVNPQFYAFRWITLLLTQEFNFADSLFIWDTLLSDPEGPQETLLRVCCAMLIIIRRRLLAGDFTANLKLLQHYPPTNISHLLYVANKLRTHPAG >CDO99150 pep chromosome:AUK_PRJEB4211_v1:3:3774113:3775417:1 gene:GSCOC_T00026196001 transcript:CDO99150 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLQKIRLSLKEHKQETIISTAPCNWSRNSFFPGVVERGSARKSLVTTKVVPKNDSPIRVSDPERMKAKEGDEERVALTKEPKKKLADAWREIQGQNDWAGMLDPLDPLLRAELIRYGDMAQACYDAYEFDPYSKYCGSCKVKPSMFFENLGWKKCSYEVTSYIYSTYNVNFPKFFNVSLNPDGWSHSANWIGYVAVSNEEYSKYLGRRDIMIAWRGTVTNAEKIADLMDFQNPTTYHTIPSRDPTIKVEAGFLDLYAGRNVDCQYCKYSAREQVLAEVKRLKELYPGEELSITITGHSLGSALATLNAYDIAEIGLDVMEDGRVIPVTVFSFSGPRVGNARFKERLEGLGVKILRIFNVHDQVPKVPGIFLNELVPKVLQQLGGWLPWCYFHVGEELPLNHENSPFLKDESNLVFFHNLEVLLHLLDGSVH >CDP19077 pep chromosome:AUK_PRJEB4211_v1:3:31950840:31962574:-1 gene:GSCOC_T00002099001 transcript:CDP19077 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERAKRLTLPPAKENIAKLEKVVKEGNYYGAQQMYKSFSARYVTAERYSEALDILDSGACLQLETGQVTCGAELAMLFVDTLVKGKYAYDDDTLDRVRKIYKKFPRISVPQHLDLTDDDDMQQLSEAFAAAKVRVEGCSSFLRAAIKWSVEFGACRNGSPEIHDMLAEYIYSESPEVDMTKVSFHFVRGKNPKKFASTLVNFMGKCYPGEDDLAIARAILMYLSLGNLRDANGLMDEVKKQMQSKELDFPQSELIQFINYLLLTLQRDALPLFNMLRQNYKSSIEREPVFHELLDEIAEKFYGVRRRNPLQGMFGDIFKMMGGE >CDO98863 pep chromosome:AUK_PRJEB4211_v1:3:1357875:1363528:-1 gene:GSCOC_T00025809001 transcript:CDO98863 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTSNRKRGYDFSSSVNYGSLVSNSPVSKKSRLPASINQTTGRTDRITAVFQFFRYPVEKTLFKREPHAPVRRHRGVSSVNLGNSASKVSYKVGSADEMGNLLSGQYKSTKRSALDTLRFNEEDKKVTEVAKEEVQEVSEDSSIEEVEILEVREDQKWKDGNGVVDEDSRKFDGIAVDKDSRPSSSSAMTNVSDGILKVETTEKLLESLSLSQELGVPQESVHKKLLYVAERRNDKINSLNFQIEYTEKQLQLQQLLRPQKKEEAAKKDVTAEAFKPLTEEEETEVNRALSNSSRRKLLVTHENSNISITGEVLQCLRPRAWLNDEVINLYLELLKERERREPKKFLNCHFFNTFFFKKLVSGKGGYNYQSVRRWTSQKKLGYCIFDCDKIFVPIHKEVHWCLAVINKKDEKFQYLDSLGGVDSQVIKVLARYIVDEVKDKCGKGIDVSSWQQEFVADLPEQENGFDCGVFMIKYADFYSRDIGLCFNQEHMPYFRLRTALEILRSKAE >CDP16576 pep chromosome:AUK_PRJEB4211_v1:3:26102921:26104210:-1 gene:GSCOC_T00018980001 transcript:CDP16576 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYNLPWKVLCRVINVQLKAEPNTNEVFALVTLMPEPDQDENSVKTEPVPAPPSRFHVHSFCQTLTASGTSTDDGFSVLRRHANECLPPLASAFTRLEDMSRQPLTQELVAKDLHGNEWWFRDIFRGQPRRHLLQSGWNHTEKEGTDTIPIFESRILSSKRLVAGDAFIFLRGENGSSVLG >CDP10345 pep chromosome:AUK_PRJEB4211_v1:3:13262621:13264014:-1 gene:GSCOC_T00031050001 transcript:CDP10345 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGRGIIHSEMPAAGGTQTGLQLWINLASKDKMIEPRYQELLDEDIPRAEEDGVEVKVLAGESMGVHSPVYTRTPTMYLDFTLKPRAQYHQSIPESWNAFVYTIDGEGVFGIPNSSPVAAHHALLLGPGEGLSVWNRSSRPLRFVLIGGQPLNEPVVQYGPFVMNTQAEIDQTEEDYHYAKNGFEMARHWWSK >CDP14632 pep chromosome:AUK_PRJEB4211_v1:3:14258702:14259984:-1 gene:GSCOC_T00042005001 transcript:CDP14632 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGSFKTEAILLARLFVYDIFWVFFTQVMISVVKSFDAPIECNNIYFLQFLFPTADSAGLFSMLALGYTVILGMAFILIALIVVGEQNQYFKSAFVGYSVGLILTIIIMNWFQAAQVGVLCMAALLYIVLAVIEFLAVHVFWKGEVKPVSLL >CDO99386 pep chromosome:AUK_PRJEB4211_v1:3:6170163:6174041:-1 gene:GSCOC_T00026541001 transcript:CDO99386 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIDDFQANLEVLPNILQKKYALLRDLDKSLHEIQRQNEQRCGQEIEDMKNRIKDGGVTPDSSFMKFSDEALDEQKHAIRIADEKIALALQAYDLVDAHIQQLDQYLKKLDEEIRREGDTVASTGSAAPAVDNNVKSGKAGESSRGRKKTRLATATAAAAAAAAAAAAAATTTSTNPTGVELDLPVDPNEPTYCICNQVSYGEMVACDNPGCKIEWFHYGCVGLREPPKGNWYCPDCVGTQRRRKGKS >CDP08037 pep chromosome:AUK_PRJEB4211_v1:3:29569449:29572003:1 gene:GSCOC_T00026719001 transcript:CDP08037 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVKACGSDKTTEIAWQCRGLPLAIVAVAGLLKMTEMSRNSWKKIANSLSSQVLNDPEAQCRSVLELSYQYLPEYLKPCFLYMGVLGKNKDILVSKLIQLWLAEGLIPKTQTKSFEDLAEDFLMELIDKSLVIISKRRSNGKVKACRLHSFMLDFCQSKAKEANFVELVTSLKQNHFLESRPSGLGTRSLVFLASTDSKTRRPCDISLILHNFKLLKVLDFECMDMASFPVEIGLLIHLRYLAVGGYVTSIPKSLGNLRKLETLIVKGLSALDFLTHLESLKIFYFGTPLNDGKFNLPSNLKKLTLSDFRLPWSHISAIGSLPNLEVLKFLGLDTLDIVRWNASYDHLPTLERLVLQNCNDLKKISLDLVDVPSLQMIEVNYCAQSVEESANRIRDKCRDVGNYEIKVFIRSQQDEHDLDPVIIRNMLSGWKDIPRNLKKLTLTDFHLPWSHISAIGRLPNLEVLKLQSDAFEGQTWEMKEGESPRLGFLGLDTLDIVRWNASCDHLPTLERLVLQNCNDLRIFRLILSIYFHCK >CDP14672 pep chromosome:AUK_PRJEB4211_v1:3:15511671:15517671:1 gene:GSCOC_T00042079001 transcript:CDP14672 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTSSNNLQKVISVQQWLHAPNQLESWIKYPKEYICWYKVRFVQPLVDLSGNILVYNGEIFGGIYISRDSNDSELLMQYLGQCCSCISHDQNSKHHSCKEGETSVPQVLSRIKGPWALIYWQNSSKTLWFGRDAFGRRSLLVHWPTPQDSRLLLASVSPLSSEFESSDFEESKAMGQLNFWEELPCGIYSISISCSRIDGCLVGELKKHNWTDSSVEELIHWERASVQPEAGELSMSCEKAPLEPSHKVLTALREAVMRRTVLSSIYETVSLGHGHKGYAPVAVLFSGGLDSMILSALLHQCLDPEYEIDLLNVSFDGLSAPDRISARAGLKELQKIAPSRRWKLLEIDADLMKLTSEMKHVLSLISPSKTYMDLNIGLALWLAAGGEGWIYEWSLSGQQIKYKSEARILLVGSGADEQCAGYGRFKTKFRNGSWLALHEEMKLDMQRIWKRNLGRDDRCIAVNGKEARFPFLDEDVIRTLLDIPLWEIADLSQPNGIGDKKILREVAQLLGLSGAAVLPKRAIQFGTRIARESNRKNFGSNRAANQASAGSVDIYGSSNFLPKLHES >CDP16840 pep chromosome:AUK_PRJEB4211_v1:3:16613160:16613628:-1 gene:GSCOC_T00019381001 transcript:CDP16840 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFLRTDKHCCNCSNDGAYIRATPLILGLNGQNTESITLEYGINNPSIDDWIGVFSPANFRYKKFVYCWTNFKMTPP >CDP08078 pep chromosome:AUK_PRJEB4211_v1:3:30637507:30642536:1 gene:GSCOC_T00026786001 transcript:CDP08078 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIDISPSKIHILAFPFPAKGHINPMLHLCNRLAAKHFMVTLITTASTFKAAASKGLVELVDKYRKTDPFPPRLVIYDSTMPWALNLARQMGLRGASFFTQSCAVCALFYHMDQGTIQLPLDESVTVKLPSMPALESTDLPDLQYFPDPDSVVTRHLLDQFSNIDKVDFILFNTFEELEEACQLNHLSRVSTNPNLTVGPTAPLLFVDKRLQNGNDQQGNYLFETNAEACLKWLDERETCSVVYVSFGSIAAPDEYQIEEVAEALLRSNCKFLWVFREEEESKLPKNFKSESSEQGLIINWCPQLEVLAHRAIVCFMTHCGWNSTIEALSAGVPMIAVPQWIDQTTNAKFIADVWQTGLRVKLNDKGFVGREEFEYCIREMTEGERGTEIRRNAKKWKELAIQAVGEGGSSDRNLEDFATSLLCLP >CDO98752 pep chromosome:AUK_PRJEB4211_v1:3:542827:550495:-1 gene:GSCOC_T00025664001 transcript:CDO98752 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKEETSCIGVEAATPKAYVRREAGHLQWHHGAFQDVKDSVRSEVRQMLHSRAEVPFQVPLEVNIVLIGFNGDGGYRYTIDSQKLEDFMRVSFASHRLSCLETGQPLDIEHHIVYNVFPVGQPELIALERALKEAMLPAGTAREADFGREVSLFEVDATVVEAEFQNLYSFLFDMENGGHSVEEMDRPWPTAIFVVNFDKVRVDPRNKDIDLNSLMYGRIAQLNEEELQKQEGDYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSSKTLPRLKNVIFPRGSNLVSEHSAHDIFVGQLGALIATTVEHVIAPDVRFETVDMATRLLIPIIVLQNHNRYNIMETGHNYSINIEAIEAEVKKMVHRGEEVVIVGGVHPLHHHEKLAIAVSKAMRGHSLQETKTDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEVSDPSLSSKFFLRQNWVDQSDSSSDSLLKHKPIWATYGQWGKDKKRRKEMKKQGDLYRTYGTRVIPVFVLSLADVDENLMMEDESLVWTSNEVIIVLQHQSEKIQLSYVSELERRQAIPSQAQRHILAGLASVVGGLSAPYEKASHVHERPIVNWLWAAGCHPFGPFSNTSGISQMLQDVALRNTIYARVDSALHRIRDTSEAIQAFAAEYLKTPLGEPVKGKKNKSSTELWLEKFYKKTTTLPEPFPHELVERLEKYLDNLEEQLVDLSSLLYDHRLQDAHLNSTQIFQSSIFTEQYVDHILAREREQMKCCSVEYRLPVQSSQNFIYAGILLAGFVVYFVVIFFSAPVR >CDP16562 pep chromosome:AUK_PRJEB4211_v1:3:25762362:25764796:-1 gene:GSCOC_T00018956001 transcript:CDP16562 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFILTVAGVGAVILLMRTDIKQSATIFRRNVRQIRHWLEEESASAAKEMEKAKPKEIPGKDIPKEEKH >CDO98964 pep chromosome:AUK_PRJEB4211_v1:3:2161489:2163237:1 gene:GSCOC_T00025953001 transcript:CDO98964 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFRSVSAEDPSPPSSPKTSSDPSYNSETTPSSPSNSQSQNPNPNPQISSVTTTSSWATFGSSFFKSVASKSESVIRNYRNDLEEFSSGLRKETTVIREAARRAVENLPGRLESGAAVAQTSLESVGQVIDDLGGAVSGIIIHGKDSIFHPNDGDFDASDVELVESGDGNGVNKQNLKPYSRIDALIRAMQCDIKTYCEEPEEVGEYEEWKKGFLIDEKIGEIEDLVDENGVIGEIYDEVVPGKVDRETFWSRYFYRVYRVKKAEEARVKLVRRAIEGEDEEDLSWDVDDDEEDGDSGFKSREELKKEIEETEKELANGDKKRSSVSEDSVEGRLEDGKSDRGSSTGKNDISDFSLVSSQRSSHEEEEIGWDDIEDIGSDDDTKVAARGGPNNADLQKLSTAAEKEEQLPWDTEDDDEPARS >CDO99260 pep chromosome:AUK_PRJEB4211_v1:3:4802738:4806990:1 gene:GSCOC_T00026348001 transcript:CDO99260 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMSSVRRLKERGGGGAKITANAATTTLKHPKSLTPQSEKPLFSRGCGSKESLKRPAGKENSRPTSRGRAAMVQSQKPIMKAMPRMDKISAANGVGNGFHGFANNEVEGRPRWSTSSAPVQVSVQRGRSSSPSEFNRGLLSSGKSRNSSVEKKRGSFKCLNEKVGEKSELLKGGAENLIKSGEVYDEKEVNLSSNSVKFKLDDSDEKLNLSRNVKIENIKDEKEKDVSEINSKESKTKESKMMNRSGGVLKIKDGNGNGVSGSSANVKYPSKLHEKLAFLEGKVRRIASDIKRTKEMLDLNNPDNSKMILSDIQEKITGIEKAMGSVGNNDDDLKANVVASSEIDVEKVKASEKMQVNKVDEGKSLVKALNANELEARLFPHHKLLRDRTSQKSASESAESHKIEVVVTDGELKVEKSISPVDENPIAMEFLASLSQGRCEDTIRVGTFGPEISEVQETDGAVTSRENNRLSDSLNGKGSFDLTLLADEKLEEFDDQENMSRMIIEEEAEDSSLYELNQIGQKMTTGGWFVSEGESVLLAHDDGSCSFYDIINSELRHSNCVSVNIAIPRGPCKLCFLFLQGKATYKPPHGVSPNMWRDCWLIRAPSADGCSGRYVVAASAGNSVVSGFCSWDFYTKEVRAFHAETGLSTARTALAPLPNNTIFRRNVLSTSIAPENQQWWYRPCGPLIVSAASSQRMVRVYDVRDGEHIMKWELQKPVLGMDYSSPLQWRNRGKVVIAESEAISLWDVSSLHPQALSSISSSNRKIDALHVNNTDAELGGGVRQRVSSSEAEGNDGVFCTSDFINVLDFRQPSGIGLKIPKVGVDVQSTFSRGDSVFMGCTNLRSAGRKQYCSQIQQFSLRKQRLYSTYVVPESNAHSHFTAITQVWGNSELVIGVNGQGLFVFDALKDDVLQSLDPDSGKDMWNVREVIGPDDLYSPSFDYLASRVLLVSRDRPALWRYLS >CDO99302 pep chromosome:AUK_PRJEB4211_v1:3:5241900:5246075:1 gene:GSCOC_T00026411001 transcript:CDO99302 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAEPVVSFIIERLSNLCIEEGKHLHGASDQAEQLQSELKLMQGLLRDADAKQRDDAVIREWISQSKDLAYEAEDLIETFAFKVGSRRGGGLVNVLKRYTCIFKECYMRHRVGVDIQGLNTRVSNLTSCFRDYGIRTIVEKEGPSSRQLQWIRRIYSHVEEEDFVGLERNVEVLVPKVVSEDGTSHYRVVSICGMGGLGKTTIARKVYNHPNVRRHFDRFAWFCISQQWQTKEILQGILVNLIPEKKDEIVKSWSADELVRQLYHIQQNKRCLIVLDDIWSFDAWECIKYAFPTREKGSKILVTTRNKDVVVRMDAFHHEPRLLSFDESWELFQKKALGERYNHEGPITGELAQISKKILDGCGGLPLAIILCARMLRTAKEDEWLKVLQSLPKTKSRWMYGGPQGLDYLWSAYCALPLRLKACFLYLGNFPNNSRIQVEKLCQLWIAEGLISAEDRASEETMMDVAAKYFSELVVRSLVTLEEDEVSDLRLMSGHVHDLIRDLCISVGAEVEFFEIMEREGTSYYQRQMRSEAQRCAIYFNRYYNVSDVFPSYNLRSLLCLNSERSGQGSRWPRGLFNFKKLRLLRVLDFDRVSFQDGKLPEGVGELVHLRYLSFRGCYLEHLPSYIGNFLYLQTLDLRVQKDCIMTISNVIWKLKRLRHLYFPLAFQTSDHHGMLKLDSLKELEILEGLDTSVCKAEDLIKLTNLRILAATAEGNLKDLELIIRCIGINSSHLKRTSLDIKKFDCYSEERLSLIKRLFSCPVLDTLQIEGRIGKTSEIGTISGRFTEIVLNGSELDQDPMPTLENLPNLRILVLEVEACLGKKLRCSDTGFPELRSLKLSKLYNLEEWEVGKGALQKLSTLEVSMCRRMKKLPEGLQSIITLRKLKISMMPQQFLGRLRMKNGTGGEDRHKINSKCSIEFGNDDPWLESTNSASQQNNSFDGRITESQASSSSHSPNLIGSFACIPETERERDVYLQ >CDO98959 pep chromosome:AUK_PRJEB4211_v1:3:2124101:2127847:-1 gene:GSCOC_T00025947001 transcript:CDO98959 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHGKCCGRYPQSPDRSRREELGTYTGHGTHVLADRSLDIVHVPSHKFRLEYSVVTQRGYYPETPDKENQDSYCIKTHIQGNPNVHFFGVFDGHGQFGTQCSKFVKDRLVEILSNDPTLLDDPVKAYNSAFLATNEELHISDIDDSMSGTTAITVLVVGDMLYVANVGDSRAVLAVKEENKLVAEDLSYDQTPFRKDECERVKLCGARVLSVDQVEGLKDPGIQTWGDEETEGGDPPRLWVQNGMYPGTAFTRSVGDSTAEKIGVVAVPEVSAVHLTSSHPFFVVASDGVFEFLSSQTVVDMVTRYTDPQDACSAIIGEAYKLWLEHENRTDDITIIIVHIRDLSNFVVSANSDSNEGKTRSDALRTENGTSDVFFTPSGSEVCHSVRSDFSERYSYQNTMPKDQSLANVASPAMLA >CDO99192 pep chromosome:AUK_PRJEB4211_v1:3:4171891:4173917:-1 gene:GSCOC_T00026254001 transcript:CDO99192 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGGREDESHAFPSYDRERELKAFDDSKAGVKGLFDAGVTKVPRMFVHEHNIPVVKSGSSSKLDYRVPVIDMRGTNHSEIVNQVRHACENWGFFQVINHGIPEVTLGNMLEGVRFFHEQEAEAKRKYYSRDLAKKVVYHSNIDLYYSKAAVWKDTITFKMVPCPPEPEEIPLVCRDTSIEYSKCAMELALILLRLVSESVGVDATLLENIGCAQGLVVKGHYYPPCPEPELTFGTADHTDNDFLTIILQDEHGGLQVLHKDEWVDVSPLPGALIVTNDKFKSVRHRVLANKIGPRISVASFLRPQYGEGYVSRPYGPIKELLSEENPPVYRETTIEEYTKCFLMKAMDGNAALSHFRS >CDP08040 pep chromosome:AUK_PRJEB4211_v1:3:29647225:29651413:1 gene:GSCOC_T00026725001 transcript:CDP08040 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVCGQGAFKDLKNSFLDDANGSRILVTTRSCGLALEISPYGELHSLRALSVDESFRLLNMKVFNEEDCPKELAAAGKEIAWQCRGLPLAIVAVAGLLKMTEMSRNSWKKIANSLSSQVLNGPEAQCRSVVELSYQYLPEYLKPCFLYMGVLGKNKDILVSKLIQLWLAEGLIPKTQTKSFEDLAEDFLMELIDKSLVIVSKRRSNGKVKACHLHSFMLDFCQSKAKEANFVELVTFQNHFLESRPSGLGTRSLVFFASTDSKTKRPYDISLILHNFKLLKVLDFECMDMASFPVEIGLLIHLRYLAVGGYVTSIPKSLGNLRKLETLIVKGLSGKIILPDTIWCLTSLRHLHVKIHVAFNLDDAVSENCSVLENLVSFSCLSLPCGQDAERMLKRFPNLRKLSCIFYESPHSSTTCNQFPALDFLTHLDMLSGWKDIPRNLKKLTLSDFHLPWCHISAIRSLANLEILKLQSGAFEGQTWEMEEGEFQRLRFLSLDTLDIVQWNASCDHLPRLERLVLQNCNDLEEIPFDLVDILSLQMIEVNCCAQSVEESAKEIGDASGEVKVLIRSSDLTT >CDP10279 pep chromosome:AUK_PRJEB4211_v1:3:12030765:12032211:-1 gene:GSCOC_T00030955001 transcript:CDP10279 gene_biotype:protein_coding transcript_biotype:protein_coding MADFAKERDWDQFHSPRNLLLAMVGEVGELSEIFQWKGEVPKGLPDWEGEEKQHLGEELSDVLLYLIRLSDICGVDLGKAVLRKLELNALKYPVNLCKGSYKKYKHIHNNATTTTSTSIINDSKNGVADNAV >CDP16811 pep chromosome:AUK_PRJEB4211_v1:3:15865695:15870237:-1 gene:GSCOC_T00019332001 transcript:CDP16811 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASKLFRAGRSLLGGLKDPNGSIGMSKDLPRSYLFSQQQRTFIQMRTNLKVVDNSGAKRVMCIQALKGKRGARLGDMIVASVKEAQPGGKVKKGQVVYGVVVRAAMQRGRSDGSEIKFDDNAVVLVNKQGEPIGTRVFGPVPHELRKKKHVKILSLAEHIA >CDP15463 pep chromosome:AUK_PRJEB4211_v1:3:17275796:17278017:1 gene:GSCOC_T00043204001 transcript:CDP15463 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKCKLISEYMTKVMNILKMLCQYIVMMSKRLIDHETTSKELLQLGWTPCFEQILHWKILSYFMFHKMDVHQPQLIFKYLPILSFTESYVYQLDTLNEKLRQSPTYGVQLGEIESYAVCQTEERRAIRSLKMLEADPFKPLLILLEH >CDP11193 pep chromosome:AUK_PRJEB4211_v1:3:8813688:8815451:-1 gene:GSCOC_T00033286001 transcript:CDP11193 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKKALITALLFLFFLSTQPILVPSSARKILETNEYGSNDKVYAAKKAASYQPSQPTEGIPIGYGFP >CDO99069 pep chromosome:AUK_PRJEB4211_v1:3:2953458:2954555:1 gene:GSCOC_T00026089001 transcript:CDO99069 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCQHLKPDESLSPFTPFHEGISMLLSRWTALQMAVQNQWGGRDSFMKSQQLACEINSWFSQPKVSLHIEDLESLLHERLLLSFNTEIEDGSIEEIAEQLMTMHEESLHGNRSSVESNQHLLESAQDAPPKRTGAVVRLHLLPD >CDP08030 pep chromosome:AUK_PRJEB4211_v1:3:29420913:29423054:-1 gene:GSCOC_T00026705001 transcript:CDP08030 gene_biotype:protein_coding transcript_biotype:protein_coding MASLISDTVKRLWDEWNLRAAVLISLFFQMVLISLATFRKRTGNRIVNAIIWSVYLLADWLAAFAVGLISNGQSNDNPDKFRVNEELAAFWAPFLLLHLGGPDNITAFSLEDNELWIRHLLGLVIQLVAVAYVFAQSIHTDLSVPTILLFFAGAIKYAERTRALYLGCLGSQTSEIRGETDWTTEQNPHLDVVQTGYEFFTTFRGLIVDHMFSFHERNKSRNFFSRRSARDAFRVIEVELNFMYDSLYTKMAVVHSNIGYVFRFICSVLIVLSLVEFASHHSPEINHFDVAVTYILLYGAVGLDLVALIKVIFSDWTVVVLKNRRVKRIVSAVRDTLSSDRRWSNTISQYNLINFCLNQRWRWLDIAAETIGLKAVLDEMKYKKDFFIQDNLKEFIFQELKGKARKAETNKVAKEIYSARGKRALPDYICYRSSTMSSSVSEEVDYDESLLLWHIATELCYSTSPGDKNSNREFCKLISDYMLYLLVMRPTMMSAVAGIGQIRFQDTCEEAKKFFSRESSSATRQRVACEKLLNVNTDVEPIYVKGDRSKSVLFDACRLAKDLRNLEDDQRWEIMSKVWVELLSYAASHCRPNAHAQELSKGGELITFVWILMAHFGLGEQFRIEAGHARAKLIVGK >CDO98894 pep chromosome:AUK_PRJEB4211_v1:3:1638562:1641060:-1 gene:GSCOC_T00025849001 transcript:CDO98894 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIAAIVGGVGGILAFLVVISLIFWFCISHCQKPSNKNSETGSSDPSAVGNLELKRGGASSSTSPPLAGPQEARQFRLEELEQATRNFDEGNLIGCGSLGLVYKGLLCDGTVVAVKRLTGAPRREFVEEVIGLSRIHHRNLVTLLGYFQDGSYQMLIFEYLPNGSICNHLYDTGKEGMPKLEFRQRLSIALGAAKGLCYLHGQIPPLVHGNFKTANVLVDENFTAKVADAGLSILLGKFEYAGPSSTSANAFRDPEMVKMGRFFETSDVYSFGVFLLELITGMDASHMGAFGSSESVVTWVERHLNSNNMVDHRLVGSFTEEGMRDLIRLMLRCMSFPGRLRPTMEMVAIELDQILEKEIMLTTVEGEGTIVTLGSQLFTN >CDP03430 pep chromosome:AUK_PRJEB4211_v1:3:22042557:22052611:-1 gene:GSCOC_T00015179001 transcript:CDP03430 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVVDALLGSTVKVLVEKAINLASEQIGLFVGFKKDLEKLKDTLTLIQAVLRDVEEQLVTQEFVKRWLENLEAAAFDAGNLLDDINYEIIRSKVKIQNQMKRKVCFFFSLSNPIAFRCKMACKIQKINMDLISINEQATKLGLLRETNSAAVGASFVGRDDDVSAIVTELTTVTSNSETISVLPIVGLGGIGKTTLAQNVFNNLYTKNHFDKRMWVCVSDIEKHFDANGLFALMLENLEVSTSEVAGRDSMEAKVQKLKQILDGEKQLKYLLVLDDVWNEDLALWDRFLDSLRGISSAKGSWVLVTMRNKRVATSTAIPSSPWPLKELSDDHCWLILEKKAFGNGEAPDDKKELGLELAKKCQGLPLAASVLGGMLRNKEIDEWRSILYTGLQNRGGQGDDPITKILKLSFDHLPDPALKKCFAYCSIFPQDFQMERNQLIQLWAAEGFLHSDPRKNMCMEEVGNDYFTILLESNLFQDAVKDGYGNVLNCKMHDLVHDMVQSISECRTLRLKEPTEVDFHGKTFRYLVVERSVENRSIDDGLITFLAWLRVLNIASSDAEELPKSIGKLSHLRYLDSSNTPMKTLPDSLCKLYNLQTLRLQNCKSLTKFPSNFKNLVNLRHFDFFTIGKSSDLTPLEIRQLHSLQTLPFFNIGEETGQQIGQLGTLKNLKGSFDIRNLELVKSKEEAESANLIGKPNIDELRLLWNEPENPRENDCECNQVLEGLHAHQNLKYLMIQGFFGDQLSTWIAKLGRLVKFKLRNCKNCKELPTLGHMPSLISLHLEGLDSITSIGPSFYGGSGMQSGSSSQEPLKLFPALQHFILEDMPKLREWMEATVHDRTVVVFPVLDTMRIINCPELATFPNHFPRLKKLSISEIQNGSALPNVLFQNNPKLAHLKLSNCGDLAQFSDFSFDVYLFDALPPPLPYFPSLSHLWLNGWPYWESLPEQLQHLSALTHLRLAGFGVNSLPDWFGKLSSLETLWLSNCDKLENFPSHQSIRSLTRLEVLWITDCPLLKERCDLERSSSSNTDPNSEWSKISHIPGIVIDLKNIRG >CDO98928 pep chromosome:AUK_PRJEB4211_v1:3:1877584:1883664:1 gene:GSCOC_T00025893001 transcript:CDO98928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06350) UniProtKB/Swiss-Prot;Acc:Q9SQT8] MELVTSEVGLKMESGTRRNPTLICAPLMADTVDQMLSLMQKAKLSGADLVEIRLDWLKSFNPRTDITNLIKHCPLPTLFTYRPIWEGGQYAGDEKSRLDALRLAMELGADHIDVELKAIDEFNDSVHGYRPAKCKVIVSSHNYHNTPSSEDLGNLVACIQASGADIVKIATTALDITDVSRVFQITVHSHVPIIAMVMGERGLMSRVLCPKFGGYLTFGTLDSGKVSAPGQPTIEDLLNLYSFRQLGPDTKIYGIIGKPVSHSKSPKLYNEAFKSVGFNGVYIHLLVDDVGKFFETYSSTDFAGFSCTIPHKEDALKCCDEVDPVAKSIGAVNCLVRRPTDGKLFGCNTDHVGAITAIEDGLRGSRHFSESPLAGKLFVVIGAGGAGKALAYGAKEKGARVVIANRTYERAREIADTVGGQALSLSDLDTFHPENGMILANTTSIGMQPKVNETPISKEALKHYALVFDAVYTPKITRLLGEALEAGAKIVTGIEMFIGQAYEQYERFTGLPAPKELFRKIVA >CDO99154 pep chromosome:AUK_PRJEB4211_v1:3:3795945:3799793:-1 gene:GSCOC_T00026201001 transcript:CDO99154 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAVSLALGTVKDLLAEEARFLSGVADQVKEVEVYLIRMQCFLKDADKRQLNDSTVRNYVREIRRLAYRTEDVLEKYAVEIESRRRGHGFRKAFRRFACLISEGISLHRVGSEIASIKAEISTLTTNLQTYGVIALSSTEDGQSSNARLDQNQQRLRQTYPDQVEEYFVGMEDDIRQLVSLITQEENRSHRVISVYGMGGLGKTTLARKIYKHIDVERAFKQFAWVSVTQQYNTTTVFRDILKQLLYRVQKETKSFVVLDDLWEIEDWKRLSVAFPFAEADSKILITTRNQKLAEVEFPYRLNFLNEDEGWELLQKRAFAKRNGADCESDPRLEAVGRAIVRKCGNLPLAISAIGGVLSQKTSLEEWETVKNDVDSYIRMSEGGKEEGYGAVLQVLALSYDELPYHLKPCFLYLGQYREDEDIDAEMLYRMWTAEGMVSSDHRRKGETLTDVAERYLYEMASRSMLQVKFYEFSTSRKVESCYLHDLMRDFCLARGKEVEFLKLLDFRGGNDPLSDYSTERDDCTPRCSIHMEDGKKHCLGDVDSMISMALEASGQLRSLTLSGGTERRTASISFPEVICDSTKFKYVKVLKFEGYRFMGKGLPKGIKKLVSLRFLSVKDSDLETLPSSIGQLQFLETLDIRVSYQIKVPDVFRELKGLRHLYFSVHTEVEGGQLSFLGLSKLETLVGFNGNFGDLKHLSGLNNLRFLGATVHISEEKNDLPQMLNYLNSNRHKLREAHLNIHADGEEEVVLPFLDLLSCHCLRKSAIFKGRCEFQKVRAPLSPSNLSELLLWDCSIEGDPMSVLGDLPNLRRLLFLLVDLVERNVMISDANAFPKLASLEIIDIKNLEKWVVAEGSMPNLSHLTIEECDELEMIPDGLRFITTLRRLEIYMPEEFIVQRINGIDGREGPDRDKIRHVPVIKIESYGSILKNKHLIQPTDDIWSEESSSSVDCKSSHH >CDP03437 pep chromosome:AUK_PRJEB4211_v1:3:21831115:21837968:-1 gene:GSCOC_T00015194001 transcript:CDP03437 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAKPKSKRVASLDAFRGLTIVVMILVDNAGGVYPRTEHSPWDGCTLADFVLPFFFFSSLLDLRSRLPSRVPEVNAAVRKIILRTLKLFLWGLLLQGGYSHGPDKLTYGVYMNRLRMIDLKFRKALVYLVVALIETFTIKLRPITVSPDFFSIFVAYKWQWLGGFVSFLIYMITVFTLYVPNWRFVVLNSQGAAKRYTLINLISKGINHLYSELVWKRLKACAYTSPNSDSLREDSPTRCLGPFEPEGLLSPISAILSGTIGIHNGHVLIHFKAQVLGVNGTWFNHLAILLHFTDAIPMNKQLYSFSCMCMTAGVAGIMFMAYTYWKPFLFIEWIGMNAMQVFMMAVQASTTYIIFFESVSRDNITWKDVNWILKHVFIDVWKLERVGTLLYVIFTEIVFWAVVVGVLHKLRICWKL >CDO99115 pep chromosome:AUK_PRJEB4211_v1:3:3292786:3295410:1 gene:GSCOC_T00026145001 transcript:CDO99115 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFEKSVAKSPDALQTPNSESVSALKDGFLAQHFSSLQPGSVTINLGSSGFMAYSSDKQNPLLPRLFAVVDDIFCLFQGHIENVAHLKQQYGLNKIANEVIIVIEAYRSLRDRGPYPPDQVVRDIHGKFAFILYDSTAKTTFMAADVDGSVPFFWGTDSEGHLVVSTDLDVVKKGCGKSFAPFPKGCFFTSSGGLRSYEHPHNELKPVPRVDSSGEVCGANFKVDAEAKKGGTGMPRVGSAANWSQNY >CDP08132 pep chromosome:AUK_PRJEB4211_v1:3:31377564:31378644:1 gene:GSCOC_T00026869001 transcript:CDP08132 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPAQSLQVHSHPRCGDRAPHKLQGSARWTGQTPRFEGAAPSTPPTVVGLHRLVLQLNIGSLGSNLQEPGVKNVTVKTVTLSGTQNGLRIKTWAMPSNGFVTGVLFQHAVMVNVQNPIIIDQNYCPNRAKCPGQASGVRISDVTYQDVHGTSATEVAVNFDCSKKYPCSRIILEDVNLSYKDRPATASCVNAGGSSSGLVEPKACL >CDP14635 pep chromosome:AUK_PRJEB4211_v1:3:14292903:14296874:-1 gene:GSCOC_T00042011001 transcript:CDP14635 gene_biotype:protein_coding transcript_biotype:protein_coding MATSILLSNSPFQFPQFSKPNSPLHRRQAPKTKTPYHSLNPIFSNKPSKTAFPNTPQANSLFFPSTNPRKRPLIPLALGNQAEETEFLVGEDSAEFDLSKQRISSWIYFTAILGIVLFVLNVAWIDNSTGFGKAFINAVSSVSDSHEVVMLTLTLIFAVVHSGLASLRDTGEKIVGERAYRVLFAGISLPLAVSTVVYFINHRYDGVQLWQLQGVPWLHHLLWLSNFVSFFFLYPSTFNLLEVAAVDKPKMHLWETGIMRITRHPQMVGQVMWCLAHTIWIGNSVAVAASVGLIGHHLFGVWNGDRRLAIRYGEAFEVVKNRTSVIPFAAVLDGRQKLPRDYYKEFLRLPYLTITVLTLGAYFAHPLMQAASFGLHW >CDO99032 pep chromosome:AUK_PRJEB4211_v1:3:2642043:2649679:1 gene:GSCOC_T00026041001 transcript:CDO99032 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAERRIKALQSHLNPSNCDEPSVLHPNPTSGEFVSDQGYSVVLPENLQTGKWNVYRSARSPLKLISRFADKPEIATLHDNLVQSAKSFSDHKYLGTRVQDDGTVGEYRWITYGEASTARSAIGSGLVSCGLQKASHGKGSCVGLYFINRPEWLIVDHACSAYSFISVPLYDTLGPAAVKYIVNHASIQTIFCMLQTLDTLLRFLSEIPSVRLIVVVGGVDNRIPTLPSTTAVEVVSYSKLLSQGLSNLHPFCPPNPDDIATIMYTSGTTGTPKGVVLTHANLIANVAGATYGAVLYPSDVYISYLPLAHIYERANQILVVYYGGASGFYQGDLLKLLEDMAVLKPTIFCSVPRLYNKIYAGIMNAVKSSGVLRQRLFYAAYNAKKQAKFSGKKSSPMWDRLVFNRIKAMLGGRVRSMVSGASPLSPDVMDFLRVCFGCQVVEGYGMTETSCVITIMDEQDILSGHVGAPNAACEIKLVDVPEMNYTTEDQPYPRGEICVRGPIVFQGYYKDEAQTRETIDEDGWLHTGDIGLWVPGGRLRIIDRKKNIFKLAQGEYIAPEKIENVYATSKFVAQIFVYGDSLNSSLVAIVSVEQEMLKAWAAAQGIKCDHLQQLCTDQRARSAVLADMDAVGRAAQLRGFEFAKAVTLVLEPFTLENGLLTPTFKIKRPQAKAYFAKAIADMYAELSASDSSSQKMKL >CDP14671 pep chromosome:AUK_PRJEB4211_v1:3:15506693:15511263:1 gene:GSCOC_T00042078001 transcript:CDP14671 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSALKNLFPYNCSLKLPPPKFPLFFRSTAAKAITPAAADFSTLECSSSSISNSAQKKQKAKNAKVLKQKTSSFVESQVKRRTRSDREIDEDSLLKYYGNDNSCPHVPVLVGEVLDVFASVPLRSFVDCTLGAAGHSSAIIKAHPEMKLFLGLDVDPVAHEIAQARIKGDLYPDCCKFTSDLQVKTFVNNFKNIKSVLHDIDEKLLVDGILMDLGMSSMQVNNAERGFSVLNNGPLDMRMNPQVTAATSLWSSMF >CDO99399 pep chromosome:AUK_PRJEB4211_v1:3:6435760:6442199:-1 gene:GSCOC_T00026560001 transcript:CDO99399 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSSTRDLQSLLDAIKASDVVENRVQLLTELKELIISETSELTSIIEALTTILSIAAKYLDLDISGCQGEFLGLGIKASAWCRKHLKMTLMSTEESPEEEHSDLFFEYFPNFLSHSKELLLNLLSYSAASFSAMARYPISSSKEFILTIKNFISEQLNLTKDALSEIKRIHGFGSEVQKVSQVVLDAVIRLCKVYCNGVNWDFDSLKMNEDKKVLVIEGASDADHVINITKCTIDKLCEVGILAANDGGSLVSVLNLSWKGVVTLLQLGKGALAATVDVASVVVSLISLAQQSLRCAAETWSLQLKETLTMTEAKRIFLPVKFYLINAVRIVSQYTTQAFSVYKEMVGCVVMISSLKFFLSKEEHLKSATEALVEILQPTSFHLLNSLLNSIQVKQEEKFQVLNWLFSDESNLNPVPRVSNGENLPYTVDGIHFSSCAAMAVQTFFLGRVNLFLELLKSSADLEDDVKFWMARKLQWLLDILVDEHVYAASLTLQVPVSRKNQEFIYQPFFHTIVNSLETFMVVMSSNPAWGEIESFLLENLLHPHILCWEIVTELWCFLLRHAETSLVRDIIDKLCALLGYTAFPEAVFSPGSALRKIARSICLIVTCCSQAVADQVYDAVTGDNKSQDSSMIYTALLMEGFPLTILSQRTRSTAKARVLTEYFCFVESFGSELPKTSGFEIYGAPVDALSATLLSQQVSISDPEMKTLKFLVAIIDKYRKCNDSKLKEIYCRLLTEVLTITSNMKHLYSCDEIEGVILELRNLFIPRPALSAEDSLLFQCKPNLANFMAGLGHVELAESDDNARTSAVWELYHMLLREQHWAFIHLALTAFGYFAARTPCVQLWRFVPQDAALSFDLESGNEADEDRFMAELKGFLDKESASILTKPTPDHTGMLLKEGLKLKEMLNKNLAINEEAAIGDDAMEVDEHNHGNKKRKFPDEIGKGVELLQSGLKVMGDGLSHWQHNQTGLNEIHDKILTHFSRLEDVVSHLVRLTGDA >CDO99411 pep chromosome:AUK_PRJEB4211_v1:3:6576528:6586011:-1 gene:GSCOC_T00026575001 transcript:CDO99411 gene_biotype:protein_coding transcript_biotype:protein_coding MNISPYRFGFSENQGNPLRIMDQMDIDRVPDVPDTPDRLTAQEIKGKSSGRRCSNLSISGNRNSFDGLTRTQFKVNDNGSGKCSMGTPKSAIISSDHCSSDFVSGNPSYSNNPSFFRRMKTDEIHNHERINFQPRKTDRSVYVPSSADQDGCLVDLTERHGHNGLHKNVFPRGAPASTMGSSSITSCFVNKGKEPKDTISGFDRGNVVNCTQLKAGKVASTSLGSTRLPRATGQKRLVRNGCISPHNIAKAKQSVVMDNDGLDGGANHTVRAVSSSPQIPIGKKEWIGEDLSYDRTKGKGVMKILSSVKKPDAQSAHPPSSRNPVVINEVVNEVSDTNGDAGISSEELSGWRNTRNRSKKSNLPCSRENSSIPRDSPGLNKGLPWHMVERGETGSATGTSHSNPLDLDATSSRDASLPFGEQRTSHVGSQVGQFNRHSSFANTLSKRQRQGFASSSHGECSRAAMDNSDVILLGSPENNAGQPRTSGTVSQNSFHSLEPVIEIDETNPEVRGDGSSNVGSNSHDAGDMARQIEADEMLARELQEQLYNEAPGVGVGEIDAHVALALQHGEDLSPIFPRSRAFHARNSSITSSSRQSQSSSALGLLRRGSQARAASGNRMARLRNRFPGRPRTISSSGERNPVFPADMDVDLRMYILETLEAINDMGVANGFLQTQRDFNENDYEMLLALDENNHQHGGASTAQINGLPQSTVQSDNLEEACAICLETPSLGDTIRHLPCLHKFHKDCIDPWLRRRTSCPVCKSSIT >CDP10359 pep chromosome:AUK_PRJEB4211_v1:3:13761638:13763974:-1 gene:GSCOC_T00031068001 transcript:CDP10359 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAGQGGGAAYCSSTITKSSTAVTTTNIESLNSVSVISSQEPFNNNNNPSTRTICVHHEKVGSPVDVISGTEYGAVAAAGKCCSGCDFGPAPSRLEVEKAMTDLLRFLHGEAKSNFHWLQPIVYPSNSRMLQSPGYGRIQDSFSMLQREPSVQNMVVSISCDNAVWDAILNNRAVQDIRGSISAEQKTQACSEEADIVNLILQWILGFTKPKIMDVIEKIGLMMAELFIPGDKEKPTSELADLVEEKIRSSLLLSVVILLIVVVTPNNGT >CDP10031 pep chromosome:AUK_PRJEB4211_v1:3:24538585:24539599:-1 gene:GSCOC_T00030593001 transcript:CDP10031 gene_biotype:protein_coding transcript_biotype:protein_coding MWFFSAATSIGGLDPKPVTTDLPASDSGVLSQNLHPKNESFNEVLLTKFVRLLQQFVNTAEKGGEVDKSSFRDTCSQATALLLSNLESDMKSNIESFSQLLRLLCWCPAYISTPDAMETDVFVWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFASEMRCAGPAAKLRPHLSPGEPELQPEKDPVEQIMAHRIWLGYFIDRFEVCCTFEPAHLCAGSTGILGIKSCVLSYLYRWLRVWLSCVCCCTFLSLLTYG >CDP11203 pep chromosome:AUK_PRJEB4211_v1:3:9133233:9133816:1 gene:GSCOC_T00033299001 transcript:CDP11203 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDCSPPVVHRDITSNNILLDCDYEAHVSDFGTAKLLKKDSANWSALAGTYGYVAPEFAYTMKVTEKCDVYSFGVLIMEVFKGKHPRDLIPRLQSSAPGDIELEDLLDQRLQYPAQKILEILMSIIRIARSCLHVDPQSRPTMHFISRSLSVATPFPGDLGK >CDP15460 pep chromosome:AUK_PRJEB4211_v1:3:17174517:17174814:-1 gene:GSCOC_T00043200001 transcript:CDP15460 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHSLFQVAQKITPNTFMYLPKNVNLLEVEQLSWLSSPPLDIEENTVKGKLKAITVYFGDATIT >CDP16831 pep chromosome:AUK_PRJEB4211_v1:3:16426154:16426501:1 gene:GSCOC_T00019365001 transcript:CDP16831 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMRVLRRLLRKYRESKKIDRHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKIARREECLAQGPGERPVQPAVLAPPAQTAQVSKKSKK >CDP19078 pep chromosome:AUK_PRJEB4211_v1:3:31949600:31950195:1 gene:GSCOC_T00002100001 transcript:CDP19078 gene_biotype:protein_coding transcript_biotype:protein_coding MHICELSPCCLQCKIQKKKIWEQVQPHLGTDDSCIAALGMHTMRTTDGVVVCRSLKNARIS >CDO98988 pep chromosome:AUK_PRJEB4211_v1:3:2309854:2315721:-1 gene:GSCOC_T00025983001 transcript:CDO98988 gene_biotype:protein_coding transcript_biotype:protein_coding MQLINAAEIVRMVTRDNSGNMLGAEIEFGTAWWFIYAGISCFLVLFAGIMSGLTLGLMSLSLVDLEILERSGTLTEKKQAATILPVVKKQHQLLVTLLLCNAASMEALPIYLDKLFNQFVAIILSVTFVLAFGEVIPQAICTRYGLAVGANFIWLVRILMIICYPIAYPIGKILDCLLGHNEALFRRAQLKALVTIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNVIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKAKGKSRHVPPTVDEGKSEGNAVSSGNSRLTTPLLSKQDEKSESVIVDIEKPSRPASNHTLTYSEAVTHGLPSTVDDVEEGEVIGIITLEDVFEELLQEEIVDETDEFVDVHKRIRVAAAAAASSVARAPSIRRLTAQKGSAGQLKQGSTPRKSGEVDSSSTKLQGNIGEPLLGDKR >CDO99142 pep chromosome:AUK_PRJEB4211_v1:3:3616210:3618225:-1 gene:GSCOC_T00026187001 transcript:CDO99142 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIHYNIMLRILGKARRWDEVERLWDEMSKRKIEPINSTYGTLIDVYGKGGRRKDAMNWLELMNKQGMEPDEVTLGIVVQMYKKAGEFKKAEEFFKMWSTGKSAAVGQGNGRLGNSGATKCDSQTPVSLSSITYNTLIDTYAKSGQVNEACQTFDQMLREGIVPTTVTFNTMIHMYGNNGQLEEVASLMRKMEYLQCPPDTRTYNILISLHAKHDNIIAAANYFKKMKEASLEPDVVSYRTLVYAFSIRHMVGQVEELISEMDESDLEIDEFTQSALSRMYVEAGMLEKSWLWFLRFHLSGNMTSECFSANIDAFGERGHVAEAEQVFICCQERKKLSVLVFNVMIKAYGISKKYDEACCSFDSMELHGVLPDRCSYNSIIQMLSSADMPEKAKDYVRKMQEAGLVDDCVLYCAVISSFVKLGKLSMAMGLYKEMISFDIQPDVVVYGVLINAFADIGSVKETAIYVNEMRNAGIPPNAVICNCLIKLYTKVGYLREAQETYQMLQSFEVGPDVYSSNCMIDLYSERSMIRRAEEVFEDLKRKGHANEFSYAMMLCMYRKNGRFPEAIEIAQKMKELGLLTDLLSFNNVLVLYASDGRYKEATEIFKEMLISAIQPDNSTFKALGIILVKCGVSREAVQKLEQIRKKDSKRGLHRWRSTLFSVIGMSVNS >CDO99042 pep chromosome:AUK_PRJEB4211_v1:3:2764594:2768314:-1 gene:GSCOC_T00026054001 transcript:CDO99042 gene_biotype:protein_coding transcript_biotype:protein_coding MGDISCSNGISNGNGFNGNGHAQRKSCWYEEEIEENLRWCFALNSILHTGATPYQDIQLLDTKPFGKALVIDGKLQSAEIDEFIYHESLVHPALLHHPNPKNIFIMGGGEGSTARELLRHKTVENVAMCDIDEEVVEFCKSYLVINRDAFCDPRLDLVINDARAELERREELYDVIIGDLADPIEGGPCYQLYTKSFYESTVKPRLNQGGIFVTQAGPAGVFSHAEVFSCIYNTLRQVFKYVVPYSAHIPSYADIWGWIMASDSPLILNADELDLRMKQRIKGENRYLDGQTFTSASTLSKAVRKSLDNETHVYTEENARFIYGHGTAQKHNQA >CDO99429 pep chromosome:AUK_PRJEB4211_v1:3:6885693:6895486:-1 gene:GSCOC_T00026600001 transcript:CDO99429 gene_biotype:protein_coding transcript_biotype:protein_coding MVGARERIIAWAREPWRWHWISRPDSRFREVAKLKAVCWLDIRGRIESQMLSTGTTYAAFLVFKIAEEHYGIEKATSLIRFVNHESDGEAKRRAAPVHLVSREGMNHPAEFGGKFPKMRTDGWMEVELGNFYIGTGDEGQVEARLTEIIHDGKSGLTIEGIEFRPDFSQETKKMGEIDNGMSSPFQFLPEDCVSNIISLTSPQDACGASVISVGFKSASESDTVWEKFLPSDYKEIISNSVSPLNYATKKHLYFHLCHSPILINNGKLSFWISKSTGKKCYMLPARELCIAWKDTPRYWSWTSLPESRFPEVAELVDVCWLDIRGNMPTRLLSLKTNYAAYLVFKTTENSYGLEAVAKASVSFAAATTGTSSSSAETSDVFEPEGDSIDGRVPRQRNDGWQELLLGEFLNDEGDGDIDIKVSETKILNSKRGLILEGIELRPKEEV >CDO98847 pep chromosome:AUK_PRJEB4211_v1:3:1223265:1230317:1 gene:GSCOC_T00025785001 transcript:CDO98847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal fatty acid beta-oxidation multifunctional protein MFP2 [Source:Projected from Arabidopsis thaliana (AT3G06860) UniProtKB/Swiss-Prot;Acc:Q9ZPI5] MSSRNPKGRTTIEVGADGVAVITIFNPPVNSLSLDVLNSLKESYEQALRRDDVKAIVVIGSNGKFSGGFDITAFGGIQEGKFPAPKPGFVSIEILSDTVDAARKPSVAAIDGLALGGGLEVAMACHARISTSNAQLGLPELQLGILPGFGGTQRLPRLVGLAKSLEMMLMSKPVKGEEALSLGLVDEIATPDQLLATARRWALEILDRKRPWVSSLYKTDKLESLAEAKEILKFARAQIRKQAPNLTHPLVCIDVVEEGIISGPLAGLFKEAEAFQVLLHSDTCKSLVHIFFAQRGTTKVPGITDRGLAPRRIKKVAILGGGLMGSGIATALILSNYPVILKEVNEKFLQAGIDRVRANLRSRVKKGKMTQEKFEKNLSLLKGVLDYDSFRDVDMVIEAVIENVSLKQQIFADLEKYCPSHCILASNTSTIDLDLIGEKTRSHDRIIGAHFFSPAHVMPLLEIVRTQKTSPQVIVDLLDVGKKIKKTPVVVGNCTGFAVNRMFFPYTQAALLLVERGTDVYKIDRAINKFGMPMGPFRLCDLVGFGVAIATGSQFVLNFPERTYKSMLIPLMQEDKRAGETTRRGFYVYDNKRKASPDPEIKKYIEKSREMSGVKIDPKLAKLSDKDIVEMIFFPVVNEACRVLAEGIAVKSADLDISAVMGMGFPPYRGGILFWADTFGSKYICSRLEEWANLYGGFFKPCAYLADRAAKGAHLGSTSDPAQSRL >CDO98860 pep chromosome:AUK_PRJEB4211_v1:3:1339229:1342471:1 gene:GSCOC_T00025805001 transcript:CDO98860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translationally-controlled tumor protein 1 [Source:Projected from Arabidopsis thaliana (AT3G16640) UniProtKB/Swiss-Prot;Acc:P31265] MLVYQDLLTGDELLSDSFPYKEIENGILWEVEGKWVVQGAVEVDIGANPSAEGCGEDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVAYIKKYIKLLTPKIDAEKQEHFKKNIEGATKYLLSKLSDLQFFVGESMADDSTLVFAYYKDGATDPTFLYFGVGLKEVKC >CDO98866 pep chromosome:AUK_PRJEB4211_v1:3:1379412:1386902:-1 gene:GSCOC_T00025813001 transcript:CDO98866 gene_biotype:protein_coding transcript_biotype:protein_coding METHYPNATLTRQHHDQRTVQNQRPYSHSGGAGGGPSPSIEMPKQPSHTPSDNDQSSASELRAAALDCNLTSLCDHIQLEGFNNGAFSDVIVHAMGSTYHLHRLILSRSSYFRNMLQGPWREANAPILTLHVDDSNVNGEAISIALAYLYGHHPTLTDNNAFRVLAAASFLDLQDLCAFCTDFIVSELWTSNFLAYQVFAESQDYGIYGERVRNACWGYLCQSGAIELKEVLPKLSSQTLHALLTSDELWVPSEEKRFELALYALLAKGALGKVENEDSGNCSSEVGKADYADDSIGSGNNLIEDCPKRLELELGCIDLKDEHEGQNAAHNILVELADQVVDSHSEVQSCKQKVQQTACGGTGSSCSYFEMPSGVRPCGLGGSTMAMEGPSEEDSCYQLNNNSWLPGDERHSMSMNFSRDASLSSEWGRCNMPPLSWGGRTVGRREVKSCLTGHDGLSREYYDAFVSIFEAGTLLYCNMSFEALLSVRRQLEEMGFPCKAVNDGLWLQMLLSQRVQEIGAETCKNCCLTSMVCACRQPYGYPCSVPATGYYMQDHDQSNPSSDIGNVFVTGSVQGEGNGHFRPVRVHIRGPIDGLAGIGRGTTCVPAAAWTPTRFVFSRVPFGMGSRNCQQSIGNDDPENRAEQNGDLAGDGLTALVGLSQGGSHMGNIHGEQIPRDYETELQSRLVDSSVTGPSTSGRHMQMLDSSEHDIGIEWQSTNNGISLDMKTPLSHFPPFRFAVEFKDVHRLGDGQVKHSPEVFYAGSLWKVSVQAFSDEDPQGRRTLGLFLHRRKAEIAGTVRKVHMYVDSREKVTARYQLICPSKREVMVFGSFKQTGTLLPKAPKGWGWRTALLFDELGDLLQNGALRVAAVVQLM >CDP10328 pep chromosome:AUK_PRJEB4211_v1:3:12856979:12858368:-1 gene:GSCOC_T00031021001 transcript:CDP10328 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNDVKLLGAPASQYVNRVQFALNLKSIDYEFIPQNMREKSELLLKSNPVHKNIPVLLHGDKPICDSLVIVQYIDEFWFDGPSILPSDPYDRAIARFWAAYIDDKWIPFFKELTTAKDEESKTALVEKILEGLKLFEDVFVKASKGKCFFGGETIGFLDIVLGCYLGWLKAWGIQLDVNFLDESKTPALVGWAERFSSDKAIHGIIPETEELFRILQIILARAADAASK >CDO98955 pep chromosome:AUK_PRJEB4211_v1:3:2096926:2104596:-1 gene:GSCOC_T00025941001 transcript:CDO98955 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLKACGGASSESSLMIVQEKGSRNKRKFRADTPMAEPNKVVPEPQSECKIYEFTAEKFDSVLSHAPSNGCETCCVKQDQSEGLKLDLGLSCLAGPSEVGSSLQREEVEAYESDHNAQWNDLTESELQELVLSNLESNLKTAIKKIVAYGYSEKVATDAVSRSGQCFGTKDTVSNIVDSTLAYLRGGRHVDTLREYDFDNLMALEHYMLAESVCFLREVKPDFSTGDAMWFLLVSDMNISHACTMEGDHPNNIVSDLASLSLTSDADASVSCSHNGPSEATNMANPYGHTFHSEATTVAGVSSLKSKRSLVAHGLAPDKERPSSPAAAVEKTFSLAGTSVSEEKFVGSRKVSGFTRRDYILRQKSLHLDKSSRTYGSKVSSRTGKLSGFGGLVLDKKLRPIAESTGVNSRNIFKIGKAVGVEMPQDNLNHNISANVGFPSGPVFNMGAANSVSVSISSKSDVESTNAESSLPTANDSPALIGADTELSLSLPAKSSCGPMAADAEISNSSYRVVPVDESLPHSVPEEKRDEMILKLVPRVRELQNQLQEWTEWANQKVMQAARRLSKDKAELKALRQEKDEVERLKKEKQNLEENTMKKLSEMENALCKASSQVERANATVRKLEVENAALRQEMEVAKSRAAETAASCEEVYRREKANMLKFQSREKQKGMIQEELAAEKSKYMQLKQKLEQAEDLRAQLEDRWRQEAKRKEELLAQASLLKKEREQIEVSLKTKEDSMKSKAENNLRKHKDDIQKLEKEISKLRLMTDSSKIAALKRGIDGNYASRLTDSTYAPLPKESHISYISKVVNDFQDYSGGGDVKRERECVMCLSEEMSVVFLPCAHQVVCRTCNELHEKQGMEDCPSCRSPIRQRICVRYACA >CDP19287 pep chromosome:AUK_PRJEB4211_v1:3:14063492:14070916:-1 gene:GSCOC_T00004059001 transcript:CDP19287 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFQLEANLLEHTLVNSNTTHFSYYFLSFSHSHWNNTLYVFPQFCLSYLNFYANELSQEIPPEICNLTNLTHLDFGSNQLSGPIPVAMGNLISLQFLSLSQNNLTGAIPKSLDNLTNLIILYLYENQISGSISKEIGDLKFLTHMELGENQLNGSIPVSIGNLSNLEVLSLRNNQFSGSIPATFGNLTNLIQLDLSHNQLNGSIPASIGNLSNLEVLYLYNNQFSGSIPVTFGNLNRLVNLSLHQNHLSGPIPPVIGNLSSLQFLYLYQNNHTSAIPKSLGNLTNLIDLELSDNQLNGSIPASIGNLSNLEVLYLYNNQFSGSIPVTFGNLNRLVNLSLHQNHLSGPIPPSLGNLTNLIDLELSDNQLNGSIPASIGNLSNLEVLYLYNNQFSGSIPVTFGNLNRLVNLSLHQNHLSGPVPPVIGNLSSLQFLYLYQNNHTSAIPKSLGNLTNLIDLELSDNQLNGSIPASIGNLSNLEVLYLYNNQFSGSIPVTFGNLNRLVNLSLHQNHLSGPVPPVIGNLSSLQFLYLYQNNLTGAIPKSLGNLTNLIQLELSHSQLNGSIPASIGNLSNLEVLYLYNNQFSGSIPVTFGNLNRLVNLSLHQNHLSGPVPPVIGNLSSLQFLYLYQNNLTGAIPKSLGNLTNLIQLELSHSQLNGSIPASIGNLSTLEVLYLQNNQFSSIPVTFGNLNR >CDO99193 pep chromosome:AUK_PRJEB4211_v1:3:4181991:4182353:-1 gene:GSCOC_T00026256001 transcript:CDO99193 gene_biotype:protein_coding transcript_biotype:protein_coding MADNNRKGRNSSGNRSQPSRLQRRAPASIQINRVTDWNVAIPLLSPLITSPTSPGSTDLTAEIKSRSGGGVEESEKKEKLQPGVSAATSFKKWQHPAAPFCYEPAPLVPFVCTAGFGVDR >CDO99374 pep chromosome:AUK_PRJEB4211_v1:3:6024166:6024942:1 gene:GSCOC_T00026521001 transcript:CDO99374 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQFNAGETHGRTQAKTEQWVDSCKDAANAARDRSAQAADQSAGFLQQTGEQVKSMAQGAVDGVKNTLGVGDNNTKK >CDO99275 pep chromosome:AUK_PRJEB4211_v1:3:4960332:4963417:-1 gene:GSCOC_T00026368001 transcript:CDO99275 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPPLRSYDPNLIQDPTVYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKIKGVDGKERVVVTFDGKYLPHSEQKSEHMMSRLRLQGN >CDO99249 pep chromosome:AUK_PRJEB4211_v1:3:4728711:4729535:-1 gene:GSCOC_T00026333001 transcript:CDO99249 gene_biotype:protein_coding transcript_biotype:protein_coding MECGKGTCKRSQNSTLGFVCECDDGWKQASLPLFHVDDLEFLPCVVPNCTLNSTCLESQFPLPPQTEKPSTSAFDPCHWIDCGGGTCNRTSFFKYSCECEEGYYNLHNHTGLPCYNDCKNILQSPKCS >CDO98724 pep chromosome:AUK_PRJEB4211_v1:3:301274:305324:1 gene:GSCOC_T00025624001 transcript:CDO98724 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSIARRKAASPFLSPENIKSCYSFFLTTRGFASASDDNDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGSLGGTCLNVGCIPSKALLHSSHMFHEAKHSFASHGVKLSSVEVDLPAMLAQKDKAVSNLTRGIEGLFKKNKVNYVKGYGKFLSPSEVSVDTIEGGNTVVKGKNIIIATGSDVKSLPGVAIDEQRIVSSTGALSLSEVPKKLVVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPTMDGEIRKQFQRALEKQKMKFMLKTKVVSVDTAGETVKLTLESADGGKQSTLEADVVLVSAGRIPFTAGLGLDKIGVETDKAGRVLVNEKFATNIPGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDMVPGVVYTHPEVASVGKTEEQVKALGVQYRVGKFPFMANSRAKAIDDAEGIVKILAEKETDKILGVHIMSPNAGELIHEAVLALQYGAASEDIARTCHAHPTMSEALKEAAMATYDKPIHI >CDO98887 pep chromosome:AUK_PRJEB4211_v1:3:1587929:1594707:1 gene:GSCOC_T00025841001 transcript:CDO98887 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSREPGMPTRFVWPYGGRSVYICGSFTGWAQHPMTPVEGCPTVFQTVCNLPPGFHQYKFVVDGEWRHDEHQPHVSSNYGTVNTVLLARESDYLPPMLNPQFPAGSNMDVDNEAFQRLVKVSDIGLSEADLEISRHRISVFLSTHTAYELLPRSGKVIALDVDLPVKQAFHILHEQGIPMAPLWDFCKGQFVGVLSALDFILIMKELRNHGSNLTEEELDLHTISAWKEAKSFMNRQINDGGRTGPRQLVHAGPDDNLKDVALKILQNGVATVPIIHSSTEEGSYPQLLHLASLSDILQSICRYFRYSLGLLPVLQLPVCAIGLGTWVPKIGESNRQPFAMLRPSASLSEALNLLVQAQVSSIPIVDDNDSLLDVYSRSDITALAKDKSYTNINLEEMTVHRALQLGEEPYSPYGISQQRCHMCLPTDPLHKIMERLSKPGVRRLVIVEAGSKRVEGIVTLSDIFRFLLG >CDO98711 pep chromosome:AUK_PRJEB4211_v1:3:197743:199207:-1 gene:GSCOC_T00025609001 transcript:CDO98711 gene_biotype:protein_coding transcript_biotype:protein_coding MFILLVAAVVVQRAAGTCRNYCNSIPINYPFGIDDGCGSPEFRHMLNCSSTDLFFATPSGNYKVQSIDYDKKNLVIFDPAMSTCSILQPHHDFVLSDIQSALIPPSSDTVFVLMNCSIDSPVLNHYKSLCFNFAGHTCDELYGACTSFKLFHLLSNSTPPPCCFTGYGTVKFMSMNILDCTHYTSVYNTDNLKGVGPLDWLYGIKLSYGVPDTGCERCTKSGGTCGFDVETQALLCICSAAVNATRECGAGSVSSTAGQKPWQRTSSFLLTCTVYYSVSVFGYSRQSFVTQFQRD >CDP08131 pep chromosome:AUK_PRJEB4211_v1:3:31374566:31375379:-1 gene:GSCOC_T00026868001 transcript:CDP08131 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPEIWIIRTSPLLTKVPEGGAGAGGGGERGRGEAGSRSTEAKGSFSILPLHTRTESTLRNIICGNVGNCLCRLYLIR >CDO99013 pep chromosome:AUK_PRJEB4211_v1:3:2508895:2513611:1 gene:GSCOC_T00026016001 transcript:CDO99013 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MASCFSATHPHYVSWTSSANFGQSSNPSYVTKSLVFRCRKRTQCALQIHCPLMKARVASSSVDTTDAHIEENSSFSTLRELCKGHVPEHVINRMEEIGYVAPTEVQQQALPVLFAGRDCILQAQTGSGKTLAYLLQIFSVIDTQRFGVQALIVLPTRELGMQVTEVTRKLAAKPSQAQRQQKPCTIMALLDGGRLTRHKRWLKAEPPTIVIATLQSLCHMLEKQIFGLGALQVLVIDEVDFMFNSSKQVDALRRLLTSYSSSSNRQTICASASVPQHKRFLHDCIQHKWTKGDVVHVHVNPVQPMPSCLHHRFVVCGERERHSTLLLLLQSDAPQSAIVFVGEQSEKSKKAGNAPPTTILFDFLKASFLGCSTIILLEEDMNFNQRAASLIDLRGGGDFLLVATDIASRGVDLPETTHIYNFDLPRDAVNYLHRAGRAGRKPFSDDKYFVTNIIAPEERFVLQRFKNELKFFCEELFL >CDO98730 pep chromosome:AUK_PRJEB4211_v1:3:384376:386027:1 gene:GSCOC_T00025631001 transcript:CDO98730 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAKFEDTQWADSADNDRSKGNVLPPPSYSLGLVPPPPPPPPPSMSTPNTTSSFGQRPHSLLPFLIC >CDO98731 pep chromosome:AUK_PRJEB4211_v1:3:394075:401919:1 gene:GSCOC_T00025632001 transcript:CDO98731 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLFVSATKLAGLFVTVTVAANAVSYRRFRNKNLMPIPSPIDEASDILAVFNINPSSSSSDDDHEGEKGFFFGLATAPAHVEDRLNDAWLEFAEKSPCDKLEPQHDPLPADVLVGSASSDGGSQPASLPPKEANRTIKRKKPLKIAMEAKLRGFEKYEEFEEPVTPEECHHNVAAWHNVPNPEERLRFWSDPDTELKLAKDTGVQVFRMGIDWTRIMPEEPVTGLKETVNYAALERYRWIINRVRSYGMKVMLTLFHHSLPPWAGDYGGWKLEKTVDHFLQFTRLVVDSVSDIVDYWVTFNEPHVFCMLTYCAGAWPGGNPDMLEVATSALPTGVFNQAMHWITTAHLKAYDYIHEKSTISCAIVGVAHHVSFMRPYGLFDIPAVKVASSLTLFPFLDSICDKLDYIGINYYGQEVVSGTGLKLVETDEYSESGRGVYPDGLYRVLVQFHERYKHLKLPFVITENGVSDETDLIRHPYLLEHLLAVYAAMTMGVPVLGYLFWTISDNWEWADGYGPKFGLVAVDRSNNLKRIPRPSYNLFSRVVKSGKVTREDRERSWNGLQMAAKEKKNRPFYRAVNKRGLMYAGGLDQPIRRLYVERDWRFGHYEMEGLQDPLSRFSRYILQPFYNKRKAKSQADSGELVLEPLNLVV >CDP03480 pep chromosome:AUK_PRJEB4211_v1:3:19672120:19675628:-1 gene:GSCOC_T00015272001 transcript:CDP03480 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQKFLILVLIIVLFPAIVLGDCTCDDDDDDRNRSLALKYKSGAIASILVASFIGVLLPVWGKKIPSLSPENNLFFVIKAFAAGVILATGFIHVLPDAFESLTSPCLPDNPWGKFPFTGFVAMLASIGTLMVDVYATSHYKKMAGNKGIQAIAEEGDRNGESNLSGVLPLHTHATHGHAHTSLSMEGDTLSTQLRYRVISQVLELGIIVHSVIIGIAMGASDSPKTIRPLLAALTFHQFFEGVGLGGCITQAKFNARAITIMAIFFSLTTPAGIAIGIGIANVYNENSPKALIVQGIFDSASAGILIYMALVDLLSADFMNPKMQNNGKLLMGANVSLLFGAGCMSLLAKWA >CDP03438 pep chromosome:AUK_PRJEB4211_v1:3:21799543:21808647:-1 gene:GSCOC_T00015195001 transcript:CDP03438 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEVLKSIPIGGRDGGSARKEVIGIVIGVYALDANSMVWKNSLTCAVRSFSTMIIRSTATVNHLNKPGPSPLISKHPFSIAPSLRPIISPEPNINPPVQDLSTKLYSLLSNPNWQKHPSLKKLIPILTPNHLANFYSQFPNLNPQTALNFFNYLSCIPSFKPSVQSYSSLLHILIPNKFLGFAEKLRISMIKTCESPEDAQFVLGVLRDMNNSKTDHDSGLLFKISLRCYNTMLMMLARFLMIDDMKCVYVEMLNDKISPNIYTFNTMINAYCKLGNVDEAELYLSKILQAGLSPDTHTFTSFILGHCRKKDVDSAFRVFKEMVKKGCRRNEVTYNNLIYGLCEVGKLDEGMQLFKKMREDYCCPNVRTYTVLIDALCGLSRTMEALKLFDEMKEKGCEPNVHTYTVLIDGMCKDGKLDEASRLMILMSEKGFVPTIITYNALVNGYCMKEMAKKVHKAMALLNNMLERKLAPTVVTYNLLVHGQCKEGHVDNAFRLLRLMEESNVAPDKWTYGPLIDALCKKGRVKEANALLDSVKDKGLEANEVMYTALIDGYCRAANMGIAFNLFERMLGEGCIPSSCTYNVLVSGLCKEGKMHEASELLERMLERGVKPDVVTCSILIEKMLKEYAFGYAYAMLDKMVSLGYKPDVCTYTSFLLAYCNQGQLNEAENVMTRMKEEGIRPDFMTYTALMDGYGRLGMIDCAFDTLRRMVDAGCAPSQYTYAVLVKHLSREKHAKGNVTEVRLDLKGGVPLINIADVWKMMDFGTALMLFEKMTEYGYAPNLNTYSALATGLCREGRIEEAWKLFDYMHKHGLSPTEDMYDLLINCCCKLKVHEKALQILGNMVKHGLIPHLESFKLLVCGLYDQGNSDIAKAVFCWLLECGYNHDEVAWKLLIDGLLKRGLVDSCSELLDIMKKNNCQLNPQTDSMLIEANSFNEGEASSHLLFYVRFLFNRGEASEPSGKLLHHHGCSINMLCFPTRHGLKQELMFPLVMQTMAERPS >CDP11170 pep chromosome:AUK_PRJEB4211_v1:3:8290875:8292046:-1 gene:GSCOC_T00033241001 transcript:CDP11170 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNQRTVHTNRKYSKPLSNTKVAIPSLPRTITQIPFQVPNSTPPSFPNSPTFLPFKPQIFTPKLFSNANLGYPLKSSSRVSCSKGTPLHTLGSGLGKMCQ >CDP10281 pep chromosome:AUK_PRJEB4211_v1:3:12054192:12056213:-1 gene:GSCOC_T00030958001 transcript:CDP10281 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGYGPPKPEADRIKLIHYAINCGITHLDTSSSSFYASHRVTPNYPESRREISRITPQRIDLVISFAIFKLFLFSLLFILLTIFRILNTLKFASHRDRDRYAETDGCTTSQLALAWVHHQGATPRLRTWRATSRPYQ >CDP10301 pep chromosome:AUK_PRJEB4211_v1:3:12383463:12384268:-1 gene:GSCOC_T00030982001 transcript:CDP10301 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNAQVTDNGLDEYYKFHGYSPAIVTGKPVIFKFLGDMILVDPSAEMHQQGGEYSLQLKRCLMNMERVSLGNDLLYKDLVMSDPGLLNLSVNKGKR >CDO99052 pep chromosome:AUK_PRJEB4211_v1:3:2850843:2851691:1 gene:GSCOC_T00026070001 transcript:CDO99052 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLFSPLKKLWFRIHSAHKKSRGIYILYEDVKSCQYEDVHVLWSILVESHPPALPPPKYSGDT >CDO99378 pep chromosome:AUK_PRJEB4211_v1:3:6074405:6075467:-1 gene:GSCOC_T00026529001 transcript:CDO99378 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYQTKDRTMPSRKAVEGLQYKVKLLQGEVSEIICLRDAEIEAYEREMMVFAFKEAEWKKERKKLREEVKKLRKQLECREDRFKGMENEFVVDKSGNKWHFLGPSFLFEEIREEQARRDDAVEKWKQLYFAIKVELDDLIQRTNQGEGLFWRAEAEDMLEELQRELMAREKAIELLQAQLATMKQEESKWEREVDILRQSLRIVCHNKKGKKDR >CDP08093 pep chromosome:AUK_PRJEB4211_v1:3:30880809:30887744:-1 gene:GSCOC_T00026814001 transcript:CDP08093 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEVENKQVILNHCVRGSPKESDMEIRTARTKLKLPGGSSGAILVKNLYLSCEPYTYVTNGMSKMEGKIEGVNLESFNPGSPIVGYGVAEVLDSSHPNFKKGDLVWGITTWEEYSIITSTEGLFKIQHTDVPLSYYTGILGMPGMTAYAGFFEVCSPKKGERVFISAACGAVGQLVGQFAKLFGCYVVGSAGSKEKVDLLKNKLGFDEAFNYKEEADLNAALERYFPDGIDIYFENVGGKMLDAVLLNMTVHSRIAVCGMISQYNLEQPEGIHDLLCLITKRIRMEGFLVFDYYHLYPKFLEMIIPQIKEGKITYVEDIAEGLENGPSALIRLFSGRNIGKQLVAVTRG >CDP19092 pep chromosome:AUK_PRJEB4211_v1:3:31841814:31843201:-1 gene:GSCOC_T00002117001 transcript:CDP19092 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKLVLNISTKNETHYQRQQQQPLDSNTTCLRLLDSQQPGLVLLSQELIAALLSCAFFSLFPAANRGATCLPTINFHHLFASLYGCYEEYEESKIKYIDLNVYEIFSLFYCFSAE >CDO99413 pep chromosome:AUK_PRJEB4211_v1:3:6611061:6611801:1 gene:GSCOC_T00026577001 transcript:CDO99413 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVEEVRRAQRAEGPATIMAIGTATPPNCVEQSTYPDYYFRITDSEHKTELKEKFKRMCKYI >CDP10871 pep chromosome:AUK_PRJEB4211_v1:3:28098742:28106861:-1 gene:GSCOC_T00031806001 transcript:CDP10871 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDGQVNPVGRYYSITLIALEENGSGGYPKDGTVDLKGNPVLRSKRGGWTACWFVVVYEVFERMAFCGISSNLFIYFTKKLHQGTLTSANTVTNWVGTVWMTPILGAYVADAAFGRYWTFVIASTIYLSIFILLIFNFIQYQGMSLLTLAVSVPGLKPPHCADPKGINCKKADTLQLAVFFAALYTLAVGTGGTKPNISTIAYFSGHCLPSLLLFGFKTMLAGLLVTGFLLLDLHILIFLAGTPFYRHIVPTGSPFTRMAQVIIASLRKWKVFVPTDQLGLEEYAKKGKVRIVSTPSMSQTRFFIAFDQFLFLDKACVKTTSTNPWMLCSVAQVEETKQMLRMIPILISTLGPSTMAAQGNTLFVKQGTTLNRRTGNFHIPPASLAAFVTRIHANLLGIIRSTLHENH >CDP07998 pep chromosome:AUK_PRJEB4211_v1:3:28785901:28786423:1 gene:GSCOC_T00026652001 transcript:CDP07998 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKYAPEKFIHMLNEEKLIQCQQIWQDISCRFGEKRSQESKTDISTTYENDRLLKISLRSIENEDTTQISQEFGGGGHRNASSFMLASTEFKKWKVHGDALTNAAI >CDP03451 pep chromosome:AUK_PRJEB4211_v1:3:21460899:21467838:1 gene:GSCOC_T00015217001 transcript:CDP03451 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGVKKVSYERDTKIINAASFTVEREDHTIGNILRMQVPISLNFFEHLSFQGVLFLQLHRDPDVLFAGYKLPHPLQYKIIVRIHTTSQSSPMQAYNQAVNDLDKELDHLKSAFEAELAKHSREY >CDP08036 pep chromosome:AUK_PRJEB4211_v1:3:29531190:29531516:-1 gene:GSCOC_T00026715001 transcript:CDP08036 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLTGKQPIQSQGQDDMVDLPRWVQSVVREEWTAEVFDVELMRFQNIEEEMVQMLQIAMACVAKVPDMRPNMDEVVRMIEEVRQSDSENRPSSEENKSKDSTVQAP >CDO99422 pep chromosome:AUK_PRJEB4211_v1:3:6829744:6830619:-1 gene:GSCOC_T00026591001 transcript:CDO99422 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCILQKSILPGVFVLITFSAFSGCGVLSIFITSLVLIISAILFTYANREYQEETVQAVEVLSQSIISHPILQRQDSPESESEGVDNKPVKEASQHQQDSPEFDEVDNESGKEVVGISNTEQQQCFPHFNKVSEGQKAKADESNTFQEKAVQNQGGGAAHQIHPDLYSESESIDGQSFSSDQDSDIDWSYSGNLPSQSAECSDDSISDEESLIEIALPSGHYVGPKEEYPKFSWQQKFQDFSTETKMFHQHSIKQLFAEINDMNEEENLIEIDLSMGSIKCSRFEIEA >CDP14623 pep chromosome:AUK_PRJEB4211_v1:3:14129484:14133223:-1 gene:GSCOC_T00041994001 transcript:CDP14623 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSREVERRMMKFQSPLFLFCFLSIAILGICKAEDSLPLNDDVLGLIVFKSAIYDPFSHLESWSEDDSSPCAWEFVKCNPRNGRVSEVSLDGLGLSGKMGRGLEKLQDLKVLSLSNNNLTGSISPEFALITGLERLNLSQNNLRGNIPSSVANMSSIQFLDLSENLLSGPISDDIFENCQSLRFISLAGNLLEGAFPTTLSRCTNLNHQNMSNNHFSGDPNFKEMFQSLTRLRTLDLSNNELSGQLPLGISAMHNLKELLLQGNHFSGSIPTDIGFCPHLSTIDFSNNLFTGALPESLQRLNALNFFSLSNNVLDGDFPQWINKLSSLEYLDFSGNKLQGSLPMSIGEMNALEFLDLSNNRLTGNIPTSMALCGGLSVIQLSGNALNGSIPEGLFDMELDELDLSRNELTGSIPSGSSELFESLHVLDLSGNNLTGGLPAEMGLYSKLRYLNLSWNSFQSRLPPEIGYYQNLTVLDLRNSALTGSIPEDICDSGSIRILQLDENSLTGPIPNEIGNCSSLFLLSLSHNSLTGPIPPSVSLLKKLKILKLEFNQLSGEIPQDLGKLENLLAVNISYNRLIGRLPAGPGSIFQNLDQSALEGNLGICSPLLRGPCKMNVPKPLVLDPYAYGNHGGDQNQDDEPSRSTRSFRHHRFLSVSAIVAISAAAVIAVGVMVITLINASARRKIAFVDNALESMCSSSTKSGSVAAGKLILFDSKSSPDWISSSLESILNKAAEIGGGVFGTVYKASLGGEGKVVAIKKLVTSNIVEYPEDFDREVRTLGRARHQNLIPLRGYYWTPQLQLLVSDYAPEGSLQAKLHERPPSAAPLTWATRFKIVLGTAKGLAHLHHSIRPPIIHYNVKPSNILLDEHMNPKISDFGLARLLTKLDKHVISSRFQSALGYVAPELACQSLRVNEKCDVFGFGVLILEIVTGRRPVEYGEDNVMILSDHVRVLLEQGNALDCVDPSMGNYPEDEVSPVLKLALVCTSQIPSSRPSMAEVVQILQVIKTPLPQRMEAY >CDO99182 pep chromosome:AUK_PRJEB4211_v1:3:4063731:4067740:1 gene:GSCOC_T00026238001 transcript:CDO99182 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHTCTVQQPRAAINKLHMSFHFAAILSLLYYRISHLFHGDVPIFSWGLMTSAELLFTFIWVLTQAFRWRPVARTVNLENLPKDKMLPGLDVFICTADPAKEPVMEVMNTVLSAMALDYPPEKLAVYLSDDGGAALTLYAMKEACSFARSWLPFCRKYGIKTRSPEAYFSSLRDGAHLDWSEELKEEEEKIKAAYDLFKKNVEKLGAIEEYSARPDRPPHVEVRNITTYVYDKMLKPKTRTPLLVYLSREKRPTCPHRFKAGALNALLRVSGIMSNAPYLLVLDCDMYCNDPLSAKQAMCFHLDHEISSSLSYVQFPQIFYNVSKNDIYDGQARSAYKTKYQGMDGIRGSVCAGTGYYLKKKALYCRPNHEDEMPVEPERKFGSSNVFNASLKSLNGRQSRREEIISDATVEEAKSLATCTFEANTKWGKEIGYSYECLLESTFTGYLLHNKGWKSVYLYPKRPGFLGCTTIDMKDAMVQLMKWASGLVQVGLSKYSPLAYGISNMSILQSMCYAYFTFSHFFSIPCILYGIVPQLYFLKGVSLFPKVSNNWFAPFAVVYTSSLLQHLYEVLSTGGSILTWWNEQRIFMIKSVTACFFGCMDVLLKQIGVAKASFRLTNKAVDQEKLEKGKFDFQGATLFMIPLTLLVILNVVCFIFGVKGMISQGNYGEMFGQLLLSSHILALSYPILEGLVPKKGRKKQKNF >CDP08065 pep chromosome:AUK_PRJEB4211_v1:3:30297511:30303825:-1 gene:GSCOC_T00026764001 transcript:CDP08065 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and TAZ domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) UniProtKB/Swiss-Prot;Acc:Q9SYL0] MASLDLDTPWLASSIESFDGSLDIHIEEVSSSASLDSLDKSKSIIHCSQTIPKPPPLPAKICQRTKNQRCLSKSCFVPKETKDLWDRLFREGYAADVYIITENGSVIPAHYSVLCVASAVLENFLQQSKAKNGIRYIKIPGLPYDAIYVFIRFLYSSCYDEGEMKKFVLHLLVLSHSYSVPSLKKVCVDLLEQRWLTTENVIDVLQLAKNCDAPRLSLICVRMIVKDFKTISLTEGWKVMKRANPSLEQELLESVVEADSRKEERLKKIEEKKVYLQLYEAMEALLHICKEGCRTIGPSDKVLKGSQVSCPFPACKGIETLVRHFSSCKTRVPGGCMQCKRMWQLLELHSRMCSEPDLCKVPLCRHFKEKMQQQSKKDEAKWKLLVSKVMATKNAFGPFMSRRSSFS >CDO98753 pep chromosome:AUK_PRJEB4211_v1:3:552697:554814:-1 gene:GSCOC_T00025665001 transcript:CDO98753 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGDNKVAANTIVFPQFDILSGDSAHSDHYFIHSNKSKKAQGCFNDSTSAVSKKIAKEWKILEKDLPDSIYVRVYEQRIDLLRAVIIGAAGTPYHDGLFFLDIVLPSDYPNQPPKVYYHAHGLCLNPNLYSNGTVCLSLIHTWTGRGVELWTPAKSTILQLLVSVQGLVLNSKPYFNEPGREVGLYSKAERWTKKSMAYNEEVFISSCKTMLYNLRKAPKGFESFVVEHFRARGDFILAAIRAYINGDATVGQYQECVSASLSSVPVSSKFKANLEKMHLDLRSAFHGIDTSPPKMKKLPLEGRREEKEATSDAVKSRSSAKESKQGISSRILKVLKKIFE >CDO99217 pep chromosome:AUK_PRJEB4211_v1:3:4337953:4340570:1 gene:GSCOC_T00026285001 transcript:CDO99217 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLCSLVGTCRRFQPHILMVLAQIVYSFLYFITEASFDHGMNPHVYITYRHTLAGIMMLPFAYFLERKIRPKLTVALFLEIFVLSLLGVSLTLNMYFASLKFTSPTFLASMINTIASVTFVLAVILRLEVIELRNPRGIAKVLGTLVSLAGVMTMTLFKGPILKNLWRPIIHLQGGNTVIQDDWLKGSVLTVSSCITWSIWYIMQAYTLKRYPAQLSLTTWMNFVGAAQSAVFTVIAHHKRADWTIGFNIDFWSTIYGGVVISGMVTLIQLWCTGQKGPVFVTMFNPLSTLLVAILAYFVLGEKLYLGSIVGGVIVIFGLYLLLWGKKNDHEAPMSADEEGIPQLEDPKKLNFSSEAKYVNGEP >CDP10362 pep chromosome:AUK_PRJEB4211_v1:3:13799576:13811651:1 gene:GSCOC_T00031071001 transcript:CDP10362 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLSSSFGLIFQLGFVVLLFCSSIAEADDISRPSPNALNSSSCNNPYRLVKVKSWVNGDEDETLSGISASFGSLLPTEAEKGSKLPAVVSNPLSGCTSSTSKLSGSIVLAFRGDCDFTTKAEVAQAQGAAGLLIINDAEDLIEMGCSEKDTNLNITIPVVMISKSGGEVLKQSMSGGHSVEILLYSPNRPIVDFSVVFLWLMAVGTLVCASLWSEFTASEQTDERYNELSPKESSNAAKEETEKEIISINTKSAIIFVISASTFLVLLYLFMSTWFVWVLIVLFCLGAVEGMHSCIVSLVLSKCKNCGRKTVNLPLLGEVSILSLVVLLFCLAFAIFWAANRKASYSWIGQDILGICLMITVLQLAQLPNIKVATVLLCCAFLYDIFWVFLSPYIFHDSVMIAVAQGDKAGGESIPMLLRIPRFFDPWGGYNMIGFGDILFPGLLVSFAHRFDKAKKKTGRNGYFLWLAIGYACGLFFTYLGLYLMDGHGQPALLYLVPCTLGLCVILGLVRGELRELWSYDGDSTSTDSTQPPLPSGEA >CDO99114 pep chromosome:AUK_PRJEB4211_v1:3:3288230:3291338:1 gene:GSCOC_T00026144001 transcript:CDO99114 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRDGIQCTKVYGLLVLLLFSFLGNLSVSALSVTVNDVECVYEYVLYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVTAPGGNQVHSVRGTSGDKFDFKAPRSGMYKFCFHNPYSTPETVSFYIHVGHIPTEHDLAKDEHLDPINVKIAELREALESVTAEQKYLKARDARHRHTNESTRKRVIFYTATEYLLLALASSLQVLYIRRLFSKSVAYNRV >CDP08080 pep chromosome:AUK_PRJEB4211_v1:3:30658602:30666973:-1 gene:GSCOC_T00026789001 transcript:CDP08080 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAQLKRGISRQFSTGSLRRSGKFSFRRQNSMDPRRNNLRFSFGRQSSLDPIRRSPTSEDGLSVPENLDSTMQLLFLACKGDVEGLQDLLDQGVDVNSIDLDGRTALHIAACEGHADVVKLLLSRKANIDARDRWGSTAAADAKYYGNNEVYNVLKARGAKAPKTRKTPMTVSNPREVPEYELNPMDIQIRRSDGISKANVVGLFYLQAILFKILLGSYQVAKWNGTKVSVKILDKDSHSDPEIINAFRHELTLLEKVRHPNVVQFVGAVTQEIPMMILLEYHKGDLGCYLQKKGRLSPSKALRLALDIARQVQLCIMGMNYLHECKPDPIIHCDLKPKNILLDCGGLLKVAGFGLIRLSNISPDRAKLLHPEIIDRASLYTAPEIYKDEIFDRTVDVYSYGVMLYEMLEGVPPFHPKPAEEVAKMMCLEGKRPTFKTKRNYPSELRELIEKCWSPESVMRPTFSEVIACMDRIVANCSKNGWWKDTFKLPWYGFTANSFFHPKQPCSKNEKMKGEIVGCS >CDP11218 pep chromosome:AUK_PRJEB4211_v1:3:9351916:9352778:1 gene:GSCOC_T00033325001 transcript:CDP11218 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEHLCGFIPITTTRYAVNKLRISVKTPWYPWYTQGHVGGYVVGYENLTFVTVRGAGHLVPRYQFAHGLALFSSFLEGKLPPSS >CDO99294 pep chromosome:AUK_PRJEB4211_v1:3:5107197:5109915:1 gene:GSCOC_T00026391001 transcript:CDO99294 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHMPMYSVTHPVPKTRSFSGNFSFAPRIENDNRRKKQPSTTIISWTTSDVKPSSTSSA >CDP11150 pep chromosome:AUK_PRJEB4211_v1:3:7893735:7895945:1 gene:GSCOC_T00033209001 transcript:CDP11150 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKLQYLKSLLGQNIEVKKCVAAVEPLEAIARKCYAEPIDLSPQEFVKMMVFDGCFIIQLLRKFNGENVLDENDPIFKQDWILNSLQRDLMLLENQLPFFILCELYETLELPDKASELIRLALNFFSDLLPVQRITHENGNPREDISHLLDEKGNPLKDISHLLDLIHRDWSSKLEPQQDVNRSTGEYKSIRCSTQLTEAGIKLKKIDYKDIFGIQFDHGSLQIPTLVIEERTESFLRNLIAHEQYRGGDQINQINIVTDYVTFLGCLIKSEEDVTKLSHRGIICNLVGEDEVISEMVNKLIVCITGPSRNFYYAEIFRRLNIHCNRPGKRWMAKLRRNYCNSPWKIISIIVACLLLLLALLQTIFSILSWKKQ >CDP10024 pep chromosome:AUK_PRJEB4211_v1:3:25070882:25071128:-1 gene:GSCOC_T00030579001 transcript:CDP10024 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIVYSLSLDLFYVRVGLFPLHL >CDO99342 pep chromosome:AUK_PRJEB4211_v1:3:5609095:5610204:1 gene:GSCOC_T00026470001 transcript:CDO99342 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHKLRYFKEILRRKGESSAEKYIIALANLQDQARRCYAEEISLSEDDFVEMLCLDGCFVIEFLRKRTHPELRLQNDPIFQMLWLRYATKNDLILFENQLPFFVLLQLFDMTKSPGEEENLIDLAIRLCLVGNLPKPGLNSHSEIFEPYNAVHLLGLVHKILSASFSETLTSTTYSNTTHSSLFLKSAGELRQSGIKFEKAEDGKSSFHITFEKGVLKIPHLYVGDLTESVFRNLIAYEEYMSNPIETWKCITDYILFIDFLIDSPSDVERLRRHDIIENLLGSDEALSTMFNKLCKHVNVGERFCYTRIFDDVDKYSRKRWHIWRAHLVSKYFNNPWSFIAFLAACALLLLATVQAIFSILQYTKQK >CDO98754 pep chromosome:AUK_PRJEB4211_v1:3:555907:558865:-1 gene:GSCOC_T00025667001 transcript:CDO98754 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSSENLIVVNRGKGGVGVVTINRPKSLNSLTRQMMKDLAKAFKSLDAEESVRVVILCGSGRSFCSGVDLTAAEDVFKGDVKDIESDPVAQMERCKKPIIGAINGFAVTAGFEIALACDILVASKDAKFMDTHARFGIFPSWGLSQKLARVIGPNRAREVSLTATPVTAEEAEKWGLVNHVVEGSELLKKARQIAEAIIKNNQDLVLRYKRVINDGFKQDLAHALVLEKERAHDYYNGMTKEQFKKMQEFIAGRTAKKSPSKL >CDO98891 pep chromosome:AUK_PRJEB4211_v1:3:1611382:1618865:1 gene:GSCOC_T00025845001 transcript:CDO98891 gene_biotype:protein_coding transcript_biotype:protein_coding MERYSGTQAMEGAATADPVAEWTAPSGETGLEEPMWQLGLGGGPEPYPERPDQADCIYYLRTGLCGYGARCRFNHPRDRTAAMGARATAGEYPERVGQPVCQFYMRTGNCKFGASCKYHHPRQGSGSQTPVNLNFFGYPLRPGEKDCSYYVKTGQCKYGVTCKYHHPQPAGVQMPAPAPGPGPGPLPAPATVPVPAIYPPVQSPSVQSSQQYGVMTGNWPVPRPTILPGSYFPGTYGPMLLPPGMVPLPAWTSYPSPVSLAATASNQPAVGAGHIYGITQLSPSAPAYTGQYLSIPPAAGPSGSSQREHAFPERPGQPECQYYMKYGDCKFGASCRYHHPPELSAQKSNPVLSPMGLPLRPGAAVCSHYIQNGVCKFGPSCKFDHPMGTLSYSPSASSLADMPVAPYPVGSSMGTLAPSSSSSDLRPELISGSSKDAFSSRMSSVSASSGSVGSLFSKSSIVPHSSTQQPGQGTSTSSGSSSTVHGSEVRTSS >CDP08125 pep chromosome:AUK_PRJEB4211_v1:3:31319705:31322835:-1 gene:GSCOC_T00026860001 transcript:CDP08125 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVGLFEAAAGAVGQLVGQFAKLTGCYVVGSAGSKEKVDLLKNKFGFDDAFNYKEEDDLDAALKRYFPMGIDIYFENVGGKMLDAVLQIGQLVGQFAKLTGCYVVGSAGSKEKVDLLKNKFGFDDAFNYKEEDDLDAALKRYFPMGIDIYFENVGGKMLDAVLQSPEYEHERSNFCLWNDITIQS >CDP08138 pep chromosome:AUK_PRJEB4211_v1:3:31473073:31476249:-1 gene:GSCOC_T00026876001 transcript:CDP08138 gene_biotype:protein_coding transcript_biotype:protein_coding MFHREDFWLFERVNGLSIYGGTLDGQGTGLWACKNSGKHCPQGATSLGFYNSNKVLVSGLSSLNSQFFHINLDGCQNTRLEGVKISAPENSPNTDGIHVQSSSGVAITNSHIGTGDDCISLGPGSSNIWIENINCGPGHGISIGSLGSNLQEPGVKNVTVKTVTLSGTQNGLRIKTWPMPSNGFVTGVLFQHAVMVNVKNPIIIDQNYCPNRAKCLGQASGVRISDVTYQDVHGTSATEVAVNFYCSKKYPCSRIILEDVNLSYKDRPATASCSLGFYNSNNVLVSGLSSLNSQIFHIILDGCKNTRLEGVKISAPENSPNTDGIHVQSSSGVAITNSHIGTGDDCISLGPGSSNIWIENINCGPGHGISIGSLGSNLQEPGVKNVTVKTVTLSGTQNGLRIKTWAMPSNGFVTGVLFQHAVMVNVQNPIIIDQNYCPNRAKCPGQASGVRISDVTYQDVHGTSATEVAVNFYCSKKYPCSRIILEDVNLSYKDRPATASCVNAGGSSSGFMCL >CDO98921 pep chromosome:AUK_PRJEB4211_v1:3:1821479:1830271:-1 gene:GSCOC_T00025884001 transcript:CDO98921 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALRSQRMAALARLLTRSHTIAADDFGHEKLAVQQYIHREFREADEANLIDEIDMHVFGLRPMTDPLHLVCCNACKKPIKASQYAIHAELCNSLNCSEEIGLELEGGTGNKKPPRKDRKKLLAVNSNQITTVIDRGKFEILDASDISAPELCLDAPATEGKNDAVLVDVLGTGRNTNCLGNATSRPKKRAKMSKADDGPRPLLHLQPANGDISQEALLCGQDTRRSTTGTEKTSNQVITDQIPQQLSDCYTLNKDVPVPLATKVYYSQRNQRLRSAVRYMYCETSNECHSNEFISAKICQPNADRILTSSPNSYCSDQFTDYQQDKEAGNCLYSAHKQDKILSQSSESNSCKSGGLPPNMNISDQFPVNNVLGPQTTLGKMTSNYLSNSYSFADSSCN >CDO99450 pep chromosome:AUK_PRJEB4211_v1:3:7077749:7079436:-1 gene:GSCOC_T00026629001 transcript:CDO99450 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVTVMRLNVDLQCPSCYKKVKKILCKFPQIRDQVYDEKQNLVTITVVCCSPEKIRDKLCCKGGKVIKSIEIVKPPPPPKPKPKAEPKPDEKAPEKPPEKPKV >CDO98934 pep chromosome:AUK_PRJEB4211_v1:3:1922129:1923525:1 gene:GSCOC_T00025902001 transcript:CDO98934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 24 [Source:Projected from Arabidopsis thaliana (AT2G39040) UniProtKB/Swiss-Prot;Acc:Q9ZV04] MVRKLVVSFLFLAILGAFGACNAGELQRNYYRKSCRGVEQIVRDITWRNVSANPSLAPKLLRLHYHDCFVRGCDGSVLLDSTPNNPSEKEAIPNRSLSGFDFIDFVKSILEEECPGAVSCADILALGARDAVSYQFQRPMWQVFTGRQDGRVSVDSEALAGLPSPSSDFPTLLQNFQSNNLDVVDLVTLSGAHTIGVTHCSLVAKRLYNFTGKGDTDPSLDSEYAQTLKTICPLPIVRTTTLGMDPGSSDSFDSHYFVALSQNMTLFQSDSALLTNPLSSTIASHLQNPRLFFALFARSMTKMGAIGVLTNGEGEIRQNCRVVNA >CDO98975 pep chromosome:AUK_PRJEB4211_v1:3:2212678:2217496:-1 gene:GSCOC_T00025967001 transcript:CDO98975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G35630) UniProtKB/Swiss-Prot;Acc:Q43127] MAQILAPSPQWQMRVTKNLMDVSPLTSKMWSSLVLKQSKKGAIKTSSKFKVYALQSENGTVNRVEQLLNLDVTPYTDKIIAEYIWIGGSGTDVRSKSKTISKPVEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDTYTPAGEPIPTNKRYRAAQIFSNPKVIAEVPWFGIEQEYTLLQTNVKWPLGWPAGGYPGPQGPYYCGAGADKIFGRDISDAHYKACLYAGINISGTNGEVMPGQWEFQVGPSVGIEAGDHIWCARYLLERITEQAGVVLTLDPKPIEGDWNGAGCHTNYSTKSMREDGGYEKIKKAILSLSLRHKDHISAYGEGNERRLTGKHETADINTFSWGVANRGCSIRVGRETEKNGKGYLEDRRPASNMDPYVVTSLLAETTILWEPTLEAEALAAQKIALKV >CDP08116 pep chromosome:AUK_PRJEB4211_v1:3:31249147:31255088:1 gene:GSCOC_T00026847001 transcript:CDP08116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g27270 [Source:Projected from Arabidopsis thaliana (AT5G27270) UniProtKB/Swiss-Prot;Acc:O04647] MEALKSSFLYPIATTTAGGGSINPTLKIPSSSKPPPSNTHKSRPNATTFCCRCSVTPDPWTLSDGNKPKPKSKNPKNRLSDDNARRIIKAKAQYLSQLRRNQGSRAQTPKWIKRTPEQMVQYLEDDRNGHLYGKHVVAAIKRVRSLSGKPEGSYDMREVMGSFVAKLTFREMCVVLKEQKGWRQVRDFFAWMKLQLSYRPSVIAYTIVLRAYGQVGKIKLAEQVFLEMLEAGCEPDEVASGTMLCAYARWGRHKAMLSFYSAVRDRGITPPTAVFNFMLSSLQKKLFHEHVLNLWRQMMDDKVAPDHFTYTVVISSFVKVGLAEDAFRTFAEMNNMGYVPEEATYSLLIALSAKSGSCTEAFKLYEDMRSRGIVPSNFTCASLLALYYKIGDYSKALSLFSEMERYGIVADEVIYGLLIRIYGKLGLYEDAQKTFEEVEKLGLLSDQKTYTTMAQVHLNFGSFDKALSLMEKMKSANILSSRLALIVLLQCHVKKEDLASAETTFQALSKIGPPDAGCCNCMLNLFMKLDLMERAKHFAMKIRKDQVEFDMELLKTVMKVYCKEGMITDAKNLIDDLCRTKMSLDCTFVQTFLVALYGSRPAEAEFFSEPFDNSDPLALELILTLLVSNQHAAGLEGNFKLFLESANGLLVASQLIIKFCKQGKKLIPFCNVAKAEYLFELLIKMGNKPKDAASGSLISLYGKQQKLKQAEKVFATVANSSETRGMLHSSMIDAYSKCDKHEEAYMFYKEETRQGNNFGPVAISMLVNALANRGKFREAEDVVHNSLRSDLGLDTVAYNTFIKAMLEAGKLRFAASIYDRMLSLNVAPSLQTYNTMISVYGRGRKLDKAVKMFDMARSRGMSLDEKTYTNIICYLGKADRTHEASLLFNKMQEEGIKPGKVSYNVMMNIYATAGLYNEAEELFCSMKRDGCLPDSYTHLALIRAYTQGLKYSEGEKVIILMQKEGLCASCAHLNLLLLAFAKAGLTEEAERFYGKFMTFGLTPDIESNRIMLRGYLDYGHIEKGISFFERISESVEPDRFIMSAAIHFYMSAGLEHSAEELLRSMSSLGIPFLENLVVGSRTKANPSNGTGK >CDP10333 pep chromosome:AUK_PRJEB4211_v1:3:12956008:12958249:1 gene:GSCOC_T00031031001 transcript:CDP10333 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENVSTQPEVPGEPTQTSTALLETATATLQGFGPVNKIHQHLCAFHFYAHDMTGQVEAHHFCGHQNEDFRQCLIYDKPDDDGKLIGLEYIISEELFLTLPDNEKPFWHTHEFEVKGGYLFMPGVPGPIQRQDLEKVCKTYGKTIHFWQIDRGDSLPLGIPQVMMALTRDGQLYPNLAQDVESRFGISFAKERENRAYMTGPAHGLHPLGNAAGRGLQTVLREVDCKPVESVPSVFV >CDP14667 pep chromosome:AUK_PRJEB4211_v1:3:15458247:15466190:1 gene:GSCOC_T00042073001 transcript:CDP14667 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFDQTVRDIKREVNKKVLKVPSIEQKVLDATSNEPWGPHGTLLADIAQASRNYHEYQMIMSVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDEIREHAYQIQTLSDFQYIDSSGKDQGSNVRKKSQSLVVLVNDKDRIQEARQKAAANREKYRNTSAGGMYRPGSYSSAGGYGERYDDDRYDGRYGNRDEERNGYGREREWGSRDDDRYGRYGDPYGRDGDRYSRDDDRSSRDGYRDDDYRGRSRSVDDYQYGSRSRSSDRDRDRAYEEDGQYSSRGNGARPDDQSQDGSYGGRHLERKYSEQNLSAPPSYEEAVSIGRSPTHSDRDGEIPSAAAPKSSSPPASVMLNQPANNLPPQPAPASPPPPPSVTDQKQDDGFDEFDPRGSFSAAPTTSNVTVPTGGGGAELDLLGSLSDSFSANSLALVPTTAFAATTEDMHVNPGSGPTFVAPTSASSVSNQAFDDPFGDGPFKAMASSDDLPAPPQNVAPTFATTANQSTEQPQLIPEKTQPINNFGGSFPVGSNMQYSPQELSTSNQDIDILADILPPTGPQPVANPETAYTTPTGQPSFTAGFPPQISQTASQAGFPPQTGPGVPQTNLQVQPGQVASLTSYPTLPGQPSMQSGFPVQSGQLVPQSNFLAQTPQSGQPAPVAGFQPQMGASPQQNPNSYGNYNPQLGSAIPVAMQMSTQQNSMNFPSQLGSAAPVSSQASSQSPQFQSTTSLVSQPVLSVSTGSAAAAPQPAKDKFETKSTVWADTLSRGLVNLNISGPKTNPLADIGVDFEAINRKEKRMEKPTTAPAVSNITMGKAMGSGSGIGRAGAGALRAPPNTMMGSGMGMGMGGGLGPGIGMGGYGSVNQPMGGGMGMNMPMGMNMGMGQGGQMQRPMGFAPGSNIPGGYNPMMGMGNYGQQPYGGGYR >CDO99109 pep chromosome:AUK_PRJEB4211_v1:3:3256418:3258024:1 gene:GSCOC_T00026138001 transcript:CDO99109 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLGSSVKEEFPAGSSSWYSDETFSSATPQPMEGLRESGPPPFLTKTYDLVDDPSTDHIVCWSKGNNSFIVWDPQTFAMNLLPRYFKHNNFSSFVRQLNTYGFRKVDAEKWEFANEGFLKGQRHLLRNVRRRKTPPHLQSSNPNLESCLEVGRFGLDVEVDRLRRDKQVLMLELVRLRQQQQNTKAYLKTMEQRLRGTENEQQQMMTFLARAIQNPRILEQLVQQKDKRKELEEAIGNKRRRPIDKGPSFSVGVGEFGQEGEEVNDYVKLECQDYGHDLTGLTDFELNTLAINMQEPTPPPMNLEEEYVGKDDDSFDDGFWDGLIHEGIENDIGLRGGEGEDLEDLDLLTDQLGFLGSNPP >CDP08014 pep chromosome:AUK_PRJEB4211_v1:3:28961770:28968725:1 gene:GSCOC_T00026674001 transcript:CDP08014 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLGSTVQVLVQTAIDLASEQIGLFQGFKNDFEKLRKTLTQIQAFLRDAEEKHVTERFVKLWLEMLERVAFDARNLLDDFNYEMNRRRIEIQNQMKRKVCFFFPLSNSIAFRLKMFKKIRQINMNLERIHREALACGLQPQTCSVTVDTSFVGRDNDVSAIVTQLTATSNNETISVLPIVGMGGIGKTTLAQKVFNDAKIKEHFVERMWVCVSDALNVNRLFLLMLESLNEPMLEVESREAWVDRLKELLDGKKYLLVLDDVWDNGLEQWNDFLACLKGTSQATGSWILVTTRDQGVASIMGISSPPWFLEELSDDQCWLIIKENVFGAQEVPNGLQDIGFKIAQKCQGIPLAASVLGNMLRNKGIDEWQALEGGIQSSGGDENIAVTKILKLSFDHLQHPSLKECLAYCSTFPMGFRMERNQLIQLWMAEGFLHSNPRKNMCMEEVGNEYFTILLDSSLFQGVEKDDYGNVLNCQMHDLVYDMVQSISEVESGGEEIPFPLNESFSYITTLILVENKSINIDGWISSLTCLRVLNLASSGVNELPESINKLSHLRYLDSSDTPIKTLPESLCQLNNLQTLRVRDCKSLAKFPKNFKILMNLRHFDFFSNHKSRDLTPLEVSQLRSLQTLPFFNIGEEAGRQIRQLRYLKNLSGSLEIRNLELVRSKEEAESANLMGKPNIDELRLLWNELDNLRDNDNEYNQVLDGLQPHQNLKGLIIERFFGDRLSTWIEKLGKLVKFKLRNCKNCKELPTLGRMPFLRYLHLEGLDNITTIGPSFYGESTVHSGSSSQLFPALEHLVLENMLSLREWLEALDHDGTVMVFPVLNTMRIKNCPKIATFPRHLPCLKNLHIEHMNDGSEILTCICNSFETLTSLCIDNVNGLTELPIVLFENNPNLANLKLRDCRDMTKFLDFSSDVGSTQYLVGLESLEELLVCRCHSLKSISIPRAHQHLTALRKLIIFMCNGLTHLSIPQKLEIWGCPNLISFPMHLSRTPSLSFLDIPYSKKLNDLPKGKLYSLTSLRELGIGPFSKTPELHSFLDLFGALPPSHPYFPSLSKLILYGWPHWESLPEQLQHLSALTLSSLERLYLYNCKKLENLPSDQTMRSLTRLTELQIEMCPLLTERRNSESSSSSSTDPISKWSKISHIPKIIINGQRIRG >CDP14674 pep chromosome:AUK_PRJEB4211_v1:3:15530510:15531541:1 gene:GSCOC_T00042082001 transcript:CDP14674 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNRCFFNKGSLIFKPPKKSIWWLRMVVWMFSIVCGVYICYVSLRQISAPSSGRLSRVELARPCKNPRIEPSEKPFLHYPKPKTFSRAECECNPVRYFAILSMQRSGSGWFETLLNSHINISSNGEIFSVKPRRANASTIVETLDKLYNLDFLTSASKNECTAAVGLKWMLNQGVMLHHEEILEYFMSRGVSIIFVFRRNLLRRMISDLANSYDKNAKLLNGTHKSHVHSLVEAEILARYKPTINAAMLIANLRQVEETVTKALEYFKSTRHIVLYYEDIIKNQTKLIDAQDFLRVPRMELVSHHVKIHRGSSSSLVENWVDVEKALAGTSYESFLEEDYKM >CDP11146 pep chromosome:AUK_PRJEB4211_v1:3:7866416:7870838:1 gene:GSCOC_T00033204001 transcript:CDP11146 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSQVPKFGNWESEEDVPYTVYFDNARKGKKGSKMNTNDPQEDLDAETKGQKRPEATRAKHVRHTSREDGDLRKSIDSPLHSDAMSQKSANESPHHKQGGLKHGSRKPESEGSKGTDTVRPRHESREEGDLRRPTDSPLRNETGNRRTSHDSPHHRHGGLSAGETPKRVARQSVGSDRSIDQSPLHPHSQVRTGGRGSGVSSPSWERKGSSEGGLGLAPSTPGGSRLKSVTRGDETPDHSPAVPKFGDWDETDPASAEGYTHIFNKVREERHSGAGKVPGMPTESSYSNGQKPIGNDNSKCCWCFPCGR >CDO98930 pep chromosome:AUK_PRJEB4211_v1:3:1888000:1894145:1 gene:GSCOC_T00025895001 transcript:CDO98930 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAIRAMEVAEKKMQKKDFVSARKMAGRAQHLYPDLMNISQMILVCDVHCAAESKVNGGESDWYGILQIEPTADEVAIKKQYRKFALSLHPDKNKFAGASDAFKLVGEAQKVLLDPEKRFLYDNKCKALGKYQASKLATHQGSRQTNVRGHPWFQNKFMNSSTSQFVNQQHRQQQQQQTQLDTFWTICPFCSVKYQYYKEVLNKTLSCQNCKKAFTGYEMNPPSGIPGSNSSQPTFPQQSGAFSKGNSTTVPQRTKNSSPKKAMQGSLNIKNVNRDSFAEKRFTATVGEESKLNKNHMKIDNMKGSKVTTKKRNKSAESTESCSSESSMESGADVNIEEDGGCLPGQNSGYHGDQNPRRSTRSKQRVSYDENLSGDDEANPSKKSKCGGSFNVGRKEVEDNSITKEAAFSADILEDKKEVKDKEVSPSDEVLQNGENDMENSSDPQLYEIPDPEFYDFDKDRKKECFAVGQMWAVYDTLDAMPRFYALVQNVQSPGFKLQITWLEPVPDSEDKIKWVNEGLPVSCGKFNYGNRENSADDSMFSHQVEWKKGSQMDTFEIYPRRGETWALFKNWDVNWHSDPHGKKGFEYEFVEVLSDYADNSGVCVAYLGKLKGFAFLFCRISRNGISSFLIPPKDIFRFSHKIPSFRMSGKKGKCVPQGSFELDPASLPASLDGIDVSQYFDTDGRQMHRNGSCSGSQEDILEPKERSSEHVSSSQFVGLKVEPKGNAAWAGVVDLIEESEENEASADKVELKAKAVGNSVLGQAEKEDFQNYSNGFDSSAKEIEDSPTSASEAYEIPEPEFYNFDAEKAEEKFQVGQIWALYGDEDALPKYYGRIKKIDLPPRFALHLTWLVPCSLSKDVIQWTDKKMPICCGNFKLGKGKPQMFTSTGPFSHQLRVVSKVEKNVYAVYPEKGDIWALYKHWRSEMTCSDLDNCQYDVVEVVERNEELITVLALELVTGFKSVFKPQIAGQSTVTRQIPWAELLRFSHQIPSVRLTEERDGSLRGFWELDPAALPVYFFCPS >CDP08090 pep chromosome:AUK_PRJEB4211_v1:3:30856600:30859738:-1 gene:GSCOC_T00026810001 transcript:CDP08090 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEVSNKQVILKNYVSGFPKESDMEVKTTSLKLKLSEGDSSVAIIVKNLYLSCDPYMRSRMSKLEGQYVDSFTPGSPIVGYGVAEVLDSSHPNFKKGDLVWGITTWEEYSIITATEGLFKIQHTDVPLSYYTGILGMPGMTAYVGFFEVCSPKKGERVFVSAASGAVGQLVGQFAKLFGCYVVGSAGSKEKVDLLKNKFGFDEAFNYKEEADLNVALKRYFPDGIDIYFENVGGKMLDAVLLNMSLNGRIAACGMISQYNLEQQEGIKEGKITYVEDIAEGLENAPSSLIGLFSGLNIGKQVVSVTRG >CDP19167 pep chromosome:AUK_PRJEB4211_v1:3:31794037:31797624:-1 gene:GSCOC_T00001678001 transcript:CDP19167 gene_biotype:protein_coding transcript_biotype:protein_coding MANPICISGILFLSIFSLYSPLIAAYNVVNFGARGDGRTDSTAAFLRAWMSACSSASPATVYVPRGNFLIRAVSFSGPCRSRIQFQIDGTLVAPDNYYVIGNSGFWILFYKVSRLTISGGTIDARGNGFWSCRKSGNNCPAGARSMMLMWCNDVLVSGLTSYNSQTIHIGIDHSSNMKLQILKITAPTGSPNTDGIHVESSTGVTIVGSTIKTGDDCISIGPGTMNLWISNIGCGPGHGISIGSLGNSYHEAGVQNVTVTDSVFTNTENGVRIKSWAKPGGSYARSLLFKNLIMTSVAYPIIIDQKYCPDNSCPHQSSGVKVSQVIYRNIKGTSATQQAVKFDCSSSNPCSGITLQDIKLTYLNRFYKPTVAYCSNAIGRQSGAVFPKSCF >CDO98823 pep chromosome:AUK_PRJEB4211_v1:3:1048019:1050326:-1 gene:GSCOC_T00025754001 transcript:CDO98823 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MATSAVSFGALVTLEELVPSSPQFTQGASLRVTGKLQEYDIETAIASIVEGNASLKIDTQHLKVNLRIGSLYQFIGELLIDPSNQAILRARVGRNVDGMDLNLYHQSLQLLRDFQAEQMNSTTS >CDP08103 pep chromosome:AUK_PRJEB4211_v1:3:30972245:30976754:-1 gene:GSCOC_T00026825001 transcript:CDP08103 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLNVVIEGVLNKLISLVAEEINIVWGVKEDLESLARNLEMIQALLLDAECKHNSGNAVDLWLRGLQDVSSKADDLLDEFAYEVLRSKLKKRKQDQVRSFFFCSCPLGFRFKFAHKIKNMNLSIEKVYQEAERIGLRPVEIVNMSNNPRSDRSSTTDPFVDDSSIVGRSEEVNKVVNLLTSSEKDGNKLSVIGIVGMAGLGKTTIAQLVYKNEKVLRHFDHKLWIHVSKDFKVERLLNKMVESLMGTNPNLTVGEAIDVGRNYMSILFRTSLLQVSEKDGFGRTIKFTIHDLVYDFVEEAAKESIFLVPSAELRTGRESLLKPRTLILSDGLAHDLPIVRKSLRVLVVDDEDVKELPTTIGKLKLLRYLDISRTSITELPNPITRLYNLQTMKLSNLQQLPKNFGNLANLRHLCIEEDGIINGKPCLLPDIGQLSSLQTLPFFYVSRDKGCQIDQLGHLHNLRGDLKIFDLQNVSNQEEAIKAKISTKINLDSLELHWDTRTRDGSTDEDVLKGLEPHPNLKGFTVENFMGQSLPLWMLTTSHPLVFRNLEKIVLRNFNKCQQIPPLWHLPHLNIVNIIGMKSINCISTDFFGWKNVDDASSSNPSGLGGDAVVSFPALKELNLDDMPDLKEWSGLMCHDSSHSLVKIFPSLEILKVKICPKLVSLPDGVWLNLRCIKQLHILQCNSLSHLPKDVGGLASLELLTVVNCPNLVSIPDIHSLRSLVILTLAGCDNLRSLPSGMEVCTSIRIFTLLGFPAIQPEDLHPLSRMIQVQGLLIGGFSHDLDYFPWPSYAINPCRVTITNNENKEFQHPFASLLSLVLWGWQAVTSLPEQIQHLSNLAFLQIAHFDGIVALPEFLGSIHSLEQLHIEDCKNLLYLPSAEAMRRLTKLRKLLIEQCPLLKDRCKKEIGQEWYKIAHIPEIQLLP >CDO99432 pep chromosome:AUK_PRJEB4211_v1:3:6916762:6918682:-1 gene:GSCOC_T00026604001 transcript:CDO99432 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAIDAVFIQDLEHRPKIIRTEVEGIPLIDLSVLNSPDSSVSDDNLARLVSEIADASQKWGFFQVINHGVQSEYREKFFLASRKFFALPKEEKLKVKRDEVNPFGYYDTELTKNVRDWKEVFDISVDDPTFVPASHQPDDKELRQLTNQWPQYFPELREAYELYAEEILKLAFKLLELIALSLGLPKTRLNGFFKDHTSRIRLNHYPPCPTPDLALGVGRHKDGGALTILAQDDVGGLEVRRKTDGEWILVKPTPDAYIINVGDIVQVWSNDKYESVEHRVMVNSEKERFSIPLFFNPAYYTWVEPLEELINEQNPPPKYKAYNWGKFFSSRRRSNFKKLEVENLQIYHFRIN >CDP21299 pep chromosome:AUK_PRJEB4211_v1:3:13817510:13820502:-1 gene:GSCOC_T00011509001 transcript:CDP21299 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKIITIFYLVVIVFPSFHPKCGASASAEEAAALLKWKARFLNQNNSFLTSWNLQSGNAKNSSVLPCTWAGISCIDGSVNRLNLSDWSIKGSLYDFPFSSLPNLEYLDLSFNQIFGSIPKQIGNLSKLIYLDFWANELSGKIPPEICNLRNLTHLGFASNQLSGPIPVSIGNLTNLIELYLYENQFSSSISKEIGDLKFLTDMELGENQLNGSIPSSIGNLSNLEALELYNNQFFGSIPATFGNLNRLVNLDLSSNQLLGSIPVSIGNFSNLRILFLRENQFSGTIPQELGNLNKLVVLELDHNQFFGPLPKLLCQSGMLQNITVSGNMLTGPIPRSLKNCSSLLRARFDGGIPPEIGNLTQLHALDLSSNYLSGEIPKAVGKLASMLKLDLHHNQLAGGIPQEFGIGELTQLSELDLSQNFLTGEIPSEFQNLQSLWTLDLSQNNLSGLIPKALAEMPGLLHINLSFNNLEACESSRLIKKHVKDKRKEFVLKIVLPLLGSIILLGVLFGALRLCDRRKRNSRVEDMEVKKGSLFAICAYDGKVVYKEIVRSTEEFSETYCIGKGGCGSVYKAQLPSGEVVAVKRLHNIPNVAKDKSFLNEIRALTEIKHRNIVKLFGFCSNAQHSVLVYEYLERGSLANILSIEEEAKELDWQKRLNIVKGVAHALSYMHHDCSPPIVHRDISSNNILLDPECEAHVSDFGTSKFLRRDSSNWSSLAGTYGYVAPEFAYTMKVNEKCDVYSFGVLAMEVIKGKHPGDLIANLLSSKLEEIELKDLLDQRLLYPNQEIEKNLISILKLARECLHVDPQ >CDP16818 pep chromosome:AUK_PRJEB4211_v1:3:15977396:15984732:-1 gene:GSCOC_T00019341001 transcript:CDP16818 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISKPLPQREFPSISLQRSNVSSIPKIEENFGEKFSEREQVTSNGLQNISVEQGNIEINSYLMLENPCYAEVNIEGQCNDGNCNSAKHLVNEKAVTEKSKMMDEDAQETEQSDKSWDITHPTIKQLENCIDANCSGKDTISAENSKRADKEVQEAEKLDGNCKNAQFRGNATVATDKFKMETEEAQELEQSDKFLDLNHTTVKELENCSGEDTAGTDNSKRAETEVKETGSLDALSDISHSTVKESENCVRIDCMGEENSGSDNAKRVENKVEGPKNSDTGKLTHSTVEGLRDSIGENSIGKHTIDAYNSKEIKKVIKDTEKLDKSLDTMNNEDSEDSKQGPTNELQISCFLPRKRQQTDDGGVIMRFTKNGDKNKSADSPMRVYHHQKRKIPVKSGACASVGGGVNVESAGSPKSNRFNSREGKDSGTGINWTLPYNLNWTQGEDYAPVCLEPNARSVETQQATLVTKDIALAQTALGHLFSKRAKMCHQARLMHDEIAACDQNIQKILEGNEDALALMLDAIMDGCNDACFKDKYQHEGYQHGGHRRLNQLRTTKRISDAIFNLRSPTQANEHTRFVCLFYVFHSRSSLLAFHSCAFWKQC >CDO98690 pep chromosome:AUK_PRJEB4211_v1:3:50720:54089:-1 gene:GSCOC_T00025582001 transcript:CDO98690 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO RNA-binding protein (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) UniProtKB/TrEMBL;Acc:F4I896] MLLLLPQFPSLPSSGAASFPPPFQNVQSAFLCLRDCKRPPLPVLSAFSSGPKFSNECHRVNCSRRSATVLCTGKRPRQGSRPKKRERVPQNVDLPAVLPKKKKKPYPIPLKNILQAARADKKLAAMGMEKPLEPPKNGLLVPDLIPVAYEVLDAWRILIRGLTQILHTVPIHACSECSEVHVAQVGHDIQDCHGSTSGSRKGFHSWVKGSINDVLLPIESYHMYDPFGRRIKHETRFNYDRIPAVVELCIQAGVDLPEFPSRRRTQPVRMIGKKVIDRGGFVEEPELEKHVDRKSQVIDLDTHRSLEHFPPPDISEVPKIAQETINAYEKVKWGVNRLMKKYTVKACGYCSEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDATVDEVFPPNYVWHVRDPKGPPMRRGLKRFYGKAPAVVEVCMQAGAQVPERYKPMMRLDIVVPESEEAQLVA >CDO99091 pep chromosome:AUK_PRJEB4211_v1:3:3090625:3093627:-1 gene:GSCOC_T00026117001 transcript:CDO99091 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSAAITDLLRQCGGYAVIDGGLATELERHGADLNDPLWSAKCLMTSPHLIRRVHLDYLEAGANIVISASYQATLQGFVAKGIPREEGEALLRKSVEIAREARDIYCDRASKGSWDVIGDPKALKRPLLVAASVGSYGAYLADGSEYSGIYGDAVTLETLKDFHRRRVQVLADSGADLIAFETIPNKLEAMAYAEILEEENIKIPAWFSFNSKDGINVVSGDPISDCAAIADSCDRVVAVGINCTAPRYIDGLVRSIKKVTTKPILIYPNSGETYDPDRKEWVPTTGVADGDFVSYVGKWRDSGASLIGGCCRTTPNTIRAISKSLSSQQDSLNFVAP >CDP10348 pep chromosome:AUK_PRJEB4211_v1:3:13440679:13450379:1 gene:GSCOC_T00031054001 transcript:CDP10348 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDKKMVINDLENFVRRKELYRKVGKAWKRGYLLFGPPGTGKSSLIAAIANYLKFDIYDLELTDIRTNSDLRRYLISTANQSILVVEDIDCSIELTNNRPKASRAPVHPHQYGQENRICQSILAVSSIWSMPFILLVGPITAFHQAKKFPVTLSGLLNFIDGLWSSCGDERIIVFTTNHKDKLDPALLRPGRMDVHIYMSYCTPCGFKLLASNYLGITDHPLFLVVEQLMKVTKVTPAEVGEQLLKNGEPETALEGLIQFLEEKKKNVEFENHKSNQQAPEAAVPLELEEEGGNEGETNVISLEAIKDLVKMNKVSSDEVQVIKKDEADIILRGLIQLLLEKKETQVLKIDSGSSAEKLSLT >CDP03458 pep chromosome:AUK_PRJEB4211_v1:3:21249458:21254150:1 gene:GSCOC_T00015225001 transcript:CDP03458 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNLFKRMEYKKKKKPLTSFTIFLLPAYFQLNTGKKLNKSVGRFKKLLGAEPDKKMSRGFIASPPPTSVVVSPPRIPSKPLQRCGTYQSWNYSSKSVHSLFFWDLKLSKSGSEKPSRISFLRCNSSTDPGGPPGPGDNDSKTILDAFFLGKALAESVNERIESAVGEFLSAVGRLQAEQQKQVQDFQEEVLERAKKAKERAAREAMEAQGIIPKSSSTNISPVTNGVASEITQSAVNSVTPADKPVQSNTSPQPTNDDPVLGASNED >CDO99031 pep chromosome:AUK_PRJEB4211_v1:3:2637406:2640219:1 gene:GSCOC_T00026040001 transcript:CDO99031 gene_biotype:protein_coding transcript_biotype:protein_coding MFANSCFLSNFRSDCLLNVSNVLCIARCVISCSIPRDGLFAHGLLSHLLRSSLWLQFKPHQIAAGAAYLAAKFLNMDLASYHNVWKEFETPPNVLKDIAQQLMELF >CDP10039 pep chromosome:AUK_PRJEB4211_v1:3:24091189:24092390:1 gene:GSCOC_T00030605001 transcript:CDP10039 gene_biotype:protein_coding transcript_biotype:protein_coding MFMWLMCYMLYPLFLGFLHLNIMFVCYMLCVLMCLYVLIYTLFVLLYILKMHNVTTLVQR >CDP08005 pep chromosome:AUK_PRJEB4211_v1:3:28870316:28870636:1 gene:GSCOC_T00026664001 transcript:CDP08005 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGKKKNKKYGTCGLHEWRYSSWSAFRRKLTSSLDILTSKRGSRDYYQEKNCKPTSFHSHKVVMWWCKKKLPNYVVPDLIGFKLEPYISQCPIEVGTIECPNSSK >CDP08048 pep chromosome:AUK_PRJEB4211_v1:3:29970889:29973329:-1 gene:GSCOC_T00026739001 transcript:CDP08048 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGGVKLFGFWVSPFAQRVKWALKLKGIQYEYIEEDKYNKSPLLLKLNPVYGKVPVLVHNGKPISESIIILEYIDEVWKQAPLLPQDPYERAQAHFWAKFAEEKVRQSVVEAMCSSGDEKQKAVKLAVEAFEEFEKELKRRGTKFFGGETIGFVDIVAGCISYQLPVHEEVGSLKILDSSKFPAISEWTRNFLNHPLINEGLPQKDQMFAYYSKRSKEIAYQKMSHKTSIVIREFTDVSCGISLFLPQHPYERANSRFWVRFAAEKVTCLHDVFDDY >CDP11169 pep chromosome:AUK_PRJEB4211_v1:3:8289884:8290821:1 gene:GSCOC_T00033240001 transcript:CDP11169 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILFSHSNLLLFILSSHCLSNIKSSSRLLLSRSSISFSMVSHSRILCFLSFSLDISLSSLSFSLSCHFMSRSCSISMRRRSLLNLSRSLSASRCSNWVSISLSCPNWFARNLHAFFSNPFETFLFFLVSEPVGSVPS >CDP08009 pep chromosome:AUK_PRJEB4211_v1:3:28909518:28911875:1 gene:GSCOC_T00026668001 transcript:CDP08009 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLGVHRNDLKKLVFYLHFHSIHSDGILSPTKLVERAHQNGVVRKHILIREKTGRVYCDYQFLILESINGAKLIGKLSKHSEKHTAQLRFCEISVVYIWTASTVKVIALTDDDTMSGIPEAQEAAFRFGIKIIPRVEVSTVFCPRETGSEEPVHVLAYYSVCGPSRIEESVKLILGTRNGCFLSAKSIVSKLNKLKLSLKWEHMTKIAGTGVAPERLHVACALVEAGHVENLRLAFSRYLYDGGPAYSRESEQNAEEAVELIFEAGGVAVLAHPWALKSQVAVIRRLTKPGLHGIDTCRSDGKLAVYCDCADAYGLVKLGGSDYRGRGGQHESDVCVGRGGGECEPSHVIGA >CDO99124 pep chromosome:AUK_PRJEB4211_v1:3:3458326:3460368:-1 gene:GSCOC_T00026163001 transcript:CDO99124 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVLNQEQIVEFKEAFSLFDKDGDGCITIEELATVIRSLDQNPSEEELQDMISEVDADGNGTIEFAEFLNLMAKKIQETDAEEELKEAFKVFDKDQNGYISASELRHVMINLGEKLTDEEVEQMIREADLDGDGQVNYDEFVKMMTTIG >CDP16829 pep chromosome:AUK_PRJEB4211_v1:3:16396673:16400442:1 gene:GSCOC_T00019363001 transcript:CDP16829 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVMKINGEDTSVISPTLGFNIKTIIYEKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKYELHNLLKEERLSGASLLIFANKQDIQGSLSPDEIAKVLNLEAMDKSRHWRIVGCSAYTGEGLLDGFDWLVQDIASRIYMLD >CDP03469 pep chromosome:AUK_PRJEB4211_v1:3:20857920:20859283:-1 gene:GSCOC_T00015241001 transcript:CDP03469 gene_biotype:protein_coding transcript_biotype:protein_coding MDISFFSPPEITTFFHKNPQKNDPYLDMQSTNNQPQSSHTSTSISSDSGEPCGDGKWASRLLRECATAISDKDSTKIHHLLWMLNELASPYGDCDQKLASYFLQALFCKATESGQRCYKTLASVAEKSHSFDAARKLILKFQEVSPWTTFGHVASNGAILEALDGENKLHIVDISNTLCTQWPTLLEALATRNDETPHLKLTVVITASIVKPVMKEIAQRMEKFARLMGVPFEFNLISGLSHLGGLTKENLNIQDDEAIAINCIGALRRVQVEERTAVIQMFQSFQPRIVTVVEEEADFTSSKNDFVKCFEECLRFTTLYFEMLEESFPPTSNERLMLERECSRSIVRVLACDDEIGEGDSECRERGSQWTERLREAFSPVSYSDDVVDDVKALLKRYRTGWSLQPQQADHESGIYLAWKEEPVVWASAWKP >CDP10850 pep chromosome:AUK_PRJEB4211_v1:3:27477576:27478046:1 gene:GSCOC_T00031762001 transcript:CDP10850 gene_biotype:protein_coding transcript_biotype:protein_coding MFICRLNQFRLMLESLKKPMPEVESREAKVNRLKELLDGKKYLLVLDDVWNKESALWNEFIGSLRGTSQAMRSWILVTTRDQQVVDIMKISSHQEYSLKELLDHQCWLILKENAFGTRQVPNRLQDIGFKIAQKCRGLPLAASVLGGMLCDKGIDE >CDO99102 pep chromosome:AUK_PRJEB4211_v1:3:3212969:3217488:1 gene:GSCOC_T00026131001 transcript:CDO99102 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQPMFRPPETPREPMEFLSRSWSVSALEVSKALAPSQVLAKAVSGGGAVNVSLTAPLLNGGGSIPEDIVGELEESAAVSGNPFSFASSETSQLVMERIMSQSQEVSPRTSGRLSHSSGPLNGGQSCGSLSDSPPVSPSEIDDKYSRLNNTVNSQYRGCTVATGSAVAVVGGGGKTVGRWLKDRREKKKEETRTHNAQLHAAVSVAGVASAIAAIAAATAASSAQGKDENMAKTDMAVASAATLVAAQCVEAAEVLGAEREHLASVVSSAVNVRSAGDIMTLTAAAATALRGAATLKARTLKEVWNIASVIPMEKGMGVGNGSNGGSNGSSNGSFSGELVPEENFLGICSRELLARGCELLKRTRKGDLHWKIVSVYINRMGQVMLKMKSRHVAGTITKKKKNVVLEVLKDIQAWPGRHLLEGGENLRYFALKTVLRGVVEFECRNQRDYDMWTQGVSRLLAIAAEKNNRHIL >CDO99219 pep chromosome:AUK_PRJEB4211_v1:3:4346176:4350296:-1 gene:GSCOC_T00026287001 transcript:CDO99219 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MKIMVAIKRVIDYAVKIRVKPDKSGVETNNVKMSMNPFCEIALEEALRIKESGLASEVIAVSMGPTQVVDTIRTGLAMGADRAIHVDYPGTLYPLSVAKILKALVEIEKPGLIFLGKQAIDDDCNQTGQMIAGLLKWPQGTFASKVVLDKEKQTAVVDREVDGGLETLCLDLPAVITADLRLNQPRYATLPNIMKAKSKVIKKLTPEDLKVEIKSDIEVVKVTEPPKRKTGVLVSSVDELIDKLKNEARVV >CDP03490 pep chromosome:AUK_PRJEB4211_v1:3:18681555:18681887:1 gene:GSCOC_T00015302001 transcript:CDP03490 gene_biotype:protein_coding transcript_biotype:protein_coding MNWNDLSHCFFHSLEFSHPRCSGSLHVTKFGKENILPYMKRRNTVTLKLLLKYHICHPLKMVHELTQAYEFFLYSLFCPLLVIK >CDO99227 pep chromosome:AUK_PRJEB4211_v1:3:4494592:4496183:1 gene:GSCOC_T00026302001 transcript:CDO99227 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIVSAAFKAGFPAPAYKDDALGSTVSEADYVWRIIVMFGAIPAALTYYWRMKMPETARYTALVAKNAKQAANDMSKVLQVELEAEPDKVEKHVQAPGNDFGLWSKKFLKRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPPAQTMSAIEEVYRIARAQTLIALCSTVPGYWFTVALIDKIGRFAIQLMGFFFMTVFMFALALPYNHWTHRDNRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPKKTDAGYPPGIGVKNSLIVLGCVNALGMMFTFLVPESKGKSLEEISGEIDEENETATDTRLQGASDNRTVPF >CDO99340 pep chromosome:AUK_PRJEB4211_v1:3:5552783:5554237:1 gene:GSCOC_T00026463001 transcript:CDO99340 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDQEPSIFKIHGQLRSENEEAYEPQVVSIGPYHRGKPKLKEMEKHKLSYFNELLRRRGESSVKYIIALADLQDQARRCYAEEINLSNDDFVEMLCVDGCFVIEFLRKRIHPELRLENDPIFQMLWLRPTTVHDLILFENQLPFFVLQKLFDMTKSSRSEEENLIALHVFWNMPNPGLNSHSPIFEQYKPVHLLGLMHKILSASFSETLSSTTNSNQDSKSLFHITFENGVLKIPHLYVGDQTESFFRNLIAYEQYMSNPIETWMCITDYIFFIDFLIDSPSDVETLRRHDIIVNWLGSDEALSTMFNKLCKQVHIGGRFSYARIFDDVDKYSRKRWHIWRAYLPLEILVRKYFNNPWSFIAFLAACALLLLTTVQAIFSILQYTKQK >CDO98916 pep chromosome:AUK_PRJEB4211_v1:3:1786402:1790712:-1 gene:GSCOC_T00025877001 transcript:CDO98916 gene_biotype:protein_coding transcript_biotype:protein_coding MMREELCLDFSVNGLFLLTLNLDDKLISSPHQALSSENPEAIGLQGTAWQSSMANKEPPNNVGGLARGAPSETLEASSSGIVGANDLMIVGPGVLGRLVAEKWREEHPGCQIFGQTVTSDHHAELIEMGIKPSVKGTQVPHKFPYVIFCAPPSQTSDYAGDIREAASNWSGEGSFLFTSSSAPYDCNDNGSCDEDSPAVPIGRSPRTDVLLKAEKVVLEAGGCVVRLAGLYISFLESDIGAHVYWLSKGTSDVRPDHILNLIHYEDAASLSVVILKKKLRSRIFLGCDNHPLSRQEVMDLVERSGKFSKKFQGFTGTSDPLGKKLNNSKTRAELGWEPKYPSFAQFLGVS >CDP15129 pep chromosome:AUK_PRJEB4211_v1:3:10531571:10531895:-1 gene:GSCOC_T00042709001 transcript:CDP15129 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDFQPRALEQLKVQKFNTTQNLNLDDKLQPQKAKCSCDLPKQHSMRTQMPLLTCMLTRPSKTSST >CDO98798 pep chromosome:AUK_PRJEB4211_v1:3:875836:877463:1 gene:GSCOC_T00025722001 transcript:CDO98798 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHADLAPSPPSSDLGSKTGAFLMVMSVFFGLLCFILCLLAEATRSQVIRMKPAGLCVYSGSGKLPLLCAAGAFFALAIAMVVQHTFLLIAVSKSTTLPLINWDPDSDSFKALTWQAGSFFVATWISFAVGEILLLIGLSVESGHLRNWGIPRPSCLTIGEGLFTAAGVFGLVTVFLAAGLYITALRAQKLAEDEEIVRREVLEASELYATPPRSPRRVIRAVANENTVARHDRNQHSLADYLTEFEKYSNLM >CDP10824 pep chromosome:AUK_PRJEB4211_v1:3:26696074:26697351:-1 gene:GSCOC_T00031717001 transcript:CDP10824 gene_biotype:protein_coding transcript_biotype:protein_coding MLGISYGELFLIIGATAALIVVIRVQGFANNCANHREISWKGNWICPSGPCIKNYKTLFPNLKPFVMRFELYLLWPRGQCCEYISYQWYNFFF >CDO98764 pep chromosome:AUK_PRJEB4211_v1:3:622255:623140:1 gene:GSCOC_T00025680001 transcript:CDO98764 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLSSASTPFLPLLALPILYWATTLRKRKPKETNLVFYVHDNFAGDDTSAMTVAGKDGPTTSILEFGTLAAVDDPVTEGPDPKSKKIGRAQGLYINSQLDGKGLYLVFSVIFTDGEYKGSTLEIQGADPFSVKEREFSIVSGTGFFRFVKGYGIMTTEFIDIPNLRAILKLDVTVRHY >CDP11155 pep chromosome:AUK_PRJEB4211_v1:3:7975848:7978733:1 gene:GSCOC_T00033219001 transcript:CDP11155 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGTGDMALIRCSMELAEAGIKLEKIAQEDIFDIEFENGTLRIPTLAIEERTESFLRNLVAYEQYCGDQINLVTDYVTFLGCLIKSEKDVTKLSHHGIIDNFVGESEVISEMFNKMIVCIVGPSRNFHYAEIFSRLNIHCDRRMNRWWAKLRRNYCNSPWGIISIIAASLLLLLTLLQTIFSILSWKNQ >CDP10037 pep chromosome:AUK_PRJEB4211_v1:3:24160562:24161550:1 gene:GSCOC_T00030602001 transcript:CDP10037 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKDIGWEHGKPVGGNRKIVRCNYYGKIMHGGITRLKEHVGHVIGQVEPCPRASSEVRDLMKMHLKIGKIQRATIKQKKEEILNSFQQESMHGNFNMVGDEEDEVFFEIDEESRMALEKKQMKQAIRESQYLQFLDEQRRHSVSGSRPSMFMSGNMDVGTSNPTTSENKRGLSRNFSVRQADEMTSRGIDSHMFPSKQKSVKSMFAKENIKRVGKAVSKFFHFNAIPFHAADNPYYQSMIDEIAKAGSGIKGPSAYQIGNEYLDEEFEELEKYLGDIYDKFSTFGCTLM >CDO98718 pep chromosome:AUK_PRJEB4211_v1:3:225338:230339:1 gene:GSCOC_T00025616001 transcript:CDO98718 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKINCNKLDTKSSKFESGTTTTTATALVQQEVKAVNHGLNLLSSLSLSLSLSLARARSFARGGSLRMEFYSFPEQEYADTYLIQGTELNSQFSSPSLEAMYSEGAPEFIVDQGLYYPTATNYGYICTGFESPGEWDDHRRVFGLDGQDIQYAGSQTETFPFLYYTPTYGYGQYTYNPYIPGAMVGVDGQFLGAQQYYTIPSYENPSSAPAYFPMVVQSRSDLIANNTTDPFLHTTTSTANRADRSGLKQNISSASSALSSNLLGPASSQASSMMMVSDGTKTNATASVRPITHANVTSNRLSRPPSNQILQGGVSQALEHMPPGKAFPSSSQFKVAQPRANGMSSFGSTLHVEPTTDKVQPTFPHGRGPNVTKVRPDALGEQNRGPRVDKPENQLSVKAYTTRAGDADTEGNIIINIDGYNKDDFPLDYTSAKFFVIKSYSEDDVHKSIKYNIWSSTPNGNKKLNSAYEDAQRFANGDSGRCPIFLFFSVNASGQFCGVAEMTGPVDFYKDMDFWQQDKWTGSFPVKWHIIKDVPNPNFRHIILENNDYKPVTNSRDTQEVRFRKGIEMLKVFKTHVSKTSLLDDFMYYENRQKLLQEEKARLLMKKYEHPVLVPVLDPPDKLTSRINFSSKEDDMSYKCNDSNHSDHDTAASVKTAGLDGDTVNDDVVDVADGEASIHDFLKIGTLTITPKQSGSELLGVVTATVANSSLSDVVTVGSVPVRIKETAESPGFLTFGTIQVDPTVLNHDMACYRGKGGQK >CDP08113 pep chromosome:AUK_PRJEB4211_v1:3:31152392:31152682:1 gene:GSCOC_T00026840001 transcript:CDP08113 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLQISKISTLSGKMVQFNQKGSCKEKFLQHRTMIRCQADRTMQNGRAANFYEVLSLDCSKSVGLDEIKKAYRCKALKFHPDACPPTEKEESTR >CDO99251 pep chromosome:AUK_PRJEB4211_v1:3:4736425:4740894:1 gene:GSCOC_T00026335001 transcript:CDO99251 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHLLRPKSVLKIDRYGFPKPIRWFSSQSSDIEVGKSSKLKKTFMPREERAVAAESFVKRYMETNQGVFPTASHIQNEVGGSWYTVKAILCNIKEKILRTPVSDNHYVIDASATAEETASSAFVTYHSLVHDHSGSVVNTDQVLQAQDKDVSFGHSTTAAAVDASSALIVDPTASDATNMHKTSGSCELQTTSTVQCTNSGLDIHASQTDASEKVDVHLSMKYNSESMVQPNAVTDNSQLELDSLCIQSKESVSNMSETSDNGHVSSSEKSSHFEHDKMNSTGCHKWQESKTMSCVPSPNSSVKISPKSTLPDNSQKVGSLADIFKKFKVDAPVADAKNGKLESKASFSSTLLSHGKDSNSQVDAKNAKLESKASLSSILLSHGKDSNSQVESPQASLSSILLSHTKDSNSQLESLFSSDILLPSKSINKCRDFRTNKLVSGGSENQRPEGEMIGSSVTQTVIPNMAESFTGSGNFMGFGGTKRVNNRVSEFITNKKKDISMRTLFEHLEVPARKNDTTRSEIKELVERIKGLAGEPSILRQKDNVSSCKTDENSQKSQLGFQSLASFNNCDKKERKMSSDCHADHRTSKNDNADHRTSKNDDPLMVLPVGSRTQDDAGNSTGCSKQRGHTQFAVLMDKKELKEIQNKFLVMEEPGQNKAVVKFLSTDVQENNIVKAFQHCGDILKVEIWSSEEDFYRSATIYFKTREGLRNALEETDIMVTNRNVTVEAAASVEDKSYRTSIPSLIGDPDVPAGLVKNPTRTVKITQLTCDISSDDVIAALTSCGSKVTGFFLGALDSVAFVEFEASIPVHL >CDO98778 pep chromosome:AUK_PRJEB4211_v1:3:737979:740608:-1 gene:GSCOC_T00025698001 transcript:CDO98778 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDKDQKILLYACRNCDHQEAADNNCVYRNEIHHSVGERTQVLQDVAADPTLPRTKSVHCAQCGHGEAVFFQATARGEEGMTLFFVCCNTNCGHRWRD >CDO99313 pep chromosome:AUK_PRJEB4211_v1:3:5325348:5329464:1 gene:GSCOC_T00026422001 transcript:CDO99313 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRPPISKRNANLIHSTRILSLSSNFPYGPCVLITSSASRITMYPKVKVREQEDYDDQYEFETRSLQSLRTPECFSLNDFSSPDNSPTSVVRVPRFDSSTSGAISNRKQKLLEENKTNIRASPIPRPRAVLSSPDNDQMIGSKNKPKGDILASMKRQSLFENRHARCKVTPRPVAADGSISTRTSLKEVPDGKGDLRTRQRTVITDSNLRLNLQKGKPKSVKDRVTQ >CDO99407 pep chromosome:AUK_PRJEB4211_v1:3:6514692:6522230:1 gene:GSCOC_T00026570001 transcript:CDO99407 gene_biotype:protein_coding transcript_biotype:protein_coding MRGETPVTSGQLFNVQPINVVPSDENCRHNSGLNVSLQTGEEFSEEFLRERLTPRRPVIIDAFQQQQNRMGINIAQSRPLVYEDLTGLLGLQRKDSESGTELSEFSPQGGGYALDAENRESFGGANRYRMEYTTTNGQQQGRLSDGSNIDRTLSGLSIYPSDSPYAYQPHNSGLGYTDGSFPGKMKFLCSFGGRILPRPKDGKLRYVGGETRIMSIRKNLTYSELVRKTAAICNQPHTIKYQLPGEDLDALISVSSDEDLHHMIEEYHDLERTSQRLRIFLISSNDPESPCSFEARTGPQGDADYQYVVAVNGMLDPSPWRSSSRESLGSQMGNALDSSPVQRDSPISFHPLEVPDGGSSSNRMSNPSSKVQVFNTPQYPSKSILSSSVSPVPVQINDPRSCYTKLYEDMTRSNAYEFNSPNAAEQPSYDKYQYFNNTSYYHHQPPEAVQLRNYFHPVSHCLDSNQIPELDPHIHSTGKSVVASPSCGQLQMDKERHMVNEIAMQSENLSFPQDTAGVFPGIGTSFKTHNRMVHAVSQPQLRQKEEVKVTVEERNPFPSNYVVEKSPSYGDSSQKWLVQQLESSEKYQNARDENLSTPDLNKEFMWTENEGTTFDQDRTHNMFTDRNTSNSTLVEDNINLHEIVRPVDQMLIDCPLPVEPEGNTSRNSTSHSLTSENSQPRGHQSGPNESEYLIRSQKESETRAKAFSRDAINNSCGLKSSTHQGMDRPVDESCLLLDLNYPLSNDLSFPNPADSAKQVGLDTVHKESVHDPEFEMVKGPHKSVKKESLKEDSSETSCFPDQMSVSHPSTDQHDGIRPESTVVVEDVTDSIPPDIPSSLVVIPLVQDEPSDGTETESVAIESDHEEGNVDHDGNVDPLGDAALIEIEAGIYGLQIIKYADLEELQELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRNSEQERLTKEFWREAQILSKLHHPNIVAFYGVVPDGPGGTLATVTEYMVDGSLKHALLRKGALDRRKKLMIALDTAFGMEYLHLKNIVHFDLKCDNLLVNLGDPQRPVCKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLHGSSNRVSEKVDVFSFGITMWEIQTGEEPYANLHCGAIIGGIVNNTLRPSIPERCDPEWRKLMEECWSPDPAARPSFTQITNRLRIMSKALQPTRQIRARR >CDP11220 pep chromosome:AUK_PRJEB4211_v1:3:9360558:9361555:1 gene:GSCOC_T00033327001 transcript:CDP11220 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSAIGTGKNDISELEPVKPADPHVIQIGQFVVEQCHHGQLLFVAVVGGFTWSGDGGYYYALIIENQDYEGATYLHKALVLETPSETKLIWHKK >CDO99055 pep chromosome:AUK_PRJEB4211_v1:3:2875805:2885568:1 gene:GSCOC_T00026074001 transcript:CDO99055 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVRSGGVLKKKSSSGCLIIKKKAEVLGSAGSSHKEKKRPRLINSDSGRGEEEMGLRRNGDIIESDRKRSRLDLFDFDEYDEFDGKRMRNDYREMGSGNSREFGGGSSRNMMVEKRSKMYFDRSGGGVSGRNKVVDYGGERRFVLEDDEAHLPISLLRLKYPEEPAEPIRLQGKNGVLKVMVNKKKNMELPLRKTYDLQEVENRKGSKSEDVVKKEPSVPPTFYSDSKRADKRIAFVERERSQLKLQKPLLGKSNKTGDYAGENRELKLQKPLCGKSPKAREYESDGSDTSLKLAPPSLQAGSSKKAVKRETKGSLATENVPLDKGREHKVTPPAENATPVKGIDAKLKRGGSTEKQLLRERIREMLIKAGWTIDYRPRRNRDYLDAVYINPGGTAYWSIIKAYDALQKQLQEEDGDSKPDGVSSSFAPLSDDLINKLTRQTRKKIEEEMNKKRMDDGLTQNSKKVSAKASREDSDSDQNDEKLSSFIRQNGKPKKGKLHEVKSKIQGRKSRKIGRCTLLVRSSDMGQNSESDGYVPYTGKRTLLAWLIDSGTVQLSEKVQYMNRRRTRVKLEGWITRDGIHCGCCSKILTVSKFELHAGSKLRQPFQNIILESGPSLLHCLIDAWNRQEESMRRDFYVVDVDGDDPDDDTCGICGDGGDLICCDGCPSTFHQNCLGIQMLPKGDWHCPNCTCKFCGTASGNLNEENATPSELFTCILCEKKYHKSCTEEMVSPLANANSPLSFCGKKCQELYDQLQKILGIKHELEAGFSWSLLQRTDLESDTASRGFPQRVECNSKLAVALSVMDECFLPIVDRRSGINLIHNVLYNCGANFSRLNYHGFFTVVLERGDEIISAASIRIHGLQLAEMPFIGTRNIYRRQGMCRRLLSAIESVLCSLKVEKLIIPAISEHMHTWTVVFGFKQLEDPDKKEMKSINMLVFPGTDMLQKQLFKQGIPGGLKGFDSKDNLPRLPASVEKPDIESLQNQEMNRGSRGGSDHKNNVSDKAETIPLFSASAIPSNDGTVAGASETANESDIQISSKDIGESQLVKDGVESSSKSSSRSGVATDPPVIESSILNFPAKPDTPSSVNGLVSDAHKVDAQFSSSGSLLDFRCKTSENMVEDADENHSPVSIATVHNSDANCIQNHKVRNTPSASSSGTEVVQDLGNRDAFGKGSDGAVPEAVMKCVTVETVPRFFPETSSQNDLNQPVAMDDESESQASLKIVGDAKTASNLKIDSVGCDKGTSAGSDANNDARTDVKGCNCENASDSLKQGSSGNEFEREEEGHSSDSASRCTGAEVTAEVSFVHKNTSGIENGLSVASESHSEAEVPLPDNEVHCSSELEHGCEVNAGGVGGCPLSDSSGARVLEVSETRDRFDGAHKLDDS >CDP10819 pep chromosome:AUK_PRJEB4211_v1:3:26405948:26407984:-1 gene:GSCOC_T00031706001 transcript:CDP10819 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNLATAILAYFLVHTAAGAAASDDAGFVYQGFQSSNLSLDGLAKIMSNGLLQITNTTKLETGHAFYPSPINFKSKSNSSALSFSTQFVFAIVPKVSGVTGPGMAFVIAPTRGLTRGPSTQFLGLFNRSTNGNQTNHVFAVELDTFQNQDFEDINDNHVGIDINSVSSKISQPASYQANNKNSFDNLTLCSGQQMQLWVEYDGVERRIDVTLAPIAAAKPHTPLLSLRYDLSPILQQTMYVGFSAASSPIEIGTSHYVLGWSFKMNGDAQALDLSRLPKLPRFGHKKVSKFLILGLPLICILMLLILTSGVAYYLRRKWKFAEVLEEWELAYGPHRFKYKDLYIATKGFREKEVLGEGGFGRVYKGVLPRNKVEVAVKKVSHQARQGMREFVAEIVSIGRLRHRNLVPFLGYCRRKGELLLVYEFMSNGSLDKFLYNQPKYTLNWSQRLQVIKGVASGLFYLHEEWEQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGTLPQSTHVAGSLGYLAPEHNRTGMATTSTDVYAFGAFLLEVACGRRPIEPRAEPAENIVLVDWVFSCWKTGNILLAVDQKLGTEYVKEEAELVLKLGMLCSHSEPKIRPSMRQVLLYLEGAVALPDLSPLAMGVSAVGLGFAHPAGFEDITSSFAYSTDKCFTHSVGDSVLSGGR >CDP11131 pep chromosome:AUK_PRJEB4211_v1:3:7619777:7622814:1 gene:GSCOC_T00033181001 transcript:CDP11131 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTLLSTAVFCVLLLALVFKFWQRRSQNIPPSPAPALPIIGHLHLIKQPLHRTLHKLSQKCGPIFSLWFGTRLVVVVSSPSMVEECFTKNDIVLANRPRLILGKYVGYNYSDIIDVPYGDHWRNLRKLFTNEILSPARLSMFLSIRQDEIMRLLRKLYEISDNNFAKVELQSKFSVLSLNVIMRMVTGKRYFGEGEDTEEAKKFRGLIRKVFESAGASNPGDFLPLLRWVDYKNFEKSLARIGKEIDVFFQGLIEEHRCDRSKNTMIDHLLTLQESQPECYDDEVIKANILALLFAATDTSAATMEWAMSLLLNHPNVLEKARTELDTHLGKDRLIHEQDLPKLPYLHNIIMETFRLIPPVPLLVPHEASADCTVGGYDLPSGTMLLVNAWEIHRDPDVWDDPTSFKPERFEGLQVEPSKLMPFGMGRRSCPGAGLAHRVVGVALGSLIQCFEWQRVGPKKVDLAEGIGITTHKAEPLEAKCKAREFIDRILSEDH >CDO99377 pep chromosome:AUK_PRJEB4211_v1:3:6063486:6074316:1 gene:GSCOC_T00026528001 transcript:CDO99377 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQLHLYFLHSAHTPKGPKLLHFKNPVSLSYARKLLFHKRISGFLDSSYFRAKSVNGPHLLCCFSKGNAENETVLAKEQESESENERPPFDINLAVILAGFAFEAYYTPPENIGKREVDGAKCQTVFLSKSFMREIYDGQLFIKLKKGINLPAMDPWGTSDPYVVIQLDSQVVKSKTKWGTKEPTWNEEFALNIKQLPNQILQIAAWDANIVTPHKRMGNSGINLDSLFDGDLHEVLIDLEGMGGGGQIELEIRYKSFEKIDEEKQWWRIPLVTEFLEKYGIENAVKKVFGSETVQARQFVEFAFGQIKSLNNEYLPNNWFSNSRVGNRYISDPSAESDMTPQLESRSEFSSNDRIDEVVENETGNNTENSGVDDGKNSLTIDQVGETLESDKQFWHKLTETVNQNVVQKLGLPAPNIIRWDTFDLLNKIGLQSRKIAEAGYIESGLATPENLESGNGDANTGPISPDTDQSSFSNMKRVTQDLLQQTDAVLGALMVLNATVSEISKRSGLLGKQDDKTDASTESSEPSASPPDGLVLDEEKAEEMRALFSTAESAMEAWAMLATSLGHPSFIKSEFEKICFLDNPSTDTQASQYSSVGYCLVVALWRDSARKRLVVAFRGTEQARWKDLRTDLMLVPAGLNPERIGGDSRQELQVHSGFLSAYDSVRTRILRLIKQVVGYNDDDFQPLSKWHVYVTGHSLGGALATLLALELSSSQLAKHGAICVTMYNFGSPRVGNKKFAEVYNKKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLAAGDLENALENMSLMADGYQGDVLGESTPDVIVNEFMKGERELIEKILNTEINIFLSIRDGSALMQHMEDFYYVTLLENVKSNYQTVATSQSNEEKSVSIS >CDP19088 pep chromosome:AUK_PRJEB4211_v1:3:31863608:31873704:-1 gene:GSCOC_T00002113001 transcript:CDP19088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 4 [Source:Projected from Arabidopsis thaliana (AT3G02050) UniProtKB/Swiss-Prot;Acc:Q9LD18] MYTVDIDREEDSDDVLRQQAANGGQNSSRASGPEGRTEPTQVPKRTKNLAAKIPLADLSRNLLLAYQSFGVVYGDLSTSPLYVYKSIFVGKLSSHTNEDAIFGAFSLIFWTLTLIPLLKYTLILLSADDNGEGGTFALYSLLCRHAKFSLLPNQQAADEELSTYKYGPSAQHASSAPLRRFLEKHKKSRTVLLLVVLLGACMVIGDGVITPAISVLSSVSGIKVAENKLTQGEVILIVCVILVGLFALQHCGTHKVAFLFAPVVVLWLISIFALGLYNVIHWNPKIVSALSPHYIVKFFKETGKDGWISLGGVLLAITGTEAMFADLGHFTASSIRIAFTFVVYPCLVIQYMGQAAFLSKNIPSIPRGFYDSIPGSVYWPMFVIATLAAIVASQAIISATFSIVKQCNALGCFPRVKVVHTSRHIYGQIYIPEINWILMIITLAIAIGFQDTTTIGNAYGLACMTVMFITTFLMSLVIVFVWQRNLLFAAAFLLFFWIIEGAYLSAALIKVPQGGWVSLLLSVIFMFIMFVWHYGTRKKYNFDVHNKVPLKWLLGLGPSLGIVRVPGIGLIYSELATGVPPIFSHFVTNLPAFHSVLVFVCVKSVPVPYVSPEERFLVGRICPRPYRIYRCIVRYGYKDIQRDDGNFENLLIQSIAEFIQMEAVEPQFSSSDNASFDGRMAVISTRNVGTNSSLVVSERDDSDEIVSVQSSRSLTLQSLRSAYDDENPQMRRRQVRFQLPESPGMDVSVREELLDLIQAKEAGVAYIMGHSYVKARRSSSYLKKLVIDIGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >CDO98939 pep chromosome:AUK_PRJEB4211_v1:3:1950837:1958689:1 gene:GSCOC_T00025912001 transcript:CDO98939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 7 [Source:Projected from Arabidopsis thaliana (AT5G18830) UniProtKB/TrEMBL;Acc:F4JZI4] MISAFLEDPTNSSLFDWSDFLDFNIDVEPFASAPFAADQQQQEGPVSPSDSDQVSPPAEDPGRVRKRDPRLVCTNFLAGRVPCACPELDEKLELEEVTALGGPGKKRPRTVRVPAGSNARCQVPGCGADISELKGYHKRHRVCLQCANAGAVVLDGQSKRYCQQCGKFHILSDFDEGKRSCRRKLERHNNRRRRKPNDPKGTVETEHQQTTVAEDVSGDDDAGKDGICLSSENAEKETLLESEGQQSTLCSAHDSQNIQNNSIVTSGSYGDTQIYGEKENPKYSRSPSFCDNKNAFSSVCPTGRISFKLYDWNPAEFPRRLRHQIFQWLASMPVELEGYIRPGCTILTVFIAMPKFMWVKLSEKPAECLHNLVLSPGSMLSGRDTFYIYLNNMIFRVIKGGSSLFKVKVNEQAPKLHHVHPTCFEAGKPMEFVACGSNLLPSKLRFLVSFTGKYLAHDLCVSSSCGKTEGDAGTLNHHSFKISVPQTEPGIFGPAFVEVENEFGLSNFIPVLIGDKEICAEMNIMQQRFAAKPCIKGLQLSATSSCGVSDLRQTEFSEFIMDVAWSLKKPVMKSSTQFLTSVQIKRFTNLLNFLIENESTAILDRVVYYTRVLIDNNFVATNITDADMEHLWMNLDKARDILHQKLRRNEHQLNNSRKFLLGERSFNRSSLDHMSSVATSNSQGEEVTSKAKLAARVDISSHEGGTTVPLLTGEVVMRVNVSERPGKSCSPLLIKTGFSSRPLIFAVTAATVCIGLCAVLFHPETVGEVATTIRKCLQQNS >CDO99428 pep chromosome:AUK_PRJEB4211_v1:3:6877107:6879222:-1 gene:GSCOC_T00026599001 transcript:CDO99428 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNRDGISSSFSCLPEGCVSNIISLMASPKDAGRASLVSWEFKSASESDTIWEQFFPADYEEIISSSASPPLSCATKKELFSHLCHCPILINDGTMSFWLSRSTGKKCYMLCARKLAIVWADVPRYWKWTPFPESRGSTVVTRFPP >CDP10853 pep chromosome:AUK_PRJEB4211_v1:3:27525978:27526400:-1 gene:GSCOC_T00031767001 transcript:CDP10853 gene_biotype:protein_coding transcript_biotype:protein_coding MDIITQLQEQVNTIAGLAFNTFGTLQRDAPPVRLSPNCPEPPANPSSAAEDAASLAEQPKLMSAALVNAAKQFDLLVAALPLAEGGEEAQLKRIAELQAENDAVGQELQKQLEAADKELKQVQELFRQATDNCLNLKKPD >CDO98787 pep chromosome:AUK_PRJEB4211_v1:3:811666:816181:1 gene:GSCOC_T00025709001 transcript:CDO98787 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEKDIDDLPKNAANYTALTPLVFLQRAALVHPDRTSIIHGSNRYNWLQTYQRCRRLASALAKRSVTFGSTVAVIAPNVPAMYEAHFGVPMAGAVLNAVNIRLNAQTIAFLLGHSASAVIMVDQEYFSLAEEALKILAEKSKGNFRPPLLIVIADKTCDSKSLQHALSKGAMEYEKFLETGDPNFAWKPPQDEWQSIALGYTSGTTASPKGVVLHHRGAYLMALSNPVIWGMKEGAVYLWTLPMFHCNGWCFAWSLAAICGTSVCLRQVTAKAVYSAIANLGVTHFCAAPVVLNTIVNAPKEETILPLPRVVHVMTAGAAPPPSLLSAMSQRGFRVAHTYGLSETYGPSTICVWKPEWDLLPPENQARLNARQGVPYVALEGLDVVNTNNMMPVPADGTTVGEIVFRGNVVMKGYLKNPKANQEAFANGYFHSGDLAVKHPDGYIEIKDRSKDIIISGGENISSVEVENILYQHPAILEVSVVARPDERWGESPCAFVTLKGEAEKSDQQRLAEDIMNFSRSKMPAYWVPKSVVFGPLPKTATGKIQKHLLRAKAKEMGPVKKSRL >CDO99014 pep chromosome:AUK_PRJEB4211_v1:3:2514002:2519203:-1 gene:GSCOC_T00026017001 transcript:CDO99014 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIDGRIAFRPAGQLHGTVALSGKRNWSIIKFCNGDFMGEKLNCRKLQQRHLENGIAGAPVSMSLTADIVRDTKLRDLEMEKRDPRTVGAIILGGGAGTRLFPLTKRRAKPAVPIGGSYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLARAYNYGSGVTLGDGYVEVLAATQTPGEAGKNWFLGTADAVRQFHWLFEDQRCKEIEDVLILSGDHLYRMDYMDFVQNHRQSGADITISTLPIDDRRASDFGLMKIDNEGRVLFFSEKPKGDDLKAMAVDTTVLGLSKDEAQKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAAEFYIKACIIFLQFAYLFNDYWEDIGTIKSFFEANLALTEHPPKFSFYDATKPIYTSRRNLPPSKIENSKIVDSIISHGSFLSNCFVEHSLVGLRSRINSNVHLKDTVMLGADYYETDAEIASLLAEGRVPIGIGENSRIKDCIIDKNAKIGKNVIIENSEGIQEADRSSEGFYIRSGITILLKNSTVKDGLVM >CDP08024 pep chromosome:AUK_PRJEB4211_v1:3:29342837:29345615:1 gene:GSCOC_T00026694001 transcript:CDP08024 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLGKTTVARRVYNSPTVTYHFHVRAWCTVSQVYEKRQLLLQILADIRGLTDRISEMNDEDLQIKLRQCLLRNRYLIVMDDVWGEEAFEDLKNSFPDDHNGSRILVTTRSRGLALEINPHREPYSLRALSEDESFRLLKMKVFNEEDCPKELVAVGKEIARQCRGLPLAIVVVAGILAMTEMSRNEWKKIANSLSSQVLNDPEAQGQSVLELSYQYLPEYLKPCFLYMGVLDKDRDILVSKLVRLWLAEGLIPKTQTKSVEDLAEDFLMDLIDKSLVIISQRRSNGKVKACRLHSLMLDFCKSKAKDANFFRLVTRCDDPYASFPSSDYGFEFDFYHHLRPVSFASYRLAVCLKQNHFLESRPSGLGTRSLVFFASTDSETRWPYDISFILHNFKLLRVLDFECIDVASFPVEIGLLIQLRYLAVGGYVSSIPQSLGNLRKLETLIVKGLRGKIILPNTIWHLTSLRHLHVKIHVAFNLDDEEYENCSVLENLVSFSRLSLPCGQDAERILKRFPNLRKLSCIFYEPQDSSTTCNQFPALDFLTHLESLKIVYFGTPLNDGKFNLPSNLKKLTLSDFRLPWSHISAIGSLANLEILKLQSGAFEGQTWEMEEGEFQRLRFLSLDTLDIVQWNASCDHLPRLERLVLQNCNDLEEIPFDLADILSLQMIEVNCCAQSVEESAKEIGEATGEVKVLIRSSDLTT >CDP16814 pep chromosome:AUK_PRJEB4211_v1:3:15904951:15905127:-1 gene:GSCOC_T00019337001 transcript:CDP16814 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPAILPITNPQPSTAATTTTTDSIQSSGPLRLPCFYKSYQRYRPQRPRQPPPLV >CDP03436 pep chromosome:AUK_PRJEB4211_v1:3:21852558:21855729:1 gene:GSCOC_T00015192001 transcript:CDP03436 gene_biotype:protein_coding transcript_biotype:protein_coding MASIEVLFNPTWIITAALAVALTACVLKSMRRGSREKKKYTPVGGTVFHMLLNFDRLHHYLTDLARKYKTFRMLNFSRCEVYTSDPANVEYILKTNFANYGRGPYHHSVLEDLLGDGIFTVDGEKWRNQRKTSSYEFSTKILRDFSSGVFRTNASKLARLVSEAVASNQTMDVQDLFMKSALDSVFKVVLGVELDSMCGTNEEGTRFSRCFDEASAITLYRYVDLPWKLKRFLNLGSEAKLRNNVKVIDEYVYKIIRSKTEQLHKSRDDWLMKKEDILSRFLENNETDPKYLKDIILSFIIAGKDTTASTLSWFFYMMCKHPHMQERIADEVVKATNVKGNPLTDELANSITEEALDKMQYLHAALTETLRLYPAVPLDGKFCFSDDTFPDGLSIRKGDTISYQPWAMGRMKFIWGEDAEDFRPERWLNENGVFQQESPFKFPAFQAGPRICLGKEFAYRQMKIFSAVLLGSFTFKLSDKQKVVKYKTMLTLHVDGGLHLQASPRWGDINP >CDP15150 pep chromosome:AUK_PRJEB4211_v1:3:9527861:9528997:1 gene:GSCOC_T00042747001 transcript:CDP15150 gene_biotype:protein_coding transcript_biotype:protein_coding SICTTFLILLKISLQYINFPSAKMGSKTLLFFFISMAVVLMITSEVAAKSVDNSKTVETNEEGEAKYHGGGYGGGHGGGYGGGHGGHGGGYGGGHGGGHGGHHGGGYGGHPGEGNGDGHGGYGGGGHGGYGHGGGSHGGYGHGGHGGGGHGGHPGEAADAKPQN >CDO98805 pep chromosome:AUK_PRJEB4211_v1:3:941969:943762:1 gene:GSCOC_T00025730001 transcript:CDO98805 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSWIRIAPSSRRFQSRSDVYNVGEEYYEGGEEELRPEFLCPFCAEDFDIVGLCCHIDEEHAVEAKNGVCPVCAKRVGIDLIDHVTMQHGNLLKISFSFCYLI >CDP10285 pep chromosome:AUK_PRJEB4211_v1:3:12085894:12088762:-1 gene:GSCOC_T00030963001 transcript:CDP10285 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVRRIKLGSQGLDVSVQGLGCMGMTHGYGPPKPEADMIKLIHHAFNKGITHFDTSIIYGPYTNEILVGKGLKGLPREKVQLATKFGVKPLEGGGTEVCGDPEYVREACESSLKRLGVDYIDLYFVHRIDTRVPIEITIGALKKLVEEGKVRYIGLSEASPETIRRAHAVHPISAVQLEWSLWTRDAEEEVIPTCRELGIGIVPFSPLGRGFFGGGAKLMENLSSNDFRKTVPKFQGENLEHNMKLLERVGELATRKGCTTSQLALAWVHHQGDYVCPIPGTTKIENLESNIKAVSVKLTPEETAELESIASADASKGERYSADQLARTWRFANTPPLSSWKAT >CDP08102 pep chromosome:AUK_PRJEB4211_v1:3:30969511:30971065:-1 gene:GSCOC_T00026824001 transcript:CDP08102 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVSNKQVILKNYVSGFPKESDMEVKTTALKLKLPDGGDYSGAILVKNLYLSCDPYMRSRMRKLEGHYAEAYTPGSVKFNFGNIFLCFPQWLCLLFGWRTNRLKLVFWLVIPMVGLGVARVLESDDPKFNKGDLLWGLTRWEEYSSVIADPETRLKIDNTDVPLSYYTGILGQCLCLIRFPLQYTSIEVTSFSYWRFPAFDVCHL >CDO99090 pep chromosome:AUK_PRJEB4211_v1:3:3087470:3089717:-1 gene:GSCOC_T00026116001 transcript:CDO99090 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLRLFHSFWHFRARISSSRFTTDVRYVSDGNHFLWNPFCTVAESVQVEDSVVVTVESPGLPHWVKSSNNEVNNVEDEEFVLPSVSDWIDSHELHRPGVDTESKVGDLSDSEADKISKILKSEFKSPDAVLEALNGCRVDVTEDLVKQILERFSFDWIPCLGFFRWAKLQGGFKLSPELYNLMVDNLGKLRKFDLMFDLVEEMRQLEGCITLVTISKVIRRLAKAGRFVDAIDVFRNLDQYGVPNDIYALNILVDALIKDRGVEHAEEVILEYKDIVSPNASTFNMLVHGWCRARKLEEARKTVGKMKRHGFNPDVVTYTCFIELYCRDKDFRKVDRKLKAMQELGLVPSVVTYTIIVTALGKAKEMNRALEFYEMMKQNGCVPDSSFYSTLIENLSKSGRLKDARELFEDMAKQGVDPDTLAYNTMIAASARHSEELDALMLLKQMEERQCKPDLQTYAPLLKMCCRLRRMKVLSFLLRHMFKNNISFDLGTYTLLVSGLCAHGNLNRACSFFEESVKRGFVPTDAMYRKLVKGLQEKGMEKEKERIEELMLQAKVQGSIDSSKSFIQVQE >CDP15459 pep chromosome:AUK_PRJEB4211_v1:3:17157102:17160081:1 gene:GSCOC_T00043198001 transcript:CDP15459 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDGFSWWICRIRRAQNLFDEFRIDHFRGFAGFWAVPSEAKVAMVGRWKVGPGKPLFDAIFRAVGKINIIAEDLGVITDDVVQLRKSIDAPGMAVLQFGFGSDAKNPHLPHKHEHNQVVYTGTHDNDTIRGWWDVLPQWERDNVIKYLGSIDQTEISWALIRAALSSVARTAIIPMQDILGLGSSARMNIPATQFGNWSWRLPSSMGFDELNGEAERLRGMIATYGRL >CDO98717 pep chromosome:AUK_PRJEB4211_v1:3:218214:223244:-1 gene:GSCOC_T00025615001 transcript:CDO98717 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETEAVGSRPSELALADTNIHWDRLDKTRFHVIGAILFTAQSALIHPTAVVKTRMQVAASGLSRVNGMSVFKHIIKSDGLPGIFRGFGTSAIGSLPGRVLALTSLEVSKDMMFKCTEGLDVPEATRVGIANAVAGMFSNIVSCVYFVPLEVICQRLMVQGLPGTTSCNGPYDVVRKVTKVEGIRGLYRGFGLTALSQTPASALWWGAYGAAQHMIWTSLGYRDDMQQKPSHLQMVTVQATAGMVAGACSSIVTTPLDTVKTRLQVIDDYGVGRPSVMKTTRALLKEDGWRGFYRGFAPRFLNMSFYGTTMIVTYELIKRLSVKQY >CDP19166 pep chromosome:AUK_PRJEB4211_v1:3:31787073:31788584:-1 gene:GSCOC_T00001677001 transcript:CDP19166 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRASCIFLLSLTALLTITSAASFNVISFGAKSDGRTDSTQSFLKAWASACSSVQAATIFVPRGRYLIKAAVFRGPCKNRITVRIDGTLVAPDDYWGLGNSGYWLLFVQVNRLSVIGGTLDAKGAGFWACRASGRNCPVGARSITFNWVNDGLISGLTSINSQLMHVVVNSCKNVKVQNVRIVAPDLSPNTDGIHVRGSTGVTISGSSIQTGDDCISIGPGTRNLWMERIKCGPGHGVSIGSLGRDFNEDGVQNVTLINSVFSGSDNGLRIKSWARPTTAFVSNIKFQNIIMKNVENPIIIDQNYCPVNRGCPRQTSGVKIDQVIYQNIQGTSATPVAVIFDCSPSNPCRGIKLRDIKLTYLNRKAQSLCKNIGGTAAGVIMPESCL >CDP11119 pep chromosome:AUK_PRJEB4211_v1:3:7381406:7385172:-1 gene:GSCOC_T00033160001 transcript:CDP11119 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIKQEQPMEFDIDNLFQWTMKKNWKEVLNVCRNNPSACMAKLTKSEDTALHIAVSSFHADQIDANGQAKVVSDLVESLPPDQAVEILKVQNDKGDTPLHLAAALGSATICSCIARKDHVLISERNLKGETPLFMAAHHGKMEAFLQLHKLYRKSAEEPDDRLYRRNDGDTILHSAISGEYFALAYQIISYNHKLVRSINQEGFSPLHILARKPNVFESSSNLRLFDRIIYRCVLVRELKKQKFKDSGINYPDNYQTCVKFIHLPWAAFRTITALGKDCGPRQEPGSIADAENPKNEEEEHQEKELKDEHPFPENYTTCVQLFKFAMNVVLVVLGIGIWKISKIREKKERHKWAVQIMDKLIEHEANYKYSHNGGRPVDNVDQMYPERIKPPSTPPPEHDTYSSLEDNIPGSKSKDKQEHEDGSKLGKTFEIYVNTSSINPMHQLKNWRVV >CDP10854 pep chromosome:AUK_PRJEB4211_v1:3:27538013:27541269:-1 gene:GSCOC_T00031769001 transcript:CDP10854 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEGSSQPSSKKSRQPKYSRFTQQELPACKPILTPGLVVTTFILVGVIFIPIGLLSLSASERVVEIVDRYDEDCIPSDQEKTAFIQSDKTNKTCVRSLTVPKKMQHPVYIYYQLDNFYQNHRRYVKSRSDKQLRNPENEDLTSPCEPEARVDNKPIVPCGLVAWSLFNDTYGFSVSGMNLSVNKKDIAWDSDKNYKFGSKVYPKNFQQGSLIGGGKLDEKIPLSEQEDLLVWMRTAALPSFRKLYGRIEQDLEANETITVVIQNNYNAYAFGGKKKLVLSTTTWIGGKNSFLGLGYITIGGLCLFIATTFIVMYLIKPRPYGDPSYLSWNRNPPGN >CDO99038 pep chromosome:AUK_PRJEB4211_v1:3:2722781:2729486:-1 gene:GSCOC_T00026048001 transcript:CDO99038 gene_biotype:protein_coding transcript_biotype:protein_coding MFQCDAKVVIAENCLEVRSNRKLAFCVFCIRARHQEELENLTLITQPFKTIKLFILAVIQYLWRSAAYLLAHAGWFMLLSALFLFAGILLVTIDGPHEKHVEEVLNYLRFGLWWVALGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRVDVKSAPYDTIQLKRGPSWLGKDCSEFGPPVFLSLHGSRVPLSSILLQVQLEAILWGLGTALGELPPYFISRAASISGGKIDAMEELDTSTEDRGMLARQLNILKRWFLSHAQYLNFFTILLLASVPNPLFDLAGIMCGQFGIPFWEFFLATLVGKAIIKTHIQTVFIILVCNNQLLDWMENELIWVLSFVPGFKSILPDVISKLHAMKDKYLATKPPVSSNFKVNKWDFSFASIWNTVVWFMLMNFFIKIVNATAQRYLKKEQEKHIAALKNK >CDP10030 pep chromosome:AUK_PRJEB4211_v1:3:24545066:24555286:-1 gene:GSCOC_T00030592001 transcript:CDP10030 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPLLHTSSFSATLKRCRINVYKFTPPKIISRSVSSRRRHHRRRLLKHHPDADHRSPPTVNQNLQIVLTVDRLSNSKPVTYISELVDASQSKLSRFIYAADDAFENLRTLVTVDGATKRVVVSCRRSTVHFLGFVLLSSLVIIFVFRVLIKLLIGNSDSFSENNGGVIYRRDRSLGGREVAVAKVDTNFRKNENKKKGSENNILMLMLESENEIKRPFWERRKKRSAEKLPQWWPVSSQGPGLLVENKEEYQMMANRLIQAIMDKRIRGEDISMDDIVQLRRICRISGVRVLIEVENARDSIYRASVDFVLQCCERIENQSAFINIDGEDVHHFIAGLAENIGLENSRASRMVSAAVAARTRSRFLQAWALKIQGNHSEAVAELLKICLIHKIFPPEESSAEMEMVARGLEKQLNVDQRELLLNMLIRTCGEGTRRSMTEALGLIQPPQSDVEQEKRVS >CDO98883 pep chromosome:AUK_PRJEB4211_v1:3:1482642:1483397:-1 gene:GSCOC_T00025837001 transcript:CDO98883 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMALGSELSELKKSLNVEVDQLRSEFQDLRTTLRQQQEDVTASLKNLGLQDVPVENKETVAPKIEVKDEKDQDLPKEENKEEVNS >CDP11171 pep chromosome:AUK_PRJEB4211_v1:3:8332781:8337511:-1 gene:GSCOC_T00033249001 transcript:CDP11171 gene_biotype:protein_coding transcript_biotype:protein_coding MGGISDNVKGLVLALSSSVFIGSSYIVKKKGLKNAGRNGTRAGSGGYSYLLEPWWWAGLITMIIGEIANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKERLHIFGVVGCVLCLVGSTTIVIHAPIERDIESVKQVWQLATEPGFLVYSCVVVILVLILIFQYAPRYGQTHMVVFIGICSLTGSLTVMGVKAVAIALKLSFSGMNQFKYFQTWFFTLYVIGFCILQLNYLNKALDAFNTAVVSPVYYVMFTSLTILASIIMFKDWDSQNASQIVSEVCGFVTILSGTFLLHKTKDMGGVSSTSNTPVPSPVFLASRQNSSSIRSEI >CDO99172 pep chromosome:AUK_PRJEB4211_v1:3:3929076:3935357:-1 gene:GSCOC_T00026222001 transcript:CDO99172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycolate hydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) UniProtKB/Swiss-Prot;Acc:Q8VXY9] MAMRFSIYMLLVLSVYFISNCPVNLAHRNEDDPTIKTMEEFSGYPIHESHFLNSLSSLSVDAETLQKQIDELSSFSDSPAPSVTRILYSEKDVLSRRYIKNLMEASGLSVREDAIGNIFGRWTGSEPELAPVSTGSHTDAIPYSGKYDGVVGVLGAIEAINVLKRSSFKPKRSLDVIMFTSEEPTRFGIGCLGSRLLAGSKELAQQLEKTVDGQNVSFFYAAKSAGYMNVEKLSTVFLEKGSYSAFVELHIEQGPILEEEATSIGIVTAIAAPASIKVDFEGTGGHAGAVLMPKRNDAGLAAAELALAVEKHVLESGSIDTVGTVGILELHPGAINSIPSKSHLEIDTRDIDEERRNSVIDKIYESALSISKRRGVKLSEYKIVNQDPPALSDELIIKAAESASQELELTYKKMISRAYHDALFMARVSPMGMIFIPCYKGYSHKPEEFATIDHIADGVKVLALTLAKLSLS >CDO99279 pep chromosome:AUK_PRJEB4211_v1:3:5013828:5026082:1 gene:GSCOC_T00026372001 transcript:CDO99279 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRVAVVGAGISGLVAAHVLAKEGVDVVIYEKEDYLGGHAKTVTMDGIDLDLGFMVFNRVTYPNMMELFENLGVDMELSDMSFSVSLDKGKGCEWGSRNGLSSLFAQKKNVLNPKFWQMIREIVKFKADVISYVEELEKNPDLDRNETLGHFIRSRGYSELFQKAYLVPICASIWSCSSEGVLNFSAYSILSFCRNHHLLQLFGRPQWLTVRWRSQTYISKVKDDLERRGCQIRNGCEVRAVSTKDEGCVVTCENGSIEVYNSCIVAAHAPDALKILGEQATYDESRILGAFQYAYSDIFLHRDKNFMPQNPAAWSAWNFLGTVDNKVCVTYWLNILQNLGETGLPYLVTLNPPHTPENTLLRWSTGHPVPSVAASKASTRLNDIQGKRGIWFCGAYQGYGFHEDGLKAGTVAAQSLLRKSCTILSNPKHMVPSWTETGARLLVTKFLNGFIATGSLMLLEEGGTIFIGRHSISLVATQADLGLADAYINGDISFVDKNEGLLNLFMVYVANRDLKASVTSSSYQRGWWTPLLLTAGLASAKYFFQHVSRQNTLTQARRNISRHYDLSNELFSLFLDETMTYSCAIFKSEDEDLKIAQLRKISLLIERARISKDHHVLEIGCGWGSLAIEVVKRTGCQYTGITLSEKQLTYAEQKVREVGMQDHIKFLLCDYRQLPETYKYDRIISCEMLEAVGHEFMEKYFSSCNSVLAEDGILVLQFISIPDERYEEYRQSSDFIREYIFPGGCLPSLSRVTSAMAAASGLCVEHLENFGIHYYHTLRRWRTNFLSKQSEILELGFDEKFIRTWEYYFDYCAAGFKTCTLGNYQVVFSRPGNVVSFGNLQKGVPSAY >CDO98962 pep chromosome:AUK_PRJEB4211_v1:3:2143434:2149779:-1 gene:GSCOC_T00025950001 transcript:CDO98962 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MAGGCSIRAIWILSSQDAVVYSRRFPVVERRWRLACDKESQSAGATDSLKVVPYLPTDSELAAAFIDRKRREGSVRGFGIRTSHSVKGSDSWVDDPITRHIISLSIDKEEQGESHFIWPVILHVKGPFYLLALPLVEPHHLKTYARMCNRSDCGNTIGEDENLSSLLLDLPSITGAFMVVHTIGDIVTGDITEPEVVASASPSVGGLLDSLTGSIGISGRAKPVATPIAASATSSTSVSGTLASDAPKIGSRSLDKDALQSFISSAMPFGTPMDLSYSNISAMKMNGFSSVDVPSADSKQPAWKPYLYRGKQRILFTIHETVHVAMYDRDEIPDSITASGQVNCRAELEGLPDVSFPLTGLGSAHVELLSFHPCAQVPEHGGDKHAVTFSPPLGNFLLMRYQVSDGIRPPVKGFYQLSMVSENEGAFLFKLRLMEGYKTPLSLEFCTVTMLFPRRMVASCDGTPSIGTVFSTERSVEWRIITSGRGVSGKSIEATFSGTLKFVPWQTKKPPSSGSVFGSIDDEDSDFETDSPNNIVNVEDFLAEKMSKDLQAVDLEEPFCWQAYSYAKVSFKMVGSTLSGMSIDPKSVSIFPAVKAPVELSAQVTSGEYILWNTLGKCPVAATPKA >CDO99022 pep chromosome:AUK_PRJEB4211_v1:3:2587670:2590630:-1 gene:GSCOC_T00026025001 transcript:CDO99022 gene_biotype:protein_coding transcript_biotype:protein_coding description:RANGAP2 [Source:Projected from Arabidopsis thaliana (AT5G19320) UniProtKB/TrEMBL;Acc:A0A178UQD1] MDSVTSHPQRRPFSIKLWPPSQNTRQMLVERMTNNLSDPTIFTRKYGSLSREEATKNAQQIEETAFGLANQHYEKEPDGDGSSAVQLYAKECSQLILDILKKGPSTEDKEVLKPKDVFSPDETFFDISKGQRAFIKAEEAEELLRPLKEPGNYYNKICFSNRSFGTDAALVAAPILSSLKGQLKEVDLSDFVAGRPEEEALEVMKIFSAALEGSVLKSLNLSDNALGEKGVRAFGELLKSQTSLEALYLMNDGISEEAARAVCELVPSTDILTVLHFHNNMTGDEGAIAISEVVKRSPLLEDFRCCSTRVGSDGGIALSEALGTCTYLRKLDLRDNMFGLEAGIALSKALSFHANLTEVYLSYLNLEDKGAIAIADALKSTAPLLEVLEIAGNDITAEAAPALAACVAAKQHLTKLNLAENELKDDGAIQISKALEESHDKLKEVDVSSNSLRRVGARTLAQSVVHKPDLKLLNVDGNFISDEGIDELKDIFKKFSDKLAPLDENDPDGGDDDDQESGDGSEGSEEELESKLEKLDVKEEK >CDO98703 pep chromosome:AUK_PRJEB4211_v1:3:139652:143359:1 gene:GSCOC_T00025600001 transcript:CDO98703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MTTTSPFSLSFSSSLRPSPLPHPRRATLINLASTHSISELVMIRCAAPALASTTRAAAAAPLTSPPKKRHWKQGEYPGLIQDFSISPKNKKTHPIKNIKKKLDKKNNAKAWANTVTEALSDCIDKKQWLPALHVFEMLKEQPFYQPKEGTYMKLLVLLGKCGQPQQARQLFDRMLEEGLQPTSELYTALLSAYCRSNMIDEAFSVLDRMVVLPLCQPDVYTYSILIKACMDGSRFEMVESLYQQMAERLITPNTVTQNIVLSGYGKAGKYEQMEKVLSGMLKSTSCRPDVWTMNIILSLFGNKGQIEMMERWYEKFRDFGIEPETRTFNILIGAYGKKKLYDKMSSVMEYMRKLSFPWTTSTYNNVIEAFADAGDAKHMECTFDQMRTEGMKADTKTFCCLIKGYANAGAFHKVISCVQLAGKLEIPENTSFYNAVIYACAKAEDLMEMDRVFKRMKEKEWQPDSLTFSLMVDAYRKEGMNDKVYDLEQEKQMIKASASEIVITFYRCPC >CDO99380 pep chromosome:AUK_PRJEB4211_v1:3:6115473:6117903:1 gene:GSCOC_T00026531001 transcript:CDO99380 gene_biotype:protein_coding transcript_biotype:protein_coding MADASEHDELFAGRRILVNGPVIVGAGPSGLAVAAGLQQQGVPFIILERASCIASLWQNRTYDRLKLHLPRQFCELPYFPFPKEFPEYPTKNQFIDYLESYAKHFKIIPRFNESVQSAKYDQKCGSWRIKTVVASDNSEIEYICSWLVAATGENAEKVVPEFEGLQDFGEVMHVCDYKSGEAYEGKRVLVAGCGNSGMEVSLDLCNYNAMPSMVVRSSVHVLPREILGLSTFQLAVSFMKWLPVWLVDKILVTATRLILGNLEKYGIKRPSTGPLELKNTEGKTPVLDIGTLDKIRSGKIRIVPGIKKFFRGGVELENGEFLEIDSVILATGYRSNVPSWLKENEFFSVDGFPKTPFPNGWKGKAGLYAVGFTRRGLSGASFDAIRVSKDIGQIWKQELKQKNQGALSLANRRTCKS >CDO99148 pep chromosome:AUK_PRJEB4211_v1:3:3752390:3754858:1 gene:GSCOC_T00026194001 transcript:CDO99148 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMLGEEHGGLNLKATELCLGLPGGGGCAGADTESLKITGKRGFSETVDLKLNLQPNEPVVDLKEKMKAPAKEATKDPVKPPAAKAQVVGWPPVRSFRKNIMAQKSNTEEAAAEKAGSSAAFVKVSMDGAPYLRKVDLKMYKSYQELSDALAKMFSSFTMGNYGPQGMIDFMNESKLMDLLNSSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKSRC >CDP10839 pep chromosome:AUK_PRJEB4211_v1:3:27187301:27188160:-1 gene:GSCOC_T00031737001 transcript:CDP10839 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGNCKFGASCKYQHPRQGSGSQTPVTLNFFGYPLRPGEKECSYYVKTGQSKYGVTCKYHHPQPAATEPAPAIYPPVQSPSVQSSQQYVVVAGNWPVPRPTLLPGSYIPGTYGPMLLPPAMVPLPAWTSYPTPVSLAATASTQPAVGAGHIYGISQLSPSAPAYTGQYLSIPPAAGPSGSSQREHAFPERPGQPECQY >CDO99460 pep chromosome:AUK_PRJEB4211_v1:3:7205159:7206428:1 gene:GSCOC_T00026643001 transcript:CDO99460 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIKKSASGQSNFRSINSIIMKFPQFKEGLKEIRDIFQQYDEDNNATIEREELKKCSQELQLTIREEEIDDLFYYCDINENEGIQLNEFIVLLCLIYFLTDYSIPSHTASDILSPQLQATFNIIIEAFLFLDKKGKGKLNRKDVVQALNEASSLERSPSHVTHTRFKEMDWNRKGKVGFREFLFAFIDWVGMDSDDEAIEIEN >CDO98818 pep chromosome:AUK_PRJEB4211_v1:3:1031107:1032159:-1 gene:GSCOC_T00025749001 transcript:CDO98818 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSSTSSVISSTYSSLPLSSPSYPPSQCCRRRRASSSIHSFPFTGRTVQLLHCRRRSSTAKNEVQHQSAKDGHGQNTDEPPPEDIKLLTAVRSIYNDIMILETSESRLLLLDSSHQIHSIFSKGGSKWTDSYWDEFASLPPIIPAGPIAIFGLGGGTAADLMLHLWPSLQIEGWEIDEILVHKSREYLGLSELENRAEAGGGGLRVRIGDALSANASVAGGYAGIIVDLFADGKVLRGLEEVKTWTEIGEKLMPKGRIMVNCGGVSSDAGEELMEGNLELGWEQNNAIRAMRKAFPGAEELNWKLMPKEKGANFLALTGALPDLAAWSSALPDELSSSVYQWRICSGS >CDO98911 pep chromosome:AUK_PRJEB4211_v1:3:1758671:1760088:1 gene:GSCOC_T00025871001 transcript:CDO98911 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQNDSKRVNEKAKRISYVGRLFRDWLEHVKGDLFFDTVPNMTNTLQTELIAIRLRLEQFLQQFLELDFDGKKGIYKINYRM >CDP10851 pep chromosome:AUK_PRJEB4211_v1:3:27478194:27483578:1 gene:GSCOC_T00031763001 transcript:CDP10851 gene_biotype:protein_coding transcript_biotype:protein_coding MERNQLIQLWAAEGFLHSNQINNMCMEEVGNMYFTILLDSNLFQDAEKDENGNVLNCKMHDLVHDMVQSISSSKTLRLTESGSDDKETFPIQYLALERSEKEMPFPPSERFKCITTFFLLEDRSLNDREISFFMLRVLTLRSSSVKELPKSIGKVTHLRYLDLSRTSIKTKPDSLCRLYNLQTLRVGYCKSMTKFPHNFKNLVNLRHFELQNCTKCKELPTVGHMPSLRSLHLEGLDRITKHRAFFFPLKLFPKLGYFIVKGMLNLTEWTKAIIHDRTVVGFPILEMMKIDNSPQLATFPTHFPSLKKLHIYRTKNGSAVMAYIYSQTILELSQPHTCIDNNATQRLVGLESLEKLAVCGCNSLKSISIPKGYKYLILPIEKLRVHECNNLISFPIDLTPTPSLSFLFISPCPELTDLPKGKLCSLRSLRSLTIGPFSETTELHSFLNLFDALQPPHHYFPSLSSLSLDGWPHWESLPEQLQHLSALTRLQLSGFGVKSLPDWFGKLSSLEHLWLRGCEKLENLPSHQSMRKLTKLIWLEISDCPLLMRRYKPESSGNAPPTPILSGPRCAIFPKLQLMGGCRSSGRTPFDMT >CDO99158 pep chromosome:AUK_PRJEB4211_v1:3:3818163:3823614:1 gene:GSCOC_T00026205001 transcript:CDO99158 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGGYVVPVDPGTGTGGGLKKKGAGSRSWILMDSSGQETVLDVDKYAIMHRVQIHARDLRILDPLLSYPSAILGRDRAIVLNLEHIKAIITAEEVLLRDPLDDNVTPVVEELRRRLKSVNSNHEDGKELLAHNDVENGEEDESPFEFRALEVALEAICSYLAARTIELETAVYPALDMLTSKISSRNLDRVRKLKSQMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGAAGWFLATPTIGSKISRASRASVATVRGDEDDVEELEMLLEAYFMQIDSTLNKLATLREYIDNTEDYINIQLDNHRNQLIQLELFLSSGTVCMSIYSLIAGIFGMNIPYTWNDDHGYMFKWVVVFSGFLAALTFVLIISYARFKGLVG >CDO99447 pep chromosome:AUK_PRJEB4211_v1:3:7039803:7040108:-1 gene:GSCOC_T00026625001 transcript:CDO99447 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFCVSEVEVEGHVFPSSMKPSGSNGTFFLGGAGYRGLEIQGKFIKFSLPGVYLEETAIPSLATKWKGKTAEELMESVEFFRDIITGNTTLFSFFSFIR >CDP11140 pep chromosome:AUK_PRJEB4211_v1:3:7790939:7793954:-1 gene:GSCOC_T00033195001 transcript:CDP11140 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLAPSNLPLIDFSNGNLRPGTNIWISTSKQVKNALKNHGIFLATYDKISSEIKNSFHLALEEYHDLPKEQKGQFTPDKPYLGYLADQHATCELTAIGDPTSMEAIEGFTNLFFSSAKKDYVSAIMQSYSKQVAELHEVIIRMACECYGVVEYYESLRESLAYICRVNKYRAAKLDEKNVGLAPHTDLSFMTIVHQNQVNGLEVMSNDGSWIPVDIPPSAFTVFAGDALMAWSNGRIRSVLHRVTISSELPRYSIGLFCYKKGMLEAPEQLVDEHHPLLFKPFDNLELVSLVYTERVVVVEDKLKVLLALKESICPS >CDP11135 pep chromosome:AUK_PRJEB4211_v1:3:7659374:7660017:-1 gene:GSCOC_T00033188001 transcript:CDP11135 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIFGWRIITEELERWFSKPTRQRTSGFPALITIRLHVSLPNPTGVRALRWSWIGICRWIHLFTLFMIIFICNSNYCYYYVHYYWREIVWMRLFLLCFFYYYRR >CDO98942 pep chromosome:AUK_PRJEB4211_v1:3:1975676:1977357:1 gene:GSCOC_T00025915001 transcript:CDO98942 gene_biotype:protein_coding transcript_biotype:protein_coding MASARARLIFRLVLVTLLLLLLFYAGRPLYWKISATVHDIRAKKQTVSGGISQFVYEAQRSVGWLHGKSDSGRPDGKSATARRLLDLCQVS >CDO97739 pep chromosome:AUK_PRJEB4211_v1:4:163059:169786:-1 gene:GSCOC_T00021619001 transcript:CDO97739 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEGIAAVGGTGIGSGSGIGGGGGGVSVSEASQQQQQQGTSRVAVVERLNPAVQQQLNLDSVRTRAISLFKAISRILDDFDAIARTNAVPKWQDILGQFSMVNLELFNIVEDIKKVSKAFVVHPKNVNAENAAILPVMLSSKLLPEMEMEDNSKREQLLLGMQNLPLSLQIDKLKNRIDMIGAACESAEKVIADTRKAYFGTRQGPTLLPTIDKVQAAKIQEQENLLRHAVNHGEGLRIPVEQRQITSSLPVHLVDILGDGAQTFTDSSGMYMKSTPPLASSNTSSQGAMLQAAGAPHMGRAAASPSAASSTSFDHTTTSPLPYANSPRSGASMMNTPSPQQQSHQQQQQQQQQQRQKMMQLPQHQQQLLAQQQFRQSSLPGLGQNQQGQLHDLQGQSQQKFQALHSQHQMQFSQPLGAQQFQGRQLTSGAIQHGLGQSQLNQGSQLNRHLNQFSNPANTALFSAAQNTPTSQMIPNMSAMMPSQPLPPRMQFGVSGGSRTLTSQNLSDQMFGMGTTNPGSMMPIQQQQQQHGSQGAFGNMQQNMQNLQPGMVPLQNTPQNHPNFQQQRPQNQQ >CDP12368 pep chromosome:AUK_PRJEB4211_v1:4:18840558:18842824:1 gene:GSCOC_T00035866001 transcript:CDP12368 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSPAPTFAKIWKAKSVQHFKPDPYLATILNCALWVFYGLPIVKEDSILVSTINGVGFAIEVIFIAIFVIYSDWPKRRKIFMFLVIEAIFFAIVVIITVAALHGNQRSLFVGVLSLIFNIMMYFSPLTIMRRVIQTKSVKYMPFYLSLANFANGAIWFSYAFLKFDPWLVIPNGCGAVAGLTQLILYATYYRSTNWDEEENPKEVQLSSEA >CDO98550 pep chromosome:AUK_PRJEB4211_v1:4:6649496:6654373:1 gene:GSCOC_T00022686001 transcript:CDO98550 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIALHGGAGDIPKDLPPERRKPREATLRRCLEIGVAALKAHKSALDVVELVVRELENCPHFNAGRGSVLTTDGTVEMEASVMDGKTKNCGAVSGLTTVVNPISLARLVMEKTPHIYLAFDGAEAFAREQGVETVDSSHFITPENIERLKQAREANRVQIDYTQPIKKAPKIAPVPEGDQIGTVGCVAIDTQGNLATATSTGGLVNKMVGRIGDTPIIGTGTYANNLCAVSATGKGESIIRATVGRDVAALMEYKGLSLEDAAAYVVEECAPKGTVGLVAVSATGEVTMAYNTTGMFRACATEAGHAELGIWSSESNE >CDO98213 pep chromosome:AUK_PRJEB4211_v1:4:3683630:3686264:1 gene:GSCOC_T00022233001 transcript:CDO98213 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEAFEGNILRLLRNETQYELDHSPPSRPIPEFHLFTVDERPGEQWIRLKKKFGETEEIKVDVTMFDVSIPKKKPGGVVTEDDVQLRVTMIVNICKGEGVDVLEFVCSAWPDTIEIRKVYARGQKQITSPPYMGPEFKELDDKLQDSLYDYLEIRGINDDLAAFLHSYMKNKDKTEFIRWMGTVKSFVEKKQ >CDP15094 pep chromosome:AUK_PRJEB4211_v1:4:10312030:10314008:1 gene:GSCOC_T00042661001 transcript:CDP15094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF2 homolog [Source:Projected from Arabidopsis thaliana (AT1G78790) UniProtKB/Swiss-Prot;Acc:Q8L7N3] METSFDSDLIGEIFKRIWRRRAAERERNEVTEAPDTEVGVGTPKKSRPTLANAKALKLSSELLRLFVTEAVQRAAMIADAEGASTIEATHLERILPQLLLDF >CDP16527 pep chromosome:AUK_PRJEB4211_v1:4:16358910:16369550:-1 gene:GSCOC_T00018891001 transcript:CDP16527 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRVHNFFAQDNLPQGQNHTQALDGNWSVLSSNLWPGSQRQVGLISTTTKNYNLQQSGSDRGQVGNPFHGSHGLTFAQSSPRPEFGKSQTQSHQPNFNVMYGNQFYQTRQDETNFLSMDTSSDQRNLTSRTIGSQQVSAAENQGKSSVRSDTSGPPVGFDFFGGQQQMNHQQLSMLQSLQHQSPGPNDIQVQQFMLMRMQELQRQQQLQQMDARQQGLLNQMPPFAKQSSGSQPTPLISSAVNSDALGYHWASEFGNANWVQQHPPAMQGSSNGLVFSPNQGQTQRMVDLVPQQVEQSLYGVPISSSRGSLNQYPQMVTEKPSAQQQVSFGNSLPGNQYTAFPGQVSMQDRNSIARQRFQAENSFGHGSGQALGSGIDMENVHQANSMQRNEQTGEFRRRQEQLVPPETLQGKTERQDIASRDDVTLDPTEERILYGSDDIWAPFGKGPNMGAEGSNPFDGAGLSGFSSIQSGTWSALMQSAVAETSGSDTGLQEEWSGLTFQNNDIPSGNQHVLSCDDGRKLQTPLANDHLPMASSFASGTAPPSGDSNMVKNYQNALGFQQFERKFSYETAQRLQANPSQGLDQSSADGGRWSNGIPVLKSGAEGSQLHGNLSHSLDAESSASRQLLNKPNGWNVFGSIAPYEDAGVTVQGTENSLQHSQSNDHKQTMHREVVDGGALFNSHSGRDAASEMEQVKSALRSSQLNKEGFRSNNAAALSDSSTIRAGEGSSQFLPNSYHLNSWKNADPLVNYKAGEVLGGSQHGNKICSSKEEGRGHDMENSDKQENSNDSYRSNMSHHTSAGGQKENAAADAIDSRTLSAGNQKSSNQMARKNLTSRKFQFHPMGNLDDDVELPCGSKKPIHSQPASHFGQSKLFSQVPKNSVDTEKGQSADMQRNNIGFDEVHSPGNFPGSVPNISSPFNRSLDLGTQDKTSQSSRNMLELLHKVDQSREHAAMMHAIASEPNAASETAQAENSDGSVSRLQRSQSSNSQGFGLQLGPPMQRLPIPSQSLSSQNSLQGVSSLLLTTHAASEIGQKGQAPLVPSSFVQSMPSSSERSLGENNRAGVPSQTGSQSSPYNMTGNFSSPFNSGFPHSRGQLQIQEIAWASGRLSRSSQSLETSFPNEAASIPQGNSVLSGTKQISTNILPGKILATQVSAGKPVLVSQPSTVSNTSLQGTSSKALPNMWSNVTAAQHLLGAQYRKVSSQFPQSNQMNVGNLTSASLNQCDQDGKQGNLQSEFGANCVNAQGFRSEEEQLTKERASQLPSSENMNLVQKMNESQGKEPIVRTLSDGSPANSVSTQRDIEAFGRSLKPNNLLQQNYSLLNQMQAMKSADDDPSTRVLKRMKGSDNGLGVPRKTLPSVDPTMLSFSAPENSMERNLASEHGNIASQSVLAFSRDGSQSSNSAASTKIDHSKISPQMAPSWFNQYGTFKNGQILPMYDARKPAIFKTGEQPYTLGKSSSGLHTLNSMEPSSAAAVETNQVGSIRHTATPSLAAEYLSSQILPSIASGQHPVISKTKKRKSATYELNPWHKEVSQGSRCLKNISMAEIGWAKAANRLVDKVEDDVELMEDGSLMLKPKRRLILTTQLMQKLLRPPPAAILSLDANLEYESVGYSISRLALGDACSLVSLTNDKSNMLRDSINRDIDECRTSESVEDQLLLKVMDDFTARARRLEDEFLRLDKRVSVLDLVVECQDLEKFSVINRFAKFHGRGQADNNEAASSSNAAANTQKPHPQRYVTALPLPRNLPTRVQCCSL >CDO98634 pep chromosome:AUK_PRJEB4211_v1:4:7643309:7644344:-1 gene:GSCOC_T00022796001 transcript:CDO98634 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGSMNLRNWGFFEPTPTPALKGAGHLGLQLMSTMTEKPLFGGGRENHPYQHHHPHQAYSSIMAPSTNGGPYHHHRVGGISESSIPMDYMRDLQLHPQQQNQHHSQHHHPQINYGVLPETSSAHSVQMIQQSSLLNNDDVGPQEEEICETRGVVGAVKKRGGGKVPKSPKAKKPKKAPKPPREESSRSLHRARAPKKSAEVIINGISMDISGIPIPVCTCTGNAQQCYRWGAGGWQSACCTTGMSVYPLPMSAKRRGARIAGRKMSLGAFRKVLEKLASEGFNFSNPIDLRNHWAKHGTNKFVTIR >CDO97930 pep chromosome:AUK_PRJEB4211_v1:4:1629435:1632258:1 gene:GSCOC_T00021879001 transcript:CDO97930 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQDNQSPPSPTAGNVEENEDELLVWEQIKSEARQDAESEPALASYLYSTVLSHSSLARSLSFHLGNKLCSSTLLSTLLYDLFLNTFSSDPSILSAAVADLRAARYRDPACISFSHCLLNYKGFLAIQTHRLAHKLWLEDRKPLALSLQSRISDVFAVDIHPGARIGKGVLLDHATGVVIGETAVVGNNVSILHHVTLGGTGKVSGDRHPRLGDGVLIGAGATILGNVKIGEGAKIGAGSVVLIDVPPWTTAVGNPATLVGGKEKPKVHEDVPGESMDHTSFISQWSDYMI >CDO98232 pep chromosome:AUK_PRJEB4211_v1:4:3810255:3812958:1 gene:GSCOC_T00022258001 transcript:CDO98232 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKIAAESFPNPPPGEKPVLVVVRVYDGERDKAAVEELERQYEVGKPGKPSVVTDLMGDPTARIRNFTSHIMLVAEYGFERRIVGVIRGCLKSVTKGKNPSGRFPAYVKLACILGLRVSTAHRRLGIGTKLVQQLEEWCRKNGADYAYMATECSNQPSLNLFTTKFNYIKFRSPTVLVQPIHAHDKPLSSSIALIRVSPELATLVYRRIFASSEFFPEDVDMILNNKLSLGTFVALPKGYLSNWDPKSHTFPPSFAILSIWNTKEVFKLKVKGVSSLKYACSVASRAVDAFLPWLRLPSIPNIFRQFGLYFLYGLHMEGPHGSYLMRSLCSFAHNMAKDDRGCGILVAEVNPNDPVKEAIPHWKRFSWDDLWCIKKLAVAKEEGQESGSFEPQDWIKSRACSSSVTFVDPRDI >CDO98386 pep chromosome:AUK_PRJEB4211_v1:4:5062742:5064948:1 gene:GSCOC_T00022460001 transcript:CDO98386 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWSSSPLNWVVPAPAHAFLKSSNCPLQTPNHVKFIETPLSRISANTTLKFPIVRTRATVDEKDQTTTRPVVLDSNEKEEGQPTKEVEESVRVLKNAAKTRKVPAEDILSAFSVIEKAKLDPSGFLETLGGTESPGRTWMLIFTAEKQLERGKYFPVTAVQRFDAAGRRIENGVFLGPLGYLTFEGRFSWKNRILAFIFERLRIKVGPFNPFEINLKGKDEREPSNKDPFFIWFYIDEEIAVARGRGGGTAFWVRCRRISSY >CDO98198 pep chromosome:AUK_PRJEB4211_v1:4:3578366:3580468:-1 gene:GSCOC_T00022216001 transcript:CDO98198 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDSTLKSTFDENGWVVRISRKLDEELGEEIEIPVSIFNVPKTLLNSHPISYIPQQIAVGPYHYFSPEHYEMERYKVAAAKKIQKENQNLKFHHVVDQFKKHEQRIRAHYHRYLNLNGKTLDWMMAVDASFLLEFLRIYASHRVTPSYPESRREISRVTPRLIDLGEEHSSQKSSHVKKLLDMVWNALSKLDCGPVQMIKRPLVFTRYTELMNGIIDTGEDVKFLREKGIIVNHLKRYEEVAKLWNGMSRSIRLTKVELLDKAIGDVNKYYNGKFIVKFKKFVTRYVFESWKVLTFFAAILMLLLMSVQAFCSVYSCPRIFNRVQNFQWLALMMYGC >CDO97796 pep chromosome:AUK_PRJEB4211_v1:4:548556:558357:-1 gene:GSCOC_T00021701001 transcript:CDO97796 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADNPFPALVGKLKSWIRRSEPANVSRDFWMPDQSCRVCYECDSQFTLFNRRHHCRHCGRVFCAKCTSNWIPASSLGPRTPSEESETIRVCNFCFKQWQQGIAAGVDNGIQFPSLDLSASPSTTSFISSKSSGTINSSSITLASMPHSDGVSPHQSAAMETALERQPVGESRTCNGHDSETAHRDQSSNQFGYSIIRNSDDEDEFGVYRLDSKTRHFPHLNGYYGHMQFDEIDNEYESRKVHPDAEAVDSKSISSLTLQNSLDFHSQASEEVQQITQKEGGHDGGDECEAPSSLIAAEDVDAEPVDFENNGVLWLPPEPEDEEDDREVHLFDDDDDGDAAGEWGYLRASGSFGSGEFRSREKSNEEHKRAMKNVVDGHFRALVAQLLQVENLFVGDEDDKESWLEIITSLSWEAASMLKPDTSKGGGMDPGGYVKVKCIASGRRSESMVVKGVVCKKNVAHRRMISKIEKPRILILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDSHNPDVLLVEKSVSRYAQEYLLAKDISLVLNVKRPLLERIARCTGCQIVPSIDHLSSQNLGYCDMFHVKRFLEEHGTAGQSGKKLVKTLMYFEGCPKPLGCTVLLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLHSPITVALPDKPSTIERSISTIPGFTAPSNEHTIGHHSEVEPQRSISLPIPEQFKATSLFGHEMNSPNCSSSNAAVVSHSIKQTVTSAGQILSKTSSCEPSPCLSLEDKITGNLTESTGVKASMANDIQHASGDPIIPNGFMPSIPPVIGVVADDVLNDCDGLDRTQNNLDLSSSQLNIKQVLEEPVSSKEEFPPSPSDHQSILVTLSSRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDHSYRCRSCEMPSEAHVQCYTHRQGTLTIAVKKLPEFLLPGEKEGKIWMWHRCLKCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGQMVACFRYASIDVHSVYLPPPKLDFNFENQEWVQQELNEVVGWAELLFSEVLNALRLLVEKNFGPGLPSSGKKAPESRHQMADLEAMLQKEKVEFEDALNKILNKEARKGQPAVDILEINRLRRQLLFQSYMWDHRLIYVASSDNICQREMAVANSAPDVRPNEEICDLNVSVRPGQGFDSSNLASPDVNLDESHHHGVSGGEDPPEFICDRGVGGLKNPAVLVHQETDGSNPNSVKGNLSFPSSVTDIRDESVSLESNVSVHGVLSDGQFPVMVSLSETLDAAWTGETNPGLGLSMDDMHKVSDTASLDSSTTGGAAEMLDTEGHGEELTGAKIVPSPFLSSRVSDNVEDTVSWLGLPFISFYRSLNKNFLGNNQKLDTLSEYNPVYISSFRQLELQGGARLLLPVGFNDTVVPVYDDEPTSVIAYALASPDYLVQLSDDLERLKDMADLTSSLLSFDSGSFQSFHSMDEIALEPYRSLGSADESILSMSSTRSSSVLDPFSYTKAMHARVSLTDDGPLGKVKYTVTCYYAKRFEALRRICCPSEIDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPGYFKYLSESIGSGSPTCLAKILGIYQVTSKHVKGGKESKRDVLVMENLLFGRNLTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDQEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNTPPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPTIVPSKSQNDLSENLQGGGAAQ >CDP14994 pep chromosome:AUK_PRJEB4211_v1:4:9050155:9052287:1 gene:GSCOC_T00042518001 transcript:CDP14994 gene_biotype:protein_coding transcript_biotype:protein_coding METIMNLLQETALNHVTSSGVSGNGFGATREMSLRKLIWVVTRIHIQVEKYSSWGDVVEIDTWVAAAGKNGMRRDWVIRDYNTQKIITRATSTWVIMNRETRRLCKIPDEVREEVQPFYLNRVSISTEDTDSEKIEKLTDETAERIRSGLAPRWNDMDANQHVNNVKYIGWILESVPINILEDYNLTSMTLEYRRECTQSNVLESLTSMKPDGKDDQEGSSKLVVKNDKKGWECTHLLRMEADQAEIVRARSVWQYKQHRLII >CDP20574 pep chromosome:AUK_PRJEB4211_v1:4:8913709:8917368:-1 gene:GSCOC_T00000097001 transcript:CDP20574 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVGFGCAAQPLPPSEQLVSTFIDAMEIGYRHFDTAACYGTEEALGKAVAKALEIGLIKSRDELFITSKLWCTDADHDLVLPALKQTLGKLGLEYLDLYLIHWPLRLKQGTEMLNFTKDAILPFDMHGTWKAMEECSKLGLTKSIGLSNFTCEKISKLQESATILPAVNQVEMNVGWQQRKLVPFAKEKGIHISAWSPLGGYGTSWGSNAVMESPIIKNIADSRNKTVAQVALRWVYLQGASVIVKSFSKERMKQNLQVFDWELTKEEMDQILQIPQRRAPGTEALVDPTGPYKSLEEFWDGDI >CDO97774 pep chromosome:AUK_PRJEB4211_v1:4:422725:424999:1 gene:GSCOC_T00021673001 transcript:CDO97774 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDMNKPLLAPEKFSRDGIDLEHIPLEEVFEQLRTSQAGLSSEDAEVRLQIFGPNKLEEKRENKILKFLSFMWNPLSWVMEAAAVMAIVLANGGGEGPDWQDFLGIICLLLINSTISFIEENNAGNAAAALMARLAPKSKSTDQGLEHCPETQRGFCFLECSIFTRDE >CDP12386 pep chromosome:AUK_PRJEB4211_v1:4:19434703:19438047:1 gene:GSCOC_T00035896001 transcript:CDP12386 gene_biotype:protein_coding transcript_biotype:protein_coding MADITANHQITEVANNYMKEFQSTEVDAILKQAGETNCAWWLDSSEPSRPSNSRARKICSG >CDO97841 pep chromosome:AUK_PRJEB4211_v1:4:885565:888429:1 gene:GSCOC_T00021761001 transcript:CDO97841 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPILSAVLTQLGSILETQIRQELKLVVGVDKDIENLKTTLRSIEAVMVDAEKKQVKDKSVEQWLQRLQEVVYDMDDVLNEWNSALLKTETRELEDSATPHKKVCFFISSPCLCFSRVARRRDIALKIQVINRNLDLIAGDKDRYKFTTVTGYEGPVRAKTTSFVDVAKVRGRDRDEATLVDQLLMQGSRGMRPLHTISIVGMGGIGKTTLAQLVYRSEQVKAHFPTMSWVCVSEPFDDLRVAKAIVESLEGSAPNLFELETVLGRLRDRIKGQKFLLVLDDVWTEDYERLEPLISSLSSGAPGSKVLVTTRNERVAQMMESSYLLRLGELTEEDCWSLFSQLAFSERSQKDIQELKDIGMRIASKCKGLPLAAKTIGSLMRFKTSLQDWKNVLESDMWNLEAEKGIFPPLLLSYYDLPLPVKRCFSYCAIFPQDYEIEADNLIKLWMAQGYLSANGTGEMEATGREYLNTLVMRSFFQMKKDKDKGRDNATRLKMHDMVLDLARYLRKNESYVMEVDGRLVHRINSSCDKARHLTLIRSEDVHFPLSIRNVGKLHTFWVQSFYDSPPIVSEVDRISPDLFDGLGHLKALDLSRNRLCELSEEIDKLTNLRYLNLSHNPFWELPETVCDLYNLQTLKLVACDHLRQVPKGIGKLRNLRHLEIDRTGSLRTLPKGIGMLPSLQTLTKFVLAGVTDGEETICTIGDLSSLNQLHGCLRIEGLGYVANADQAEKAQLKSKKHLAELHLDFNPQLQAGGRTDVADALQLCSDLQTLHLSFYGGARLPVWMTSLNNLRKLRLQDCPNCTTLPPLGWLASLENLCLENMHGLKLIGTELFGGPDLGGCMQTNGAPSTAALAIFPKLKKLKFAGMSSWEKWDMTCKDGGAKEGNPSIMPYLRYLKLSDCSKLNTLPDHLLEKTPIRKLYIHNCPPLQQQYQKRIGEHWRKISHISRVRIS >CDO98607 pep chromosome:AUK_PRJEB4211_v1:4:7327030:7335823:-1 gene:GSCOC_T00022764001 transcript:CDO98607 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKRTELPSEGQSSSSQETGAGRGGGQPSQPVQQQQQGGFQGGGRGWGPQRGGYGGRGGGGAPRGGTAPQQYHGGPPEYQQGRGTQQYQRGGAPAQRQRSGAVGRGIPSSGGPSMAPVPELHQATPAPYQSGVTTQPMPYGRPVESQGEASSSSRAPEPSSSSVEQQFQQLSLQPEVSQAIQPASSKSMKFPQRPGKGSTGIKCIVKANHFFAELPDKDLHQYDVSINPEVTSRGVNRAVMDQLVKLYKESHLGKRLPAYDGRKSLYTAGPLPFVSKEFKITLIDEDEGNQGGAGRREREFRVVIKLAARADLHHLGMFLQGRQADAPQEALQVLDIVLRELPTTRYTPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLARDVSSRPLSDADRVKIKKALRGVRVEVTHRGNMRRKYRISGLTSQATRELSFPVDERGTMKSVVEYFHETYGFVIHHTQLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQERERDILQTVRHNAYADDPYAKEFGIRISEKLAQVEARILPAPRLKYHDSGREKDCLPQVGQWNMMNKKMVNGGTVNNWICVNFSRNVKDVAVRDFCHELAQMCITSGMNFNPHPVLAPMYVRPDQVERALKARFHEALTNLPPPKKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFRLSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKTWQDPGRGTVTGGMIKELLISFRKATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRRACASLEPNYQPPVTFVVVQKRHHTRLFASNHNDRNAVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADHLQSLTNDLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSVTSSAAASRGGVGPGGGARSTRAPGSNVNVRPLPALKDNVKRVMFYC >CDP14901 pep chromosome:AUK_PRJEB4211_v1:4:25869623:25878145:1 gene:GSCOC_T00042388001 transcript:CDP14901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) UniProtKB/TrEMBL;Acc:F4K7R6] MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPETLREQISKLEAMKAEGALDKARKHKKRQLEDTLNLVLKKRKEYEDKAKDKGETPVMFSHLGPPPRRRSAAEEEERAKHPKAEDSVYYHPTLNPTGAPPPGKPPMFKSSVGPRIPLSAATSSGAASSSKSDLDDAALPIPPPPPPPPLPRTGDMDSGDASELPASLPLPPPPPVPPKPPVTDSGTLLPPPPPPPPPGPPPKDQMAIRSSLPPPPSLHQSAQPPPPGTGGSETEKSQFAKSDDQASRDPFQATATLPPPPPPPPMGLAPKMVNNQLEGISSESDANNPGYDLSKMIPPPPPPLRQQPPLPGPAMAPPLQPDVLPPGISRFPPPPPPTDMRPALPGSGIVGQPAPPGVMVPVIARPSFGPPPMMRPPLPPGPSPIPQDDPGAGLPAPQKPSYVKSAASTVVKRPLAQHTPELTAMVPASVRVRRESAAPKAKPKPSNTTPVNHAVAAPAETKQESSGSSSAPKPQSIDDSYMAFLEDMKALGALDS >CDO98553 pep chromosome:AUK_PRJEB4211_v1:4:6679757:6682222:1 gene:GSCOC_T00022690001 transcript:CDO98553 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEQRLLRYAIFLASLLLFSSSLSLSAQNVHYNSTAYLPASWINHISTSMVINDADGSLTMAPILLTESDGRSYVCGFYCNTGGSECLFGVLISPGGLHINSGDLVWSANRNNPVQNNAKLQLKEDGDLVLANSDGTLIWSSNTRGRSVSGLNLTEMGNLVLFGPNNESIWQSFDHPTDSLLLGQKLAPGQKLIASVSASDWSQGRLSLAVGSDGLSAYIESDPPQRYYVSGINSYPYYEFRNGSFNDFTIPPALVAQFMKFGPDGHLKVYQWGATDKFIEVIDLLNPYVGDCGYPIVCGKYGVCSKGQCGCIETTNDQEGYFSQIMFRQPDLGCSLLTPISCNHSQDHILLELNNTSYFASDSSLDSITTVVEDCKSRCLSSCSCKAALFSHNGNRWDRGNCSLLNEVFSFIENEYYIGSPYNTTLLVKVQNTRVNTSRRKTVILASTFGAFFGVVCLIGSCLVVSRRIFKESNEIEGDFLKKVPGMPTRYSYQDLKAMTEDFSQKIGEGGFGSVYEGTLSNGTKIAVKCLDGLAQLKDSFLAEVQIIGSIHHVNLVKLIGFCFEKCHRLLVYEHMASGSLDKWIFGEMQSYSLPWRTRRKIISDIARGLAYLHEDCSKKIIHFDIKPQNILLDKNFRAKVADFGLSKLIEKDQSRVVTRMRGTPGYLAPEWLSSTITEKVDVYSFGIVILEILCGRKNFDSSKIEEDRHLLSIFKRKAEEERLEDMVDRKSGDMLTHVEEAVEMMRIAAWCLQGNFDKRPSMSLVVKALEGLLVPETNQLDYDFTNSSTVRTEAAGDQGQVVVYLGSPILPSTLSGPR >CDO98366 pep chromosome:AUK_PRJEB4211_v1:4:4910163:4911854:1 gene:GSCOC_T00022434001 transcript:CDO98366 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEMCDYVGQPGMPRQPPNSQPNPFGSFFFAGLSFACQLLYLLDATRFYSLGLHVLGIHVCRATGQELVLILGMCHVD >CDO98448 pep chromosome:AUK_PRJEB4211_v1:4:5653657:5654995:1 gene:GSCOC_T00022540001 transcript:CDO98448 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQPAHAAPVAAVFSAAGPWSTGLCGCFEDTSNCCVTCCCPCITFGRNVEIIDKGTTSCAQAGIIYYCLAHVGCASCYSCTYRSKLRAYFNLSEDPCNDCLVHCFCLPCAVCQEYRELKNRGLDPSHGWIANVERWNQLAVRTTAPPTFEAGMYR >CDO98218 pep chromosome:AUK_PRJEB4211_v1:4:3712969:3715638:-1 gene:GSCOC_T00022239001 transcript:CDO98218 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDVPSAPSTPVTPGTPGAPLFGGIKPERSGNGRRSLLSSCKCFTVEAWALEEGTLPSVSCALPPPPVSLARKVGAEFIGTLILIFSGTATAIVNQKTQGSETLIGLSASTGLAVMIVILATGHISGAHINPAVTIAFAALKHFPWKHVPAYIAAQVLASLAAAFILKGIFHPIMSGGVTIPSGEYGQAFALEFIIGFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGESTGASMNPVRTLGPAVAANNFKGIWIYLTAPVLGALAGAGTYSAVKLPDDDANPQNKPSAAPSFRR >CDO98069 pep chromosome:AUK_PRJEB4211_v1:4:2569817:2574799:-1 gene:GSCOC_T00022045001 transcript:CDO98069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.4 [Source:Projected from Arabidopsis thaliana (AT3G15990) UniProtKB/Swiss-Prot;Acc:Q9LW86] MGINSNRVEHCSDHHACHDEHETAVTISSTDVNVMPSLEVHRVCLPPHKTTLEKLMHKLSEAFFPDDPLHKFKNQTWFNKLVLGLQFFFPIFQWAPNYNFRLLRSDFISGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYSVLGSSRHLAVGPVSIASLVMGTMLNEAVSYTDEPTLYLQLAFTATFFAGLFQASLGLLRLGFIIDFLSKATLVGFMAGAAVIVSLQQLKGLLGIVHFTSKMQIVPVVASVVQHKHEWSWQTIVLGVSFLILLLTTRNISLRKPKLFWISAACPLASVILSTILVVLFKSKLGGVQTIGHLTKGLNPPSSNMLQFRGPFLAIAIKTGIVTGILSLTEGIAVGRTFAALKNYQVDGNKEMMAIGFMNMAGSCSSCYVTTGSFSRSAVNYNAGAQTVVSNVIMAAAVLVTLLFLMPLFYYTPSVILGAIIITAVIGLIDYQAAYKLWKVDKLDFLACLCSFLGVLFISVPLGLAIAVGVSVFKILLHVTRPNTVVLGNIPGTQIYQNISRYTEALRVPSFLVLAVEAPFYFANATYLQERILRWVREEEERIQANNESKLKCIILDMTAVTAIDTSGIDTICEVRKALENRSLKLVLANPVGSVMEKLHQSNILDSFGLDGLYLTVGEAVADISSSWKP >CDO98570 pep chromosome:AUK_PRJEB4211_v1:4:6963548:6964467:1 gene:GSCOC_T00022713001 transcript:CDO98570 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVATLVTVHLHLWLSAAAAAAPRLDIPLSWDAIFFFSFFLLASSIIYYRSSTSIQVF >CDP15021 pep chromosome:AUK_PRJEB4211_v1:4:9330711:9332345:-1 gene:GSCOC_T00042552001 transcript:CDP15021 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTCRYNGLGMELLNQSNYKIWRSCMESYLVGEDLWDVVSGDKTKPLESIEQNAEAVKKWRSLNGKAEFALKRSISHGLFEHIIKCKSANEIWETLDRLYNKKDVSRLQMLKNELANATQGELSISQFFVKIKNLCSEISLLDPDEPISEARLRRHIVHTPFITSIQGWAQQPSLEELENLLTSQESLAKQMAGIQVSEGEGEVLLAAGKNFKRKEKKFDSSRGRAESSEKDGRKPIICYRCHKPGHIMKNCKVSIQETNVAAAEKDDQSDEDWGKCFVAETKDIDALASINLEDEWIVDSGCGHHLTGDESKFSNFHQYNGRHAIVIADNSIHSVSKEGKVIIYGKDNDQITLNSVFHVPGMKKNLFSVTNAVDSGSFVLFGPKNVKFLKNIRVLDADVIHTGERVKDLYVLSASSSYVEKISCNDNVSVWHARLGHVNMEKLKVMVQKKLVNGLPNLANFNQKEICEGCQFGKSHRLPFHKSIIRSRTPLECIHGDLFGPTRTPSFSGFRFMLILVDDFTRFTWVYFVKQKSDVLSRFQEF >CDO97794 pep chromosome:AUK_PRJEB4211_v1:4:537750:542210:-1 gene:GSCOC_T00021699001 transcript:CDO97794 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGPKYYEDPPVFPAQQEATLVEGNNFFYSTGTENPFLDHAIHEPLCRLNLKETSDFVKSFPMSSNGAESRGFLDVPAQKRRDLGLSSFSKRNNADAPPTPGRPIFSFSVGNLSRKSFPSKWEDAEKWLISGSSCHDSPAHYHGSRPPLHQFCSSKMSKHCSNEFKPQQAQPEPELFAEKSRVIIDEKVSTVVTTAGLQGLLPPFGHHNSSAGAFSEGSASAAAADVLLKDKFTNEADPIFPSFKCSEPMKEGFLFGSAAGKSTKDAATEAVKNEVKHRDIGTEMTPLGSSTTSRCHTPFKSTSPVRHNTPADRSGPLVLSNSSSTTTIDITQLQECHLAKLQFGTAPFDSVTSNWSSREEEEEEISKSLRHFDLTNDCRKSLSESKAYAWEEEEKTKCCIRYQREEAKIQAWVNLQRAKAEAQSRKLEMKVEKMRSNLEEKLMKKMAIVHRKAEEWRTASRLQHSEQIQNANEQARKITNRQTSYITRNNSCGCFPCNNHHI >CDP19453 pep chromosome:AUK_PRJEB4211_v1:4:17148387:17148941:1 gene:GSCOC_T00012383001 transcript:CDP19453 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYKNQRRDRGFFGNKKIRFLHPPLCLLHHRSFLLFRSKLLNVCSDNAKATFTTLSVSILFRSSLAEPRSIPSTSMYPTLDVGDRILAEKVYFG >CDP18307 pep chromosome:AUK_PRJEB4211_v1:4:21201102:21206387:1 gene:GSCOC_T00004333001 transcript:CDP18307 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGGSSSTSSSSSPVGRREKEKEGRPRQFDAKAKALCWSRADLVPGRHPERWRKDAAGNIVCKRFCNCSGCLCFEYDHIIPFSKGGESVADNCQILQTRVNRFKADKDEVDKTRLQGYSCDINFTDKELDIIEMAVYGDVIRPGNQCRCRTVAEMLGKYKSKDSTAACKLP >CDP12283 pep chromosome:AUK_PRJEB4211_v1:4:26628007:26631524:1 gene:GSCOC_T00035735001 transcript:CDP12283 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGLDLANSISIQSDFPRDVHRPVANFHPDIWGNQFLVYSPDSDKATWVSKKGQLEQLKERVRTELHATASNPSQQLQLIDAIQRLCIAYHFEEEIGQALQKMHEKHQNWEGNDHIYTAALCFRILRQEGFRISSEIFKKFMNAEGKFGECLVNDVPGMLALYEAAHLRTHGDNILDDALAFTSNHLQSCKLSSPVAELASHALMQPYWRGLPRLEAKHYIDVYEKFPSHNTTLLMLAKLDFNMLQSQHKEELQEISLWWKELDFARKLPFARDRMVEGYFWIVGVYFEPQYALARKIMSKVIAITSIIDDIYDAYGTYEEIQIFTEAIERWNIGCMKQLPDYMKICYRALLDLFEEIEEEMAKIGSSYRTYYAKEALKLLARAYFVEAKWLRQGYIPTVEEYMRIGLASCGYTSLTIISFLGMGDIVSKESFDWASNDPDILRAASIICRLRDDIVGHKFEQERPHIASAVECYMKQHGVTEQQASEELYRQIEDSWKLLNQQLLKPSTTGFDAAEFVPPRAVLLRVVNLARVIDVAYKHNDEYTHVGEVMRSYITSMFIKPVPV >CDO97768 pep chromosome:AUK_PRJEB4211_v1:4:371669:375266:1 gene:GSCOC_T00021662001 transcript:CDO97768 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNDLNKVWEVKPLRRTRENEARQILERVAKQVQPIMRKRNWKVKVLSEFCPANPSLLGLNIGGGAEVKLRLRRPNNELDFFPYDQILDTMLHELCHNRYGPHNADFYNLLDEIRKECEDLMAKGITGTGQGFDLPGRRLGGFSHQPPLSSLRQSALAAAENRARRAAILPSGPMRLGGDSSIKAALSPIQAAAMAAERRLHDDVWCGSKSAESEGSSESSQSSTVRDNESDLMSNLGNAQSSGTKDLQLSWQCSTCTLINQPLALICEACGTKKCASNGTKSKVWSCKFCTLDNSVEVERCLACGEWRYSYGPPTVPLLENA >CDO97840 pep chromosome:AUK_PRJEB4211_v1:4:882931:885020:1 gene:GSCOC_T00021760001 transcript:CDO97840 gene_biotype:protein_coding transcript_biotype:protein_coding MAINTPEPRTSTESSSFTLGTSGILGENFESRSNDFQPQAETDLLAALLAGESMSDLSLNATELHDVRSKAEKMDSQGCLGQFVAEYVSERKCFIKLRFQNLWDQNLQMGTADTQKLEWEVLEVKIMRWIRGARRSLRDILAYEKRLSMYVFQGLGNASTARSCFIEIVKDPVARLLDFPEALCSCRRSPERLRKMLLLHTSFSHLISDIKAFFGASEEEIRSIQARADVIFSRLGEVVRLTLVDFEAAILGDLDECPAPDGAIHRLTKYVMDYIIGLTDFQESLMELILSKPSVSSGDGLSSKLEVAELERQTPLAAHLLWTIIVLLNNLERKSKSYRDPSLSNLFVMNNIHYIVQGIRKSRVLRQMAGCYVNKLTEIVREAMYGYLKASWDQVMYCLRSQGLRVVSWGCISWVSKNVPKDRLKKFNKLFAEIHFAQTMWTVPDLGLREGLQRSIRNRLIPTYESYLRNFGSHIMSGEGSSERCILYSVEELEDRVLELFNCPLLPLE >CDO98571 pep chromosome:AUK_PRJEB4211_v1:4:6968531:6969973:1 gene:GSCOC_T00022714001 transcript:CDO98571 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTMSSSTNFSFLVALSLFCMAFAAEETTCRFDYIYQFGDSLADTGRFSNGLLVIDFIAKALHLPLLHPYLETNASFSHGVNFAVGGSTALVNSFFAKRNISGPSKNIPLSQQVKWFKKHLSSVSNSRSQIKKRLKRALIMMGEIGGNDFNSIFSQGKSLKESRVYVPPVVKAICNAVREVIQFGAVHVVVPGNFPAGCLPKALASFSSADPKAYDDYGCLTESNKFALLFNRYLQKALASLRLEFPNADIRYFDYYKAFEYVLQNARYLGFDQRSLLKACCGTGEKYNFNSTKVCGSPGVKACHNPEKFINWDGVHLTQATYHYISEHLIRDVLSEMKCLP >CDO98389 pep chromosome:AUK_PRJEB4211_v1:4:5079355:5084496:1 gene:GSCOC_T00022465001 transcript:CDO98389 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDTKKVADRYLKREVLGEGTYGVVYKAIDTKTGQTVAIKKIRIGKQKEGVNFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFEFMETDLEAVIRDRNIVLSPADIKSYLQMTLKGLAFCHKKWVLHRDMKPNNLLIGPRGQLKLADFGLARIFGSPDRRFTHQVFARWYRAPELLFGAKQYGPSVDIWAAACIFAELLLRRPFLQGNSDIDQLGKIFAAFGTPKPSQWPDMIYLPDYVEYQYVPGQPLRTLFPMAGDDALDLLSKMFNYDPKARISAQQALEHRYFSSGIPPTEPALLPRPPPKKESVTSKGSDFNPLEGPTVLSPPRKSRRVMPNREGFEGNTHLADRMDDHEYEARQSADERNEHVAMSLDFSILGTRPPSRPTINSADRTHLKRKLDLEFQPEEED >CDO98545 pep chromosome:AUK_PRJEB4211_v1:4:6616044:6619037:1 gene:GSCOC_T00022681001 transcript:CDO98545 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFYNLTSESGLKKLDEYLLTRSYITGYQASKDDITVYSALSKPPSSEFVNVSRWFKHIDALLRISGISGEGCGVTIEGFAAATEEAVATPAAADTKAAAAVDDDDDDVDLFGEETEEEKKAAEERAAAVKASAKKKESGKSSVLMDVKPWDDETDMKKLEEAVRNVKMEGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDDLIENYLTVEPCDEFVQSCDIVAFNKICEFCF >CDO98018 pep chromosome:AUK_PRJEB4211_v1:4:2282545:2286864:-1 gene:GSCOC_T00021983001 transcript:CDO98018 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEPKAITAALKTVEIIQTQKNKADDTLFRLSRSSESAKAIEPLEFWFLESITQILVMWGRQRNLYSTYKRISSKERLNGNASEDSLGRSPSGVLKEAGLPSWKRPLPHVLVATLSSFLFGYHLGIVNDTLESISLDLSFRGSTLAEGLVVSACLGGAFIGSLFSGWTADGVGRRRAFQLCALPMIIGASVSATATTLRGMLLGRLFVGMGMGLGPPVAALYVAEVSPAFVRGTYGSCTQIATCLGLMASFFVGIPSKEVMGWWRVCFWVSALPAALLAILMEFCAESPHWLFKRGRMAEAEENTERLFGASHVKYAMAELTKSDRGDEVDTVRFSDLFIGRHVRVVFLGSTLLALQQLSGINAVFYFSSTVFTRAGVPSDIANICVGIVNLSGSIIAMVLMDKMGRKGLLLWSFSGMASKIILACA >CDO98630 pep chromosome:AUK_PRJEB4211_v1:4:7601949:7604364:-1 gene:GSCOC_T00022789001 transcript:CDO98630 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGETIPEIVLNTGHKMPLVGLGCAAQPLPPSEQLVSIFIDAMEIGYRHFDTATLYGTEEALGKAVAKALEIGLIKSRDELFITSKLWCTDADHDLVLPALKQTLGKLGLEYLDLYLIHWPLRLKQGTEVFNFTIDAILPFDMHGTWKAMEECSKLGLTKSIGLSNFTCEKISKLQESATILPAVNQVEMNVGWQQRKLVPFAKEKGIHISAWSPLGGYGTSWGSNAVMESPIIKNIADSRNKTVAQVLNYYDIFELKIFL >CDP18939 pep chromosome:AUK_PRJEB4211_v1:4:14699726:14701834:1 gene:GSCOC_T00003262001 transcript:CDP18939 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRASDSMRAQPVYFHHKQLDLSRVKKLPDSHAWTTAPPKDDYPRGELLNVETVPVVDLADKNAQDLLGHACRTWGVFQVKNHGISKNLLDEIESAGKGLFSLPMHQKLKAARSPEGVSGYGVARISSFFPKLMWSEGFTIVGSPLEHARQLWPHDYHKFCNVMKEYEKEMKKLAGKLMWLILGSLGVTEEEVKWASPKGAFEGGNAAIQLNSYPACPEPDRAMGLAAHTDSTILTILHQNNTSGLQVLRDGGAGWVTVPPLPGALVVNIGDLLHILSNGLYPSVLHRAVVNRTRHRFSVAYLYGPPSGVTISPLQKLVDQGRPPLYRPVTWTEYLGTKAKHFDKALSLVRVCAPLNGFGDANDHQSVTVG >CDP12327 pep chromosome:AUK_PRJEB4211_v1:4:27338293:27339580:1 gene:GSCOC_T00035798001 transcript:CDP12327 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAIPTIDLSPFFNNEDAENSKKRVKEIIRQACSEYGFFQIVNHGVPLDLLSRAMELSKAFFRFSDEEKLECNPNAGAPLPAGYSKQPEISPDKNEYILMFPPKTGFNILPSNPPDFREAMEELFSYFTKAGQLVESIMNDSLGLPENFLKEYNNDRSWDFMVAMHYFPATESENNGITPHEDGNTVTFVVQDEAGGLEVCKNGEWIPVIPELGKIVVNLGDSIQVMTNKKFKSATHRVVRPKGRSRHSFAFFYNIQGDKWVEPLPQFTKEIGETAKYRGFFYKDYQALRMRNKTHPSARPEDAINITHYEISTS >CDO98344 pep chromosome:AUK_PRJEB4211_v1:4:4755447:4762444:-1 gene:GSCOC_T00022409001 transcript:CDO98344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17970) UniProtKB/Swiss-Prot;Acc:Q9LVH5] MGSPQANLWVLLGLGLAGILIMTRKLKKAVKADFGAFVERLQLLPPPPPPPPKAPHPLTGLTFAVSDVFDVEGSITGFGNLDWAKTHEAASQTSPVVSALVEGGASCTGKTVVDDMAFGVSGENKHYDTPTNPAAPARTPGGSSSGAAVAVAANLVDFSLGIDTVGGVRVPAGYCGVFGFRPSHGTVSQMGVLPVSASFDTVGWFAKDPTILRRVGHVLLQVPYTVPQSPRSIVVADDCFNLLNSSADRVSQAVVKSVEKLFGRQVLRHENLGDYLSSKVPSLKAFQSEKSNGEVKSSVIRLLANIMRTLKRYEFKQYHDEWIKSVKPTLDPVISVQLQQDLDMAEAEIENCHAVRDEMRSALNFLLKDDGILAIPTTSEPPPKLGSKETLSEDYQIRATMLTSLVSMSGCCQVAVPLGFNEKCPVSISLIARHGGDRFLLDTVQTMYGVLQEQADIVTKTKSSKNAVSQETSAEMAKEKGNQAFKDRQWQRAIVFYTEAIKLNAKNATYYSNRAAAYLELGSFIQAEADCTNAIDLDKKNVKAYLRRGTARETLGYFKEATEDFRYALVLEPNNKRAAQSAERLRRLFP >CDO98347 pep chromosome:AUK_PRJEB4211_v1:4:4773592:4773916:1 gene:GSCOC_T00022413001 transcript:CDO98347 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVVSMLQEAKPKRKLPGGGVTGSGEENGTACDAVPLVQKPASIIEC >CDO98385 pep chromosome:AUK_PRJEB4211_v1:4:5050329:5060900:1 gene:GSCOC_T00022459001 transcript:CDO98385 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKIDNDKNAQTVTPEPLLLLSRIHPRIETSQSESSSNPLSSKLLLSCIMDIEEEIKALQLDSSEDIVTGNANGEEDAKPNDVVKPDEKDEGSKDVANANAPPPAGVELKGTSAVKEKETPAPEVVEDEVEESTKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETVTTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVSKLLVVVNKMDEPTVNWSKERYDEIETKMLPFLRSSGYNVKKDVQFLPISGLVGSNMKTRIDKSICPWWNGPCLFEALDAVEVPARDPKGPFRMPIIDKFKDMGTVVMGKVESGSIREGDNLLVMPNKAQVKVVAIFCDEDKARRAGPGENLRVRISGVEEEEVLAGFVLSSVAKPIPAVSEFVAQLQILELLDNAIFTAGYKAVLHIHAIVEECEIVELMQQIDPKTKKPMKKKPLFVKNGAVVVCRIQVNNLMCVEKFSDFPQLGRFTLRTEGKTVAVGKVTSLPSIGDRA >CDP14877 pep chromosome:AUK_PRJEB4211_v1:4:25504005:25505727:1 gene:GSCOC_T00042352001 transcript:CDP14877 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTKLKENDTGVKKDEVKYRGIKAMPFIIGNETFEKLGTVGTISNLLVYLTTVFNMSTISATNLINIFNGTCNFGTLIGAFFSDTYFGRYNTIGFASVASVLGMLILTLTAAIPQLHPSPCGTDSSKCVGPTAGQLAFLLSGFGFLVIGASGIRPCNLAFGADQFNPNTESGRRGTNSFFNWYYFTFTFAVMVSLTIIVYVQSNISWAIGLAIPAFMMFLSCTFFFVGTRIYVMVLPQGSPLTSVVQVIVAASKKRKLALLDQPPDSLFDFISPNSINSKLPYTDQFGFLNKAAIITPEDHINADGSAHNPWRLCSIQQVEEVKCIIRVVPIWIAGIIYSIVLNLMQTYGVFQAIQADRRLGNTRFKIPAASYTIFQMLSLTAWIPIYDRIIVPYLRKITKKEDGITVLQKMGVGMVIAVATMVVSALRKKAAFLP >CDO98106 pep chromosome:AUK_PRJEB4211_v1:4:2938702:2942731:1 gene:GSCOC_T00022102001 transcript:CDO98106 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVAVENNWPPEGADNATNHNNQQQGGGGGRGGGGEGLRQYYLQHIHDLQLQLRQRTHNLQRLEAQRNDLNSRVRMLKEELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKNIDITKITPSTRVALRNDSYVLHLMLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVSKVMKKETEKNMSLRKLWK >CDO98526 pep chromosome:AUK_PRJEB4211_v1:4:6474480:6476343:1 gene:GSCOC_T00022655001 transcript:CDO98526 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLEENTSRWSLSGTAALVTGGTRGIGRAIVEELAQLGAIVHTFARKEEELNERLREWSSKGFKVTGSVCDASSREQRTQLIEKVTSIFSGKLNILVNNVGTNKRKPPEEFTAEEYDMVMSTNLESCFHFSQLAYPLLKASGVGTIVFISSIAGFVSIQNASVYAATKGAMNQLTKNLACEWAKHNIRVNSVAPGVISTPLTKHVLSSDEKLKKIESRTPMKRVGEPEEVSSLVAFLCLPAASYITGQVITVDGGLTVNGVYWD >CDP14993 pep chromosome:AUK_PRJEB4211_v1:4:9043768:9045419:-1 gene:GSCOC_T00042517001 transcript:CDP14993 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFWTFLTYVHSAAGPTVMLLYPLYASVMAIESPSKLDDEQWLAYWILYSFITLVEILLQPILEWIPIWYSVKLAIVAWLVLPQFRGAAFIYEKLVRERVIKKYGAISSHVHKDKSPNGKSNGKKKFVDFITPKKGEHEAY >CDP14886 pep chromosome:AUK_PRJEB4211_v1:4:25724413:25731499:1 gene:GSCOC_T00042369001 transcript:CDP14886 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAGGRAAFHRLRERLQSTKTTASPFLSSLVSKKGPEDVGSASMKSLRALALAGAGVSGLLSFASVASADEAEHGLGVASYPWPHKGILSSYDHASIRRGHQVYQEVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHDGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGALEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVLKSRKLVLDVVN >CDP14900 pep chromosome:AUK_PRJEB4211_v1:4:25863455:25869350:1 gene:GSCOC_T00042387001 transcript:CDP14900 gene_biotype:protein_coding transcript_biotype:protein_coding MELDYNPSYKTIIKKNKWVSTAASIWIQSTSGSAYTFAIYSQVLKTSQGYNQSTLDTISVFKDFGANVGVLSGLLYSAVTNPRRSSRIGGPWVVLAAGAALVFVGYFFMWMSVVGNLPRPPVLLMGLYMLLASNATTCFNTANVVTAVHNFPDYKGTVVGIMKGFLGLSGAILIQVYHTILNEKPTTFILMLALLPSITALLLMWFVRITPTNEVGEKKYLNVFSLAAVVLAGYLMAVIVLENVLTFRSAVRISTFVILILLLVPPIYIAIKAEKEKARRIIRSLLEQNQLVYDGNQLGNNFVQTRQDPEGHQEISCDADEEMNINNERTVPERGENLNLLQSICTIDFWLLFFVSACGMGSGLATVNNVSQIGESFGYSTIATSTLTSLWSIWNFIGRLGCGFVSDYFLHAQGWSRPLFITITLATMSIGHAVIASGLPGALYAGLVLVGIGYGSQWSLMPTIVSEIFGPAHMGAIFNTVSIANPVGTYILSVRVVGYIYDKEATGSDNTCIGTHCFMLSFLIMASTTVLASLVGLILFFRTRNFYRQVILRRLYIPIRNLE >CDP12319 pep chromosome:AUK_PRJEB4211_v1:4:27275882:27276659:1 gene:GSCOC_T00035786001 transcript:CDP12319 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLVDSFWTKSHDFPIESFSSNLIFNTICDDPLVRRGPSGFSVPAIYRSGFKCRVCNKTYSSKNIYLDLTVTAGSKDYNELKPAGTELFRSPLVSFLYERGWRQNFNCSGFPGPNKEVKFLWLLLYRYFPNR >CDO98037 pep chromosome:AUK_PRJEB4211_v1:4:2399195:2400446:-1 gene:GSCOC_T00022010001 transcript:CDO98037 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYTLLREPEHPPRVFGISSSPAMGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCAACAYPAARKRTYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTNFREGTQAAPRKKVAAASA >CDO98436 pep chromosome:AUK_PRJEB4211_v1:4:5539274:5541033:-1 gene:GSCOC_T00022523001 transcript:CDO98436 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHEQHLVFLLLLLNFLPPMYSKAVIEHCSSSDSCTSHLSYRLPFDSKLSAEVSCKFQINISDILAWNSVDHDIPNPSSWNQIIFPRGSVLKLPLMSCPCINGIRRSLSTLYPVQPADSVASISESYGGLVNADQLMAVNSINATHPLTTGESLVVPQPCACFNNSNYGAAAVYIQPQIDPGDILAIPLPACSSANLNWYNESLIVPKHLTASNCIKYQSQENLNLKCLISIIFMASCPHVLCKGSNISIGDAEQIQTPSGCNDTKCVYRGHSGHKIFSRYKHLALILPTIIYSRNFLPPQIKSTKLYNSEFGL >CDO98451 pep chromosome:AUK_PRJEB4211_v1:4:5672942:5674665:-1 gene:GSCOC_T00022543001 transcript:CDO98451 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHICSFLSARDVARSSILSKAWYCISAIYSYITLHFTYEKSDSEDLFGHGLPDERFSQQVEREHLDFLFMIEESVRECLEMESNVLRVDLHIDFPDINLLAPRLDGWISLVLKKNIKDLLLCVDTLNRTSAHSAWSHYNRIRGLSARSSYNGSSGRSAQSYYSVPQFLVLASCLKVLSLSYCAFESSLEIKLPQLQRLSFRDSCFVGRSLFERFLCGCPVIECVKMWSCKIDKLLSIPNLPRLEYFECVNCAIVDIIRMDAANLQKFYFGAPQSGWPKSIDWATCNPALKEVIFNGKSSACIDVLSTVLSQLPFIETLELHDCMSYGKFKISSQTLKRLVFKDCSNLSFAQIDAPNLELLECVNCDEPFLPISASHHLQVHFSFVFGAHSVEWLLTLKAFVKKLKHWEDLKLIVYPEHQNKITDELQIEGMIIHDKLSKVRFSYLKDFIHYWLTV >CDO97775 pep chromosome:AUK_PRJEB4211_v1:4:425107:432302:1 gene:GSCOC_T00021674001 transcript:CDO97775 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVIHFAFHRLTDKLLIFSFLVLKQLSKIQAEPIQTLPSSLFENIRTKTSNCGFLLQVLRDGIWQEQDAGILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKRTGDEVYSGSTCKQGEVEAVVIATGVHSFFGKAAHLVDSTEVVGHFQKVLTAIGNFCICSIAVGMILEIIVMFPVQHRSYRSGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLIEVFNNDMDKDMVILLAARAARIENQDAIDAAIVNMLGDPKEARANIKEVHFLPFNPVDKRTAITYIDIDGNWYKATKGAPEQILNLCQEKIKIERKVNTIIDKFAERGLRSLAVAYQEVPEKSKESPGGPWVFCGLLPLFDPPRHDSAETIRRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGRDRDENEALPVEELIEKADGFAGVFPEHKYEIVKILQEKKHVCGMTGDGVNDAPALKKADIGIAVSDSTDAARGAADLVLTEPGLSVIISAVLTSRSIFQRMKNYTIYAVSITIRIVLGFTLLALIWKYDFPPFMVLIIAILNDGTIMTISQDRVRPSPIPDSWKLNEIFATGIVIGTYLAIVTVLFYWTVISTDFFETHFHVKSLSGNTEEISSAIYLQVSIISQALIFVTRSQGWSFLERPGTLLMCAFVVAQLAATLISVYAHISFASIRGIGWRWAGVIWLYSLVFYVPLDVIKFTVRYALSGDAWNLVFDRKTAFTSKKDYGKEDREAKWVVSQRTLQGYNSGEFDSSGKRSSLIAEQARRRAEIARLGELHTFRGHMESVARLKNLNLSHIRSSHTV >CDO98549 pep chromosome:AUK_PRJEB4211_v1:4:6635049:6648831:1 gene:GSCOC_T00022685001 transcript:CDO98549 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISEIEEREIQVRSLTGESISLSVKPNQTIQELKQLLKQSFPPASSSPNFHLFLKAKKLSLQSQIGSFSIASGEFFVLVPFARKDRQEAQKLDESEGSSTVQTESLVSKLAESAYSDLMQDLSSLQNGSNHKNHPNAEVEPEGMNEETWNTSDANSLPVKRKMRSINDESLGHSRDIVLDLLQSSRTNMLDEEKCKMFIQILDSVNCLSDPVTAKCIAKRGNAKDHEMDPRTNGASLCMCPSWLKKIMKAFALLNTYSAFLQLWHIGITLTGLTKALDHLKKFGSQIGLADIEHLSLLFPKVIKIVDKEIEGAKARNSLLILNGSGDQHEIELPTESAIKRAPIFKILNAMKKREDSFTDHLLRAVKSLLLKKENDKIKFFSLEELLISARECDTTAIGKEEKRAGRSSSRSLSSPSFEPRCRGMNPLLPVEMVDHLKHGLGSHGQIVHIEEIQARHANYVEIPSLLSESTTFALRRIGITRLYSHQAESIQASLGGEHVVVATMTSSGKSLCYNVPVLEVLSHNLLACALYVFPTKALAQDQLRTLFAMTEGFHESLNIGIYDGDTSQQDRMWLRDNARMLITNPDMLHVSILPSHGQFRRILSNLRFVVVDEAHYYKGAFGCHTALILRRLRRICTHVYGSDPSFVFSTATSANPKEHAMELANLPAAKLVQNDGSPSSLKLFLLWNPPLCMRTVGKKMTTNTKANLLSSEDVAARRSSPIFELSLLFAEMVQHGLRCIAFCKSRKLCELVLYYTREILQEAASPLVDCICAYRGGYVAEDRRRIESDFFSGRICGIAATNALELGIDVGHIDVTLHLGFPGSMASLWQQAGRSGRREKPSVAVYVAFDGPLDQYFMKFPQKLFRSPIECCHIDAKNQQVLEQHLVCAAVEHPLSLTYDENYFGPGLESAVMRLKNQGLLTSDPSRDPSARIWNYIGHAKAPSISVSIRAIETERYKVIDKQKNEVLEEIEESRAFFQVYEGAVYMNQGKSYLVKHLDLSSKIAWCQQADLEYYTKIRDYTDIHVIGGQIAYLARIISRPFPRTTAQATACEVTTTWFGFRRIWRRSKRVFDTVDLSLPDYSYHSQAVWIRVPESVKLAVESLNYSFRGGLHAASHAVLNVVPLYIICNSSDLASECVNPHDARYTPERILLYDPRPGGTGISVQVQPLFTELLAAALELLTSCSCFGDAGCPSCVQSLACSEYNEVLHKDAAIMIIKAVLDLEKSYFPEVPE >CDP15027 pep chromosome:AUK_PRJEB4211_v1:4:9412879:9414177:1 gene:GSCOC_T00042562001 transcript:CDP15027 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVEIILKEYIKPSSPTPLHLKHQNLSFIDRLPTPIYLPFILFYQNHASSDRSQISQQLKLSISQALTKFYPLAGRIQDDVFVDCNDSGALFIEAQVHSSLSEATQNFVIEEFNHYLPIKPYEIIENSLRNDVPLAVQISFFECGGMSVGVCISHRIADALSVVMFLNSWAAICRGSDDREILTPNFDVGCLHFPPPEDVPRAPLISVADKQKIVAKRFVFSKEKLERIKELASSDSTSTIRDPTRVEALSAFICKNFIEVNRSKLDSETMFVAIHNVNLRPKMKLPHDEFAFGNVSLPISAVLMPEMEKECHNLAGHLRYAIRNVNDDFVKNVVQQREPYLRILSETRKLLSKTEMCYCNFTSWCRFPVYEVDFGWGKPFAIGTAAVPRKNTIILMSTKCGDGIEAWINMAEDHMELLPSDFLSLANHDF >CDP14395 pep chromosome:AUK_PRJEB4211_v1:4:23360652:23364854:-1 gene:GSCOC_T00040777001 transcript:CDP14395 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTEPDRLPPALPLYWIETSKSVSRQYQFQPDGQLSVKIVDDSRPATRRVVESFFNKFFPSGYPYSVNEGYLRYTQFRALQHFTSAALSVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHVGKLICSNLGARMDSEPKRWRILADVLYDLGTGFEVLSPLCPQLFLEMAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVLGIGAGIQLASTVCSTMQGKLLVGPVLSVIHIYSVCEEMRAAPVNTLNPQRTAMLVADFVKTGKISSPADLRYREDLLFPGRLIEDAGNVKVGSALHMVVKPSKLQQLKEIFPEERFLLSSGSRQTDLILEHNATAEDALRGWLVAAFTADLEKSVDDTSASILRVAYERMDTVFRPFLSELQAKGWHTDRFLDGTGCRFAF >CDO98252 pep chromosome:AUK_PRJEB4211_v1:4:3974102:3977364:-1 gene:GSCOC_T00022283001 transcript:CDO98252 gene_biotype:protein_coding transcript_biotype:protein_coding MFILTKQKKKSYLIKIFETAFFSIVSRPKKPHPHQRPSQPLFYPIPRGARVGDGTIPGSSHPITRLFPSLLFSLPSSVRGFGFWGFGFGSFINLKIKLMMEGNNIRWHQDDKREEEVEEEEYVLINLDAVTDLVNIPPNAPYVLSGLDTLNPTLIIDEKFRLIGEYEETIGTCLVFSECDAAPELHQETGPSEANLFTGRCIVDNKRAPTKQVKPITQLHKILKFRLAQEADIGDSTAKQTNIVNNENSNLNV >CDO98151 pep chromosome:AUK_PRJEB4211_v1:4:3254461:3256408:1 gene:GSCOC_T00022156001 transcript:CDO98151 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSSPILNSWLPNSSGSSPEPDHLPQLTRTRSVSLSASFAIDDSPGCASPLRPVHDSDLRDHRPAASKKEKDIHIKRPRTPKPVKLKEAKEEELERLLSSSGLGEPAAAAEEDGCVAVVEKEKVLQTLVVGGGGAGGGGGRVCGGGGGGGGSDGGDGSGFGSDSYDSNRWHGHDSTDAYYQKMIEANPGNALLLSNYAKFLKEVKGELDKAEEYCGRAILANPSDGNVLSLYADLIWQTQKDAPRAKTYFDQAVQTDPDDCYVLASYARFLWDAEEEEEEEEDASSQYGKDGGNSSATFYGGASRGHPLTAAS >CDO98594 pep chromosome:AUK_PRJEB4211_v1:4:7230234:7232735:-1 gene:GSCOC_T00022749001 transcript:CDO98594 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCFSSSINQARKLLQTHITSSSSPLDLPRVASTIQTVVNDVVIDAQRIKTGFDPAACRSNYQLERLVNKSRVSEARQLFDHMPNKNTYSINTMLSGYVKSGNLNSAMQLFDNMVDRTAVSWTIMIGAYSQKNQFKSAFRLYADMCNVGTERPDEVTFTTLLSGCSDDSEALKEVVQVHGHVIKMGFDSELRVGNSLVDSYCKCRCLDSALFLFSGMLERDSVTFNAMVTGYTKCGMNDYAIKLFFEMRDLGLKPSDFTLAAVLCASVGLDGVALGQQVHVLAIKSNLVRDVFVANALLDFYSKHDCTDDVEKLFYEMPELDGVSYNILITGYANDQQYKESINLFQKLQFTRFDRRQFPFATMLSVAAYSLDLEMGKQIHAQTLVTTAISETLVGNALVDMYAKCDRFQDANILFGNLACRSHVPWTAIISANVQKGLYEEALKLFKDMRRDSFCGDQATFASTLRASANLASLSLGNQLHTCITRLGFMSNVFCGSGLLDTYAKCGSMKDAIRIFREMPVRNTVSWNALISAHAQNGDGEGTFRSFREMIQCGFPPNSVSFLSVLTACSHSGLVEEALRYFNSMTETYNLVPRKEHYASLVHVLCRRGRFSEAEKVIADMPFEPDEITWSSILNSCRIHKNKDLAERAAKQLFNLSIVRDGGAYVSMSNIYAEAGDWENVATVKKAMRQRGVKKVTAYSWVEIEHKVHMFTANDMIHPKIKGIREKIDELWKRMEEEGYKPDTSVALHDEADEIKIESLKYHSERLAIAFALISTPQGLPIVIMKNLRACPDCHAAIKVISKIVGREITVRDSNRFHHFRDGSCSCGDYW >CDP14388 pep chromosome:AUK_PRJEB4211_v1:4:23115731:23116929:-1 gene:GSCOC_T00040767001 transcript:CDP14388 gene_biotype:protein_coding transcript_biotype:protein_coding MEISQKFSKKSKDVSLQELRDRLAEFAKVRGWEQYHSPRNLLLALVGEVGELSEIFQWKGEVARGLPNWSPDDKEHLEEELSDVLFYLVQLADVCGLDLGQAALTKIVKNAKKYPVVE >CDO98518 pep chromosome:AUK_PRJEB4211_v1:4:6411918:6417454:1 gene:GSCOC_T00022644001 transcript:CDO98518 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRTRCPNDVEDIDGTFSKSKFLDYIGTKLHTSVSTSRKSLCVFWDEEDDESDSYQTLFSQVGPPLLPPPIVKDKIKIALQHVDLLYNRAMLAQFWVPVMIGGRRFLKSSDQPFGLTCLRKGLCSYRKLCLNYYYCTDNTCSSDGGADDVDVDVDRNGGGGVRESVLLTGPPSRVFLRGLPEYSPNVEFYADSEYALRDKAMGRKISDYMAVPVFDPNSRECIGVIELLSTADSVREKDNPAYIFGLISTALQGVELRTLNFTSDFESDLAKSSTDISHALCDIHKALDEACQTHKLPFAQTWMPQLGKSQSCTDNALSTTSNEYYLGHARLSLFRDICESFQLLGGQGVVWRAFSSGIPCFCVDITKLSIAEYSFAHIGKKVLLDSSLAICLKSDHTGDHVYVLELFLPSRTADPRKLLEAVLLTMKKHLNSFRLASGPTLGDEMSVEVLRVSKEDKLDLFTLFHTTGGSDGLQNQQPFRYWEFSIGDYANLVNELPCWEQAYDDLRWMEDYRDTPSVKAGNSNINSSVSTSTYPEQDNLESLVAEDAGFNAPLSRDVDFSVNKESINSASDLDKLDRETIEKHFHLTLKDAAKGLKVSRSTLKRKCRKFNISRWPRRNQGTCSATSIESNKDREIPNAKYGQEVSHHNVSFQEGTNSSKHNSLPAATIAKDSFMMIKAAYGEIKKIKFPLPFSSPLVDLQMEAASRLELEVRDFKLWYLDEDDDWILITRDADLRTMESILILMGQLHWHQLVPISVPNRSLMTRVAALFRDVV >CDP12423 pep chromosome:AUK_PRJEB4211_v1:4:20354212:20360519:1 gene:GSCOC_T00035952001 transcript:CDP12423 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLKGRLLCYKQDWKGGIRAGIRILAPTTYIFFASAIPVISFGEQLERNTNGTLTAVQTLASTALCGVVHSIVGGQPLLILGVAEPTVLMYTFMFDFAKDRKDLGQKLFLAWTGWVCVWTALLLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIRGVVEEFGVPERENPKQTALLPSWRFGNGMFALVLSFGLLLTALRSRKARSWRYGTGSLRGFIADYGVPFMVLAWTAISYIPVNSVPRGIPRRLFSPNPWSPGAYSNWTVIKDMVHVPPLYIVGAIIPATMIAVLYYFDHSVASQLAQQKEFNLKKPAAYHYDLLLLGFLVIVCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLASTARKSIRRNANLGQLYDSMREAYNEMQTPLVFQTPPALGLKELKDSTIQRASSTGYIDAPVDETVFDVDKDVDDLLPVEVKEQRLSNLLQALMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRKYKVLEKYHATFVETVPFRTIASFTVFQTVYLLLCFGLTWIPIAGVLFPLLIMLLVPVRQYILPKFFKGAHLQDLDAAEYEEAPAIPFNISYEGQEGQARTTHINSGEILDEIVTRSRGEIRLASSPRVNSSTPTSVEDIRTVYSPRGLLHRAHSPRVDELRAERSPRMPGRQTPSPRPSILGQGSTGSSST >CDO98230 pep chromosome:AUK_PRJEB4211_v1:4:3799471:3802930:1 gene:GSCOC_T00022256001 transcript:CDO98230 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLQITVHQHCCNLTSVCHLRSASYVQCATPALQSVEPHKTSTTFNSNSSSYLGARLPKIAKKLSFGASGVQISTPVCLFGGKGKSENADEGSAWKALEKAMRNFGKEQSAEDVLRQQMLKQEYFDDGGSGGSGPGGGSGGSGGNGESEDESLSGILDELLQVILAAIGFVFLYMLIIEGEEITTFAKDIIRFLFTGRKSIRLRRLIDDWVRYFRSLSATKDEDPYWLERAILATPTWYDSPTKYKRMFRRYTSPRSYSRSY >CDO98009 pep chromosome:AUK_PRJEB4211_v1:4:2227043:2227895:1 gene:GSCOC_T00021973001 transcript:CDO98009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein THYLAKOID ASSEMBLY 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27750) UniProtKB/Swiss-Prot;Acc:Q9LVW6] MAASLRSNVSFVAAPHSSIIRPNSSRSHTSIRCGPRDNRGPITRGRVLSTEAIQAVQALKRAHRANPTNLNDSTHLSQTLSRLIKADLIAAFKELLRQDHSEIALKVFSAVRSEYNLELGLYAELVTALGKKGLTEEIEGVVWELERRGRIQCDDKGLVRLVRALIAADCGGSTARIYGLMKRSGWGSSFEVDEYLAKVLSKGLRRFGEEGLANEVDAELRRSHEGVLEKARS >CDO98637 pep chromosome:AUK_PRJEB4211_v1:4:7655745:7656410:1 gene:GSCOC_T00022799001 transcript:CDO98637 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENANEFYRECQRNHAANLGNYATDGCGEFLLDQTSPGTLLCAACGCHRNFHRKLTYGANYNRGSNSNQETATEMMEYSGGGDGGSGGGQQAAESPDRMSSKKRLRTKFTPDQKEKMLAFAEKLGWKLQRKDMEDEVERFCSSIGVNRKVFKVWMHNHKNSSSASTSAGNASSLTQ >CDP14909 pep chromosome:AUK_PRJEB4211_v1:4:25985647:25988115:-1 gene:GSCOC_T00042397001 transcript:CDP14909 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSIKILIEEKLGKFPQFLIYAILEWVVIILLFIDGFLAFICNELAKLFGLRIPCLLCTRIDHVLVHRNPNFYYNDSICEVHKKDISSLAYCHVHKKLSDIRSICDGCLLSFATEKDSDCDRYKSLVGILHKDIDCFVEDDQRNLVKSGKKDEGLQGDLTGVPRCSCCGDPLKTRPSSKFIRSSSMNANAPTPSPRAPLLSWKNEDGRNPELPHIRYTELKFMSDNDSEIPEDEDASIGDNQPGREDTKAATVPLLPDSEDINEDASKTPLFVRGNKFFGIPLSDSAQASPRYRAGKKFSMDKPDFVLEPNDINALNEADGDSILTRLKRQVRLDRKSLMALYMELDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDQEALQVMKDLLGKRDEEIKVLEADLDVYREKYGPIKKIGSEVCEIDDDEDYQALKSQSLSSSGEKSECGSPSELLDQSGIGEKKRSFDRSMEPGAGGTLDESSLDFEHERSYLLGLLKDVERNMSVPSVDQGSHLSGSNVEEQGERGTENKPILERELSLIRERLRAIEADSGFLKHAAMTLQKSGEGSKLLTEIAQHLRNLRYSVKMPNDDIDA >CDO98086 pep chromosome:AUK_PRJEB4211_v1:4:2714387:2719156:1 gene:GSCOC_T00022064001 transcript:CDO98086 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASLAGLQDHLKLAREYAQEGLYDTSIIFFDGAIAQINKHLNTVDDPMTRSKWMNVKKAISEETEVVKQLDAEKRSFKEVPVGRRPNSPPISTKSSFVFQPLDEYPTSSGAPMDDPDVWRPPSRDTSRRPARAGQVGARKSPQDGTWARGSTRAGTTGRGGKAGGSSKSNAGVRASTAGKKGTGTGTGTGKAGKAESVNGDTEDGKPKKIQYEGPDGDLAAMLERDVLETSPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVSNSSTNEDGTRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKSIEVAADVDIDEVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFLEALTKVQPSVSAADIERHEKWFSEFGSA >CDP12300 pep chromosome:AUK_PRJEB4211_v1:4:26945606:26948562:1 gene:GSCOC_T00035762001 transcript:CDP12300 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAATLSIGSTACVGAKANSAYNSKTSGLSFNSRNCLRSFTGLKAASSVSCDSDSSFVGKESCAAIQHSFVSRAQKPSQRSYNYVQPRASYKVAILGAAGGIGQPLALLIKMSPLVSTLKLYDVANVKGVAADLSHCNTPSQVFDFTGDKELASCLKDVNVVVIPAGVPRKPGMTRDDLFNINASIVKDLIEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAQKKNLRLIDVDVPVVGGHAGITILPLLSKTKPSVAFTDEEVQELTVKIQNAGTEVVEAKAGAGSATLSMSYAAARFVESSLRALDGDSDVYECSYVQSNLTELPFFASRIKLGRNGVEALIKSELEGLTEYEQKALEALKPELKASIEKGINFVHKQPVAA >CDO98061 pep chromosome:AUK_PRJEB4211_v1:4:2522892:2523800:1 gene:GSCOC_T00022037001 transcript:CDO98061 gene_biotype:protein_coding transcript_biotype:protein_coding description:OFP14 [Source:Projected from Arabidopsis thaliana (AT1G79960) UniProtKB/TrEMBL;Acc:A0A178W942] MPNQLQKSLQEYLSKKKKPAPQVQSPSTSSSLSSSTTSWILRGCRHPKTPSFAFDDNKEKEDAPDGAATLSDIDRFLLENFKSLYKKEEGEEDSIEIERSHEGENEEKPSGALLDSPKFEDPPEINLCGSHRFFVARASSSGSLIEEARTSSTRSTLQVIGTSSTSTTITTTNSVNKGGISDSTTTAMLNPEDFITVLKYSVSPHDDFKKSMHEMVDARLHHNGKIDWEFMEELLFCYLNLNDKKSYRFILRAFVDLIVVLRENSGGAPAKPRSARSDSGRRRKNNEPN >CDO98193 pep chromosome:AUK_PRJEB4211_v1:4:3552234:3552821:-1 gene:GSCOC_T00022209001 transcript:CDO98193 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSSKSKATGAGFQHHFQRSLSPLGRFCSSSSAFSARAGPGPDIMARPTSPTRVNLCRSVSPTPSVRFSTSPGRSIVVSPRQSRQSNRPVPSNQKKTCLCSPTTHPGSFRCSLHKNTNRNDHHTGSYNRSSHQLNMRRSAMTNSLVRIGTVEGDLVKRALTALIRPSSHNLRRRGDFHPRPSRLSIMSKAEDL >CDP14354 pep chromosome:AUK_PRJEB4211_v1:4:21746721:21747485:-1 gene:GSCOC_T00040693001 transcript:CDP14354 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDSKQNAISNDQPQQPLPTLPGYRYGGGGSGERKTWPELVGLTSEEAERRIKEEIPGVNVHVIPPDYFVTMDFRTDRVRIFTDSSGKVSRAPMIG >CDP14914 pep chromosome:AUK_PRJEB4211_v1:4:26043943:26045971:-1 gene:GSCOC_T00042402001 transcript:CDP14914 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCCSQCGSNGHNSHTCGGGSSSASAADGGGGSDSGSGSISEFMLFGVRVKVDPMRKSVSMNNLSDYEHHPSNASTVQKNSVHLDASAPKAADDVAAGYASADDALPTHHSSAAARERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYYLRKSNLNRRRRRSSLFDLTPDSVTGVPMEEGDGHLDETANPALPATSMPPNESSSVNGFAGAPFPVTVGPILVPVQVQNPLSSMTACQADAFSNGSALVPVPVPVIPVPNYATSAVFNLNQRVAAESVSLRLSLSSSDHQRQSSTRHRAFQRMPSFKNGDGIITVA >CDO97813 pep chromosome:AUK_PRJEB4211_v1:4:685892:688347:1 gene:GSCOC_T00021722001 transcript:CDO97813 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEETQSHPSGGTGSPVSPRPNGRITVTVAAAPPSQNTLTLALPIQQHQQQTKPTGNSGGGGREDCWSEGATGVLIDAWGERYLELSRGNLKQKHWKDVADIVSSREDYTKAPKTDIQCKNRIDTVKKKYKLEKAKIAAGGGPSKWPFFERLDQLIGPSAKLNSSVLASGGGAGAGPSYSGSQRVVPMGIPMGVRSAPQLRQQQEKFPQQHLQKQKQQFRKRPPPPPAVDSDEESEPDGSPDSADDFPPATYERKRPRNQRELMNLGGGVKPAGPGTNRGREGGADKASVVVRKNWGNSVRELTRAILKFGEAYEQAESSKLQQLVDMEKQRMKFAKELELQRMQFFMKTQLELSQLKTSSSRRVGNNNHHHHSSNNINATIHTNNHHSDSSN >CDO98208 pep chromosome:AUK_PRJEB4211_v1:4:3662982:3670027:1 gene:GSCOC_T00022228001 transcript:CDO98208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MEADLGSLDLLLLTPYQCKRRKLSPHGKAASFLSKLVTQEALYPGYCSRVRDFTVGRYGYGCVKFIGETDVRWLDLDQIIKFWRHEVVVYEDETTKPAIGQGLNKAAEVTLVLQTRTSRDSCKNQSTRVVEKLRLSTERQGAKFLSFNPSNGEWKFLVQHFSRFGLNEDDEEDITMDDVTTEVQAPLEMNSGDISDIDEETAFVDAPSLSHSLPAHLGLDPAKMKEMKMLMFPSEEEDEESNGMISYQRERLNKESVKSPLRQSSQQIVNKDSPPLVRKTPLALIEYNSGSFGSSSPGSILMAKQNKGVLLRTTKAEGFRLDLKQQTPITGNHSGNIVDAALFMGRSFRVGWGPNGVLVHSGMPVGSSGSQSVLSSVINLEKAAVDKVARDENNKIREELVDFCFESPLSLHKEMSHETRDVEVGSFKLKLQKVVCNRFTLSEICRSYIGIIERQLEVTGLSSASRVLLMHQVMVWELIKVLFSSRQMNRQLKSVEVDHEGDMMADAGESSPEVDLDALPLIRRAEFSYWLQESVCHRVQEDVSSLNESSDLEHIFLLLTGRQLDAAVELAASRGDVRLACLLSQAGGTTANRSNIVWQLDIWRNNGMDFNFFEENRMRLLELLAGNIQGALHDVKIDWKRFLGVLMWYQLRPDTSLPIVFHTYQQLLDNGKAPCPVPVYIDEGPVEQAVKWRAGEHFDLAYYLMLLHSSQENKFGYLKTMFSTFASTNDPLDCHMIWHQRAVLEAVGTFSSDDLHILDMGLVSQLLCLGQCHWAIYVVLHIPHHKDYPYLQAMLIREILFQYCEDWSSDDSQRQFIQDLGVPSAWMHEALATYFNYYGDFFNALEHFLECGNWQKAHSIFMTSVAHSLFLSAKHSEIWRLATSMEDHKSEIEDWDLGAGMYISFYLLRSSLQEESTTINELDNLENKNDACADFVGRVNKSLAVWGSRLPTDSRVVYSKMAEEICNLLVSESGEGSGIEVQLSCFTTIFRAPLPEDLRSCHLQDAVSLFTSYISEAAPQ >CDO98511 pep chromosome:AUK_PRJEB4211_v1:4:6265316:6274033:-1 gene:GSCOC_T00022631001 transcript:CDO98511 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDTASSADSPRKRHGLLRDQVQLVKRKDSERYEIVALEDTLSFEKGFFITIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKILNFMPSIAVISMDNYNDSSRIIDGNFDDPRLTDYDTLLENIQGLKAGSPVQVPIYEFKSSSRIGYKTLEVPSSRIVIIEGIYALSEKLRPFLDLRVSVTGGVHIDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKITNKFNPFSGFQNPTYVLKSTRTVTVDQIKAVLSEEHKESREETYDIYLLPPGEDPEACQSYLRMRNRDGKYSLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIASILKRSSHVFSDDKVTVKIDRLEQLNRQYVQVQGRDRLYVKYTGDRLGLDGSYVPRTYIEQIQLEKLVNDVMALPADLKTKLSIDDDLVSSPKEALSRASADRRMKFLNRGMSHSFSTQRDKNLSKLTKLAVNNRRYDGRMPDSPAALPNQGMINQLSEQISTLNERMDEFTSRIEELNSKIFTRKVSSSQQNLALQAEACNGSAPTSYFVASLGNGSLTGPVLPNSSSSSQLARESLLEEVLLISRSQRQIMHQIDTLSTILRECMGERSRQGRADRTTRSPAESIGAPLLLTLAIGGIGVLLFRTFSSQH >CDP12714 pep chromosome:AUK_PRJEB4211_v1:4:11569060:11575690:1 gene:GSCOC_T00037317001 transcript:CDP12714 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDSASEQDPDESDVEPEFVEVDPSGRYGRYKEILGKGAFKKVYRAFDELEGIEVAWNQVKVSDLLRNKDDVERLYSEVHLLKTLKHKNIIKFYNSWVDSKHENINFITEIFTSGTLRQYRKKHKNVDLRALKKWSRQILEGLFYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILQQARSAHSVIGTPEFMAPELYEEEYNELVDVYAFGMCLLELVTFEYPYVECSNAAQIFKKVTSGIKPASLTKVKDPGVREFIEKCIAKVSDRLSAKELLLDPFLRSDDDGGSIDGNSRHSDGGQFPKEHIPEGSRDFTVQGQRKDQNTIFLKLKIADSTGNIRNIHFPFNIEVDTAAAVASEMVEELDLTDQDVSAIAEMIDVEIRSYIPDWAPQENSSSYSGEVTMSDSGTFEVQAGASPSINECVSSPGLVLERLPSGRKYWSDSPKTVGGSSPLGPQPSNLSGSDSTTPGDSWTEENELSPDSHNYHCNSDVAENETEPDDNVKEDEASKLHHAPSDETDHSADFHSANGPPHLLDVNDSVIGCSPDLRIIEEKLEQLLVEQKNELDELRRKHEVAVLDLLKGLPPDTCSRILSNCDLKISDYKLQYDTYGSAKSSTYPVSRWPIMLRHHVSGKYHKHTTVSENRNCALISRYSFRAVKWNFNSGTGIAAICSDDVLE >CDP17010 pep chromosome:AUK_PRJEB4211_v1:4:15723303:15726906:-1 gene:GSCOC_T00011380001 transcript:CDP17010 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGIVDLENVKFKNKSKWTKSCEVNLVARVVENLNGTKVKDAKTESFRVMDCRSTLYKKHDPPMLSDKVWRLQMIGKGGRLHKRLLEAKIYSVKEFLISLNLDPRSLQQRLNVGAEKFKVIVDHARRCEIGGKLYVYHTSGHERKFPVVFDVVGHLRGLVREQLFVPVHDLAEDEKVEAHKMVVSAFEHWEDVQSIDNEASLADIPSQFSGGVNTSISSRIESPSGSNFGICDTISGNCRALSRTSSRHTSPIYSIGGTSFFDGVAGLFQMDNMDSACADIDSEYQQLLLWNHDDFLEFGNPNVESRADQSTADIGCHRNDAQVLSPSRAQLRWRMLRWRMAIVSVRKRNMAPEDTHSQKKQRHS >CDO98515 pep chromosome:AUK_PRJEB4211_v1:4:6310814:6313336:1 gene:GSCOC_T00022637001 transcript:CDO98515 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFQGTQIPGFLGSVTRLKYLNLSGASFAGKIPSSIGNLSGLHYLDLNSYTDEPVKNDIQWLSGLSSLRYLDLGGLDLSRASSHWLRTINMLASLSELHLSQCQLLNLPNSLPFINITSLSALDLSRNNFNSTMPNWLFNLSSLTDLDLSSNYGIRGQLSRNLGNLCKLRALNLNSNNIFGTLTEVIDGLSECTNSSMETLDLTFNQLSGNIPNSLGFLKNLRSLRLRNNSFTGSIPDTIGNLSSLEQLYLSYNQMSGAIPESLGKLVSLLKFVQIQSCQLGPKFPTWLKNQDQLKNLIINFARISDSIPEWFLRLGLHLDELDLAYNNLSGMPPNSLQFNLGSNVDLSSNSFKGPLPLWSSNLTTLYLRNNSFSGPIPRDIGMVLPLLTDLDISRNSLTGGIPLSIGNMTSLTTLVISNNRLSGDIPDFWSNIPDLYILDMSNNSLTGRIPTSIGSLSFVKFLILSGNNLSGKLPSSLRNCTSTFSLDLGDNQLSGRLPAWIGKDMPSLLILRLRNNSLTGNIPSSICALSSLHILDLSENSLSGIIPTCLGNLSGFKAGLKTEDTEPYEGRLQVVAKGRVLVYQSTLYLVNSIDLSSNKFRGNIPAELTILFRLGTLNLSMNGLTGPIPETIGRLERIETLDLSMNKLTGRIPQSMAALTFLNHLNLSHNNLSGRIPTGNQFQTLTDPSIYEGNIALCGDPVPTKCENSGTKPYPGGNVDEDENEEDDLEKLWFFLVVGLGYFLGFWGVCASLILKKSWGDAYFNFLLGLKDRIFDLAFAKWRKA >CDP14855 pep chromosome:AUK_PRJEB4211_v1:4:25144400:25146793:1 gene:GSCOC_T00042324001 transcript:CDP14855 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPGFYSDIGKGARGLLHGYVHQPPIHYRLGWFNLSFHLLSEIDRIVRGLSAAFQVVMPYQRSSMVEVQYLHDFAGITMGASLTRSPVVNFSSVLGTSSYNIGTAISVDTLLGQLSQWDAGLSLNTRFLSAAVTLTEMGNVLSASCYSEPLRGTAVAAELTHRFWHDQTVFRFGGQHSLTESTRFKVQAGTDGNISAAVRHTCFSAVILTIAGELNVRAMRSSKMGISCSFHHALLRHFSSSMGIPFSIEFEQ >CDP12348 pep chromosome:AUK_PRJEB4211_v1:4:27715032:27716059:1 gene:GSCOC_T00035830001 transcript:CDP12348 gene_biotype:protein_coding transcript_biotype:protein_coding MDSINFFKGYGKVNNPAGNQQPASNRRRRILLAASLAVFLTIVLGAMIGALIYESATEPPESEEEQLPASDSGESLKTVCAVTQYLDSCINSISALNDPPKSDPVHFFNLSLQVSQRELASLASLPKTLISKSNDRRAESALKDCVNLFDDSLSQLNRSAELMKVAVVGPAEKLLTEMRISDMQTWISAAMTDQDTCLDGLDEMGSTVVDELRTRVHKSSEYMSNSLAILNNLKSLVDKFGLKMP >CDP16411 pep chromosome:AUK_PRJEB4211_v1:4:17809012:17809514:1 gene:GSCOC_T00018278001 transcript:CDP16411 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSHSSVMFLSSSNKYLFVLPLYSGFQNGSLVKLFLVVQLEVRFGDTKLPLLVYLHGGGFFIKFAFSPTYHAHLNVVVAEAGVVAVSINYRFAPEHPLPIAYEDS >CDP14913 pep chromosome:AUK_PRJEB4211_v1:4:26042475:26043893:1 gene:GSCOC_T00042401001 transcript:CDP14913 gene_biotype:protein_coding transcript_biotype:protein_coding MILIHSPGNLSSYPNRIQEEEKIDLCNSAQEKTFVMFSLLLPHEKKKAKTTRSAYLKQKQRANNPSRI >CDP15029 pep chromosome:AUK_PRJEB4211_v1:4:9448792:9455708:-1 gene:GSCOC_T00042564001 transcript:CDP15029 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRISSSIMFDILLLSSLSVTELQFPPGISSSDSEKEQNGTTTPRPEAGNSSLVFAVNGEKFEVATIDPSTTLLQFLRNHTRFKSVKLSCGEGGCGACVVMLSKYNPVLDQVEDFSVSSCLTLLCSVNGCSITTSDGLGNSKDGFHPIHQRFAGFHASQCGYCTPGMCMSFFAALTQAEKANRPEPPPGFSKLTVVEAEKAIAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWRKGEPKEVKLRRLPLYSPDGRFSRFPEFLRLRLKSAKILHLESKSWYSPTTLEELKSFLDSNMIEDDMRTRLVVGNTGMGYYKELDNYDRYIDLKYVPELSTIRKNHQGIEIGAAMTISKVISCLKEEGNVNYSSDRKQVLEKLAGHMEKIASGFIRNSASIGGNLVMAQRKSFPSDIATILLAVGSVVSITTGHKLESLTLEEFLARPPMDSRSVLLSVHIPSLKPKGSGNSNESSSKLIFETYRAAPRPLGNALPYLNAAFLADVLPQVNGLIVNDIQLAFGVYGTKHATRARKVEEYLSGKILTASVLYEAVKLVKVAVIPEAGTSHAAYRTSLAVSFLFQFLFPFVNVGSAIFGGVSNGFTGNSLEDSSENHKNNSLRQWASPKLLSSAKQEVKSSKEYYPVGEPMTKSGAALQASGEAVYVDDIPSPPNCLYGAFIYSTKPLAKVKGVELESNNLQSGVAALISYKDIPEGGENVGSMTTLGFEPLFADELTRCAGEPIAFVVAETQKSADLAAKSALVEYDTENLDPPILTVEEAVERSSFFEVPSFLYPTQVGDFSKGMAEADHQILSAEVKLGSQYYFYMETQTALAVPDEDNCILVYSSTQSAEHMHHTIGKCLGIPDHNVRVVTRRVGGAFGGKLMRSMPVSIINMQIKFFLNVFIAYALSLSYFAAIQSGLVYVR >CDO98155 pep chromosome:AUK_PRJEB4211_v1:4:3269550:3271522:-1 gene:GSCOC_T00022160001 transcript:CDO98155 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCCAVVEDGFIYEEETHFTVLKTSLFFAGDGFTVYDCKGQLVFRVDTYGPDSDKSELVLMDASGKCLLTVRRKRPSLHQRWEGFLGERVEGQKPIFSVRRSSMIGRSGLTVDMYNNSGEEYHIEGSYAQRCCTFFNAEKESVAEIRRKVDASANVMLGKDVFSLSLRPGFDGAFAMGLVLVLDQIYGDDEVVVDDNSKVTVQPATEDAS >CDP12363 pep chromosome:AUK_PRJEB4211_v1:4:28120827:28131158:-1 gene:GSCOC_T00035857001 transcript:CDP12363 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTTPSSSSSPGPASFWTQANALLRKNLTFQKRNIRSNVRLVIFPFLLCLLLVIIQVLVNSELDKPKNKCGCTCVDTNGDGKCERVCGIEYSTLDQVATCAIPSPPEWPPLLQVPAPEYRAVLTDFISHADLPNESCKSTGSCPVAILLTGSNRTLGQSMQLFMFPSSLTLNASEVLYSLANDVLGSASKPQVSNFLDPAFFSNLPVYYLQPQCSSNVTFSVSFPVGSASSKQEISCVQGFHLWRNSSSEINDELYKGYRKGNQQRKINEITAGYDFLNTDLTNFNVSIWYNSTYKNDSGNSPLALTRVPRSINLASNAYLQFLLGPGTKMLFEFVKEMPKPETKLRLDFSSLLGPLFFTWVIIKLFPVVLGSLVYEKQQRLRIMMKMHGVGDGPYWMISYAYFVVLSSVYMLVFVIFGSVIGLKFFTLNDYTIQLIFYFFYINLQVSLAFLVAALFSNVKTATVLGYILVFGSGLLGGFLFQFFLEDSSFPRGWIIVMELYPGFSLYRGLYEFAQYAFAGNYMGTDGMRWKDLNDSKNGMKEVLVIMFIEWWVVLLIAFYVDQVKSSGKSPTFFLQNFRKKPLSSFRKPSLQRQGSKVFVGMEKPDVLQEREKVEQLMLEPSTGHAIICDNLKKVYPGRDGNPEKFAVRGLSLALPRGECFGMLGPNGAGKTSFINMMIGLIKPSSGTAYVQGLDIWSQMDSIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGAALTQAVEESLKSVNLFHGGIADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNNLWNVVKRAKQDRAIILTTHSMEEAEHLCDRLGIFVDGSLQCIGNPKELKARYGGFYVFTMTTSADHEAEVENLVRHLSPNANRTYHLSGTQKFELPKHEIKISDVFQAVENAKSRFTVHAWGLADTTLEDVFIKVARGAQAFNVLS >CDO97850 pep chromosome:AUK_PRJEB4211_v1:4:1013755:1016148:1 gene:GSCOC_T00021774001 transcript:CDO97850 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLGCWFHQLLSFYISINAAILRNIFNPLIHFKWQPIFVPLLDSILSVYFRYCHLQPCTLDLDEQTTLHFWTPAHRKINKPNLVLVHGFGGNSKFQFLFQIGTLARSFNLYIPDLLFFGKSYTRNPDRTDAFQARCLGEGLRRLGVDRYSIYGISYGGYVAYRTAAMSSEAVDKVVILSSGIGMTEDQKEEHLRNIGRNAVDILLPRKPADLRLLVTLSLCNCNFINWVPDYFLQEFITVMCNAIRKEKSELVEHLLAKKEDSSLPILDQETLLIWGDKDMVFPLACGRELHRHLGSKAKMEILENAGHAANLESSYSVNESIKAFLLNSSAKRGGCTLVERQRDPPRRMASIY >CDO98184 pep chromosome:AUK_PRJEB4211_v1:4:3479863:3483913:-1 gene:GSCOC_T00022197001 transcript:CDO98184 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSIDIATAFLGLAKRQPAPLNRFGATVFTQLWKNEVFVNQSYSICSSVRSRIVSINCTSKAKRFSRRFIASAATTSTPQSEDSDILTKIPPDDRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKADGIEDIVMLNNGCLCCTVRGDLVRMISELVNRKKGKFDHIVIETTGLANPAPIIQTFYAEDQVFNDVKLDGVVTLVDAKHAGFHLDDVKPKGVVNEAVEQIAYADRIIVNKTDLVGDRDIASLVQRIRNINRMAQLKRTEFGKVDLDYVLGIGGFDLERIETAVDAESSKEDHTSHGHDHDHHHHHEHEHDHEHDHKHGKSTLHFLDIRNSLS >CDO97833 pep chromosome:AUK_PRJEB4211_v1:4:840541:844427:-1 gene:GSCOC_T00021749001 transcript:CDO97833 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHFITFFEEFKLLFLLVSILPCLQTCSAESSTEKELTFAMIKPDGVSGNYTTAIKKIISEFGFGITNETTVQLDEETVKDFYAEHSSRSFFPGLVRYMTSGPVLMMVLEKANAVADWRALIGPTDAQTAKVTHPHSIRAMCGLDLQRNCVHGSDSPQSAVRELAFFFEKSSSGFLPKHDEL >CDO97810 pep chromosome:AUK_PRJEB4211_v1:4:665904:670668:1 gene:GSCOC_T00021719001 transcript:CDO97810 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRGLWQASVNATKKALTWNVDDWIPPSEKYIFSFNSKDELKKWHLYSDSEYGGLSTASLEIKNVGNASSVTTGIFSGNLSSELSESSRWNISRSGFCGMRSRKFDGFIDLESYDSIALKLKGDGRCYISTIYTENWVNSPAQHEDNSWQAFVFVPKDNWYIAKIPIARYLPTWRGNVIDANLEMNPSRVVGMSFSVNAEGGVPGARTGPGDFRVEIDWIKALRTQ >CDP16904 pep chromosome:AUK_PRJEB4211_v1:4:13097048:13097542:-1 gene:GSCOC_T00005249001 transcript:CDP16904 gene_biotype:protein_coding transcript_biotype:protein_coding MMCEPKLSMKVSKETWVCSTDFVRWLPERRPAAAVTNQKLVNAPEDPKMEQEQEQQQPEIFLPPRSSCSLPTVAAVSMAAMIEQKLVNVGGNEPFVLTRCKSEPMRTAATKLMPEACFWKNRKLGWIFLIRFCFG >CDO97808 pep chromosome:AUK_PRJEB4211_v1:4:653023:661937:1 gene:GSCOC_T00021717001 transcript:CDO97808 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKKFKAKTSDDDVGALKSEVASFASSIGLSTSGAPSSGFDDSDFRKKGPLKPRPQKPHNGNRSPLNKRDKPKNNQQVTETNRIKSDSRPKPKPGFQLENYGSKFATEKFKNLPKLPLVKASALGVWYVDAAELEEKVLGGPEAKKKVEIKNLEEWKAVVEKKKEQGERLLAQYARDYETSRGQSGDIKMLIATQRSGTAVDKVSAFSVMVGDNTVANIRSLDVLLGMVTSKIGKRHALTAFEVLKELFVSSLLPDRKLKTLFQRPLNLLPETKDGYSLLLFWYWEECLKERYECFVSAIEEASRDVLAILKDKALKTIYTLLKSKPEQERRLLSALVNKLGDPGKKAASNADFHLSKLLADHPSMKAVVIDEVDNFLFRPHLGLQAKFYAVNFLSQIRLSHKGDGPKVAKRLIEVYFALFKVLISDANREHGTDKCSKEKTRKISSSKCNPKNAPPESHVEMDSRLLTALLTGVNRAFPFVSSDESDKIIETQTPILFQLVHSRSFNVGVQALMLLDKITTRNQIVSDRFYRALYSKLLLPAAMNSSKEEMFIGLLLRAMKNDINLRRIAAFSKRLLQVALQQPPQYACGCLFLLSEVLKARPPLWNIVLQNELIDEDFEHFEDVPEDDEYAANPKQNEMVESANAQGGAAIDMDSDALPSEDGSAPSDSEGKVSDDANDLLLEGGQRKLQGSKPMADGSGLESEVTTIRTTSPGGYSPRHREPLYCNADRASWWELRVLASHVHPSVATMANTLLSGANIVYNGNPLNDLSLTAFLDKFIEKKPKQSTWHGASEIEPTKKLDTNNLLIGPEILSLAESDVPPEDLVFHRFYTNKMHSSNKPKKKKKKKGAEDETAEELYAVDGEDDESDNEAIENMLDSSNPPLENDGEYDYDDLDNIANEDDDELIGQVSDGEPDLPSHVAGGELEGSEISGWEDDDGVEVGLGEADDGCSDEDSFDERRRRGKSKSRRNASASPFASMEEYEHLIINDGSPETEVPNQKKHVSTKKKRRTFLTYCHV >CDO97748 pep chromosome:AUK_PRJEB4211_v1:4:212153:215494:1 gene:GSCOC_T00021633001 transcript:CDO97748 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQAKGVPESVLKKQKRNEEWALAKKQEFEAAKKKNLANRKLIYNRAKQYAKEYAEQQRELIKLKREARLKGGFYVNPEAKLLFIVRIRGINAMHPRTKKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVAYGYPNLKSVKELIYKRGYGKVNKQRIALTDNSIIEQALGKHGIICIEDLAHEILTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >CDO98540 pep chromosome:AUK_PRJEB4211_v1:4:6560372:6563661:-1 gene:GSCOC_T00022675001 transcript:CDO98540 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGGNIIQHSRVEKFKNSMVSRSKMKLWMIRATTSVMLWICLVQLTALGESFGPRVLKGWPSCFSQDSGSGSSATVTGSASALDVKSAPEDVPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARHLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRLKERVERGLFYTMPPISWSDISYYENQILPLIRKYKVVHLNRTDTRLANNGQPLELQKLRCRVNFSALKFTPQIEELGRKVIKLLRRKGPFIVLHLRYEMDMLAFSGCTQGCNQEEVDELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLRALDIDHNIQIYIAAGEIYGGERRLASLAAAYPNLVRKETLLDPSDLRFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYLEYKKTILLDRKLLVDLIDQYNMGSLTWDEFSAAVKESHSERMGNPVKRVVIPDRPKEEDYFYANPWECLQQPKEDDLLSNM >CDP14891 pep chromosome:AUK_PRJEB4211_v1:4:25788520:25791029:1 gene:GSCOC_T00042377001 transcript:CDP14891 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSEELVMYRAPEEFEEVKKDPLIELNLNDSKELWLIQWPVNQAPDLNGQQVSLKLHHDGHLGSFEGSSGKSYEVVSFKSQEPEATVFLSSTSESRIAGKISRRVSLLHYPEPSELKSGGLAIKQMVQRSAASLTNSAHHYRTPTQSTRTRSLGAVSGYTSSIRTPRNRSSRSGDASKSPLRRDVDEPGRSIDHSVQDSGKQHRADVSSGSLEHPKQKKSNKRLKMF >CDP14995 pep chromosome:AUK_PRJEB4211_v1:4:9067300:9069846:1 gene:GSCOC_T00042519001 transcript:CDP14995 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKPEEKKPEEAKVVEGDKKDEAPKGDEKKAAAVESKDKDSPPAAAAPVTPPPQEIILQVYMHCEGCARKVRRCLKGFDGVEDVVTDCKASKVVVKGDKADPLKVLERVQRKSHRQVQLLSPIPKPRPPEEAKKVEEKEVPKPEEKKEELPVITVVLKVHMHCEACAQKMKKRIQKMQGVESVQPDLNSSEVTVKGAFEPPKLVDLIYKGTDKHAEIVKVEPEKKEEEKPKEGKEEAKADGGGEKGSKKGEDDGKKAEKEDGAPPLGGGGEEQPPKQEAGQEGGDPKLEMKKNDFYYYHPQNHHQNDFYYYHPQNNHQLHHPAYVQESYGYPAYPPQMFSDENPHACSVM >CDP14845 pep chromosome:AUK_PRJEB4211_v1:4:25062017:25064464:-1 gene:GSCOC_T00042312001 transcript:CDP14845 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCRKGSSKISENQSCMKTVPKKAKSKSKKQKKKREMANVVQELYQTCSEVFADGDHQISGYIPPTPDIERLKSVLDRMKPEDLNLSPNMPMFRRRSTAQEASHHQITYIELLECDQFSIGIFCLPPSAVIPLHNHPNMTVFSKLLFGALRIKSYDWVDDGTSSTSKINTGEITHRQDGIRLAKVHMDSDVTSPYNASVLFPAAGGNMHCFTALTACAILDVLGPPYSESEGRHCTYFQDFPYDKFSGGAEELVPTEEEDTKKYAWLQEIEKPEDYIVGEPS >CDO97928 pep chromosome:AUK_PRJEB4211_v1:4:1596985:1603307:-1 gene:GSCOC_T00021876001 transcript:CDO97928 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDLTKGKLDRDSVTEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQNPGKKLWGFPRFAGDCASGHRRSHAGTTSEQKSDITDSCSQMILQLHDVYDPNKINVKIKIVAGSPFGPVAAEARRTQANWVVLDKHLKHEEKRCMEELQCNIVVMKRSQPKVLRLNLVGSPKKEPEATGASSSKLDQSSGKGEANKNDSLISTRGLLVTPTSSPEMFTATEAGTSSVSSSDPGTSPFFVTETNSDLKKDILLATKQDQDLDESSSDTESENLSTTSSSLRFQPWVADVVNSRCQSSLSEESTERLNNRSQNSTTKALLEKFCKLDEEAAFCSPNYRSNLDFSGNVREAISLSRNGPLGPPPLCSICQHKAPVFGKPPRWFTYGELELATGGFSQANFLAEGGYGSVHRGVLSDGQVVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDGRRLLVYEYICNGSLDSHLYGRHHNPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITDKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLDEYAIDELVDPRLGNQYSEHEVYCMLHAASMCIRRDPHTRPRMSQVLRMLEGDIFMDSSQMSAPGYDVGSRSGRIWAPQFQHQQYSGPIMKETLEGLSGKLSLEERRPAF >CDO98605 pep chromosome:AUK_PRJEB4211_v1:4:7317463:7318768:-1 gene:GSCOC_T00022761001 transcript:CDO98605 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPRRRRQRPVSFSPFGRSMAFLYPTKKMKHQILDSVIKDKSARSTSDVEISANGVVKDGTFQNHGETSDSSDDGEFDGVVQADFSFFDPKPDDFNGVKVLLQTYMDDKLWDLSGFVDLILGQTTVGTVVKIEDDEDEGVYAFVTALNMGRYKAS >CDO98666 pep chromosome:AUK_PRJEB4211_v1:4:8010933:8013132:1 gene:GSCOC_T00022836001 transcript:CDO98666 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPENEVQALTNSITQLLSSLSSSVPQAQCFKSKWSIISSKLSTLESLVSDLCNSCSATTASAAGSLAVDLLQSLVTTLTDALSLCMLCHASTPPHGKLKTQNDVDSITAKLDNHTRDLDVLIKSGVLLHPQNAVVAAAPAATTPTRESVRAEFRNLITRLQIGSTESKNSVLDSVLNLLQEDDKNVLIAVAQGIVPVLVRTLDSNTHHLEMKEKIVAAIAKISTVDSIKHVLIAEGLGLLNNLLRVLESGSVFAKEKSCIVLQVLSNSKENARAIGSRGGVSSLLEICNDGTPNSQAMAAGVLRILSVYPEIKENFIEENAVMILLGLWNSGTILAQENAIGCLNNLVVKDDNLKLLIAREGVIESLKNYWDSVNSVQNLEVAIVMIQILASCPLIVENLVADGFLNRVAGVLSCGVLGCRIAAAKAVYELSYNTKTRKELGEIGCISALVRMMDGKAVEEKEVAAKALSRLMAFPGNRRIFKKEEKGIVSAVQLLDPLVQNLDKKYPVLILISLVHSKKCRKQMVGSGACSHLQKLVEMENVDGAKKLLESLGRGKLWGVFGIH >CDO98236 pep chromosome:AUK_PRJEB4211_v1:4:3854161:3856726:1 gene:GSCOC_T00022262001 transcript:CDO98236 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPFSATSRPKASIVFLTVTICSSLIILFAVVYFLYYLWYSLVHRSRTSPFDSTTPLVKLQRFTFKELKSATNGFSESNSIGKGGSGTVYRGILKDGKLVAVKLLDSASLQSEREFQNELQVLGGLRSSFIVNLLGYCVEKSRRLVVYEYMPNRSLQESLFSESNLSLNWGRRFDIILDIAKALSFLHLECDPPVIHGDVKPSNVLLDSEYRAKLSDFGLSRLKLEAEFGVDMFSQELGKSQELWKSQELSGNLGTGGGGTGTGTGTGTGTGTGTPVIGTPVDSHDEVDFALALQASSSTKNTTAFHSLKSLSWGSLNFNGNFFIDDDTKSRNAKGKEVSVVENGGGEDSNKFLNPDNELSGVELGKELNLNAALVGDEHGTRTKQWGKDWWWRQDGSGELCSKDYVMEWIGSQICPSPTPDWDDEKKSSPQDNDLVDNSMQLNKVEDKFENQLQESRLDCPNNGVEMEGSNRWRRTRNKKHRKMQEWWKEEHLDELSRKNTKVNEPDVRMKKRFKMPHFSLGKRFYLKRRSVRRQSQDLDNQDGEFSFRKGWKKKNARSVNSDMWSGDLFSGQLSSTTSMRGTLCYVAPEYGGCGYLMEKADIYSLGVLILVIVSGRRPLHVLSSPMKLEKANLISWCRHLAHAGNVLEIIDEKLKDDYSKEQASLCIHLALACLQKVPELRPDVGDILKILKGEMELPPLPFEFSPSPPSKLFSRSRRRQKSNPE >CDO98429 pep chromosome:AUK_PRJEB4211_v1:4:5485050:5489689:1 gene:GSCOC_T00022515001 transcript:CDO98429 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPGETIAGLFYNASSSFLLLFIFFYVTSILLAKLFRFLGGNLFFSRNQNEFEYGEFSDEEVEQENGHFHADSMQQSGPLETNILDGGETLIFMQENSDHEDPIRVPGEEIVNPDEEAFSQECNEASYNSEELSVYSSAALESVHSDEAVGEEEIPPARDTDSSYAYQTNKNDPTSRRTLNQMKSGLIMDNKNNKAKCEEGIAKDEEKDATFTRDENFLVFAPSKRESKKLLQPEGKDEEDIFGDSCTVGSTSKSSSEWRSSNINYRDSGTDDPFSSSSRRSCPKWESYTVFQKYDEEMLFLDRISAQKLHETESLKSIQACPRSISDRIVYKLAAKNKSSSDFRRNPYHELEGTYVAQICWTWEALNWNYKYFQRLRAARREQDPGCPAYVAQQFQQFLVLLQRYVENEPYEHGKRPEIYARMRSLAPKLLQVPEYRDSEEEKKDEGSGARISSDSFLIIMEESIRTFMNFLKADKESRCQVLAAFFRRNRRGSADATLLLLLKKVNKKKKMKLKELRRSGKCLRRRRLRLEEEMEILMAFIDLKVVSRVLRMREVHEEQLHWCENKMSKVRVSDGKLQRDSSPLFFPAH >CDO98238 pep chromosome:AUK_PRJEB4211_v1:4:3865497:3868608:1 gene:GSCOC_T00022264001 transcript:CDO98238 gene_biotype:protein_coding transcript_biotype:protein_coding MASETNKTSGSKKIGGGNGGFRAKLDHYLYSGEKKHVIAGIAIIGVLFGVPWYLMNKGSKHQSHQDYMERADKARSERLSKGSSAASTS >CDO98087 pep chromosome:AUK_PRJEB4211_v1:4:2723563:2724872:-1 gene:GSCOC_T00022065001 transcript:CDO98087 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSDQTLEKALPIIHFNSENLTPGSSSWLSTSEIVRKALESYGCFVAVYKNISPELHDKMLNLSKELFDVPVEIKVQNTSDYLGFGYGGNYSVMPLIEYLGITNAATLEATKDFTNLIWPDGNDSFCETAFSYAKQLLELKNLMMQMVLENYGVEKHYELLVRSAFHLMRFIKYRTPKTNEINTGLRPHVDKTFLSVLAGNHVKGLQIETKDGEWIDFAPTPSAFLVIAGEGFTAWSNGKVYAPLHRVIIGGAEEKYTIGLFSFMHGTLQIPVELADDDQNPLQFKPFNNVAFLDYCSGDNITARAIKDFCGT >CDP15038 pep chromosome:AUK_PRJEB4211_v1:4:9596708:9600009:1 gene:GSCOC_T00042577001 transcript:CDP15038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C6 [Source:Projected from Arabidopsis thaliana (AT3G48610) UniProtKB/Swiss-Prot;Acc:Q8H965] MGKFGPKAPAFSSMLLCLALLSSFRITHQAQQQPIKTVVVLVLENRSFDHMIGWMKDYINPLINGVTGDECNPVSTKAQQTQRICFSDDAEFVDPDPGHSFEEVLQQVFGSGSIPSMTGFVEQALTMSENLSATVMKGFKPENLPVYAALVREFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLATGYPQQTIFDSLHDNGLDFGIYFQSFPTTLFFRNLRKLKYIFKLHQYDLRFKRDARNGKLPSLTVIEPRYFDLIGFPGNDDHPSHDVANGQKLVKEIYETLRASPQWNETLFIITYDEHGGFYDHVQTPYANVPNPDGNTGPAPYFFNFDRLGVRVPTIMVSPWIKKGTVISRPNGPTPNSEFEHSSVPATIKKIFNLSSNFLTHRDAWAGTFEQVVGELTSPRTDCPEVLPDAFPLRSTKADENKRLSQFQGEVVQLAAVLNGDHFLSSFPDDMGKKMNVKEAHEYTKGAVSRFIRASKEAIKLGAAESAIVDMRSSLTTRSSNHN >CDP14863 pep chromosome:AUK_PRJEB4211_v1:4:25266424:25269182:1 gene:GSCOC_T00042333001 transcript:CDP14863 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTLVYGQGGAGGTSNLSSFLLQNQRVGCSSSSQPHHDSLFISSSSPSFLGSRSMVSFEDVNGRKRSNNSFYHSYDQEERVDEELEEYFRQPEKKRRLTADQVLFLEKSFEDENKLEPERKAELAKELGLQPRQIAVWFQNRRARWKTKQLEKDYETLQASYDKLKADYDNLLKEKEQLKAEVVHITEKLLIKEKENENSKLSHSSSLSAAPAKGSTADSACEDEVSKVSAVALKQEDLSSAKSDVLDSDSPHYTDGVHSSLLEPGDSSYALEQDQSDLSQDEEDDITKTLMHPAYLFLKMEDSDYHDPQSSCYYGFPVEDQAFGFWSY >CDO97902 pep chromosome:AUK_PRJEB4211_v1:4:1393729:1395518:-1 gene:GSCOC_T00021845001 transcript:CDO97902 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEGSDGSKTLLEPGSTVEWGRSLGLKSKDRTVSRRHVAFELAPSNEPNRVRFQVIGKNPVWVHTGERGKVSTFRTCERGEMEIGDMFCVSAKSPVWFTVRKADSVVDNFKRELDFEGRCEVRDFDALEPESIDISHIDPVKEFGVLVIGEEFDGYPRKMIRDFKNWDWFLEEPGEESEDDGFDINKRRKSGRRKRKKVGQNEDEDWGGESEEEKELLTKATKVQKAKYSTRSKDRDKRAKDTGKGTTSKLKYRKRAEEEDIDEEEDDETLGGFIVDNDQLEEAGEEIDEEEEEEEFEEDEDEEEVED >CDP14898 pep chromosome:AUK_PRJEB4211_v1:4:25840629:25851033:-1 gene:GSCOC_T00042385001 transcript:CDP14898 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEPEKEDGEEDAITVTEALLPCLDGAKLVDAPILFFVLSHKAFVRELEQLHRSALEVADTGSPDRQFVDDLGRRFDFFKLVYKYHAAAEDEIVFPALNSKVKNVVTTSALEHKCINDDFCSTVQCLDLLRKECEDFTHLFQKLIFCISSIKSAICEHMLKEEKLVFPLVIGQFPSEEQAKLVWQYICSVPIALLEDFLPWMACSLPPDEQLDLLDCMKIVVSKEEVLEEVVISWLNNKKPSPPEACNVYGQGAQFYSGHVSSMEILKIHPNTFDFGEEEKSKLCSFYTSIGPNPLDGIYIWNTALARDFRKVLDELYQIRSSNNMSNLSSIVVQLQFLLDVLISYSNALNQIFFPLVNDLSKNVLPLSCTRLVEKGQVERLQFLLYGVLQDGAQPSNFLKGLCREVELLVRGISQNLTHIETEVYSSIGKKCSHNMLLWLLYAGLKTMPLGLLKCAVLWFSATLLDEQFKTMLDAMTDACPLGNKPILILLHSWVRMGYLGKISMERFAKDLQENFIRGIYFTSDRIGEDVGFSNLKFDMQACTIFNTIESEPSPAVKDNKMVWNPSSSHSKTNEKLESGGMTLHKFSPQMWSNILSVVRHPAENGIAKKVLALESRPMDHFVCFHKALIRDLDYIVFLSANMAKSFQFIPDLRRHFELLKFLYDIHSASEDEVVFPALESKGKLKNITQSYTIDHKLEEENFAKVSSLLNDIATLHDDLDKPGEGSLQYRQMCLKLHETCLSMQKIISGHIHREEIQLWPLFGEYFSTEEQEKMLGCMLGRTRAETLQEMIPWLMSALTQDEQHALISLWRRATKNTNFEQWLGEWWEDMKDYCVAKDEEESSFPPSLAANPLEVVSVYLGEQTCRESKLSGKEVSDNNAEHSGYICPYSKDFKGGQNNDKYEDLVNCGEELDKKTDQQIVDDQADKAGQNIQACHDEHPLELNQKELETAIRRVSRDPTLDSQKKSHIIQSLIMSRWIVTQQNSNTLSAAANDREEDFGQYPSYQDSLNEIFGCKHYKRNCKLLAACCSKLFTCIKCHDEFTDHSMERKAITKMMCMKCLVIQPVGPKCSNNACNNFPMAKYYCPVCKLFDDERKIYHCPYCNLCRVGKGLGIDYFHCMNCNACMSRSLSVHICREKCFEDNCPICHEYIFTSSSPVKALPCGHLMHSVCFQEYTYTHYTCPICSKSLGDMQVYFGMLDALLAEEKVPQEYSSRIQVILCNDCERKGNASFHWLYHKCPHCGSYNTRLL >CDO97721 pep chromosome:AUK_PRJEB4211_v1:4:7197:18610:-1 gene:GSCOC_T00021599001 transcript:CDO97721 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDDSKSSVPPIRKSSSNRSNNIPNQAPLLSSQSDGRSGNIPTDFQFEERLEAVKRSALQQKKAEEEEQYGAIDYETPTVPTSNTVGLGTKIGAAVTALIFGLVFAFGDFLPSEKITPTEEATTVVEKFSAKERESLKKRLQQFEQTLSISQDNPTALEGAAVTLAELGEYDRAASLLEDLAKKKPSDPDVFRLLGEVKYELKDYEGSARAYRNSAMVSKTIDFEVTRGLTNALLAAKKPGEAVQMLLPIREMLNNEKNVHNNFEAASSIVEKSSQLDPIQVDLLVGKAYSDWGHVSDAVAVYDQIISSHPDDFRGYLAKGIILKENGNAGGSARMFMQARFFAPEKAKALVDKYSR >CDP20873 pep chromosome:AUK_PRJEB4211_v1:4:8929110:8935955:-1 gene:GSCOC_T00010617001 transcript:CDP20873 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTPREHIEEIRRTKFSIGGEPNPLTEDLHQAVKNLSAELYAKDIHFLMELIQNAEDNVYEGGVEPSLEFAITSKDITATGASATLLIFNNEKGFSPKNIESICSVGRSTKKGNRKSGYIGEKGIGFKSVFLITAQPYIFSNGYQIRFSEDPCMHCNVGYVVPEWVDENPSLPVLRQIYGSPTNLPTTVIVLPLKPDKVEPVKQQLSSIHPEVLLFLSKIKKLSVREDNKDPKHNTDSAISISSETDFVTRKSIDAQSYMLHLSAAEKGDAVAECSYYIWKQRFPVIEECRVERRMDVDDLVIMLAFPIGERIHRGTSSPGIYAFLPTEMVTNFPFIIQADFVLSSSRESIRLDNAWNQGILNCVPSAFVNAFTSLVKSIENAPVSSLPPMFRFLPVNASPFTNLNSVRASIQKKLMDENIIPCELYSEQKIFQKPGEVSRLMPAFWELLRKGKKQGVSLSNISTHGRHILCSSFDEKKYDEVLTFLGLKYVDDEWYAKCIGSSNFVSGVSEDLYLDFLLFLAENWGSFASTSFTNIPLLKYVRGDGVVCLCSINYSLGHPSMLLLSNESRHISWLIDWSKEFRCAGNQFFLPKSMQDLIWSYCMGITILDWLVNQVKVGSVNVNDYASLLSKSLNGNPKSVVIYAHFLYHSLARNFLSKGEVDRLCFSMPLVDNYGQVTTGIGRILVPAKGSRWLQLIGSNPWRKEGYIELGEEYLHPGYHAGLYSSEKEFAEFLNVHLGASDIPDIPPPDAAIPSAYSMLTKQNAFLLLDWVHTLQRKQINIPAEFLTSMKEGNWVRVSLGGSAGCGPPSQSFLLSASSASNLQNAPILVDIPIIDQKFYGDRINNYVGELRILGVKFEFQEACQYIGNHLMSRVASSNLTRAEVLSILKFIKFLGDRMLPVDNFFASIKGKRWLRTSQGYKKPEESVLFNEDWKAASKTSNIPFLDQDFYGKEILSFKPELKLLGVVCCFNKCYMDVYIDNFKAPAAWNSLSAEAFLFILECLGMWNSSEKLVAALKHNECLKTNMGFKSPAECYLFDPQWGFLLQVFNSFPIIDETFYGSRISSFKMELNMIGVHVQFEEAAGAFAKFFKQQASLRSISKDTVLSLLSCYRELNTPGVLFPSDVKKCFNEEKWLRTKLGDYRSPKDCILYGTDWEPISEIAVLPFIDDLGYHSRKAIHKYKFELLELGVVVELRNGAKFVTAGLRLPDDPSSITPAAAYSLLECLKNLQREPNERVLDAFACKVDERWLKTTAGYRYSKECLLFGSEWKSILQQEDGPFIDENFYGSNIASYKKQLCALGVITDINSGCPLMANFLDFHTEFKAITRIYEYLYRFNWKPSDEGSKRIWIPSDNSNGEWVSPEKCVLHDTLGLFGSQLYVLEKHYQKDILSFFSSAFGVKANPSLDDYCKLWKIWEDSNRELSNADCCAFWGFVVKHWNSRTKELLSEELSKTPVFTGSDSTLLFQKREVFIADDLFLKDHFGQLSSCPLFVWFPQPSLQYLPRGKLLEIYAQIGVRTLSESVEKKLLSLHGFHFEQVNPKEIFIGRGLSKLILGFLASPSLGLEAEKRHEALKCLLNITVLVTPEPINVGYELLLSSGEFLYVEASRMMRWERGDSKFFLQKFDKSGSRRKMLEYATHFAESSSHLLFHVTRSNHFKVLTLIWPWIDMQGTVGNSLYYPCY >CDO97746 pep chromosome:AUK_PRJEB4211_v1:4:202821:203411:-1 gene:GSCOC_T00021630001 transcript:CDO97746 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRSNGSSKESSSSSPGTAAATVCRIPDDHIFSILLRLPIDSILCFGMTCRRLRSLTYSDALWESICRRDWGSSSVDDLIKAHDPSIPIAWQNLYQQLHQLDSVYCRRLLAAAAPATPPAPDMVPSPRASHSLNFVSGCLLLFGGGCEGGLSLSFFKMKGKRKLNSLVFLLSISFFCPISFPLFFFFFFFGYV >CDO98609 pep chromosome:AUK_PRJEB4211_v1:4:7355826:7368887:1 gene:GSCOC_T00022766001 transcript:CDO98609 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKYGQTDEVAALFSLCRMDTLIYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKKMSAIVQKYENGTSDRIIRPQRERYDPERLQILDDDGLASPGEIIRPDYIYINKQSPVVTRGPITSPMGLPDSAYKPSIQTYKGPEGETPVLDRVSLCSDKNNNLCIKFMVRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGSKAGVSCGRFHYGSAFGEPSGHADKVEAISETLVKHGFSYNGKDFIYSGITGMPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVMITRQPTEGRSRNGGLRVGEMERDCLIAYGASMLIYERLMISSDPFEVQVCRKCGLLGYYNYKLKTGICSTCKNGDNISTMKLPYACKLLIQELQSMNIVPRLKLTEA >CDO97882 pep chromosome:AUK_PRJEB4211_v1:4:1272659:1276986:1 gene:GSCOC_T00021821001 transcript:CDO97882 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALYLQEMIFNAAVAIDADKNSQFAVKWAVDRLNLNGFITLLHVKTQQNSSPQDVPKEGRAPTKDELAKFFLPYRGFCARKGVRVHEVVLHDIDVARAIAEYVIDNSISMIVLGASSRSALARAFRAQDMQSQLIKSIPDFCAVYVVSKVRAQAVKSATRSPNASSIASSRQQPQVGYLSDTPGSQQLTWLVSHKCFRITLLICPRNHELTKIDITLIWIRGSWRSAGSDRSHSDGGSPAVYSDKSSRDFVPMTSRRGQSKNRSPQCPSPQHPLSSSGTDFLHLPPLDGQPNSKNTSPPKTADSLQICPYNRNPGSKTPSNQLSGNNLNLHFPIQGSPSHSLSGSSDRSEPLSFQSSNLSFELLDQSHTSDASWTSSSSQGTGELEEEIKRLKQELKQSMEMYNSACKETLAAKGKAREIDEWKLDEARRIEGCRQTREFAMIMVETEKHKCKAAIEAAQMAQRLAELESQKRKEAEMKLLQEAEKKKKAMDALAHCDIRYRKYNIEEIETATGYFSPSEKIGEGGYGPVYKAYLDQTAVAIKVLRSDISQGKKQFQREVEVLSHMRHPHMVLLLGACPEYGCLVYEYMENGSLEDRLFCRNGSTPLPWTIRFRIAAEIATALLFLHQTRPEPIVHRDLKPANILLDRNYVSKIGDVGLSRLVPPSVADSVTQYHMTAAAGTFCYIDPEYQQTGMLCTKSDIYSFGVLLLQIITARTAMGLTYHVEEAIEHGSFQETLDPKVADWPVEDALSLAKLALKCCELRRRDRPDLGSVILPELERLRDLGSDAKAGSGNGNLDEAILHDQVSQESIPLSQVRVQSSAHFILSFTICPFIKA >CDO98486 pep chromosome:AUK_PRJEB4211_v1:4:6086700:6088154:1 gene:GSCOC_T00022599001 transcript:CDO98486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein [Source:Projected from Arabidopsis thaliana (AT1G15220) UniProtKB/TrEMBL;Acc:A0A178W6T6] MESEEDAMKKERVVEARARNISHNVRCTECGSQSIEDSHADIAILLRKLIRDEIRSGKTDKDIYKKLEDDFGETVLYAPKFDMQTAALWLSPILAAAAAGGIWAYQRHRQKTNVHIMALNLVRGVPLTPKEKETMLDVLTPPPPEGITSSFWWRRWVGR >CDO98348 pep chromosome:AUK_PRJEB4211_v1:4:4778381:4782838:1 gene:GSCOC_T00022414001 transcript:CDO98348 gene_biotype:protein_coding transcript_biotype:protein_coding MITLVDFYHVMTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISANNPYTMNLRFIAADTLQKIIVLAVLAVWTKVSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGAKMLISEQFPDTAGSIVSIHVDSDVMSLDGRQPLETEAEIKEDGKLHITVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVAGGRNSNFGANDVYGLSASRGPTPRPSNYEEENGNNMNKSRFHYPAAPGNTAHYPAPNPGMFSPTGSKVMGANAAAKKPNGQHKEEGAKDLHMFVWSSSASPVSDVFGGHDYGALDQPSKEVRVAVSPGKVEGHRDNQEDYMEREDFGFGNKGMDNNNDDDKMGDKQVKAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGIIWALVSYRWNVEMPAIIAKSIAILSDAGLGMAMFSLGLFMALQPRIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILMGL >CDO97838 pep chromosome:AUK_PRJEB4211_v1:4:873432:873942:-1 gene:GSCOC_T00021757001 transcript:CDO97838 gene_biotype:protein_coding transcript_biotype:protein_coding MGAERGRTELNGKSSCPVTLIIARCSASRQRTKLEKEIWKITIVLMSAIEVPLHHLDTRCCYAFVEICTRKFRSLYSGYMNYF >CDP18404 pep chromosome:AUK_PRJEB4211_v1:4:24509050:24510975:1 gene:GSCOC_T00004746001 transcript:CDP18404 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQEVKHVCKFCNKSFPCGRSLGGHMRSHLINIPAESNEKHGKKRLPSFKTGNRDDSSKEAQNGYSLRENRKKTSKFAANSSEDTSLDSKVCKECGKCFPSWKALFGHMKCHSDKVLSNNSASVEDDSWNSAAANQKLVMDSQSDNEAAAPIRKQRSRTIKRYKATKNINTAPLTIAQPSPCVTENHEQEQQEEVAMSLIMLSRDASNWGGLKSVGGGESSDYNSEFLEARSSNQNKQDCKTESKILKSSSLDSKMKSKIKQPEPSKASGMSRKEFRVKSSEVSTDAFLGDVFIKKNKVEEGAELQQSKVELPKNQSESESKKQNLSKRRCIALHDDPELSADYSITKLACGVSDSELLYLGSDRKSKFECTTCNKAFHSYQALGGHRASHKRMKGCLGSKIDSSENSTETEISPNQTADSKLRIKCYSNDIDTTTDGSKEKVEMTDYGSKEIKTVHTDYGFKKDKSHECPICFKLFPSGQALGGHKRAHLAAEAKSNQGIVIQKQIPEIRDFLDLNLPAPAEEEGSDQVYFSPWWIASNHKPEPLVGML >CDP12350 pep chromosome:AUK_PRJEB4211_v1:4:27746794:27751656:-1 gene:GSCOC_T00035834001 transcript:CDP12350 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2 [Source:Projected from Arabidopsis thaliana (AT3G47700) UniProtKB/Swiss-Prot;Acc:Q9STU3] MTRTEHPTRILPLPPISSLSRTTLACLNAKLTADEDLHHLVPVLVSELRTECDSLDRSLSDLSHHFNQLLADYSSHSNQIGSNFEAIRCKLSDLRSSTVSSASSSSDGGSGRLLGEELPALAKEVARVEAVRTYAETALKLDTLVGDIEDAVSVTLNRTLRRHQFSNNSEDTRVSAIRTLKLTEAILSSIAKSNPQWTRLVLAVDHRVDRALAVLRPQAVADHRSLLASLGWPPSLSSLNSSSLDVKGSGEVQNPLFTVEGDLKHQYCKSFLALCSLQELQRQRKSRQLEGRNREVALRQPLWAIEELVNPIFIDSQHHFSKWIDKPEFIFALVYKITRDYVDSMDDLLQPLVDEALLSGYSCREEWVSAMVTSLSTYLAKEILPMYVAQLEEESISGIRSQPRISWLHLIDLMISFDKRIQSLVAHSGILVSLPEDENQHKISSLSVFCDRPDWLDLWAEIELSDTIDKLKQEMEDERSWSTKSLGAALLSGQEDSKSPPISSVILRLLSYVVDRCRSLPSISLRSRFVRLTCVPIIQKFLDCLLLRCLEAEGLTALTDDDALVKVAISLNAARGFVSILKEWCEDVFFLEMGLDQVDQLETSGVGDFSGRSMEAKGENDIVKEVDKLERFRVEWIEKLSTVILRGFDASCRDYMKNRKQWQEKGEEGWGVSRLFLGALEYLQGKLSVLEENLNAMDFVSVWRSLASGLDQFICGGIFLSNVKFNDGGVKKLSNDLEVLFGVFCTWCLRPEGFFPRTSEGLKLLKMEKKLLQNGLAGGERWLKDNRISHLKAGEVEKIVKNRVFG >CDO97755 pep chromosome:AUK_PRJEB4211_v1:4:264136:266505:1 gene:GSCOC_T00021641001 transcript:CDO97755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT1G51640) UniProtKB/TrEMBL;Acc:Q9C8H6] MAQQEQDLASVAAARQILKESLEKSWDLDFAIRETGSRLDQSEQRLASLRSAIGNVASKCALYDVRGHVDRALGPAAAVLRIFDVVHELETSLISADPSSDLRSYLINVQRMEEAVKLLRDSCKLVTLWLEDAVQFLQDKGIEGDLYFLKFKKSLTILQQLQEVEENFGLDGGLLMDAFNQLENEFRRLVTDNSFPPPRPDELEVSPIPPPLSFPDSDIEKMQTIMERLTASNRLDRCLSIYIEVRTSIVTTALQALDLSYLELSLSEFDSVQNLEGCIDQWVKHLQFAVKYLFEMEYRLCYEVFQKAGSDVCMECFAKIVLRSRFQNFIKFANSITRSKKEAIKLLKLLDIFAALDELRLNFNRLFSGKSCFDIQTQTRDLIKGVVDGACELFWELSIQVESQRSSNPPSDGSVPRLVRFVIDYCNILVEDEYKSTLLDVLEIHCSWNNLEFEQGLLSGQVQRILEALELNLQTWAKAYEDTNLSHFFRMNNYWYFCKNVEGTKLGDLMGHDWLRAYEDDMEYYAATYVSESWGKLPALISEEDLVLFPGGRAINHNLVQKRIEEFCNAFEDMYKQQSYWVLSDRGLRLRTCQLIMQSVFPSYKSYIQKYMPLIEYEEDTSKYVKYTPTILESMINSLFQPKVGKYGSTKCTHLATRIKNAVTTHLPSTPAAA >CDO98278 pep chromosome:AUK_PRJEB4211_v1:4:4170093:4175905:-1 gene:GSCOC_T00022319001 transcript:CDO98278 gene_biotype:protein_coding transcript_biotype:protein_coding MGILCFVLDLRSLSPSLLRDLKQSLLQLANYYAIYTPSGGNSGLRTQSKPLLDRIGLCYIFTNRISCSVELKVAYSPTGNFSLRGFHHAVNNLPTDAFSPEFDISGSLSCTDMKISSILSDNVLYSWGGHARDITRKVFLISACIVQHLDDDTKKVLMDAADMCVSVEFIFVQHMANHLGDGVENINNFIKQIGDLENCSFRSCLSDAHVFSGMAKQWFQELKDDVEGSLHSRFIFKSNLLYNVNQLLCNLCRCFNPIVDGFIPCQTCWCHGIPLDKSNVDQSKGSYSCPETGQDLRELDLTENSVKIGENTILFMPSFECRQKLPQIVSPIDFHVIERTPLGSLNEGRFIFGASYVVAPSTFNEFDETDKSEQNNQLFQVICHLLNSLDQGLVCSSNCNVETMRETSFLCYYLLLPSDKGMMLLRRLVASEEILPVVDAHQFTYSTMAKEMESLVRSSLLKIEASTYSPQQHERGFHQKLNFLVKESLQFGAIPPKIREAPVDFSTLNDSEEAVEPTQAAAEVVEPTKMPPLSEEVQENEANSYIEEEWERLIVTELPQIHSPIQVSKPKLDHPLPSPSQSNRKIDEKTSKILERLEIPRQLKKKAVSPKTPGIGTSQTCMLTKKPLIPYGPSRADDQSWSSHSFLDASCMIFYRVISGRHLKNNEVHLLLRASKLKKEKEKEKEKLTTGM >CDO97884 pep chromosome:AUK_PRJEB4211_v1:4:1281936:1283702:1 gene:GSCOC_T00021823001 transcript:CDO97884 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQPRAPNFDDDDDQNNTAPSDGARLYNPYQDLKVPIQTLYRLPTSPEFLFQEEAIALRRSWGENMTYYTGIGYLSGATAGAAKGLVEGVKAAEPGDTLKLKINRILNASGHSGRKIGNRVGILGLMYAGMESAMVAARDTDDVINCVVAGLGTGALYKAASGPRSAAVAGAIGGVLVGLAVTGKQALKRYVPI >CDO98156 pep chromosome:AUK_PRJEB4211_v1:4:3279821:3281766:-1 gene:GSCOC_T00022161001 transcript:CDO98156 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine phosphotransfer protein 6 [Source:Projected from Arabidopsis thaliana (AT1G80100) TAIR;Acc:AT1G80100] MLVLGAERLRLDMNRLLALLFHQGVLDEQFLQLQQLQDESSPNFVYEVVNIYFHESEKLLRNLRGLLLDKEFSDYKKMGIHLNQFIGSSSSIGAKRVRAVCVAFRAASEQNNRAGCLRALELLEHEYCYLKNKLRELFQMEQQRVLAAGVRYPMQN >CDP19380 pep chromosome:AUK_PRJEB4211_v1:4:24638843:24642331:1 gene:GSCOC_T00002606001 transcript:CDP19380 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGKNVIKSEGGFRGNGAKNHSATERSEVRILLCDSNAESCRQIFSLLCQCSHQVTTAWSEMQIVNALNSDGPHTDIILAVVNLLMANGAEILRYIMHNKDLQHIPVISKLLSLLVIMYSNSKQPSFT >CDP12761 pep chromosome:AUK_PRJEB4211_v1:4:12642293:12644978:1 gene:GSCOC_T00037400001 transcript:CDP12761 gene_biotype:protein_coding transcript_biotype:protein_coding MILQHHLALRLNMCRLIKSLAAFKPRPFVAPRPLHGGLSALIAEGLGSLGAYLANGRRRIAGIQLSISHLNSAQIGDLVHAEATSISVGKTIQVWEVMFWKASS >CDP12688 pep chromosome:AUK_PRJEB4211_v1:4:11128666:11135750:-1 gene:GSCOC_T00037279001 transcript:CDP12688 gene_biotype:protein_coding transcript_biotype:protein_coding MIKYSILTSAATNYKRAPTQVYNAAAKSTRRHRYTAMPTRAILDPGRVNQIRCNPNPSPYVKLRPPQCLSPQFSLHFLASNHLGRLIIRKNVRPLAVKAVLDSVRTDVTGSGLRNPSISTSYRNPNFPIPNQTLLEAQTRVCTGPTQTRPLNQEQAFKVLETILKSAKGELKDEEQVSRAQLGAFFAAMTIRANAFPEPTQWSEGERCAMNHYWPQLVRALPPDIIFIADPEGSIMGAGNSIGPRFGGSIPSEMRLVGALREVLAGGHLGYEEVQGVLKDVLPLKLDGSASHSVSESLLSAFLIGQRMNRETDRELKAYCLAFDDELGQPPIADVKSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGESCLLHGVDWMPPKAGITEEQMLQFMGANTHLSPLQAKMLLEDGEVGFGYVSQRDTHPSLHSLVGLREHIKKRPPLATSEKIQQIVRARGREAIVAGFYHDGYEEPLLMLMRRRGVQSGLVVKGEEGALSMTTKSRSIHASKGLPVNYCSGFRSLNVVSAGALDGVSRETFTMEVNARDLGFEPSDTPRTDRSVSRNLELGLAALGGEKGPAYDRIVLNAGMIDHLLGCDGAEDISRALDRAREAIDSGKALSRLLNYIKVSNKVK >CDO98017 pep chromosome:AUK_PRJEB4211_v1:4:2280549:2282418:-1 gene:GSCOC_T00021982001 transcript:CDO98017 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSMGFQVIGASSLLSGSVVLYLSVGGMLLFVLTFSLGAGPVPSLLLSEILPARIREKAMAVCMAVHWVINFLVGLLFLRLLEQLGPQILYAAFGTFCFVAVVFVRKNIVETKGKTLQEIEMAFLPAD >CDO97892 pep chromosome:AUK_PRJEB4211_v1:4:1330487:1331029:-1 gene:GSCOC_T00021831001 transcript:CDO97892 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCQFLLFISIMHMLNLVCITSCRPLVPNGGHAFHSGEFLLSGLSLPLGEEVKNGGGGGEEKEEVSRRIGSSPPSCDHKCFGCMPCEAIQVPTTHGRVGVQYANYEPEGWKCKCGPTFYTP >CDO97909 pep chromosome:AUK_PRJEB4211_v1:4:1446251:1452688:-1 gene:GSCOC_T00021853001 transcript:CDO97909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13860) UniProtKB/Swiss-Prot;Acc:Q93ZM7] MYRVAAAIASSVRSSATKKLVCSRFISSRNYVAKDINFGTSARAAMLLGVNELAEAVKVTMGPKGRNVIIEKTNGAPKVTKDGVTVAKSIKFKDKAKNVGAELVKQVANATNVAAGDGTTCATVLTQAIFTEGYKSVAAGVNVMDLRSGMNMAVNAVIQDLKSKAMMISTPEEITQVATISANNEREIGELIARAMEKVGKEGVITVSSGNTLDDELEVVEGMKLSRGYMSPYFVTDAKTQICELENPLILIHDKKISDMNSLVRVLELAVKNHRPLLIVAEDLESDPLTMLIINKHQAGIKVCAIKAPGFGDSRRANLEDLAILTGGEVISEENGLSLDKVKIHMLGTAKKVTVSLDDTIVLHGGGDKKQIEERCEQLRTAMETSAAMFDKEKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAIEEGIVPGGGVALLYAARSLRHLQAANEDQRRGIQIIENALKAPTLTIVSNAGSDGALVIGKLLEQDDLNLGYDAAKGQYVDMVKAGIIDPLKVIRTALVDAASVSLLLTTAEATVVDLEGENNPMAKRMPDMDAMGY >CDO97941 pep chromosome:AUK_PRJEB4211_v1:4:1728123:1729867:-1 gene:GSCOC_T00021890001 transcript:CDO97941 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTAKSLQRQARKCEKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRNEQMNYLRLASRLDAVVARLDTQAKMTTINKSMGNIVKSLESSLNTGNLQKMSETMDQFERQFVNMEVQAEFMENSMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAIPTKTTEKVDEDDLSRRLAELKARG >CDP15034 pep chromosome:AUK_PRJEB4211_v1:4:9511379:9513739:-1 gene:GSCOC_T00042570001 transcript:CDP15034 gene_biotype:protein_coding transcript_biotype:protein_coding METLALALGGAIPSSRVACFLPSSSSSSVSSLTARNSVSLSYSASNLTIHRHLAAFQPPSHLFSCPKSSPHAPKNSRKTHVFLPHLVASLEVEHTYIMVKPDGVQRGLVGEIISRFEKKGFKLTGLKLFHCPKELAEEHYKELQSKPFFPKLINYITSGPVVCMAWEGVGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPENGKREIGLWFKEGEICEWTPVQEPWVVE >CDP15083 pep chromosome:AUK_PRJEB4211_v1:4:10198999:10201623:-1 gene:GSCOC_T00042646001 transcript:CDP15083 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQIFCISFLLLFCLIVQSSSSRAPSSIHSPDSCIPSSCGNLHNIADPFRLKGDPKNCGDPSYELDCQNNRTILTLNSKKFHVQAITYENFTIRAVDPGVDNNDSCSFPTYSSFDDLDLPISVYDKLYDYNTQVVYINCLKPVNAWRYMENTFCRNGSSSAFSNSSRAHRYIAVGEDFRISDLEESCGVEMSTEVSKFGPVKDITTSLASVHELLAYGFELSWIRVLCQECEADHHGFCSVENNTVTCRHYCYENEPLSELPLRFFSLLCLCRTPTWTKICMWNYVLNSISGV >CDO98140 pep chromosome:AUK_PRJEB4211_v1:4:3192576:3195712:-1 gene:GSCOC_T00022143001 transcript:CDO98140 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVAETVESIKSFQIRKALNDAVSFGMIISSALIIWKALMCITGSESPVVVVLTGSMEPGFKRGDILFLHMNNDPIRAGEIIVYNVDGRSIPIVHRVIKVHERKDTGEVNILTKGDANPGDDIGLYAPGQRWLEQRHIMGRAVGFLPYVGWVTIIMTEKPIVKCVLIGVLGLLVITSKD >CDP15082 pep chromosome:AUK_PRJEB4211_v1:4:10185646:10189292:1 gene:GSCOC_T00042645001 transcript:CDP15082 gene_biotype:protein_coding transcript_biotype:protein_coding MKENLAVKVNGGTTTGEMHAATEVTADVHIITSGGVRIPANSAVLASASPVLESIIDRPRKHRSSDKTISILGVPDDAVSVFVQYLYSSKCSEEQMEKYGIHLLALSHVYLVPQLKQRCNKGLVERLTVENVVDVLQLARLCDAPDLYLKCMKMLSNNFKSVEQTEGWKFLQNHDPWLELDILQFIDEAELRKKRTRRHRQEQSLYLQLCEAMECLEHICTEGCTSVGPYDKEPGKNKGPCSKFSTCQGLQLLIKHFATCKRRVNGGCLRCKRMWQLLRLHSSICEQPDVCRVPLCRQFKLKAQQEKKGEDARWKLLVRKVISAKALSSLSLPKRKREEEPRLNLSHPGMRSFTL >CDO98283 pep chromosome:AUK_PRJEB4211_v1:4:4194625:4202561:1 gene:GSCOC_T00022325001 transcript:CDO98283 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGATGWLRGKVKAVPSGDSLVIVGSTKAEIPPEKTITLSSLIAPKLARRGSVDEPFAWASREYLRKLCIGKEVTFRVDYTVPSIGREFGSVFLGDKNVALLVVSEGWAKVREQGQQKAEASPFLAELQHLEEQAKQQGLGRWSKVPGAAEASIRNLPPSAIGDPSNLDAMGLLSANKGRPMEAIVEQIRDGSTLRVYLLPDFRFVQVFVAGIQAPSMGRRATAEAVVESEIASDEQNGDSSAEPRAPLTSAQRLAASSASITEVAPDAFGREAKHFTEIRVLNRDVRIVLEGVDKFSNLIGSVYYQDGDSAKDLALELVEHGLAKYVEWSASLLEDDAKRKLKNAELQAKKGRLRYWTNYVPPATNSKAIHDQNFTGKVIEVVSGDCIVVADDSVPYGDPSAERRVNLSSIRCPKIGNPRRDEKPAPYAREAKEFLRTRLLGRQVHVSMEYSRKVSLAEGPVAPAPGADSRVMDFGSVFLVSPVKDGEDAPPATSAGGQQAGVNVAELLVSRGFASVIRHRDFEERSNYYDALLSAESRAIAGKKGIHSAKDPPTMHITDLLTASAKKARDFLPFLQRNRRMPAVVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSDEAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESKTNVAVTLLEAGLARLQTSFGTDRIPDAHLLVQAEQSAKRQKLKIWENFVEGEEVSNNPTSERRQKEEFKVTVTEVLEGGKFYVQSVADQKVASIQKQLAALNFQDAPLIGAFNPKKGDLVLAQFSADNSWNRALIVSAPRGPVASPSDKFEVFYIDYGNQEVVPYSQLRPVDPSVSSAPGLAQLCSLAYLKVPSLEEDYGQEAAVRLSEHLLSAPKEFKAVIEDRDLSGGKVKGQGTGNILMVTLVDTESDTSINAIMLQDGLARLEKRRRWEPKERQQALDELEKYQTEAREKRLGMWEYGDIQSDDEDIGPPIRKAAGKR >CDP12741 pep chromosome:AUK_PRJEB4211_v1:4:12410536:12412751:1 gene:GSCOC_T00037373001 transcript:CDP12741 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMLPLQPTPLFLTILIFTHQAICPSIYALDFLYNSFSPTAVPLLNLTDDARLVPPVIRLTNDSNQFSLGRAFYPTRIPFNFTKTPNSTISTSFSTQFIFSILPEISTSPGFGLAFVLSGSTSPPNALAGQYFGLFSTALNAPPGPLIVVEFDTGRNTEFNDRDDNHVGIDLNSIESRVSQPAAYFDRNAGFVPFRMRNGENIRAWIEFDGPKNEINVTLAPVGVPRPVRPLINFRDPVIADYLSDEMFVGFSASKTTWVEAQRVLAWSFSDTGVARDVNTTNLPVFLLENSSSRLSGGAIAGIVIACVVVLGVGLGGFYWFCWKRSREDDDEIEDWELEYWPHRFSYQELHQATDGFAKDKLLGSGGFGKVYKGILENNMEVAVKSVNHDSKQGLKEFMAEISSMGRLQHKNLVSMRGWCRKGNVLMLVYDYMPNGSLNKWVFDNPKTLMGWEGRRRVLADVAEGLNYLHHGWEQVVIHRDIKASNVLLDSEMRARLGDFGLAKLYTHGEAPNTTRVVGTFGYLAPEVVTMASPTAASDVYSFGVVVLEVACGRKPIDTSAETEDEEVLLDWVRKKYAEGKLVEAADKRITGQFEVEEMEAVLKIGLTCCHPDPLRRPNMKEVVAVLLGENVATTPKALLSELTPKKIDIDDGYGDEGKLKGIAITS >CDO98612 pep chromosome:AUK_PRJEB4211_v1:4:7386041:7389895:-1 gene:GSCOC_T00022769001 transcript:CDO98612 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 57 [Source:Projected from Arabidopsis thaliana (AT3G17730) UniProtKB/TrEMBL;Acc:Q9LSH5] MAPVGLPPGFRFHPTDEELVNYYLKRKIHGQEIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRKVSSQNRPIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEETSGIQDSYALCRVFKKNGVCTEIEEQQGQSSLSLLDYSQGVVNDYETMSPDVPLASSTSCMEEEDKDDSWMQFITDDAWCSSTAPFVGQEEVSQVAFTN >CDP16950 pep chromosome:AUK_PRJEB4211_v1:4:13659055:13664943:-1 gene:GSCOC_T00005316001 transcript:CDP16950 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKIERKVERVNGKDLSYTQFVQQYLVQNQPVILTGLMEDWRACKDWILEDGKPNLQFFSTHFGNSKVQVADCGARECMEQKRLEMSVSEFIEKWVQLSSSRESISNEVSDDKSLLYLKDWHFVKEYPEYCAYRTPLFFCDDWLNMYLDKYDMHTDPDSYQKKNEISCSDYRFVYMGPKGTWTPLHADVFRSYSWSANVCGKKQWFFLSPSQHHLVFDRYMTNAVYDIFDDVSETKFPGFKEAIWWECTQEQNEIIFVPSGWYHQVHNQEDTISINHNWFNAYNLSWVWDILLTDYKQARDLLEDIKEICDDFEGLCQRNLAANTGMNFRDFLVFMMRFAFANLFQFHHLGRSGKNPLFWSSQIAQHIVFNLQSIQRIALKMKSVGVCTHHAFSLKPSKILEDHSFMELFTTLKKNYGMMHGPFEIVYEEKMGLCSDMDAIFCDFTNPSIGSSEDLVQLIDFGFEKLGFVASKSLPSRKTRYNPEEQLMCRD >CDP16403 pep chromosome:AUK_PRJEB4211_v1:4:18007052:18008642:-1 gene:GSCOC_T00018265001 transcript:CDP16403 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKRRYETDKAAAAGFVAVDDGDIKLRSSLSEATIVNCPRLKGLALAVEKPGFFILDYNIPKKDFRFQFVNTVRVSEKPLNLT >CDP12293 pep chromosome:AUK_PRJEB4211_v1:4:26810141:26815202:1 gene:GSCOC_T00035754001 transcript:CDP12293 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSRRKRTNGSPTRARPGDPSESGRTRNSRFSSPPASSSLLLDSDPITEELAVFEKLQISSPDPNPNPRSFPYGVKQQCWEKAEKIKGRDPDRWRRDPLGNILFRKLVGCPGCLCHDYDHIVPYSKGGQSTLENCQVLQATVNRSKGNRTEISKSELIQKSSYCRVSGRDMDLLELTAYGNVRRGQDSGGCNIQ >CDO97916 pep chromosome:AUK_PRJEB4211_v1:4:1511807:1522046:-1 gene:GSCOC_T00021862001 transcript:CDO97916 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGRVGGKGGGGGGGGAQPSVDERYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQARQSTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKFKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVESQPNRHAVLGASESRPDLILTGHQDNAEFALAMCQTEPFVLSGGKDKSVVLWSIHDHISSLAADQSATKSPGSGAANTKQSKLGVDDKRVDGPRVHARGVFQGHEDTVEDVQFCPSSSQEFCSVGDDSCLILWDARSGSAPVTKVEKAHNADLHCVDWSPHDTHFILTGSADNSVRMFDRRNLTSGGVGSPVHTFEGHSAAVLCVQWSPHKCSVFGSSAEDGILNLWDYEGIGKQNPARTKAQNAPPGLFFRHAGHRDKVVDFHWNVSDPWTIVSVSDDGESTGGGGTLQIWRMIDLIYRSEEEVLEELDKFKVHLNECAS >CDO98309 pep chromosome:AUK_PRJEB4211_v1:4:4404659:4414873:1 gene:GSCOC_T00022362001 transcript:CDO98309 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLMLLIFVVGFALCVESKYMVYNTSAKIVPDKLNVHLVPHSHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLIPALLADENRKFIYVEQAFFQRWWRDQSPATQKIVRQLVDAGQLEFINGGWCMHDEAATHYIDMIDQTTLGHRYIKEQFNVTPRIGWQIDPFGHSAVQAYLLGAELGFDSFFFGRIDYQDRAKRKAEKALEVVWQGSKSLGSSAQIFAGAFPENYEPPSGFYFEVNDDSDIVQDDMNLFDYNVQDRVNDFVAAAFSQASHILANITRTNHVMWTMGTDFKYQYARTWFRNMDKLIHYVNEDGRVNALYSTPSIYTEAKYASKESWPLKTDDYFPYADRINAYWTGYFTSRPAIKHYVRILSGYYLAARQLEFFKGRNEAGPSTDSLGDALGIAQHHDAVTGTEQQHVANDYAKRLSIGYNEAEDVISTSLAYIAQSSSESGLKLQQCPLLNISYCPPSEVNLSPGKKLVVMIYNSLGWKRSEIVKIPVVSANVIVQDSTGKEIESQILPVVDAAMALREFYATANVGKSPVGGPLYWLAFKVEVPPLGFSTYTVSSGKRAATTSVREKFYRSDGNQNDAIEVGPGNLKLVYSGSDGKLTGYINGKNMVKSSLEQSYSYYVGDDGTKDVAPVVAQASGAYVFRPNSTFPIQSQEKIPITVLRGPLFDEVHQNVNSWIYQITRVYKEKQHAEVEFIVGPIPINDGLGKEVVTQLTTTIKNNKTFYTDSNGRDFLERIRDYRSDWNLQVNQPVAGNYYPINLGIYMKDKDTEFSILVDRSVGGSSIFDGQLELMLHRRLLVDDSRGVAEALNETVCIPSACKGLTVQGKFYFRIDPLGEGAKWRRSFGQEIYSPLLLAFSEQDGDEMANFKVPTFTGIDPSYSLPDNVALITLQELANGEVLIRLAHLYEVGEDKDLSVPARVELKKLFPNKQIIQITETSLSANQKREDMEKKRLVWSAEGSNHKSQRVSRGGPVDPIKLVVELAPMEIRTFLINFSKKLSTL >CDO98056 pep chromosome:AUK_PRJEB4211_v1:4:2494024:2501658:1 gene:GSCOC_T00022030001 transcript:CDO98056 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDVEMKEQPAPSNSVTSTGPSTLQHLKEIASLIETGTYTREIRRIVRAVRLTIALRKKLTASVISAFMTYALTHGSEVHTRLSSYIPKDDEHEMEVDTATSAVQSPSKHSLPELEIYSYLLVLIFLIDQKRYNEAKVCASAGIARLKNLNRRTLDVLTSKLYFYYSLSYELTSDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPIAALGFRIQCTKWAVIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFRTVAEKFSSTFSSDRTNNLIVRLRHNVIRTGLRNISISYSRISLADVAKKLRLDSANPVADAESIVAKAIRDGAIDATLDHANGWMVSKETGDIYSTNEPQIAFNSRIAFCLNMHNEAVRALRYPPNSNKEKESAEKRRERQQQEQELAKHIAEEDDDEF >CDP14853 pep chromosome:AUK_PRJEB4211_v1:4:25138496:25141841:1 gene:GSCOC_T00042322001 transcript:CDP14853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein QUIRKY [Source:Projected from Arabidopsis thaliana (AT1G74720) UniProtKB/Swiss-Prot;Acc:B8XCH5] MEYEELEIEVYNDKKLSSGNARKNHFLGRVKIYGSQFSKRGEEGIIHFALEKKSVFSWIRGELGLKIYYYDELEAPPEPPPQEEPPPPAPAQTAEEDKKPPGVAVIEELPPMRILEVPLPTEIAMEVKDQSPPVVTIEESPPQPPPANAAPPEHVRYVEVHVPPVMECPPEVRRMQAAAVGRCSERVKVVRRPANGDYSPRVIPGTGDASERIPAYDLVEPMQYLFIRIVKARNLAPTESPYLNIQTSSHSVKSRPGSTRPGEPPSNPEWNQVFALCHNRAEHSRSTLQISVWESSSERFLGGVCFDLSDVPVRDPPDSPLAPQWYHLDGSGPGGGGDGRDSVPGDIQLSVWIGTQADDAFPEAWSSDAPPNHVAHTRSKVYQSPKLWYLRITVIQAQDLHIAPNLPPLTVPEIRIKAQLGLQSVRTRRGSMSHHAPAFHWNEDLIFVAGEPLEDNLIILVEDRTGRDPEILGYVRIPLVSVEQRFDERHVAAKWLALEGGPNGAYRGRVQLRMCLEGGYHVLDEAAHVCSDFRPTAKQLWKPAIGILELGILGARGLLPMKSKGGGKGSTDAYCVAKYGKKWVRTRTVTDNFDPRWNEQYTWQVYDPCTVLTIGVFDNWRMFADAGEEKPDNRIGKVRIRISTLESNKVYTNSYPLMVLLRTGLKKMGEIEVAVRFACPSMLPDTCAAYGQPLLPRMHYLRPLAIAQQEALRAAATKLVADWLGRSEPPLGPEVVRYMLDADSHTWSIRKSKANWFRIVAVLAWAVGLAKWLDDIKRWKNPVTTILVHILYLVLVWYPDLIVPTGFLYVFLIGVWYYRFRPKIPAGMDIRLSQAESVDPDELDEEFDTIPSSRPAEIIRMRYDRLRLLAARVQTVLGDFATQGERVQALVSWRDPRATKIFIGVCLTIAAVLYMVPPKMVAVALGFYFLRHPMFRDPMPPVSLNFFRRLPSLSDRLL >CDO98417 pep chromosome:AUK_PRJEB4211_v1:4:5292231:5297545:-1 gene:GSCOC_T00022499001 transcript:CDO98417 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGHQQQQQQQQQQLQISNLFSFQRYLHNLVLYFMFFGTGLVIGVSLSFYLAENPFNLQFKLFSTSQSTLPLPPPPPPSPSPPPPPPPVLCPAPAPVPDFTTPKNLTHQMTDEQLLWRASMVPRINEYPFKRTPKVAFMFLVRGELPLARLWERFFKGHEGLYSIYIHSSPSYNGTTPDGSVFHGRNIPSKDVEWGKVNMIEAERRLLANALLDFSNQRFVLLSESCIPLFNFSTIYSYLINSTETFVDSYDLPGPTGQGRYNKKMKPVINKSDWRKGAQWFEMDRELAIQVVSDRIYFPLFKRHCKSSCYADEHYLPTFVGMKYGRKNSDRTLTWVDWSKGGAHPHRFSRYEITPDFLNRMRNGQTCEYNGRKTNICHLFARKFSWTALDRLLMYAPKVMEFN >CDO98227 pep chromosome:AUK_PRJEB4211_v1:4:3784365:3787051:1 gene:GSCOC_T00022253001 transcript:CDO98227 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTSLVDTSLDLNIKPLRLLDDGLKQEVQSNLIGGTTVPVNEEAGALVEELNRVSAENKKLTEMLTVLCENYNALRNHLMDYMSKNPGTDNNNGSRKRKSESTTTNNNNDTIIDAATNGPSESSSSDEASCKKPREEHIKAKISRVYARTEPSDTSLIVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPTCPVKKKVQRSVEDQSILVATYEGEHNHPHPSKMDQTPPNSTRCVTLGSVPCSTSLSSSGPTITLDLTKPKSHEETRNSSTRKVNSPEIQQYIIEQMASSLTKDPSFKAALAAAISGKFLQHNQTEKW >CDO98152 pep chromosome:AUK_PRJEB4211_v1:4:3257827:3260907:1 gene:GSCOC_T00022157001 transcript:CDO98152 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAVNKEEVVSVELPAPASWKKLFIPKKGGTPRKNEIVFVAPTGEEISGRKQLEQYLKSHPGNPSISEFDWSTGETPRRSARISEKLKATPPSTEKEPPKKRARKSLGAKKDDKETDAAKQETENKGHEEMLDAGATEKKSEEPERGNDIIRATLAEGEGKADAEDRKEPDSTVKENGTVENGVKDVGVQNETDDKNAPIAVEKGEEKLDSKEVEKPETEIGKDDGADAAGKDKAGTAAAAAANNGVEQELPNGVAPPEAETKEVEVCDGKLKLQVEDARVAVMENGKVEQTGQRETAQCPSPAPIAC >CDP12331 pep chromosome:AUK_PRJEB4211_v1:4:27429938:27430705:-1 gene:GSCOC_T00035806001 transcript:CDP12331 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAERYFCFEWLRAISGSWEYILSLNMHSPERLCQRRNVGCMKLLDLFEEIEEEMAKKGRSYLEHITPRKHCWLMPILLRLSGCTKGTYIPTMKECMATCGYTTLKITSFLGMGDIVTKESFDWASNDPDILRAASIICRLWDDIVGHKVQTNFLSKTQGKSCP >CDO98483 pep chromosome:AUK_PRJEB4211_v1:4:6075025:6078159:1 gene:GSCOC_T00022596001 transcript:CDO98483 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLYFYHRPLANNTLQRVIRSRPLENGLDVGICKAVLIGLVGQAPLQKKLRNGRAVTLLSLGTGGIRNNRRPFDNEEPREFADRCAVQWHRVAVYPERLGALTVKHAVPGSVLYVEGNLETKIFNDPITGLVRRIREIAIRRNGRLVFLGQGSDNQKPSQGDLRSVGYY >CDO98534 pep chromosome:AUK_PRJEB4211_v1:4:6509934:6511681:1 gene:GSCOC_T00022666001 transcript:CDO98534 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPNQSPHVLLFPYPTAGHVIPLLDLANLLLTKGLTITILVTPPNLPLLDPLLSTHPSTSIQRLVLSLPELVNSSGVNFATRLRATAQLHDTVLEWFQSQQSPPVAIISDFFLGWTHHLAAQLGVPRVVFWPSGAHHALILHHLWHNLSEKISSMNEDSMISFPSLPNSPAYPLWQVAELITQSKPGEPDWEFFRDNFLSNARSWGIIINSFRDLEDTCINLVKTEICHDEVWAIGPLVLSSSASTAAATGDTSALSNRGGSSAVPLDEVMTWLDDKADDSVVYVCFGSREVLTSQQTDALAAALECSGVHFIWCAREAQQNKGQVSCDDQTSALLTMEYEDRVAGKGLIIRGWAPQVAILQHRAVGAFLTHCGWNSVLEGVAAGVVLLTWPIGADQFANAGLLVDELGLAIPACLGGPKVVPDSTKLAQVFVGSVSVDGQPKRAKVVEMRDAASRAVQNGGSSSKDLDDLVKHLRDLKQEKS >CDP12759 pep chromosome:AUK_PRJEB4211_v1:4:12585271:12591348:-1 gene:GSCOC_T00037398001 transcript:CDP12759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan aminotransferase-related protein 2 [Source:Projected from Arabidopsis thaliana (AT4G24670) UniProtKB/Swiss-Prot;Acc:Q94A02] MTGQQNMLKMMSLKHLLVISLALNVGLISRVMEVSREEKHVKKVKDAAGSKTVSYSSFLPTSTAAPAAQDDGSIINLDHGDPTMYERYWQQMGDRTTVVISGWQLISYFSDVRNICWFLESAFANAIVRLHKQVGNAVTEGRHIVVGTGSTQLYQAVLYALCPENASEPMSVVSAAPFYSSYPLMTDFLKSGLYKWAGDAYKFSKDEPYIELVTSPNNPDGASRVAVVNRKQGILVHDLAYYWPQYTPISFPADHDIMLFTFSKSTGHAGTRLGWALVKDEEVAKRMTKYIELNTIGVSKDSQIRAAKILNHVADSIEHGPESKRSNNFFEFGYNLMALRWAQLRAAVHRSDLFSLPSFPSGTCRFSGHHFKPQPAFAWLKCERDIEDCESFLRCHKILTRGGKHFGVGPEYVRISMMARDEIFDRFTDRLSMINS >CDP14828 pep chromosome:AUK_PRJEB4211_v1:4:24825594:24827167:-1 gene:GSCOC_T00042294001 transcript:CDP14828 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVVYLDEPSTGLDPASRKMLWDAVTKAKEDKAIILTTHSMEVAEHLCDRIGIFVDGAIQCLGSPDELKDRYGGTYVFTMATAPQNAKDVEDLVKRLSPNTQKTYHISGTQKFEFPKRDVSLSDVFLAVKFAKERFGVEAWDIADTTLEDICVKVATESESS >CDO98095 pep chromosome:AUK_PRJEB4211_v1:4:2812010:2815892:1 gene:GSCOC_T00022081001 transcript:CDO98095 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLVFTEDELAIDEALGHPKVYAKLCRDRSLGPYSHGPPFAFIPFALPQQEVVKAQELDELFPIIDSKAKPTTKPKIFLGLLWKQLNHLGNAGLDPEIFRVDPYGNVLYYHADAASPLAWEIDHWFPLSRGGLTVASNLRILQWQVCKKKHNALEFLIPWWDLQVGVSINQFLSIFASSNSDFRRRAFSWLFAEGESEELNACQTVDSHSFPQHFIESKKKVGLAPAAVVLSRRESMEASSALRSLDVNRKPRSTTPIIAAKKLKPASKENEDPGMISSNPYQAIVIARDSLRKREETAKIQAELQKLDVEVDELRQKTEEEHVSIQDLELVLIKRRRRAEKCRRLAEAQSSYRAMLEKMIRDAMHQSVVYKEQVRLNQAAANALMARLEAQKAICDTSERELHKKFKQRDELEKQVRPEWVQARKRSRMDDFLPDEVENKIVLYLPESKSKNKMQMEMRNALLENNALYVQGFQSNGPLHKELRKFLEEEQKASEAGSSSLKENGEQEELGEETKETVLRTSMEKHGESRNHKAIAAEQKSIDEKLHNLEIGEDGMIGNIRFPAHDAPEDEEDEESRKQRGKGNVEKWLQMLMENAEEDADSYPRDVNQNEGNKTDEIIRKLDLVYPQKEFKISEAQQGQDLECVDEIDSQQQIPVKGGGKSEKEIVEIETRRKSFSNTGEMQGDKKKGILELKSRDTPIKNPPYRLKPEKSNAHQIRSDNKGADNHDNNVVNERKGKNGKEKELVRSESARSFRRIPSSPSLIFSGMKKRVDCMGKKPLVIGDDADGDQRHMGENSIIKSTIKTIKRVF >CDO98339 pep chromosome:AUK_PRJEB4211_v1:4:4720040:4724315:-1 gene:GSCOC_T00022402001 transcript:CDO98339 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKLSRSNRIYRPNESLEGKIIANLSSSISHQGIRLTINGSVNLQAILVRGGTAGVIESFYGVVKPIPIVNRTITVQPSGRIASGVTEIPFSVILKERGKDNMEKFYETFHGSDISIQYLVTAEVARGYLHKSLSTAVEFIIESEKDSLPQEPISPEMVFFYITQDTQRHPLLPELKSGGFRISGKVCSQCSVLDPLIGELTVEASGIPIQSIDIHLLRVESILIGDKIATETTSIQTTQARTFLSDGDVCRGLTLPIYVILPRLLTCPTIFAGPFSIEFKTTIVITFKSEQTKKHPKYDPKTPRSYMAMESVPLELVRKK >CDO98078 pep chromosome:AUK_PRJEB4211_v1:4:2651294:2656022:1 gene:GSCOC_T00022054001 transcript:CDO98078 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEKASSGNGRSSAGTKRNLPSWMSAREERDSSGGKNKEPKSSASASNSGSHDFSKLMEGVVFVLSGFVNPERGELRSRALEMGAEYQPDWNSNCTLLICAFPNTPKFRQVEADSGTIVSKEWILECHEQKKLMDIEPYLLYPGKPWRRQSVPREASNDCRATTSLKLQKNVEKRSCTLPTNGASEDQPSNQVSDCFSPSRVKKWASDDLSRTLSWLESQDEKPEQSEIKKIAAEGILTCLQDAIDALKQGQLILQITEQWACVPRMVEELMKFDGTEDDSASVSKKYVCRHAVACKQIYENEYRNLEDDSSPKKKSKTNDHGKCGNEERTASKGGDAYESDDTVEMTEEEIDRAYNTISATLLLDAG >CDO98568 pep chromosome:AUK_PRJEB4211_v1:4:6953014:6954512:1 gene:GSCOC_T00022710001 transcript:CDO98568 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKAICNQNDTCAYKAFALLLDSPKSCLYKPRIESDTPSPTTFLQLSSLYPVTPFYPRPPLPSR >CDP14917 pep chromosome:AUK_PRJEB4211_v1:4:26090824:26095751:1 gene:GSCOC_T00042406001 transcript:CDP14917 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEKLGALKKAYADIILNTAKEAAARIMASERKAQRFQRELQMAKEEALRMLLRLKQMMDSKISDAELTSLSQQKKIDELEAQLQEAEDIVKDLREELREVNIELERVRNSKEKLLDDWHAVAQRGISEENESHYSQSNALPPNQSDLGHVAAAELRSMHEFQKNESFKFYSGIPHVGNLYLGCPDLPSIILRSKEPELYRNGCTQRIRASEGNFSNMLSGKVHKRKDEISTLEDLGGKQIFSVPNCSLGNLSNVEKKLEADSQLGRWNPAPIFCVKKKRATRYRKRAITSSGCFPDQFPRPNQVPDVSCAATHLISVRSDVHFEENKSKMVSRLSSDEPEPGLEEDCAETSENDIELGNSAAVHRPVNENEGTEKLVLKDSAGSSVATDGETDLQKSNITLPIYEPNVPTVVDRVGNQPIQERIIKYTFQRKRKRDSLSKSNGVVSFESDTSKRRTLGEESDPVEQEKSNLVTESSRHCGRLAHIAHQLISLSESKW >CDP14382 pep chromosome:AUK_PRJEB4211_v1:4:22772272:22772370:-1 gene:GSCOC_T00040756001 transcript:CDP14382 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPTDRVQEAVQAILNFVKREGPKGWDDPWS >CDO98050 pep chromosome:AUK_PRJEB4211_v1:4:2466414:2466903:1 gene:GSCOC_T00022024001 transcript:CDO98050 gene_biotype:protein_coding transcript_biotype:protein_coding MLESPTLSLASHVWFFAVSCYLMALMIQPLASTMSALAIQPAATLTTLLYYSDLLPRNLNLERLVCHQLLRRGNLLFSFLVHFLACFW >CDO98401 pep chromosome:AUK_PRJEB4211_v1:4:5191748:5194800:1 gene:GSCOC_T00022481001 transcript:CDO98401 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTLVHLQFHTLNFKTNSCLSLNQPSAKTTNASAAAAASETLKVAFAAGGTGGHISPAVAIADELKNQNPDIQILFIGTPTGMESAAVPFAGYPFVAIPAAPLARPLISVHNIFILPFLLTKSLVKSFQTLQEFSPQIVIGTGGYVSFPICLAAAMKGLKLVIQEQNSVPGIANWVLSLFAEKVFVAFNSSVDCFWQRNKCIVCGNPVRFSLSKNVVKADARKHFFPKAVEGTGKGQGKVVLVLGGSLGASAINIALLNSYFEMLNQREDLFIIWQTGVESFDEMESLVKNHPRLILKSFLHSMHLAYAAADLIISRAGAMTCSEILATGKPCILIPSPNVDEGHQLKNAFLMADLAGSTVITEDELDSTTLRTAVEEILDNESLMVEMSQRALQAAKPNASSEIAQYILSVVSSSK >CDP12271 pep chromosome:AUK_PRJEB4211_v1:4:26305905:26307370:-1 gene:GSCOC_T00035713001 transcript:CDP12271 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKCFFFLTITCIGLLYLILRISFGRGDKPTQGSHKQAWKVGKQLSEILSSNSEYLDLVQVPFKFAVKKLLEQLKAVATGEYTAPVISKGLGLIVYAVVILLVAEIHEFLQRVTEKDPKIEAFFKEKNLKNSLTKAHATLARKRSHGVTAVANNGWFLNERVPVDV >CDP16948 pep chromosome:AUK_PRJEB4211_v1:4:13650875:13651486:-1 gene:GSCOC_T00005314001 transcript:CDP16948 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSMKMKMTVLNIAMLWLAVVIFAAPLARGSIDQSPKAVEKWFKELRHGKEKMTKLHFYLHDIITAKNPTAVRVAQAKITSKSPTKFGETVVLDDPLTQGPEPYSKIIGHAQGIYSFVSKEEKSLIMILNLVFKDGKFNGSTLSLLASNPFLHEYREMPILGGTGAFRLARGIATEKTYAANVTTKNAIAEYHVLVLHYRL >CDP15039 pep chromosome:AUK_PRJEB4211_v1:4:9601300:9604062:1 gene:GSCOC_T00042578001 transcript:CDP15039 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLFLSASNWSNDGNDELFEKRKALLRELESVLWLLMTSGGRSEVRLWLCNTIAGISSISPHHQQELFVRLLTAHTAKRRLASQFLQLLFEKEPKKAGRIIAKKSSMLENFFRGKLLRILQWFSNFSGGTGLGHRKGAKALSHYAFVHRDVCWEELEWKGKHGQSPAVVATKPHYFLDLDVQRTVENFLEYVPEFWSSSEFAESLKDGEILKIDKKFFVNMFVNLMYKEDMKELWGIIDEFLIKEPFSSLCHHLLIILEEQELSYFMDLISKFLKSRSEVVEYDSPSFWLEVILSKCSITSIDQLLLLNAMTSQARQLLRLVREEGNLDEKEKVKNIVSQVCSSSSRADSLAPIMDEWSKKKNLESIRWLGLQSWAIFFRLSEEFRTSESWESLFSSNGIGFRKSDKYRLLEDDEYSEESESDWDDRPSGKVRHKKKGRHIKKRRRKHKLEESHGERLIDLDVSDNGLDLQFKAGDWLLSTDQYSTTWSSVDLPEHISKHCFYTWIKFVIT >CDP14376 pep chromosome:AUK_PRJEB4211_v1:4:22458888:22462616:1 gene:GSCOC_T00040735001 transcript:CDP14376 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVGGSLLSAFLQVLFDRMARPEFLNLFRNRKADDDLLKKLKTSLLTVGAVLDDAENKEIRNQSVKKWLEELHDTFYQAEDLLDKINTEALRLKVESEYQSSTSRLCLERILPCTSSGNRFLKRIMPEIETIVVSLEGCIQQITPLGLQVVQSRIQSQQRFETPLVDETTIFGRDADKEKIIQMLLSEDANRDNITVVPIVGMGGLGKTTLARMVYKDLRVEVSFPTRAWVCVSEEYDATRITKELLRELNISFHDGEKLFSLQGKLQDGLTDKKFLLVLDDVWNSSYTDWDYLRTPFKGGLQGSKIIVTTRDLRVARTMELEEIGKKIVKKCGGLPLAVKTIAGILRSKTTSEEWEEISTSEEWTQIDNQNGPIPALRLSYIHLPSGLKRCFAYCAMFHRDYQFRKEEIIQLWQANDLLEYFEENKTIENKGEKCFNDLRMRLLFQQSTENLFTMHDLVNDLARFVFGKYCLRLEDHQEEDATISRVRNFSYHPSYYDTFHKFYLLRDSKNLRTFLPLRRGQFSDISCKLSNKFLEDTLPEFISLRVLSLPNYDNIVKLPDSYIRLKQLRFLDLSSTNIEKLQDWICTLYNLQTLLLSNCNKLKELPANLAKLINLCYLDISGIQLKKMAPQMGRLRKLQVLTTFIVGKDSDSTIEELGKLPMLRGRLLISGLENVSSGRDASMANIKGKKHLEELILKWNEDNYLQAVEDVLDNLQPDSRIKRLNITRYCGATFPNWLGSPTLSHLESLSLSGCEYCFFLPALGQLKSLQSLEIVQMSCILALTEEFYGDISATRPFPSLKKLRIEKMPEWEKWHIPQCDVFCSLEELCIIDCPKVIGEFPKQLSSLRRLEISGCDKLVIQNELCKLTSLEELEIQDCGSLLPFPVNYLPASLKSLECYRCDKFDLKSQSWQGRNLELLTLHHCQSLKVVLLGSFPMLKRLSINHCKGIEMLLGGLPAPNVTEISLSFCEKLKALPERMESLLPSLLHLNLFSCPELECFPKGGLPSSLQSLDISNCKKVMSCRREWGLEKLPSLINLSIGGTDEIELFPEKDWLLPSNLKTLLLMDHKNLKMLNYSGLRHLTSLQLLYIRNCTRLQSLPEEGLPAFLTNLEIRACPLLKPRLEWEKGQDWPKVAHISCVIVDLKLVP >CDO97975 pep chromosome:AUK_PRJEB4211_v1:4:1949793:1957619:-1 gene:GSCOC_T00021929001 transcript:CDO97975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAF1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79730) UniProtKB/Swiss-Prot;Acc:F4HQA1] MASYRPFPPPPQSTFAPRPPPPPPPPPPPGPANQNPLQPPALPPHQQQQSQGNQYSQNWGYSQMPPNSNYPQPYNPPPRNQIPPQPAQQQYQYPPPPPLQDKSFPPPPPPTSLPPQPGPAPPAPGYYPSGQYSQYSQHQPLQPLQPPPPPPPPSSPPPNSVAPPPPPPPLSPPPLPSSLPPGQSKENRNAEERRPSREGRDSGWRDLGNAKQQKVPVPQVPARKPSGPPGRVETEEERRLRKKREYEKQRQEEKHRQHIKESQSRVLQKTQMISSGTKAHGSITGSHIGDRRTAPLLSGERIENRLKKPTTFLCKLKFRNELPDQTAQPKLTSLRRDKDRFTKYAITSLEKMHKPELYVEPDLGIPLDLLDLSVYNPPKGRSLQLDPEDEELLRDDDPVTPIKKDGIKRKERPTDQGVSWLVKTQYISPLSMESSKQSLTEKQAKELREGRNLLENLNSRERQIQEIQASFEACKARPIHATNSRLQAVDILPLFPDFDRYDDQFVVANFDSAPTADSEIYSKLDKCIRDSHESQAIMKSFVATSSDMAKPDKFLAYMVPSPNELSKDIYDESEDVSYSWIREYHWDVRGDDADDPATYLVTFGESQAHYMPLPTKLILRKKRAREGKSSEEVEHFPVPSRLTVRKRSTVAAIELKEVGGYSASKGSGFNSKRARLDMEDGLGQSQKSVDDMEPDQSSGGEYDMSD >CDO97795 pep chromosome:AUK_PRJEB4211_v1:4:546154:547771:1 gene:GSCOC_T00021700001 transcript:CDO97795 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRYSISVSAPIIIQESASRKPASIVMMSAENHISVNSTGSSISTTPFQIRSPTRNKVFEDPSNGIVCYREENGEITCEGIDEGPRLHHLSSRFTAVNQREAEIIDLLERSLLQVVDSDKT >CDP12730 pep chromosome:AUK_PRJEB4211_v1:4:12179947:12181815:-1 gene:GSCOC_T00037354001 transcript:CDP12730 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHFQLQKVMANLKVIFVLIYVIVLVGMFKYSSYFLSALYTSSSSSSSFVFSSTPGLNKALNSSHMTTENVMLATKPRLHNKLVKPVWEIPSTGSKMPPLKTFRLSKKLVQQRVKDNIVIVTYANYAFMDFVITWVKHLTDLGVENLLVGALDTKLLEALYWKGIPVFDMGSKMSIDDFGWGSENFHKMGREKAILIDTILAFGFELLMCDVDAIWLKNPLPYLARFPEADILTSTDQLVPTVVDDRLEIWKEVGADYNIGMFLWRPSSSSKKLAKEWKEMLEANETIWDQDGFNILVRQQLGPSVDGESGLVYAYDGNLKLGFLPASIFCSGHTYFIQAMYQQLRLEPYAVHTTFQFSGTEGKRHRLREATVFYDPPSYFDVPGGFLTFKLSIPKSLLLDGKHSIESHFALVNYQIKQIRTALAIALLLNRTLVMPPIWCRIDSGWLMNPQDWTGNVMRQPYVCPLDYVFEVNVMLMELQEDEYGQPIRIREHSFFENPLMPQKVKESRLVVSLCQEGSEDCQVSNATSQTGVLKVPKNSSEETYKTVFSSFKDVKVVQFSSMQDAFRGFTDKSMEENFRKRVTAYTSIWCCVNYTQVISYDIYWDEKPDWKPKPSRIPE >CDO97846 pep chromosome:AUK_PRJEB4211_v1:4:989981:994402:1 gene:GSCOC_T00021767001 transcript:CDO97846 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPTIALYASPPSSVCSTPHPCQINSHASYDFDLNSRSSPPPSSTASPSPSQKPIVGGLSLLLSSQPAVKHATYSTTADELSSSLWHDRGGEELSCGSFRYSSLSSSMKRDQCYQSPVSVLQGPVSCSSSGSGIGSASTSRSPPMRMGDNMSSIRSGSGGLFHRFVRHALGSCVDYDSPSFQLHDSVGSTSSGLVDELTFNMEDNFTESSVDPRAKDMLLNAQYRHKIFYDDFVVNAFYEAEKAHRGQVRASGDPYLQHCVETAVLLAMIGANSTVVAAGLLHDTLDDAFVTYEYIFQTFGAGVADLVEGVSKLSQLSKLARENNTACKTVEADRLHTMFLAMADARAVLIKLADRLHNMMTLNVLPLVKQQRFAKETLEIFVPLANRLGISTWKEQLENLCFKYLYPDHHKELSSRLLKTFDEAMIASNVEKLEQALKEGAISYHDLSGRHKSLYSIYSKMLKKKLNMDEIHDIHGLRLIVEDKDECYKALDIVHQLWHEVPGRFKDYIAHPKFNGYQSLHTVVIGEGMVPLEVQIRTKEMHLQAEYGFAAHWRYKEGDCKLSSFVLQMVEWARWVVAWQCETMSKDCSSIGYADSLKPPCKFPSHSEDCPYSCRPYCGSDGPVFVIMIENDKMSVQEFSANSTIKDMLERTGWGSSRWTHCGFPLKEELRPRLNHATISDPMCKLKMGDVVELTPAIPDKSLMEYREEIQRMYDRGLPVSSTVSSGSSMIGLRS >CDO98317 pep chromosome:AUK_PRJEB4211_v1:4:4569766:4572602:1 gene:GSCOC_T00022375001 transcript:CDO98317 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKKLVSMPSRTGRDLQRYNFEGCRQVVGCIPYRFRKTHRAAPVHGKLSSELEFLLISSQKSPRMMFPKGGWELDETIEQAAMRETMEEAGVLGHVEDNLGVWTFKSKSQDASHEGHMLAFRVTEELDCWPEKDVRQRIWLSANEARILCAHEWMKEALDCFLSKQENAREERTPSLSDLLRNEEPKFGRPALSGQNEDINCSFGQLTFLQGTEDECCIRMIFNLPLPPCSTEESRIGRIAQIGDEDVDRGVTLLV >CDO98563 pep chromosome:AUK_PRJEB4211_v1:4:6918108:6922957:1 gene:GSCOC_T00022703001 transcript:CDO98563 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLTTGIIPSLNVSAGLLGFFFIKTWTTLLEKSGLLKQPFTRQENTVIQTCVVAASGIAFSGGFGSYLFAMSERVIKQSEEGKAGFQYKNPTLGWMIGFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPEGAKLAKKQVRELGKFFSFSFLWGFFQWFFTAGDDCGFASFPTFGLKAYNYKFYFDFSATYVGVGMICPHIINVSVLVGGILSWGLMWPLIETREGDWYPAGLSHNNLQGLQGYKVFIGIAMILGDGLYNFFKVLSRTVAGLVTKIHDRSASMHIPTVDSPSPTNKPLSFDDQRRTRLFLKDQIPTWFACGGYIAIAVISTLTLPHIFHQLKWYHIILIYTFAPVLAFCNAFGCGLTDWSLASTYGKLAIFTIGAWAGTSHGGVLAGLAACGVMMNIVSTASDLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVVAPCVFWIFYKAFPDLGVPGTTYPAPFATVYYNMAKLGVEGFSALPKNCLKLCYAFFCASILINMIRDAVGKKRGRYIPLPMAMAIPFYLGSYFAIDMCVGSLILYIWQRINRAKADAFAPAVASGLICGDGIWTLPNSILALAGVKPPICMKFLSKGTNSRVDKFLAPKP >CDP12735 pep chromosome:AUK_PRJEB4211_v1:4:12322283:12330291:-1 gene:GSCOC_T00037362001 transcript:CDP12735 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFVYLLEGRDLAVKDSYVKLKVGKSKSKTRVLKNTRNPVWNEEFVFRVHDLEDELVLSVYQFNEDSGFFNVAGDLVGRVKIPVWSIVAEKNHYLPPTWFSLQKRKSLKSTTNKDYGIALSKCYMLSSCSFLSLRRIGWCKVPFFVLNTASSSKLFCTPVPSILLTLSLQGRGEQVCSDHLLYVHPSCRAENTDEYGDKCVSSQDIFSCTPPTKKILEGKHLVKVIAGRLEKLFNKNEDASGTEDSSELSTTISDNEENLADPTSNSNFEELIEMLQSSNEQTDMPENLEGGILLDQAYAIPPKDLNMLLFAPKSQFMRALAELQGTTDVQEGPWTWKSADKSCLARVVTYTKAATKLVKTVKATEEQTYIKANGKEFIVFVDVNTPEVPYGNTFKVDLLYKITPGPELFSIEKSAHLVISWALNFHQSTMMKGLIERGARQGLKESFEQFSDLLAKNLKVINLVNMPDKGHTVATLQEERQSDWELATEYFWNFTVVAALFMVLYIGFHIWLCGEFKLQGLEFDGLDLPDSVGEIITSAILVIQLKKVYDMVSHFVQARLKRGNRSDHGVKVQGDGWVLTIALIEGAKLASLDSTELPDPYVVFTCNGKSRTSSAKLQTLNPHWNEILEFDASEEPPSVLDVEVLDFDGPFDQACSLGHAEINFLKHTSTELADIWVPLDGKLAQSSQSKLHLRIFLNNTNGAETIRDYLKKMEKEVGKKLNLRSLHRNSAFQKIFGLPPEEFLISDFSCSLKRKMPLQGRLFLSARIVGFYANLFGHKTKFFFLWEDIEHIHELPSTLGTVGSPSLVIILSKGHGNDARHGAKYQDEEGRLHFYFHSFISFNVASRTVMALWRTRTLGPDQRAQIAAEQQDRDEKPSLFEVPSSYLIIQDAKLAKVLSVELPVNIRLVLQMFDGGDFEYRVMAKSGCLNYTTTPWEPVTPDVHERRTSYKFDRSISVFGGEVTCTQQKMPFSSDGGWIVNEIMTLHDVPSGEYFRVQLKYELENPALARGTCKCDAYVGVAWLRSTKFEERITRNVVRKFSRWSKEVLQLVEREILLATT >CDP18314 pep chromosome:AUK_PRJEB4211_v1:4:21464557:21466773:-1 gene:GSCOC_T00004344001 transcript:CDP18314 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFIIFRPGRHWVRLYFYPLPHPSYNLSSATFSVSTDSAVLLHDFSVKDSNKLVFKEYLINVSSDAFTLRFSPMKNSFAFINAIELVSVPDNLISDSASSISPVGDFNGLSKYALEVSYRLNVGGPIVTPKNDTLWRTWQPDSLLMEFPQGAKSVSVSPDTIKYPDGGATPLIAPNWVYATADQMADSGVPDSNFNLTWEMNVDPSFSYLIRMHFCDIVSKALNELYFNVYVNGMIGVSSLDLSTINSDLAVPYYKDFVINASAISNGTIVVQVGPTLNAQSSSPNAILNGLEIMKLSNIAGSLDGLFSSAGNPSSGRNKMKIAAAIGLAMGIIALALLVMSIIRLQRRPKGWRKQNTFASWLPLNASYCSFLSSKTKSSNFSSIVSPGLGLGRCFNFNEIREATKNFDEKAVIGVGGFGKVYLGVLADGTKLAIKRGNPSSSQGINEFQTEIQMLSKLRHRHLVSLIGYCDEQSEMILVYEYMANGPLRDHIYGSNLPPLSWRQRLEICIGAARGLHYLHTGAAQGIIHRDVKTTNILLDETFVAKVSDFGLSKAGPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVICARPALDPALPREQVNLAEWAMQQHRKGSLEKIIDPSIASTISLDSLRKYVEAAEKCLSEYGVDRPSMGDVLWNLEYALQLQEASSIPDHPEKKNPEPTSLEGPSDEGVMLIDMTEDSGVVVASPMFVENFQAR >CDP12306 pep chromosome:AUK_PRJEB4211_v1:4:27076925:27077122:-1 gene:GSCOC_T00035770001 transcript:CDP12306 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQNTEEETVQMLQIAMACVAKVPDMRPNMDEVVRMIEEVRQSDSENRPSSEENKSKDSTVQTL >CDO98537 pep chromosome:AUK_PRJEB4211_v1:4:6524872:6526785:-1 gene:GSCOC_T00022670001 transcript:CDO98537 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLDGPVQVQMVVDMLSNSPSSGNCHGNKSTEGKLSLPPSPRRRIFVQTERGHVLGMELDRRDNASMVKKRLQLALDVPTDGSSLTFGDMILNNDLTAVRKDCPLLLTRNMMHRSSSTPCLSPTGKADQLRDKSGIVEILGQSNCFGRTSKVVKEIVRAIKSGVDPIPVNSGLGGVYYFRNCKGESVAIVKPTDEEPFAPNNPKGFSGKSLGQPGLKWSVRVGETGYREVAAYLLDYDHYANVPPTALVKVSHSVFNVNDCVNGNKLHNMGVVSKIASLQHFVRHDFDASDLGTTSFPVSAVHRIGILDVRIFNTDRHAGNLLVRKLDGVGKFGQVELIPIDHGLCLPEGLEDPYFEWMHWPQASIPFSEEELEYIRNLDPIRDCEMLRAELPMMREACLRMLVLSTTFLKEATKFGLCLAEIGEMMSREYSGHGEEPSELESVCLEARRTLREASLPIDNELRDQEYQFDMDNEDTSLCQEIQEDLPPKLPSLLQRNSVNGLNAVSKLQFLGKEDADIHDERTCTDYSGHELDLNYFSNAVKLSKSLKRIDLVKSSGQKPKLACLAGTTCAQRRSGNELLPITTGFVNLADPSEEEWILFLSKFQELLGAAFANRKSSSIALKQRQRQGTSREF >CDO98621 pep chromosome:AUK_PRJEB4211_v1:4:7459231:7462221:1 gene:GSCOC_T00022779001 transcript:CDO98621 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKINFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVDKKDPTGAKVTKAAAKKGAK >CDP18319 pep chromosome:AUK_PRJEB4211_v1:4:21589004:21595754:-1 gene:GSCOC_T00004355001 transcript:CDP18319 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIQYLFLINFSLVSLAMMAAGNTNVTTDQLALLALRDRMINSEPREILAKNWSITSSVCDWIGVTCGSRHRRVTALNISNMNLTGTLPPQLGNLSFLGSLNISSNNFHGELPDELVHLRRLRYLDFGINNLGGELPSWFGLLHKLQYLSLRNNSFIGSIPPSISNMSNLETLRLSFNFIEGTVPTEFQNLHNLKNLIIDYNQLSGPLPPHAFNISSLESISFMNNSLSGILLDNICQRLQKLTWLNLAYNNLIGPIPSTVSQCSLLRYLALLSLCFCSCICFIDIYCNFAGAIPNEIGNVTMLTILDFSNNILTGTIPLTVSNKLSNLETLFLNHNCLSGVIPSFISNAPQARCLSFPFCNFAGAIPNEIGNLTILTQLSFADNILTGGIPENIGNCHKLELFSLFKNTLSGSIPAAIFNISSLQYIQLHQNKFSGTIPLAVSNKLSNLESLFLSQNYLTGVIPSSISNATKLVLLMLNNNELTGSIPTSLGTLRNLKYLYLASNRLLSQSSELSFFTFLTSCRSLRYLVLDNNPLNGFLPASFSNYSTSLEVLSAFRCKIKGNIPGGISNLSSLLFLDFSSNELIGSVPRTMHSLTNFQQLYLDSNQIRDVLDIFCGLRSLGLLALSQNQIFGSIPECLGNMTNLRELYLDTNRLTSMIPATLFSMKDLQILSLSTNFLSGSLPLEIENLKATYSLDLSANQLSGIIPTTIGGLQAIQNLSLAKNNLQGSIPESFSHMVSLEFLDLSHNNLSGAIPKSMEALKSLKECNVSFNRLSGEIPRDGPFRNFTGQLFMNNEGLCGDPTLGVPPCRSNSTRRSSKRKVLLLVISLSGIAAILIIAVGALLNLRWLKKPKSSGGTELMSVAKYERFSYYDLLHSTDNYNESNLLGEGSYGSVYKGILSDGTAVAIKVFNLLVEDSLKSFDRECEVLKSLRHRNLTKVLGSCSNPDFKALVLKYMPNGSLEKWLYSHNHFLDMFQRINIMIDVACALEYLHYGFDTPVVHCDLKPSNILLDGDMAAHVSDFGIAKMFGEGESILHTNTLATLGYTAPEYGSEGMVSTRIDVYSFGIVLMEIFSRMRPSDEMFSGDVSLKSWVEDSLPDALRAVDANLIRPEDEHFTDKLKCVTLIMKLALNCCRECPRERISMKDVLTELIKIKHQFQFVTTVSIYPFCANFTSQG >CDP12407 pep chromosome:AUK_PRJEB4211_v1:4:20011512:20011826:-1 gene:GSCOC_T00035929001 transcript:CDP12407 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVISPVIDRTGDLLIQNFAFLKDVRRQVERLQNDLVWMRCFLKDADQRQDEDERIRNRVSDIRAAAYDVEDVIEIFTKGQHKRQGIRHQIGLAHWEPLQDR >CDP18317 pep chromosome:AUK_PRJEB4211_v1:4:21522816:21534250:1 gene:GSCOC_T00004347001 transcript:CDP18317 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGINNSHHITCRKLQLALIGFNHTFTLICGYNKNLFKLNNTIYFSLTICIIHHRSICSIHGPQNWQYRWQYVTLTHCPSCIAFNLHNPITFIPNLKHPKRDSTCNFFLCKHHYYSNQPTYHKNPYKELIQPFLPLQLLLCFSQFCLQLGSFCLGSRSCSFNLSFCFSVALILLRRPGISSLERRHISSSIQFQYPQPPTSSKQTTYLLPGFLSVQEVIIFMTLLQRQVGSRGPRYLRIFSFPSQSFYLLSLFFSFQTSLLLQSRTQGQVVWPFLNHNENPVRKNLTLDLIRTQKYKSKLQSLLSIQTCVYSHEDDVVLLFPHWSWTFLAKFLSLDMSWNNFQGELPHELIHLRRLRVLNFGISAGSIPPKLGHLMHLELLSLSNNSLTGSIPNQIFNISSLQVLDLMNNSFSGNIPSTVGYGLINLEELYVNVNKFDGVIPDSISNASQLSILQLSINKFSGPIPNSLEDLRLLTNLSIGDNPMHGFLPTSVGNLSTSLERLYAYSCEIKGKIPEEIGNLSNLWILSLHGNQLSGSIPLAIKGLQNLQVLYFEDNQLGGSVLEKITLNGKVPSSLGGLERLASLSLAHNKLEGTIPDSLRPIPKSLKTLLYLRYINLSFNYLTGEIPFSGPFKNFTYESFMCNDDLCGAQRFHVPPCSSPRIHSRKKILQILGTVSSIAAIVIAATMVILILRCRRKDETSRNTDLSMGMPKWISNQFSNATCQFGQALCQFVQISSAFTRVIASLGKGSFGSVYKGTLTDGTPLL >CDO98459 pep chromosome:AUK_PRJEB4211_v1:4:5783898:5786506:-1 gene:GSCOC_T00022558001 transcript:CDO98459 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSYNCYFEFITQFLATKKILKIKCTRPDPLLARPTERGLAIVLRPDYFQNPSQSPPRSRVRSSESKETKDQTPQSNRNTAQFSPATMYGGDEVSAIVVDLGSHTCKAGYAGEDAPKAVFPSVIGSIDQMEVDELDNPYKNSGSVPDSKSKAKRKLYIGSQALGFRWDHVEVLSPIKDGIVADWEIVESIWDHAFKECLLIDPKEHPMLLVEPCANSQQQREK >CDP15028 pep chromosome:AUK_PRJEB4211_v1:4:9425876:9436571:-1 gene:GSCOC_T00042563001 transcript:CDP15028 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIALHLDILINAGFRQSVISPVMPLTLIGTLKKYNWGAFSFDIKVCKTNHSTKSTMRAPGEVQGSYIADAIMEQIASALSMEVDSVRKINLHTFESLKVFYGEAAGEALEYTLTDMWEKLGASSCLVQRKEMIEQFNRVNTWRKRGVSRVPIVYEVTVIPTAGKVSILRDASIVVEVGGIEIGQGLWTKVKQITAYALSSIGCNGTENLAEKVRVVQADTISLVQGGYTGGSTKSESSCEAVRLCCNILVERLAPLKSKLQEQMGSINWDVLILHAYSQSLNLAAHSYYVPTSNFVRYLNYGAAVGEVEINVLTGETKILQADIIYDCGQSLNPAVDLGQIEGAFVQGVGFFMLEEFHINADGLAISDGTWTYKIPAIDNIPMQLNVEVVNSGHHDKRVLSSKASGEPPLVLAASVHCATRAAIKEARKQLNARSRLDGPDPAFELDVPAIMPVVKNACGLDNVERYLESLLH >CDP18931 pep chromosome:AUK_PRJEB4211_v1:4:14602338:14607660:1 gene:GSCOC_T00003252001 transcript:CDP18931 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGPHMMYTLGAGQALMSISNGRFSPHHCLVYAINAFFGPDMGSFSEWLTSTLGLGRAAGSAAESFIHHPIYYTLLLGFPFSVLYSWISRILLRRGLLDSISGVPLTRWQCFYLASAGSLSHFFLDHLFEENGQSKMYTWILSTGWWKGRAPITPDAVVVISFLCICLIGGFIYINRVKSSRSLKTRSNLSARLILTIAVLYSLWCASQIYLVSPRRPAVGEEADLGVIVFLSIYFFLPHCLCFMSMNTRDFAETSEQLPL >CDO97857 pep chromosome:AUK_PRJEB4211_v1:4:1058668:1059970:-1 gene:GSCOC_T00021788001 transcript:CDO97857 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCAKEGLNRGAWTPSEDRLLTDYIKSHGEGKWRSLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNITDDEEDLIIRLHKLLGNRPVHTHSSGRLPGRTDNEIKNYWNTNLAKKYQSGERLAASSSCTKRNPSSTTLLHKLTSSAHQPPNSEKREGSSTYVVRTKARSEKVGPVPASGGGTESPPTFSGEEGYSSGFMMDFEMDNDFLSDFLNMEFERDFPEVVAQGTDNNEANDFSFNCCPRALSHDDRNDHADLGSITDLLDTAVTWLHEVQ >CDO97890 pep chromosome:AUK_PRJEB4211_v1:4:1315252:1322066:-1 gene:GSCOC_T00021829001 transcript:CDO97890 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRIRAKLRRSNLYTFACLRPTTQETEGPHQFQGPGYSRMVYCNQPHVHEKKPLRYRSNYISTTKYNIVTFLPKAIFEQFRRVANLYFLLAAILSLTPVAPFSAVSMIAPLAFVVGLSMAKEALEDWRRFMQDMKVNLRKTSVHKGDGVFGYRSWRKLRVGDIVKVEKDKFFPADILLLSSSYEDGICYVETMNLDGETNLKVKRALEATLAFDEDLSFKDFTATIRCEDPNPNLYTFVGNLEYDRQVYPLDPSQILLRDSKLRNTAYVYGVVIFTGHDSKVMQNATKSPSKRSKIEKQMDKIIYILFTLLVLISLISSIGFAIKVKYQLPNWWYLQAPDSQNFYNPLRPELSGTFHLVTALILYGYLIPISLYVSIEVVKVLQALFINKDINMYDEETGTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTSYGKKASDVEVAAAKQMAMDLEGQDPELANVVTPKNHTTLPWESNGQELQASEIELEAVITSKDETDRKPAIKGFSFEDDHLMDGNWLKEPNTDFILLFFRILSLCHTAIPELNEETGTFTYEAESPDEGAFLVAAREFGFEFCKRTQSSVFVRERYPSFDKPVEREFKVLNLLDFTSKRKRMSVILRDENGHILLLCKGADSIIFDRLSKHGKMFIESTTKHLNEYGEAGLRTLALAYRKLDEAEYTAWNEEFCKAKTSIGGDREGMLERVSDMMERELILVGATAVEDKLQKGVPQCIDKLAQAGLKLWVLTGDKMETAINIGFACSLLRQGMKQICIAANADSLAQDPKKAVKDSISMQIANASQMIKLEKDPHAAFALIIDGKSLTYALEDDMKYQFLNLAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVIHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRIFGWMGNGLYTSLIIFFLNVIIFYDQAFRAGGQTADMSAVGTTMFTCIIWAVNCQIALTMSHFTWIQHFLVWGSVVTWYVFLFIYGEMSPVFSGNAYKILVEALAPAPIYWSTTLIVTVACNLPYLTHIAFQRCFNPMDHHVIQEIKYYRKDVEDRHMWRRERSKARQKTKIGFTARVDARIRQLKGKLQKKYSTLGGRSTLASS >CDO98205 pep chromosome:AUK_PRJEB4211_v1:4:3642779:3643411:1 gene:GSCOC_T00022225001 transcript:CDO98205 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKIVHPGGHVELQDRPIIAAEIMHRNPKFCVAYPNVFKQPWAVVAPETTLMPGHEFYVVPINTVRKLQLLSMKYSASQVLQNQTTTTTPNGINEGEIHGKHSGCFLFISGKHPNKVPYSCLRKSDIGGIGTTLKERKGDNCFTFLITGMKMKASSKDKSKETGSPKSFGSSETDALAIRRTIDHASSPKRLSSFDRWQPSLESITEEF >CDP14378 pep chromosome:AUK_PRJEB4211_v1:4:22501740:22504826:1 gene:GSCOC_T00040737001 transcript:CDP14378 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVGGSLLSAFLQVLFDRMARPEFLNLFHNREADDDLLKKLKTNLLTVGAVLDDAENKEIRNQSVKKWLEELHDTFYQAEDLLDKINTEALRIKVENEILPCTSSSKFLKRIMPEIETIVASLEGCIQQITPLGLQVVQSRIQSRQQFETPLVDETTIFGRDADKEKIIEMLLSEDANGDNITVVPIVGLGGLGKTTLARMVYKDFRVEVGFPTRAWVCVSEEYDATKITKELLRELNISFVEDENLFSLQVKLQVGLTKKKFLLVLDDVWNSNYNHWDNLRIPFKGGLQGSKIIVTTRNLSIARMMCKEESIHHLDFISDEDCWFLFKKHTFENRNDNQNLELEKIGKKIVKKCGGLPLAVKTVAGILRSRTTLEEWEEILISEEWTQLDNQNGPIPALRLSYIHLPSHLKRCFAYCAIFHKDYQFRKEEIIQLWQANGLLEYPGENKRIENMGEKCIHELRMRSLFHQLSESSFSMHDLVNDLARFVFGKYCLRLENHQEGDTTISGVRHFSFHPSYFDTFHKFNLLRETKNIRTFLPLRMDQDSHPMDHLSKKFLEDTLPQFMSLRVLSLSHYENIVKLPNSCSGLKQLRILDLSSTKIKELPKWVCTLYNLQSLLLSKCKELEELPANLRKLINLWCLDISETPLKKMPPQIGRLINLQVLTAFVIGKDSGSMIKELGKLPMLRDKLFLSGLENVSSGRDASLANMEGKKNLEKLTLKWNGDANNSQVARDVLDKLLPHSSIKQLKIDGYCGTTFPNWLGNSSLSYLESLNLSSCEYCFSLPALGQLRSLQSLEIVGMSHISVLTEDFYGDTSAIKPPFPSLKKLRIEKLPKWERWYIPECKVFNRLEELYIIDCPKLIGEFPQQLSSLQRLDISGCSELVRPNGRLSIFNGEIQQLLSLCEVRISALKTLKDLPLQLNQLSRLERLIIDDCGSLSPLHISLLPPSLKSLEYKRCCNLELENSSWDDGGSLKHLKFDTCESLKVKVEWLASFPILKQLRIVN >CDO97787 pep chromosome:AUK_PRJEB4211_v1:4:499997:504461:1 gene:GSCOC_T00021691001 transcript:CDO97787 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGDGRTKTIITASRNVVDGSTTFHSATVAAVGERFLARDLTFQNTAGSSKHQAVALRVGSDLSAFYQCDILAHQDTLYAHSNRQFYINCLIAGTVDFIFGNGAAVFQDCDIHARLPGSGQKNMVTAQGRIDPNQNTGIVIQKCRIGATSDLRPVQQNFPTFLGRPWKEYSRTVVMQSTVTDVIDPAGWHEWNGNFALSTLFYAEYQNTGAGAGTSGRVKWKGYKVITSAAEAQAFTPGRFIDGNSWLGATGFPFALGL >CDO98013 pep chromosome:AUK_PRJEB4211_v1:4:2263241:2267764:1 gene:GSCOC_T00021978001 transcript:CDO98013 gene_biotype:protein_coding transcript_biotype:protein_coding MESYSSNTTGAMDEKEKLSTTRRKGGLVTMPFIIANEAFEKVASYGVLPNMIFYLMKGYNLSFAKANYVLFLWSAATNFTPTLGAFLADSYLGRFLTISLGCIFSVLGMTVLWLTAMIPGAKPQSCNLIPPCKSATAGQLGLLISSFGLMSIGAGGIRSASMAFGADQLDNKDNPDNERVQESYFGWYYAATSISVLVAFTGIVYIQDKMGMKVGFGVPAILMFLSALLFFLASSFYIKHKATKSLLTGLVQVTVAAYKNRKLALAPLDSSSYHHRKGSRNTGPTEKLMFLNKACALRNPEDVTPSGVALDPWSLCTVEQVEELKALIRVIPIWSTGIMMSINTSQSSFPVLQASSMNRHVTSNFQIPAGSFVMFMMITISGWLVLYDRAILPLASKIRGKPVRIGTKARMGIGLFFTGLSMVISGVVERVRRRKAVEQGFLNDPQALVDMSAMWLVPQYVAGGFAEAFNAIGQIEFYYSEFPRTMSSVASSLFGLGMAVANVLASAVLSTVDRYTTGEGKESWVSSNINKGRYENYYWLLSILSCVNLICFIFCSWAYGPCADDVKKEDDIEGNELEEISQLRPRTPLRVMPA >CDP12358 pep chromosome:AUK_PRJEB4211_v1:4:28051025:28054416:-1 gene:GSCOC_T00035849001 transcript:CDP12358 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIRPDGQMPGDKTVGGEHDAFNTFFSETGAGKHVPRAVFVDLEPAVIDEVRTGDYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICHRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQTPSVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEDIDGEDDDEGLEY >CDO98088 pep chromosome:AUK_PRJEB4211_v1:4:2726401:2727694:-1 gene:GSCOC_T00022066001 transcript:CDO98088 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESDAQSKLPIIEFNEENLTPGTTSWLSTSDLVRQALESCGCFLVKYKKLSQELHDKMFELSKQLFQLPTEIKVQNTSNILGFGYGTNFSFMPLVEYFGIENGATLKATEEFTNLMWPAGNSSFCETAFSYSKLLSELDHGVMRMVFGSYGVEKYLDPLIKSSFYLMRFLKYRAPKTDEINIGLHPHVDKGFLAILDTNQVTGLEIQLKHGEWITYEPSTSPSTFLVIAGEPFQAWSNGRVHAPLHKVVIRGTEEKYTIGLFSFMREKVKIPEELIDEKNPLQFKDFNHLDFLEFLRGGKYTMERPIVAFCGV >CDP14848 pep chromosome:AUK_PRJEB4211_v1:4:25097979:25113036:1 gene:GSCOC_T00042317001 transcript:CDP14848 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNRHGVSGDQYGNKQNVSLPNQNGQNIGLTQNYRVFSSQPPLPVSPPPPLPVDPPGQHMVRPVVSSSPSGTSPSLFPVAPGSSTGVQSSSYHPVPELSLLAAHQPIRGNLHASTSFGSEDLQGIRQAPYKAYFGRSEVIPQQPLSPNKPKVVDATHIIKQPHRVNRPDHIVIILRGLPGSGKSYLAKMLRDLEVENGGNVPRIHSMDDYFMTEVEKVEESEFPKPSGSARGKRPVMKKVIEFCYEPEMEEAYRSSMLKAFKKTLDEGVFPFVIVDDRNLRVADFAQFWATAKRSGYEVYLLEATYKDPAGCAARNVHGFTQEDIQKMADLWEEAPTLYLKLDIKSLLHGDGLEDSGIQEVDMDMEDGDPVGLLSGSEERNVEKLVISQEGDLVGSLKEDQRGDAEADHPIEEVKELGKSKWSNNLDEDDNHKNEDTRNLNSLSGLRKSYSKEGKSVRWGDQVRNSGFSIAVAKFRNIASLVIGPGAGYNMKSNPLPDEELTTASHGKGKLRKQSVFQEQLRAEQESFKAVFDKRRQRISMLDED >CDO97772 pep chromosome:AUK_PRJEB4211_v1:4:403137:408323:1 gene:GSCOC_T00021670001 transcript:CDO97772 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERRLTVFLSIIWALTILYGEMFAYWVPSLWTCSWPHHLRHSSSSSVKRLNDSGDYVKIAVLADPQLMDRTSLHLSPKSLALEIAQFYTDLFMRRAFLLSVLRFKPDVLLFLGDYFDGGPILSDNEWQESLSRLKHIFDLNVLQKTKNMKVYFLSGNHDIGYEALYSKTPEVIRRYEEEFGARNYKFTLGKVDFIAIDSQTLDGNSQGNVTSATWNFIANVSQDSSSMTRVLLTHIPLYRPDWTPCGPDRSSPIINQRILRAPENQQILYQNYVTEKSTNNLLNMISPALILSGHDHDQCKVTHIAKHGPVEEHTIGTISWQQGNLFPSFMLLSASNLSSPDGLALEDVVYAHLCFLPVQTYIYIWYMVLFIMTLLVVLFWPAKEELFSHYIGNFKLCFRSLFDGSVFGRAIKEKNEDENFEYEMMWDAEGSMHLIKKASKSPPKRSGDDASVERGNAVMRAKRQTEREEVQVAVACDGNVEFDARTPGPARMRTSMTKLVIRRLLRGIRVLSFVAAINVPLYMMLLFKDWVDK >CDO98577 pep chromosome:AUK_PRJEB4211_v1:4:7014706:7016605:1 gene:GSCOC_T00022722001 transcript:CDO98577 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLIESLSHNKTSFKVAARAIALLLLSAGLVSGAVSPYSYDFMLSSLRKPYSYFRSWLSSTFYIYIMMNLIVILIAVSSRFYHPKIDSNGDIEDGGDGEDYDIHGAFSPSLSPPPAPPPTQINSQKHSQETKPKDIHVVAAAAAAAVTAKKKGGGNSKTTKVPVENQQDIQDNVDASLLNLFSDFSSLTAAKGIEKAPTTKGSGGKAKSATASLNIHQKDGSRDKNGVEKEDDESFEAIFSKLTEAKEIKKSHSVDSSPPLITTQKTAITSTTKTGQQTIKGLQNQFEQTQEQLESEDFDVEGEDDTMEATWRAIKEGGTKAQKKQLNKSETWPQPQTVVAAQENCNIDLEEDMAISAAAWKDLRKSMTFNDTVSIRFRGGLIRKDRSVNLEEFNQRVEDFINKFNNEMRLQRQESEQRYLDMISRGF >CDO97750 pep chromosome:AUK_PRJEB4211_v1:4:222092:230422:1 gene:GSCOC_T00021635001 transcript:CDO97750 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRSSSSSSGTSNRWRYFNPAYYLKRPKRMALLFMVFVCATLVVWDRHTLVHDHQEKVSKLKEEIIHLKNLLEELKNGNAVQSEKFNFDHKSSGARSGNDAANDPVATQRRERVKDAMIHAWSSYEKYAWGHDELQPQTKNGVDSFGGLGATLIDSLDTLYIMGLDEQFQRAKEWVANSLDFNKNYDASVFETTIRVVGGLLSAYDLSGDKVFLEKAQDIADRLLPAWDTPSGIPYNVINLAHGNPHNPGWTGGDSILADSGTEQLEFIALSQRTGNPKYQKKVENVILVLNRTFPADGLLPIYINPQRGTSSYSTITFGAMGDSFYEYLLKVWIQGNRTASVKHYREMWETSMKGLSSLVKRTTPSSFAYICEKMGNSLTDKMDELACFAPGMLALGSSGYASDESQKFLSLAEELAWTCYNFYQLTPTKLAGENYFFNAGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFEAFEKNSRTESGYVGLKDVNSGVKDNMMQSFFLAETLKYLYLLFSPSSVISLDEWVFNTEAHPIKIKTRHDAVVKSSGGDGLHKPDRRSRARKEGRFGDH >CDO98583 pep chromosome:AUK_PRJEB4211_v1:4:7106305:7106866:-1 gene:GSCOC_T00022734001 transcript:CDO98583 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYAMDGVYSEKSDVFSFGVMILEIMSGKKNTSFYDSDRHLNLIGHVWDLWTEGRISEITDSCLDETISTREALKYVHVGLLCVQEKAADRPTMSDVVSMLLKESNGSCLS >CDP12313 pep chromosome:AUK_PRJEB4211_v1:4:27207361:27207930:-1 gene:GSCOC_T00035778001 transcript:CDP12313 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSSMRAAVATLVLFSIVLTLPCEATRPTRELIRRPPIVCPACVCCAPAPPGSCCPCRCPPGVGSPVGYAAEADNTLP >CDO97792 pep chromosome:AUK_PRJEB4211_v1:4:526055:532025:1 gene:GSCOC_T00021697001 transcript:CDO97792 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYSTTPVTLQSVNPKVLKCEYAVRGEIVTLAQKLEQDLKQNPDSHPFDEIIYCNIGNPQSLGQQPITFFREVLALCDHPAILDRSETQGLFSADAIERAFQILDQIPGRATGAYSHSQGIKGLRETIAAGIAERDGFPADPNDIFLTDGASPAVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEATGWGLEISELKKQLETAKSNGVCVRALVVINPGNPTGQVLAEDNQREIVEFCKNEGLVLLADEVYQENIYVPDKQFHSFKKVSRSMGYGEKNISLVSFQSVSKGYYGECGKRGGYMEVTGLSPEIREQIYKVASVNLCSNISGQILASLVMNPPKVGDESYESYTGEKDGILSSLAKRAKTLEDALNSLEGITCNRAEGAMYLFPRIDLPHKAIKAAEAAKRAPDAFYAHRLLDATGVVVVPGSGFGQVPGTWHFRCTILPQEDKIPAIVSRLTEFHKKFMDEFRD >CDO98455 pep chromosome:AUK_PRJEB4211_v1:4:5706159:5708273:-1 gene:GSCOC_T00022550001 transcript:CDO98455 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAQRPFPSINIFIILFFFFFALSPFSPQAVSASVFKRLQLFSTEKAQPTSTSSVLSLSLHPYSSIIKPPNNSYSDLVRSRLASDRARAKLINSKIERALSTFNRPHDIKPDAQVQPEDLETTLTPFGGGYLAQVGVGQPVKEFFLLADTGSEINWLQCLPCDGCSSVSRSIFDPSGSSSYSLLSCASQECASLGENRNCQADPCMFITSYGDRSTVEGEFATETVSFGSSGSVDKVAIGCGHTNQRGGASGILGLGGTPVSFPSQIQATSFSYCLVDRDSGKSSTLEFNSAPPGDSVLVPLIINRRIEVFYYVELTGITINGEQVSIPASAYQIGQDGSGGIIVDSGTTITALPAQVYNSVRDTFVKYAQALTRTSGYDGGLVKFDTCYDLSSNPTDGYPTMSLDFSGGKTLPLRPANYWFRVGDSAKNCLAFTVTTQPVSILGNIQQQGMRVTYDLANKMIGFSPNQC >CDP15081 pep chromosome:AUK_PRJEB4211_v1:4:10166744:10169502:1 gene:GSCOC_T00042644001 transcript:CDP15081 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSFSLIFLLFCFLVSSLIASASPVRDPELVAQEVQRSIANATRARRKLGYLSCGTGNPIDDCWRCQPDWEQNRQRLADCGIGFGKNAVGGRDGRIYVVTDSGDDDPVTPKPGTLRYAVIQEEPLWIIFARDMVIQLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGINIHDCKQGGNANVRSSPSHYGWRTLSDGDGVSIFGGSHVWVDHCSLSNCRDGLVDAIQGSTAITISNNFFTHHDKVMLLGASDTYEQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRFLAPDDRFNKEVTKYEDAPESEWKSWNWRSEGDLMLNGAFFTQAGASASSSYARASSLSARPSTLVSALTSGAGVLGCRKGSSC >CDO97807 pep chromosome:AUK_PRJEB4211_v1:4:641257:651080:1 gene:GSCOC_T00021715001 transcript:CDO97807 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSYRQQDQLPWMNLQQNYSKAAGYMHKFKLYETFSKFYMIGWDKNRTFWKVLKIDRSEACDLNIVEDSVVYSEVEFYDLLQRLHDGNKSTGGLKFVTMCYGIVGFVKFLGPYYMVLITKRKKIGMICGHAVYSIIKSEMIPIPNSTIMSNMALSKNENRYKKLLRTVDLTKDFFFSYSYNIMLSFQKNLCNHETGLGVYDTMFVWNEYLTRGIRNQLKNTMWTVALVYGFFRQVKLLVSERIVFLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVLEDSHEACSPQISSVVQNRGSIPLFWSQETSRLNLKPDIILSRKDSKYEATKLHFENLVKRYGSPIIILNLVKNHEKKPRESILRAEFVNAIEFINKDLSKKDHLKFLHWDINKHSQKARSLLARLVDVAAYALDLTGFLYCKGVQSSKNDELLDWLYFGNNEWGHVEKVACNTSTKTEIRESTRGSGRDNVGKYLMFQNGVLRTNCIDCLDRTNVAQYAYGLVALGRQLHAFGFVDARTIDIDSPLADSLMKVYEEMGDTLALQYGGSPAHKKIFAARRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDSINVFLGHFQPELGKPALWELDSDQHFNVGRHGSDFVGENSRLLMKRSLSDGNILFESNSPIEDGNVEQNQDCDKILLHEAESGNTVHSESSPEISTSKSNISYSSYTPSMSSRKLFPVGTPDPEDDAICFHDLGDSLDCSNFVDIDWLSSSGNSIEEESYERSALISTPSADLTSYCDVNKFKGERSCSAYASSLSIKEKEDTGGNVSVGAGAGEVSEFSESFVNWVIHGDMLFP >CDO98016 pep chromosome:AUK_PRJEB4211_v1:4:2278342:2280126:-1 gene:GSCOC_T00021981001 transcript:CDO98016 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVEATDVAVETDPKQGGEAVVSFPPVAQGGGVSLAPPPFVGSPWSTGLFDCHEDKTNAVMTACCPCVTFGQIAEVLDAGELTSPVGTFIYLLMMPALCSQWIMGSKYRTKLRTRYGLVEAPYQDVFSHIFCSYCSLCQEFRELRNRDLDPALGWNGILARQQGMPYGYPHATNPPSVQSMSK >CDP12277 pep chromosome:AUK_PRJEB4211_v1:4:26461153:26463008:1 gene:GSCOC_T00035723001 transcript:CDP12277 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGFLIIGCLSISSIVHGYDEGWTDAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEIKCMNDPKACLPGSIIVTATNFCPPNNALPNNDGGWCNPPLQHFDLSQPVFQRIAQYRAGIVPVSYRRVPCERRGGIRFTINGHSYFNLVLITNVGGAGDVHAVSVKGSSSDWQPMSRNWGQNWQSNSFLDGQSISFKVTTSDGRTVVCNNAIPAGWSYGQTFTGGQFT >CDO98624 pep chromosome:AUK_PRJEB4211_v1:4:7475089:7485612:1 gene:GSCOC_T00022782001 transcript:CDO98624 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSSIDVILEILRRNNLTRTEATFRSELTNRPDLNGLIQKLVLEDKGLSMPSEEANGGKLVESSSRSSGEVSKELIVKEIECGTERNGSENKWKGVSNIGDKNKIDQSVGTSDKNFTFSKGSDDMVLDLYSWKYSHGNGPTVSYQNDVGSASANNFSGFQVHGKSKASLVEVFDSVKPNTKSGEEDASSSDKRAAWPVSTSKSTLELKNERNQDTDLKEVDPPHKGTGGSTKDDSVDYTWSRNDELSHPSSELWKDCSVKTILPFSKGDASSSYDGTVSVGDKREIKRKAEVNNIRAAIKEQVDEVGRSLYFGKAEGSEPKDFSALSFPHTPENQKEEFPRLPPVKLKSEEKPFSINWDGKYEIDGPGPKSTSAENTYFIGSFLDVPIGQEINTSGAKRPVGGSWLSVSQGIAEDTSDLVSGFATIGDGLSETVDYPNEYWDSDEYEDDDDVGYMRQPIEDETWFLAHEIDYPSDNEKGTGHGSVPDPQDRTQNKNDEDDQSFAEEDSYFSGERYFQSKNIDPVGPSDDPIGLSVAKMYRRNENDLIGHYDGQLMDEEELNLMRAEPVWQGFVTQSNDLIMLQDGKVLNDCVRPRLDDICLDDDQHGSVRSIGVGINSDAADVGSEVRESLVGGSSEGDLEYFPDQDIGIGMSRRAQHDSDKNYSETSNSGKKKLNKSNLDNFITLNDKGAYSQAKNHMDGGFSFPPPRDKELVQTSSGKAFWSKKGNTVMSDEADDCLVTNDDMLASWRRKSSESSPVKSSMDGNNANIAGSANSSPSSLSNYGYAETEHAKKEDDGIARARATEEDPGALLEDEEAIAVQEQVKQIKAQEEEFETFDLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYINKHDPGDKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDVWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGSIEQEMLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEINPKKRPSAAEALKHPWLSYPYEPISS >CDO98200 pep chromosome:AUK_PRJEB4211_v1:4:3593828:3596681:1 gene:GSCOC_T00022219001 transcript:CDO98200 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIHLSSINPISFRHSNRQVLSPLACHNVDVREPDSGSSKPPALRQNAQSAKETIEEEKRVLVGTYARAPVVFASGKGCKLYDVEGREYLDLSSGIAVNALGHGDPDWLRALTEQANTLTHVSNIYYSVPQVELAKRLVASSFADRVFFTNSGTEANEAAIKFARKFQRSLHPSEEQPPVEFIAFSNCFHGRTMGALALTSKEHYRIPFEPVMPGVSFLEYGDTQAATKLISSGKIAAVFVEPIQGEGGIYSATKEFLKALRTACDSAGALLVFDEVQCGLGRAGYLWAHEAYGIYPDMMTLAKPLAGGLPIGAVLTSERVAGSINFGDHGSTFAGNPLVCSAAIAVLDKISKPGFLASVSKKGKYFKELLVKKLGGNAHVKEVRGLGLIIGIELDVSASPLVDTCRQSGLLILTAGKGNVVRLVPPLVISEQELDTAAEVLLDCLPALDGIELK >CDO97803 pep chromosome:AUK_PRJEB4211_v1:4:604412:607254:1 gene:GSCOC_T00021709001 transcript:CDO97803 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPPSRSSRRLTADLLWGTGADFPGTKKDINKKKKNNKKNTSDLYSKPLRSEVVDLDDEFETDFQEFNDHSDDEAEELEDVKPFGFSASKHSAIPPRGTKYGKSSDSSEESEKYSKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDTEARRIRGKKAKVNFPDETQGSASRRPVAVNPQKLQVKENPGPVQPTLSESMTFTSSMENDYYNSLNLVEEKPPTKQFGYADVYPTIGDMEFKSFPQSDCASLYFNSDQGSNSFDCSDFGWGEQCPKTPEISSILSSAIEVEESQFSEDTNPSKKLKSSLDLVPAADNDNKLSEELSAFESQMKFDQMPLFDGNWEASVDTFLNGDATQGGGNAMEDLWTFDDISAMMGGVY >CDO97842 pep chromosome:AUK_PRJEB4211_v1:4:962623:965267:1 gene:GSCOC_T00021762001 transcript:CDO97842 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSKKFDGFLEHVLDEHNARRKDEKNYVSQDMVDVLLDLASDPNLEVKLERHGVKAFTQDLLAGGTESSAVTVEWAISELLKKPELFGKATEELDRVIGQSRWVTEKDIPDLPYIEAIVKETMRMHPVAPMLVPRCAREDCKVAGYDIQKGTRVLVNVWTIGRDPALWEKPEEFYPDRFVGKDIDVKGHDYELLPFGSGRRMCPGYSLGLKVIQSNLANLLHGYKWKLPNDVKPEELDMDEIFGLSTPRKIPLVAIVEPRLPRHLYSL >CDP14860 pep chromosome:AUK_PRJEB4211_v1:4:25196681:25197105:-1 gene:GSCOC_T00042330001 transcript:CDP14860 gene_biotype:protein_coding transcript_biotype:protein_coding MINTESINKIFYLCLYAKLESVSGVAEYALPFSTLEDARMVDEKLRALECQNFGKDSQIRVAVWDVVTLELSQSPQAPPNVLAI >CDO97847 pep chromosome:AUK_PRJEB4211_v1:4:994885:997474:-1 gene:GSCOC_T00021768001 transcript:CDO97847 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAGEEDMPRDAKIVKSLLKSMGVEDYEPRVIHQFLELWYRYAVDVLTDAQIYSDHADKSVIDSDDVKLAIQSKVNFSFSQPPPREVLLELARNRNKIPLPKSITRPGIPLPPEQDTLINPNYQFAITKKQSKQAIEEAEDDEEAADPNPNPSQEQRIDASQGTPQGVSFPIGPKRSG >CDO98562 pep chromosome:AUK_PRJEB4211_v1:4:6907542:6910477:-1 gene:GSCOC_T00022702001 transcript:CDO98562 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDHHHHHQEFDHHQDHFFQMGSYGTATPESERPTSFSEPTTSCQSPSSSADPLSPIFKTEDKGDDSKVVVQKKKKKLERKANSYVYRIREHVKLGPKFSETVKGKLSLGAKIIRKGGRENIFRQIFSVSDGEELLKASQCYLSTTAGPIAGILFISTEKVAFCSERPVTLSASPGGVVRTPYKVSIPVRKIKKANESANVDKPAKKYIEIVTDDNFEFWFMGFVRYEKALKNLRKAISMSSSMPF >CDP12428 pep chromosome:AUK_PRJEB4211_v1:4:20516764:20518764:-1 gene:GSCOC_T00035963001 transcript:CDP12428 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGKTTLAKKVYNHADIRARFNCRAWVCLSLSYDHKEMLRSIIKQLNTVDNKLLEMLEKMEEQDLERRLYQDLQDKCYLVVLDDVWKEEAWDCLARAFPDVKTSSRLLLTSRNRGVPLHADALSKPHVLKTLGQEDSWQLFLRKALGHGDDAGCPSDMEEVGREIAKRCDGLPLAITVMGGLLLTKKKLKSEWEKVLNNFSTYLSRSQRGVSATLELSYADLPPNLKLCFLYLGLFPEDSEISVRKLIHMWVAEGIMQKRDAENLEEIAAYDVEQLFSRNMVQVVEMTTDERIKSCRVHDLLRELAIRKANDENFFHTDEIRDDEISAKSRYLAVHILPWDKNYVGFKSFRKLRILDLEKVMMAYLPKEIGEIRLLRYLGLRRTWITRLPHSVGCLRYLQTLDIRTNSVYFPVIVSNFIWKLESLRHLYARDVRSNVPLKIEGLRNLRTLLQKLGILVDDRSEIDKLCMHLSEVENLKTLHLYCVAGSEWPQSLAGLSKLHHVTELKLSGVSLRMLPPDFPPNLSRLSLKFTRLKDDPMPTLEKFGQLSFLKMECAYEGKQLFISRHGFHQLKFLELSRLYDLDEINVEKGALPQLRCLRIRKCLSLEKLPEELDLELVDKA >CDO97953 pep chromosome:AUK_PRJEB4211_v1:4:1792396:1794723:1 gene:GSCOC_T00021905001 transcript:CDO97953 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNSSGYAFLGGKVDIPEDIFNTIQLLQRTGSPNFKTCRGSQSFQHSAPAFNPPIQVLSSWNFSLQQEATKLAGDDEVPRKSSVAEISSPIPNRADFINQLNGLFFDYDTSNKSIINTCLQEAKAGLIATATGSTESLDCLLSGSNSNTDTTSVEDDADISMIFSDCKTLWNFRAVNGVSSGESACDSSNAKDSNNHNRIKELDETASKTTSSGQHASQLPRHATKRSSETLLQNLVRSDSSNSFQLISENEPKPKKPRSEKRPTSSNISFQQPSSSITSAGGEPDSEAIAQMKEMIYRAAAFRPVNFGMEVMEKPKRKNVRISTDPQTVAARQRRERISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLRSQVKALEALGQKNEESLLNYPSIPFNHSFPVVTSNFPLHNPNIVNHPKI >CDO98286 pep chromosome:AUK_PRJEB4211_v1:4:4236847:4238100:-1 gene:GSCOC_T00022331001 transcript:CDO98286 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSTGGEPAWPELLGSNGWEGLLDPLDDSLRGLILRCGDFCQATYDAFNNDQNSKFCGSSRYGKKSFFEKVMLESGSNYQVIGFIYATAQIGAHKAIFLRSLSRESWDRESNWIGYIAVTTDEYSQAIGRREVYIAFRGTTRNYEWIDVLGAGLKSAEPLLRPKAWKKREDGSVSTSDSDGDDEEKMPKVMQGWLKIYVSSDPKSPFTYLSAREQLLAKIEELRNLYEGEDLSITFTGHSLGASLSILAAFDLVENGLHDIPVAAIVFGSPQVGNKTFNNRLKQFPNLKVLHVRNKIDLIPHYPSHLLGYHNSGIELVIDTRKSPFLKDSKNPSDWHNLQAMLHVVAGWNAAHGDFELKVKRSLALVNKSCGFLKDECLVPESWWIEKNKGMVLDENGGWIVATPADEDLPVPEH >CDP16946 pep chromosome:AUK_PRJEB4211_v1:4:13639338:13640006:-1 gene:GSCOC_T00005311001 transcript:CDP16946 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDLSFPGPPIKRIEEAWKMDKLSMKMKKMKLINVALLWWVAISIAMPSAYGSVDQSPRAVEKWFKELRRGKEKLTKLHFYLHDTVTAKNPTTVQVAEANMTSKSPTLFGETVVLDDPLTVGPEPSSKIIGHAQGIYSSVSQEGYSQIMILNLIFNYGKFNGSTLSLLGSNPIFNEYREMPILGGTGAFRLARGIATEKSYAVNVTTKNAIAEHHVLVLHY >CDP15090 pep chromosome:AUK_PRJEB4211_v1:4:10274296:10278096:-1 gene:GSCOC_T00042656001 transcript:CDP15090 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSKSSIQPEELYLVLTNELRHCNYNADPLLLSCGLSISNSYTQVEGRVLSAPRLKVANNEDLIPRNASWSFKDKKLVEPKRVQCWAVVNFSSQHYTRNFCQELAKLGAKIGVTLDPPVCVFEENPQYRKKPPHVRVEKMCEQISSKVRNNPLYFVLCFLSEKRQSPLYGYWKWKSLAEVGVPNQCLANDRVDESYLLHVLLKINAKLGGFNTRLASEMTRTIPWVSKIPTMILGMEVMPCVDGQSELPPIAAVVGSRQWPSISCYRASIHTQTRNDQMMDSLFRQVSQQEDKGMIRELLMDFYASSGQKKPAQIIIFRNGLSTTQFNQLLNNEMDQIFKACKLLDETWCPKFTLIISERSHHTKFIKASPVDNVPPGTVVDKKICHAKCNNFFLCPHIAKKGTARPTHYHVLLDEIGFSSDEIQELIHCLSYVYQKSTTAISEVAPIRYARLAAAQMLELFKSDKTRKMYPGYGIPSPSELPKLHKDVRSSMFFC >CDP12740 pep chromosome:AUK_PRJEB4211_v1:4:12382991:12384009:-1 gene:GSCOC_T00037371001 transcript:CDP12740 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLTSSPNSRKSVKFLYSYNGQILPRPSDGVLRYVGGHTRILSVDRSISFSELLVKFGELTGTSVNLKCKLPSEDLDVLISIKSDEELRNVIDEYDRASEFSHLELKIRAILSPVQSVKKLSSPSSPTRFQPLRMAAPAIYTPSPPSTPLSFNCSPTQSRLQPTKMAQGSCHQSPQRAGAYRCCSPPPPPQAVGYAAGIRKDARRNQCCRHGSPRQQYFIPYSNIYLLPIKP >CDO98484 pep chromosome:AUK_PRJEB4211_v1:4:6079261:6080491:1 gene:GSCOC_T00022597001 transcript:CDO98484 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSPQLLQPPALPPPQTQTISIKQCCKVSRRELAICTNSSLLLLLGSQTVEPLHHSRARAEEIEDTSKETEQLKTADPCGEQNPTQRAFLEVSIDGVPLGRIVIGLYSDSVPFGTARFSDLVSGAAGISYRRKEFVKITPNYVQHSGVRSYGVDAELARKAGSNLAADRLTDEWEKQYAACPGTKNLAKSIGIVVRDPSKPPPKLKLIARKGKLEIDQEQVGVDPNGTEFVIAIKDSPELDASTLVIGRVLEGMDVVEKIGQVKTVQENTSSPYFRVAKLIGDKRAVVAERGFNRPYSKVLITNCGLIK >CDP12374 pep chromosome:AUK_PRJEB4211_v1:4:19190218:19192463:-1 gene:GSCOC_T00035877001 transcript:CDP12374 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFFYLCPLLQRKIPQANTDLSFSYQLKMDKMVKISSPTILFSFLFLSALSFNVSLAKESPLTARASLIRYWNKHISNYLPKPHFLLSKASPLSIVDSAYFTKLAAQKALSSHLSSFCSSANLFCLFDEKDALTNSKKDADFAFYTNKHFANYGSSRLGGIDMFKNYSNGVNFATGSFARYSRSSNDLHEDFTSYADDGNVANANFTSYGSGARGGSGEFKNYLPRVNVPDLRFTSYDSDGNNHKLSFSSYVDDTNSGSQAFTNYAKNGNGVPLDFTSYGDTSNVIGSAFSGYGELGNAANDSFKAYGRNANNPSNNFKNYGSGGNSGIDSFMSYRDSANAGTDTFQSYGRSSNSETANFVNYGKSFNEGIDTFKEYGKGAKGQSIGFKIYGVNNTFKDYAKNGVAFALYTKPSSSKGDDTSKINSKSVNKWVEEGKFFREYMLKDGTIIKMPDIRDKMPKRSFLPRLISSKLPFSTSELSAIKRIFHALDNSTLERVIVNALEECERAASRGESKRCVGSVEDMIDFAVSVLGRNVVVRTTENVRGSKQHVMIGKVQGVNGGRVTTSVSCHQSLYPYLLYYCHSVPKVKVYLADILDVVGKTKINHGVAICHIDTSAWSPNHGAFVALGSGPGLIEVCHWIFENDMTWTIAD >CDP12311 pep chromosome:AUK_PRJEB4211_v1:4:27138154:27139473:-1 gene:GSCOC_T00035776001 transcript:CDP12311 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDPPRRPQGGDQHYGIKYGDVFDVKEDLASKNIAPRDAAAMQAAENRVLGQTPRGGPASVMQSAADVNQSLGVVGHDDITDITRDQGVNVAERAADGRRVIAEAVGGQVVGGYATRGGGAAAGGRAGQGQDISSSPAIVAGGGITIGEALEATALTSGNKPVDMSDAAAIQAAEVKATGRGQVMPGGIGAEAQAAADLNPGIWRDEDKTKLGDVLGDATDRLPDDKTVTRDDAKRVVAAEVRNDPNASPHPGGVAASTAAAARLNQKAGST >CDP12361 pep chromosome:AUK_PRJEB4211_v1:4:28106901:28112256:1 gene:GSCOC_T00035854001 transcript:CDP12361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 29 [Source:Projected from Arabidopsis thaliana (AT3G47810) UniProtKB/TrEMBL;Acc:A0A178V791] MVLVLALGDLHIPHRAPDLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKTICPDLHITRGEYDEETRYPETKTLTIGQFKLGICHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTTASANSAH >CDO97932 pep chromosome:AUK_PRJEB4211_v1:4:1642763:1652005:1 gene:GSCOC_T00021881001 transcript:CDO97932 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDGGEVTAAPPAGPPPPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDMKEHGGSRRDIERMDYPVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNIDPSKVVGNGSASSSTVSSSPKPYLANGAYPDRSYSCLNNDMTFPPGGIPSLRLPVVTSTETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHSKLFEEQEAPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYRHVVNSPPFVNSYNLFRVFGCATGSTEAATLEASKNPMRRQVQTPTRPSRSLSSSITRVVRRGAESPGVDANGNSFDFTTKLLHLAWHPVENSIACAAANSLYMYYA >CDP12334 pep chromosome:AUK_PRJEB4211_v1:4:27485038:27487108:1 gene:GSCOC_T00035810001 transcript:CDP12334 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDHHLHHHHHRPNFPFQLLEKKEDESASCSSSGAAGFPSLAISSADNTSQNPSRSTSSSLQISAEPSKKAPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSYFSPNFSMPPRRSLFQGIGLSTDNSPSTTTLLNFQSGNINPSSMLQAKQELRENSTHNTLDLSDAAAAAAAGEESPLARKRRNDQELQHHQQQNIGNYLLQSSTGAMPASHASIPANFWMVQANSSNQVMGGHDPIWTFPNVNNSAAAAAALYRGSMSSGLHFMNFPTPVALLPSQQLGTSSIGPTGGSGGGGGNGGLAEAQLGMLSGLNPYRSSGGGVSESPASGSHSQHHGDDRHDTTSHHS >CDP15012 pep chromosome:AUK_PRJEB4211_v1:4:9207930:9213392:-1 gene:GSCOC_T00042539001 transcript:CDP15012 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAAPDGPDSDLFVYYCKHCSSHVLITDTQLQKIPKRKTDKAYVLDKKKYLARLNIQEAGKVLLKRGEGKLEKQYRMNCVTCGLFVCYRAEEDLESASFIYVIDGALSSIAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAAAARGEANNELLEHMGKVLGLKLSQMTLQRGWNSKSKLLVVEDLTARQVYEKLLEAAQP >CDP12764 pep chromosome:AUK_PRJEB4211_v1:4:12662979:12670636:-1 gene:GSCOC_T00037404001 transcript:CDP12764 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHSPLQSFPTVLCFLACSILLQFHPVSSNPAEFYETCGNEFSCGSITGIGYPFRGYEDPAYCGYPGLELRCDQSSNATRLEIQNMTYWVLDIHPTAQTLRVAREDVMENHCPTDLVNMTLDYTLFDYSASYINVTFLYGCQGNVPNVLFSCGNNSLSFPCTINNTTPTASFFLTSRGAKTNISQLPFLKTCTDTVRVPINQVAISILFNTAGLRAALTAVFLLQYKANNTFCTNCANSGGQCGKCRSSGGECGSYDNEFVCYCDDHKHLKTCGYSAGKNRSQRLRNVIGISAAGVLLATIIIISVVIHRIVNKKPAYRSILLLFNKTSKENLILEAAIKQYGSLAPRRYSYSNIKRMTSSFKDKLGEGGYGKVYKGNLFAGRPVAVKILNTSKGSGEEFINEVASISRTSHVNIVALLGFCFEGQKRALIYEFMPNGSLERYIHGETTSKTDVHLGWEKLYQIALGIARGLEYLHRGCTMRILHFDIKPHNILLDDDFCPKISDFGLAKLCSRKESVVSMLEARGTVGYIAPEVICRNFGAVSPKSDVYSYGMMLMEMVGGRKNISIKASHTSEIYFPHWAYQHMILEKDFKLHGVMTPEEDEIARKMVLVGVWCIQADPSQRPVISKVIEMLEGSLEALEIPPKPFFGSPSRSPEASSITIQSSVSHWLSRLPGSPVPRLSMLTPSMQYLKRL >CDO97958 pep chromosome:AUK_PRJEB4211_v1:4:1816150:1818333:1 gene:GSCOC_T00021910001 transcript:CDO97958 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKTKEALAFIFASLASAMLVVAKPLVPALIIFGDSDVDVGNNNHLSTFFKANFAPYGRDFASHQATGRFCNGKLVTDFTGKLQFKNPTADTLGFTFYPPAYLSPHASGKSLLIGANFGSAGSGYDEKTASINQAISLRRQFQYFKEYKSKLEKSAGTRKAASIIGDALYILGTGSGDFLQNYYFHPALNKVYTPAQYSSYLVGILSNFVKELYGLGARRIGVTSLPPLGCLPAARTLFGSTRQSGCVAHLNTDAQGFNQKLNSTAIQLQKRLPGLKLAVFDIFKPLYDAVKSPSDYGFKEATRSCCRTGTVGKTVFLCRSRSSRSCSNATQYVFWDGVHPSEAANQLLADSVLLQGISLIG >CDO98680 pep chromosome:AUK_PRJEB4211_v1:4:8186492:8198644:1 gene:GSCOC_T00022856001 transcript:CDO98680 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKISPKIKSEILEEISPKPSTEAAVYLQTASVIDLSSSSSDSDSDDLPLSDDDVAGDNSRPKKKKKVDGSTANVKLPLGFLDPLPTKETRLSASSPAPLAVHVPGDDALLALPAPKGIVAVSLECNAKQFWKAGDYEGAPSGDWDSSFGGMDHVRVHPRFLHSNATSHKWVLGAFAELLDNSLDEVCNGSTYVNIDMIENKKDGNRMLLIEDNGGGMNPDKMRQCMSLGYSAKSKIADTIGQYGNGFKTSTMRLGADVIVFSRSRGKEGNRSTQSIGLLSYTFLRGTGKEDIVVPMLDYERRGQAWDKIIRSSAADWSRNVDTIVKWSPFSSEADLLQQFNWMKDHGTRIIIYNLWEDDQGLLELDFDADPHDIQIRGVNRDEKNIQMAQQHPNSRHFLTYRHSLRSYASILYLRIPPGFRIILRGKDVEHHNIVNDMMMTKEVTYRPQAGVDGIPRDVNMVAIVNIGFVKDANAHIDVQGFNVYHKNRLIKPFWRVWHPPGSDGRGVIGVLEANFVEPAHDKQGFERTTVLSRLEKKLVEMQKHYWTSNCHKIGYAPRRNKKSANEEDNSPDSSLKYKSAKNAEMNDKAPDSGKRSLRSNSVDVSQNGHTEQGNNRSRTSGKRLSYSERSSPAAEDVSDDDGPTNVHKSQRNDFSQKSSSTRKLHGKHSSQTVRSSMRSRSAVAGHNFSEGGKPVGITTELDSESEDVGNGSSEVLDQLKEENHVLSERIKRKEEEILGDLLKDLDEEKKRCKSLEAQLEGAKEEIQELRKEQESLIDIFSEERQRREIEEENLRKKLKDASNTIQDLLDKVRLLEKTRSISNGKRER >CDP20571 pep chromosome:AUK_PRJEB4211_v1:4:8895370:8902183:1 gene:GSCOC_T00000094001 transcript:CDP20571 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVAAATSFHGIPFPEFPQYPSLPRHHLLIPKPPFSPLSHPTTFLKFRLGQHYQTRLFIRHPQYSITTKPQEFSDTTYDRELRYVLELATDAELYELEQILFGTSYFSPLLKSIAKKEDIDYYMIGEDPEERDEYISMLEKRFLYLAADARSVLRGWRPSYRNVLLGVRKKLNIPCSSKLATEDLEAEIFLHMLQDYSGQASGSLNGSQENVKNSDGNGTLEHGLSQWKVQTATALRDGAADLRSMILKGGGVLTLGKLYELLAKGLSGKMFQEAAKYQLKNELIKEGANLAAVNLESRAALHAAKQSVAGAASRYLGIRSMMTLLGPMLWGTFLADVVIQMLGTDYARILRAIYALAQIRILHTK >CDP12707 pep chromosome:AUK_PRJEB4211_v1:4:11495728:11496880:1 gene:GSCOC_T00037306001 transcript:CDP12707 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANGLVNVQSNQPLFSFGVITDVQYADIPDGRSFLGVPRYYRHSLLVLQRAVKKWNHRRPNFIMNFGDIIDGFCPKEQSLDAVKKLVMEFDNCNGPVYHMIGNHCLYNLPREKLLPLLRIHSHNDHAYYDFSPIPEYRFVILDGYDVSAIGWPKDHPKTLKALKFLNEKNPNSDKNSPNGLVDLERRFLMFNGAVGKDQLEWLDNVLSDATRLNQKVVVCCHLPLDPNASSREALLWNYDEVMEVLHRYSCVKVCLAGHDHKGGHSVDSHGIHHRVFEAALECPPGTDAFGCVDVFDDRLSLSGTDRMKSTEMIFCR >CDP19588 pep chromosome:AUK_PRJEB4211_v1:4:13965974:13966589:-1 gene:GSCOC_T00012784001 transcript:CDP19588 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIGNQRDYTDQIIKGIILVMLTAGTDTSSVTIEWALSLLLNHPKVKARAELDAQVGTDRLVNEHDLSNFPNLHKIISETLRLYPAAPMLLPHESSDDCKIGRYNIPPGTILLVNAWAVHRDPNVWDDPTSFKPERFEGLQVQPSKLIPFGMGRRSCPGSSLAQRVVGLALGSLI >CDP14925 pep chromosome:AUK_PRJEB4211_v1:4:26131774:26138928:-1 gene:GSCOC_T00042418001 transcript:CDP14925 gene_biotype:protein_coding transcript_biotype:protein_coding MVWHEITDGSFRFDLMEALFGYAAQNQKPTEERSISSSNSSTASSPAPPAQVFILDPRKSQNTAIVLKSLAISRKEILDALQEGHGLSADDLEKLSKISPTPEEAAKILQFDGNPTKLADAESFLYHILKSIPSAFIRLNAMLFRSSYDPDILHLKESLQTLELSCKELRTRGIFLKLLEAILKAGNRMNAGTARGNAQGFKLSALQKLSYVKSTDGKTTLLHFVVEQVIRSEGKRCANNTRNHEISGKGDECWDSKTTKEDVDKEYLMLGLPIVEGLSMELSNVKKAATTEYENFMGMCPALTMRIHDIRQLVSRCGSSNERSGFVREMKGFLEECEEELKVVREEQARVMQLVKRTTEYYQAGASIDKGTDPFQLFGIVKDFLAMVDRVCIDISKKLQKKPWPTAITSAGSLPLSPLALSPKTPVKLQNLQSHFVSWRSHPRPNNLISETQQKQKQKQKQKQKMALGDTIGLKEELIKKACNLAMKAHLKSPEKAYIWEKTTRGSTEAVCAFPGTWAVTDWYSRTPFGATKINIALFPSLKSIGIDELALVNEAFSSRFEQLLCNSQLEREVEKALSDRKQIVFAGHSSGGPIASLATIWFLEKYVRTNNYQKPPYCVTFGSPLAGDGIFSHALRRENWARYFIHFVTRHDIVPRVMFSPLSSIEAGMQQILLYTSSKSPYFQNESIGNSSAATSCFMVVMRNASAVASHAACHLMGCTNLLLETVSSFIELSPYRPFGTYIFCTANGKSVILQNPDAILQLLFYSAQLSSETEIAHVVYRSLNDNLSYENHLQDCLEMQNVAHLNNLVELPLSSHANTNNEAAKLNTVLNDLGLSSRARLCLRAAGELERQKIQNQVNIDSNRDSIRKGLNEIQAYQTKCEVRKVGYYDAFKLQKDINDFNANVKRLELAGIWDEIIEMLKRYELPDGFEARKEWIELGTMFRRLVEPLDIANYYRHLKNEDTGPYMIRARPKRYRFTQRWLEHAEKMQAGSSSESCFWAEVEELRAKPFEEMKEKVVSLERRTLKWIHDGHLSSDVLFDESTFCKWWRYLPPKHQSESCIARLMNKF >CDO98513 pep chromosome:AUK_PRJEB4211_v1:4:6285035:6289254:1 gene:GSCOC_T00022634001 transcript:CDO98513 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g08160 [Source:Projected from Arabidopsis thaliana (AT5G08160) UniProtKB/TrEMBL;Acc:O04265] MGCSFSGLNALYDAVNGGGDVWINENRFRVIRQLGEGGFAYVYLVKEIPSSDPSSNAGLSKKCKNSSHLSEDGTYAMKKVLIQNTEQLELVREEIRVSSLFSHPNLLPLLDHAIIAIKAPTQDQSWKHEAYLLFPVHLDGTLMDNSKTMKAKKEFFSTSDVLQIFHQLCAGLKHMHNFEPPYAHNDVKAGNVLLTHRKGQAPLAILMDFGSARPARKQIRSRSEALQLQEWASEHCSAPYRAPELWDCPSHGDIDERSDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNAQIKWPAGPNPPYPEALHQFVTWMLQPQPTVRPRIDDIIIHVDKLIAKFSN >CDO97751 pep chromosome:AUK_PRJEB4211_v1:4:230494:235288:1 gene:GSCOC_T00021636001 transcript:CDO97751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C4 [Source:Projected from Arabidopsis thaliana (AT1G51610) UniProtKB/Swiss-Prot;Acc:Q8H1G3] MRTSSSSHFLSRLCHRRNQPFTSKPYRPLLPDIFVSAFRSSLEADKSPLHTTQPRPHHHFCSNSNTKFPRADHSHHKHASPPPPRRQRPRQQQLLYASRQVRYFTTNPRSLFRQISSSSSPRFLPSSFTSSISFPSNPIPHPSFTFSRGFFTRPKRIKIEVDDHGQRAVTTALWCNFLVFSLKFGVWFATSSHVMLAEVVHSIADFLNQALLAYGLSSSKRAPDAIHPYGYSKERFVWSLISAVGIFCLGSGATIVHGIQNLWTSQSPVHIEYAALVIGGSFLIEGASLLVAISAVRKGAAAEGMKVRDYIWRGHDPTAVAVMTEDGAAVTGLAIAAASLVAVNTTGNPVYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDNNDMERVLQFLKNDPVVDAVYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLDRAGREDWAKQFRGAAEQEDDAALLKIMSNYGEEVVTALGSEVDRLEKEIQEIVPGIKHVDIEAHNPIGPSA >CDO98048 pep chromosome:AUK_PRJEB4211_v1:4:2454148:2456247:1 gene:GSCOC_T00022022001 transcript:CDO98048 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRQYRYDCEAVVDIAIKYVKSLSIPSDGRSIWIFDIDDTALSNLPFFSRPDVFFGVKTLNAELEAEFYEFVLTAEVPVLAATLRLYQAIVEAGIKAVFLTGSSERSADARAKNLKAVGYDTWEELILKPDSVNTTVQVFKSQVRNRLVVQGYRIEGNIGDQWADIVGSNVGRRTFKLPNPMYYGYY >CDO97911 pep chromosome:AUK_PRJEB4211_v1:4:1463333:1464960:-1 gene:GSCOC_T00021856001 transcript:CDO97911 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKAAANGTTTTAAAANPTFPPTKGQLYNNTTRPVYRPQSPSKRRHSRSCCCSCCLWTTLFIILILVLVAVAGAVFWVIYRPHRPNFSVSSLQLSHFNLTSTGVNSKFNFTLVARNPNKKIKFFYDPINVSVLSDGVDIGDGSFPSFEHGTKNTTTLKTVISSSGQSLDATQISTLKSDIKNQNGGLPLKVQLNTKVKVKVGGLKTKKVGIKVTCEGIKLAVPTGKAPTTATTSNIQCKVDLRIKIWKWTV >CDO97880 pep chromosome:AUK_PRJEB4211_v1:4:1263404:1266946:1 gene:GSCOC_T00021819001 transcript:CDO97880 gene_biotype:protein_coding transcript_biotype:protein_coding MCTYGLGVFDKTSQTLKIVPIAANKIFRLEPRVAGLDLPENETPETLKHELTAEEKADKMRELTLMYSSKKTIRQTQKLESLRQRQDPESQQDLDQKLGGIEINKEGLEVTETTTSARNIPPHDLSATTPQTAYPLHKIIFSGEWDYLMDILEISQAGAEVTSDKYPSFVCNRVYKLDDITDEVEKRQLAGIFSYITHLVKFKDKHSMDGVSSAKHHKIPGILYQKFSSLYANSDSKRIADDKKDLLISYVLVLTLYVDNFRTDLSDIAKDLRMNPIALRPHYEYLGCKLAREKQLLLATLSLPLQFPTVRRKRRR >CDP16416 pep chromosome:AUK_PRJEB4211_v1:4:17521925:17528710:-1 gene:GSCOC_T00018290001 transcript:CDP16416 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQQQQQEESCKTQSDGDDFASDDKRRKLPSLNSVASELITLRRMQKLIEPALEPMIRKIVKEEVDLAMKKYLISIRRTCGKEICSSESGSLQLQFLSGISLPVFTGTRIEGEDFNNLQVALVDPFTGQVVPIGPQSAAKVEIVVLEGDFDGDESDNWTFEEFKNNIVREREGKKPLLTGDAFLTLKEGIGVVSDISFTDNSSWTRSRKFRLGARVVDNSDGNRVKEAKTDSFIVRDHRGELYKKHHPPSLADEVWRLEKIGKDGAFHRRLSKERIKTVKDFLTLYFVDPARLRNILGTGMSTKMWEVTVDHARTCVLDKELHFYYPSGSQHKKGVVFNVVGEVMGFVSDCQYITHDKLSETEKAYARDLVAAAYRHWTDVVSIDDEASLMDGSLFLSTIEYSSNPPMLDGSKVLNSHKSGKCDYPEPTTCSDIMPSMYSLGGLTSLDEYDLPIMDSMEVRFDHPLNIPGQVTNNTICDTDSMTQAFYEDEHLQFFDSSNLGPSTGLNTAVSCFLGRPKRRWKMLFSVLRWFSIRRLVARKSNVKEVKRYC >CDO98111 pep chromosome:AUK_PRJEB4211_v1:4:2969238:2971841:1 gene:GSCOC_T00022107001 transcript:CDO98111 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSKENKAVEKIGKRLQGKVAIVTASTQGIGLGIAERLGLEGASVVISSRKQINVDKAVEKLKARGIEVYGLVCHVSNLQLRKNLIDKTIQKCGKIDVVLSNAATNPSVDSVLETKEPVLDKLWEINVKSSILLLQEAAPYLKEGSSVTALLGLTKALAVEMAPNTRVNCVAPGFVPTHFAAFITTNADVRKAIEDKTLLNRLGTTDGMAAATAFLASDDASYITGETLVVAGGIPSRL >CDO98652 pep chromosome:AUK_PRJEB4211_v1:4:7768601:7769887:1 gene:GSCOC_T00022816001 transcript:CDO98652 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIDNAPSPKMKHFSHPHELELWSGTTSFQSHQQTLMPTDSTTTTTTLRCSACQLTSTENMYICRPCNFSLHLSCAQFPRVFNHPAHKNHVLTLLPVAAYAGGIFNCDACNRRGNGFSYHCGSCEYDLHVLCASKPLRFTHPSHFCQLELTFTNPYGNAKGFSCDVCHKFGSKQWLYRCSACEFDVHLDCTTVPTPTTTAPTQVKHQGPFPTRYIHSQVGLGQSAEPTHQQYMHSARMVAVADNSTHRPQMGPTDMALMGVGPILSGPPIAGPDVSMPSASTGQLGNYWPMGQVMDGPPLVRPNVLMHSASAGQLGYVSPVGHTTSGLMNSAMQGIVEGGGQQVGQTLVQGVIGGGGSGGGGDGGWGGDGTSIPGSLFDDSLYTQN >CDO97725 pep chromosome:AUK_PRJEB4211_v1:4:58004:59585:1 gene:GSCOC_T00021604001 transcript:CDO97725 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQVPVDPLEWQISQDSANSIFACLANTIGAAESVLRVAATGHDKRLFFKVVASLYLLSALGRLVPTATVAYAGLCLLCLYMLTDESRLMSTCFSWFSRRRDCPTSVQD >CDO98172 pep chromosome:AUK_PRJEB4211_v1:4:3388619:3390826:1 gene:GSCOC_T00022178001 transcript:CDO98172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g15930 [Source:Projected from Arabidopsis thaliana (AT3G15930) UniProtKB/Swiss-Prot;Acc:Q9LSB8] MHNRAVKKTIYFISVSTAYPIRSLHTAPAAHQNLGYPFQNSKTLDQLKQIHSLAIQKGLALDVSAYSKIISFCCAKDAGDMDYARHVFDTILEPNVFLWNTMIKGYSQTCYPEYAIVLYKKMLERNVKPDNYTFPFLLKGFNRDFPLECGKGTHAHICKFGFDSNEFVQQSLIHVYCLSGQIDMARLVFDTSKKSEAVTWNTMISGYNRMGQFEESRKLFKEMEKRALPTSVTLVLVLSACSELKDLDAAKHVHQCIQDGRIESNLTLNNALIDIYAACGQVNVALGIFISMNNRDVISWTALIKGYINAGQVDKAQRYFDLMPRKDSVSWTAMIDGYLKTNRFKDVLLLFREMQAANVEPDKFTIVSIITACAHLGALELGEWVRTYTDKLNIDCDIHVGNALIDMYFKCGDVEKATRMFHRMPQRDKFSWTAMIVGLATNGRGREALNMFAKMLNVSETPDEITYIGVLSACTHSGLVNEGRSFFISMTTQHGIVPNVVHYGCMVDLLGRAGLLKEAHKFIKDMPMKANTIIWGALLAACRVHKDVEMAEMAAKQLLQLEPENGAAYVLLCNVYAACKKWDNLRELRSVIMERGIKKTPGCSLIEMNGGVHEFVAGDTSHPQSQEIYLKLEEMTENLKLAGYLPDTSEVFLDISEKEKEKAVSRHSEKLAIAFGLLSSGPDVVIRIVKNLRMCTDCHHVAKLLSSIYERELIVRDRTRFHHFRQGSCSCKDYW >CDO98303 pep chromosome:AUK_PRJEB4211_v1:4:4343914:4346828:1 gene:GSCOC_T00022351001 transcript:CDO98303 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSTTRSPSPIADLQSKLSRIAFQQEQLKIAFNHLHSHIRTSLIEAEDVFASLAVPLMMLVGLKTGEMAGEGRFSSIIINDKNNYYPSNYKFNVIGLSNCSPKLHQVLSFLGLNVAAKATKACKELIHKQKLQLMQLVQLLKQIEAQVNSSQDDIFQTLADQRASIQKLFQQAVAYVYATHQSCQSNGTSINMVKLLKITYDHVDSALGSVEIGVENLMTKLGDKMCNPMVQYVNELKTDVVTGTCPNLLAMVEEIGGEMRLRSLQLEEARKRVRAVEKSRIDALNKLRETEGRMEMLKEEQSFLSEIKDGSRGHSVSEKSVKLLYAGEDQAKDEKLLWELLRKKRKLQVPDSPFGAKELLGIGTCERYLRSKRAVSPISCRPNKTSQLAGLTPQTPRIEFRTPLGSSPSTTIHQVLSRKRITP >CDO98148 pep chromosome:AUK_PRJEB4211_v1:4:3236811:3238014:1 gene:GSCOC_T00022152001 transcript:CDO98148 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLALKNLQQRVAPASSSLPSFQCASERTVNSVQKRRWGSELLRRISSAAGKEDSAGQQVAVSEGGKKSNKLFPKKKQRSSLWKKEDDNFPPPLWEFFPSGLGNSLVQASENINRLLGNLSPSRLLGKFKEQDDLYKLRLPVPGLAKEDVKVTVDDGVLTIKGERKEEEEGSDDDDDEDDHWASFYGYYNTSVLLPDDAKVDEIRAEMKDGVLTVVIPRTERPKKDVKEISVH >CDP18306 pep chromosome:AUK_PRJEB4211_v1:4:21195204:21195281:1 gene:GSCOC_T00004331001 transcript:CDP18306 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDLYKEDYIELIIFIWYMSCLRW >CDO98094 pep chromosome:AUK_PRJEB4211_v1:4:2806966:2808408:-1 gene:GSCOC_T00022079001 transcript:CDO98094 gene_biotype:protein_coding transcript_biotype:protein_coding description:MOT2 [Source:Projected from Arabidopsis thaliana (AT1G80310) UniProtKB/TrEMBL;Acc:A0A178WGR3] MASEATDNATPLLVRPRQWWRNHCTPRSWTASVRLKTSLSSELSGAVGDLGTYIPIVLALTLVCNLDLSTTLIFTAIYNILTGLLFGIPMPVQPMKSIAAVAVSETPHLTVSQIAAAGICTASTLFILGATGLMSFIYHWIPLPVVRGVQLSQGLSFAFSAIKYIRYDQDFSTTTSSKSTSPRSWLGLDGLVLALFCLLFLILTTGDGGVPEDDEAMLRTNPDAYNRLRIRRHRRLRILSAIPAALLVFLLGFILCFIRDPSIVHEIKLGPSKIHVLKITWEDWKTGFLRGAIPQIPLSVLNSVIAVCKLSTDLFPGMDVSATGVSVSVGLMNLVGCWFGAMPCCHGAGGLAGQYRFGGRTGASVVFLGLGKLLVGLVFGNYFVKVISQFPIGILGVLLLFAGIELAMASRDMNSKEESFVMLVCAAVSLTGSSAALGFVCGIVLFLLLKLRQMDCSNFGFFKAKSVSVSTEEEDPAPNP >CDO97914 pep chromosome:AUK_PRJEB4211_v1:4:1493101:1503421:-1 gene:GSCOC_T00021860001 transcript:CDO97914 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEIIFPNNNDGCCSTHLIDGDGAFNISGIENFMKEVKLAECGLSYAVVSIMGPQSSGKSTLLNNLFRTNFREMDAFKGRHVSQTTKGIWMARCVGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKDTPLSEFFNVEVVALSSYEEKEEQFKEQVANLRQRFFHSIAPGGLAGDRRAVVPASGFSFSAQQIWKIIKENKDLDLPAHKVMVATVRCEEIANEKCGSFLENEEWRELEAAVQSHQVPRFGKKLSTILDTYLSEYDVEATYFDEGVRTGKRKQLEEKLLQLVQPAYQLMLSHIRSGTLERFKEAFDEALNGGKGFAMAARHCSETFMSQFDEACADAIIDQANWDSSKLRDKLRRDMDAHVASVRVAKLSELTTVYETKLNEALSGPVEALLDGANDDTWPAIRKLLWRETETALSGFSSALSGFEMDEESKEKTLSKLRDYARGVVESKAKEEAGRVLIRMKDRFSTLFSHDSDSMPRVWTGKEDIRAITKTARSSSLKLLSVMVAIRLDDEADSIEKTLSLALVDSKGSASTNKSSPSVDPLASSTWDEVPATKTLITPVQCKSIWRQFKTETEYTEASRRNNNWLPPPWAIVAMIVLGFNEFMTLLRNPLYLGVIFVGFLLVKALWVQLDVSGEFRNGALPGLLSLSTKFLPTVMNLLKKLAEEGQRHGNSNTQQNPPLASKSFRSGASEYGGVSSSASSEVTSAENGTEYSSPAHDKVK >CDO98542 pep chromosome:AUK_PRJEB4211_v1:4:6582445:6587467:-1 gene:GSCOC_T00022677001 transcript:CDO98542 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWQRARSFAEEAAKRSQELTNGISSSKLSDVVSEASKRSMEIAAEASKKSKEIAAEALKRADQIKSQLPPAAVALSNLVDTSTQAATPPAVSAADLEKFGVTDELREFVQSITMNTFRDFPLEDDSEVSDIPAVSNVRQDLTEWQEKHANLVLATVKEIKSLRYELCPRVMKERKFWRIYFILVNSHVDPYEKRYMEEKLKTGEKQENVQQEISSAGTTSGAALGGANQKSKNATSEQDLDVFLLGDTGDSDEGPDDGDDGFDDDFDKI >CDO97967 pep chromosome:AUK_PRJEB4211_v1:4:1872585:1876371:-1 gene:GSCOC_T00021920001 transcript:CDO97967 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLRGLLGMKKDKENVENSSNCSEKKEKKRWSFAKSGRDSGIGGGHKPVSIPVSDSAWLRSYISQTEKEQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGAMFNGGSGEKWAAIKIQNVFRGYLARKALRALKGLVKLQALVRGYLVRKRAAATLHSMQALIRAQAAVRSQRARRSTNNDYRYPPEMRARRSIERFDECRSEFHSKRLSASYDASLSGFDDSPKIVEIDTIKPRSRSRRITTTCMSDSGDDQPYQAMSSPLPCPVPARLSIPDCHHFQDYDWSFLGDECGFATAQSTPRFVNSGRSNVPVTPSKSVCGDSFFRPYSNHPNYMANTQSFRAKLRSHSAPKQRPEQGPKKRLSLNEIMASRTSFTGIRMQRTCAQVEEDLNF >CDO98667 pep chromosome:AUK_PRJEB4211_v1:4:8023484:8026902:-1 gene:GSCOC_T00022837001 transcript:CDO98667 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEAKHIHNSTLKTHKLSFMAQICLRLLATVATLAAAWIILTSKQTVAVFGMVVDARYSYSPAFKFFAYANVIVCAVSALSLLLLLVISYKSLVGMKFFYFFLHDLMVVTLLMAGCAAATAIGYVGQHGNSHTGWMPICDDFGKFCRKVAISVALSYFGVMVYLLLTIISAVNSRWIQIMSTLLMAGCAAATAIGWVGKYGNNHIGWTAVCDHFKNYCNRTAYSVVCSYAAVILYLLLTIISAKKSRNVQD >CDP15085 pep chromosome:AUK_PRJEB4211_v1:4:10211503:10214356:1 gene:GSCOC_T00042648001 transcript:CDP15085 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQDEQNNKSTNRASEPDLDTPNQPGLEFAQFAAGCFWGVELAFQRLEGVVKTEVGYSQGHVDNPNYKLVCTGSTNHAEVVRVQFDPTVCPYTNLLSLFWARHNPTTLNRQGGDVGTQYRSGIYYYNETQAQLAKESLEEKQKEYKDKRIVTEILPAKTFYRAEEYHQQYLEKGGGRGNKQSAAKGCNDPIRCYG >CDO98646 pep chromosome:AUK_PRJEB4211_v1:4:7728577:7730571:-1 gene:GSCOC_T00022810001 transcript:CDO98646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 17 [Source:Projected from Arabidopsis thaliana (AT3G17790) UniProtKB/Swiss-Prot;Acc:Q9SCX8] MASFRNKSMVLCLWLATSFGLVYESVLAELQRFEHPTKGDGTLSFLVVGDWGRQGDYNQSAVARQMGIVGEKLDVDFLVSTGDNFYDDGLTGEHDPAFVDSFVNIYKARSLQKQWYSVLGNHDYRGDVEAQLSPSLRKIDSRWICLRSFIVNAEIAELFFVDTTPFVKEYFYEPKNHEYDWRGVTPPKTYTRNVLKDLQSALRESTAKWKIVVGHHAIRSVGHHGDTKELVERLLPILRANNVDFYVNGHDHCLEHISDDKSPIQFLTSGAGSKAWRGDLKEMKGDGLKYFYDGQGFMSVKLTQTHLEIAFYDVLGTVVHKWTMSKQLHSSI >CDO97760 pep chromosome:AUK_PRJEB4211_v1:4:322830:324797:-1 gene:GSCOC_T00021649001 transcript:CDO97760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G15690) UniProtKB/Swiss-Prot;Acc:Q9ZQE5] MAASLNLKSSLRRGRFPHLFFICNCSVAAASVRPSIRSSCISKQLSAETLNLKPATFVSRNNFTTSTSQFFAPAAPANYQTSGGQNQMDHNRRGYPNYRHRSTHPMSNPAPASSYPGQGYSEPHSIPHQGGRAGLVQPQNNHGYNQGYQDQNPWKSSQHESQSVRFTPQGIQNRANLQSHGQGLQVVTDEFAPLSIVDLRNLCEAGNVTEALKLMEEGIAADAHCFNLLFDLCMKSKNYGDAKKVHDYFLRSTCRSDLLLNNKVLDMYINCGSMVDARRVFDHMPDRNMASWNLMINGYAINGLGDDGLAMFEQMRKLGLHPDEQTFLAVMDACASADAIDEGFLHFESMKTEYGIEPGIEHYLGLLGVLAKCGHLAEAEEFIAKLPFEPTAAVWEALMNYARIHGDIDLEDRAEELMVSLEPSKAIKNKIPTPPPKKQSAVNMLEGRNRIVEFRSPTLYKDDEKLREAMKQQAYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >CDP14912 pep chromosome:AUK_PRJEB4211_v1:4:26038030:26042290:1 gene:GSCOC_T00042400001 transcript:CDP14912 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMRKETIIKNNHVDYMRAERDILTKVVHPFIVQLRYSFQTKSKLYLILDFINGGHLFYHLYRQGIFSEDQARVYTAEIVSAVSHLHQSGIVHRDLKPENILMNADGHVMLTDFGLAKEIDESSRSNSMCGTTEYMAPEILLAKGHNKAADWWSVGILLYEMLTGQPPYVHSSRKKLQEKIINEKLKLPPRLSSEAHSLLKGLLQKDPSKRLGSGLRGGDEIKSHKWFRSINWKRLEARELEPKFKPDVSGKDCTANFDRCWTTMPPDDSPAATPTSGELFQGYTYVAPNPWLSSQ >CDP16427 pep chromosome:AUK_PRJEB4211_v1:4:17258009:17262104:-1 gene:GSCOC_T00018306001 transcript:CDP16427 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQILPLFDIFFQVEEQVQNISICLSVDFLLSNQMDISETRCLELQFSKQLAGRVLTGLEIKGEGHTALEVALVNPLTNQVVNSGPLASSEIEIVALKGDFGDVQGGNWTSEQFNENIVTEREDIPVKNEEFPKTKRKRPLLGGKTLLNLNKGKCYVKNIKFKHSSLWMKLSKFRLGARIPSTHSGIQVKEALSDQFTVEDRRNDLRNKSSGKHYPPSIDDEVWRLKNIGRNGPFHNRLSNANVNSVEDFLILLHRDPTTLRNILGKTMPTKKWDATVSHAQTCEDKKLYLYHPSESQQKKAVVFNVVGQVIWFFFNNQHFSDENLSASDKAHALDLVKTGFQNWEKVKSFDDEASLIYALSCFFAIDYSPNPLVVADFDGNNSPIANAYGNGDNPDLSCNVDYTSNPLVAASYGYNTLTANPYGNGDYPELSSFDDYFSGIDQVLSNCDSFIPQISVEDEYPQNYNPSNHLQTEQQNAVSVVLEDPVLSDRGHRRWRMLSNAVRWISLRRISAIKSCFANKRHIIQ >CDO97863 pep chromosome:AUK_PRJEB4211_v1:4:1126069:1127445:-1 gene:GSCOC_T00021795001 transcript:CDO97863 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHHKYCYRKERENSSPSFSSTLLDAIYRSIDETEHPQEGQDELVLYKETMRKKHSSNLSNFRADHAGFKDEIDMANFQRACLIEKWMEKKVVVRRKSAADFERKSRNEDAAAFFNSSSSSSDSSSGGGFSSSDAESVYGAPARSSSCYGYGLHKPKPIRTSVSAHQPEKLNKRNKDFNCNMYEDGGHNHQPKPKQEGGAFVKTKSRALKIYGDLKKVKQPISPGGRLASFLNSIFTAGNAKKAKISKVKSANASTCSSASSFSRSCLSKTPSCRGKSSNGMKRSVRFCPVSVIVDEDCQPCGQKSLYDDKPSMDLEAVKNSIRSSIAEELKFHVMEKNRKVEEAARDLLRNFQKKVEHEEEDDDLDDDAASYASSDLFELDNLSAIGMERYREELPVYETTNLGTNRAIASGLIL >CDO98406 pep chromosome:AUK_PRJEB4211_v1:4:5224674:5226316:-1 gene:GSCOC_T00022488001 transcript:CDO98406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B9 [Source:Projected from Arabidopsis thaliana (AT3G18260) UniProtKB/Swiss-Prot;Acc:Q9LJQ5] MASRLRASDNGTATKVKLFGRGQRSIHDALGGGKAADLLLWRKKRVAGAVLAGVAVVWYLFEVVEYNFITLLCHVLITSMLALFIWSTAANFFQWTPPNIPKTALKESTFTEVASTFHSKFNQILSELLRVACGNEPKLFFLGLISLWILGVIGNYISTLNLLFFSILCAETLPFLYEQYEEEADNLAGKLYKQMRSTYRKVEADVLAKIPRGPLKEKKET >CDP14920 pep chromosome:AUK_PRJEB4211_v1:4:26113741:26116383:-1 gene:GSCOC_T00042411001 transcript:CDP14920 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRYAGEMLRHLDKQNELLADAHRSMLHELHKLQVEEEMLMRKFYEFMSAQGLTRRNEDGNDMQRDCNNGHGKTLVQVIADK >CDO98465 pep chromosome:AUK_PRJEB4211_v1:4:5828599:5830976:1 gene:GSCOC_T00022565001 transcript:CDO98465 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGAILCQISSLKDMLDHVNEEIEKNIQITRDIESEIVKCGEIETALIARELELMRTAYMLQLEIHGLKIVTDDSTSSVKVLENEICCLRMKKNEIKRRMNSKREQFMVVCLDFQEEISKRENDRASVLLEEKQVLENEIDDLNKKNNALKNSMMAFMEDIVEDLQGSISALQVEIQARNFENDKMLKDIEELKATLLSAISFGLQISSKSHVFFISSKILGYYLEYFKPLLSCNCLFYKVLQTL >CDP16409 pep chromosome:AUK_PRJEB4211_v1:4:17869806:17870958:-1 gene:GSCOC_T00018273001 transcript:CDP16409 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQCNWSNLLQCFPLLIQELITFIELIKNPRYNFWSRIFTRCAPEIENLHGQGGKIFILASFFLFNFSNG >CDO98632 pep chromosome:AUK_PRJEB4211_v1:4:7624280:7627493:1 gene:GSCOC_T00022792001 transcript:CDO98632 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDIINHPSSSSEPFTCGENGINSKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNQKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNLGRIRSESHSLQPAATACLSRTASSPSPSSDTNVSASPWPVLMAKPVAMDTKFVAPTRDSNKSPHNLELQLLTTSSSSPMDMISVSKKDEDHSALLQLSIGSSDFNEKNEMESSNSEKPIMDASRIKEEAREQLAVAISEKAFAEEARRQAKRQIELAEQEFANAKRIRQQAQAELEKAQALKEQATKQINATIWQITCQTCKEKFNASRTARAAAHAPHHESALTLMGYISSALSDGEVVKGDRLVHPKYALC >CDP12749 pep chromosome:AUK_PRJEB4211_v1:4:12492177:12497966:1 gene:GSCOC_T00037383001 transcript:CDP12749 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDAGGASLPSGPDGTKRRVTYFYEPNIGDYYYGQGHPMKPHRIRMAHNLIVHYSLHRKMEINRPFPAKRDDIRRFHSQEYVDFLSSVTPVTVHDHTHSRHLKRFNVGEDCPVFDGLFEFCQASAGGSIGAAVKLNRQDADIAINWAGGLHHAKKSEASGFCYVNDIVLGILELLKVHRRVLYIDIDIHHGDGVEEAFFTTDRVMTVSFHKFGDFFPGTGHIKDLGVGQGKYYALNVPLNDGMDDGSFRDLFRPVIQKVMEVYQPDAVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGIEPDNKLPYNEYYEYFGPDYTLHVEPSLMENKNSARDLERIRNMLLEQLTKLTHAPSVQFQTTPPITEAPEVAEQSMDQRPQPRIWNGENYESDSDEDEKPRHRSINSNVTPMTDADMRDISD >CDP14362 pep chromosome:AUK_PRJEB4211_v1:4:22037879:22038360:1 gene:GSCOC_T00040710001 transcript:CDP14362 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFGIFLGLGASRQPDLQAEVENLSMEERRLDDRIRLEMQERLRDLSAINQKWLFVTFEDIKAVPCFQVLFY >CDP12315 pep chromosome:AUK_PRJEB4211_v1:4:27222431:27227011:-1 gene:GSCOC_T00035780001 transcript:CDP12315 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILPLSLPMHILMQRAVENVGTKEMVKSLIGGTRRFSISSLLKPCSPISLHFRPSMQLTSRSSWLALRNFSHGRVNLVISPQGKPKFETHEIELPKRDKWMTKKTLKMQRKKEKQKRKAANKRDPRRLGVKGKKKKQRFANAEERIKYKLERAKIKEALLIERLKRYEVNKVQGPVVKPHELTGEERFYMKKMAQKRSNYVPIGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQVQDCASEIARLSDGIPIQIIGDDTIVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYE >CDP18323 pep chromosome:AUK_PRJEB4211_v1:4:21620482:21621081:-1 gene:GSCOC_T00004360001 transcript:CDP18323 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSGGTIPPQLGNLSFLVSLDISRNNFLIELPHELAHLRRLRYLNLGSIFCFMGSLPPSVCNMSNLETLWLSFNSIDGTIPAEFQSQCSLLQVLSLSMNHLTGIIPKQIGNLMMRGPTI >CDP14872 pep chromosome:AUK_PRJEB4211_v1:4:25396409:25398936:-1 gene:GSCOC_T00042345001 transcript:CDP14872 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLGMWIANVVGIITLLGWGLWWWNYFRFALPVTFWRFGGRTTTKLPPGHMGIPFFGEMLHFLWYFKVILRPDDFINNKRDKYGDGEGLYKSHLFGSPSIIASSPSANKFILQSDANFGMGWPATQIIGNNSLLFLQGSSHARIRGLVVKAINQPDALRKIAVMVQPRIVAALRLWSEKGRIVALQEAKKVTFENIGKYFCGFEPGPHLDTLDQLFAGMIKGVRSQPIKIPGTAYHHALQASKFTLKLK >CDO98659 pep chromosome:AUK_PRJEB4211_v1:4:7905225:7909029:1 gene:GSCOC_T00022827001 transcript:CDO98659 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPLDLVKCNMQIDPAKYKGITSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEFIADVALCPFEAVKVRVQTQPGFARGISDGLPKFIKAEGPLGLYKGLVPLWGRQIPYTMMKFASFETIVESLYKYAIPTPKEQCSKSLQLGVSFAGGYVAGIFCAIVSHPADNLVSFLNNAKGATVGDAVKNLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGAAPPARAKA >CDO97927 pep chromosome:AUK_PRJEB4211_v1:4:1592727:1596563:1 gene:GSCOC_T00021875001 transcript:CDO97927 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPPPLRYDPYYPQPPYFPPENYGFSTIPTVPATTTRDPKGGINTLFVSGLPDDVKAREIHNLFRRRPGFEFCQLKYTGRGNQVVAFATFVNHQSAMAALHSLNGVKFDPQTGSSLHIELARSNSRRKNKPGSGPYIVIDKRTKSSNDAHETSSDDGDSDDPSRPNNPDSANKDDSVVEKSSEVAAEADNTLAPETEQVEKAVDGTQACSTLFIANLGPLCTEDELKQALSQCPGFNSLKLRARGGMPVAFADFEGVEQASEAMNALQGSLLSSSDRGGMHIEYARSKMRKP >CDP12352 pep chromosome:AUK_PRJEB4211_v1:4:27920752:27922089:-1 gene:GSCOC_T00035840001 transcript:CDP12352 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTCFKIDSDSICDCVIVYISLVCISCTGSFFRGFLCFSKRKIKNIVLHFNLVKTIRKKILRNSNVEKNLSINVEVERKLAHNSSGLQGFLVFHAVGGRTGSGLGSLLLERLSVDYGKKSKLGFTICPSPQVSTAVVQPYNSVLSTHSLLEHTDVSMLLDNEAIYDICLHSFILLVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMHSSYAPVISAEKAHHEQLSVAEITNSAFEPWFKDSVESSLERGFPIRYRDEMTLR >CDO98475 pep chromosome:AUK_PRJEB4211_v1:4:5975359:5982473:1 gene:GSCOC_T00022583001 transcript:CDO98475 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEPFNRLVKLAARAFYDDITTKGDNQPKTGRSDNRGIAVVVLDALTRRQWVREEDLAKDLKLHSKQLRRTLRFFEEEKLVTRDHRKETAKGAKVYNAAVAATADGHHNGREGDEKIKMHTHSYCCLDYAQIYDVVRYRLHRMRKKLKDELDNKNTVQEYVCPNCGKRYNALDALRLVSMLDEYFHCEICNGELVAESEKLTAQEMGDGDDNARRRRRDKLEDMLKKMETQLKPLMDQLNRVKDLPVPEFGNLQAWEARASAAARAANGDLNGNDPSKSAQGLGFGGTPMPFLGDTKVEVDFSGTEEKGENIKSDSGSTPMKVLPPWMIKQGMILTKEQRGEVKEEAKMDGSSAPAGSSDEKKSAAETDVKNIQDEYFKAYYAALLQRQHEQEAALREQGLPNSSISNGAADTSFERQVGLKSKRDDQDDGDDVEWEEAAPEGNTTSENFKVNDLNVQADASGDDDEDDGLDWEEG >CDP19449 pep chromosome:AUK_PRJEB4211_v1:4:17177540:17183491:-1 gene:GSCOC_T00012378001 transcript:CDP19449 gene_biotype:protein_coding transcript_biotype:protein_coding MYNFSRISKSEEWLATQDCALSAGEWADSLTNISIGDLLTEASHNGEVNCIDSTELRNSHCLRQIPFSCDSFDAAIAAHIYKHQSRSALQPPLHTNTSSIWDGEETCDAFVFQKNSVFSEEFQNASRISPPETSSEITSTSSAASGKAQESDPEEPLTDDPMHGDIVDKCHSDQHSFDDSQRDLNGLTDIYWPDSLGALDLDISSCRYPSDDLILSDSLGGLNRLIASSAVWMHSRVAPFLGRTRKSLHRLLKLERLLPTSKSALKFEIKATLLHRTGQFKRIKRKEHGGCDHTSTNAPDPIRTPKLSVLGRQYY >CDO98591 pep chromosome:AUK_PRJEB4211_v1:4:7190249:7191877:1 gene:GSCOC_T00022746001 transcript:CDO98591 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVFLFSFSCLFMLLNVVASAKFSELFDIIWAPDHITTQGDGVILTLDKESGWGFMSKNKYLLGKMSAQIKLVQGDSAGTVTAFYLSSDGSDHDELDFEFLGNVSGEPYLVQTNVIFNGIGDREQRHGLWFDPTTDFHNYSFLWNHRFIIFQVDEVPLRVFEKKEEIGIRYTISNISTNGTKCSKPGQFWWDRSSNKKLDKDQRRQLNIVQEKYLIYDYCKDTARFTQLPKECQN >CDO98584 pep chromosome:AUK_PRJEB4211_v1:4:7106982:7107532:-1 gene:GSCOC_T00022735001 transcript:CDO98584 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGKLVNGLEIAVKRLNRMSGHGIEQFKNEVKVISKLQHRNLVRLLGSCIEKEERLLVYEYLPNNSLDSVLFDAAKRNMLDWKRRLKIIEGVAQGLLYLHKYSRLKIIHRDLKTSNVLLDADLNPKISDFGTARIFGENEMHGSTMNIVGT >CDP16907 pep chromosome:AUK_PRJEB4211_v1:4:13163725:13168786:-1 gene:GSCOC_T00005254001 transcript:CDP16907 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDNYVENHHVKKYIKCCGSDFFFQILVVIILMLFAGLMNGLTLGYMSMNLVDLEVLVKSGASKSRLYARRILSLVKRRHLLLCTLLISKAFAVEALPIFLHHLIPETATILISSALILIFSEIIPHSVCSKHGLVAGAAMAPAVHLLVWICFPAAYPISKLLDFLLGRGRIALYRRAELKTLVDLHGNQAGKGGDLSHHEVSIIKGALELTEKTAKDAMTPASEIFSVDINAKLDRDLLNLILVKGHSRVPVYHDHPSHIIGLILVKNLLTMNPAEEVPVKNITIRSIPRVPETMLLVELLNQFQRGLSHMAVVTRPRTGKFEKPAFRPPDNEREIRLEVLGQSLLGGRSFKRSLRMLKTLPGNDNVSRRRNSRSRRWSGESHPEILNISDNPLLTVPEEEEVVGIITMEDVIEELLKEDIYDEMDHLGSSRSSFRGSSQRILNDQEARYGSTEFSDRSTIKLLP >CDO98186 pep chromosome:AUK_PRJEB4211_v1:4:3498398:3505399:-1 gene:GSCOC_T00022199001 transcript:CDO98186 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRTKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQANGARAPSPAGNPLLGSVPKAGGFPPLGIHGPFQPTPAPVPAPLAGWMSNPPAVTHPAVSGGPIGIGAPPVPAVLKHPRTPPATSVDYPSGDSDQLSKRTRPISLTEEVNLPVNVLPVSFPGHGHSQAFSVPDDLPKTLARTLNQGSSPMSMDFHPIQQTLLLVGTNVGDTGLWEVGSRKRLILRNFKVWDLSACTMPLQAALVKDPHVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGNDDIRQHLEIDAHVGGVNDLAFSHPHKQLCVITCGDDKTIKVWDAASGSKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESHIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTRNRFLAAGDDFSVKFWDMDNVQLLTTIDADGGLPASPRIRFNKEGILLAVSTNENGIKILANNDGLRLLRTFENLAYDASQAEAATKPSLNPIAAAATNSSGLADRVASVVSISAVNGESRNLGDVKPRLNEETNDKSKIWKLTEISEPSQCRSLKLPENLRVTKISRLMYTNSGSAILALASNAIHLLWKWQRNDRNSSGKATASTSPQLWQPASGILMTNDVIDSSPEEAIHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLCVWNSDGWEKQKARFLQIPSGRTPMAQSDTRVQFHQDQIHFLVVHETQLAIYETTKLECVKQWLPRESGAPISHATFSCDSQLVYASFLDAAVCVFTATHLQMRCRISPPAYLPNSISNSNVQPLVIAAHPQDPNQFAIGLSDGAVHVFEPLESDGRWGVPPLVDNGSASSLPASAAGGSSSDQAQR >CDO98274 pep chromosome:AUK_PRJEB4211_v1:4:4135399:4139661:1 gene:GSCOC_T00022314001 transcript:CDO98274 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A' [Source:Projected from Arabidopsis thaliana (AT1G09760) UniProtKB/Swiss-Prot;Acc:P43333] MVRLTADLIWKSPHFFNAIRERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLENFPFLNRLGTLLLNNNRITRINPNLGELLPKLHSLVLTNNRLTNLVEIDPLASLPKLQFLSLLDNNITKKPNYRLYVIHKLKSLRVLDFKKVKQKERLEANSLFASKEAEEEAKKVSTKTFEPGEVPSTPEAPKEEQAPKAVAPTQEQILAIKAAIVNSQTLEEVARLEQALKTGQLPADLNIGEIDDAGESENAREDKMVTDSEEKTNDQPGKAESENKNDGPEEMEQE >CDP19381 pep chromosome:AUK_PRJEB4211_v1:4:24665373:24667808:-1 gene:GSCOC_T00002609001 transcript:CDP19381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g25360 [Source:Projected from Arabidopsis thaliana (AT1G25360) UniProtKB/Swiss-Prot;Acc:Q9FRI5] MSATAAAALAMVEETIHHVDRRAVANRCAAQLQLCCPNSPTSYTRARTIHGHMITSGFKPRGHILNRLIDVYCKSSNLGYAKKLFDRIPQPDVVARTTLIAAYSASGSPKLARAIFDGTPLRVRDTVIYNAMITCYSHNDDGNAAIRLFNDMSKNGFWPDNFTYTSVVSALALIADQEKHCGQLHCAVVKSGTGMVISVMNALISVYVKCAASPLVSASSLLGSARKLFDEMPVRDELSWTTIITGYVKNNELAAAREVFDGMEEKLVVAWNAMISGYAHKGYSSEALEMFRRMCLLGIKHDEYTYTSIISACAEAGLFLHGKQLHAHILRTEPTTGGEFSVSVSNSLMTFYWKCNKIDDARKIFDKAVVKDLVSWNAILSAYVSARRIREAKSFFDQMPEKNSLSWTVMIAGFAQNGFGEEGLKLFNQMRLNGIEQCDYAFAGAITSCAVLAALEHGRQLHTQLVLLGFDSSLSAGNALITMYARCGVVEAAHFLFLTMPYVDSVSWNAMIAALGQHGHGCQALRLFEDMLGEEIAPDRITFLTVLSACAHAGLVEEGSRLFNSMYENFGISPGEDHYARYIDLLCRAGKLLEAKDVIHSMPFEPGAPIWEAFLAGCRNHRNIDLGVQAAERLFDLIPQHDGTYILLSNMFSSSGRWDDAAKVRKLMRDRGVKKEPACSWIEVDNKVHVFLVDDTVHPEVLAIYEYLKELGLRMRKLGYVPDTRFVLHDMESEQKEYALSTHSEKLAVVYGLLKLPPGATIRVYKNLRICGDCHNALKFMSLAEQRVIIVRDVKRFHHFGNGECSCGNYW >CDO97821 pep chromosome:AUK_PRJEB4211_v1:4:761587:767765:1 gene:GSCOC_T00021734001 transcript:CDO97821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to (S)-2-hydroxy-acid oxidase, peroxisomal (EC 1.1.3.15) (Glycolate oxidase) (GOX) (Short chain alpha-hydroxy acid oxidase) [Source: Projected from Oryza sativa (Os07g0616500)] MAAEPVNVTEFQELARQALPKMYYDYYAGGAEDQYTLKENVEAFHRINILPRVLVDVGKIDISTSILGYRTSAPLMIAPTGMHKLAHPEGEVATARAAAACDTIMAVSFSSSCPLEEVASSCNAIRFFQLYVYKRRDISALLVQRAERSGFKAVILTADTPRLGRREADIKNKMIAPPLRNFDGLISTDVVTDKGSNLAAYASATFDASFCWKDITWLKSITNLPILIKGVLTPEDAIKALEVGVSGIIVSNHGARQLDYSPATISVLEEVVEAVQGKIPVLLDGGVRRGTDIFKALALGAQAVMIGRPVLFGLAANGEYGVKRVINMLKEELELSMALAGTCTVKDITRGHVRTQHDRPLCKI >CDP12400 pep chromosome:AUK_PRJEB4211_v1:4:19788476:19789849:1 gene:GSCOC_T00035916001 transcript:CDP12400 gene_biotype:protein_coding transcript_biotype:protein_coding MANKTVIIATLNAAWIASNSANFMTPDYLKMMWRRMEFLQIVLQMGYSFIVTDVDILWLRDPFPHFYGDADIQVSSDHYGDNSTDSNNIANTGFYYVKSNEKTIKFYKFWYKSKDRIPGSNDQDAFNGIRRDPLINKIGLEIEFLDTAFFGGFCKPSKDLNLVCTMHANCCVGSDKIHDLRMSIEDWKKYMALPIEERTAKPQSWTVPRICGN >CDP14370 pep chromosome:AUK_PRJEB4211_v1:4:22342743:22344950:1 gene:GSCOC_T00040727001 transcript:CDP14370 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTLVTTFLALLVIVHIGADSAAPDDVGFIYEGFRSSNLSLDGIAKITDNGLLRITNITKLQMGHAFYPDPINFKSTSNGSAFSFSTQFVFAIVPQVSGLSGHGMTFVIAPTRGLPGGLPTQFLGLFNDSTNGNASNHVFAVELDTIQTHEFNDINDNHVGIDINSLFSTASQPASYRDNNKNSFDNLTLSSAQRMQLWVEYDGESKVINVTLAPIAVAKPNTPLLSLSYDLSPILRQTMYVGFSASTGSLAAAHFVLGWSFKMNGVAQALDLSRLPKLPRFGPKKVSKFFTVGLPLICTFLLLLVISGVAYHLRRKWKFAEVMEEWELAYGPHRFKYKDLYIATKGFREKELLGAGGFGRVYKGVLPTNKMEVAVKKVSHQSRQGMREFIAEIISIGRLRHRNLVPLLGYCRRKGELLLVYEFMSNGSLDKFLYNQPKSTLNWSQRLRVIKGVASGLFYLHEEWEQVVIHRDVKASNVLIDAELNGRLGDFGLARLYDHGTLPQTTHVVGTIGYLAPEHNRTGKATTSTDVYAFGAFLLEVACGRRPIDPQAPEEDVILVDWVFSCWKAGDILRAVDQNLGTEYVKEESELVLQLGLLCSHSEPSIRPSMRQVLLYLDGSVLLPELSSLGISAVGLGFANPGSSDGISSSLSPIDNGFSHTVTESILSGGR >CDO98182 pep chromosome:AUK_PRJEB4211_v1:4:3473142:3474814:1 gene:GSCOC_T00022193001 transcript:CDO98182 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKLRLPDSNAHQRLSTVLSPFHVKTHVQENIFFDGPNSELATNLAALRLRFYDLDSQCVLSLKAKPVMSNGISRIQEDEEPLDPVIGRASVAEPWRLLLMADHSSEIMKRVREEYGVVGDDNKCLVCLGGFRNVRAVYEWSGLKLELDETNYDFGTCYEIECETSEPERAKNLLEELLRSNGIEYSYSKANKFAIFRAGKLPQ >CDP12422 pep chromosome:AUK_PRJEB4211_v1:4:20264672:20276195:-1 gene:GSCOC_T00035951001 transcript:CDP12422 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGGNPIHRSSSRPQLDVSGAAIQGNFEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDRPVNDRIKKTIKERLGISNGNRRSYPVLGGRLHFVKFETNKINQCLDFISSKQLHCGGMDAHLWHSGVSNENAVIKATGGGAYKYADLFKERLGVSIEKEDEMNCLVAGANFLLKAIRHEAFTHMEGHKAFVQIDQNDLFPYLLVNVGSGVSIIKVDGDGKFERVSGTNVGGGTYWGLGKLLTKCNSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISDNKDLEDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVQFWSKGTSQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYIGGNVHGPPLGDLNEKASVCCMKSDLLIRNGLPASAMFFVIDLSSLSVTGCLVFLDVSLWLVTWKTTNFSLFRNLDGFGSKHAYGIFFLFSSFSTVFLQQYYFLFFLEQMKTWDLKLMFMVYCTCVGFGGYISWMEKFILKGTEITAPVPMAPPGTTGLGGFEVPSSRGGILRPDASKLNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSNPGELEYWFTVLSGHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFHFFDAYRSIKQRENEASLAVLPDLLMEIDSMDEETRLLKLVEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWQASIMKTFLQKLLKIDIEDIQ >CDP14837 pep chromosome:AUK_PRJEB4211_v1:4:24884150:24886636:-1 gene:GSCOC_T00042303001 transcript:CDP14837 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRTFGILCEMIRDTGGLKATRNMSIEEIVAMFVYVLAHHKKSRTICGLFWRSRETVSQLLRCLRWDINRCDTPTEQKSRYRTRKGSIATNVLGVCCYYLVDAGYCNADGFLAPYRGQRYHLNEFNVMKKMENDEIVRGRGKNKCFWTGEEVKVLIESLQELACDPMFHAIVEMCKESGCSWNDAEKKISYEKQWYDDWCKTHKDAKGLWDVKFPYLGDLEIVYGRDRATGNVAEDFTQTVQDMEAVQNLEEGDEGLDAMSNSDNDKVEEDEVNSMEQSTQPSSTSTRNSKKQKKQSPPIANVSKKMKSASTTRGDLDASLQLLTSKFGDFVEGIQANFTTIAAAMSNEDKREQLVSDRRDQVVAELMKLALPSGDVMNAADILSEQISKLHVFYNLPAEMKRQYVINLLYPPSTR >CDO97876 pep chromosome:AUK_PRJEB4211_v1:4:1251816:1253219:-1 gene:GSCOC_T00021813001 transcript:CDO97876 gene_biotype:protein_coding transcript_biotype:protein_coding MISGILILGCGRHIQPVSPDLRQFIRSMGMNLEAIDSRNAASTYNILNEEGMDCGCCASSLWGGGVGQSNGNKKSWKAGPHRSTNCSVMLGKVKDIKASSAEILSELLVTALDCLHPKEDNFLVQSTEGTNGKDSVEHNSSCAKPKKRRTKKEDELTARKSRCLPFCSLLTFTPQ >CDO98067 pep chromosome:AUK_PRJEB4211_v1:4:2559235:2564305:1 gene:GSCOC_T00022043001 transcript:CDO98067 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNRRKRTRISAYMSQETGNERSSGARRFVLFVGFSVIPVLKLTAKAFESWPQDGSDLKSVEQDRRAEQKHQGDASHNPFVSLLSGLGILGSGVLGALYMLSLKEKATSEAAIESMRTKLKEKEAAIVSMEKKFRSDLMNEKESRNQQVTKFHEEQRSLVSKLKSANDTVVGLGQELQKERKVIGELKATIDGLQTDLVKAREDKKEIQEKLTEKLESLGVLQEKTNFLSGEIKDKDDTIQSLSSALAKKELELEKLNSIYQQLQDQFAGLNSENEELKDKLLKNENELELKTAAVDDLNVQVGMLIAEKEESKRKFDAIKGEYNDLKSSSMKKAAEDAKLLEMQDLKLQQLEEQLESTSSEVSRSKLQIAGLSKERDDLRNTLDVELNKLESLEQELQTTLVNLEKSRNEASGLASQLQLARELCLELEVEVSKVRADFAETKETLQKKAEEARRDAEVLAGELTSVKELLKEKTEEILNVSNELAAVVQTRDELQKELVDVYKKAESAANDLNEEKAIVASLNKELKALDTRVCKESEARKSLERNLEEATRSLNEINQNALILSRELELANSQISSLEDEKDALYKSLGEQKQVSQEARENMDDAHSLIRQLGQERESSERRAKKLEEELAAAKGEILRLRSRINSSKTNVNDQHQQTVEVGAKAAAPVKRVARRRKALHLIEIIECNHLVCKFWNIHSDVLL >CDO98473 pep chromosome:AUK_PRJEB4211_v1:4:5929629:5931693:1 gene:GSCOC_T00022579001 transcript:CDO98473 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSSTNCTHNPIPFSWESVEHRPLFHSDINPNSKFEDPLLTSLFHFASPCIYEDAVNEFPWQQLDDTYLYQQQLSTAENSLAEIVNHMADPNSDPVHGLKQDWNKNGESTGEKVTRKRLSNKDRHSKINTAHGPRDRRMRLSLPVARKFFDLQDVLGFDKASKTVDWLLRQSSSAIEEIMRGLPRMRHSRSVGANTSVSSTSEGEVVSGIEDSIRDTTHDNQQANISVKMKAKSSSKKEKKAKLVRRTALHRLARESRKVARERARKRTIEKRKLGESRLCFAARDRESWGSFETDEGSGTPAQKIHPSIEGLTEVEELNPHERRLLETREYAAGEILLTTGNWNPSTIPVYQQNTEISNEHQFSDFQFCGKVWEAYDMSLGG >CDP12731 pep chromosome:AUK_PRJEB4211_v1:4:12194569:12196509:-1 gene:GSCOC_T00037355001 transcript:CDP12731 gene_biotype:protein_coding transcript_biotype:protein_coding MDISIAIQLSSNSLLGLLRNLFKDMENCKPTFWPIYAIVLMAAALYCFYIFSDVYRSSSSSFSLLPSTPAKIMASNSSHTTNTGNSSLAPISRLQNKLAKHIWEVPPAGSKMPPLKAFKLSKKLVQQRVKDNIIIVTYANYAFMDFVLNWVKHLTELGIDNLLVGALDTKLLEALYWKGVPVFYMGRQMSTIDVGWGSPDFQKMQREKAILIDAFLAFGFELLLCDSDTVWLKNPLPYLARFPDADILTSTDQLIPTVVDDSLEFCEQIHVHYNIGLFHWRPSNSSKKLAKEWKELILADQNIWDQVVFNDIIRRQLGPFVDQDSKVIYAYDGELKLGCLPSSIFCTGHTFFVQNMYQHLGLEPYAAHTTHQSCGTEGKYHRFREAKLLYDPPSYYDVPEGFLTFKPSIPKNLLLDGEHNIESHFALVNYQIKQIRTAFAIASLLNRTLVMPRIWCRMDTIWLHRPGDMVGSIMRQPFVCPLDSVFLVDVMVRGLPEEEFGPSIRIKEYSILDNPSMPRKVKDSWLDVDLCHEGSQGCQVSSYATINQTGVLKFPKNSSQETYWTVFSLFKDVKVLQFSSMEDAFIGFTDKLREEKFRKRMKAYIGRWCCVEDHSPGHIYYDIYWDEKPGWKPEPPRTPEDDHPPA >CDP16913 pep chromosome:AUK_PRJEB4211_v1:4:13203884:13206654:1 gene:GSCOC_T00005262001 transcript:CDP16913 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACQYIFFYHKATSSIISFKIFIIKKHIKASLQAQKIIASSNILFPFTKQIKLTVSSPIQSSFFPVRNQQLSSLSISRVSSMACLLMFILLVLFQAIIPVVLSHGNAQTCRSYCGNLTVDYPFATQSGCGHPGFRDLLFCINDVLMFHISSGSYRVLDIDYAYQSLTLHDPHMSTCDSIVLGGRGNGFVVEQWRSPFLNPTTDNVFLLIECSPESPLFEGFPGKHLPCGNVSGMGCEEYYSCPAWDIIGPKRVGPVYGSGPPECCAVSFEAIKAVNLTKLGCQGYSSAYSLAPLRLSGPDGWSYGIRVKYSIQGNEAFCKACEATGGTCGFDIDGNSDLCMCGSWNSTSNCDSVHSTSNRIRWTFMDALAGLLMTEIIWRNLPSLQ >CDP17951 pep chromosome:AUK_PRJEB4211_v1:4:8710820:8713807:1 gene:GSCOC_T00005602001 transcript:CDP17951 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQNPNRIIDGYSEEFEQAFLEHMKRSHRFSRIAATVVYNEYIADRHHIHMNSTQWATLTEFVKYLGRTGKCKVEETPKGWFITYIDRDSETLFKEKMKNKRIRADLAEEEKQEREIKKQIERAEQLMNGNEQEVQEKRLFEKSEDEKIKLSLGSLSKSNLKEESSRVVFDDVENESIGDKGNKGSGKMGSSVLDELMREEEKAKERSNRKDYWLCEGIIVKIMSRALEEKGYYKQKGIVRKVIDKYVGEIEMLESKHVLRIDQEELETVIPQIGGLVRIVNGAYRGSYARLLAVDTDKFCAKVQIEKGIYDGRVIKAIEYEDICKLAQ >CDP20576 pep chromosome:AUK_PRJEB4211_v1:4:8919882:8920235:-1 gene:GSCOC_T00000099001 transcript:CDP20576 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVERGIPETVVLNSGHKMPVVGLGCAAHPFDQLVSTFNDAMEIGYRQFDTAACYGTEEAFGKAVAKALEIGLIKSRDELLSLVNVIDADSFAQFSMISTAIDSYLLVSKLKHGSN >CDP16414 pep chromosome:AUK_PRJEB4211_v1:4:17663607:17664784:1 gene:GSCOC_T00018286001 transcript:CDP16414 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGPKANGTGSCQLKQLEVASVERSGAKQGVVLPISGSSLPDLNTSAQVSLFFQQPFTDLQQLQLRVQIFVYGSLIQGVAPDEACMVSTFGMCGGRSFWEPAWRACLERLHGPKLHPGSSETPVQSRSGPKTSEQGNK >CDO97855 pep chromosome:AUK_PRJEB4211_v1:4:1051845:1053059:1 gene:GSCOC_T00021784001 transcript:CDO97855 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHESVKEEVNPAVMLKNQSAEKGANRGSWTDEEDRKLAEAIEIYGPKRWKTIASKAGLKRCGKSCRLRWMNYLRPNIKRGNISDQEEDLIVRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKVHQKEKQSKGTAEMGCSRIRKKRKAMKEVKADRTREGNSSSGGAPGDSKLSFDVDDFFDFSNEDPMTLEWISKFTEMDDG >CDO98601 pep chromosome:AUK_PRJEB4211_v1:4:7274936:7280969:1 gene:GSCOC_T00022756001 transcript:CDO98601 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAPPESETDIKLPPGSFGWPIIGETMEFLFGKPEKFVGDRMKKYSPDIFKTKILGEKTAVICGPNGHKFLFSNEQKLFTAFRPHPTQRLFRSYQTKAGAGATLPPRPPPSTPVAADQRKPRIDEETKVIRQPGFLKPEALARFLGKIESITRHLLQTHLEGKDEVKVFPLAKTVTLTISCKFFLGTDNPERIARLVDHFDDVTVGMHSIMVNFPGTIFNRANQAAAAIRKELLDVIKEKKEAMAAGKPMQDILSHMIVVTDATGKRMPEAEIADKIMGLITAGYSTVATTITFLMKYVGERPDIYSKIRAEQMEIAASKKPGEFLEWEDMQKMKYSWTVICETMRLTPPLQGTFREVLTDFTYAGYTIPKGWKVYWTVSTTNMNPAYFKDPEKFDPSRYDSSGEGRGVAAYTYVPFGGGPRLCPGKEYARLAILAFVHNVVKNYKWEVLFPDEKITGDMMPTPEKGLPIRLQPASSS >CDP12310 pep chromosome:AUK_PRJEB4211_v1:4:27127941:27128486:-1 gene:GSCOC_T00035775001 transcript:CDP12310 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLQACGSPASTFLVFATIAASLLPEIAVCASLKSKSPPSLSPLALCWLSWTKERGKKHFVWLPAGCVLILMIR >CDO98541 pep chromosome:AUK_PRJEB4211_v1:4:6563832:6564256:1 gene:GSCOC_T00022676001 transcript:CDO98541 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSSSLFWDGKKWWDDMNDNVECMRDLTDFPARYLKGRRNLTRRSCSPVVDGER >CDP16520 pep chromosome:AUK_PRJEB4211_v1:4:15899238:15901975:1 gene:GSCOC_T00018881001 transcript:CDP16520 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKFSSITTDSGLEIKTVHSNKLDYLYEVSIEPEVVNPTSIPTINPYSAYGKQSFSPTRVIKSLIRAHPKGVKEYIQASKKQQGYTHIHFGAIRISLSFHGRKGLPVVARIALLDTRFKQYQHACIATTETTLNAGTVFVTLFPNFNMSLVDPHLLEALKVQVQIIGAEQVSDAIAATLHDQMVYRVQNHALDLAIPGGENALLIRVDEKNGASCTHVPRQISKQELIQLLPNDWITDYEDLHTQANEPLESSNSRISHTKEGRTSISFDHSHFKGLKQWEIHDDHPQNLQQVHRSQDIIKYFDKEGLPVSWFQDPISGHIYFDVCNICEECQIENILGLDLPDLSCKKRSKSKQVEPQPCKPDLDPQNPDTDSFVSQRSQFNGYQIPTEWISKNTKTSCPADFPKLETFNKNGSRHTPKIKNISSTILPSGETLRPNPTEDVLNWQTENSLVQNTALISIHKNISEAKDKIEQIDTTVSTQQSRVSHMIEVFEKRLQELKYIIPSDPSTLADFVLNQEKETKFIKDQVYVLKTTGQVPTYDVGPSTPLPKVSSMYGAVPFRNWPTPFYFGGVSVPSPSLYFTESQPQATKPFDIAATLRKYPAIEQPSSSQQEPPSSLMFSTYTNPTFSVEQERKANEATRVYDNPLSSVLDELHDDSVPYISTYTEFHDSSSKETSSEESPSESSSDESVSTDDSSEHSLEEETIPQIHMAEPEPEIVEPDENEETSFDQTQRATFPKSKGVPLFTIDNIPPEKWEARFHEFHAWMLA >CDO98273 pep chromosome:AUK_PRJEB4211_v1:4:4130905:4135079:1 gene:GSCOC_T00022313001 transcript:CDO98273 gene_biotype:protein_coding transcript_biotype:protein_coding MNILSFHYPFFPQNFFFFWVTAPMLPPIKAEQDPNPPPPQPETTTPINQEPQPTNTTTSLPSRPPQTPSSPPFVPSFLNSITYLRDLSAALETFHSCYYDLQAHLDSINSALDSQLSLQKSNLPSPPREILPIIPLPPPTSTRPPSNPSPVKEKEKGKGKSCQSELESLCTLMSSRGLRKYMVTNIGEPDKLREEVPKALELSPNPAKLVLECSGRFFLQGSKAYTKDSPMIPAREASVLALECFLLMENEGGDDRVIKIEKAIKEEAAEAAMAWRKRLINEGGLAKASEIDARGLLFFLGCFGIPAGFRNDDFRDLVRAGNVKEIAGVLKRSSVLGTKFSDIIGWMVKNKMAVDAVDVACTFGFEDKFNPQTILTAFLQESKETSKKTKRSTQGSLAALNEAKKKQLSALTSVVKCLESHKIDPSKLLPGWQINEKIKSLEKDIADSDKHIREKAVPKRKADQTDSSNLKSQEVKRSRYTGQGPQQQKVHIHIDSERNILDGVHGHINRSYALPSVLHGAGGRLLPEGIACSVVGIGGDVLGAGIGGGISGSAPSVVRTGSYAGVHSGALVDAAGHIIHYDGHPYGLRGDTALSERLAAPAYAAQPPSYGLASLYKRTSPSLDNFPGLPSNSTVAHRSSASDLYQFADSIVESESYHSGGSRAAGAIPPVVPAHHSSYLY >CDP12710 pep chromosome:AUK_PRJEB4211_v1:4:11517813:11523048:1 gene:GSCOC_T00037310001 transcript:CDP12710 gene_biotype:protein_coding transcript_biotype:protein_coding MERRFNRNLSNNVRGGSLGLQKQVIENRRNRNENAPDLTDFMNDMFFGTANTEKKVYNLRGGEGLDDDSDSFDSSRRSVSSRSTQEWLEEAKRMVAQSPARCESPSRLVGSPRFATAQSTTLLDKRDPLSRSARRHRTLEGVSGEILSKSAKHNRNKSQADLYSPSEAEESPASAIQKWFSNILKPQNGSTVYHNPTIPSDPIPPKPINNIGSPPLPPRQLTPRKSRFQKDIDGSQPHLIPPPSNYPLSKRTFKSPSNINPSATTNLATILDTQLLSPPKHLVESAQRRSISASTCSVPETLMASNTAVSPQRRSVSVLPNDRPLTRDNLIEQLQAQESKNQALNRFLKEQRPTMKKIMSGEINGKAKIVLSGPSNSTSSMVAAICYTWLMENRMKADNEGRGSEGTNGELLVPVMNMRRGKMWKQKQAAWLFHHVGIDASALLFSDEVDLETLMMTKKLSILIIGEDILQKNGEVGSACTILTDNYCEDAYDLLQTPMLKKLLLAGILLDTQNLNPSAKLSLTRDSEAIQLLLVGSAPTYRNSLFDQLMQDQRDDAFSGVLQKNYVKPPTECNKGSTLDQQISDKNTDQEGVAPVADKSPKDAQNAKANTVSPKPGKPIPTPTKSPAVTPAKAPDTNRGKNTFFLAKWFGFGSK >CDO97952 pep chromosome:AUK_PRJEB4211_v1:4:1783121:1788387:1 gene:GSCOC_T00021903001 transcript:CDO97952 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAGSLETCIPFPSDPGSNYADNDFILFSVPIHIVTHESRLPTEFLHPSPKSQLVIGFDCEGVDLCRHGTLCMMQLAYSLIEEQHGQKRSSCENISFMRLIADRRY >CDO98291 pep chromosome:AUK_PRJEB4211_v1:4:4266521:4270269:-1 gene:GSCOC_T00022338001 transcript:CDO98291 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIFKNYYVLVSYAWNFQDNGPKLEPFAYIVLCFEADITTWIPLHSYTKGTEMCSDCSLLFSLVLLLLMATVRSTQVHLSNANGIRTAVFLSPKFELEPGSVAEKLFHNIDFPRGHIAIKSFDAEVVDETGNPVPLYETYLHHWIAMRYYRPKAVENSNSSSNQRAEKSDYVVIKNSGICDDLLPQYFGLGSETRKTAAVVPDPYGIEVGNPAEIPAGYEEGWTLNLHAIDTRGAEDKLGCTECRCDLYNVTQDEDGNALEPEYIGGMRCCYDETKCRLIEGYRSPRRGFFMKYTVKYVDWDNSILPAKIYILDVTARWQRSNNSKESSGHNCQIEYLIESCSTNTAHDDCIHTKSVSIVLPSGGEVIYGVAHQHTGGLGSTLYGEDGRVICSSIPIYGQGMEPGNESGYIVGMSTCYPKPGSIKISSGESLTLVSNYSNAQRHTGVMGLFYILVADPDQHSPNATSAPHASKTRLPHYVWVVILLFQAALVLALVITYRRKCRKSGYESIAT >CDO98102 pep chromosome:AUK_PRJEB4211_v1:4:2855297:2860382:1 gene:GSCOC_T00022092001 transcript:CDO98102 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVVQSAAVLVAIADVLVPCFLIQSFTCVTCYSFREHLRRYGFRSSLMDIPLVSIIRSLIIICVYSMCDGPALSHGPYLGTVTLCSVASVLLLSVKACIFTVNSQLEAEASSSLTRQKLHLKKSWGMPVLFLSSVVFALGHTVIAYRTSCRARRKLLFHRVDAEAVLSCKIVFSGYQKVPRSPTPSAGRTLRSGSEMKRKPGLLARDQGDVPVRLLADIDSLFMSCQGLILHYKLSTPGSPARSLSSTSFLGKQPFKVLPKDQYHLRRSFSNQFSTSSLSTPLLDGSPTSPVLSEDMPILSLDDTVDDDEGSKLGSPVLEQDLEAYGQFGIVLIHGFGGGVFSWRNVMDVMARQLGCPVTAFDRPGWGLTSRPRCKDWEENQLPNPYKLDTQVDLLLSFCAEMRFASVVLVGHDDGGLLALKAAERIQSPDNPTSVEIKGVVLLSVSLSKELVPGFARILLRTSLGKKHLVRPLLRTEITQVVNRRAWYDATKLTTEVLSLYKAPLCVEGWDEALHEIGKLSFETVLSPQHAESLLKSVEQLPVLVIAGAEDALVPLKSVQAMASKFVNSRLVAISGCGHLPHEECPKALLAAISPFISRLILNPDLQSQ >CDO97874 pep chromosome:AUK_PRJEB4211_v1:4:1239359:1247724:1 gene:GSCOC_T00021810001 transcript:CDO97874 gene_biotype:protein_coding transcript_biotype:protein_coding MDSREQKLEQFKGQTRLPNFAVPKRYDLTLNLDLQVCTFSGIVLIDISIVQATKFLVLNTLDLAIDDVSFTSSENHNHIPTDIIVDNGDEILVLGFNDSLATGNGVLKICFSGTLNEQLKGLYRCTYVDGGVKKNMVATQFEAVDARLCFPCWDEPAFKVDCLLQGLSASTFKITLTNVPLELTALSNMPVSLEKHNGRHKTIYFEESVVMSTYLVAVVVGLFDYIEDTTDDGIKVRAYTPVGQSEKGKFALKIAVKALGFFKEYFTFPYGLPKLDMVAVPEFSGGAMENYGLIIYRENELLHDDLHSTVANTQRMTIVVAHEVAHHWFGNLVTMEWWTHLWLNEGFATWVSYLVTDILFPEWKIWNHFLQETTDGLQTDALEQSHPIEVEIHHARSVIEYFDAISYKKGSAVIRMLQDFLGNEIFRKSLSSYMARYAWGNAKTEDLWNVLSEVSGAGVSKIMSIWTKQTGYPVISLSLKDCSLEFKQTQFLSSGLHGDGRYWIIPITLSLGAYDRRKNFLLESEFGELDIADICHTGGSSNMHEKESAEDFQEEFWIKANVHQAGFYRVKYDDGLLTQLAKAIKSNCLSAADEFGILDDTFALCEACEIPLSSLLSLIEVYKKDLEQITLSRLIDVCQNVAKIAHDAIPDLLATLKQFFINLLLLCAESLGWDVVPGESQLTALMREEVLMALVRFGHRETCEEALKRFQAFLDDRKTTLLPVDTRKAAYIAVMRNTSNDIRNGLEHLLRLYREVDAVQEKTRILRCLASSSDTTIVLEVLDFMFSDEVRSQDIIYVASGISLEGRSTAWTWLKERWDMILKKWGTGPLLHPLIRKIVTPFCSHEMADEIEAFFAPRVDPSFAMNVNQSLEILRIKARWADYVKQDAVLGEVVSQLASQK >CDO98223 pep chromosome:AUK_PRJEB4211_v1:4:3747225:3751976:1 gene:GSCOC_T00022245001 transcript:CDO98223 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSGQVVCVTGAGGYIASWIVKLLLEKGYTVRGTVRNPDDAKNGHLRELEGAKERLTLCRADLLDYQSLREAINGCDGVFHTASPVTDDPEQMVEPAVIGTKNVINAAAEAKVRRMVFTSSIGAVYMDPNREPEKVVDESCWSDLEFCKNTKNWYCYGKAVAEKAAWDEAKEKGVDLVVINPVLVLGPLLQPTVNASILHILKYLTGSAKTYANSVQAYVHVKDVALAHVLIYETPSASGRYLCAESVLHRGEVVEILAKLFPEYPIPTKCSDETRPRAKAYKFTNQKLKDLGFEFTPVKQCLYETVKSLQEKGQIPLPTQNDKPIKIHY >CDO98195 pep chromosome:AUK_PRJEB4211_v1:4:3565289:3566926:-1 gene:GSCOC_T00022211001 transcript:CDO98195 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFDEQRWVIQIRLSLEEELEEYNDLPVSIFSVPKTLMVSALDCFVPQVVALGPYHHWRSELYEMEKYKIASAKRTQKRLQSLKFQDVVDQLARFEPRIRACYHKYLDFNGETIAWMMAMDASFLLEFLQVYAAKEGKVLTRLSSRMSHLLDLAGTKSVHNAILRDMVMLENQIPLFLLREMLELQLSSLEEADNLLMSMLIGFGKELLPFKVMEELPKVEVTSYAHLLDFLYRVIVPELEGPSEITEIDEEGEIKEAEESYVGKPSQVKQLSHLVRKILSKLRISPELLIKRIFRSKPIKVILKLPWKFISKLPVLKLMKAPIENMCFSADKGGQKPENLNSTNIKKPPLVEEITIPSVTELSKAGVKFMPTNEGIFSINFDDKMATFRLPKICLDLNTEVVLRNLVAYEACNASGPLVFTRYTELLNGIIDTEEDAKFLREKGIILNHLKSDEEVAHLCNGMSKSVRLTTVPRLDKVIEDVNRYYNRRWQIKTSKFLKHYIFRSWQLLTLIAAIVLLSLTTLQAFCSVYSCSRVFRIDLDE >CDP14359 pep chromosome:AUK_PRJEB4211_v1:4:21974929:21977317:1 gene:GSCOC_T00040705001 transcript:CDP14359 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLIKLILLVVSALVSFASSQDLSITYNGFRSANLSLDGIAEVTPNGLLKLTNATRQEKGHAFFPNPVSFKNPPNSSAFSFSTTFIFAIVSEDPILSGHGIAFVIAPTKGLPGALPSQYLGLFNGTNTGNDTNHVFAVELDTIQSKEFNDINDNHVGIDINGLNSTQAKPAGYYSNDNGIFQNLTLFSGKAMQVWVDYDGTAKHISVTLAPIRAGEPSKPLLSLTYDLSSVLHETMYIGFSSSTGSVLTFHYLLGWSFKMNGVAQGLDLSQLPKLPRVGPKKKSKALTIGLPIILIASLSIGISGVIYHVRIKKKFAEVLEEWEREFGPHRFKYKDLYIATKGFRDKGLLGRGGFGRVYHGVLPSSKLEVAVKRVSHESRQGMKQFVAEIVSIGRLRHRNLVPLLGYCRRKDELLLVYEYMPNGSLDRFLYQQPEYTLNWNQRFRVIRGVASGLFYLHEGWEQIVIHRDVKASNVLLDSELNGRLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHTRTGKATTRTDVYAFGAFLLEVVCGRRPIEPHSPTEDDILVDWVFSCWKKGQILEAADANMGLDYVKEEVELVMKLGLLCSQSEPTARPSMRQVVLYLDSALALPDLHSLGISATGLSFASQEGFSDFNLSCPSSMDKPFSHASSSAAESLLSGGR >CDO98639 pep chromosome:AUK_PRJEB4211_v1:4:7664053:7666377:-1 gene:GSCOC_T00022802001 transcript:CDO98639 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTEEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADQNGTIDFPEFLNLMARKMKDTDSEEELKEAFKVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQVNYEEFVRMMLAK >CDO97767 pep chromosome:AUK_PRJEB4211_v1:4:369164:371016:-1 gene:GSCOC_T00021661001 transcript:CDO97767 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPYSYSPILTFLMVAAFISLPKSLSQQSPSYMGFVFNATEMPSEDYYDYIIVGGGTAGCPLAATLSENFRVLVLERGGVPYGMPNLMTQEGFLSTLVDLDATDSPAQAFTSEDGVPNARGRVLGGSSAINAGFYSRADPDFFRNSLINWDLRVVNQSYKWVEKAIVFRPELRIWQSAIRDGLLEAGIGPYNGFALDHVVGTKIGGSTFDSAGRRHSAADLLSYAKPSNIKVAVYASVERVLFASSPASAAPRQSAIGVVFRDKTGRFHHAMLREMGEVLLSAGAIGSPQLLLLSGIGPRPYLSSWGIPVVHHHPYVGQFLYDNPRNGISIVPPVPLEQSLIQVVGITSSGAYLEAASNAIPFASRAHNFFSRTPPSPVYFTVATLMEKIVGPLSAGSLRLASTDVRVNPIVRFNYFSDPADTERCVNGTRKIADVLRSRSMEDFKFSQLFGDRDFRYIGPPLPVDLSNDRLMGEFCRRTVNTIWHYHGGCLVGKVVDRNFRVLGIQALRVVDGSIFTVSPGTNPQATLLMLGRYVGMKILRERTR >CDP15011 pep chromosome:AUK_PRJEB4211_v1:4:9204510:9206039:1 gene:GSCOC_T00042538001 transcript:CDP15011 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLLLLVATSLLLSPYLPSLFQRFLDLKSGKLSFSHGPKSYPIIGCLVAFYQNRHRLLDWYTEILSQSPSQTMVIKRFGAPRTIVTANPQNVEYILKTNFNNYPKGKPFTEILGDFLGRGIFNADGHLWQVQRKLASHEFSAKSLREFVVKTLEEQVQNKLFPVLEMAVEKNMVLDLQDVLRRFAFDTICKVSLGMDPRCLDISQPVPPLAIAFDKASEICARRGVAPIPAVWKMRRALNLGSEKELKEAVDLVHGCVDDIIQTKKTKLNEIADKKTSNNDLLSRLLLAGLDDEMVRDMVISFLMAGRDTTSSALTWLFWLLSNHHAVKKNVMNELEILNLKEKPLDVEDLKEMKYIKACLCESMRLYPPVVWDSKHAENDDILPDGTIVYKGNRVTYFQYGMGRIEELWGKDCFEFRPDRWFDDNGMLKMVDPYKFPVFQAGPRVCLGKEMAFIQMKHVVASILRRYEIKKVDDNQPIFVPLLTAFMAGGLKVRIHRLSQPGVVIL >CDO98000 pep chromosome:AUK_PRJEB4211_v1:4:2188430:2189179:-1 gene:GSCOC_T00021962001 transcript:CDO98000 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVDWIVRMLHLEFACGPYSSYLILQAFDRDTVLLGVGKKRNALEEGVEPSTLWLTATRSNRLSYSSFLCICSSGIKFHIRPGRHHRLFGGLNGDDESGYGLWVFAESETRLK >CDO98024 pep chromosome:AUK_PRJEB4211_v1:4:2334063:2336069:1 gene:GSCOC_T00021993001 transcript:CDO98024 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATFAAVQPTAVKGLAGSSIAGTKLHVKPSSLGLKPSRTRAGPVVAKYGEKSVYFDLEDLGNTTGQWDLYGSDAPSPYNSLQSKFFETFAAPFTKRGLLLKFLILGGGSLLAYVSATASPDYLPIKKGPQLPPKPGPRGKI >CDP12719 pep chromosome:AUK_PRJEB4211_v1:4:12031498:12033658:1 gene:GSCOC_T00037335001 transcript:CDP12719 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQAKRLLSCLRVANSLHSTHLLRTRSRHTQVTGFPHHSSPFFPTKTFYPVSSLHESHHRKLFFSTKSEPIFDELLSKDWAKGLEKELSSLNPRLTHETVLYILMKLGKEPEKASSFFKWAIEEKGFRPSTSIYSLMLRIYAKNHAMKEFWVVIKEMKEKGYYIDEETYASIYSDFRNSKLVNDATALKHFYERMIQENAMETVVQSVVEVVKNSDWSSELERELEDMRFSVSENFVLRVLKELRGKGLPLKALSFFKWVGESLGYEHTSVTYNGMLRILCKGEVVTEFWSMVKEMKDAGYEIDIDTYVKVMREFQKSMMVKDAVELYEHMMDSPFKPLEKECSLLLRAIAHAQDPDLDLMLRVVKKYEDAGYCLLKNDYDGIHRCLTSVGKFDEAEKIIETMRNAGYEPDNITYSQLIFGLCKAKRLEEACEVLDVMEAQGCTPDIKTWTILIKGYCVVNEVDKALLWLGKMVEKGIDADADLLDVLVYGFLIEKRVVGAYQLVVEMIDKARVRPWQATFKDLIEKLLGERRLEEALNLLHLMKKQNYPPYPEPIIQYVSKFGSVDDAWGCLMAISNKQYPSVSAYQRVFQSFFNEGRHSEAKDLLFKCPHHIRKHSAISSLFGSSESNLEAVST >CDO97786 pep chromosome:AUK_PRJEB4211_v1:4:487566:494173:-1 gene:GSCOC_T00021690001 transcript:CDO97786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MGRKPFRKRAGYDGNHSSQGFGGQMNRTGTFSKPLQHDEASVPHASFVRKQVDPETSKYFTEIANVIEGSEIDLEERAVICGNALEEARGKEVQLATDYIISHTLQTLLEGCSVEHLCGFLRNCQSNFSLIAMDRSGSHVVETALKALAMHLQDTDNQSLIEDTLTTISRMIVVNPVDIMCNCYGSHVIRSLLCLCGGVPLDPSGFHSTKSSTVLAERLNSRVSPQSNNDLKNLQQRFPELLEFLVSEMLSCARKDMAVLRVNQYGSLTSLKLLARQEQLLLDIVPVILGCSSENANKGNLIENTVVQKLLPLMEKTAFSHLMEVILEVAPDSLYEELFVKVFRNSLFPLSSQQCGNFVVQALISHARTKDQMDLIWVDLGTKLKDLFEMGRSGVVASLVAACERLHSHENKCSQALAAAVCAMDESPRCIVPRILFLDKYFSSEDKSNCYWPDGVKMHVVGSLILQTIFRLPCEFIQSYITSITSLEDSQVLEASKDACGSRVIEAFLSSNASSKQKRKLVIKLCGHFGELSVHSLGSFTVDKCFDASSASLRETIVSELVPVQKDLLKTKQGPYLLRKLDVEGFAKRPDQWKLRQASKQSVLKEFYDAFGPPETKSSKNKSFVADANRKSQPDRMQEIRKEIDNSLVNVAPHFGNQFLAHQTSKKAKNSGRKRPRERTSRARDARGGGDLGNKKKKKHNRNG >CDP18933 pep chromosome:AUK_PRJEB4211_v1:4:14642046:14647115:1 gene:GSCOC_T00003255001 transcript:CDP18933 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLSSLLTRSVSLSSSFRGANYGVVSGMNRFSTATAAVEEPISPPVQINYTKLLINGQFVDSASGKTFPTLDPRTGEVIAHVAEGDTEDINRAVSAARKAFDEGPWPKMPAYERARVLLRFADLVEKHSDELAALETWDNGKTYEQAATAELPTFVRLFHYYAGWADKIHGLTAPADGPHHVQILHEPIGVAGQIIPWNFPLLMFAWKIGPALATGNTVVLKTAEQTPLTALYVAKLFHEAGLPPGVLNVISGYGPTAGAALASHMDVDKLAFTGSTDTGKIVLELAAKSNLKPVTLELGGKSPFIVCEDADIDKAVEEAHFALFFNQGQCCCAGSRTFVHERVYDEFLEKAKARALRRTVGDPFRKGVEQGPQIDSEQFDKVLRYIKSGVDSNATLECGGERIGSKGFFIQPTVFSNVQDNMLIAKDEIFGPVQSILKFKDLDEVIKRANATRYGLAAGVYTKNIDTANTLSRGLRAGTVWINCFDVFDAAIPFGGYKMSGHGREKGFYSLQNYLQVKAVVTPLKNPAWL >CDP15023 pep chromosome:AUK_PRJEB4211_v1:4:9372245:9382219:-1 gene:GSCOC_T00042556001 transcript:CDP15023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G48500) TAIR;Acc:AT3G48500] MLNPRYRDKKIKDMGLTEDEYYAKQFEIKGEIPDPLETIWAGPLVLRHVPPRDWPPPGWEVDREELEFIRGAHKLHHVARVDYEKVEEVAQKETDDMCLERYKVFLKQYNEWVAANKDRLEEESYKYDQDYYPGRRKRGKDYVEGMYELPFYYPGQICAGKVTGVHLYQGAFVDIGGVHDGWVPIKRNDWFWIRHHIKVGMHVTVEILAKRDPYRFRFPIEMRFVDPNIDHLIFNMFDFPPIFHRDDDTNPDELRRDCGRAPIPRKDPGIKVEEEPLISNHPYVDRLWQIHNAEQMILDDLEANPEKYEGKKLAELSDDEDYDEEHNVQYAKGVYKKSTLPLMILKTSVKDLDLEAAFAERQHHNKLRKEAQERGKKYKVTKMRRNAEMDEYDLIHWRRSFEEREALIRDISCRQALGLPLDEPGRYVDTSYFGKDQYDPDSPLYRYDYWGEPKNSEKSKQERMKDAHNKSIVGKGTVWYEMSYEDAIKQKMQREAEGHTQEQFDEEDMDGDGDGDDEDDDDEDDDFDYSILSGPSVELSEQPHVNGTESSRLSDEGMFEN >CDO97926 pep chromosome:AUK_PRJEB4211_v1:4:1590462:1591333:1 gene:GSCOC_T00021874001 transcript:CDO97926 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYGTIPTSSGGGSTRLEYITRAKERIKEGLGTRRPWREMFNFHSIRLPPSFRDALARIKTNVSYFSMNYAIVVLVILFLSLLWHPISLIVFIVMMAAWLFLYFLRDEPLVIFGRLINDRVVLIVLSVLTIVLLLLTHATVNILVSLLIGAVVVLIHAALRKTEDLMDEEAAGGLLGSSGGPSSS >CDP15022 pep chromosome:AUK_PRJEB4211_v1:4:9346597:9356411:-1 gene:GSCOC_T00042554001 transcript:CDP15022 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFLLSVICKIGRISIEMYSPVVKKLLYMGIAATVASFIRGICWAVSAERQVSNLRYSYLRSLLRQDITFFDEEIKTGQVIGNMSRDIGRTDAAIGYRACDMSVIAWKSETRINPSCIYKHCKLEILNLNVGLFIKLMATFIGGMIMAFSQAWQMAAVMCWTILPITLFGHFGIKILIRECVNEGVAYAKGDSVVLEALASIRTVISLNGERQTMSKYEKTLEEVQRSLTRAGIAAGGSIISLIDFIEYCGFSLIIWYGSRFVLDDRYSRGDLITVATYINSGTTCLVNAIGNFSAFPRGRVAASNIFRIINRESRIDAFDSHGKTLDHVEGEIEFRNVSFRYPSRRNIEVLSNFSLTITHGSKTALVGKSGCGKSTVVSLIQRYYDPDSGGIFIDGFDLKELRLEHLRKKIGLVSQEPVLFTLSIKDNITFGRDDVTEEEIRAAIALANAEKFLKELPQVEYNAYANTAFHLILLMLKLPHQESMLYLFTSVNMQGLDTMVGENGVQLSGGQKQRIAIARAVPKNPRIILLDEATSALDLESQQIVVDSLNKISVGRTTLLITHRMSTVANANKIFVLERGSIVEEGTYSELMDQNGPFCQLIQMQNIREESTKEKSIGPISMSERASLRKSSGDVFPSSEISYPSKKTIIKFWRFVRLKQVFFLFLGLVATITKALIPIIFGVIIAYVIGAFNLEAGRLQKEIKFWCIIIAVVALISPVAAVSQKYILIQASCWLLRVVNPLCFNKIVHMEVDWFDHVDNSSGALSSRLSTIAETLTKFIRDAAPQVVQHAVTVIAGLVVVLSGSWQLAVLSLAFIPLVLFNGWLELKFNGNSSKIIKLCFSWWQVLYEEAGQVTKDAVEHIRTVASFSADKQVLQLFKIKCEGPLKKRIKCAYAGGASYGLSSFLLYFIYGATFYVGATLIRDGRATSTTFYLALFALTDEVLNVTNWLGLLREFNVVKPCLRFVSSILNLESKLDSSLDTGLTIESLKGSLEFQHVNFSYSSRPHIQVLNDFCLSIPAGKTAALVGESGSGKSTIISLLQRFYNFNGGLILIDDIEIQKLNINWLRKQMALVSQEPALFDDTIKANITFGLDRDVSEAEIISAASLANGHHFISNLPQGYDTEVGQRGVKLSGGQKQRIAIARAIRHAASLANGHHFISNLPQGYDTEVGQRGVKLSGGQKQRIAIARAIVRRPKILLLDEPTSALDLSSEQVVQEALNEVMVNRTTIVAAHRLSTIKHADIIAVMRHGVIAELGTHESLLKSQNGIYASLRSSQQFVAHRNFQTRFITQVPREVLDFLYEISLKTFASLENGSTPERDLLKITADAAWTEEKTVSGIVVRNGGGRIMAAIAEGIANEVGVEAFVRESDRRIDGKELDWTFGQEPS >CDP12692 pep chromosome:AUK_PRJEB4211_v1:4:11185684:11188350:1 gene:GSCOC_T00037284001 transcript:CDP12692 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEWQRESFLCIISATISMVLVLKLLLKKKESRNGKLPPCPPKLPIIGNLHLLGNMPHESLHILAKKYGPIMFLQLGQVPTIVISSARLAKEALTTFDLALSNRPRIFSAKHLFYNCTDMAFSPYGAYWRNIRKICILEVLSAKRVQSFGFTRQEEVANLVHRVAESYPSPTNLSHMLGLYANNLLCRIAFGKDFSQGGDHVRHNFQKLLEEYQMLLGGFSIGDFFPSMEFLHKFTGMESRLKDTFRRFDQFFDEILKEHRNPENRKDHKDLVDVLLELQKHGDPETPLTTDNIKALILDMFAAGTDTNFITLDWTMTELIMNPQVLRKAQAEVRSIVGERRSVSETDLSHLHYTRAVVKETFRLHPPAPVLLPRESMEEVTIDGYPIPAKTRFFINAWAMGRDPETWENPDIFEPERFMNNPIDYKGQDFELIPFGAGRRMCPAVTFSTATFELALAQLLHSFDWELPPGVKAKDLDLTEAFGITMHKISPLMVLAKPNFSEGLNGK >CDP16530 pep chromosome:AUK_PRJEB4211_v1:4:16654430:16656442:-1 gene:GSCOC_T00018898001 transcript:CDP16530 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPKKSKKKKNDSKQLKKTKNKKGGKPKSIRPPVPLENGAAIDSDWWNSFWTKNSSTPDSNVPSDEEEGFKYFFRVSKKTFEYICSLVREDLISRPPSGLINIEGRLLSVEKQVAIALRRLASGESQVSVGASFGVGQSTVSQVTWRFIEALEERGKHHLSWPGSSRMEKIKSEFELSFGLPNCCGAIDATHIVMTLPAVQTSDDWCDQENNFSMFLQGIVDHEKRFLDIVTGWPGGMTVSRLFKFSGFYELCKSGDRMNGDIRRLSEGADIREYIVGGVDYPLLPWLITPYEDDDDLSAAMLNFNKMHAATRSVAVKAFSVLKGSWRILNKVMWRPDKRKLPSIVLVCCLLHNIVIDCGDSLHPDVALSSHHDSGYTGQRCKQVDPLGQLLRDNLAKYSLH >CDO98313 pep chromosome:AUK_PRJEB4211_v1:4:4518796:4519815:1 gene:GSCOC_T00022368001 transcript:CDO98313 gene_biotype:protein_coding transcript_biotype:protein_coding MQALANSPPAPEGINYQTSRLIYDWPAAFNAPARVQNCSADMEDGIISVGLMSCSNLRRCNFSRRY >CDO98539 pep chromosome:AUK_PRJEB4211_v1:4:6554519:6554875:-1 gene:GSCOC_T00022673001 transcript:CDO98539 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQAKAYVEGIRHYVHSKSTGIDNPMLNPLIEPNLSRECFVVAEKVGNFHQQECCVDACLSG >CDO98343 pep chromosome:AUK_PRJEB4211_v1:4:4740156:4744969:-1 gene:GSCOC_T00022407001 transcript:CDO98343 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRLFNLFGTSIFRNHQATAFLSSIPLPFRPLKHFSSPSLRFHRCCSSTAVLEANTPATSITSGSPAVSLTSASHPWPEWVSFVDRLKTKGYFPPENAPTAEDTADPGAHGVYTDMNLLKDACLNFARDRFDIFKSLSRQEIQTVVDKGCPNLLRKAVNSAKRLRVYLGLDEGDVCGACHLRGSCDRAYVILKESEAAARTVDILRILLAYALDPIVIDGKVKSQDIEIIEVSVRNLLHELTELSETTRAPDLPEPAVNDPQPKKKSFTSVKDVEMKRGDWICSQCNFMNFARNVSCRECGEDGPKAMNSDDIEMKKGDWICSECNFMNFARYVKCVKCKAGGPKRVPMKDVQMKKGDWNCPQCGFMNFASNAKCLRCHDSRPKRQLRPGDWECPSCNFLNFKGNIVCKKCNHEGPKDVDTQYEQQIWKKPF >CDO98510 pep chromosome:AUK_PRJEB4211_v1:4:6262237:6264823:1 gene:GSCOC_T00022630001 transcript:CDO98510 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSEAEKTAQKEKDKKKLAGLAPIAKPLAGKKLCKRTLKLVRRAAEHKCLKRGVKEVVKSIRRGNKGLCVIAGNISPIDVITHVPILCEESDIPYIYVPSKEDLANAGTTKRPTCCVLVLTKPTKGELGQEEQEKLKAEYDQVTSDVTELANSMF >CDO98404 pep chromosome:AUK_PRJEB4211_v1:4:5212643:5213951:1 gene:GSCOC_T00022485001 transcript:CDO98404 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTTKIKTPSKTPAKLQRKIGTMNRSLVRNISSYAANLVLPFRSTSAPNLLHFSSPVCSTFSSLSSLNYNRLFSSEVSKPSDSNLGPSQGSDDADKLSNQELKQQIEKLYGGDEEAFASVFEAILRRKLSGKTDEIDEELIKELPNQPGVDGEESDDQETDTDSD >CDP19584 pep chromosome:AUK_PRJEB4211_v1:4:13902931:13905402:-1 gene:GSCOC_T00012776001 transcript:CDP19584 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISLVVERTGDLLVQKFVFLKDAGQQVEKLKNDLVRMRCFLKDADQRQDEDERIRNWVSEIRAAAYDAEDVIEIFASKVEFFTKDKGLVTKLTYYPLKIVNLYKIGKEIESLQMRIDDIDDSREKYGIKNLGEGMTTHGEELQRLRRSSPFNEDRDIVGFEEKTKSLVAELLKEDKSRRVVSIVGMGGAGKTTLAKKVYNHADVRERFNCRAWVCVSSSYDHKKILRSIIKQLNPKDDKLYEMLEKMEEEELEERLYQDLQDKCYLVVLDDVWKEVAWDCLSRRAFPDVGTSSRLLLTSRNREVAVHADALSIPCELKSLGREDSWQLFLKEALGHGANARCPADLEGVGREIARRCAGLPLAITVIGGLLLRKKKSKTEWEKVLNNFSAYLSRSQSEAGAILELSYADLPANLKLCFLYLGLFPEDSVISVPKLIHMWVAEGIMQKRDAKNLEETAAYGDVERLCSRNMVQVAEMTVDERIKSCRVHDLVRELAIRKAEDENFFQIHDTKDDKISAKSRYLAVHSLPLDKNFGSSNPPLRSLLFFNVHGYMENISLSFKSFRKLRILDLENVEMGYNLLEEIGKVRLLRYLNLRGTYIPRLPRSVGCLRYLQTLDMRNFKSKVKVSNFIWKLESLRHLYAYDMECDVPLKIEGLRNLQTLSGIRFEDVIHNNMITLTSLQKLGIVVDYRSEIDKLCMHLSEVGSLKTLHLYCNTESEWPSLAGLSKLHHVTELKLFTSPFARTMLPPDFPPNLCRLSLKGTFFRNDPMPVLEKLGQLSFLKIKVAYQGPQHMVISRHGFNQLKFLELNNLYVFIKMHK >CDP20643 pep chromosome:AUK_PRJEB4211_v1:4:28169877:28171925:1 gene:GSCOC_T00013575001 transcript:CDP20643 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPEQWAGAASTILSFFFILDMIRRWCPRELYRRLTTFLLELDRYFYPSIRVSIHEFTGSNLRPHEAYPAVEAYLSVNSSKTVKKLKAEMVKDRRKLVFTMDENERVIDEFQGVQMTWISSKTRTPQGQGGPGTLYSGNPEPERRHYRLVFHKRYRELVFGPYLEHVIKTGKEICQRNRQRKLFTNGHHRSSWSYILFDHPATFETLAMEPEKKTKIIKDLLTFKESKDFYARIGKAWKRGYLLYGPPGTGKSTMIAAMANLLEYDIYDLELTSVAGNTELRRLLTETTGKSIIVIEDIDCSLDLTGQRKKVAGKHPPDVKKDMGKSSKSGDKGESSGSGTGSKVTLSGLLNFIDGLWSACCGERIIVFTTNHVDKLDPALTRRGRMDMHIKLSYCSFEAFKVLAKNYLQLEDHPLFQSIRNLMEVTEITPADVAESLMPKSPDQDAERCLRILIQALQEAKEKQEAEEKQEAKKEVKEKECDKVSLWVLLRKYLTPYTVFHLDPAICVQRE >CDO98234 pep chromosome:AUK_PRJEB4211_v1:4:3836398:3842233:1 gene:GSCOC_T00022260001 transcript:CDO98234 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVPLSPPAQPRPLSSTSLFKIHQPLALTSSVSLFPTFSCPPKRLSLSPASSSQYRTTIKAARTTAANESDPKLGVSLYKPKSYEVLVTDAANSLFCALNDGKTRLEIEFPPLPSNMSSYKGSSDEFSDANIQLALAVVKKLQEKTETRACIVFPDKPEKRRATELFKAAFDSIEGLTIGSLDDMPAGPITTFLRSVRNTLDFDFEDENEGRWKSDKPPSIYIFISSSTRDLSVIEKYVEKFATSTPTLLFNLELDTLRADLGLLGFPTKDLHYRFLSQFTPVFYIRTREYSKTIAVAPYVVNYSGALFRQYPGPWQVMLKQTDGSFACVAESTTRFTLGEAKEELLRVLGLQEEKGSSLEFLRRGYKTITWWEENIDLEQSAAWRS >CDO97954 pep chromosome:AUK_PRJEB4211_v1:4:1798903:1799829:-1 gene:GSCOC_T00021906001 transcript:CDO97954 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRISSRSQSWSVSQPSFAQRITEDPVTTKNAQSTATCVYQTHIGGYWRNVTVLWSKNLMNHSLSITVDSVETDYHQTCKIDLKPWHFWAKKGYKTFEVDGNQLDAYWDLRSAKFSGSPEPCTDFYVALVADEEVVLLIGDYKKKAYKRTKSRPALVDAVLFFKKEHVFGKKSFSTRAKFDHRKKDHDIVVESSTSGPRDPEMWISIDGIVLIHIRNLQWKFRGNQTVLVNKQPIQVFWDVHSWLFCSPGTSHGLFIFKPGVPEAESDKDSNCTGDSDCSADSRYYSTQSHSKSSPFCLFLYAWKIE >CDO98397 pep chromosome:AUK_PRJEB4211_v1:4:5133545:5140988:1 gene:GSCOC_T00022475001 transcript:CDO98397 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGFGNQSSGQRLGITEPISWSGPTEYDMIKTRELEKFLADVGLYESQEEAISREEVLGRLDQIVKTWVKNVSRAKGLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRDDDFFGELQRMLSEMPEVSELHPVPDAHVPVLKFKFSGISIDLLYAKLSLWVIPEDLDISQESILQNADEQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRYWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCEIEDGSLGLPVWDPRRNPKDRFHLMPIITPAYPCMNSSYNVSSSTLRIMTNEFQRGNEICEAMDANKCNWDKLFELYPFFEAYKNYLQIDVTAANAADLMNWKGWVESRLRQLTLKIERHTLNMLQCHPHPGDFSDKSRPFYCCYFMGLQRKQGVAANEGEQFDIRLTVEEFKHAVGMYNTWKPGMEIHVCHVKRRSIPAFVFPGGVRPRPTKVAGEGRRPSQTKVSSHTEDSSFPKALNGGSKRKRDDTDTATSLNAKRIAGVGESGELVHEGRPSGCIGTSYLGNASLETPGKIFNEKVEDNMGNGLENPICLPQASSQNGGELDASLRLDPSTPADSISLSSKEAEKLAIEKMMTGPYVAHQTFPQELDELEDDPEYKNQGKITGGSVKGSSMESSATKGSLIVSLTTSTAAGSCSSLQSSGKLEELEPPELLPPASRLNSATSAPKPVLRFNFTSLAKATGESTKT >CDP18401 pep chromosome:AUK_PRJEB4211_v1:4:24461018:24469520:1 gene:GSCOC_T00004739001 transcript:CDP18401 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGYIDEDCRTFPDEVFDLDRSVRTLDLTHNRIVDIPVDISKLINLQRLMLADNLIERLPINLGKLQSLKVASLDKNRITTLPDELGQLVKLERLSVSENLLTSLPETIGSLRNLVLLNVSNNKLKSLPESIGSCFSLEELQANDNSIEEIPAPICNLVHLKSLCLDNNDLKQIPPNILRECRSLQNISLHNNPISMDQFQQMEGFQEFEVRRKKKFDKQIDSNVMIGSKGLDEGVDL >CDP14356 pep chromosome:AUK_PRJEB4211_v1:4:21769983:21771296:1 gene:GSCOC_T00040696001 transcript:CDP14356 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGFSSSTGSRPTSHYILGWSFQINGIAQRLDLIVYYVRRKRKFAEVVEEWELAYGPHRFRYKDLYIATKGFKDKDLLGAGGFGKVYRGVLPTNNIEVAVKKVSHESRQGIREFVAEIVSIGRLRHRNLVPLLGYCRRKSELLLVYEFMSNGSLDRFLYNQKDYTLNWNERFRVIKGVASGLLYLHEEWEQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGTAPQTTHVVGTLGYLAPELNRRGKATTGTDVYAFGAFMLEVACGRRPIEPAAPTEDAVLVDRVYSCWNRGEILEAVDPKLGADYVIEEAELVLKLGLLCSHSEPTARPSMRQVLLYLECSAEPPKLPSLGITANGLASAGYDGSNDFISSYPSSADKACSKSSSIIADSVLSGGC >CDO97734 pep chromosome:AUK_PRJEB4211_v1:4:138776:142646:1 gene:GSCOC_T00021614001 transcript:CDO97734 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRGQSSEIFLYPSGFILFPLVVHSFDLVVSSVGILSIRSKRDAGAIGVVEDPMLILQKGYSVTIVLAVITFGLSTRWMLYTEQAPLAWLNFALCGLVGILTAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGISLGLESTALPVLVISVSVISAFWLGHTSGLVDEAGNSTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFSHAAFKQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVSIVASASLREMIKPGALAIVSPIVVGFVFRIVGYYTGHPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSDCHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >CDO97929 pep chromosome:AUK_PRJEB4211_v1:4:1620651:1627482:1 gene:GSCOC_T00021878001 transcript:CDO97929 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVSANHAMLLSFSNTPPTPMAPLLEMETSRGLFYMICEGEFDLGSACVQRTLVDIFNLLLVFVFFLVLIVGLTRKTNIRLRRGDWIATAVSVCCALISIAYLPTCLLHLSGNKGGFNHLSWLAYLFRGLIWITLCLSLLVQGSRWIKIFISTWWVVFFLLISALNTEVLVKTHNVQILEVAAWFVSLLLLFCAFTTFHHTISHSNLEEGNFSETLLVKEVHDENCISLGQASFFSKLSFSWINPLLRIGNSKTLALEDIPCVGLEDEANLAYENFAQAWSSLQKGKGSNNAQNFALWAMAKVHWKEMLLTATYALLRVVSVVLSPLLLFAFVKYYKLETRNIDEGLALVGMLILVKVVESLSYRHFFFYARRIGMRMRSALMVAAYEKQLKLSSLGRRRHSTGEVVNYIAVDAYRMGEFPMWLHIGWTSGLQLFLAIAVLFAVVGLGVLPGLVPLLICGLLNVPFAKILQKCQSEFMNAQDKRLRAMSEILNSMKIIKLQSWEEKFKNLIESYRGIEFKWLAESQYKKTYNTVLYWMCPTIVSSVIFFGCILFKSAPLDASTIFTVLAALRSMSEPVRLIPEALSVLIQVKVSFDRINTFLQEDEIKREDNIKYPPGESDLVILIQDGNFSWDPDSTALTIKNINLKVRRGNKVAICGPVGAGKSSVLYAILGEIPKMSGNVNTYGSIAYVSQASWIQSGTVRDNILFGKPMNIIKYDEAIRVSALDKDIDSFDYGDLTEIGQRGINMSGGQKQRIQLARAVYNDAEIYLLDDPFSAVDAHTAATLFNDCVMSALANKTVILVTHQIEFLSEVDHILVMEGGQATQSGSYDELLTAGTAFEQLVVAHKTSLTLSDPLTGKNEVEHQRGKGNALEGTKQPYFGKEASEGEISMMPGAQLTEEEEKEIGDIGFKPFLDYVLVSKGLFHVISNLFSQTGFVVLQAAASYWLAFAIQSPKFSSVIIVCVYAIISTVSALFVYLRSLFAALLGLRASEAFFSGFTNSIFSAPMLFFDSTPVGRILTRASSDLSVLDFDIPFAYAFVMAAGIELVAAIGIMASVTWQVLIVGIFAIAASKYVQGYYQASARELMRINGTTKAPIMNHASETALGAPIIRAFNITERFFQNYLKLVDTDAKVFLFSNAAMEWLVLRTETLQNLTLFSAAFLLVLLPKGYVAPGLVGLSLSYAFALTGTQVFLSRWYGSLANYIISVERIKQFMHIPPEPPAVVEDKRPPPSWPSKGRIELLDLKIRYRPNAPIVLKGITCTFREGTRVGVVGRTGSGKTTLISALFRLVDPYSGQIVVDGINICSIGLKDLRLKLSIIPQEPTLFRGSIRTNLDPLGIYSEDEIWKALEKCQLKDTVSKLPNLLDSSVSDEGENWSMGQRQLFCLGRVLLRKNRILVLDEATASIDSATDSILQKVIREEFSNCTVITVAHRVPTVIDSDMVMVLSFGKLAEYDEPSKLMETNSSFSRLVAEYWASCRKNSTQKLEN >CDO98384 pep chromosome:AUK_PRJEB4211_v1:4:5046399:5047738:1 gene:GSCOC_T00022458001 transcript:CDO98384 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKIRQSNLEETTQKFGSKSIETLTQVVCRVNPTSTRIMLKIINAPVKKIKIVQSIHYYSVNLTLISDH >CDO97907 pep chromosome:AUK_PRJEB4211_v1:4:1432179:1440371:1 gene:GSCOC_T00021850001 transcript:CDO97907 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRLPKRPGNVSLSSSSCTSGSRRPPPVAASAGGTVFKLLTMARKQSRRRTAIFILVICFAALGTLAPVFAPLPSSLSSRSNSHHNLKKSDGRSFEIADDKFWRDGEQFQIIGGDLHYFRVLPEYWEDRLLRAKALGLNTIQTYVPWNLHEPRQGELVFEGIADIISFLKLCHKLNLLVMLRAGPYICGEWDLGGFPAWLLAIEPALRLRSSDPPFLELVDSWWNILLPKMSPLLYTNGGPIIMVQIENEFGSYGNDKAYLHHLVNLARGHLGNDVILYTTDGGSRETLEKGTISGDAVFSAVDFTTGDDPRPIFALQKKFNEPGKSPPLSTEFYTGWLTHWGEKIASTSATFTADSLEKILSMNASVVLYMAHGGTNFGFYNGANSGADESDYKPDLTSYDYDAPISESGDVDNAKYKALQRVISKYTVEEPTSVPPDNQRATYGRIELQKSAFLFDIVDNPELISVVESEIPLSMESVGQMFGFVLYASEYNSKGNRSVLSIPKVHDRAQVFISCTSDDNRKRPIYIGTISRGSNRAIDLPHFSCVSRTKIYILVENMGRLNYGPYIFDKKGILSSVYVGGRPLFKWRMISIPFHNLNEGRKISSIVSDAYSKFIKASSLEDLNYRRHRDNLEPTFYTGRFAIDQVKDTYLSFNGWSKGIAFVNEFNIGRYWPSVGPQCNLYVPAPILREGENVVVILELESASSKLSLSSTDRPDFTCGSIG >CDO98305 pep chromosome:AUK_PRJEB4211_v1:4:4361166:4362726:-1 gene:GSCOC_T00022355001 transcript:CDO98305 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMVSALSQVIGSTDNNNSVAVVGNYPALADPQPAPAIENRAQQEQGNQRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEAAALAYDEAALRFKGNKAKLNFPERVQGKTEYGYLTTRQDARVVADQQVSHSHSNRSFPPPHEYPHILQYAELLRSGDQNNLHDEAISSSASSFPGGPLASQSSSGSSSNMSSQEQGQQQFLQFQSPYNVGRTSSSGNSEMWEEFHNNTYARR >CDO98154 pep chromosome:AUK_PRJEB4211_v1:4:3265573:3266465:1 gene:GSCOC_T00022159001 transcript:CDO98154 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFGCMVVFCAFSAAMLQQGATAEVYVVGDSIGWAIPQNGAAAYTNWASGKNFKVGDILVFNFVTNQHDVQQVPKASYDACNSNNAIGGMITNGPANVTLSSTGAHYFICTFNGHCKAGQKLAISVSGSAGTPGANPPARTPSPTTTPTAPSPPSNQPPGARAPTPSPAPKADGPSAATPTAVTTPPPPPPTSSSNALFAGLGFGIASVAVGLFV >CDO98183 pep chromosome:AUK_PRJEB4211_v1:4:3478462:3479530:-1 gene:GSCOC_T00022196001 transcript:CDO98183 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYLYVFLLREPHAPHPWPCLKHHDHHAYDHTHDPGVSSVSIVCEGRLDLERANIWLGTLLLERSEDIYRMKGLLSVDGMNERFVFQIEGKHLEGKAAVTLLTNY >CDO98555 pep chromosome:AUK_PRJEB4211_v1:4:6690755:6691635:1 gene:GSCOC_T00022692001 transcript:CDO98555 gene_biotype:protein_coding transcript_biotype:protein_coding MMKASLLFILSFRVFSISVSTNSSFTSAAEAPEPVSDVAGKMLRTDRHYYILPAANVFDKFRGGGLTLSGIGKNTCPTAVFQEMSEQENGIPLAFLPVNRKKGVVQVSTDLNIKFAYPQTCGQSPVWSIDNYVYPSGDSFVNIGGVVGNPGPKTLSSWFKIEKFGYQDYKLVYCPAVCSYCKVICKDVGIEYQNGKRRLHLTTDYPLRVVFKQA >CDO97859 pep chromosome:AUK_PRJEB4211_v1:4:1072918:1076881:-1 gene:GSCOC_T00021790001 transcript:CDO97859 gene_biotype:protein_coding transcript_biotype:protein_coding MINGIKDKTSYCKDCNFFAKKEGKKSGPKLRRWYGAPDLLPKDGSTEKLDEITEEEEVRDAVLVTDGDSEIGQMVILSLIVKRIRVKALVKDKRAAMEAFGTYVESIAGVSNDSTSVKKALRGVRAVICANDGFVSNIEGWKGLEHVILLSQLSVYRGNSGIQAMMNSNARRLAEQDESALMASRVPYTIIRAGLLKNTPGRQRFSFEKGCATQGSLSKEDAAFICAEALDAVPGKGFIFEVVNGEEAVSDWKKRFAALMEKSG >CDP15024 pep chromosome:AUK_PRJEB4211_v1:4:9385099:9387920:-1 gene:GSCOC_T00042557001 transcript:CDP15024 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSSSEQKRRAADEDINAWLPVTSSRDAKWYYSAFHNVTAMVGAGVLGLPYAMSELGWGPGIAIMILSWVITLYTLWQMVEMHEPEPGRRLDRYHELGQEAFGEKLGLWVVVPQQLMVEVGVNIVYMVTGGKSLQKFHDLVCPNCSHIRTTYFIAIFGSVHLVLSHLPNFNSIAGVSLAAAVMSFSYSFIAWVASVKKGVQPDVKYTPRASTDAGKVFQFLSALGDVAFAFAGHNVVLEIQATMPSSPERPSKKPMWKGVVVAYIVVALCYFPVAFVGYWAFGNSVEDNILISLNKPVWLVAAANLFVVIHVIGSYQVFAMPVFDMMESFLVKVLSIKPSLLLRICTRTTYVATTMVLAMAFPFFGALLGFFGGFAFAPTTYYLPCIIWLVIRKPRKYSLTWFINWICIILGFLLMVLAPIGALREIVLSAKNYKLFS >CDP15026 pep chromosome:AUK_PRJEB4211_v1:4:9407302:9407662:1 gene:GSCOC_T00042560001 transcript:CDP15026 gene_biotype:protein_coding transcript_biotype:protein_coding MVARAAAAAKKKQGQQKQEEHQQVQKQIILLNKGKTGKFKRSTSNLEEDGISSAMLLLACIACTPQKV >CDP18088 pep chromosome:AUK_PRJEB4211_v1:4:14072304:14072735:-1 gene:GSCOC_T00008256001 transcript:CDP18088 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMANRLLSRKQFIIDKLARMYEIKDPNAIFVFKSRTHCGGGKSTGFGLIYGFVENAKKYEPKYRLIRNGLDTKVEKSRKQLKERKSRAKKICGVKKTKVGDATEAGKKEIFSWEF >CDP18084 pep chromosome:AUK_PRJEB4211_v1:4:14022716:14023201:1 gene:GSCOC_T00008249001 transcript:CDP18084 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISSMYGGFGILGYFAFDEETKDIITTNFGQVLLSSLVQLGLYCLWMRWVTVLGVTLMALLVPNFADFLSLVASSVCIILGFVLPALFYLIVHKEELRWQGLALDVAIVALGLAFAVCGTWTSLIDITATKA >CDO98138 pep chromosome:AUK_PRJEB4211_v1:4:3183098:3185560:-1 gene:GSCOC_T00022140001 transcript:CDO98138 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNLLSGIKRLKPNPKSTHEIPVPTQIKRLVVEVCEILRTQTQWEEMLEARLSVEEIVPSEIAHLVFDKIHNADLGLKFFGWVSDRPYGCSLDGFAYSSLLKLLAKFKVFSEVEAVLKSMKCQGKVPSREAFDVIIRAYSDCGLVDKAVELYSFEVNTCGLVANVLACNSLLNGLVKKGNINDAMRIYCDIVERADGEENCSADNYTTGIMVRGLCKEGEVDKGMQLIFARWGKGCIPNIVFYNILIDGHCKKGNVERAYMLFKELKLKGFLPTVETYGAMINGFCKEGDFKNVDKLLQEMNERGLAINVTVYNSIIDAKYRHGCAMDPMETERKMIEGGCNPDIVTYNTLISGTCRDEKAQEAEKLLEHARNRELLLNKFSYTPLIHLYCKQGNFDRASNLLVEMTEHGHKPDLVTYGALLHGFVVSGEIDVALSIRDKMIQRGVLPDAGIYNVLMNGLCKKGRFADTKQLLAEMLGHKLLPDAYNYATLVDGFIRDGDLDGAKKLFKKIIKTGVNPGLVGYNALIKGFCKFGLMKDAVSWMNIMMERNIFPDEFTYSTIIDGYIKQHYLVGALVMLGNMIKRNCTPNVVTYTSLINGFCRNGDLAGAEKILRDMQLSGLMPNVVTYTTIIGGFCMVGNLAKGAFFFDQMLIRKCTPNDITFHFLVKGFSNSVLDISKQEKMSNYSKSVFLDVYQRMISDGWDCQTAVYSSIIVCLCLRGMFRTALQLRNKIASKGCISNPISFAAFLHGICSEGISEQWRSIVSCNLNEQELHVAEKYSLVFDQYSTNGMTSEASHILHALIKEEHYQELRKYQSFS >CDO98620 pep chromosome:AUK_PRJEB4211_v1:4:7451089:7455137:1 gene:GSCOC_T00022778001 transcript:CDO98620 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIARTMFRSATTSARIAAGEVFTGAKSKSSRSTFRIPTEKPLSARIFRSPVEMSCISAGSMFPFHSATASALLNSMLSEVSPWTCNWTQDF >CDP14830 pep chromosome:AUK_PRJEB4211_v1:4:24829228:24830686:-1 gene:GSCOC_T00042296001 transcript:CDP14830 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVLDITVFGFVLVFGSWLAGGFFFKRLIADVSFPRVWLIVLEIFPALSLYRGLDDLFNFSEAHLRWGHMECGGKA >CDP12377 pep chromosome:AUK_PRJEB4211_v1:4:19217402:19221673:1 gene:GSCOC_T00035880001 transcript:CDP12377 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGVDFKWYDGFFLSMLATSIIIVAINWKRYHLCTYPLHIWIVADYTTVFVFRLLMFVDNGLAAGMGLDFGPQQRHARFCGRTVVLSILALLLYPFLWVWTIIGTLWFTSARNCLPEEGQKWGFLIWLLFSYCGLVCIACMSMGKWLTRRKAHLLRAQQGIPISEFGVLVDMIRVPDWAFEAAGQEMRGMGQDAAAYQPGLYLTPAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSNIRADSERSSSHVVTTTPYVRTQPSSQRYLLRLQGLLRPIRTENAEPVAEADFALETAENGRMAVATQGQADSERVEVLVEHSSHQQ >CDO98022 pep chromosome:AUK_PRJEB4211_v1:4:2322969:2329135:1 gene:GSCOC_T00021990001 transcript:CDO98022 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDMSNPPPTSQTKDFFPSPALSLSLAGIFRRGAAAANLEVEEGDEGSGGGGAAAASAGGGGRREETVEISSENSGPLRSRSDDMDDYEGEGEQDDGGEDDQNNKKKKRKKYHRHTAEQIREMEALFKESPHPDEKQRQQLSKQLGLHPRQVKFWFQNRRTQIKAIQERHENGLLKTELEKLRDENKALRETIKKASCPSCGFATSAKGPSTASDEQQLRIENARLKAEVEKLRAALGRYTPGTSPSDSSCSAGNEFENRSCLEFYSGIFGLKKSRIMEIVNQALEELKQMATLGQPLWIRSLESGREILNYDEYMKKFPFENAKNAGPKRPIEASRETGIVFVELPPLVQCFMDVNQWKEMFPGRVSKAATVDVVCTGEGANRDGLVQLMFAELQMLTPMVPTREVYFVRYSKQITADQWAIVDVSIDKVEDSVDASLTKCRKRPSGCIIEDKSNGHCKVTWVEHLECQKSTVHSLYRAVVNSGLAFGARHWMTTLQLQCERLAFFMATNVPTKDSSGVATLAGRKSILKLAHRMTVSFCRALGASSYNTWSKITSKSGDDIRVASRKNLNDPGEPLGVILCAVSSVWLPVSHHDLFDFLRDESRRNEWDMMLNGAPAQSIANLAKGQDRGNAVTIQTIKTKDNSMWVLQDSCTNAYESMVVYAPVDISSMQSVMTGCDSSNIAVLPSGFSILLDGVESRPLVITSRLEEKSSEGGSLLTIAFQILTSNSPTAKPSVESLESVNTLISCTLQKIKASLNCED >CDO98327 pep chromosome:AUK_PRJEB4211_v1:4:4653561:4657558:1 gene:GSCOC_T00022388001 transcript:CDO98327 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLDYSRMGELAVEKHVKFILTIEKEKDSFESVVMEHIRLNGAYWALTTLDILGKLNTVDQDEVVSWMMQCQHESGGFGGNIGHDPHLLYTLSAIQVLALFDKIDALDIDKVSNYIAGLQNNDGSFSGDMWGEIDTRFSCVAILSLALLKRLDKINVEKAVNYIVSCKNLDGGFGCTPGAESHAGQIFCCVAALAVTGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLIKFILDCQDKENGGISDQPEDAVDVFHTYFGVAGLSLLEYPGLKAIDPAYALPVDVVNRIFFGR >CDO98209 pep chromosome:AUK_PRJEB4211_v1:4:3670540:3675607:1 gene:GSCOC_T00022229001 transcript:CDO98209 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNSSRKGPSNSATSTNSSSAVDLFRSATGKAASKELERIDQLFFSYANNSSGLIDPEGIESFCSDLEVDHTDVRILMLAWKMQAEKQGYFTLDEWRRGLRALRADTIVKLKKALPDLDKEVRRPSTFEDFYTYAFRYCLTEEKQKSIDIESICVLLDLVLGSRFGPQVDYLVQYLKTQTDYKVINMDQWKGFYRFCTEISFPDLSNYDPDSAWPWILDNFVDWMRAKQG >CDO98586 pep chromosome:AUK_PRJEB4211_v1:4:7114506:7116961:-1 gene:GSCOC_T00022738001 transcript:CDO98586 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKTSATLLDNGNLVLRSRSGHTVWQSFDYPADDTWLSSGMKLGISLLSQRSTTCCLQRAASGPSLAPSPGSPSFPVGPSGGRKKSKNSRVKFYVIGACVGAFLAALGLLFWRCKLRKRILGDDESLFFSFTSIDIATDHFSEENKLGQGGFGPVYKGKLVNGLEIAVKRLNRMSGHGIEQFKNEVKVISKLQHRNLVKLLGYCIEKEERLLIYEYLPNNSLDSVLFDAAKRDILDWKRRLKIIEGVAQGLLYLHKYSRLKIIHRDLKTSNVLLDADLNPKISDFGTARIFGENEMRGSTKNIVGTYGYMSPEYAMDGIFSEKSDVFSFGVMILEIISGKKNTSFYDSDRHLNLIGHVWDLWTEGRILEITDSCLDENISITEALQYVHVGLLCVQENAADRPTMSDVVSMLLKESMVLATPKRPAFAEIMNLNNTKLPQNPESSSVNAVTISDVQGR >CDP14905 pep chromosome:AUK_PRJEB4211_v1:4:25957780:25960321:1 gene:GSCOC_T00042392001 transcript:CDP14905 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPLKKHPTKSTAAADSKMPPPDPILAAPPSSTATTIQNISSHFAKLYANHKFLAASLKASSHPHAHSHQEVEKGLKDDSSALDNSCVALTKSSSQHGRIHRGAAPADVASGNEESYRTKTKVKEKDDRKGVKKAYGLMSRSLELEKPNQATPSCEMNRPCISLAVNGRRTSFCCSRAELADFFCCAGVKVVAVDMPPFMQIHAVDCARKARDSLEKFTSKTLAFTLKKEFDGVYGPAWHCIVGTSFGSFVTHSVGGFMYFSMDQKLYILLFKTTVQRAD >CDO98191 pep chromosome:AUK_PRJEB4211_v1:4:3541672:3542804:1 gene:GSCOC_T00022205001 transcript:CDO98191 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTSLDPSTAYDYALTFAQNELEEDDIAHFNHEFLQSMGISLAKHRLEILKFARKDKTRSLRPMLRLLIAVKQAKNYVAKHIRVRVGQDSSAHTMIPVPQMNKSSRWKAAMLRANRKLIQTTKRGRPTLLASGTNSNTPPVVAGQEILMLTNGSPLESDSSSNSSSDTDVQDFHFHNEKLNCSDGEFWSNGIEELKWETMFQNLKPT >CDP12309 pep chromosome:AUK_PRJEB4211_v1:4:27108054:27112092:-1 gene:GSCOC_T00035773001 transcript:CDP12309 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAILVQQSSPITLSFNKTKAPSFPHKPIIVIKASSTSLDYPPSSSASPKLSTSTSSWQWKFRDNSVNIHFEEHKRNESKNQQQPTKEILMIPTISDVSTVEEWREVAKDIVGRDGTVNYRATIVDWPGLGYSDRPKLDYNADVMEKFFVDLVNSPDGPLSSSNSDQDLVVFGGGHAATIAVRATTKGLVKPTAIAAVTPTWAGPLPIVFGRDSNMETRYGFLRGTLRAPAVGWMMYNVLVSNEKAIESQYKSHVYANPENVTPNIVESRFALTKRNGARYVPAAFLTGLLDPVKSREEFVELFAKLEGEVPVLVVSTTGSPKRSKAEIEALRNAKGVTKFVELPGALLPQEEYPSLVAEELYRFLQEIFN >CDO98395 pep chromosome:AUK_PRJEB4211_v1:4:5124554:5126060:-1 gene:GSCOC_T00022473001 transcript:CDO98395 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGSPSSEKMKLLIALLILQLCFAGFHIVSRVALNIGVSKIVYPVYRNIIALLLLGPFAYFMEKKDRPPLTFSLLVEFFLLALVGITANQGFYILGLYYASPTFASAMQNSVPAITFVMASALGLEQVNVARRDGLAKILGTIASVSGATIITLYKGPPLLHHSPESKSLEEDMLFSSMKKQNWTWGCLPSRPLLILGWLDGGSGSNC >CDO98613 pep chromosome:AUK_PRJEB4211_v1:4:7394433:7397677:1 gene:GSCOC_T00022770001 transcript:CDO98613 gene_biotype:protein_coding transcript_biotype:protein_coding MDYASGGSGSGGDHHHHHDASGRRKKRYHRHTAHQIQRLESMFKECPHPDEKTRLQLSRELGLAPRQIKFWFQNRRTQMKAQHERADNCALRAENDKIRCENIAIREALKNVICPSCGGPPVGEDSYFDEQKLRMENAQLKEELDRVSSIAAKYIGRPISQLPPVQPIHISSLDLSMSSFGGGGMVGPSLDLDLLPGSSSTMPGLPFPAMSISDMDKSLMADIAGNAMDELIRLLQTNEPLWMKSPVDGREVLNLESYERIFPRANTHLRSPNIRIEASRDSGVVIMNGLALVDMFMDANKWVELFPTIVSRARTLEVISSGILGSQSGTLQLMYEELHVLSPFVPPRQLYFLRFCQQIEQGSWAIVDVSYDIPQENQFASPCKTHRLPSGCLIQDMPNGYSKVTWVEHLEIEEKAPVHRLFRDLIHSGLAFGAERWLATLQRMCERFACLMVTGNSTRDLGGVIPSAEGKRSMMKLAQRMVSSFCQSINPSNGHQWTTLSGLNEFEVRATLHKCTDPGQPNGVVLSAATTIWLPIPPQNVFDFFRDERTRHQWDVLSNQAPVQEVAHIANGSHPGNCISVLRAFNTNQNNMLILQESCIDASGSLVVFGPVDLPAINIAMSGEDPSYIPLLPSGFTITPDGRPGNQQQIQAAGGDGASTSGAGGSLITVVFQILVSSLPSAKMSPESVNTVNNLIGTTVHQIKAALNCSTTTS >CDP15017 pep chromosome:AUK_PRJEB4211_v1:4:9287492:9290888:1 gene:GSCOC_T00042547001 transcript:CDP15017 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPIEYSGQRELNLISTKGGIGKMMGKSRKVSKGFSSGFVPDYRHAVETMAESEGFGSSGRVDTEMTASEDSCAPKRKCVNLNDREGCARFSVPIHVLPLSKMSRSERKDMELRLRNELEQVRILQRRITAMSSFSLNAVVHSPASDIHSCTDGQRRPPVESFRRPVKEVPTPPGKKKGPPGRNGPRTKGAGAKRSEPAKQTLPSDPSNLMLMKQCEALLNRLMGHEFGWVFNKPVDVVELNIPDYVNVIKHPMDFGTIKAKLLSGQYSDPLGFASDVRLTLTNAMTYNPPGNDVHFMAKALSKFFEMRWKSIEKKIPAAVEEILPPNSGAMIERGTAIAMPPSKKAKSTPVENIKKPENKVKQENIKRVMSDVEKQKLSADLEPLLTDLPDHIVDFLKESSFNASQASEDEIEIDLDALGDDTLFTLRKLLDEYLMDKQKNQGKDPQAVEVHNESGFSNSFLQPQIGDEPADEDIDIGGNDPPSSSFPPLEIEKETIHRNSKCSGSSSSSSDSDSDTGSSSSGESDAAKDLAVLEPSKACLASLS >CDO97753 pep chromosome:AUK_PRJEB4211_v1:4:250505:254684:-1 gene:GSCOC_T00021638001 transcript:CDO97753 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVVAGVLTVTMFVMLGNMIKRDHFDSLQVNDSEIPSLHDSTKASEQSLVTLPDGGADAPWKKDGSTLKPCWTKPVLEEVEQSQGFVTFSFTNGPEYHVSQITDAVKVARYLRATLVLPDIRGSKPGDKWNFEEIYDVEKLVKSLDGVLKIATHQPSEISTRNLAVVKVPNLVTEEFIAENIEPVFRTKGNIRLATYFPSVNMRKTEETSKIDSVACMAMFGSLNLQPAVREVVDSMIERLRTLSRKTSGRFIAVDLRVDILEKKGCQGSGTSRSKSCYGPREVALFLRKIGFDKDTTLYLTQSRWDSSLDALKDFFPKTYTKEGIMPADKKERFLDSEASELEKVIDFYISTESDVFVPAISGLFYANVAGQRIASGRTRILVPAKIPGSSASSADFMSHYVTKRNHFAYSCFC >CDO97817 pep chromosome:AUK_PRJEB4211_v1:4:725976:730267:-1 gene:GSCOC_T00021728001 transcript:CDO97817 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRRTLNVENQQLNSPKRPSPSPSHFPASYRSPDEACRSSDHALLAHPGGSTESSRKTSTSGTPCQFDGMPASDQLCKHNHQQHLPFDMGNLNLMHNSSVQTHGSGHSPSFNSNLEKAFASRDTWIDPKCKPLHVRRMQRDQTQYAEEGDSNLPNSNSSSVSDAASIGKSSPLANYIIKGSTFEKGSNHEESSHGKMGMTDIPEDSRFHFDICPSGSVIKLKAPLHLMNREKRNETKRNTSGLCITSLRPGMILLKNYISFNDQVKLIKKCKDLGLGPGGFYLPGYRDGAKLNLKMMCLGKNWDPETSTYGDERPCDGSKPPIIPKEFCDLAKRAIKDSHAHIEKDSRKRNVEDALPSMSPNICIVNFYSTSGRLGLHQDKDESQESLRRGVPVVSFSIGDSAEFLYGDQRDINQVEKIRLESGDVLIFGGKARNIYHGVSTIFPDTAPKVLLEETNLRAGRLNLTFREY >CDP12322 pep chromosome:AUK_PRJEB4211_v1:4:27304812:27306693:-1 gene:GSCOC_T00035789001 transcript:CDP12322 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRDFAEDTVLGRGEHGRVYQGWLDNKSNSDTPSVIAVKKLDSEETLGFGIWKSVLNTLGRLSHPNVTKLLGYCSKNNKLLLVYEFMQKGSLENHLFRRDSAVQPLPWNIRFEILIGAARGLAFLHASELYGFYQFFEPSNILLDSSYNAKISGFTVSNVYPPEVVDGKHPFFYVTGRYVYCAPECSPLSDYLLSVKNDVYGFGVVLIEMLTGLSAKSKYGLVHSAKSEFTAKGNLENLMDPLLEGKYPSKAALQMAYLAIRCLQDSPEARPSMKKVVEALEHI >CDP12342 pep chromosome:AUK_PRJEB4211_v1:4:27592087:27593001:1 gene:GSCOC_T00035821001 transcript:CDP12342 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVTNKDRHLMEDQASRQKRKIRSYVFFIVLFTVIIGSIITVSIALIQEKESELLSASTPDRAIHAICNLTPKPISCFDSIWSLRTNFSTDLGEIKTTPSRIFARSLGAAVNQLEDSISANEKAISEVKDSRTLTVLKDCDVLLRDSLRLVNASVTAMGVESDDKIFKAAKSVDDMKEWMSSSAANIDKCLAGLRYHLQGSINGKSYHSLREMRIKVSYARDGVANSLVMLEKMDTILGMFNQTIFHAIFEFDILEYLGFGLVLYVPQYLVLVVLICTVLRL >CDP14353 pep chromosome:AUK_PRJEB4211_v1:4:21743456:21746270:1 gene:GSCOC_T00040692001 transcript:CDP14353 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSTFGAVNRAPLSMNSCSAETSVPGTAFFGKSLKKVASKVVSPSYSSGNLKIVAEGDEQKQTKKDRWQGLVYDVSDDQQDITRGKGMVDTLFQAPMGAGTHDPVLNSYEYISTGLRQYQLDNNMDGLYIAPAFMDKLVVHISKNFMNLPGIKIPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADRISKGKMCVLFINDLDAGAGRLGDTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKQENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWSPTREDRIGVCTGIFRADNVPREDIVKLVDTFPGQSIDFFGAIRSRVYDDEVRNWIGSTGVENIGKRLVNSREAPPSFEKPKMTLDKLLEYGNMLVQEQENVKRVQLSDRYLKEAALGDANEDAFKNGSIYGKAAQQVHVPVPEGCTDPNAANFDPTARSDDGSCMYQD >CDP12769 pep chromosome:AUK_PRJEB4211_v1:4:12697375:12699900:-1 gene:GSCOC_T00037412001 transcript:CDP12769 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFLKDAEQRQDEDARIRNWVSLVRAAAYDAEDVIEIFASKVESIKDKGFVTRLAYYPWRNVSLNKIGKEIESLQTRLDDIAASREKFGIKNLGEGTSTHGEELQRIRRSVEDKDIVGFEEKTKSLVAELLKEDKNRRLVSIVGMGGAGKTTLAKKAYNHADVRTRFDCRAWVCVSSSYNHKETLRTIIKQLNPITNELLDMLEKMQEQDLEERLRQDLQDKRYLVVLDDVWKEEAWDCLAGAFPDVNASSRLLLTSRNLEVAQHADALSHPCELKTLGQEDSWQLFLKMALGHGANAGCPPDLEEVGREITRRCDGLPLAITVIGGLLVAKKKLKSEWEKVLNNFSTYLSRSQSGVLAILELSYADLSPKLKFCFSYLGLFPEDHVISVRKLIQMWVAEGIIQKRDAKNLEETAAYDVEQLCSRNMVQVAEMTVDERIKSCRVHDLLRELAIRKAEDENFFQIHDTRDDEISAKSRYLAVHSLRLDKNYFGSSTPPLRSLLFFNVRYHRKNISLIFKSFRKLRILDLENVNMYFNLPKGIREVRLLRYLNLRGTYIRRLPHSVGCLRYLQTLDIRNSEYRLRVKVSNFIWKLESLRHLYAYWMECDVPLKIEGLRNLQTLSGIRFEDVMHNNMITLTNLQKLGIVVDERSEIDKLCMHLSEVGNLKTLHLRCNLDMHWPQSLAGLSRLHHVTELELVELDLRMLPPDFPPNLSRLSLRSTYLMDDPMPVLEKLGQLSFLKMKATYFGPQHVVISRHGFQQLKFLELSRLLALDEIKVEEGALPQLRCLRIRSCSRLRKLPEELKHISTLDALELVDMPKDFISRLDADLVSSVPNIRIF >CDP18087 pep chromosome:AUK_PRJEB4211_v1:4:14048522:14054883:1 gene:GSCOC_T00008254001 transcript:CDP18087 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKKDKASSFSYVLNVPREDTPLVVERRFCGARYCLWMRWVTVLGVTVVALLVPNFADFLSLVGSSVCIVLGFVLPALFHLIVHKEELGWQGFGSGCGNCRAGFSKCYLRNMDFLDRHYGSQGLRNKAESGPVCRIFFLIFKILPCLVHLFLVLSSFSFLVLLLCGRVCLALVTISAHSEESPIATRGKWQEEVCAFQNHGGYVIKGPNLLLVAGRSNGKISSGHLIPK >CDP14876 pep chromosome:AUK_PRJEB4211_v1:4:25465896:25468385:1 gene:GSCOC_T00042351001 transcript:CDP14876 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKSPMDDTKLKENDSGVEKDEVNYRGIKAMPFIIGNETFEKLGTVGTISNMLVYLTTVFNMSTIGATNLINIFYGTCNFGTLIGAFFSDTYFGRYNTIGFASVASVLGMLILTLTAAIPQLHPSPCGTDSSKCVGPTAGQLTFLLSGFGFLVIGASGIRPCNLAFGADQFNPNTESGRRGTNSFFNWYYFTFTFAVMVSLTIIVYVQSNISWAIGLAIPAFMMFLSCTVFFVGTRIYVMVLPQGSPLTSVVQVIVAASKKRKLALPDQPQKSLFDFMSPNSINSKLPYTDQFGFLNKAAIITPEDHINADGSAHNPWRLCSIQQVEEVKCIVSVVPIWIAGIIYYIVLNLMQTYAVFQAMQADRRLGNTSFKIPAASYTIFQMLSLTAWIPIYDRIIVPYLRKITKKEDGITVLQKMGVGMVIAVATMVVSALVENWRRTVALTKSTIGVVAQKGSISSLSAFWLIPQMALAGLSEAFTIVAQIEFFYKQFPESMRSFGGSFLFCGVAICSYFSSFMISIVHKGTRNASGVDWLAEDLNNGRLDYFYYLVTALEVLNLGYFLICAKWYKYKGTQGKSNGDVAMEDLSSRAHMV >CDO97733 pep chromosome:AUK_PRJEB4211_v1:4:133057:138678:1 gene:GSCOC_T00021613001 transcript:CDO97733 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAMESGNLGPYQDRPRIFPNMRTKPYTPLIFRVIKRINVRILFVLLLLGLGAIFYIGASTSPIIVFVFSVCIISFVLSMYLTKWVLAKDEGPPEMVQISDAIRDGAEGFFRTQYGTISKMAVLLAVIILSIYMFRSTTPQQESSGLGRSMSAYVTVVSFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAMVVVGLAVIGVAVLYSTLYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEGM >CDO98532 pep chromosome:AUK_PRJEB4211_v1:4:6494671:6500319:-1 gene:GSCOC_T00022664001 transcript:CDO98532 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRTIKLREAHKNGENGGNSFCSILWDQQGDRIVTASSSDPSIWVHDAQFPSVNPKSIKHHRDGVTALALSPNFTCLASGSIDHSVKLYKFPGGEFETNITRFTLPIRALAFNKSGTMLAAAGDDEGIKLINTIDGSIARVLKGHKGSITGLAFDPKSEYFASVDSTGTVIHWELQPGTILNTLKGIAPATFSDQSAMNMLSWSPDGDLLAVPGLKNDVVMYDRDTAEKLFSLRGDHVQPICFLSWSPNGRYMATSGSDRQIIIWDVDKKQDIDRQKFSDRISCMAWKPHGNALAVIDSKGKYGVWESPVPSSMKSPTEDIPNVRSNGLLLFDEEEEEEASASGSLSDLGDDSLGESELSSRKRLRKNSTLDDSWGEDINDELDLHPNVESHKKASKSKKDSIDHEKHEIKNKMTYSAPKMQESFQPGATPVQPGKRRFLCYNMLGSVTSMDHDGYSHIEIDFHDTSSGPRVPAMTDYFGFTMASLNENGSVFANPCKGEKNMSTLMYRPFSSWASNSEWSMRLEEEEVRAVALGTTWVAAATSLNFLRIFSDSGLQRYILSLDGPVVTLVGLKDELAVVTHSSPALPSDGQMLEFRVLNVRSATESLRGRLPLTPGSYLRWFGFSEEGQLGSFDSKGVLRVYTNQYGGSWFPVFSSSKLKKPDENYWVVGLNATKLFCVVCKSPYSFPQATPKPILTLLDLSFPLAASDLGAENLENDFILNNMHLHQIQKRIEENAAAGRDTASIDDEAFTIEAALDRCILRLIASCCNGDKLVRATELVKLLSMEKSVKGAIKLVTALKLPNLAERFSTILEERMLNEAMGSTVLHGNFKFDAPVQADDVFQRPSLPESSTEKAVLPFPPQRSSTPSFPKEEKAEQPPNAGNGIVEPTRALRVEKTVEAKDVKEATNPVKKQPEEIPVSFNRPSNPFAKKSNRQEHSSLFDSIKKMKTDTRGKR >CDO97961 pep chromosome:AUK_PRJEB4211_v1:4:1825143:1828206:-1 gene:GSCOC_T00021913001 transcript:CDO97961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding protein family [Source:Projected from Arabidopsis thaliana (AT1G52080) UniProtKB/TrEMBL;Acc:Q9SAU9] MTREKRDIRPVLFKLGVALAFSVGSILFSILRTKKVKPSGSPPSQPSHPEHPNQADSVEERIELKNDEDDHVLLRATSSCNFALASSERDEPSLLSKTSSGCLSGNCSPSKRSNGDKDVYLLPEFNDLVKEFDLAAMKATFSPHKDVEMLTNSCVQKLDHEQELNSLRNMVKTLKERERSLEIQLLEYYGLKEQETAVMELHNRLKINNMEAKLFNLKIESLQADKRRLEEQVADYARVVSELEAAKTKIKLLKKKLRSEAEHNREHILALQERVVKLQDQEKKALCTDSDLQLKLQQLKDYEEEAEELRKSNHILRQENSALAQKLEYVQTLAVSVLDGEKVEALKSESNRLRQENEDKAREIEQLQAARCSDIEELVYLRWINACLRYELRNYQPDPDKTVARDLSKSLSPKSEEKAKQLILEYARKEGTGEKGISIADFDSDRWSSSQASYLTESGENDDLSVECSSTNKTNTSSKTKIFGKLRQLLRGKGSSHLRRSSSLESAPSMEYIVGKSSSISPVCNAGVSPGTAFGDDGLNARSRTSSQSSSRLSLDLQRFPYLQGSKSGKGEESSNLECIQRNSSEGSSYVTNLSKENQYQHQQSSTDAKKSELLKYADALKDSRRKPTVRKRSVSCTL >CDO98524 pep chromosome:AUK_PRJEB4211_v1:4:6471311:6471974:1 gene:GSCOC_T00022653001 transcript:CDO98524 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIGKSNLRWSLSGLTALVTGGTRGIGRAIVEELAAIGATVHTCSRTEEELNELLQEWTSKGFKVTGSNCDVSSREQRIQLIEKVASIFDSKLNILVNNVGTLKGKPTVEHAAEDYSMPTNFESAFHFSQLSYPLLKASGNGNVVFISSVAGLLSVENLSVYAATKAAVNQLIKNLACKWDKDSIEVNCVEPSIN >CDO97949 pep chromosome:AUK_PRJEB4211_v1:4:1762844:1766823:-1 gene:GSCOC_T00021898001 transcript:CDO97949 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVGSHGTLEEGGKDPVMKLANPARPLQLRLLQFLLLFLGVGIVFSLFSMYMIRYTGGPQNVVAMSEPIILPCVPEPSSLESWVKTPLSLSHKMNDSELFWRASFVPRINTYPFKRVPKIAFMFLTRGPLPMAPLWEKFFNGNEGLYSIYIHSLPLYQPDFPPSSVFFGKQIPSQMVEWGTMSMCDAERRLLANALLDISNEWFVLLSEACIPLYNFSIVYRYISRSRFSFMGVFDEDSPFGRGRYNANMAPEVNITEWRKGSQWFEINRKLAVDIVQDNIYYPKFEQFCRPACYVDEHYFPTMLSIQSPQLLANRSLTWVDWSRGGAHPATFGKADITDKFFKRIFDGKTCIYNNQPSTLCFLFARKFAPSALDVLLEHSSEFFGF >CDO98129 pep chromosome:AUK_PRJEB4211_v1:4:3079546:3084243:-1 gene:GSCOC_T00022128001 transcript:CDO98129 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKKGSELVKELASSEPGQLSAFNNDLFAQVIDECTGHLHHLGSLIRKIEELKKIEEIKKLNNQELEESEKQLLKAHNSGALIHHLSLLRNKRCLMAYVYNRAETIRSLGWAVERVLPEEIEEKLSGSEKEYFKNHAASLQSYMSELDLDLAVDMVPPKDPYIKVRVLEDIGNVLLSDQSANLARHAILFLRRTDAEQYISQAIFTLMLW >CDO98057 pep chromosome:AUK_PRJEB4211_v1:4:2503461:2507337:1 gene:GSCOC_T00022032001 transcript:CDO98057 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNQSRIENEETVTRCKERKQYMKDAVAARNAFAAAHSAYAMALKNTGAALSDYAHGEVQYPSSPSSSVHGGPASLPQPPVDHLPPPPPPLPPFHQPPPPPIQRAASMPEMSFPKRDLKHSDPILEEENEDEMETESNHGGLKHRSSKSSGGVGSRSGIGRSSEVVDDEELRNPPSPPRPSPPSPPLKQNRSPPPPPPPPEHKGMTSWDFFVLPMENVPGPTLAEVDEGRVEREELERKVLEERAKRSEIDAEGGIGWRSAGGKAESVEEVEKAPELPPQPPQVAPPPKVAKRVKQIVPADAKRRGGSSVNLLQIFSELDDCFLKASESAHEVSKMLEAARLHYHSNFADNRGHIDHSARVMRVITWNRSFRGSSNVDDVKDDFDSEEHETHATVLDKMLAWEKKLYDEVKAGEQMKLEYQRKVTSLTKLKKRDSNTEALERMKAAVSHLHTRYIVDMQSMDSTVSEINRLRDDQLYPKLVALVDGMAFMWESMRGHHESQSKIVQALSSLHISQFPKETSEHHHERTFQLLLVVKEWHMQFDKLIKNQLDYIRALNNWLKLNLIPIDTNLKEKVSSPQRPANPPICVLLHAWHDYLEKAPDELARSAINNFAHVVDHIVEYQIDEMKLRNKCEDTRRELAKKTEQFKDWYRKYMQRRTPPDELDPERAQDKDLIVERQIQVETLEKKLEEEEAEYKKQCIQVREKSLASLRTGLPNLFLAMSGFSLACSDMYRNLRSISQKRSRSES >CDO98521 pep chromosome:AUK_PRJEB4211_v1:4:6457177:6458660:-1 gene:GSCOC_T00022649001 transcript:CDO98521 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTLLQLLRLHQDDDGLDDVIEEVDFSHHQTHPHRHHLDPYWSSDFDAFTLDPSSDFPPSDVLPRGRLSTLHRSSSLLVDSPSDIVSEPESVVTGADLFDRENQVNFVMDLLHQRVEQSQLSSTTCVVIDPEISDADPNFGIHEGNDGMEPTHLDLDLNLGFLGEPTSANVENSGFVAENFEGGDHFVTGLRVVDIGSESDSDINHDVEIDFSADDDDISEGDDDPSLRLCWDSFQIEDHREVNEDFEWEEVDEGVDEREVLSMFLDDDDMPVMAREESADVSRNLDWEVLLDVQNLEAIPENVNEGFNELNFGVNEHDEYNYTAEYEMLFGQFAESENAIIGRPPAAKNVVKSLPLVILCEEDLKKNSLICAVCKDEMGVGEKARQLPCNHRYHGDCILPWLGIRNTCPVCRYELPTDDPDYERRKRDQRAT >CDP14904 pep chromosome:AUK_PRJEB4211_v1:4:25952331:25955839:1 gene:GSCOC_T00042391001 transcript:CDP14904 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISAVYKGNLHKVPTDVPRKWLMPSRQISLKDFKTLLRRRSRAALSRLPQLSPTPNPNPDPNFRGAAHDNNNNNNDSDNEMVNNNDKNACAEIIIAQTKEAPNDKEEEEDEIRVEEEGLVGDQIGSGASETLVLSDEVALEKNDLNAAAAAVERGQQQQHEVTEQEDEKKNEQQQEEVPLLLNPTAPQTTSKEDEESDKYKRKKEVEEKLKILKEKKHGLVQVLKQIQNAEEELRRRSSGQGMAVCPSVPLQVDIPTDTGSMTRLNTPRVGSDGTLGGEVDGGENDGMLNHNPDARHLLRMSSSSPSPSSDSQPKKPAYNVVPNPARASLGVSSPSRFAPTGQQGPTSNLPTVSVSGTNYVASSPSPAASGGTSVFRESRVPSPWN >CDO97764 pep chromosome:AUK_PRJEB4211_v1:4:350627:354383:-1 gene:GSCOC_T00021656001 transcript:CDO97764 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPYHQQWPPAAAPPPPPVAAPPPPPPPHPHPAPIPMDNHNRLPIDEVRTIFISGLPEDVKERELQNLLRWLPGYEASQVNFKGEHPMGFALFATPQQAIAAKDALRDLVFDAESNSILHTEMAKKNLFVKRGIVADSNAYDQSKRLRTGGDYTHTGYSSPSLFHPPPAPVWGPHGYMAPAPPPYDPYAGYPVAPVPMPAPAPVSAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEELRGLFSAQPGFKQMKVLRQERHTVCFIEFEDVNSATNVHHNLQGAVIPSSGSVGMRIQYPLTHLGEGRIPTIQQLLPVLMEPCQL >CDO98002 pep chromosome:AUK_PRJEB4211_v1:4:2189853:2191709:1 gene:GSCOC_T00021964001 transcript:CDO98002 gene_biotype:protein_coding transcript_biotype:protein_coding MILMMARPLLNCGGGGTCGTCIVEVVEGRELLSPRTEKEKEKLKRNPRNWRLACQTTVGKPDSTGLVVIQQLPEWKMHEWNYGKQPPPEDASCYFL >CDP12376 pep chromosome:AUK_PRJEB4211_v1:4:19209862:19212755:1 gene:GSCOC_T00035879001 transcript:CDP12376 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTTFASKTTLPPQIPSPSPLPEPKNLHNPLQPFTRRDLLTSLSFSLLPFFTSPQINNHDNFDPFSVQVSQARGLFQMPPFRLSNRYFLVRAGESEYESLGIINTNPVAKTSVDSGLSKEGKKQTAKAALALKAMGACEANCWIWPSITQRAYQAAEIIAAVNGISRSHIVPEYSFLDARGLGAYEGKNLDSISEVYASDSLSPNIKPPPIDDGTPNESVSDVFVRVTQLMSILETQYSEDTVIIVSPDSDNLTILQAGLVGLDLRRQACTSVILHRDLSFGPGEVRFVDTSSIPTYKQPASAVYKCVNPPNCS >CDO98222 pep chromosome:AUK_PRJEB4211_v1:4:3737207:3740433:-1 gene:GSCOC_T00022243001 transcript:CDO98222 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFFGLAIFLFLTLDSDFTSSPVSAASEGVQITYGSVIKLMHERTKFRLHSHDVPYGSGSGQQSVTGFPNVDDSNSYWIVRPVPDTNAQQGDTIKGGTIIRLQHMRTRKWLHSHLHASPISGNMEVSCFGSDGDSDTGDFWRLEIEGSGKTWRQDQRVRLRHVDTGGYLHSHDKKYTRIAGGQQEVCGVREKRPDNVWLAAEGVYLPVAESKHASS >CDP12702 pep chromosome:AUK_PRJEB4211_v1:4:11441586:11444754:-1 gene:GSCOC_T00037301001 transcript:CDP12702 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHFGSKPVVVASSADAACEIMRTHDLVFANRPKISIFDRLLYGSKDIGASPYGEYWRQVRSICVLQLLSHKRGSIIWICKRGRDFTYVVKQLDEFLEGVIKEHKDRKNGKANTDDIIIEGKGSDLVDILLEIQGEKSTGFTLELDSLKAIILDMFAGGTDTTCTVMEWAMAELLRHPEILEKLQTEVRQVAQGKPEITEDDLDKMNYLKAVIKETLRLHTPVPLLIPRESTQHVKLMGYDIPAGTRVMVNAWAIARDPSLWNQPEEFQPERFLNSTIDFRGFNFELIPFGAGRRGCPGATFAVAVNELALAKLVHKFDFALPDGVEPKDLDMSESTGLTIHRKNPLFAVATPHSR >CDP16941 pep chromosome:AUK_PRJEB4211_v1:4:13579299:13583216:1 gene:GSCOC_T00005304001 transcript:CDP16941 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTTTSYRKLPIIFTFVVLSVLVSFNTAPVSSKPTIQASDSSHNVLLSNQTFRPRSELHRLRRIRSYLKKINKPAVKTIKAFFSDFCSSSPDGDVIDCVLSHLQPAFDHPQLKGQKPLEPPERPKGHDSVDALTESFQLWTDSGEACPEGTIPIRRTTEKDVLRASTLRRFGRKIRNVRHDTMSSDHEHAVAFVNGDQFYGAKVSINVWTPRVTDPYEFSLSQVWIISGTFGNDLNTIEAGWQVSPELYGDGNPRFFTYWTTDAYQATGCYNLLCSGFVQTNNRISIGAAISPRSSYSSRQFDIGVMIWKDPKHGHWWLEFGSGLLVGYWPSFLFSHLRSHASMVQFGGEIVNTRSTGYHTSTQMGSGHFADEGFGKASYFRNLQVVDWDNSLIPLSNLHLLADHPTCYDIKAGRNNVWGNYFYYGGPGRNSRCP >CDP12396 pep chromosome:AUK_PRJEB4211_v1:4:19737734:19745954:1 gene:GSCOC_T00035911001 transcript:CDP12396 gene_biotype:protein_coding transcript_biotype:protein_coding MSELYIGNKFASGKHSRIYRGVYKQKDVAIKLISQPEEDGDLAALLEKQFTSEVALLLRLKHPNIITFIGACKKPPVFCIITEYLAGGSLRKFLHQQVPYSLPLNLVLKLALDIAHGMEYLHAQGILHRDLKSENLLLDEDMHVKVADFGISCLESQCGSTKGFTGTYRWMAPEMIKEKHHTKKVDIYSFGIVLWELLTALIPFDNMTPEQAAFAVCQKNERPPLPSTCPLAFRHLINRCWSPNPNNRPHFEEIVSVLERYAESLEKDPEFFSSYVPSEDHQLIRCLPSCIAKFQSASNKS >CDO98228 pep chromosome:AUK_PRJEB4211_v1:4:3788218:3792356:-1 gene:GSCOC_T00022254001 transcript:CDO98228 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPRVRSMNHAESEVRPVLGPAGNKTRSALELRKPVSKPKISSVNKMQEGEDKKSPATVTMEKDLSPSPKKKFGGASAAIMSQQQQRQEVKSFLMRSNLSMNASCSSDASTDSSQSRASTGKISRRSLTPTPIRRKQQHCGPKVEKLEKVGSEVDSVAVVGLADDSVARKRCAWVTPNTDPSYAAFHDEEWGVPAHEDKKLFEFLSLSTALAELPWPTILNKRHTFREVFQDFDPVAVSKLNEKKIATPGSPASSLLSELKLRAIVENARQACKIIEEFGSFEKYIWGFVNYKPIVGHFRYPRQVPIKTSKADAISKDLVRRGFRGIGPTVVYSFMQVAGITNDHLISCFRFRDCVDVGDGRNKDDDLIATIEGKQAEDSAESGFEERLDALSLSTL >CDP15042 pep chromosome:AUK_PRJEB4211_v1:4:9624179:9629788:-1 gene:GSCOC_T00042583001 transcript:CDP15042 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVAGPRKVPRPGRGGVISHNLTEEEARVKAIAEIVSNMVDLSRKGENVDLNALKSAACRKYGLSRAPKLVEMIAALPESERESLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPSDYRDYFIRNLHDALSGHTSANVEEAVAYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFSLAKDAGFKVVAHMMPDLPNVGVERDMESFKEFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHNKIKPEEVELVRRDYTANEGWETFLSYEDTRQDILVGLLRLRKCGRNVTCPELTGKCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIAVREHRSKKIAVISGVGTRHYYRKLGYELEGPYMVKYLA >CDO97864 pep chromosome:AUK_PRJEB4211_v1:4:1133534:1140864:-1 gene:GSCOC_T00021798001 transcript:CDO97864 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGARIDGGGTTQIVSAGLMKTIQSIKEIVGNHTDGDIYAALKETNMDPNDAAQKLLNQDPFHEVKRKKDKKKENPGYRSSAAAEPKRYLEHGGQAVKLNTYSDRNMRRLTHVRNTSSGFSREFRVVRDNRVNQNSYQDSKPVQSSTSISDPTVNNASVKSTSAGVSMNQKPHFGRQAFQVSNGPTDLQSGQSKDGIMSLPVKKDLFGERQQTVPNSALQVLSVKPNDSEIPSTASLNNSVVGVYSSSSDPVHVPSPDSRAASKIGAIKREVGAVGAHRQNSDPSARFSSSQTSSFSTLHLGREGPSSRESPRSFGNVSKGDQSSQNVLPESAAMTRSFPNSQYTGRGHQLMGHQKAPQPNKEWKPKSSRKPTVNDPGFIGMPTSAAASPVDSSKDVGSEAAQLEDKLSHVNMSDNQNVIIAAHIRVSETDRCRLTFGSLGADFETTKSGFQAVPSAEETNMEPSGCLRASSAPESSTNDSASSRPQDLIDDHVRNSGSGSPASVSVSDHQLLDKKEVSNAQNLENYPDVGLVQDSSASYVPPDSQQQQDTSELPNFSAYDAQTGYDISYFGPTVDEHVQGQGLPSPQEVLNSHAANSIPSSSMAMVQQQQPMAQMYPQLHVSHFANLLPYRQFLSPVYVPPMAVPGYSSNPAYPHPSNGSSYVLMPGTSSHLTANGLKYGIQQFKPVPAGSPTGFGNFTSPTGYAVNAPGVVGSATGLEDSSRLKYKDGNLYAPNPQVETSEIWMNPRDLQSASYYNMPGQTPHAAAYLPSHTSHASYNAAAAVAQSSHMQFPGLYHPPPQPAAIANPHHLGPAMGGNVGVAAAAPGGQVGAYQHSQLSHLNWTGNF >CDP14874 pep chromosome:AUK_PRJEB4211_v1:4:25443579:25446093:1 gene:GSCOC_T00042349001 transcript:CDP14874 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSTSHSPSTQHEDSVPPSTSRKAGGWRAIAYILGNESFEKLASMSLIANLTVYLRTKYNLGGIFLVNVVTIWTGSSNVLSIAGAVVSDAYLGRFLTLLFGSISSLVGMGTIALTAAIPELRPPACHEPSVCEQPRGWQIVVLLLGLLLLAIGAGGIRPCNIAFGADQFDTRTEKGRARLESFFNWWYFSFTIALMIALTGVVYVQTNISWAIGFAIPTVCLACSIIIFLIGRRTYIIRKPQGSIFIDMAKVISASIKKRKVNLRQSSDHTFYSPATDEELEPTKLMLTDRFNCLNKAAVILDSSELDCQGQPTDSWRLCSVQQVEQLKCLVGIVPVWCSAIGCFVVMDQQNTFGNLQGIQMNQFLGPHFKVPPAWMGNTSMLALSFWIFIYQSLYLPTSRKIMKRDARITLKEKIRTGIVMSILCMVMAGIVEKKRRESALKHGSHVSPLSIGFLLPQFVLSGLTEAFAAVAIMEFFTVQMPESMRSIAGAVFFLSLSIGSYLSSLIVNIIHVATGKNGRQPWLGDHDLNKNRLDYYYFIIASLGVINFVYFTFFAGNYVSSKKAITTGGDLQLDNHSISQSRKFSA >CDP12387 pep chromosome:AUK_PRJEB4211_v1:4:19544792:19545827:1 gene:GSCOC_T00035898001 transcript:CDP12387 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAANFPVAGVAKNPMQGLKPALVVGALKQLAGQKQGQGNAAVPDDWTVVSTLDRHIQALGAFAVDEHNKQTKDQLVFVAVLSGIKKTEDDRSTYCLLISAKNSTGKLGSYNAVIIEYNTGCQQLLQFEESP >CDO98593 pep chromosome:AUK_PRJEB4211_v1:4:7229426:7230064:1 gene:GSCOC_T00022748001 transcript:CDO98593 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYERVRGGRLTFKGGVLASRSKDIDKKKKKKKKNKSSNDDVLAEDPNQINDPSATGSGSGAGEIYTIDAAKKMKYDELFPVEAKKFLYDPNAKSKSVEEALDDRVKKKADRYCK >CDO98443 pep chromosome:AUK_PRJEB4211_v1:4:5608260:5612188:1 gene:GSCOC_T00022534001 transcript:CDO98443 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRTGSFRQSFAEKRERERLLSSHRSGDFSELPRFSRSWDDEAEERFGCGCCSSMKDKFLELWKKLMSVAIRAWDTGKADPRVVVFSAKMGLAMMLISLLIFLKQPAAMKDLTRYSVWAILTVVVVFEFSIGATLSKGFNRGLGTLSAGGLALAMGELSKLAGEWEEVTVIFGILITGFCITYAKFYPTMKPYEYGFRVFLITYCFVMVSGYRTKNFIDTAVTRFVLIALGASVSLAVNICIHPIWAGEDLHNLVAKNFTSVANSLEGCISGYLNCVEYERVPSKILTYQASDDPVYSGYRSAMESTSQEDALASFLFVSFAVWEPPHGRYKMFNYPWRNYVKVSGALRHCAFMVMALHGCVLSEIQAPAERRQVFRNELQRVSTASAKVLRELGQKVKNMEKLGSHDMLYEVHEAAEELQKKVDRKSYLLVNSESWEIGKPEGIKVPQESLDMTDDGNYLNGNNNFHETAIDIRALHLSKSWDDRNFNANVNFNPPAAVTSENETEKQKSRPIAVLPEASQIPENDESKTYESASALSLATFASLLIEFVARLQNLVDSFEELSEKAKFREPVNSSEAAAEEVGLWTRLCRCL >CDO98089 pep chromosome:AUK_PRJEB4211_v1:4:2736426:2737890:-1 gene:GSCOC_T00022067001 transcript:CDO98089 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTPTNLLVVDFTNKELKPGSSCWSLACNDIRHALENHGCFIALYDKISQELDKAIFHAADDLFDLPTQLKVQNTNEKPYHGYVGQIPFVPLHEGLGIDYATTLDGVQSFTNLMWPQGNKSFSESSFSFAKTVAKLDEMVIKMLFESYGVEKYSDSHVESSTYLLRFLKYRAPEVNETTMAFPSHTDKSFLTILYQNHISGLEIRTRDGEWITVDFPPRSFVVMAGDACQAWSNDGVLSPNHKVTMDANGKETRHTIALFSFLSKMVQVPEELVDDEKPLQFKPFVHVDLLNFYATDQGRRSQNILKDFCGV >CDO98538 pep chromosome:AUK_PRJEB4211_v1:4:6546552:6553287:1 gene:GSCOC_T00022672001 transcript:CDO98538 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08130) TAIR;Acc:AT5G08130] MELRQPRPFGTEGRKTTHDFLSLYSPVQQDPRPPQGGFLKTQDFLQPLEQGCKNVAKEEDKVEINDLEKPPPAAPPSNIEHLLPGGIGTYSITYFNQRFLKPEGNVYMMAQASSTNINDENSNCSSYAGGGFTLWDESAVKKGKTGKENNAGDRAVLKEAEANVGAGQWRASAERPSQSSSNHKLNAATFSSLSTSKPSLAQRNQSFVNMITSAKSFQEEDDDDDDEEEFVIKKEPSSHPKGNLSVKVDGKSTDQKPSTPRSKHSATEQRRRSKINDRHVFQKLREIIPHSDQKRDKASFLLEVIEYIQFLQDKVQKYEGPYPGWNQELSKPMPSRNLRGPEDFINSTQVTNIGSNPLIHAAKLNESKVTSSSALAINGQHLESDVSSAAAFGEKDHQPDITDKAASVPMALRHGIFPFGGTSTASVPLSSMPASDIDKTTSHPQHQFWPRGSCTADSNITDKLKDQLTIESGTISISSIYSQGLLSTLTQALQSSGIDLSQANISVQIDLGKRANGRVNSSASVIKDVDVPSCNQAMPRSIVTRAGEDSPGQALKRLKTSRS >CDP14988 pep chromosome:AUK_PRJEB4211_v1:4:8954184:8956401:1 gene:GSCOC_T00042511001 transcript:CDP14988 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPIQNPWVFALGVIGNLVSFLVYLAPVPTFRRIVKKKSTEGFHSFPYVVSLFSAMLWVDYARVKSNVLLVTVNSIGCLIETIYIAFFIVHAPKKPRMFTLKLVILLNFIGFGSICILTEYLAKGARKTQALGWLCVASSAMVYIAPLSVMKQVISTRSVEFMPFWLSFSLVLNSLTWCSYGLLLKDIHITVPTVVGFIFGMIQMALYVTYKNIKMKPEEPKLPTIVKPITIIPSEMLPLGSLPIDDTNKAKNEKVQEQIQQGEREQDASHQV >CDP12679 pep chromosome:AUK_PRJEB4211_v1:4:11051048:11052876:1 gene:GSCOC_T00037265001 transcript:CDP12679 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVVSVVIERTSNLLIQKIVFLKGVRRQVERLQNDLVRMRCFLNDADQRQDEDERIRNWVSEIRAAAYDAEDIIEIFASKVEFFTKDKGLVTKLTYYPLKIVSLNKIGKEIESLRMRLKEIADSREEYDIKNLREGMTTHGEELQRIRRSSPLSEDKDIVGFEKITKSLVAELLKADRNRRVVSIKAEDENFFQIHDARDDKISAKSRYLAVHTLPRDKNYFGSSTPPLRSLLFFNIRRYGEDISLSFKSFRKLRIVDLENVEMGYDLPEGIGEFRLLRYLGLRRTSIGRLPHSFGFLRNLQTLDIRNINRVIVSNFICKLEGLRHLYAYDLMSNVPLKIEGLKNLQTLSRIHFDHIMHNDMTTLTSLQKLGIWVDGTSEIDKLCTHLSEVGSLKTLHLYCLEQPQSLAGLSKLHRVTELKLFGRGLRMLPPDFPPNLSRLSLKETRLGADPMPVLEKLGQLSSLKMKDAYEGPQHMGISRNGFHQLKFLELRYLRVDEIKVEEGALPQLRCLRIRECYRLEKLPEELKHISSLDKLELVDMPKDFIRRLDADMVSSVPNLRIF >CDP12349 pep chromosome:AUK_PRJEB4211_v1:4:27728349:27729107:-1 gene:GSCOC_T00035831001 transcript:CDP12349 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRGSGSTEDEINNLILKLQALLPHSGSKNRRVPASKVLQETCNHIRRLTRDADDLSERLSQLLASNDINSLDIESIKSILGQ >CDO98006 pep chromosome:AUK_PRJEB4211_v1:4:2209011:2212194:-1 gene:GSCOC_T00021969001 transcript:CDO98006 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDSPRPDNIPSLAIRTQDATNKFSRSISHKEASFAETPPRLSSTSLSPRLYLSQPSSPRLSFKQDHDLHTIYRCISSVLKKDGQILSIAAASNGLVYTGSQGSIIRIWRLPEFAECGQLKSKANMVVSLQVSNDKVYAAYADCKIRVWHRTWEGAVKHVRVATIPKPGGYVRSYITGKDKMMKHAAPITSLAINVSDDILYSASLDRTVKVWRISDLKCIETIQAHREPINAIVVADDGVLYTASDDATVRVWRRNFCSGDRPHSLTVTLPAKYSPVKTLTLTADGGFLYGGCTDGYVHYWLKGWFSGQLQYGGALQGHTHAVLCLATAASYVVSGSADSSCRVWVRDPEGQHKCLAVLSGHRGPIRCVAAFVAAGGTGNEEVDDVCTICTGSLDGVLKVWRVKCASSTGRGTLQNNCEYFELA >CDP17954 pep chromosome:AUK_PRJEB4211_v1:4:8850104:8851592:1 gene:GSCOC_T00005613001 transcript:CDP17954 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKPLVSFKLENSRFNKQYLKNHISLSTSPKLENLVLLESSFKVLKVLRRHFSTNVSERHSNFGSKLVT >CDP14358 pep chromosome:AUK_PRJEB4211_v1:4:21857462:21859457:-1 gene:GSCOC_T00040700001 transcript:CDP14358 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEHIREITTKIYIFQKPRANPLTKLLTQGLVSYDGDKWAKHRKLITPAFHVEKLKVFSNFFWLTSDAISRMAFGSNYKEGKRIFELQREQSEHFLKARESIYVPGWRFLPTKRNRRMKQIAKDVQESIREIITTRLKAMKEGEACADDLLGILPESNSKEIDNHGNKDFGMTIKEVIDECKLFYFAGQETTSLLLVWTMILLSRYPYWQARAREEVLQHFGTNKLDFEGLNRLKLVTMILHEVLRLYPPAATIARRAAVEIQLGNLTLPAQVLLSLPTILLHHDPEIWGNDVEEFKPERFADGVSNATKGQVTFFTFGWGPRICIGQNFTMVEAKLAVAMVLQRFSFELSPFYAHAPREVITIQPQYGAHLILHKL >CDP18938 pep chromosome:AUK_PRJEB4211_v1:4:14685214:14686659:-1 gene:GSCOC_T00003261001 transcript:CDP18938 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISSPHFVIFPFMAQGHTVPLLYLARLLWQRNVAVTVFTTPANCPSIRAALHDTAISIIELPFPAHVDGIPPGVENTDKLPSMLLFVQFANATKLMQPHFEKALEDLKPVGCIISDGFLGWTQDSGAKLGIPRIGFYGMSSFATTMYAIIGQERPHALTISPDEPFSIPNFPKLTLTRNDFNPPFNEIEPKGPMVEFITEQSIAMAKSYGMILNSFYKLEAAYTDFWNRCIGPKAWCVGPLAEAKPPVLLAEESAKPKWRHWLDKKLHNGKSVLYVAFGTQAEASQEQLLEIANGLEQSKVNFLWVIKSKRLEILQGFEERVKDRGIIVKEWVDQMEILRHESVKGFLSHCGWNSVTESMSAGVPILAMPFMAEQHLNARLVSEEVGVGLRIMPCNGSVRGFVKSSEVEKRVKELMQGTKGEAVRKKMEEVGEAACDAMREGGSSWETLDQLIHDISNYTRLTPLNHGLVSEPGTVSVS >CDO98438 pep chromosome:AUK_PRJEB4211_v1:4:5567738:5568170:1 gene:GSCOC_T00022526001 transcript:CDO98438 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKKRKRAADHGCGGERRKKRRKDVEDEVVEAETAAAQPSEEEVEEFFTILRRMHVAVKYFEKSGSNSTYIDVVNGDGGKMTESPAEEGVQGVKIGGKRDVNGVGLDLNAVPEADT >CDP12316 pep chromosome:AUK_PRJEB4211_v1:4:27233500:27239007:-1 gene:GSCOC_T00035783001 transcript:CDP12316 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSILPKHVVAVVRHQKDPLRALEMFNSVRKEDGFKHNLVTYKCIIEKLGNHGKFEAMEGVMADMRANVDNHLMEGAYVSAIRNYGKKGLIQEAVNVFERMDFYNCEPSVLSYNAIMNILVEYGYFNQAHKVYMRMRDKGVEPDVYTFTIRMKSFCRTNRPGVALRLLRNMPGQGCYVNAVSYCTVIGGFYESSYQFEAYELFDEMLQLGIIPNVETFNKLMHILCKKGDIRHSERLLNKVLKRGVSPNLFTVNIVIQGLCKRGLLDEAERKFDSVTREGLAPDVVTFNTLISGLCKNSKVLKAESYLHKMVNTGFEPDIFTYNTLIDGFCKMGMVPKADKIIKDAVHKGFMPDEFTYCSLIYGLCGDGDTDRAIAVFDEARRKVIKPSIILYNTLIKGLSQQGMILEALHLMNEMPEKGCKPDIWTYNLIINGLCKMGCVSDAMNIMNDAISKGFLPDIFTFNTIIDGYCKQLKMADALEIVNTMWEHCVTPDVITYNTLLDCLCKTSSPDNVMELFKSMKEKGCVANIITFNIVIESLCKSRNLTGALEMLQEMENAGVCPDVVSFGTLLNGFCEDGDLDGASELFRRMIEQYSISHTTATYNIVINAFCKNLNMGMAEKLFREMSDRDCHPDNFTYQCMIDGFCKIDDTDSGFSLLHEKVKSGFIPAVKTFGRVLNCLCLKHRLREAVDVIFLMVQKGVVPDIVNTIFEADKKFVAAPKIVVEDLLKKGHITYYAYELLYDAIRDKKLLKRKLPSKSSNGSRDNFSKSFDGLFDQKEAVQI >CDO97732 pep chromosome:AUK_PRJEB4211_v1:4:122698:125233:1 gene:GSCOC_T00021612001 transcript:CDO97732 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGACRGPPAPPPRHGTKLNVGTTRVIALMLLIFSSSSSSWVLLASGAPPVDTTTAEALLRFKSSLAYVNVFDTWNPSVAPSPCAGNYATWRGVLCSNGLVWGLQLENLRLTGQIDVDALVPLRSLRTISLMNNSFEGPMPEWKKLGALKSLFLSNNHFSGQIPPDAFKAMASLKKVYLANNRFTGNIPATLATPRLLELRLENNQFTGPIPDLKPGIKVLNVSNNQLQGPIPSSLAKMGPSSFAGNKGVCGPPLAISCNSPAPLPDQKPSPTSAPSADSADPAPPQVTGDKASSVSRTVIVALAILVALVAIAVLLAIYRRSKKETPRLGKAVTSPSSSEKHSKSAADNQMASVGGNAVTSRKAKAEASKLSFVREDRQKFDLQDLLRASAEVLGSGNFGSSYKAVLMDGQAVVVKRFKQMSNVGKEDFHEHMRRLGRLVHPNLLPLVAYYYRKEEKLLVFDYVQNGNLASHLHGNHSADQPALDWPTRLKIVKGVARGLAYLHHELPSVSLPHGHLKSSNVVLDKTFQPLLMDYALVPVVNPEQVQHLLVAYKSPEYVQHGRTTRKTDVWNLGVLILEILTSNFPANYIAQGTGVSSSSSSSYSYKSSEVAGWINSIKAAEDQQEGEMWKLLRIGVGCCEEDAETRWDLKEAVEKIEDVKERDETGFDG >CDP17011 pep chromosome:AUK_PRJEB4211_v1:4:15813842:15815608:1 gene:GSCOC_T00011386001 transcript:CDP17011 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAQHKLPVIDFTKKNLDPGSSSWLSTRQAVVGALEEYGCFIALYDKVSLELHEAIFRASEDLFDLPTETKLLNTCHKPAPGYVGQNPRFPLYESLGIDDATSIDGVQKFTTLMWPNGNDSFCESASSYSKLVAELDQMVMRMVSETYGVEKSYETLLGSTSYLLRLIKYREPQENETNLGIVPHTDKSFMSILQQHQVKGLEIKTKDGRWMEIDPFPSSFIIMAGEAFMAWTNGRIEAPHHRVIMPGNAERYSVGLFTFIWDLLIQVPEELVDVEHPLQFKPFDHHKFHQFHTTEEGMRSKCAIKSYCGV >CDP16407 pep chromosome:AUK_PRJEB4211_v1:4:17874056:17875412:-1 gene:GSCOC_T00018271001 transcript:CDP16407 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSHDTLNIAQSSKQKVAVDLADMTPTKLSKLKKATLFWLVNIQSKEINLNLLICQANFRRYATTDR >CDP18320 pep chromosome:AUK_PRJEB4211_v1:4:21603173:21603598:1 gene:GSCOC_T00004356001 transcript:CDP18320 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVENLVELREAQDYAGSQVFNFRKCASLKCAIELGIPDVIDQHGKPITISDLISALPINISKSIHIHRLMRFLSNVGFFVQQNEGYSLSTAGLLLLKNEPFNMRAFIYYVSDPIALKHWNFLTEWFENDDPSPFDTTHC >CDO97762 pep chromosome:AUK_PRJEB4211_v1:4:332358:336120:-1 gene:GSCOC_T00021652001 transcript:CDO97762 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFAVIRLLRFLCLSASVYAVSALNSDGTALLSLLRHWTLTPPSIKSTWNASHPTPCSSWVGVQCHPRHQFFVVSLNLSGYGISGQLGPEISLLYHLTVLDLSFNAFSGSIPSQLGNSTRLQHLDLSSNNFTGRLPLALGNLNPLHTVYLSTNRLTGTIPSNVGNASELVSLWLYGNQLSGTIPPSIANCTSLQELYLGDNQLVGSLPDALDSLEHLVYFDVSSNMLQGNVPFVSGNCKEMDTFVFSFNNFTGSIPPALGNCSSLTQFAAVSCALTGPIPPSFAQLGNLMNLYLSDNRLSGEIPPELGRCASLVDLRIEENQLVGQIPVELEKLSQLQSLFLYTNHLTGEIPLGIWKIQSLQNLLVYQNNLSGDIPIEITELKQLRNLSLFGNQFTGVIPQGLGINSTLVQIDLTSNRFTGPIPPNLCFGNQLRKLNLGQNDFRGSIPPGVGSCSNLTRLILKQNHLTGIIPDFVENPSLVYINLCSNNLSGEIPISLANLTKVTSIDLSMNKLSGPIHPELGRLVELQALDLSHNSLEGELPFQLSNCEKLSELEVSNNLLNGSIPASFRSLTELSTLGLSENRFAGDIPVFLFEFERLSTLHLGGNSFGGSIPASVGSPQAGENMRSLNLSSNRLMGQVPPELGRLDMLEDLDVSSNNLSGSLGVLDNMHSLVFINVSHNHFAGPVPATLLKHLNSSPSSFEGNLGLCVNCLSGGSSSCTEKSFLGPCTIQSENKRGRSKVGTVMIALGSSLLCISLLGGLAYMFLRRKGPKLQNAIAAEGGASSLLNEIMEATENLSGKYVIGRGAHGTVYKASLGSGRVYAVKKVASAGSRGGSKSMIREIQTIGAVRHRNLVKLEEFWFRKDYGLILYNYMKNGSLHDLLHERNPPLQLEWSIRYKIALGTAQGLSYLHFDCDPAIVHRDIKPMNILLDSEWEPHISDFGLAKLLDEQQAASMSCSAVIGTVGYIAPENAFTTTKSKESDVYSYGVVLLELITRKKPLLDPSRSFNDGEGEEDLVSWVRSVWNEAEEIKDAVDPGLLDEFIDSSVMEQATNVLLVALRCTAKEPSKRPSMRDVVKQLTDAYPISTSSSRTKSNLLCSYRN >CDO98277 pep chromosome:AUK_PRJEB4211_v1:4:4161453:4168441:1 gene:GSCOC_T00022318001 transcript:CDO98277 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPETQHYHHHSDNEIIGNDRTSYSGPLSGPLNKRTGKKSARFNISDSAGNANSSSGNNNDDAYVEITLDVRDDSVAVHSVKTADGAAIEDSELALLARGLEKKSSFGSSVVRNASSKIRQVSQELKRLASLSKRPQMGKFDRTKSAAAHALKGLKFISKTDGGAGWAAVEKRFDELTDTTNGLLPRSLFCECIGMNKESKEFAGELFDALGRRRNITGGSINKAQLKEFWDQISDQSFDSRLQTFFDMVDKDADGRITEDEVREIITLSASANKLSNIQRQADEYAALIMEELDPNNCGFILIEHLEMLLLQAPNQSVRGESRNLSQMLSQKLKPTTDHSFVRRWCQDLKYLLLDNWQRVWVIALWIGVMAGLFSWKYVQYKRKKPAYDVMGACVCMAKGAAETLKLNMALILLPVCRNTITWLRNKTRLGAAVPFDDNLNFHKVIAVAIAIGVGIHAIAHLSCDFPRILHASPAKYEALKPYFGVEQPASYWHFVKSVEGVTGIIMVVLMAVAFTLATPWFRRNRVNLPRPFKKLTGFNAFWYSHHLFVIVYALLIVHGVRLYLTHKWYKKTTWMYLAVPIALYAGERLIRAFRSSIKAVKILKVAVYPGNVLALHMSKPQGFKYKSGQYMFVNCAAVSPFEWHPFSITSAPRDDYLSVHIRTLGDWTRQLRTVFSEVCQPPPTGKSGLLRADCLQGENNPNFPRVLIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISIVKDIVNNMKAIEAEESSLEDGTRAAEDGGPVAPNSSSLTQKRKSGSGSGGSFKTKRAYFYWVTREQGSFDWFKGIMNEAAEMDKKGVIEMHNYCTSVYEEGDARSALITMLQSLNHAKHGVDIVSGTRVKSHFAKPNWRNVYKRIALNHTSARVGVFYCGAPALTKELKQLASDFSHKTSTKFDFHKENF >CDO98035 pep chromosome:AUK_PRJEB4211_v1:4:2378566:2385570:-1 gene:GSCOC_T00022008001 transcript:CDO98035 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPAGGAAPPASSFPPGNDTTTPSPPVGGTTNATGPSSALNSPSSPGNGNAALNPPAPPGQGSNRTTLVALGVGIGIGGAIVLVCVGIFLIWYKRRKRRHPPDPKGDSFIRPLPQWQQNTPPPPASNIVGMLSPTPPHGILSNFSDGSTPPPPPSVASSLNSEKQPQRLSPDSRIGIIKMTFSYGELALATDSFSITNLLGQGGFGYVYKGVLHNGKHVAIKKLKVGSGQGEREFQAEVETISHVHHKHLVSLVGYCISGDQRLLVYEFVPNKTLEFHLHGKDHLPINWANRMKIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDDDFEAKVADFGLARFYLDTDTHVSTRVMGTFGYLAPEYALTGKLTEKSDIFSFGVMILELITGRRPIDKAQHYLDDNIVDWARPLLTQALDDGNFDTLADPRLRKDYDSTEMARMVACAAACVRHLARRRPRMSQIVRALEGNISLEELNEGIKPGHSTRYDSYGSSDFDTAQYKEELKKFRKMALEFEEQNLSECSGPTSDFGLQPSASSSEGIQSIPGDAKIS >CDP16421 pep chromosome:AUK_PRJEB4211_v1:4:17498373:17498783:-1 gene:GSCOC_T00018297001 transcript:CDP16421 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGKIVKWCNQLEVLAHQSVGCFMTHCGWNSTLEAASLGVPMVALPQWSDQPTNAKFIESVWRVGVRAKRDEKMIVTREEIERCVREVMVGEKSDEIRINASKMREQAKRAVCNGGSSDIAINNFVVSVDEREGN >CDP14883 pep chromosome:AUK_PRJEB4211_v1:4:25684859:25687066:1 gene:GSCOC_T00042365001 transcript:CDP14883 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGAAYSWAAVKGSLEPAIVFPLVLAFFFWTLEFDTIYAHQQIIRHIYKAYIFFLSQIPFLAIFRFKSTHQNYDKEDDVNVGIKSTALLFGDSTKLWISGFAAASIASLALTGFNANIGWPFYGLLAAASGHLAWQIWDVDLSNPADCSRKFVSNIWFGAIVFGAVLCGRLFS >CDO97989 pep chromosome:AUK_PRJEB4211_v1:4:2121597:2124953:1 gene:GSCOC_T00021948001 transcript:CDO97989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP35 [Source:Projected from Arabidopsis thaliana (AT3G16310) UniProtKB/Swiss-Prot;Acc:O04326] MSTTIQRTPKSGRQSLFFHDLATPVSSRKSGGKFATPGQAAAVSALWRENFANSDLPPPPMFTLEDRSDLSPESGIPDYPVSPGVGSDPRTPLSSFGREFSTPKSKSGPSTSYATTMGKQQQQSQQSPVASLSWWSPSKSGGSTEQEDKGKGSPVEGVVQPGALITLPPPREVARPEMKKNSVPIGSLDEEEWVTVYGFSPIDTNLVLREFEKCGVILKHVPGPRDANWMHILYQNRADALKALSKNGMQINGVLIIGVKPVDPMQRQALNERINNLGFMTLPPAPSNRISESNLSRASPYPYYLQNGSASKQAGGTVAAPSKSLVSKVVDLMFGV >CDP12686 pep chromosome:AUK_PRJEB4211_v1:4:11106337:11112526:-1 gene:GSCOC_T00037277001 transcript:CDP12686 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKSSTSGRYSSYSSSSNSWGNYGSPHSQYPQPGYNYAPQPSYNYAPAPPPQESRRRLERKYSRIDDDYTSLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRKSLHHLGDGQNPYEQAISIIGRTLSKFDEDNLIPCFGFGDASTHDQEVFSFYPDERFCDGFEEVLSRYRELVPQLRLAGPTSFAPVIEMAMTIVEQSGGQYHVLLIIADGQVTRSIDTGRGQLSPQERKTVEAIVKASEYPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQASQSLFVNFTEIMSKNVDRSRKEAEFALSALMEIPSQYKATLELEILGVSKGNGMDRVPLPPPLYGPASLGTPKTSRNSSFRPSAPSCGPEPPFARSQAASSSDSHLCPICLTDPKNMAFGCGHQTCCECGQDLQLCPICRDTISTRIKLY >CDP14997 pep chromosome:AUK_PRJEB4211_v1:4:9091903:9094321:1 gene:GSCOC_T00042522001 transcript:CDP14997 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIPGFDAIDLIKNIWGSGFDVITLVHRFLNTFVARFSVNAPFSFLPPYLVFKYLDYIRRSIFSEDLTGKVVLITGASSGIGEHVAYEYARRGACLPNTWFPPVPADVSRVEDCKRLIETTIEHFGQLDHLVNCAAVATLALFEDSGARTLENDQSILESDINFWGSIIQITSSTSWLHAPRLSFYAASKAAIMSFFETLRVEFGSEKGITIVTPGVIESCNFILVS >CDO98661 pep chromosome:AUK_PRJEB4211_v1:4:7933221:7936818:1 gene:GSCOC_T00022830001 transcript:CDO98661 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSYQRQQQPLHLESSSGLFLQSSSQMRMSAGLLEEPNMTRMTTTTTSFPQFYQPDHFLHQEIPSKSYVNESTCSIFDQSCTKVTHFSNNGPSLTNKTSTDSSSVTDKLESGEQVTQKVHAAAMDKKRKTKKGPLPVNSAQSKAIGDQLAKGKKQRKCGRIMKEDEDKKSNEDKKVPEKAAEEAPPTGYIHVRARRGQATDSHSLAERVRREKISERMKILQALVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASLNPMFYDFGMDLDPYIARPEPTLSNMASPVQNMQQCDPMQAPIISATNNSLRTDTANNYPQLDSSASALLIQESHHIPQILSQENGQLLWDVDDRRQKLINQTGFNNNLCSFH >CDO97819 pep chromosome:AUK_PRJEB4211_v1:4:744141:749524:-1 gene:GSCOC_T00021731001 transcript:CDO97819 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSVASPLCTWLVAACMSASCEKDHFLKLPSIFRCSRRLSRSARRRKVVVPQFLNAGGAGLVSSFCASGIQNWLNSCLTFEPCEEYYNSEGLASSLSCFSENAFSLFGHKAEPVTRRQRRMNRAAISGKAMAVAVKPQKDTIAKKKSLTEKRRIVVTGVGVVSSLGHDADIFYSNLLEGVSGISQIETFDCSEFPTRIAGEIKDFSADGWVAPKLCKRADKFMLYMLTAGKKALADGGITEDVMEEIDKAKCGVLIGSALGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCMLNAANHIIRGEADIMLCGGSDSAIIPIGLGGFVACRALSQKNGDPTRASRPWDVDRDGFVMGEGAGVLLLEELEHAKRRDAKIYAEFLGGSFTCDAYHMIEPHPEGAGIVLCIEKALAQSGVAREDINYINAHATSTPAGDLKEFEAIMRCIGQNPELKMNSTKSMVGHLLGAAGAVEAVATVKAIQTGWIHPNINLENPDKGVDTSVLVGPKKEQLEIKVALSNSFGFGGHNSSILFAPYKPDEGD >CDO98121 pep chromosome:AUK_PRJEB4211_v1:4:3035937:3039792:1 gene:GSCOC_T00022119001 transcript:CDO98121 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAIGRTTRVIGWYHSHPHITVFPSHVDVRTQAMYQLLDSGFIGLIFSCFSEDAQKVGRIQVTAFQSLDGKQQHMLRPVSLSAVNRGAVIDIESSLSSSEKTLTRGSLTRDVEEDTADSRAIALASKGEGKSSDLGGFFANADARMGDSYRFNSFSHSVTDLDPMDMSESMQEAMHRSNLEMSGAEFVRKEVPLLVLPSSSLPRVDAPLESFVELQRVLYEEERTAYNQAIEQNMSDGKAHPITYIHHTSTYQASMCKLMEYCLSPAISTLQDRLRENETRLRMLSDEAKILETDISRGSESKSISPRTVPSHGLLRGSASFGHRDRDLYSPGELSNMKNVANPVTRSRKG >CDO97908 pep chromosome:AUK_PRJEB4211_v1:4:1440687:1443220:-1 gene:GSCOC_T00021851001 transcript:CDO97908 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKPTVALRAILVGGVAAFAKIAGALKAAGGVKVGAAAAAVTAAATAAMSGSKQESKDSPKQPSK >CDP14838 pep chromosome:AUK_PRJEB4211_v1:4:24888195:24888663:1 gene:GSCOC_T00042304001 transcript:CDP14838 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFTLPSAEEEILALSSSLFTGAKLEMRESEFGIKSIQLGLGTLEEVFLNIAKKAALQTFNPKENTKTLTLPSGATLQARTFTEYVKIQERSPARIPEAL >CDO98370 pep chromosome:AUK_PRJEB4211_v1:4:4929416:4931626:1 gene:GSCOC_T00022440001 transcript:CDO98370 gene_biotype:protein_coding transcript_biotype:protein_coding MANKFPICSVCTSWVQEPKPEDHLGLPLEPSKPEHDYGMYKKLLHQYAQKSGFPLPVYKIENEGFPHAPKFRSSVFIDETKFTSKFTFPDRRAAEQDVAKLAYETIVKDNKTLTGGPHIYQVHQIFTWLHFIHLL >CDO98494 pep chromosome:AUK_PRJEB4211_v1:4:6142549:6143052:-1 gene:GSCOC_T00022609001 transcript:CDO98494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML15 [Source:Projected from Arabidopsis thaliana (AT1G18530) UniProtKB/Swiss-Prot;Acc:Q9FZ75] MSLPQIDQLKQLREIFSRFDMDSDGSLTILELAALLRSLGLKPSGDQLHVLLSNMDSNGNGTVEFDELVNAIMPHLNEELWINQDMLLKVFHSFDRDGNGYITLAELAGSMAKMGQPLTYRELTEMIQEADTDGDGVISFNEFASVMAKSAADFLGLDDNYQLLNVA >CDO97848 pep chromosome:AUK_PRJEB4211_v1:4:998843:999685:1 gene:GSCOC_T00021769001 transcript:CDO97848 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPASPQEELPILSADEGSEDGNNGADLVPPREVEVDDDAVVLMATINPATTTGNTNPELHFVSYRYSLPLRSMYNGESTIADTKANTIATAL >CDP14365 pep chromosome:AUK_PRJEB4211_v1:4:22162522:22164543:1 gene:GSCOC_T00040717001 transcript:CDP14365 gene_biotype:protein_coding transcript_biotype:protein_coding MLILIKLILLVVSALAGFASSQDLSITYNGFRSSNLSLDGIAEVTPNGLLKLTDATVQQEGHAFFPNPVSFKDSANSSAFSFSTTFIFAVVSEYPTLSGHGMAFVIAPTRGLPGALPSHHLGLFNETNNGNKTNHVFAVELDMIQSEEFDDINNNHVGIDINGLNSTLAEPAAYYYNGSGVFQNLTLISGKAMQVWVEYDGKEKHISVTLAPIYADKPNKPLLSLSYDLSPVLNENMYIGFSSSTGSVPTSHCLLGWSFKMNGVKTSKVLTIGLPIILIIALPIAISGIIYQVRIKEKFAEVLEDWEHDYGPHRFKYKDLYIATKRFRDREVLGRGGFGKVYRGVLPSSKLEVAVKRVSHDSLQGMKEFVAEIVSIGRLSHRNLVPLLGYCRRKDELLLVYEYMPNGSLDRFLYQQPKCTLNWNQRFRVIRGVASGLLYLHEGWEQIVIHRDIKASNVLLDSELNGRLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHTRTGKATTRTDVYAFGAFLLEVVCGRRPTEPHPPTEDAILVDWVFSCWNKGQILEAVDRNMGLDYVKEEVELVMKLGLLCSQSEPTARPTMRQVVLYLDSALAVPDLRSLGISATGLSFASQEGFSDFKLSYPSSMDKPFSHASSSAAESLLSGGR >CDP16417 pep chromosome:AUK_PRJEB4211_v1:4:17516227:17518833:-1 gene:GSCOC_T00018291001 transcript:CDP16417 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGGHVVVLTYPAQGHINPLLQFAKCLASKGLKATFATTPYTAKFIAAKGVQVMPISDGFDNGGFRDAPNVADYLESFKTVGSRTLTELILKLNQSSDSPVNCLVYDSLLPWAVDVAKKLDIFSVVLLTNSASVCSLYWQIHRGFLPFPVEKDKVPLAIPGLPPLGFDELPSFLAFPSHNSAYLESIMRVFARLDENDWVFANSFEDLENELAKALTGLWPVQMVGPMVPSAYVEGPIAGDSDYGGSLWKPSDHYLKWLDEKAPKSVVFVSFGSMADVEIKQIEEIACGLKGSNHHFLWVIKDSEQEKLLTEFLQSNDEMGFGKIVKWCNQLEVLAQKSKMIVTREEIERCVREVMVGEKSDEIRINASKMREQAKRAVCNGGSSDIAINNFVEVLIKGKGTKL >CDO98281 pep chromosome:AUK_PRJEB4211_v1:4:4183768:4189819:1 gene:GSCOC_T00022322001 transcript:CDO98281 gene_biotype:protein_coding transcript_biotype:protein_coding MCRTRLLGWTRLLEFSAVCVLLLNGGTAAKNEACTASDFQDDHHRVLAANRTNMVSSYKKIWEIVYQGVWGQSSTCMCSRKLDVHSSECLKKIRHDSELQSLFKILDASFFSDNKVQEIEKAAKEFNVPIIKTNRKLVASENGGLHYPSYLVFNPARTNESLQQATKRFSHPSLEGVRRPKSDENIAFMSVLELGQLIKTKQITSEELTGIFIRRLKRYGPVLESVVTITEELAYKQAKEADHLLVKGKYLGPLHGIPYGLKDIIAVPHYRTTWGSKTFKDQVLDIEAWVYKRLRSAGGVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEYSTGSSAGPAACTSAGMVPFAIGSETSGSITYPAARCGVTALRPTFGTVGRTGVMSISESLDKLGPFCRSAADCTIILDMIRGKDPDDLSSQEIPLVDPFTVDITKLTVGYLEDAEMGVVDVLKSKGVNMVPFKLNYTVESAQGILNFTMDVDMLAHFDEWQRANLDDQYEAQDQWPLELQRARVIPAVDYVQAQRARGKLIREVKESFRVDAFVGNATDWERVCVGNLVGMPVIVVPAGFKKISDPPTADTRRRTTITTGIYAPPAHDHIALALAMAYQSVTDHHKQRPPIDDLGPNDSVPNPPIKQIPPRQLRG >CDP12738 pep chromosome:AUK_PRJEB4211_v1:4:12357745:12361506:-1 gene:GSCOC_T00037368001 transcript:CDP12738 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQSTGNGSYEPSQVKFPEIKFTKLFINGEFVDSLSGRTFETIDPRTGEAIAKIAEGDKEDVDLAVKAARKAFDHGPWPRFPGSERRRILLKFADLVNENAEELATLDAIDAGKLLHLMRIIDLPLAVDTIRYYAGAADKIHGETLKMSRELQSYTLHEPIGVVGHIIPWNFPSLMFAIKVGPALAAGCTMIVKPAEQTPLSALYYAHLAKLAGIPDGVLNVVPGFGPTAGAAISSHMDINMISFTGSTEIGRRIMQAAATSNLKPVCLELGGKSPLIIFNDADVDKAAEQALQGSFFNKALLKFFFFFGEICVAGCRVFAQDGIYDQFLLKLKEKAQNWVVGDPLDPNSHHGPQVDKRQYEKVLSHIEQGKKEGATLFYGGKPLDRKGYYIEPTIFIDVKDDMTIAQNEIFGPVMTVFKFKNVEEAIKRANETKYGLAAGIMTNDLNIANTVSRSIRAGAIWINCYFAFDADCPHGGYKMSGFGRDLGMDALKKYLQVKSVTTPIYNSPWL >CDP16928 pep chromosome:AUK_PRJEB4211_v1:4:13460695:13461943:-1 gene:GSCOC_T00005283001 transcript:CDP16928 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTFNEEYTSPIAPKRIFKASIVDSHNLIPKLMPQAIKSIEITQGNGGAGSIKQINFAEEMIFEFTKSSHFKSLTYQIDELNQETYTYNYTLIEGGALTENLEKITYEVKFEPTPEGGSVSKVTSKYYTKGDFSLKEEDIKVGKEKVVGMYKVPISSKILMPMPNHLSRFADLSLTFPIGVLFSVAFVCL >CDP14375 pep chromosome:AUK_PRJEB4211_v1:4:22449904:22450614:-1 gene:GSCOC_T00040734001 transcript:CDP14375 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFLICCKYFSTLKTFISLQVNFNRSNGDVANDFYHRYKEDVQLMDYIGINGFRFTISWSRVLPHGKLSGGVNELGIAFYNNLINELISKG >CDP16918 pep chromosome:AUK_PRJEB4211_v1:4:13254994:13258242:-1 gene:GSCOC_T00005270001 transcript:CDP16918 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNHCYLDGNADAVEFCPHDSFQHVLAASTYTLEEGDQPKRSGSISLFDVDAEVGRLQLTYRVETAGIFDIKWSPVGGDVVPFLAQADADGYLRIHRLECCVEGSETSGNFLKEYCYEHVSSSMCLCLDWNPSATSITVGLSDGSVSIISLTESQLSTVLEWKAHDFELWTASFDIHQPHLVYTGSDDCKFSCWDLRDSPSKLVFQNTKVHKMGVCCITKSPHDANILLTGSYDENLRIWDIRSISRPINESSICLGGGVWRIKHHPSTPVLILTACMHNGFGVVKIDGDKAELIETYQKHGSLAYGADWQRGEQHAEAKRKKSLVATCSFYDKLLRLWIPQADVYQ >CDP16521 pep chromosome:AUK_PRJEB4211_v1:4:15941910:15943755:-1 gene:GSCOC_T00018883001 transcript:CDP16521 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPHVMVVAPPAQGHVRPLLKLSHQIASQGIKVTFVNTEIIHAKVVAAMSDSKNEEIGGVTLVSVPDGVEQEDDRKDIFKFTECFQRTMPGNLKDLIQRTNDSNEDEPIRFVLVGATIGWLLEVVQDLGIKQAAFWTGSPAGLAFFCHVPKLIEDGVLDMNGNIVENDQASISEEIPAWNCSELPWHFPGEQKLQKLFFDMGLVIRPTAQHVKWILCNAPYELHSQACDLVPNILPVGPFLTTTENSASTGSFWLEDTSCLSWLDGQEAGSVVYVAFGSIAVFSKQQFDEIALGLELSGQPFLWVVRSDLANGSPVAYPDGFLERVADRGKIVEWSPQENVLAHPSIAVFLTHCGWNSTMEGASLGVPFLCWPYFADQFYNQKYICDIWKVGLKVNPGEDGIRSRTEISTKIQQGICDDNIRINASKLKDLCQKCLSKGGSSFENFKKFIDHLRS >CDO97979 pep chromosome:AUK_PRJEB4211_v1:4:2006810:2016612:-1 gene:GSCOC_T00021935001 transcript:CDO97979 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKSRAAAAVIRQASRNASRNRSLTGAPSRRGWGVEDVFGSQKSGRAEDDEEALRWAALEKLPTYDRLRKTVIKSFIENDSHGNKIVHKEVDVRKLGLDERQEFIDRLFKVAEEDNEKFLKKLRNRIDKVGISLPTVEVRFEHVTMEAECYIGDRALPTLPNAIRNVAESSLSCLGIRLAERAKITILKEASGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLKVKGGISYNGHGLNEFVPQKTSAYISQTDVHVGEMTVKETLDFSARCQGVGSRYELLTELARRERDAGIFPEAEVDLFMKATAMEGVESSLITDYTLRILGLDVCRDTIVGDEMLRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEATVLMSLLQPAPETYDLFDDIILLSEGQIVYQGPRVHVLEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWADRNKPYRYISVAEFAKMFKRFHVGLRLENELSVPYDKARSHRAALVFKKYSVPMRELLKANFDKEWLLIKRNSFVYIFKTVQIIIVALIASTVFLRTKMHTRNEDDGAHYVGALLFGLIINMFNGFSDLSLTIQRLPVFYKQRDLLFHPPWAFTLPTFLLRIPISVFESIVWMVVTYYTIGFAPEASRFFKQLLLIFLTQQMAAGLFRLIAAACRTMIIANTGGTLALLLVFLLGGFILPKDKIPDWWGWGYWISPLTYSFNAMTVNEMFAPRWMNKWASDNATKLGLEVLKNFDVFPERNWYWIGAAALLGFIFLFNILFTFALMYLSPPGKPQAIISKEQAREMEDDQREAMGEPRLITTKSKKVNVKIENYTHLYVTLSGEMAVLPVHTQPSRNLSSRKEDTSLEAASGVAPKRGMVLPFTPLAMSFDNVNYFVDMPPEMRDQGITEDKLQLLRSVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARVAGYCEQTDIHSPQVTVRESLIYSAFLRLPEEVSKEQKMIFVNEVMDLVELDNLKDAIVGLPGISGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSQKIVEYFEAIPGVPKIKEKYNPATWMLEVSSVATEVRLGIDFAEKYKSSSLYQRNKDLVKELSMHPPGAKDLHFLTQYSQSTFGQFKSCLWKQWMTYWRSPDYNLVRYFYCLAAALMVGTIFWRVGTKRESSGDLMTIVGAMYGAVLFVGINNCLTVQPIVAVERTVFYREKAAGMYSALPYAMAQVFAEIPYILVQTSYYTLIVYAMVGFEWTAAKFFWFYFVNFFSFCYFTYYGMMTVAITPNHQVAAIFAAAFYALFNLFSGFFIPKPRIPKWWIWYYWICPVAWTVYGLIISQYGDVEDTISVPGMNFTPKIKDYIQDHFGYEPDFMGPVAAVLIGFTVFFAFMYAYCIKNLNFQMR >CDO98036 pep chromosome:AUK_PRJEB4211_v1:4:2387914:2398187:1 gene:GSCOC_T00022009001 transcript:CDO98036 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRQAAPAAAATVTVRDLVEEGKKRIVFLVVCVVGLSYLMSLTSSSVLVNLPAAAVMIIIFRYWSLDFEMRRKAAIYKSKPSSVYVSSEKKRSEGPIIVVEKPDWRRKVNSPIVEDAIDQFTRHIVSEWVTDLWYSRITPDKQGPEELVQIMNGVLGEISCRMRNINLIDLLTRDVINLLCSHLELFRATKAKIEKQHSRSLTIEERDRELKFVLNAENKLHPSLFSAEAEHKVLQHLVNGLMSITFKPEDLQCSLFIYVVRELLACAVMRPVLNLVSPRFINERIESLVISLSKTQKVMGAAEVGSQPKPNGSTKISSDHFSRFLDHSDKGVELVQLKKDCPTASGEKHETDITNGNVISKDPLLSMDARSTRSWSALPSEDHTGEGKGIQRHRSGGEWGEMLDALSRRKTEALAPEHFDNMWAKGRNYRRKEVSDQSADKISQGSLDQSKEFSRKKKDLDCKVSGSNKLTIANENCFQSGCHNQNSSYRDEDEHEIIQSDEVESSVSTSSYTTGDEEISAVTGLDSPSVRVWDAKNKKNVTNIHHPLEVFDGRKPRRARKKNHHSQKLTKAMSVRKRSRSISQKAHVWQEVERTSFLSGDGQDILNSSIGNIKHDDSSDDSGAEMVNRISSGSTASSFLSSTSLPESYNLTANPSKNSIIADSFLTLRCEVLGANIVKSGSKTFAVYSISVTDVNGYSWSIKRRFRHFEELHRRLKEFPEYNLHLPPKHFLSAGLDVSVIQERCKLLDKYLKNLMQLPTVSSSIEVWDFLSVDSQTYIFSNPLSIIETLSVNFVVTAHERNKNYQSNVGIVRDPVSSKKEHLDAVKKETAFGIKHEGMPERSQMNAKSLALSPPKKPLNVVRKTLEDSSSDSDSTTHRSLISHKNLGKMSNSGQAGFNASSELHTDAASDPTLPSEWVPPNLSLPILNLVDVIFQLQDGGWIRRKAFWVAKRVLQLGMGDAFDDWLIEKIQLLRRGSVLPRLSSIKWMNDILWPDGIFLTKHPRRQKPPLSASPSQSPSHGRPPTPLSSPKMENVEMMDDTQQKEAERRAKFVYDLMIDKAPAAVVGLVGHKEYEQCAKDLYYFIQSSVAMKQLAFDLLQLLLLSAFPELDYVFRQLHEEKEKFGELKLD >CDO98128 pep chromosome:AUK_PRJEB4211_v1:4:3073514:3075595:1 gene:GSCOC_T00022127001 transcript:CDO98128 gene_biotype:protein_coding transcript_biotype:protein_coding MLLERCGHHPLTVAVMGKALRKEVRAEKWEKAISDLSTYATSAPGPISYVNEKEAETTVTIFGSLEFSLEVMPEDSRKLFIAFASLSWAEPLPEACLEAIWSVIGQENLFPLTVCKLVEGSLLMKTDATSIYQVHDMVSLYLNSKENDSVIMLLTESTAEKSAFISPWLFIFGKNAVKIVSEKKIESALGSSEEKQAIIVLESIIQAFMSSELISEIEATRASLSRILGPKIGDLMSAESQSLVALSAKAIISIFTKADFSNYLPSLETTGAVDNLADILQVCDDPMVQTNISTVLAKLAEFGTPGTVDKVLQRIPLSQLADLLSPSAEEWHDSVFTTLISLMKAGKSKAVEKMFASELDKSLIRLLENGSDVTQHHALVILKSFYELGGPSTNGSLGPGILKLLPWQARLRLEKYVLSDQNSLPSTKPQTFDDIIHKMLENSDKRILEAMQDVIPIVEKAGEPIIGDMILRSLLVKRLSELLQGRQEQYLLNAESAFVLMKLACSGGEPCIKKILEYDIIQELVKMMQCDTPELQDSAYTTLHQMLFAQGGVLILDQMFQIGQIDRLVKLIESKSAKTREVSLNCVLDVVEVGNKICLERMFSLQVIEKLAKIEKSRGGSGATVVGFLKGISKCKHLTTAERWVMKQQVVRKARAALKGHKFETQVMAAIDACLSEGSRGASSTNSRRRHKR >CDP18403 pep chromosome:AUK_PRJEB4211_v1:4:24496429:24500763:1 gene:GSCOC_T00004745001 transcript:CDP18403 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLARVMLFHEMDGFVDLKFWLNMVQKRPLSEELYEALSKQPKLLEPSDKRVSVLEFPDGNIPPKLHILGEDGAACDKKKGERDESLVDSNLAEFQSYVEKEIDRSLACSISNSSWATSTTCEEDVRSEAPCILLSPDHYNLDFPARTIFHTGDIYSSLLEYPPRKLVPIGSGFQAEIPEWFFNRSSQSLMSDIGNHLDHERQFSGSCLVPMPRSDMSEDTVEKLGDGRIDCSCDDVGSVRCVRQHITEAREKLRKTLGQEAFVKLGFLEVGEVVAEKWSEEEEEIFTEVVFSHPASLGRNFWNHLAIEFPSRTKKEIVSYYFNVFMLRRRAEQNRIDVLSIDSDNDEWEGTNVSADEVIEKINGYDDSLVESPAEEDDHNEIFEDNLCEYKDDINAEEASEDNIRLNLDGSKCVSKESDMCPIDMPDNCSSDQAHQPLDQVLSDISGNQNTKHITSDLSSMSSSTGNELFLEPCSSKEWDVGCLTCPKDEDFLPTSSVIEEVFGAGAWNSRD >CDO98173 pep chromosome:AUK_PRJEB4211_v1:4:3391140:3392111:-1 gene:GSCOC_T00022179001 transcript:CDO98173 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKANLTDHGVNLLEKRFLPALDKMGKICHLYLTRDHFFFLHNLLNGDGIQSIAQFKKEALFEDYRISSQNEDRIAFAIDLSLLHRALRSIITVYAEFSSHGVGGVVSNQIQIRLVKKLPAHSQQPTPFLTFETKGYKSAVIQDVPISKPLSRADMAELQAALDTAQEMPRTLVKVRDMNQLEQFVDRMKHVGDVMNVSISKYGDLHLQISTTLITLGAEFRKLLVLGEQAEVPRGEGNLSAQTRTQRAIQRGDAMIVQVSVKHFFKSLQCHLAKPDCAFYGITSQGACLTVIFQFFVPGTRQTDKSISLHCRLPVLDPGSN >CDO98105 pep chromosome:AUK_PRJEB4211_v1:4:2930728:2936683:-1 gene:GSCOC_T00022101001 transcript:CDO98105 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKGAAPLVCHGHSRPVVDLFYSPITPDGFFLISASKDSNPMLRNGETGDWIGTFQGHKGAVWSCCLDKPALRAASASADFSAKLWDALSGDELHSFEHKHIVRACSFSEDTHLLLTGGFEKILRIYDLNQPDAPPREVHDSPGSVRTLAWLHSDQSILSSCTDIGGVRLWDVRSGKIVRTLETKSAVTSTEVSHDGRYITTVDGSTVKFWDANHFGLVRSYDMPCNMESASLEPRFGNKFVAGGEDMWVHVFDFHTGAEIVCNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQILNHNEEETIMANGPSGKVKDDAAEDVTEMVEGINLTDVKEPVEGVEKGV >CDP15005 pep chromosome:AUK_PRJEB4211_v1:4:9157977:9160099:-1 gene:GSCOC_T00042532001 transcript:CDP15005 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRAFLNSPVGPKTTHFWGPVSNWGFILAGLADTQKPPELISGQMTGVLCVYSALFMRFAWVIRPRNHFLMVTHASNECVQLYQLSRWAKGQWYLQQKEDKPTTSQ >CDO98462 pep chromosome:AUK_PRJEB4211_v1:4:5805156:5812673:1 gene:GSCOC_T00022562001 transcript:CDO98462 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQRLVDNVLAVTKESVKTFTYESVNNIVRLINEVSALLLAVLPGQASILEGIHGWELRPTFRAPKLPRWMEEGASSFNQFIHNLSMDSDTTSSLDNSSDEEYYEDIPGSPLSQSSRTSRASSFRKHDRHQMTWIRCLFMYFLLPLKFFFGGPFWLFSLSSHGSNSAPSTPRNHRPSHLHSPRRLQTLKDHFIQRATDRRRGVVEDLHLAFEISIEAIFDIFHKAARCLLAPFDTLRGVIGWFYFQNTGSGDCVSQGGSVQTATLADNDPTPTERKTTFDHSLNTDARTCQDVITELGYPYEAIHVVTADGYVLLLERIPRRDARKVVYLQHGIFDSSMGWVSNGVVGSPAFAAFDQGYDVFLGNLRGLVSRGHENRSISSRQYWTFSINEHGTEDIPAMIEKINEIKVSELKCIQSDQEGGTNNIQPYKLCAICHSLGGAAILTYVITQRIKEKPHRLSRLILLSPAGFHHDSTVVFTLMEYLFLVLAPILAPLVPAFYIPTWFCRMLLNKLARDFHNLPAVGGLVQTLISYVVGGDSSNWVGVLGLPHYNMNDMPGVSFRVALHLAQMKRAKKFKMFDYGSAAANMEVYGSPEPLDLGEYYSLIDVPVDLVAGRKDRVIRPSMIKMHYRLMKDSEVEVSYSEFEYAHLDFTFSHREELLAYVMSRLLLVGPSSKQLNGQKSLKPKKKDGMKELQVN >CDO98600 pep chromosome:AUK_PRJEB4211_v1:4:7256007:7261191:-1 gene:GSCOC_T00022755001 transcript:CDO98600 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MYCRINQFRKRGKAASSAPPLHLLPPALSSTVMPVQNPNPNKKKKKHSNQDDDEDDTVQGKQQTQFEFCKVCRLNHNQGRRHIYFPNHKKALSSFLSRFQSKLKNDVAFYLKKPMPLRPEHAALNRLWCVFCDFDILESDSSFACGNAIAHLASAEHLKGVKSFLWKYGGGMDRMDSFRISESDFTKWEKKCKLLKSEAAGGGSHGSLIGPLNDIHNESKSEYVNSFDKNKLDCLTSDVKCSNSVVPLQDYTIERSQISHSKICTVGPQMLHVGTGVGLGARTPNGLPGFFGNQHYTHSVPKEGPAYSCPNDGKVYPYEGIAIGDRHSQGTSSEESRLQSLQNLTQISSSAQENGGGNVHSGAPPPWFSAAEKIQLDNKLNQGLGDLVSSLGEQMKTSKLNPKRVGAAWAERRKIELELEKRGELVRHDFGADWLPNFGRVWQSGSRKDSRKEFLGENKTWRKVDSQIEKPSQLQPYISKRMRTDNTAE >CDO98199 pep chromosome:AUK_PRJEB4211_v1:4:3590487:3593632:1 gene:GSCOC_T00022218001 transcript:CDO98199 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLPDQLLWEILDRIKKTADRNSVSLTCRRLHKVDSEQRKFIRVGCGLDPATESLASVCNRFPYLERVEIIYSGWMSKLGKQLDDQGLGVLSSNCSLLKDLTLSYCTFITDAGLSSLASCSKLSALKLNFAPRVTGCGILSLVMGCKKLAVLHLVRCLNISSVEWLEYLGKLETLEDLCIKNCRAIGEGDLIKLGPSWRKLKQLQFEVDANYRYMKFYDRLAIDRWQKQWIPCSSMLELSLVNCIISPGRGLACVLGKCSNLEKIHLDMCVGLRDADIVCLAHKSKNLRCISLRVPSDFSLPLLMNNPLRLTDESLKALAENCSQLDSVRLSFSDGEFPSLSSFTLDGILALIQMCPIRELALDHVYSVNDFGMEALRSAKHLEILELVGCQEVTDEGLQLVGQFLQLRVLRLSKCLGVTDDGLKPLVGACKLEMLVVEDCPQISERGVQGAAKSVNFRQDLSWMYS >CDO98296 pep chromosome:AUK_PRJEB4211_v1:4:4301882:4305112:-1 gene:GSCOC_T00022343001 transcript:CDO98296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromophore lyase CRL, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51020) UniProtKB/Swiss-Prot;Acc:Q9FI46] MSTGSGSGSESKSSEPDFNPNSSSNGWGKARGVVLKSLVLIGGALLLKRLTKSTTRWDHARIVAQSLAGEKFSREQASRDPDNYFNLRWLSCPAAEMVDGSKVLYFEQAFWRTPHKPYRQRFYMVKPCPKEMKCDVEVSTHAIRDAEEYKNFCDRPKDQRPEPEEVIGDIAEHLTTIHLKRCDRGKRCLYEGSTQPDGFPNTWNGAAYCTSELSVLKNNEVHIWDRGYDEEGNQVWGAKGGPYEFKPAPASSFDDMFSPLNFPPQPLEKRIQGSFVLQE >CDO97779 pep chromosome:AUK_PRJEB4211_v1:4:452665:456928:-1 gene:GSCOC_T00021679001 transcript:CDO97779 gene_biotype:protein_coding transcript_biotype:protein_coding MRARVVVFPIRGRNWAFSSRSSSSSLDSHSSSSSSSSHTAPPSTFKELWNTISSPRQKPPSQSNVELLIDFFANKMNRAWGKLEEAPRGTFKSKIHRLGVKLLSRVKPSEIFLKSIPKEATHVEITYPSSLNARLVRRRIRHIAIRGAVLHKKYFYGSVTLLPATAILAVLPLPNIPFFWFLFRSYSHWRALKGSEKLLQLVSDGSTNQDSSIEKENKGLQDSEKGKFDSLGSPWVLQPSDELHKLLQGKDAESANESTVSEICQRFSLNTNDVLKYRNSL >CDP19452 pep chromosome:AUK_PRJEB4211_v1:4:17149072:17149347:1 gene:GSCOC_T00012382001 transcript:CDP19452 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVSESISDVLVMDKRIDDSSFTLQASGSKIDEKSAVAEGEMEDILGCKQPPPMSRKDSVGDLLLNLPRIASLPQFSFNISEDVDNQAR >CDP17001 pep chromosome:AUK_PRJEB4211_v1:4:15356668:15364329:1 gene:GSCOC_T00011366001 transcript:CDP17001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPAAAPKADVPPPHPPKEQLPGVYFCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKAKVIQTVLFVAGLNTLLQTTFGTRLPAVIGGSYTFVAPTISIILSGRWSDPDPVSRFKKVMRATQGALIVASTLQIVLGFSGLWRNVVRFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPMLILLVLFSQYLGDLIKPGKKIFDRFTVVFTVAIVWIYAHLLTVGGAYNGKAPKTQTSCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATYLPPSILSRGVGWQGIGILLSGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCIFFAYVGAGGLSFLQFCNLNTFRTKFILGFSIFLGLSIPQYFNEYTAIQGYGPVHVNGRWFNDIVNVPFSSEAFVAGLVAYFLDNTLHKKDGQIRKDRGKHFWDKFKSYKTDQRTDEFYGLPFGINKYFPSV >CDO98633 pep chromosome:AUK_PRJEB4211_v1:4:7632645:7633725:1 gene:GSCOC_T00022793001 transcript:CDO98633 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSCSTGCGCPVPCPGGVSCRCATGRREDDPSIEHKRCSCGEHCGCNPCACPKGTNITGTGRGACQCGVGCDCVTCAA >CDP12732 pep chromosome:AUK_PRJEB4211_v1:4:12223482:12224412:1 gene:GSCOC_T00037358001 transcript:CDP12732 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRNSTTRAFLGEGSTNRVFAFRECELTDLAFPKYELSELAFAECELIDLAFLKCELILGMRELVEDEVDWISCFPHNGTCLESLIFDCVDSPINFEALERLVVKSPSLKKLRLNRHVTIVQLYCLMVRAPQLTHLGTGSFGPGEIVAQGEQEPDYVSAFAACKSLVCLSGFREINAHYLPAIVPVCANLTSLNLSYATISTEQLKSFIYHCHKLQTLWVLDSVCDEGLQAVAATCKDLHEPVQVSFGRD >CDP12287 pep chromosome:AUK_PRJEB4211_v1:4:26697968:26702380:-1 gene:GSCOC_T00035742001 transcript:CDP12287 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLASVAETIKNFAVMYLVDITEVPDFNTMYELYDPSTIMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >CDO97912 pep chromosome:AUK_PRJEB4211_v1:4:1474376:1481310:1 gene:GSCOC_T00021858001 transcript:CDO97912 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLSAFMSLSYFIILSPLPIQGSAAPLPQPTLTFPTIGQKRHGGLSAAPQSKAFSYPAHVYYPPRTVLNQHKHFNATSHRHHFKKPTNGSVSSPTASFHRNHWNRNRFSKFPREPYDQLSPLASSPKDTWHAVSPSQPPLPSAEGKSAPALVPSPAIPASQLRMPRPRPIISPSSSSTRKTIKAPSPSPILTLPPPPPNHDCSSLTCTEPLTYAPAGSPCGCVWPVEVSLRLSVTLYTFFPLVSELAKEISAGLSLDRSQVRIMGANAVNQQLEKTIVLINLVPEDEQFDATTAFSIYRKFWQREIFVQTSLFGKYEVLYVHYPGGLPPSPPSLTSASATINDQPNHGIDNDGMAIKPLGVDVPRRRKNRISRNVVIVIVLSCTTTVVVCMGLMWLLLLKCGYCTYETDKDPNLLITTHVNPAGVDGSLMLESKPTSDSMSFSSSVLQYTGTAKIFSMTDIERATKNFHASRVIGEGGFGIVYSGILDDGRKVAVKVLKRDDRQGSREFLAEVEMLSRLHHRNLVKLIGICTEAHSRCLVYELVPNGSVESHLHGLENEASPLDWCARMKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTAKVSDFGLARTALDERSKHISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVAWARPLLTTNEGLETIIDPTLKPNTSYDSVAKFAAIASMCVQPEVSHRPFMGEVVQALKLVCDEFDETRGLMSRSCSQDDFSIDIDTSIAEYAVEGVDSTFEDKIALSTNLVPAGFERQESGSFRRQFNSAPLKMVRKRRFWERLRGLSSGSMSEHGF >CDO98635 pep chromosome:AUK_PRJEB4211_v1:4:7644406:7644917:-1 gene:GSCOC_T00022797001 transcript:CDO98635 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFLFYLVHLFSSHTLVTRSHREAQITNPNQPLSSSSVRRFVIMNGDLSCEFSLWP >CDO98615 pep chromosome:AUK_PRJEB4211_v1:4:7406258:7408996:-1 gene:GSCOC_T00022772001 transcript:CDO98615 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKRTLIALGFEGSANKIGVGVVMLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLIKSALESAKITPDAVDCLCYTKGPGMGAPLQVSAVVVRILSQLWNKPIVAVNHCVAHIEMGRIVTRADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLKLSNDPSPGYNIEQVFVK >CDP17740 pep chromosome:AUK_PRJEB4211_v1:4:23806286:23807090:1 gene:GSCOC_T00010520001 transcript:CDP17740 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTLEPNCDPGNNGMRRDLKLMKLEHDYILAKIGHAKLKDKAFTHSVLLVILLGLNVLLVGI >CDO97730 pep chromosome:AUK_PRJEB4211_v1:4:99548:111267:1 gene:GSCOC_T00021610001 transcript:CDO97730 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMACDADIASPPCYSRSYGGYFLRKATRGPLLLPRQATLDFYWLLFLLTSFNFTTFVLCKTNAPDVSALNVMYTSMNSPSKLDGWKSNGGDPCGQSWHGIKCSGSSVTEIDLSDLELTGSMGYQLSSLTSVTYFDLSKNNLKGDIPYQLPPNAQYIDLSRNGFSGSVPYSISQMINLEYLNLNNNQLNGQLNDMFGQLSKLSKLDLSFNSFTGTLPPSFKSLSSLSTLHLQNNQFTGSINVLADLPLDDLNVANNQFTGWIPDELKSIKDIKTGGNSWSSGPAPPPPPGQKSDPHARQSKEDIKKSGLSGTAIAGIVLGILVVFGVIFTLFSRKSSSSHFLEEDRLSRKHSPFSPLAIHELSNDLPNDVQNDFRERKSFGSSSSVKVKCLQTSASMSLETGKTLQVSPSPGFKSGKALEASLSGDLKLPPSDCARYTNHNEITDRLERSSSIHVTCYSLSELQNATGNFATGRLLGEGSIGRVYRAKHADGKVLAVKKIDSSLLQGGHGGKFREVITHISELRHPNISELVGYCSEQGQNMIVYEYFRNGSLHEFLHLSDDFSKPLTWNTRVKISLGTARALKYLHEVCSPSYVHKNIKSSNILLDAELNPRLSDCGLTVFYEEGKDQRMNKNHGIGYKAPECTTPSAYTSKSDIYSFGVIMLELLTGRMAFDSSKPRMEQFLVRWASPQLHDIDALEKMADPALRGLYPPRCLSRFADVIALCVQSEPEFRPPMSEVVEALVRLVQ >CDO97811 pep chromosome:AUK_PRJEB4211_v1:4:673432:677173:1 gene:GSCOC_T00021720001 transcript:CDO97811 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDKRSDFYAVLGLKKECSAAELKNAYKKLALKWHPDRCSASGNPKRVEEAKKKFQAIQEAYSVLSDANKRFLYDVGVYDCDDEDDENGMGDFLNEMAAMMSQNKSKENQEESFEELQELFEEMFQSDVEAFASCSSQSATPSTRSSPPFASCSETYNAKNKRNSSEMSPSSSQVEGTQFNGFCIGTGGTSGRNQDGKRNRGKNAGKYWRQ >CDO98578 pep chromosome:AUK_PRJEB4211_v1:4:7018091:7024593:1 gene:GSCOC_T00022723001 transcript:CDO98578 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPINDLTFIVTGSTSGIGREIARQLAEAGGHVVMAVRNTNAAHDLIRKWQEDWTGRGLPLNIEVMELDLLSLESVVRFAEAYNARLGPLHALINNAGIFSIGEPQKFSKDGYEQHMQVNHLAPALLSILLLPSLLRGSSSRIVNVNSVMHYVGFVDTEDMNVTSGKRKYTSLVGYCGSKLAEVMFSSVLHKRLPAEAGISVICVSPGIVQTNVARDLPRIVQAAYRLIPYFIFSAEEGSRSALFAATDPQVPEYCEMLKADEWPVSAFISQDCRPTNPSEESHNVETAYRVWEKTLELIGLPLDAIERLLEGEEIECRYRASKE >CDO97785 pep chromosome:AUK_PRJEB4211_v1:4:477607:483077:-1 gene:GSCOC_T00021688001 transcript:CDO97785 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKACVKRLQKEYRALCKEPVSHVVARPAPNDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPFKPPGISMTTPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVTTTVAEKQKLAKASLAFNCKNATFRKLFPEYVEKYEQQLSEHAVTDKVSPVSNPAENTRSSLGEQENPTRGEINRIEPPKGVKNQRHSFPTWLLLLLVSIFGVVMALPLLQP >CDO98495 pep chromosome:AUK_PRJEB4211_v1:4:6144000:6145410:-1 gene:GSCOC_T00022610001 transcript:CDO98495 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSLTPDQEQLQPQESVPNPVSVSATTSAWHSSGSIGPFFAVISVLTVLAVISCFAGRICKGRSVTPPENIQHGGGCLGWLRRKWCACANNDAEVLGNTAAESNDGKTQESV >CDO98176 pep chromosome:AUK_PRJEB4211_v1:4:3420193:3420685:-1 gene:GSCOC_T00022186001 transcript:CDO98176 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFLNHRELFLRLLFLALFESIGRRRDAGSILSENQAWKCSRKSRLLTRIKFYLLQLFSQCPTSSKFKAHDLTLISR >CDO98280 pep chromosome:AUK_PRJEB4211_v1:4:4177694:4181535:-1 gene:GSCOC_T00022321001 transcript:CDO98280 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVCIAQESAYKDWQDFAVTTQVLANHNMLKVPQNQVAGHQAGGGNLGPLVDDSGHFYKPLQGDERGSKEVAFYTSFSLNTKVPDEIRKFFPIFYGTQLVEASDGSGLKSHLVLEDLTLGNVNPSIMDIKIGSRTWSPQASEDYIAKCLKKDRESSSLLLGFRLSGLQFYTNKEAVFRKPTKKSVQSLSAEEIKLVLKNFVSSNTSTELESKPDCAFASVVFGGPNGILSQLFELKSWFEDQTLYHFYSVSVHMMYEKQLASEGRNPRAEIKLIDFAHVDEGRGVIDHNFLGGICSLVKFISEILRATDDCPIKALNYLLGDEVENLVLSLRDKLQK >CDO98254 pep chromosome:AUK_PRJEB4211_v1:4:3986051:3989343:-1 gene:GSCOC_T00022285001 transcript:CDO98254 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP8 [Source:Projected from Arabidopsis thaliana (AT1G16070) UniProtKB/TrEMBL;Acc:A0A178WHW0] MSEFKKTSVFPRQSSYNSLYANPLSELRHNRSSSEGGNSGNNIVPLAVHIRRNLNPANVPSDDKENFTPNRTEIGCWNDKENAGFCKGIRLDPGDFVPNCPNLNTKDRALKPSSLQLCIKKHEPDSIIGTRTLEPLDSGTTNSGNIWDYSDSEAAPASSWSTLPNRSLLCRPLPVDIGRCTCLIVKEPSPEGLDKGTLYSLYTHEGHGRQNRKLAVAHHRRRNGRSEFLVASCVKGLVSGQDDSLIGNMTANLMGSKYHIWGQGNQLNPLTKLFKLLAAVTFTPTITTWTGSHRSIKAWIPKHQSMQLKNVTQHINGLPLDWKEKMDKVHQLFSRVPRYNKISKKYELDYRDRGRTGLRIQSSVKNFQLTLEKNGRQTILQLGRVGKSNYVMDFRYPMTGFQAFCISLASIDSKACCTM >CDP12355 pep chromosome:AUK_PRJEB4211_v1:4:27981370:27982953:-1 gene:GSCOC_T00035845001 transcript:CDP12355 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKDHIFMAKLFSDFSFFWPSYWRGHHFLSLGTCQAPVKKRASGFLILILLARTQSERALRNDEKEIGAMKSSKRENSKRIHPEEETGVMKSSKQLAFQGQAMDQEDDQGHDGGSRALNRGYIEINAWKPDEGPLEWTLLGRKHLGSSVYNCIAMGC >CDP18312 pep chromosome:AUK_PRJEB4211_v1:4:21455873:21461509:1 gene:GSCOC_T00004342001 transcript:CDP18312 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGGNVADALVRNYRLGKTLGHGSFGKVKIAEHVLTGYKVAVKILNRRKMKNPDMEEKVRREIKICRLFVHPHIIRLYEVIETPADIYVVMEYVKSGELFDYIVEKGRLQEDEARHFFQQIISGVEYCHKNMVVHRDLKPENLLLDSRGNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVVSGKLYAGPEKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRITIPEIRQHHWFKVHLPRYLAGTMPKLQFVVYCSSLDEDIIQQVLRMGFDRSQLLESLQKRIQNDATVAYYLLFDNRSPVSSGYLGAEFQESMEACSPGIFPELDLHLSIGHGFPHMGREKNWLVGLQTAAQPRALMTQVLGALQELKVRWKKIGHYNMKCLWNHAFWDNESLATNHINDHVYHANEANANTLQPQAILKFEMQALDLSLLISFCYLFTCLKSSPYALQVTKMEVLCP >CDO98126 pep chromosome:AUK_PRJEB4211_v1:4:3068779:3070398:1 gene:GSCOC_T00022125001 transcript:CDO98126 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNLPFDLLANIFSFLSPDSLACAKSSCRHWHQCARCLATPESPRRHPPWFVALPNRSRGLFCCAYNPIDDSSSSSWYMLPLDFLPIPTRPVSATAGLILLRSTSTTPFQLAICNPFTRQFRHLPTLNVTRINPAVGVIELDPGHQFGELNFRAYVAGGMSEAPGGGALDEPKVEMYDSRCDTWKVIGSMPVEFAVRLTVWTPSESVYSNGILYWMTSARAYSVMGFEIATNSWRELSVPVADRLEFAALVQRNGKLTLLGGKCGGDACIWELGEGDVWRMIEKVPFELGMRFLGGKGCWDGTKCVGNNGIVCLYKDLGSGMLVWREVADEGRWEWFWIDGCCSIRGQQPQNFQIKGLLLHPNLAHSSLLNA >CDO98211 pep chromosome:AUK_PRJEB4211_v1:4:3677399:3677805:-1 gene:GSCOC_T00022231001 transcript:CDO98211 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKSSVKNGRGTVPVYLNVYDLTSINGYAYWLGLGVYHSGVQGGTKKEKE >CDO98212 pep chromosome:AUK_PRJEB4211_v1:4:3680024:3683107:1 gene:GSCOC_T00022232001 transcript:CDO98212 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDFHLVQLFFACLPSLAVYFVAQYARSEMRRMDAELEVKKKAEEEAKATEKAAEEQEMTSDPQLLAVKVRLDKLEETVKEIVVESKKKSADPRDKGQVDDGGRKQPTMVKPNNSAGDASSFNAAKGSPSKEAGEGRTPSTALTDASQGDQKSSKNYEPSSDVKK >CDO97736 pep chromosome:AUK_PRJEB4211_v1:4:153450:155500:-1 gene:GSCOC_T00021616001 transcript:CDO97736 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAYENVVGGKLKLKGKALDVKAASGIRKKKNKKNKQLENDDKNHQLTEHFDDDHETAAAAKDNDLLSAGGSTEELTDPSQDEEVGDASKSAGENVARWDDHLTPAERRYVEQRAKIDMHRLAKTADKSHRDRIQDFNQYLANMSEHYDIPKVGPG >CDP16419 pep chromosome:AUK_PRJEB4211_v1:4:17506781:17508741:-1 gene:GSCOC_T00018293001 transcript:CDP16419 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASAHEDKGIPFDGNIMPALESITLRRKQKLIEPAFEPMIRKIVKEEVDLAMKKYLIGMRRTCEKEICPSESGSLQLQFLSGISLPVFTGTRIEGEDFNILQVALVDPFTGQVVSIGPQSAAKVEIVVLEGDFDGDESDNWTFEEFKNNIVREREGKKPLLTGDAFLTL >CDO98073 pep chromosome:AUK_PRJEB4211_v1:4:2609478:2610299:-1 gene:GSCOC_T00022049001 transcript:CDO98073 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKKRALVMMVVVMGLLVGQSAASFKDCYAKCFIFCMIEPTQTLCSCSTHCLKDCIFPESQNDLREDKKDNANFCKLGCAVSICSSISSRGKPNGDKMDDCVGSCSKTCTKSRSLP >CDP18395 pep chromosome:AUK_PRJEB4211_v1:4:24112078:24115636:1 gene:GSCOC_T00004728001 transcript:CDP18395 gene_biotype:protein_coding transcript_biotype:protein_coding MESARTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKTGTLKELAPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGSKRNGSRPPHFGKSSGSVARHILQQLQAMKLIDHDPKGGRRITSNGQRDLDQVAGRIAVAH >CDO97934 pep chromosome:AUK_PRJEB4211_v1:4:1675391:1679088:1 gene:GSCOC_T00021883001 transcript:CDO97934 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSIYSQMKPQFPEQEHLKCPRCDSANTKFCYYNNYNLSQPRHFCKNCRRYWTKGGALRNIPVGGGSRKNTKRSSSTTKRPSSASSAAPSTSPSSAAAVSSSSSPPSTTPQTLPKTEPYGLAPQALQPGFDQDRRMILDVGGNGSFSSLLSSNGGQFGNFLEGLNPNASNLQLCGFGDHGPNQGPGQGHHGDPHAGLQNGSNSEEGFLSNQGNGDSSCWNGSNGWPDLAIYTPGSNFQ >CDO98425 pep chromosome:AUK_PRJEB4211_v1:4:5455432:5464302:1 gene:GSCOC_T00022511001 transcript:CDO98425 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKTRTSAINEATEFFNHLLVDKPLLPLVVPLLFVLWGIEKWFFSLSNWVPLAVAVWATIQYGSYQRRILTEDLNSKWKQLILQTSSTTPLEHCEWLNVLLTEVWPNYIGPKLSLRFASIVERRLKHRKPSLIEKIELQEFSLGSHPPILGIHGASWSTSGDQRILRFGFNWDATDMSIMLSVKLAKPLMGTARIVINNMHIKGDMLLMPILDGRALLYSFMSTPEVRLGVAFGSGGSQSLPGTELPGVSSWLVKLVSDTISKRMVEPRRNCLALPAVDLYKKAVGGVLYVTVISASKLSRNNLKGSPPKRQQSSVVNGHKEDHRDDKDLRTFVEVELGELTRKTNERRGSSPSWDSTFNMVLHDNTGVVRFNLYECTPGSVKYDFLTSCEVKIRYVADDSTIFWATGADSTVIARRAEICGKEVEMTVPFEGINSGELKVKLVLKEWHFSDGSHSMNGSRIGSRQALNGSSKFLPTTGRKIYVTVTEGKNLVVKDRLGKSDPFVKLQYGKAIRRTRTVPHTSDPTWNQKFEFDEIGDGEYLKIKCYTEETFREESIGGARVNMEGLVEGSARDVWIPLEKVNSGELHLHIEAVRVEDNEGSKGLHGSTDNGLVELVLIEGRDLFAADLRGTSDPYVRVHYGNLKRRTKVVYKTLYPQWHQTFEFPDDGSPLELHVKDHNALLPTSSIGDCVVEYKRLPPNQMSEKWIPLQNVKNGEIHIQVTRRVPELDKKPPDSESFSIQARKRTSKQMKQNMIKFQSLIEDGNLEGLSASLSELETLHDAQEQYISQVEMEQMLLLNKINELGQEVLNSPAPLIRRASIP >CDP12295 pep chromosome:AUK_PRJEB4211_v1:4:26837450:26841294:1 gene:GSCOC_T00035757001 transcript:CDP12295 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGHESNVHRPLAHFHPDIWGNQFLLHSPDSDEARWASMKGQVEQLKEKVRTKRHETASNSSEQLQFIDAIQRLGIEYQFEEEISQALQKLHDQHQSWEDNDHFYIAALYFRILRQEGFGISSGKSYSMLKINFGESLVNDVPGMLALYEAAHLRFDGENILDLALDFTSDRLQSLPCKLSSPLAELVSHALLQPNWRGLPRLEARHYISIYEKDPSHNSTLLKLAKLDFNMLQSLHKEELQEISLWWKELDFARKLPFARDRIVEGYFWIAGVYFEPQYSLARKIMSKVTAITSIIDDIYDAYGTFKELVILTEAIERWNAGCINQLPDYMKICYVALLHLFEEIEEEMANKGTSYRTDYAKEAMKVLVRAYFVEAKWLHRGYIPTFEEYMQIGLATCGYITLTIIAFLGRGDIVTKEAFDWALSGPDILRAASIICRLRDDIVGHKNGRTLLASAVECYMKQHRVSEQQACDELRRQVEDAWLLMNQNLLKPSASTSAAISGAAGFLPPKAVAYKHKDEYTHVGETRKSYINSLFIEPIPS >CDP12297 pep chromosome:AUK_PRJEB4211_v1:4:26910183:26911408:1 gene:GSCOC_T00035759001 transcript:CDP12297 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFLLRLLVLLLCVSQLICFMNAVPVTRITSLQHKFQGFDQVSEYNNMEIVEKNSLNLETIGRSRMDLELNDYPGSGANNRHTPRPQN >CDO98533 pep chromosome:AUK_PRJEB4211_v1:4:6506070:6506821:1 gene:GSCOC_T00022665001 transcript:CDO98533 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSFRHFVLAIMVLLLATKACDANSNEGFKKVEAEAGNGIMPPGGKEMHNAKEIYNAGPRVAADTSGKFGGRKMMLEIKGLSNEVKQEESKKTSEEASKISGASSVGNSKHTWKGKLNMQSKLSSRSVNHHLKVNMGGFMAFNADYHMAKTHPPRNN >CDP12347 pep chromosome:AUK_PRJEB4211_v1:4:27607383:27608352:1 gene:GSCOC_T00035826001 transcript:CDP12347 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVNDSLMASSKVSLLQHHPQQPSRSRNLLKSYKTLIIIISFCFIILASLIVSTIILFHMHNRNNYNLTSEPTESLLSNSANLKTFCSVVSQDPDLCFTSISSSIKGTETEPDDIFAVSIKVAIDNVTSLAPLMREVLLSSSMTGAESALKHCTESVADSLNQLNRSLTAVLLGAKENCRVFSSEAPVDLGFEPLTSAQRGNVMTWLLRALGGLDTCFDVLAGVGSVAVDELSVKVYKARVQVSNSREFLLYKDKILEYFVIHPAGNTSDKWLTVNFEYLSTLFMFCPQYLVLIFLFCLLLRIN >CDP12321 pep chromosome:AUK_PRJEB4211_v1:4:27304343:27304752:1 gene:GSCOC_T00035788001 transcript:CDP12321 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRYVQTRDILNVIIRFAIYRHSIRSQNCHPAKVYQILPGKRSAKSILAYDLNL >CDO98405 pep chromosome:AUK_PRJEB4211_v1:4:5214612:5218544:1 gene:GSCOC_T00022486001 transcript:CDO98405 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALSLCLRNLHQSPHLKLYPNNLNHPKKLRFFSSSSETGNDSSNQTTPDPNPTPQPKTKLDQPEEKELALEDVDNKELKLRIDDYFKKGNEEALPSIFEAILQRRLTGKHEETDDEVISELQMQPLDDVKDQDFESDFEEVYETDEEIEDLYNARDIVTKRMVQDEYFNMDDRKWDDMVREATEKGYLKDTKECEEILEDMLNWDKLLPDEIKQKVEKKFDEIAARVENGELEVEEGYALFKEYEDQMVMECAKLMEAEAPHFDDSTVPDKKKDLDDPPGEGPILRWQTRAVFAPGGDAWHPKNRKVKLAVTVKELGLSKHQFRRLRELVGKRYHPGKDELTITSERFEHREENRKDCLRTLLSLIEEAGKANKLVDDARTSYLKERLRSNPHFMGRLNAKITRKGELSLSSA >CDP14386 pep chromosome:AUK_PRJEB4211_v1:4:23073145:23076108:-1 gene:GSCOC_T00040765001 transcript:CDP14386 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKTESRPIEKPRKMVFNRSGSLHYGTNQVCGFWLAGKCNRNPCRFRHVDSLKPRQDQPPQRQTQPKRPPRTSSNGQRGNKFRSTWRNPDLSNPKILAVSSTEGVGAEGKMVHVTKNEVLTSRRACSVGGSCQKSQKKLCPYWVSGNCVDGEKCKDLHSLFSGSGFSLLTKLQKHSKAVTGIALPSGSDKLFSSSKDNSVCVWDCHTGQCVVTAELGGEIGCLISEGPWVFAGLQNAAQAWNIESQTELVLSGPNGLVHAMDVGEDMLFGGVQDGSILVWKFTSVSCSPEPVAFLMGHRFAVLSLVVGANCLYSGSEDESIRVWDLKTLQCLQILNGHKNFVTSVLCWDKFLLSGSLDNRLKVWAANEIGDLEVVNEVEEDNVSTFYFGCIHSKLYFIST >CDP16996 pep chromosome:AUK_PRJEB4211_v1:4:15069217:15084112:1 gene:GSCOC_T00011359001 transcript:CDP16996 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPSNPLWIFFTVLCTFLVAAKSSTVGVSYISRFLEIQDKERAPSSVQLTAAYGVLNRLFPSHSSSFEFKIIPKEHCGGELCFKISNHPSFRYNGYPEILISGTTGVELAAGLHWYLKYWCGAHISWMKTGGAQLASVPKAGSLPQVQHAGVEIRRPVPWSYYQNAVTSSYTFAWWDWERWEKEIDWMALQGINLPLAFTGQEAIWQKVFQKFNISTSSLDDFFGGPAFLAWSRMANLHGWGGPLPQSWLDQQLLMQKKILARMYQLGMTPVLPAFSGNVPAALKRVFPSAKITHLGNWFTVHGDPRWCCTYLLDATDPLFIEIGKAFVKQQVNEYGRSSHIYNCDTFDENTPPIDDPEYISSLGAAIFRGMQSGDSDAVWLMQGWLFSYDPFWRPPQMKALLHSVPVGKMIVLDLFAEAKPIWSSSEQFYGVPYIWKVTLSSFFFFWCSLIFFLISSNSMFRCMLHNFAGNIEMYGVLDAVGSGPVEARRMEANACFVGVGMSMEGIEQNPVVYDLMSEMAFQHEIVDVKKWVDLYAKRRYGRYVQSLQDAWTILYGTLYNCTDGSQDKNRDVIVAFPDVDPYSIAIPQVTISRRYQDNRIRFLSRTVREELTDSFSKPHLWYSTSEVIEALKLFLSSGDEVPETSIYRYDLIDLTRQALAKYANQLFLKVIESYQLADLPAVAHFSQEFLGLVEDMDILLGCHDGFLLGPWLESAKRLAQDEEQERQFEWNARTQITMWFDNTQEEASLLRDYGNKYWSGLLRDYYGPRAAIYFKFLIESLEKGDGFRLQHWRREWIKLTNDWQSSRNIFPVKSTGNALNVSRWLYKKYLEDPSFHDV >CDO98175 pep chromosome:AUK_PRJEB4211_v1:4:3410551:3415577:-1 gene:GSCOC_T00022185001 transcript:CDO98175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRAIEEHCLGKKIVKSIIADDSKVVDGVSHKDFEASLNGKTIVAAHRKGKNMWLQLNSPPFPSFQFGMTGAIYIKGVAVTKYKRSSVKDTDEWPSKYSKFFVELNDGLEVSFTDKRRFARVRLLDNPVAVPPISELGPDALLEPMAVDEFHKLLSKKNLGIKALLLDQGFISGIGNWVADEVLYQAKIHPLQSASSMSIEVCGTLLNCINEVIGKAIEVGADSNQFPSNWIFHFREKKPGKAFVDGKKIEFINAGGRTTAYVPELQKLRGAQAAKEASKPQKSSAKNTKNSKKGVGSDDNDDDEPEREEDAKTRKSKVIGGKKAARGTNAPVKAKPKKSPNVADDDSNDDNDGDDGDEDPKKNGNKKLTARSMPKGSYKDDSGIEFKKDTGKNSARKRKPVESDDDKNYDGNQKNGKETKAQKAPKEKVDTSQTRRLTRKKV >CDO98354 pep chromosome:AUK_PRJEB4211_v1:4:4825512:4828483:1 gene:GSCOC_T00022421001 transcript:CDO98354 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGHPLTSSQFPTNGEFRVLTRNRRMHLRFISSPKPPLNPVTLAASHSFFSTFSLQGQTDLPNHLNISFENHDNIDQTPTNQSYWTKHIHKLCTVDRDVDSALHLLSHICLRGYRLNALNVSSIIHAFCDANRYAQAHHQLLLFITSQPDLLDERTCNVLIARLLHAATPHATLGVIHHLINANPNFVPSLMNYNRLIHQVCRLLKPSEAHQLFWDMRKRGHLPSVVSYTSLIGGYCKIGDIDVANKLFDEMREAGVLPNTMTYSALIEGVFRKRDVERGKMLMGKLWEVMGNEEDKLVNNAAFGNVINSLCKEGLFHEVFKIAEEMPQAKGVLEEFAYGQMIESLCKYGRFNGAARIVYMMRKRGFTPGFLSYNSILHGLCLEGDCFRAYQLLEEGINFGYGPSEFTYKHLVEGLCREYDLVKAKEVLNIMLSNKEGKQKTRIFNIYLRAVCTMHNPTELLNGLVSMLQEQCQPDVITLNTVVNGLCKTGRVAEASKVLKDMMAGKFCAPDVITFTTIISGLLEVGNAQEALHLFRSEMPGNGVRPVVLTYNALIRGLFNLGRVDEAMEIFNSMVAGGAVADCTTYTVIIQGFFNSERTEDAKRFWDEIVWPSKVHDNYVYSALLRGLCDSGKFDEACDFLYELVDCGAVLNHVNYNILIDYACKLGLKKGAYQILGEMRKNGVAPDPVTWRLLDKLHSNGRNQYGEDSTTDYGDHVPEFNS >CDP14906 pep chromosome:AUK_PRJEB4211_v1:4:25962213:25966601:1 gene:GSCOC_T00042393001 transcript:CDP14906 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPIVSHSSFSPAHASSSYTLTDLWPFPIGPPAVLGLPMPPALPPPHATPPPVAALSLEESTVTDLSACRTNATNKRKHVLSQHHLLASASCAVHSNNANVKRMKASEGGIGEQIDESKAEPQTSSYTTNKPADHDDTNPQPPKDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMNSAIEGFPSKELAAPQLDATAMIFGQQAPREYAPDEWLHIQVGSSFERAT >CDO98499 pep chromosome:AUK_PRJEB4211_v1:4:6170561:6174526:1 gene:GSCOC_T00022617001 transcript:CDO98499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium sensing receptor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23060) UniProtKB/Swiss-Prot;Acc:Q9FN48] MALRASSATARPPLPSPPPLPSSSSPSKTFVPITKIPPSSNRLKSNPLVVSLPTSTALSILTLFSAPSYEARAISLPKEQIVSSLDQLESTIDQVQDVGSSIFDVAGKVIGAAVEVVKPGIDAALPILKQAGEQALKLASPAISEASKKAQEAIQSSGIDTEPVITAAKTVSDVAQQTTKVIEDAKPVASSAVETILSADPITIVGAGGALFFAYLLLPPVFSAISFSLRGYKGDLTPTQTLDLMSTKNYILIDIRPVKDKDKAGVPRLPSSAKSKIVSIPLEELPSKLKSLVRSVKKVEADLLALKISYLKKINKGSNIVIMDSYSDAAKIVARTLTNLGFKNTWVVADGFSGSKGWLQSRLGADSYNVSFAEVISPSRIIPASARRLGTTGSAKLLPGSSD >CDP12304 pep chromosome:AUK_PRJEB4211_v1:4:27028142:27029877:-1 gene:GSCOC_T00035767001 transcript:CDP12304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 4 [Source:Projected from Arabidopsis thaliana (AT5G15230) UniProtKB/Swiss-Prot;Acc:P46690] MAKILCLVILALIAISMVATTAMANAHIDRARYGEGSLKGYECGSQCKRRCQKTRASLNQPCQLFCNKCCAKCLCVPPGYSGNKQVCACYNNWKTKKGGPKCP >CDO97875 pep chromosome:AUK_PRJEB4211_v1:4:1248891:1251659:1 gene:GSCOC_T00021812001 transcript:CDO97875 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRNKPNKASTVELSTSDPEKQLCYEGESLLRLLELIRREIESARNLDRALPEKVWLKQQFSVGVNDVTRVLERMQPLSSVKSSPQEQSLHGSHNMKMPSVQLQAILLASDCNPRWLSKHLPNLAHSRGVPILFVRDKKGGSLRLGELLKLKTAIAIGIKASGNVINQFVEKLLDNEIQVAVST >CDP14364 pep chromosome:AUK_PRJEB4211_v1:4:22084505:22086502:1 gene:GSCOC_T00040714001 transcript:CDP14364 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLIKLILLVVSALAGFASCQDLSITYNGFRSTNLSLDGLAQLTPNGVLKLTDDTKEQQGHAFFPNPVSFKNSANSSAFTFSTTFVFAIVSEYPTMSGHGIAFVIAPGTGLPGALPSHQLGLFNETNNGKPTNHVFAVELDTVQSKEFNDINNNHVGIDINGLNSTLADPAGYYSNGNGVVQNLTLASGKAMQVWIDYDGTAKHISVTLAPIYAGKPNKPLLSLPNDLSPVLNEIMYIGFSSSTGSVPTSHCLLGWSFKMNGVAQELDLSQLPKLPRVGPKKRSKVLTIGLPIILIASLSIAISGVIYRVRIKTKFAEVLEDWEHEYGPHRFKYKDLCIATKGFRDKGLLGSGGFGKVYHGILPSSKLEVAVKRVSHESRQGMKQFVAEIVSIGRLRHRNLVPLLGYCRRKDELLLVYEYMPNGSLDRFLYEQHENTLNWSQRFRVIRGVASGLFYLHEGWEQIVIHRDVKASNVLLDSELNGRLGDFGLARLYDHGVGTLGYLAPEHTRTGKATTKTDVYAFGAFLLEVVCGRRPTEPHPPTEDAILVDWVFSCWNKGQILEAVDPNMGLDYVKEEVELVMKLGLLCSQSEPTARPSMRQVVLYLDSALALPDLLSLGISTTGLSFACHEGFSDFKLSYPSSMDKPFSHTSSSVAESLLSAGG >CDP18315 pep chromosome:AUK_PRJEB4211_v1:4:21469629:21478128:-1 gene:GSCOC_T00004345001 transcript:CDP18315 gene_biotype:protein_coding transcript_biotype:protein_coding MLSESPADAAPSTCGLSLEGLDDVEDYPWDNEGERLMSSGRHSHLYDLVQMGNKAFRENRLDEAINHYSRANNIKPADPIVLSNRCTAYIKFSEFLKNRPPSVSEYRPLSGLDPTTHAGLALKDAEKLMSLRANSVTSYILKANALILLEKYDLARDVVYSGLQLDPLSNPLLNLERSTASTTGRRDLGKPLRTDDFDCTLCLKLFYEPVTTPCGHSFCCSCLFQSMDRSNRCPLCRTVLFISPRTCATSVTLNNIIQKNFPEEYAERRSEHESLTNPGVDLLPLFVMDVILPCQKFQLNIFEPRYRLMVRRIMEGNRRMGMVIIDSTTGSIADYGCEVEITDCEPLPDGRFFLEVESRRRCRIIQNWDQDGYRVAEVEWVQDIYPPEGTVERDDLQEMINKAAAHARQWIRRAQEAAQGDRIRLAELFKAEGMMPSTRDPERFSFWLATLNNRRPPERLELLRTRDTKERLRRGLIYMRSEDERCRLQ >CDO97991 pep chromosome:AUK_PRJEB4211_v1:4:2129740:2137758:1 gene:GSCOC_T00021950001 transcript:CDO97991 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQFMKSGARVRRAKSKRLPQYLERGVDVKFSDVAGLGKIREELEEVVKFFTHGEMYRRRGVRIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEARENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGKGEVITIASTNRPDILDPALVRPGRFDRKIYIPKPGLIGRIEILQVHARKKPMAPDVDYMAVASMTDGMVGAELANIIEVAAINMMRDGRTEITTDDLLQAAQMEERGMLDRKERSPEMWKQVAINEAAMAVVAVNFPDLKNIEFVTIAPRAGRELGYVRFKMDHVKFKEGMLSRQSLLDHITVQLAPRAADELWYGEGQLSTIWAETADNARSAARTLVIGGLSEKHYGLNDFWVADRLNDIDLEALGIVNMCYERAKEILQRNKNLMDVVVDALVEKKSLTKQEFIDLVESHGFLQQMPPSIIDIRSTKRSEFQDLITDQQEASLRSS >CDP12727 pep chromosome:AUK_PRJEB4211_v1:4:12095921:12100623:-1 gene:GSCOC_T00037349001 transcript:CDP12727 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKVIKVKHGETLRRFSCRILNGELDLDFNGLREKIIGLFKFTPDAELTLTYVDEDGDIVTLGDNEDLRDVVRQSLNPLRVTVKLSTESSGMSSARTSGSSTPLRSPQMPQLLQNLSSISLLKSVPVSIRQIIVKICDDLASTGTPSAQTLTDLVRTFSEMGLPYLNQLSDLQAAANVSRQDGASGCTTNVPASSQEADVSAAFGPENPSARNKEVYPSIESKGPANGKENAINGSGGVKALARELPGLEVLKAALESANHKPPHATEIDAGKEEVDRQSDEQPLFGKFVPFSSTSVPPTSNTVTGDNKEASGDPNELMAMKPLGALAFPSYPPNAVWSNLSSHLRDTIGGAKNVLQTGFGSSLGSNAIPVNECPFSGVPLGNSPVLPPQPPPCVVQPRRNHGLSDDSGIAFHRGVQCDGCGVHPITGPRFKSKVKDDYDLCSICFAQMGNESDYIRMDRPISYRHHHPHSFRGFYDLNNRVHAPLQPPAFRCGGKASRHKLDSRFIQDVNILDGTIMAPSTSFTKIWKMRNNGSVVWPQGTQLVWIGGDKLSDIFSVDTEIASAGLPVEQELDIAVDFVAPDRPGRYISYWRMASPSGQKFGQRVWVLIHVNASSKELQQEGFRGFNLNLPPINSGISGPEIINVNPEPLVVDSLPQVNNSSGGMHLVEPVVDASPEKEQEVNFPINDSLLVGGAALTPVSAVPASLGSYPIVDLSEVAPPELTPLPAIIGETSAQDVKENAAKAEESLIKYVKRSDVEEALLKELEDMGFKQVDLNKEILRLNGYNLEKSVDDLCGVSGWDPILEELRDMGFCDKATNHKLLKKNNGSIKRVVMDLIAGEK >CDO98375 pep chromosome:AUK_PRJEB4211_v1:4:4974666:4976453:1 gene:GSCOC_T00022446001 transcript:CDO98375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOTHER of FT and TFL1 [Source:Projected from Arabidopsis thaliana (AT1G18100) UniProtKB/Swiss-Prot;Acc:Q9XFK7] MFELSVIMSLYFDDKNVTNGSDVKPSLAASAPLVTIGGEPHKFYTLVMIDPDDPSPSEPTLREWAHWIVTDIPGGSIASQGKEILSYMPPHPQVGIHRYIYSDPVSAGGTTRFSGTAKRPCVLLNQCVCPEQQSRAAGRFQ >CDP12726 pep chromosome:AUK_PRJEB4211_v1:4:12090284:12093746:1 gene:GSCOC_T00037348001 transcript:CDP12726 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLPDFPARTITTKTATILQVPDNPKTLILEKCRTTRDLNQVHAHLIKTRLLHNPNVANPLLESAALLLPNPSINYALNIFRDLEKPDSAAYNAMIRGLTLKQSSNEAILFFRQMIEHLVKPDKFSFSSVLKACSRLKALMEGQQIHAQIFKCQWSCKHEELVNNALVYMYASCGEMVLARRVFDGMSERSTITWNSMFSGYVKSGFWEEWIDDYVEVNGLMGNANLVTSLFDIQANRGKDALSLFHEMQKANVEPNEVTMVTMLSSCALLGALETGKWVHSYIKKKNLHPDLEDIYTAIDHIMKRIKLAGYVPNTAEGRLDAEEDGKEASISHHSEKLAIAFGLLKTTPGATIRISKNLRICTDCHNAAKLISTVFDRVLVIRDRNRFHHFAGGTCSCNDFW >CDP16998 pep chromosome:AUK_PRJEB4211_v1:4:15245026:15245795:1 gene:GSCOC_T00011362001 transcript:CDP16998 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHSNEQNPTLESPFNCSFQGTKTFNYHNQGNPKQYSLWTTCINKRNLFCLHRIQLKVQ >CDP19587 pep chromosome:AUK_PRJEB4211_v1:4:13953864:13956746:-1 gene:GSCOC_T00012781001 transcript:CDP19587 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLGQLSTFLLEEGRLLGGLRQEVQFIRDELGHMRAFLREAEAKEEDAQPRLQEWIKQVREAAYDTEDILDEFVARFARHRATGFYGSVRRVFSSIKNLRARHRVASEIQSVKSRIKSISEGHQRYQSEYGISAQASNSLSAVNNTTWRYSRDDALLVEEAKLVGIDQPKKHLISQLLKGDDYQLKVVSVVGMGGLGKTTLVKKVHEDPEVRRHFPVRAWVTVSQTCDFQYLLKDLIRQLHKEGKKPVPQSIESLNITELKEFIKDFLQQARRYAIVFDDVWDVEFWNTIKFALPESSHGNRVMLTTRRADVASASCIESRRFVYRMEPLSPEDSWTLFCNKIFNEGNCPGHLMDVAKGIVDKCEGLPLAIIAISGLLASKDANRIEEWEMVRCSLGGELEGTGKLDRIKKILSLSYGDLPWHLKTCLLYTSIYPEDYEIGGYELINLWIAERFVGWREGMSIQDVAWGYLSELVNRSLIQVTRVFYEGLPYTCRIHDLFREVILLKSWEQNMVIVTTGQPTMWPSDKVRRLVVHSSSSNNTQHHQQRRNYCFDHLRSFITVGSMNSLLYKTLLSEVLGSSKLLKVLNLRGQETQEEVPNEIFDLFHLKHLGLYGTRVERVPKAIGKLQHLEFLDLGNTRVRDLPMELLKLKKLQVLKVYQQVDTSDIDCGYHGFKAPSSMGGLLALEILSYIDASSGSIIIKEIGKLTQLRGLYITKLRREDGKELCSSLANLTSLQRLSVASIGKGDDHEIIDLNHHHPSLSSSSSLFLQSLRLLILRGRLEKMPQWVARLHGLLRIDLDWSRLRGEEDPLESLQYLPNLGEINFCGSYQGEGLCFKAAGFLNLKWLHLKRMEGLRWMRVEEGALPRLQTLSLRQLPLLEELPMGIQHLSHLRWLILYETSSQLREKLLENYKEESEEYRRIAHIPEILIGYYADDRKWRHRSLWAKKKKT >CDP14842 pep chromosome:AUK_PRJEB4211_v1:4:24965267:24968672:-1 gene:GSCOC_T00042308001 transcript:CDP14842 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVKDQTSASGNAKRSASRLLRYPLRSAAKSKEEKPPLTDASNSSLPRTRGRIASSVSKSVGVLDLSGKEKSAKPPRRLSIPAKSTASPAPRSVGNTTPISEARAKRSTGKSDTPLSVVSKSSLRRKYNSLTRASYWLSLIKLSESASKQSVSLGFFKLALEMGCEPLQLLRDELKSYIRRHSLAEFGETLKELCQGYNISESLEQLQVSETCSHVPDEETRSSDDDVHSLSSSADSQKLKLEPSNAGVDKARQVKDPNKEKTQKNESATKVRRSAKKVAPNAKSGVEAGAGRTHKKVQKPRKQEPHNDKLKKQGKKSSNVEGSLNSPPEDKALQENKENVEAVETEEMSLSEV >CDP14377 pep chromosome:AUK_PRJEB4211_v1:4:22465922:22468274:-1 gene:GSCOC_T00040736001 transcript:CDP14377 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYAWAVSAGFNAALAAIAAKHFSSQLVRYGLVILLNALMWGCYVNSLKALSSLQATVTNFATNFLSSGLAGFFLFKEVLPFQWFAGATIIVLGVIVLSKSSKEGKMHTD >CDO98669 pep chromosome:AUK_PRJEB4211_v1:4:8041570:8048040:1 gene:GSCOC_T00022841001 transcript:CDO98669 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVFWEPNKPLTIEDFQMPRPKANEILIKTKACGVCHSDLHVIKGELPFASPCVVGHEITGEVVEHGALTDSKIVERFPVGAQVVGAFIMPCGSCFFCSKGQDDLCESFFAYNRAKGTLYDGETRLFLRSNGKPVYMYSMGGLAEYCVVPAHGLTVLPNSLPYTESAILGCAVFTAYGAMAHAAQVRPGDAIAVIGIGGVGSSCLQIARAFGASEIIAVDVQDEKLQKAKTLGATYTINARNEDAAKKIKEITGGMGVDVAVEALGKPQTFNQCVQSVRDGGKAVMIGLTLSGAKGEVDINHLVRRQIKVIGSYGGRARQDLPKLVKLAESGIFNLKAAVSRTLTFEEADKAYKDLDKGNIIGRAVVEIM >CDO98253 pep chromosome:AUK_PRJEB4211_v1:4:3979224:3984064:1 gene:GSCOC_T00022284001 transcript:CDO98253 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSKQKTSSTTTTTTTSIDADNNNSSNSTTPVVKTTKTKRTRKSVPRDSPQQRSSVYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEAAAHAYDLAALKYWGQDTILNFPLSTYGNELSEMEGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYVTPMHVMQLHKKKQRLHMTWQQ >CDP15098 pep chromosome:AUK_PRJEB4211_v1:4:10344480:10345018:-1 gene:GSCOC_T00042668001 transcript:CDP15098 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASYDTAILKQIEKTHDPESRELEIRPILHIIEAILQHISLSIDGVTSGTPHGDADASEEITVLAGTEGTLDGFTYKVQKVCSLCLVYKFSVGASTVAILNMLCSHSWVQG >CDP14915 pep chromosome:AUK_PRJEB4211_v1:4:26055921:26060484:-1 gene:GSCOC_T00042403001 transcript:CDP14915 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC2 [Source:Projected from Arabidopsis thaliana (AT1G74850) UniProtKB/TrEMBL;Acc:A0A178WNJ2] MRHEGIQPDLVTYNTLLSTCASRKLGDEAEMVFKTMNEGGVLPDINTYRYLVETFGKLGRLDKVGELLREMEEEGNLPEVTSYNVLLEAYADSGSIKEAVGVFRQMQAAGCVPNASTYSILLNLYGGHGRYDEVRDLFLEMKVSNIHPDAATYNILIQVFGEGGYFKEVVTLFHDMAEENVEPNMETYEGLMYACGKGGLHEDAKRILLHMNEKGIVPSSKAYTAVIEAYGQAALYEEALVAFNTMNEVGSKPIVETYNSLIHAFAKGGLYKEFEAIIMRMGELGVPRNRDSFNGVIEGYRQGGQFEDAMKAYVEMEKARCDPDERTLEAILSVYCFAGLADESEQQFEEIKELGILPSVMCYCMMLAVHGKNDRLDRAYDLLDEMLSTKASNIHQVMGQMIRGDFDDESNWQMVEYVLDKLNSEGCGLGMRFYNTLLEALWWLGQKERAAKVLNEATKRGLFPELFRINKFVWSVNVHRMWPGGACTAISVWLKNMQGRVDDGEDLPQLASVVVVRGHMERSSITRDFPVAKAAYSLLKDSVSSSFCFPGWNKGRIVCQKSQLKRILSSTESSSEEHNSDAVINISNSPLPFVETGTSRSDVKRRRHESAGIETGFRTRIELTTSTA >CDO98357 pep chromosome:AUK_PRJEB4211_v1:4:4839369:4844920:1 gene:GSCOC_T00022424001 transcript:CDO98357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 2 [Source:Projected from Arabidopsis thaliana (AT1G18190) UniProtKB/Swiss-Prot;Acc:B0F9L7] MAGWISSRLKVAEDFLHQIDQQAAESLKKNERRRSDEELGLDTSKKPSEIKPLLKDQLKKKSVENIDNLNVISSSSSNYGVSNSSGSYSREKEGVVLGNKKISSSKANQTNLTDSDWTELLSVPSKKEVLGGSRSSNGVSGLRRERRDGRKQGSLGAGKNAVAFGGSRSQKGQTKVLKSERKSDAELGNKVNGDGLESLEGRISASDCKDASRSSSSSCEPRNGEGTVETRDLDQKDLHVNVARERKNEGVKGHDMHSLSKDDSVSDDGKQDLKTGVRDRERLTNSTIAVDGSTFSSRVSASVERSSLSPSNADSDSETDSGSSSDSDSEREREERRKRRQQILAEKAAAKAIEAIKQRENNVAKLEGEKQSLEKILEERAKQQVLEASELQTTTMETMEAVELEKQKHNNTRMEALARLAKLETTNADLARSLASVQKDLEVETNRISALRRHIELKEFTQEELRRKISNTHQSSKNVAASKGVELEREILEAENSFLTDKVGRLQEKAMTLEKSIESTKRELEHPTEVEVELKRRLSQLTDHLIQKQAQAEALSSEKAMLLFRIEAVSKSLNDKKSMVDSSDIPSTSSSRGDLESGVWELPNSKLRPLFQERLRSGKRHLGSLVQQLDSIYCAGAVFLRRNFAARISSFIYLACLHLWVIYILLSHSPPSNEASSGAVVSLENMNKTGGV >CDP12768 pep chromosome:AUK_PRJEB4211_v1:4:12692237:12694387:1 gene:GSCOC_T00037411001 transcript:CDP12768 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYFYLNITPNNLRFKQKIIKKKKEKNFSSCAPCCVPTFNRSCTYVFEPIVFTNSRNNATTSLGHHHPSPPSAKSMSLKQLDGSQLPVSTADLAPNIPQELIIDILLRLPARSVGKFRCVSKPWRSLLSDPLFIKAHLTLHHHQPQNYILISSSLPTEKSSLSTLTFTPTGTADHDGVLKRLRLLENRLTDADIVGSCNGLVLVSEFKMKHPFRRLSNLDFMYYLINPTTMELVELPANPLAPVALPIGGAFGYDRSSDDYKVVTLSNCERVTNDVHLDVFSLRSGTWRRIDVLRYRLHFLSGVFLNGAIHWLVKSDVSLILAFDLSCEELKLLPLPSSRPENCLFSRIAVLDGCLTMVATMGYCIDVWMMKEYGVEESWTKFSVTTQNYAALPLPICLLGDDDLVLYVNQKLVVHSLTGETRRDMLFAGDKFRDVKAFCESLVSPICYCQK >CDO97776 pep chromosome:AUK_PRJEB4211_v1:4:432839:436080:-1 gene:GSCOC_T00021676001 transcript:CDO97776 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEDDSNKPNSGNFRYLIVNPHNGGFRDLARFLMKKDKSSGAKFLESSDEGVLEEELGGAAHGDDDDGESPDHRWVIFLSILVRKIIGIFRKPMEWTGYLVEFFLNFFTVNGSFLGLLYNILHGKIVLPNRGSETFISAIGHLDGRIYLHKSETIPRAVGEPESLERVVDVEIGSRTLMDLCIMASKLAYENELVVRNVVNAHWKMHFVDFYNCWNDFQKDNSTQVFILCDKPKDANLILVSFRGTEPFDADDWSTDFDYSWYEIPKLGKVHMGFLEALGLGNRVNASTFQENLVIMNKKSTNMNGVDVRTSTSELSLSFGDSDSQGGSEQSFYSEQPAKVSKEKFFPQLGEKTAYYAVRSKLKNLLQEHKNAKFVVTGHSLGGALAILFPVVLVLHEEEEVLQRLLSVYTYGQPRVGNRQLGRFMEAHLDHPVPKYFRVVYCNDLVPRLPYDNKTFLYKHFGACLYYNSLYIEQKVDEEPNRNYFGIRFLIPEYLNAMWELTRSFLAGYAYGPEYREGWESTLVRVVGLVIPGISAHSPLDYVNSVRLGRERIQMSSL >CDP16942 pep chromosome:AUK_PRJEB4211_v1:4:13584349:13590084:1 gene:GSCOC_T00005305001 transcript:CDP16942 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDILDSSDNHLLRNQTIHLSQRVQKLKRIRRYLRRINKLAIKTIEAILFHTFPSPDGDLIDCVPSHLQPAFDHPLLKGLKPLTELLTITLLIFSQKPTHHGSRKSIQLWTDSGELCPDGTVPIRRTTMKDVLRAGSLHKFGRKSFSKQNTKISSNDQLIMPFSYSLLKIITFLEQCQTNEKPVGDVTGDCYSGARLYIHVWKANETDQYDFRLSQVWMASGNSTSNDLNTVEAGLQVNPKLFGDNSPRFFTYWTADSYGTTGCYNLLCSGFVQTTNRISLGAAISPRFSQNGRELDIGIPMIIAKDAKHGHWWLEIGPGLVVGYWPSLLFSSLHSHANMVQFGGIMVKARSMGLNAPAQITTGDISVGGVGKATNTQDLEAAEALDKLVPLCSVGIFARQHFEVSNMVE >CDP14881 pep chromosome:AUK_PRJEB4211_v1:4:25565056:25572229:-1 gene:GSCOC_T00042357001 transcript:CDP14881 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPEVLEAVVKETVDLENIPIEEVFENLRCTKEGLTSEGAQERLAIFGYNKLEEKKESKLLKYLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEEDAAVLVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAIGMIIEIVVMYPIQHRRYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGIDADTVVLMAARASRTENQDAIDAAIVGMLADPKEARAGIQEIHFLPFNPTDKRTALTYFDSQGKMHRVSKGAPEQILNLSHNKSDIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLAEIFATGIILGSYLALMTVIFFWVAYKTDFFPRTFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSYVERPGLFLVVAFVIAQLVATLIAVYANWSFAAIEGIGWGWAGVIWLYNLIFYIPLDFIKFFTRYALSGRAWDLVLEQRIAFTRQKDFGKEQRELKWAHAQRTLHGLQVPDTKLFSEATNFTELNQLAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >CDP16937 pep chromosome:AUK_PRJEB4211_v1:4:13531625:13533625:-1 gene:GSCOC_T00005299001 transcript:CDP16937 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPARLCLRSSNSPSWFFYSHFLKLPTLSKRYCRRDYATPVMLKNPQKLTGNSTIFGLELGKGVHRRNLELRSLGNQGKVSEARKMFDEMPQRDVVSYASMITIYLKQNDLPRAESLYSAMPERNIVADSAMVHAYAKVGQLDLARKIFDRMPCRSVFSWTGLISGYFKHGRVDEACELFRQMPEKNVVSWTTMLVGFARNGLIDQAQETFDQMPVKNVVSWTAMIRAYVESGQVDQAFQLFYQMPERNLYSWNIMIHACLDYDLVNEAVRLFNSMPWTNAVSWTTMVTGLAQKGFIDLARKYFDQMPSKDIIAWNAMVSAYADHGIMSEASKLFSLMPNHNVVTWNAMIDGYAKNGRQDEALKHFVLMLRSSSGANTITLTSVVIACEGIAELLQAHGLLVKLGLEQATSLTNALVTMYSRSGDLSSARLVFENLEAKDILSWTAMILAYSNHGYGNQALQIFAQMLRSGNKPDEVTFVGVLTACSHAGLVKKGQRLFDSMRSAYNIIPNKEHYCCLVDILGRAGLVNEAVKVVCQMPPGECDVAVLGALLGSCKLYGEVALAKLLGEKLLELEPSSSGSYVLLSNLYAACGLWDNFADIRKRMKESRVNKVPGFSQVEVKGENHVFYAGDRSHKEMEQIYAALKEKLLPVMQDKYCMYEAVAV >CDP16944 pep chromosome:AUK_PRJEB4211_v1:4:13615812:13619843:1 gene:GSCOC_T00005308001 transcript:CDP16944 gene_biotype:protein_coding transcript_biotype:protein_coding MASARSYKTVQIIFILIIITAASISSVFQRLQKLKRIRSLLISICLATLIQSPDGDLIDCVPSHLQPAFDHPLLKGQKPLKPTHHGSRKSIQLWTDSGESCPDATVPIRRTTMKDVLRAGSLHKFSRKTFSKQNAKISSDDQLVRNFPQSHKTVATVTEDCFYGTRVKIHVWKGNETDQYDFRLSQVWIASGNTTSNDLNTVEAGWQVNPKLFGDNSPRLFTLLITFNLQADSSGTTGCYSLLCSGFVKTNNRISLGAAIPPRFTQNGRELDIDIPMMIAKDAEDGHWWLEIGPGFVVGYWPSSLFSRLHSHANMVQLGGMIVKTRSMGLNAPTQIRTGDISDGGIGESSNSQDLKVTEGVDKLVPFCSVEIFARQLFNASHMVK >CDP12292 pep chromosome:AUK_PRJEB4211_v1:4:26782180:26783252:1 gene:GSCOC_T00035751001 transcript:CDP12292 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSNLPLLPAALFLLLLPTSSVLATERDRKTFIVRVRPDAKPSIFPTHQHWYESSLTSLLSSHHSTAATATPPPPPPPPLLLPPPTTLTVFHGYSAQLTVSQAHALHSFPGILAVIPEQVRQLHTTRSPQFLGLKTSDSAGLLKESDFGSDLVIAVIDTGIWPERKSFDDRDLGPVPPKWKGACVPGRDFLATSCNMKLIGARYFSNGYEATNGKMNETTEYRSPKDSDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWNSGCLTLLARVPKGLSLTLKPSRRTFLGFSPPFGDAI >CDO98546 pep chromosome:AUK_PRJEB4211_v1:4:6619714:6622912:-1 gene:GSCOC_T00022682001 transcript:CDO98546 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYTSISTGAEESFSNHDCIHDVAKLCDADKKLLMEDKTVSGETAHISTEACSSSEKELSDIRNLSSTEQITGPSLSQLFNCEDVRLDQQQNHQEHPKTETIIAETWSAGKEADCRNCNGVDLRSEVELGAQRITDDSDSQPITDAKDESTTEDKSTESTCEAADCMSKVPLLASSSSRQNENTAGHHRELGSSSFSAASTPSGRLTFSGLIPFSDNISVRSNSTASTRSFAFPILATEWNESPIRMAEVDRKPKRWGCWRMCFTCSNF >CDO98124 pep chromosome:AUK_PRJEB4211_v1:4:3043965:3050148:-1 gene:GSCOC_T00022122001 transcript:CDO98124 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKLKSLITAFNPDGSYLAILSPDGTVKVWNTSNGSKFAEWREIDDSTNVSFSCMACSFVGKMRRKERGTCLVAVGTNSGDLSVVNISVGQVMWKSSGRYPGGIASLTFRSKGSRIHAIGNCGLASEIISETGELAREFKITKKSMVSAAYISDDKITAAIGQKIRILSLDDGREVLKLSSNWDQLRCISSCDDAKFIVASGVGKKSIQVWRCNFGEGDVTNGLILSLKHRPLTIECKNGCDGEDSLIVLSVSEAGMAYLWNMRSVSDDVNPSKISVKGNMEEAGSLKKHCVSIIAARIHALETTGQVKALISFGSVDSPQFSVVDIPGPGQDVVITAANIDMQENGIQGDEGVSKQKEKPKKKRAASDIETASRVALIDNGYGDPKDGIQIDDDLNEPTMGEKLANLNLLEMDEAKSNKNIESSPRTKPPSADSVYILLKQALHADDRALLVDCLFRQDEKVIANSVSLLNPSDVLKLLQSLVPIIESRGAVLVCALSWLKSLLLQHASGIMSQESSLLALNTLYQLIESRVSTFNQALQLSSSLDLLYAGIVDEGEEENETITPAFYEDNSDEDTTGDAMETESDENGQEPENLGDISDFEAME >CDO98435 pep chromosome:AUK_PRJEB4211_v1:4:5534232:5536276:-1 gene:GSCOC_T00022522001 transcript:CDO98435 gene_biotype:protein_coding transcript_biotype:protein_coding MITEGKTANVLGGKTARACDSCLKKRARWFCAADDAFLCQACDTSVHSANQLASRHERIRLATSSFMPIETKGDENSLPTWHQGFTRKARTPRNNKASLVHQPKDEEKMAKANPLPLVPEISGDETSPDESEEQHLFCRVPVFDPFAAELCSELSDEVQNIQADKGTHDEILTSNELNVLLNDDQDLDIPELIASDAELAEFAADVESLLGTGLDEDSCHMEDPGMMVCKEEDDVVDVSFEDKLVKVEDEDDQEVQAVIACHLDPALDMARESLNWDFDSSSPVIEEEEELKVTKDMPDKDIKSKEEERRMMHLKLNYEEVITAWASQGSPWADGTKPEFNLGDCWPDFMGMSLNELHHPNGAGGGRVSSHDEEREARVTRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTSFAGPSFPYLMKK >CDO97783 pep chromosome:AUK_PRJEB4211_v1:4:472103:474194:1 gene:GSCOC_T00021686001 transcript:CDO97783 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSAGVGDKLDDSGVIELTGKIMVVAVLVLFLVVVFVFFLHLYAKWFWRRRQEDSANNNSSTTTRRRRRFDFAPGYQELTVAAALRRGLDPAVLKAIPVVVFNATNDFKDGLECAVCLSEVSESEKIRLLPKCNHGFHVECIDMWFASHSTCPLCRNPVSNQSSFSSNTSPESATVESILQVPNQEATGGLDGYSAESLDFPTNVLFWGNETQVSTLGPPLEEGNQGLHSAQPPCTSSSSSMMPASTSSRPDGTLVIDIPRHANEDEEPKSPIPTRLRSLKRLLSRDRRVSPRNASDVDVEQQGGRLET >CDO97939 pep chromosome:AUK_PRJEB4211_v1:4:1717652:1718735:1 gene:GSCOC_T00021888001 transcript:CDO97939 gene_biotype:protein_coding transcript_biotype:protein_coding MFTYFLDGREGKEELGLSITGSSLVKKIESWELLSDFLMLLSLYSAAQKGISNE >CDO98315 pep chromosome:AUK_PRJEB4211_v1:4:4536636:4540835:1 gene:GSCOC_T00022371001 transcript:CDO98315 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSDLINLDLSESTQKIIAEYVWIGGSGMDIRSKGRTLPGPVNDPAKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRYNAAKIFSSPEVVAEEPWYGIEQEYTLLQKEVNWPIGWPVGGYPGPQGPYYCGAGADKAFGRDIVNSHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDEVWMARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRSDGGFNVIKKAIEKLKLRHKDHIAAYGEGNERRLTGKHETADINTFSWGVANRGASVRVGRDTEKAGKGYFEDRRPASNMDPYVVTSMIAETTIVWKP >CDO98323 pep chromosome:AUK_PRJEB4211_v1:4:4604600:4609096:1 gene:GSCOC_T00022383001 transcript:CDO98323 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLFFLLFNLLVVFTTSSDLASDRAALLSLRSAAGGRTLFWNASNPTPCNWAGVQCENNHVIALRLPGSSLSGPIPENTLSNLTQLRTLSLRLNHLSGPLPTDLSQLTQLRNLYLQGNQFSGPIPSQLLSIHSLVRLNLGENGFSGEIPAGFNNLTRLRTLYLQSNNLSGSIPELALPNLVQFNVSYNSLNGSVPKSLEGKPVSAFSGNTLLCGKPLANCPKNETPPAFAHKLSGGAIAGIVIGSVLGFLLLLLVIFVLCRKRSGQKARSVDLATIKQAKDTDVSGEKPIVEGGERENGNGGSVGGNGSKKLVFFGNSSRVFDLEDLLRASAEVLGKGTFGTAYKAVLEFGTVVAVKRLRDVTISENEFREKIEAVGAMEQENLVPLRAYYYSREEKLLVYDYMPTGSLSALLHGNKGAGRTPLNWEVRSGIALGAARGIEYLHSQGPDVSHGNIKSSNILLTKSYEARVSDFGLAHLVGPPSSPTRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSIVREEWTSEVFDLELLRYQNLEEEMVQLLQLAIDCAAQYPDNRPSMSEVANRIQELRRSSVRDYQELPDQVHEAD >CDO97881 pep chromosome:AUK_PRJEB4211_v1:4:1267037:1270914:-1 gene:GSCOC_T00021820001 transcript:CDO97881 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAVPLSLGSPMCENAGISNHMEITRLKIVTDTAMLLSDPASLKDKSVSPIDNGGVSKMLQKTQNNEIVGDAIMQESDEVLLVRDDRNGINGMELLPLEPTSEISLPIAVQIEGINNGQILAKVISLEERSFERKVSEDNLTTAAQLNEENSSVPTLKASVVALQLPNEKDPVKGGMKSVFELECVPLWGSVSICGQRPEIEDAIMVVPHFMRIPIKMFIGDRVGDGISQTLSHLTSHFFGVYDGHGGSQVANYCRDRIHVALAEELKDTKDDLVKESLIDTRQMQWEKVFTTCFLKVDDEVGGKVSQNMSPENVDSSNYASEPVAPETVGSTAVVAILCSSHIIVANCGDSRAVLYRGKEAVVLSIDHKPNREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPTPEVMFLPRAREDECLVLASDGLWDVMTNEEACEVARRRILLWHKKNGTNPLPERGQGYDPAAQAAAEYLSMLALQKGSKDDISVIVIDLKARRKVGSMYHSNVKK >CDP12695 pep chromosome:AUK_PRJEB4211_v1:4:11247350:11250562:1 gene:GSCOC_T00037289001 transcript:CDP12695 gene_biotype:protein_coding transcript_biotype:protein_coding MILNLHLAPFATVILNRRPTFTGFQTPFIQLRLSPLQFSWERAGIISFTPAPLNTSTTDNFKATSTEDEEDEEAVKTTEPSATPVRIVLVGENSVSPLKSSPWLDVMLHTAKRLKWVDEAYDMLVFSDGLLKSTDKTMENLHQGLRNADILLIVAVTNQESVEWVQANSVNVPSILCFESSPMLRYKLGGSILETKSNGYIFSKLTAISQSKRKTESTKIVQTVSEAWDRHNLDDIRFCLLVVINAYIRPVPILKNLRAKGFSTLNCMVKNCGPQILNCLLDPNCRKALQCLNKCSPVDQVCNYRCIASYESPCLEEFSLCVLQKNNCLELDAKIPEKPSVPPMIKFRGDNLSHETAEDLFVAWLGKLDWSWRVIAGQNPAYDQFPCQYQLFYRGKARGSFWFEPIFQVRTLEGDLVWRRRKYRVRRGNVPATFHFSVLDNGVVSNEFWTIVDVSDDFSWGFAKF >CDO98164 pep chromosome:AUK_PRJEB4211_v1:4:3335533:3337681:1 gene:GSCOC_T00022170001 transcript:CDO98164 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSSQPLLKYFQESDREEKAKSAGKTCRDLYKNKEDIFGAIACKIAHLNINLREPIKRYKEISWGKHGIWTHEQKARAAKLEKQLKARWALEKLIEDQLNCFQTDYNKATVPTRLKDVAQLLMPKGAPPLELAAFTWLGDWRPSSILELLGSLSPFLSESNGVKQVLPQLVNEIRIEENVIDEEMAEIQATCVLHLPFGPMKYGSKITPLESIQTEFEKIYKVLSRAKMLRFKALELVIKKVLSQTDAAEFLVAFSAIQDSIHQFATCSRSPEAKSKSFQGRKYSTIH >CDO98046 pep chromosome:AUK_PRJEB4211_v1:4:2440815:2442404:-1 gene:GSCOC_T00022020001 transcript:CDO98046 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQGGKAKPLKQPKADKKEYDEEDKAHLQKKKEEEKALKELKAKAQKGALGGSGLKKSGGKK >CDP16413 pep chromosome:AUK_PRJEB4211_v1:4:17694276:17696155:1 gene:GSCOC_T00018284001 transcript:CDP16413 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSLSSKPQNSTLKIPSTADTNAKESVEFVTEFDSSKAPTAKNQENRVIPPKPNEWRPTKKMKNLELPLQSDAQDQPMLQFEVVESSSSDHTSESMSYGLNLRNSGNGAGANPQEFPGSASNGDPVLHKLREDLKRLPEDAGFEEFEDMPVEGFGRALLGGYGWVEGKGIGKNAKKDVDIVVLKKRTGKEGLGFTGGLLELPAEANRENGCGTNNSMRKGSDRDGQEKGREKKDFYGGKEVRIVGGREVGMKGRILEMKHSGEVAILRLLKSEEDVSVYVSDLADLGSVEEERCLRKLKELRIREKSDVSDKKIGKGRDKDLASSWTDSRDREMKDRKKDSKRGREEIKGNDKLSWLTNHIRVRIISKNLKGGRLYLKKGEVVDVVGPTTCDISMDENRELIQEVDQELLETALPRRGGPVLVLYGKHKGVYGSLVERDTEKETGVVRDADSHELLNVRLEQIAEYIGDPSYIGY >CDO98272 pep chromosome:AUK_PRJEB4211_v1:4:4127362:4130421:1 gene:GSCOC_T00022312001 transcript:CDO98272 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSCKGLAMELVKCLSESDCVKVENRPYRECAKEKTPLISSECVGLRETYFNCKRGQVDMRARIRGNKGY >CDO97933 pep chromosome:AUK_PRJEB4211_v1:4:1667832:1668944:1 gene:GSCOC_T00021882001 transcript:CDO97933 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARVASTQLPLTSSALKAAPMHLAVTLLSSTSSSPTRYGGAQPPATAKAAEYAISQIDDLINWARRGSIWPMTFGLACCAVEMMNTGASRYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRRVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGILQLQKKINRRKDFLHWWTE >CDO98066 pep chromosome:AUK_PRJEB4211_v1:4:2556320:2558395:1 gene:GSCOC_T00022042001 transcript:CDO98066 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSRVQNFRKNQKQHISTKAAAMLQNQMIRRSSSSDLSEICSIGSGSSLESLASLASDSGNRFSSGTPPSALKSSDHPPKIPFQKQKAALGNKKMGKPGFLSLLADKEVRPFQNKHIILSYRLQHYKRPSGVSLPIETKTTSEKSGDEIPEASNPDDKPLKNPTSKKGVLRLKDIQDSECYSRLEVKIPVHKVTHWFNDCILKFPPIHTTLIVFKPPSKDRKAMEDFSCVPPPPPPPPPPPPLKANPNASWTKNAKLQVNFLRYCMECCKVLVPKSNVYLYRGDAWFCSEECRYSSAIKDLELEAIQELALMLQRANKIKQANRAKAVKESEVASGKDKNKGKGKGIFFIG >CDO98026 pep chromosome:AUK_PRJEB4211_v1:4:2342163:2342878:1 gene:GSCOC_T00021996001 transcript:CDO98026 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSIGVLMTCPMSPPYLEQELDRRYRLLRFWKFPQKTELLKPHSQEIRAVVGNATIGANAELIDALPKLEIVSSYSVGLDKIDLAKCKDRGIKVTYTPDLITDDAADLGIALILAVLRKICGCDLFVRRGLWKNGDFQLTSKPMTFVLFRPFVFSGRPFRFILDHKSADRKVKRYCCYSEVTYYQLFEFLTFVNHLTISTII >CDO97778 pep chromosome:AUK_PRJEB4211_v1:4:446850:451598:-1 gene:GSCOC_T00021678001 transcript:CDO97778 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASQDIEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKAEKEIKEKAKVINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >CDP14893 pep chromosome:AUK_PRJEB4211_v1:4:25794481:25799446:1 gene:GSCOC_T00042379001 transcript:CDP14893 gene_biotype:protein_coding transcript_biotype:protein_coding MCPESSFDELLNLVEMNHQLACDPEARGCGKLNYIHHILSTPPHVFTTVLGWQNTCEHVDDIKATLTALSTEMDISVLYRGLDPKNRHCLVSVVCYYGQHYHCFAYSQDHERWLMYDDKTVKVIGGWEDVLTMCERGHLQPQVLLFEAVN >CDO98294 pep chromosome:AUK_PRJEB4211_v1:4:4285124:4288074:1 gene:GSCOC_T00022341001 transcript:CDO98294 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRRALLFTIPRILNSSNQTSIATSTMSSHNIYRPILGSLPCFYSSNQALNIDLSNEESKRRLFNRLLYRSRQRGFLELDLILGRWVEDHIHSMDENGIKALVHVLDLENPDLWKWLTGQGQPPEAVRMNPVFADVQKRVVNNLNSHASPETRATPGQPWVRGWDDFKKGRDGPAVGNQ >CDO98141 pep chromosome:AUK_PRJEB4211_v1:4:3197609:3199829:1 gene:GSCOC_T00022144001 transcript:CDO98141 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPPAGCARLTVSPPALLRVRRPSVPNTYAAISSPTRTAGDDAVDWVEATSSFFQKDSRPIMLFDGVCNLCNGGVKFVRDNDPQRRIRFEALQSEAGKKLLRRSGRAADDISSVVVVEKDRSYIKSEAVLKIMEYIDLPFPQLAFFLQFVPLFIRDFFYDNVANNRYTFFGRSDTCEL >CDP12383 pep chromosome:AUK_PRJEB4211_v1:4:19353758:19356810:1 gene:GSCOC_T00035888001 transcript:CDP12383 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKTQGWSGDSFDRYKEYRYLVGVNVIGFVYSGFQACCSAYYLATGNHVISSPLRYFFDFFMDQASNVHILAYLIMSASSSSATRVDDWVSNWGKDEFTEMAAASISISFLAFFAFAFNSLICGYSLCIRDSS >CDO98477 pep chromosome:AUK_PRJEB4211_v1:4:6006141:6006928:1 gene:GSCOC_T00022588001 transcript:CDO98477 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPNPKKESNPAMITKRIDPAGTQMGLEIIIKGAKKIRPRTVAVRVRPVRRSRMPPDITKVKNPMSWVELGACSCILRRNKVVAPAVEVVVGRGGHWGWPGLAWWSAMVGKLELFGEEKLS >CDO98530 pep chromosome:AUK_PRJEB4211_v1:4:6490368:6491311:1 gene:GSCOC_T00022660001 transcript:CDO98530 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRENTSTSRWSLLGKTALVTGGTRGIGRAIVEELAQLGATVHTLARKEAELNQLLQEWSYKGYKITGSICDASSREQRIEIIEKVSSLFDGKLNILVNNVGTGVRKPAEDFTAEEYHMIMSVNLESCFHLSQLAYPLLKSSENGNIVFISSVAGLVGLQYSSVYSATKAAMNQLTRNLACEWAKDNIRVNSVAPWFIRTPLVEDWIGANDNLKKIESRTPMRRIGEPEEVSSLVAFLCLPAASYITGQVVPVDGGMTVNGCQWD >CDO98118 pep chromosome:AUK_PRJEB4211_v1:4:3024634:3027010:-1 gene:GSCOC_T00022115001 transcript:CDO98118 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRRVNRLSSSQSRVSPYNRGSRDLNSNKLDASLPPSLDESEWEEARCPICMEHPHNAVLLICSSREKGCCPYMCDTSHRHSNCLDQYRKSSIPTADSTARPDDEFLTWMLYRNGGGNGGGRQTSLQPNSSLGVQALEHTCPLCRGQISGWIVIEAARKYMNAKVRSCSMESCDFIGNYDELRKHARCKHPSVRPSEADPQRQSDWARLERQRDLGDTLSAYQSRFGSDLDGDDPPGWYDLNQDDDPFEGHWSAGVFFDDFFSGIHSESEDEESVYGDTLIDLHSEVEFSFSFLNDLSFYPWDEGRSSGSARTGSSLLNRSTRSRSSPDYHPETLNRNWNESAPSRYTQNSSRPTAPSGHSGNSSRPIARSRDMPSSSGPTAPSRHTSSSWRPTVPSFLRPSVPSRHIGNSLPANVPSTHSPSSLQPARDAPNSSRATAPSRHESSTSRPTAPSAEQRRQSYERRH >CDP12694 pep chromosome:AUK_PRJEB4211_v1:4:11244551:11246548:1 gene:GSCOC_T00037288001 transcript:CDP12694 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHKNHEGPAVFEMLDRALELARSEKKVNEERNIRILTAQMHVGELEEALGKFQALINENPRDFRPYLCQGIVYSLLDKEKEALEQFEIYQSLVPEEFPQKKFLDDVILSARTESKQQLEKELQS >CDP16410 pep chromosome:AUK_PRJEB4211_v1:4:17846437:17850446:-1 gene:GSCOC_T00018274001 transcript:CDP16410 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAGISLSFLLLVVLETSNFQSCVARDTITSNSLLPGDGTTIISAGKGFELGFFDPEDNINTGRYVGIWYYNLSPRTVVWIANRDAPLPYVPGNFSIGEDGNLKLVDKMGTSYFNTTLERSSPVNRTLKLLDSGNLVLIDGSSGNILWQSFAEPTDSFLPGMKMDGGLKLVSWMDIGNPATGNFTFEQDQENRLHKIMKGKTELHWKSDQSGSDELPYSVAFFLSNFSHSVDQATYKSSTLDSYANTRLLMNPSGEIQFYGREQDGWSLMWKEPHDACSVYNPCGDYGSCNLKSGGLNCDCLPGFRPVFPAAWNTGDFSGGCERKMAICNKTSKPDTFLNLKLMKVREPDSLSDADSEDTCRQENCLSDCACQAYCYAGFNIRSRTSCLIWTSVLTYLQEYVDGGHNLSIRIPVSSIKTTSSDCQTCGKNIVPYPLATGHNCGDPSYRSFTCDESTGQLFFFTSDNRYEVININKDDRKFVIQVNSLRAENCAARTSVGRVLQLNQSLPFSVTNWCYNESLTFSDHPSVQHEQGIQISWNPPFEPICSSPTECQDWPDSSCAATAIHDQKRCLCNSNYKWDNLALKCISEILANTNQSEGTSSKRENPSISVNRVTVIIVTTVIAVVLLAGTLTCSFYRRIIAKRKETEKSIPGNPMPYLDDSERQAAELVDEDDKIVDVPYFSLERILAATNNFSDTNKLGRGGFGPVYKGIFPGEKEIAIKRLSSHSGQGMEEFRNEVVLIAKLQHRNLVRLLGYCIQGPEKILLYEYMPNKSLDTFIFDEGRCIILDWNMRFNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIVQGKETEANTIKVVGTYGYMSPEYAIEGLFSVKSDVFSFGVIILEIVSGKRNTAGFYQSEEALSLLGYAWRLWQERKALDLVDKRLLESCNGTEVMKSINIGLLCVQDDPSDRPTMSNVLIMLSSETTTLPSPNQPAFVGRRRISSTSASLSSKAETISINEMTISAEDGR >CDP15006 pep chromosome:AUK_PRJEB4211_v1:4:9161347:9166200:-1 gene:GSCOC_T00042533001 transcript:CDP15006 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAANLEDVPSVDLMTELLRRMKCSSKPDKRLILVGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDDALKKPSCLKGFILDGFPRTVVQAEKLDEMLEKRGAKVDKVLNFAIDDAILEERITGRWIHPSSGRTYHTKFAPPKAPGIDDVTGEPLIQRKDDTPAVLKSRLESFHRQTEPVIDYYKKKGIVANLPAEKKPEEVTSVINKALS >CDP12273 pep chromosome:AUK_PRJEB4211_v1:4:26381556:26387515:1 gene:GSCOC_T00035716001 transcript:CDP12273 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MYLKKPFWNASSASEPETQPESAVVELVNSLDQQRLHREVTLALRAGLREARAEFSFLRVRGLRSILKFLRCVAESDSTINLFSSTQSIPELQVVPVLFQHSLKDLEDQTVTNVEHIFSVEAIKITSPSMDDEVALALRVLEGCCLLHPPSTILAHQHNAIPVLMNILSTRGVLEQGACLDALISIMLDSSANQMDFERCNGIEEVAVLIRDQQIDEILRLKCGEFLLLLIGHVDGRDKPPMASIHEDVRRFLGEKSASLIWAASQFGSTLDPEERLTTLHILARRVLESIDLY >CDP12380 pep chromosome:AUK_PRJEB4211_v1:4:19304094:19305271:1 gene:GSCOC_T00035885001 transcript:CDP12380 gene_biotype:protein_coding transcript_biotype:protein_coding MIECWEKVDLEECTNVGFMISPHRMPKGGNQPVVAIKKLDPKSFQGTWEWMTEICKRGMLSHPNLIKFLGNYQKDEELLLLEIDHFKYLLGILQ >CDO97837 pep chromosome:AUK_PRJEB4211_v1:4:868442:871004:1 gene:GSCOC_T00021755001 transcript:CDO97837 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQSLQSAVEVVSNWHPQPRERLIFDCGRAEVSQYLQAVVKIQQSASDNDELKDIISMAVTRLKHEFRTVLTRQTDSAMGSNSTTELSSLTDSTGYQFRYEDFVVHETPSAEVIRYLRSIAETMDSCGHLDVCIEVYKSVRRPFVNAVFKRLRLDELKAGDVKRFLWEELRMKIERWIEAARVCTKILFTREKQFAAQIFSGLGRTATHEECFLYTAKDAAVCLFSFAESVSLSHQSPERLEAVLMLYEVMFSLAQDVGALFPSESAKAIPNGTAATLSRLEDEVRRMLSAFENAVLRDVTTISDDGGRVHPLTKHVMGYVNLMVVHRKNLVRLIVSRPSMTIRGQNVPDSEVQDPTSLSPLALHLVLIIAVLQSNLENKSEYFEDPSLRYLFMMNNVRYIVQKIEGSGELQELISADYLKKLDGYVKGAMTSYQEITCGRLLNCLRDEGLYVCRCFTSQVSIRALRKRIKTVNIVFEEIRSLQSSREVQDLGLREELRRSMLEKLMPAYEGFLMRFSSHLESGKQRKMCLQYVHRIHVKYSLKDLEELIMTKLFARHQLPPSSDCPVENSHLGGG >CDO97942 pep chromosome:AUK_PRJEB4211_v1:4:1732210:1738661:1 gene:GSCOC_T00021891001 transcript:CDO97942 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHALEEQTVFEEEQPVFEIGVVVPKRDEKQEIDNENCVDVLAAEFRKMGLIVERVVGLQNEILKLAAPLAILGKAAAELQLKKRTYIGVDLQFEWDEIDAFIRQPDGSLFSWHERLLCYHHMLYGTVNVDNSIMLKFDNKEVYWEMGESVLRKLELEGIVKEVFPLHDDVMRKQLLKIWALNWWDFTKQPIDEICSYYGMKIATYFAFLGMYTRWLLFPAAFGLILHLIDFGSLQLFVLPVFFVSLVMWAVLFFQFWKRKNSALLSRWQVNQAPGAGREHKAFDLEWSLFQYPSELMKKQGSDKIIEKEVYQREEWLRRFMRYRNDFVVILSIICLQLPFELAYAHLYEVVTSDLLKFGLTVVYLLAIQYFTRIGGKMAVDLVNREISNNIEYRADSLVYKVFGLYFMQSYVGLFYHTLLHRNIMTLRQVLIQRLIFSEVLENLLENSIPYLKYSFKKYRAVRTKRKGERGSFAGKFPRVEKEYLKPAYSASISEELEDGLFDDFLELALQFGMIMMFACAFPLAFTFATLNNITEIRTDALKLLVMLRRPIPRHDATIGAWLNIFQFLIVMSICTNCVLLVCLYDREGKWNISPGLAAILLMEHALLLIKFLFSHIVPEEPAWVRANRMKNATHAQDMCSRELLRTISGGQKTFKELVKNE >CDP18309 pep chromosome:AUK_PRJEB4211_v1:4:21299758:21316689:1 gene:GSCOC_T00004336001 transcript:CDP18309 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVLNEDEYKIYLGGIVAQLQDHYPDASFMVFNFREGIKRSQISDILSQYDMTVMDYPRQYESCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEQKTLEMVYKQAPRELLHLLSPLNPQPSQLRYLQYISRRNIGSDWPPSDSPLALDCIILRVLPLFDGGRGCRPLVRVYGQDPSSTTSTRSSKLLFTTLRTKKHSRFYRQEECALVKIDIHRRVQGDVVLECVHLEDDLVREELMFRVMFHTAFIRSNVLMLTRDEVDVLWDAKDQFPRDFRAEVLFSDADAVPSIVTTEVGSEDGNETESATPEEFFEVEEIFSNAVDGQDGKSDIDTHSVQEFSQDSENDEVVWKEELDHHSFEDCTSDEGSQRQGRSGDSNRNSLSDNVLENREDSVASSGEFEPQNVKLQFLGGGSGGKSEEGENKPDQEGTVVQKKADGQGSQPKLALPNDNGKQKSEKALTSTSKKQPISGTKLGNETMGTKSKSKQQSSGPQLRQAKPNAVSRWIPPNKGSYFDSMHVSYPPSRQNSAPAALAQSKESQAAGKAKAPSSAKSSSSSSSEVRFLPEKHFSCPSLIESSSARRPPTPTVSSPSHTESQAPELNQHQPSPSHPPPPPPPPPPPPPPPPSCNSLLYNSSSKPFSPVASSLQDFGTNLSSLVNSFNNEKAPSASPSPPPPPPSPPPPPVPFTQDAFFASDAPEFQSPPPPPPPPPPPPPPSSSLFTVSAVSASKISSPPPPPPPPPPPPPSVSAACTFNIPAPPPPPPAPAQSLLSTRIAAFASGQSPSQRPYNSGVAVTSPSPPPPPPPPPVSSGTTAPRSSPPPPPPPPPFSSSIHYASKILPPPPPPPPWSASASTPFAGIVSSLPPPPPPPPPLPNASTAITSIPVSVPIPAPPPPLPATHNTHPPPPPPPVNGPPTIFSRAPPPPPPPPPPPHGTPPPPPFLSNRAPPPPPPPPLSGASPPPPPHPGSAPSPPPPPGRGAPVPPPPPMHAAPVPPPPPLSAGPCPPPGAPPPPPPPGGRAPGPPPPPGPPRPPGGAPPPPPPLGARGPGPPCAAPPPPLGRGRGLPRPSGMSAAPRRSTLKPLHWSKVTRALQGSLWEELQRHGEPQIAPEFDVSEIETLFSATVPKSDTRGGKSGDRRKSVGSKPDKVHLVDLRRANNTEIMLTKVKMPLPDMMAAALAMDEAILDADQVENLIKFCPTKEEMEMLKNYNGDKENLGKCEQFFLELMKVPRVESKLRVFLFKIQFNSQVTDFKKSLNTVNSACEEVRNSFKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKVLASKSPSLLDFHEDLVSLEVASKIQLKSLAEEMQAIIKGLEKVKQELSASENDGPVSEVFHKTLKEFVGVAEVEVGSVSTLYSVAGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENCKQAELEKKKAEKEVEMEKAKGINLTKKDVK >CDP18942 pep chromosome:AUK_PRJEB4211_v1:4:14837562:14838956:-1 gene:GSCOC_T00003270001 transcript:CDP18942 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISSPHFVIFPFMAKGHTIPLLYLARLLWQRHVSVTIFTTPANSLSIRATLHDTSISIIELTFPESIDGIPSGVESTDKLPSMSLFIDFATATKLMQPQFEQALEGLQPVSCIVSDHFMGWTQDSAAKLGIPRIGFSGMSCFGMTMYETLGRQKPHALTSSLDEPFSIPNFPKLTLTRSDFDPPFDQLEPKGPKSWCLGPFCIAKAKTVEDDSTKPKWKQWLDDKAMIGESVLYVAFGTQAEVSEEQILEIAKGLEQSYVNFLWVIRPKAMEILKGFEERVKDRGLMVKEWVDQMEILQHKSVKGFLSHCGWNSVTEAICAGVPILAMPFMAEQYLNARLVAEEISVGLRIRPSNGSVRGFVKSEEVEERVREMIEGRKGEEIRKKTKKVGEAAVNAMREGGSSWKTLDQLIIDVSNYEAIS >CDP14922 pep chromosome:AUK_PRJEB4211_v1:4:26117296:26118841:-1 gene:GSCOC_T00042413001 transcript:CDP14922 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKLTKNAIFEADNSLEVSLREAEKLLEPQLRPPFPLKLPTQEEYFNLNKAILCGILCEPQMARVHIKHLHAIVTDGYTYFISMLIKIVNELYAKLVDSNAAFLDISQLYLSRTSSRYFLLRITPEMENQLRFLLTHVKLGNQKRYQVWFAKKFLGVPERETLLTDIVRFICCGHHPPNEIIQSDIIPRWAVIGWLLKSSQRNYVEANVKLALFYDWLFFDEKVDNIMNIEPGILLMIHSIPSYVDITHTLLEFLLMLVENYDIERKDVIVKGISSAFTFLVRKGVVRSLDALTCSDVISPFLKQLFGKIFKDMLASLSERAVAKSSS >CDO98157 pep chromosome:AUK_PRJEB4211_v1:4:3286663:3289424:-1 gene:GSCOC_T00022162001 transcript:CDO98157 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLSLFAPFTFNWGAFWIAVGLYVITGLLGITLSFHRNLSHRSFKLPKWLEYFFAYCGVQALQGNPIDWVSTHRYHHQFCDSDKDPHSPIEGFWFSHMSWLFDTNAVVKRCGNPTNVVDLEKQPFYKFLQSTYIIHPMAFAAILYAVGGFPYIVWGMGVRIVWVYHITWFVNSACHVWGNQVWNTGDLSRNNWWVALLAFGEGWHNNHHAFEHSARHGLEWWQIDVTWYAVRLLQAIGLATAVKLPTESQKQRMAFNN >CDO98644 pep chromosome:AUK_PRJEB4211_v1:4:7712229:7715987:1 gene:GSCOC_T00022808001 transcript:CDO98644 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEENEPTSQRTKRVRRQEILEKKKAADDIIRAASSQKDHLSSFPQYRHYRTNGLSVYLGSGLGDKLSFHLKQYIQKLLKVNMEGPYGSEWPTEEKVKRKEMVAPEARYIFVYEDTNVDGSQISSMPENEKSSSHTDAIVGFVHYRFIMEEEVPVLYVYELQLESRVQGKGLGKFLMDLVERIAIKNRVGAVMLTVQKKNLLAMNFYMAKLGYIVSAISPSRVYPLTGLETSYEILCKAFEAKSAFEESQ >CDO98628 pep chromosome:AUK_PRJEB4211_v1:4:7524261:7530042:-1 gene:GSCOC_T00022787001 transcript:CDO98628 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B [Source:Projected from Arabidopsis thaliana (AT5G22110) UniProtKB/Swiss-Prot;Acc:Q500V9] MSAVLKSKVQRKFKMRGYTIKLEALAEILSFVNRFPDAEDDAIDLLLDELHHLSLKSSILDKEAVNKVVTLLLEAEAAVEENPNSATLGVGGSALRVINAFDIPKFRYDPVKKIFYEHTGRNPIHGDASAKAALYRDRFLLLFQRLSRDPHFSRPNFGSDFSDYGSCEISPIQSLVGQTGRRWIMGLISQLEDGHFYLEDLNAAVEYKITTGYFSENTIVLAEGEMLLDGVFQVKTCGFPPLEDREKSFSYFSGVDFFGGGILTKEETLRLSELESRAVNDMFVILSDIWLDNEETVANLETVLDGYENVDVVPSLFVFMGNFCSRPCNLSFNSFSSLRLQFGKLGQAIATHQRLMEQSRFLFIPGPDDAGPSTVLPRCPLPKYITEELQKHIPNAIFSTNPCRIKFYTQEIVFFRQDLLYRMRRSCLMPPSTEETSDPFEHLVATITHQSHLCPLPLSVQPIIWNYDHSLHLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFSNDNTFVAYRPCTREVELSAL >CDO97777 pep chromosome:AUK_PRJEB4211_v1:4:439411:446159:1 gene:GSCOC_T00021677001 transcript:CDO97777 gene_biotype:protein_coding transcript_biotype:protein_coding MREVELAAAVALLGCILLLGPSFTNADTDPNDAAVLFDLYKSLNSPSQLTKWNPNGGDPCNENWKGITCSGSRVTEIKLSGLGLTGSMGFELDKLKSVTNFDISNNNLGNQLPFQLPQNVQQLNLAGNGFNGGLPYSVSQMTSLKYLNVSHNQFQGQLSDMFASLTSLSTLDFSFNVMSGDLPKSFSSCTSMTDMNLQNNQFTGTIDVLANLPLDNLNVENNHFSGWIPDQLKDINLQTGGNSWTSGPAPPPPPGTPPASRPNKNHKSGGNSKSDGGSSGSNSGISGGAVAGIVISILVVGAIVAFFIVKRRSRRPSKDIEKLDNQPFDPLVSHEVQEMKSIQPSSTTSTISFETPTSINLKPPPVDRNKSFDEDDTSMKPIIPAKKAVTTPIEAKQYSVADLQMATDSFSVENLIGEGSIGRVYRAEFDDGKVLAVKKIKSSVLPHAEDFLEIVAEISRLHHPNVTELVGYCSEHGQHLLAYEFHKNGSLNELLHLSDEYSKPLTWNSRVKIALGTARALEYLHEVCSPSVIHKNFKSANILLDMELNPHLSDCGLASLVHDIDQALNQNAGSGYGAPEVSMSGQYTIKSDVYSFGVVMLELLTGRQPFDSSRARSEQSLVRWATPQLHDIDALAKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSRRTLGNDQGSSVRSNNSDDQDQ >CDO98558 pep chromosome:AUK_PRJEB4211_v1:4:6873383:6874021:1 gene:GSCOC_T00022697001 transcript:CDO98558 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTSVLFILSFLVIFSISTNFFSSAAEAPEPVLDVAGKMLRTYLNYYILPANVFRGRYRGGGLTLSGIGNDTCPAGVFQETSQQINGIPLTFFPVNPKKGVVRVSTDLNIKFDYPDTCDESPVWSVDNYVYPSDDSFVNIGGVVGNPGPETLSSWFKIQKFGYRDYKLVFCPTVCSYCDVICKDVGITYQDGKRRLSLTTDYPHRVVFQQA >CDP12704 pep chromosome:AUK_PRJEB4211_v1:4:11470375:11474449:1 gene:GSCOC_T00037303001 transcript:CDP12704 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERVTEFPHTHMDRRPRKRQRLGWDVMPQAPVPIHPQVAPKAQLGLFCGQEVGNVTSYASSRATPDHTSSLFVKGVARSGSPPWRGDDKDGHYLFELGENLTSRYKIHSKMGEGTFGQVLECWDRERKEMVAVKIVRGIKKYREAAMIEVEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLTSPEYIKVPDYRGSARSPKDNSYYKRIPKSSAIKVIDFGSTTYDRQDQSYIVSTRHYRAPEVILGLGWSYPCDVWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMLKKSDRHAEKYVRRGRLDWPEGATSRDSIKAVLKLPRLQNLIMQHVDHSAGDLIHLLQGLLKYEPMERLTAREALRNPFFTRDYLRRS >CDO98341 pep chromosome:AUK_PRJEB4211_v1:4:4732098:4732406:1 gene:GSCOC_T00022404001 transcript:CDO98341 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTKVIISRFRDRLLCANLLCILGAKLYTALGD >CDP16929 pep chromosome:AUK_PRJEB4211_v1:4:13466548:13467486:-1 gene:GSCOC_T00005285001 transcript:CDP16929 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTFNEEYTSPIAPARLFKASIVDSHNLVPKLMPQALKSIEITQGNGGAGSIKQINFVEGSHFGSLKYQIDELNEQTYTYNYTLIEGGSLTENLEKITYEVKFEPTSEGGTVSKVTSKYYTKGDFSLKEEDIKAGKEKVEGMYKAVEAYLLENPDAYG >CDP12417 pep chromosome:AUK_PRJEB4211_v1:4:20217487:20220003:1 gene:GSCOC_T00035944001 transcript:CDP12417 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSLQVCMDSSDWLQGTIHEETGMDSSSPSGDMLTCSRPLIERRLRPQHDQALNCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSSKKSNDQHLHQPSATSPTMNPTDLHLAFPDQMQFQHLSNILGNANGFMENKYNLMLENPTPIDFMENKYEALVGNSSRNYDFMGNGDMGILGCEMSSPAGMISAPNFHNFCTAPFGNMSIDGNTPGTLMLPYEAHEDQNAMDVKPNAKLLSLEWHDQQGCSDHGGKDSYGYYNGVGSSWPGLMNGYGTPTTNPLV >CDO98197 pep chromosome:AUK_PRJEB4211_v1:4:3574416:3576424:-1 gene:GSCOC_T00022214001 transcript:CDO98197 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRGIPKDLHSYSIYMDIQCKSGKPWRAVKLFKEMKTKGVKLDVVAYNTLVRAIGISEGVDVALKLYREMIELGFLPNIVTYNTILKLLCENGRYKDAHKFFNEMLNKGCEPNVRTYNCFFGCLQKPGEILKWGFLRPVLLVWKKMEEHGVSPNEFAYNALIDILVQKGMVDMARKYDEEMMAKGLSAKPRVELGTKLISVGPEDG >CDP14394 pep chromosome:AUK_PRJEB4211_v1:4:23303837:23305400:-1 gene:GSCOC_T00040776001 transcript:CDP14394 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVFLLTCFLLVLGLNVVNGQPLVPALYLFGDSIVDVGNNNYLETLIKANFPPYGRDFINHEATGRFCNGKLATDFTGENLGFTDYPKPYLSNKAKTTKNMLLGANFASAGSGYYEATAKIYNTLSLSKQLALYKDYQNRLVVILGKVNASATINGSIHFLSDGSSDFVQNYYINPLLYKKYTPDQFSDILIQSYVNFVQALYKLGARKIGVTTLPPIGCLPASITLFSEDTNKCVEKMNAAAVSFNNKLNMTSQSLKQKLPNLNLLVLDIYQPLLDLVTKPIDNGFFEARKACCGSGLIETSFLCNAKSPGTCANASEYVFWDGFHPTEAANKVLSSDLLVSGLNLI >CDO97727 pep chromosome:AUK_PRJEB4211_v1:4:70075:85639:1 gene:GSCOC_T00021606001 transcript:CDO97727 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKKKKLVTRGELLDRWRGIEEEDDDEQGQDLHKRRRLRQLKQAWFSDAFNFLIGLPDDNHIWCSSWDLMGPLLETFYNYSIDEPHGSPLKILWTRISEEMRHCTQCISQHHRTQDMYHMDYDPSSIGPLLEVVRTLDEERISKNLKEINAKVARGELDPACTNAEVVCVMFEVLMFPFLLDDQSLATEFEKFIEAIDSSHELTLAGHQQYPGVYALLFLKSRRARSIGFRLAAQMGNVRRSADLDPLQPLLKKCVSLLETEIVPSTTETSRPRVQLDRITVWLGIKALLGFLEPPAFEEGILDRYPVFLSVVLNQISDDLEFSHAVNCLRLLFQMLGCKLWWRSTLSPSVMCNTLLGQCFHTRNEKSHKEIFDLFQPFLQSLEALQDGEHEMQRRHLLYFLLHQVTVSSNFSVLMRKKACQIALLIVHRGYKINPPCPPYECAHMWCPSLVSSLKDSSLHISLRQPALDLIQTVVISDASALVATILNNHLLSCNEKVVPVEANDENDNNEELLIGEDIEEKDTSCWNEFHLQMKNTTLTYTEWLCIPMLWFDVLVGIDPLILPVSFSKAVFWALSRFSMVEAEYSIRMSVSIGDLLTTCASEISHIFGWKIPSGSDDGGDRAESKNSIGVSKMYIPLIRTFRRLASHYIFRMEQSELKKQWTWEPGMADSLILFLVDPNDNDRQVSRLILEQFSGEKGLTSGLRFLCSSQSSLAAIFLGLRHALKLVHLDAVLLNFQTLHHFFFVLCKLIKEGNSCRDPIAGGSRGDLNVPQFSSLGGFLRQPVINLRKDDLNSSVVNSTVWEKFCCSISEMAWPSVKKCLAEGKAFKDDKISQMTSVRLLEILPIIFGELYPNSGLTMKVITDMKWLHDFMDWGRSSLAVVARYWKQALVSLLGVLKKSCSQNTACAIRAVERLISSDNVAMDEMNDQVTCLSLSLVDDGSSALNKSNMKPKSIFSEELLHGQNCLLENVKLLSPNAVEEQMTGLDGLIGRERDNGIILLDDDEKPAISAVEKIQSYLGLTQDSFDNKAFSSVPMERTLHCNEENNSTNGCLGYSSETLCEGSIEGFSPIIQKLEMDKTEGREWPAPDLMFKSIESKEKEISPKHNKNYFCPPQNVSDLKSSDESVDSGGTGSSKSQLGWKMKAPVGTSNIFNSNSKDHKSDDKVLEKSHLVTNKVLHHDREDDSWDFSFFKSARPHKSLLSKPSNPGAKRQVIQLNLPMQNRSGSWRLNLEKGRFKAPRLDDWYKSILELDYFVTVGLASEDKGGNRKFGKLKEVPVCFKSPDEYVEIFRALVLEEFKAQLHSSFQEMTSVDEMCYGGISVLSVERIDDFHMVRCVHDDAESSGSRSFLENDLILLTRQPLPRSFHGDIHVVGKVEKRERDIKRRSSVLVLRLYLQNGSSRLNRARKFLVERSKWCISHIMSITPQLREFQALSSLREIPLLPVILNPACHTGVNNSRRENLGRLSQPLQQVLRSSYNGSQLQAISAAIGSFDLKKDFEVSLVQGPPGTGKTRTILGIVSGLLAFSQTRDKKRTGSRDPYCTTSSDMHSRSQINQSAAIARAWQDAALAKQLHEEEDRSTKSSGSCSRGRILICAQSNAAVDELVSRISTEGLYGCDGLIYKPYLVRVGNIKTVHPNSLPYFIDTLVDQRVVEETANDGKTEIGVDSVSVLRSNLESLVDQIRFYEAKRANLVGRDPDTRRQLEGSVKGDDLKEPIDTEIEAKLKRLYEKKKAFYKDLSHAQAQEKKASEESKARKQKLRRAILKEAEVVVTTLSGCGGDLYGVCAESILSHKFSSSTESTLFDAVVVDEAAQALEPATLIPLQLLKSKGTRCIMVGDPKQLPATVLSNIASKYLYQCSMFERLQRAGHPVVMLTQQYRMHPEICRFPSLHFYDGKLKNGDQMSSKAAVFHETEGLGPYMFFDVVDGQESHGKNTGSLSLYNECEADAAVEVLRHFKKRYPLEFVGGRIGVITPYKRQLSVLRSRFSSAFGSSISAEMEFNTVDGFQGREVDILVLSTVRAAEHQTSRLSSSSIGFVADVRRMNVALTRAKFSLWILGNARTLQTNENWASLLKDAKERNLVTQVRRPYNNLIFNSASHEIPPDEGPGNHLRQLQHVNKVKAVAKHADVQNKRAKDVSEKKRKYIMSEAPVDAVTGEIEHVVPSVKTVAQSKIRVTNKNNSPLVKDFASVFVENSEGQICEGLKPSIDGSQAGNEGTSGKRTSAMKIKSTELNSPDGNMGGNSSNDQEHLEKVKCENRRHLKRQASRRCLDPSKHQRSSLMMDTGVTSPEGSLSGDRGYVDKASGQVELPNDTILKRKQQRDAVDALLSSALISSKKPESSAKSVPVRTLSSTSVEGGVIRTRKLGKAPHKDTHIPATSPIISRKEEILDER >CDO98390 pep chromosome:AUK_PRJEB4211_v1:4:5084968:5088479:-1 gene:GSCOC_T00022466001 transcript:CDO98390 gene_biotype:protein_coding transcript_biotype:protein_coding MQREHEEQAILNAPLVNTSEKVGWKGGLCDQENSFNKKEIVEEVKKQIWLAGPLICVSLLQFSLQIISVMFVGHLGELALSAASMATSFASVTGFSLLMGMSSALDTFCGQSYGAKQHHMLGIHMQRAMIVVLLVSIPLAVVWGNTGHILKFLGQDPQISDQAGVFAKFIIPSLFGYGLLQCHVRFLQSQNIVFPMMLTSGITTLLHVLVCWLLVFKSDLGFKGAALANGISYWINLLLLAFYVKFSTSCKKTWTGFSKEALHNIPNFLKLGIPSTIMICLENWSFEMTVLLSGLLPNPQLETSVLSVCLNTAATVWMIPFGLSCAVSTRVSNELGAGHPRTARLAVCVVFFMALTVGILVGLVLILIRNGLGYAFSNEKEVVNYVARMVPLLATSNLLDGLQCVLSGTVRGCGWQKIGAFINLGSYYLVGIPAAVLIAFVLHIGGQGLWSGIICALIVQVLCLFIITWRTNWEKEAKKALERVHDSIIPVETVS >CDO98275 pep chromosome:AUK_PRJEB4211_v1:4:4139713:4144749:-1 gene:GSCOC_T00022315001 transcript:CDO98275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein ClpD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51070) UniProtKB/Swiss-Prot;Acc:P42762] MARQAVKSIWHTDTDDKEENGKGNGSGLGPEGAEVSATDVPFSPSTKRVFEAAVEYSRTMGYNYIAPEHIAVGLFTVDDGSAGRVLKRLGANANHLAAVAITRLEGELAKDGRDLPRRPHEKAPAAKASIARSSQRTGDQKKNALDQFCVDLTALASEGRIDPVIGRDTEVQRIIQILSRRTKNNPILLGEAGVGKTAIAEGLAIKIAEGNVPFSLLTKRIMSLDIGLLIAGAKERGELEGRVTTLLKDIKKSGNVIVFIDEVHTLIGSGTVGRGNKGSGLDIANLLKPSLGRGELQCIASTTMDEYRLHFEKDKALARRFQPVLIAEPSQEDAVHILLGLREKYESHHKCRYTSEAIDAAVHLSARYIPERYLPDKAIDLIDEAGSRARMEAHKRRKEEQTSILSKSPSDYWQEIRAVQNMHELVLASSFKEKDDTSKREEDSRFTLGPSLPSIPTDNDASVVGPEEIAVVASLWSGIPVQKLTADERVLLLSLDEQLKKRVVGQDEAVVAISRAVKRSRVGLKDPNRPIAAMLFCGPTGVGKTELAKALAACYFGSESAMLRLDMSEYMERHAVSKLIGSPPGYVGYGEGGTLTEAIRRKPFTVVLLDEIEKAHPDVFNILLQMFEDGHLTDSQGRRVSFKNSLIVMTSNVGSTAIVKGRQNSFGFFISDDVSASYAGMKALVMEELKAYFRPELLNRIDEVVVFRPLEKPQMLEILNLMLGEVKERLTSLGISLEVSEAVMDLICQQGFDRSYGARPLRRTVTHIIEDPLSESVLSGDYKPGDVAIIYLDDSGNPVVTNKSRRSVHLSDTSSVL >CDP20569 pep chromosome:AUK_PRJEB4211_v1:4:8887683:8890939:1 gene:GSCOC_T00000092001 transcript:CDP20569 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPPLQFIPNPDPNCKDHQQELINPDNLLNLFKTQQKYLNHFFQNLDLSQTLSFTQTLLNSTGTIFFTGVGKSGFVAQKISQTLVSLGIKSGFLSPVDALHGDIGILSSADVLVMFSKSGNTEELVRLAPCAKAKGAYLISITSLEPNALMGLCDMNVNLPLERELCPFDLAPVTSTAIQMVFGDTVAIALMGARNFNKEMYAANHPAGRIGKSLIFKVKDLMKKKDELPVCREGDLIMDQLVELTSKGCGCLLVVDNDYHLLGTFTDGDLRRTLKASGEGIFKLTVGEMCNRRPRTIDPDAMAVEAMKKMESPPSAVQFLPVVNHDNILIGIVTLHGLVSAGL >CDO97994 pep chromosome:AUK_PRJEB4211_v1:4:2144860:2149182:1 gene:GSCOC_T00021953001 transcript:CDO97994 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFTAMSCVGSLISPSTSTADKKFVSSSEALSSLTSISANKLGGRRQNVILRKRLSCKVQAMAKDLHFNKDGSAIKKLQAGVNKLADLVGVTLGPKGRNVVLESKYGSPRIVNDGVTVAKEVELEDPVENIGAKLVRQAASKTNDLAGDGTTTSVVLAQGLITEGVKVVAAGANPIQITRGIERTAKALVAELKLMSKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGKGSDNNLYVVEGMQFDRGYISPYFVTDSEKMALLLVDKKITNARDLISILEDGIRGGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGDRKSQYLDDIAILTGGTVIREEVGLSVDKVGSEVLGHAAKVVLTKEMTTIVGDGSTQDAVNKRVAQIKNLVEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKDSLDNDEQKVGADIVKRALSYPMKLIAKNAGVNGSVVIEKVLSSENVKFGYNAATGNYEDLMAAGIIDPTKASVLSLGIQKYLVWLKSVMC >CDO98260 pep chromosome:AUK_PRJEB4211_v1:4:4016381:4020865:-1 gene:GSCOC_T00022292001 transcript:CDO98260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MKLTVKTLKGSHFEIRVQPSDTIMAVKKNIEDMKGKDSYPCGQQLLIHNGKVLKDESTLAENKVSEDGFLVVMLSKSKASGSGGTSSTLPTATAAAISNPTPPEAPPPVLASKTNASASNHEPLPAGAPSHAYGEAASNLVAGTNLEQTIQQIMDMGGGTWDKETVTRALRAAYNNPERAVDYLYSGIPEMAEVAVPVAPTGTNSATQTGLDSDVAVSGAPNSSPLNLFPQENLSGDAGAGLGSLDFLRNNQQFQALRSMVQANPQILQPMLQELGKQNPQLLRLIEEHHQEFLQLISEPMDGSEGDLFDQAEQDMPHAVSVTPAEQEIIERLEAMGFDRALVIEAFLACDRNEELAINYLLENAGDYED >CDO98010 pep chromosome:AUK_PRJEB4211_v1:4:2235627:2239296:1 gene:GSCOC_T00021974001 transcript:CDO98010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPNWWSMNSMHPQSHQHQQFPSTTTFHSPAPPPPPPPVPPQYNLYGGSSSPLSANSLSDHHPNPDFPRSWRQLLLGGLANEQERFVGSPFQNKKLENWEGQTLNPSLRVPVSDVKQEVALSTSVLFGAAADEEFQASTRPSWSQVVQASSPTSCVTSLSSNLLNFSSSKTEGRNQNPENSSECNSSIAGGVSKKPRIQHSTAQPALKVRKEKLGDRITALHQLVSPFGKTDTASVLSEAIGYIRFLQAQIEALSSPYLGNASGVSGSMGQGHQQQSVVQDRPKDLKSRGLCLVPISCTQHVGSDNGADYWAPALGGGF >CDO98321 pep chromosome:AUK_PRJEB4211_v1:4:4590783:4591598:-1 gene:GSCOC_T00022380001 transcript:CDO98321 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) UniProtKB/Swiss-Prot;Acc:Q9M1B5] MGKPLIYEIMEKPATSCMIGIFSAIWFYIQKKGIGYSHVGLSYETAVEGHWWRIITSAFSHISVLHLVFNMSALWSLGVVEQLGHIGLGVKYYLHYSLVLVVLSGLLVLGMYHFLILRFKIEYFRRVTAVGYSCVVFGWMTILAVKQPSSKLDLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIIVGYAIGWGLIHGMNNYWAFSMLLWIVIIFVLSLKKSGAFDINFLEIEPVTDPSLPSVRFLASGNGRTLHMSTLPIAGAEMV >CDP12418 pep chromosome:AUK_PRJEB4211_v1:4:20229789:20231207:1 gene:GSCOC_T00035945001 transcript:CDP12418 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRIFEPQSPLLETDNEAVQVHVYYKRSPGGWRSASFMLAGGSLERFAYYGVESNLISCLTGPLGESVATAAANVNTWIGVVSLVPVLGAYLADSFLGRCRSIIIASILYILVSSQFYFYKLLLAFPCLLMIRTSNGEPNTNKGLKLSSHNQEIRLGYGKPSLFFVSLYATALAKGYKPCVQAFGADQFNGKHQEKSKAKSSFFNWWLCGLCIGSIAAHLILHYIQDNISWTIGFGIPCLVMILGLILFLLGHRTYFFDVKRGGEESPYRRIKWGIAKEGDALINRAQASSSQEECQEYLLSKTQQRSQDYR >CDP15100 pep chromosome:AUK_PRJEB4211_v1:4:10366436:10370354:-1 gene:GSCOC_T00042671001 transcript:CDP15100 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSGCIVSLPSVFSASNSTMTSSLHAYLTIPSKPIRLSLSCSWSYSLISLKSRNFCNLGSAVSALTAAREEDNTIVLGASDEELAKDFEIEEGYSEGEHVIEAAVGEEEEEMEGFEGGEAVEEVEEYQEPPEDAKLFVGNLPYDVDSEKLAQLFEQAGVVEIAEVIYNRETDQSRGFGFVTMSTVEEAEKAVELFHRFDLNGRFLTVNKAAPRGSRPERTPRVFEPAPRIYVGNLPWDVDDVRLEQIFSEHGKVVNARVVYDRDSGRSRGFGFVTMSSESEMNDAIANLDGQSLDGRAIRVNVAEERPRRF >CDO98045 pep chromosome:AUK_PRJEB4211_v1:4:2437944:2440225:1 gene:GSCOC_T00022019001 transcript:CDO98045 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSISTSLSSYAPAALPLLSSSGHSSSTSSTTSDQIVSRQPHVVSFLPRSVSIFRKCGGLGHRQQRRGLQVVRMAPEEEKMTRRSPLDFPIEWERPKPGRRPDIFPQFSPMKTPLPPPLPADPPEEDEEEEEEKKEEEEEDPEKENDPEKQDQ >CDO98522 pep chromosome:AUK_PRJEB4211_v1:4:6459805:6460005:1 gene:GSCOC_T00022651001 transcript:CDO98522 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNLEPSFQFSQLAYPLLKASGKGNVVFISSVLGMVSLQYSSAYSAAEGAINQLTKNLACQWAKR >CDO97834 pep chromosome:AUK_PRJEB4211_v1:4:845688:852253:-1 gene:GSCOC_T00021750001 transcript:CDO97834 gene_biotype:protein_coding transcript_biotype:protein_coding MADQFSKSVEFGLKLSKRIFYGKESSAPAPAVMERKSETNWPLLPTGPMVYAVISEPSMVDNPDIPSYQPYVHGRCEPPALIPLHMHGVAMEVDCYLDTAFITVTGTWRLHCVTASKSCDCRIAVPMGEKGSILGVQVECPLRSYSTQLTTLEEDREADKAKDGFLLKGHIYTLKIPQVDGGCTLSVRISWSQKLLCQNNQLCLKIPFTFPQYVTPVGKKVSKIEKILLNVNSGIAVEIFCKSSSHPLKQVSRQAGKLQFSYEREASMWSINDFSFIYSVSSNDIVGGVLLQSPPLHDFDQRDMFCFYLYPGNTNNRKVFRKEVIFVVDISASMRGDPLDKVKAAVLTALSKLNPADSFNIIAFNGLSLLFSSSMELATKEVIENASQWIANNVVADGSTNISLPLSQALKMVSKAGDLISLIFLITDGSVEDERDICAIVKHQAMEGGFNSPRINTLAIGSYCNHYFLQVLAEIGRGYYDAAYDMDSIDFRLERLFDQASSVILADLTIDCLEQLDSLELYPFQLPDLLSESPLLVSGRYTGKFPDSVKFRGTLADLENYVIDAKVQKAKDFPMERMCARSQIDALTANAWLSEDKQLEEKVERMSLQTGVPSEYTNLVLLETKKEKQISESSGKKVIVLRRVGAGFGSLKATAENLPPETAEPKLHETSEMITKAARNLCGRMLDCCCCMCFIQFCSRLNDQCAVVLTQLCTALACFGCMNFCCEVCFSCDFCG >CDO97843 pep chromosome:AUK_PRJEB4211_v1:4:967247:969799:-1 gene:GSCOC_T00021763001 transcript:CDO97843 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKKLRAMLPSCFMSKSHPLESKKHVPNQHSSAQRLSLSDISDPGSPLSADDISNSLIGSNLHIFTLAELRVLTHDFSSSNFLGEGGFGPVYKGFVDDRTRPGLKAQPVAVKLLDLEGTQGYREWLTEVIFLGQLRHPHLVKLIGYCCEDQHRLLVYEYMARGNLENQLFRRFSISLPWLTRIEIAAGAARGLAFLHGEEKPVIYRDFKSSNILLDSDYTAKLSDFGLAKDGPEGDDTHVTTRVMGTHGYAAPEYIMTGHLTTRSDVYSFGVVLLELLTGKRAVDKSRPSREQNLVEWARPLLKDLHKIDKIMDPKLDGQYSSEGAKKAATLAYQCLSHRARSRPTMDGVVKMLDNILDLKDDIPVGPFVYIVPKEGKMAAEAHELTSEKTKGHVKNEEEKRVPGNNGREEEDDDDDERAAELKKKKNGNGCQRGRKGHTHKHRIRSRAVYSDTALYTNLRKEFKLPKAKEFKIVKMDACPSQSKCT >CDP14998 pep chromosome:AUK_PRJEB4211_v1:4:9094428:9098339:-1 gene:GSCOC_T00042523001 transcript:CDP14998 gene_biotype:protein_coding transcript_biotype:protein_coding MAALARLSRRALATATSAGHHRLLPRGFSTDAVAAATSASPATKSIPIVESADRVKWDYRGQRRIIPLGQWLPKIAVDAYVAPNVVLAGQVTVYDGASVWNSAVLRGDLNKITVGFCSNVQERCVIHAAWNSPTGLPAETSIERFVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVESHSILEAGSVVPPGRRIPTGELWSGNPARFVRKLTHEETLEIPKLAVAINDLSKSHFSEFLPYSTVYLEVEKMKKSLGISI >CDP12314 pep chromosome:AUK_PRJEB4211_v1:4:27211905:27212437:-1 gene:GSCOC_T00035779001 transcript:CDP12314 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSSQESLLVVAFVIFAILVSSTIPSHAAGSSGLTHREIMQKPSCPPCICCHKELPPPDCCYCACYVTESGNEAP >CDP12703 pep chromosome:AUK_PRJEB4211_v1:4:11446064:11448171:-1 gene:GSCOC_T00037302001 transcript:CDP12703 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHTRQNCSSSVVNMTELFVTLTNDVVSRVALGRKYSDSEDGRKVMKMIIGFGELLGVFNVGDYIPGLGWQNHFNGLKYKVNKVAKEIYEFMEHLIEEHKSRMKGLGDDSDESTEESSLDFVDILLEIQRKNSSNNLLQFESLKAMIMDMFAAGTDTTHSVMEWVMVELLRHPKVMEKLQNEVRAVGQGKSEITEDDFDKMQYLKLVIKETLRLHSPVPSLVPRESTRDIKVMGYDIPIRTRVIVNAWAIGRDPLLWEKPEEFQPERFLSVS >CDP12303 pep chromosome:AUK_PRJEB4211_v1:4:26970356:26972781:-1 gene:GSCOC_T00035765001 transcript:CDP12303 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEQKQTPETLADGEVKESSTQDMEIAEAQDDDAAVGGAGGLKRVRDEADEEGENSEDVKKLKADDKSVEEERLEKTADAEPKSCSEPEPEPKSGSTPELKSGPVELGPKSFESSVEMFDYFYKFLHFWTPNVNVNKYEHVMLLELIKKGHLEPDKKIGNGIRAFQVRYHPKFKSRCFFLTREDDSVDDFSFRKCVDHILPLPENMQVKHDVNKVLGGGRGGKAGGCGRGGRGHYRGRGGKSRN >CDO97883 pep chromosome:AUK_PRJEB4211_v1:4:1277984:1281607:-1 gene:GSCOC_T00021822001 transcript:CDO97883 gene_biotype:protein_coding transcript_biotype:protein_coding MASQCIPISKGLGMSTCTAYFVNLLSVTTFPRTSTCTPHLLSTTPRCGLLLAAELDKQEVEVEHHYSRNAIHYWDKFYHRHKNKFFKDRHYLQKDWGQYFCDNDINDTNSPKRKVVLEVGCGAGNTIFPLIAAYPNIFVHACDFSPQAVSLVKSHASFNNGCVNAFVCDVAKDDLCVNIKPSSVDIVTLIFVLSAVAPSKMTLVLQNLKRVLKPNGHILLRDYARGDSAQVKLQNRNQIIDDNYCFRGDGTCAFYFSEDFLSSLFERAGFSIVDISTYCRKIENRSKNIEISRRWIPAIFSQLSVHPAMK >CDP16993 pep chromosome:AUK_PRJEB4211_v1:4:14900470:14903463:-1 gene:GSCOC_T00011352001 transcript:CDP16993 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSDKVISVVPITGMGGLGKTTLAKSVYNNPRIDEHFGKKIWICLAKEIELMELFKFVLESLTRKKVEVDGEIARKRHLLVLDDVWNHEEGLWNDFFTTLEGLNPTKGSWCLVTTCLDPVADAMSIHMKMNDGPYILGRLADDECWSILKEKAIRGEGVPKELEALKEKILRRCDGLPLAASLIEDLLTELWIAEGFLQPGHQNGSVMEDIGSDYLRILLQASLLEKVEEEERTYYKMHDLVHDFAKSILNPKSSNQYRYLALYSSEAKAKNITEKIPTLLRTLFLENGISDNMLSKMEYLHVLKLAGPDVNVLPNSIGSLLHLRFLDISDSGITTLPESLCKLYNLQTLRINGEKLHEGLPEGTSNLISLRHLHYYHSDAELQMPIKLGRLTSLQTLEFFNIGEEKGRGVEELGTLKDLKGSLVIRNLKLGSGDRESDNGDGAMLEGLQPHYYLQMSEIQDFMGYQFPQWFMNLSKLVSLELKGCNRCRELPAGLGELPFLQLLSLSKLENLTCIGLSFYGIFDKQDGRGSTSECKFFPALKSLTLEDMVNLVEWRDPDERLCHFPSLQHLQVSYCPNVTSLRRLNCGTCLESLKLFDCDNLRELPENLYNLLKSLKSLTISDCDGLTTIASEMLESCSPLQSLQVYECPNLVSFPLDLQQTPSLETCILTNCPELINDMPKGFAFLTCLTTMMIGPFSDYSLVDWSGLLSSSTLCELELNGMSDMESLPHQLQYLTTLTSLLLRKPYQS >CDO98554 pep chromosome:AUK_PRJEB4211_v1:4:6685650:6686279:1 gene:GSCOC_T00022691001 transcript:CDO98554 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLFFIISFLAFSISLNSSSSSAGELAPEPVLDTAGNVLRTDRDYYILPAKVRGRFRGGGLTLSSIGNDTCPVGVFQEMSEQRNGIPLTFSPVKQRYGVVRTSTDLNIQFAYPETCGESPVWRVDNYLDPSADSFVSIGGVVGNPGPATLGSWFKIQKFGYDYKLVYCPAVCSYCDAICKDVGILYQNGERRLFLNDYPLRVVFKLA >CDO98256 pep chromosome:AUK_PRJEB4211_v1:4:3996207:3999483:-1 gene:GSCOC_T00022287001 transcript:CDO98256 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSLRVHARRLDYKRLFPSLLSSHQTFLTLIWTAGFILVALWQKTAVDRLLTYHDQRFFPPPRPIAKLRRLAFNLTDFGAVGDGVYLNTEAFERAISEIRKRGGGQLNVGPGLWLTAPFNLTSHMTLFLAENAVILGIDDENYWPLMPPLPSYGYGRERPGPRYGSLIHGQNLKDVVITGHNGTINGQGKAWWEKYRKNRLNHTRGPLVQIMWSRDIVISHITLRDSPFWTLHPYDCQNVTIRNVTILAPSSEAPNTDGIDPDSCENMIIEDSYISVGDDGIAVKSGWDQYGIAYGRPSTNIVIRNLIVRSMISAGVSIGSEMSGGVSNITVENLLVWNSKRGIRIKTSAGRGGYVRNISYQNLTFENVRVGIVIKTDYNEHPDEGFDPNALPVIEDISFTSIHGQGIRIPVRIYGSREIPVRNVTFRGMLVGITYKKKHIFQCSYVHGRAIGKIFPVPCENLDVYDEMERLVRLGTAQNSTDRDYDA >CDO98446 pep chromosome:AUK_PRJEB4211_v1:4:5630163:5647103:1 gene:GSCOC_T00022538001 transcript:CDO98446 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCD1 [Source:Projected from Arabidopsis thaliana (AT1G49040) UniProtKB/TrEMBL;Acc:A0A178WQS8] MANRIFEYFVVCGIGPEIRTLDGERGYHGSEFIYLPSLLDQYPPTDHTLYPPPPPQLPTCVLPAGVQFHASGFDSKDPSTFPRNYPIVLTEGDGSKIYVSCIAFRDPVCEDIVEAYRIAANSFADKCICLVSRSPSFHILRDALEEIFLLCFSSSGSSKPLWDVIAYLVSSVPLPTPGKDRVLFAIEDSLLAVEVPPKDGLPHADISFQPLIQCLDVDNFIKLFTAVLLERRILLRSNKYSLLTLVSEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTFGLTMDGVVVVDLEHNCITTSEDIPPIPEPEYSSLRGDITKLLHPNVVGIDQMKATLSNCKEEFLRGSSKPWGEDHDLQLRIIFLKFFASILGGYRNFIESTTTLVFNTQAFLKKRSRSTNQPPDPMISQFLDSQGFLDYLGRGIGFEESNINLLDKLQDAIGRGQSPLSILPSIMGEPEIVTISDYRAGSSGSDAKYCYDRFPSNILSEEEEVKRKQILATASGALEYSGKHAPGSPSIFAGQDSKAESLSPRERAAERERMVLDIKVKLQGLWLRLLKLGSTDDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWTCQLTEEQFIAVKELLKTAITRATSRNDMATIRDALEVSAEIYKKDVNNVSDYVQRHLRSIPVWDDLRFWEGYFDYLLDRFSSKSANYATLVTTQLIVMATHMAGLGLADTDAWSLIETIAGKNNIGYKHMIKLRGFLAHIRQICIGYWGIYSSKSQAVPLYGLASPRPDAASEVEQPAEASGVGRSWVQSMFSRDTTLRANSFSRVRKWTSDTGVSENGKQEPSAAGQKKIQTSVRTLRGHTGVVTALHCVTSREVWDLIGDREDAGFFISGSTDCTIKIWDPSLRGSELRATLKGHTRTVRAINSDRGKVVSGSDDQTVLVWDKQTTQLLEELKGHDAQVSYVRMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRCSSAVLCVEYDDSTGILAAGGRDSVTNIWDIRAGRQMHKLLGHTSWIRSIRMVGDAVITGSDDWTARMWSVSRGTCDAVLACHGGPILCVEYSISDKGIITGSTDGLLRFWENDNGGLKCTKNVTLHTSSILSINAGEHWLGVGAADNSMSLFHRPQERLGGFSSTGAKIAGWQLYRTPQKTVAMVRCVSSDLERKRICSGGRNGLLRLWDATINI >CDP14832 pep chromosome:AUK_PRJEB4211_v1:4:24835432:24836919:1 gene:GSCOC_T00042298001 transcript:CDP14832 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLDKIRGSNSMLQGERVPEKGIKRKNFEGKEAFETTKLIELLSGFSLLLQQINALLKKNFILAWRNRRSTFLQLFSSFFFIAFMFALRKTNKYTESRPNFCAKVRDTKPITNSPIPACEDKLIINVPCFDFVWSGSGNQRLESIVNGIMTNNPGRTIPQSKVKSFRTKDELDKWLLDNPMRCPGALHLFETNAKEIR >CDP15068 pep chromosome:AUK_PRJEB4211_v1:4:9986147:9987389:-1 gene:GSCOC_T00042620001 transcript:CDP15068 gene_biotype:protein_coding transcript_biotype:protein_coding MKRASVNLQECNGVDEEANRARLKHQALLREYLQLQKEFVSKKRKFQTAVQKRDNLVAIVKFLRRKRRYLLNCQHTPAELGSDLVDLQNVETERAMLEEERKHATSETAVRYEEALGGRGLGEQVVREASRTEKMPRKYFIDAKGLGKKKISWRDQLTVKA >CDO98040 pep chromosome:AUK_PRJEB4211_v1:4:2412694:2415026:1 gene:GSCOC_T00022014001 transcript:CDO98040 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLRKSWPYSKTSVFATVSNLHRRRRDDAQQLLRIVSSIALLHAETNWGRRGGRREQNQLLNGSPLPLSRLLHSPGDCSLRRTYCQVPFILPHPTSFFPLQENLSLPLGLPRKAGSFNSTASIVANLSNNRHQFRRFCSITDCEFEDFSNSDVESELENSDIAESVKSEADPNEVDRVCKVIDELFTLDRNMEAVLDESGINLSHDLVVDVLERFKHARKPAFRFFCWASRRSDYAHDSRTYNAMMNILGKTRQFETMVSLLEEMGEKGLLTMETFIISMKAFVAAKERKKAIGMFELMKKYKFKVGVETINCLLDAMGRAKLGKEAQILFEKLEHRFTPNLRTYTVLLNGWCRVKNLMEAGKVWNEMIDEGFKPDIVAHNTMLEGLLKGHRKSDAIKLFEVMKAKGPAPNVRSYTILMRDLCKQRKMREAADYFDEMIRSGCEPDAGAYTCLITGYGNEKKMDKVYSLLKEMKEKGYPPDARMYNALIKLMANRQMPDDAVRIYKKMIQNGIQPTIHTFNMMMKSSFLTRNYNMGHAVWEEMNRKGCCPDENSYVVMIRGLIRHGRSVEACKFLEEMIAKGMKAPQLDYNKFAADFSRAGKPDVLEELAQKMKFSGKFADSNLFARWAEMMKKRVKRRDPIRTDGRRA >CDO97758 pep chromosome:AUK_PRJEB4211_v1:4:298442:302049:1 gene:GSCOC_T00021645001 transcript:CDO97758 gene_biotype:protein_coding transcript_biotype:protein_coding MMICVCFPQGDYIELHRKRNGYRHDHFQRKRKKEAREVHKRSQFAQKALGIKGKMFAKKRYAEKALMKKTLAMHEESSARRQVEDDVHEGAVPAYLLDRESTTRAKAPFFHYQSLVLSNTIKQKRKEKAGKWDVPLPKVRPVAEDEMFRVIRSGKRKTKQWKRMITKATFVGPSFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPMYTSLGVVTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >CDP12399 pep chromosome:AUK_PRJEB4211_v1:4:19778237:19784986:1 gene:GSCOC_T00035915001 transcript:CDP12399 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPSSSPPNFIDQQVVPGDVVLDLSTMTNQTIKLGSGLRQDGDAISAIKAGVLRFAKPNKYWVESSQKRYVPCAGDTVLGIVVDSRSDNFLVDIKGPTLAFLPVLAFEGGTRRNIPKFEVGTLLYVRVVKANVGMNPELSCMDASGKAAEFGRLKDGYMFESSTGLSRMLLSSPTCPVLEAFGKNLSFEIAVGLNGRVWVNAESPANLILVANAIMKSESLSAVQQKFLVERLLKRVQ >CDP12351 pep chromosome:AUK_PRJEB4211_v1:4:27753884:27754557:1 gene:GSCOC_T00035835001 transcript:CDP12351 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKNFSKFFTYTLQNTQNTKKKKKKKFXXXXXXXXKFPSLFFFFLPPSQPTTTSVADHHVRRGLHVSFFFFAFLPLHAIFPCHPLPSPAVCTLFFKLMVVVYDKRWGSSIWVKRFEPSFAGAPAPGAGFVSSVCWRQMGEDQCTLVAGGSNGILQVLAVAEKE >CDO98245 pep chromosome:AUK_PRJEB4211_v1:4:3920065:3923432:-1 gene:GSCOC_T00022273001 transcript:CDO98245 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSNMVFEGTVILYFLQHLLIISATGLSVHQHHFPFRHSLETDKAALLAFKGSISFDPYSRLANWNETTHVCRFTGIACDGRHHHRVVRLNLNDSGLVGRLSPFISNLTQLRVIELVNNQFSGFIPSEFGFLRNLHHIKLDGNNLCGPIPETFSFLANLTLVALSGNNLSGTIPPSFFSNCTSLHNVDLSENFLSGKIPPEIGSCPKLWTLNIYSNQLEGEIPSSITNASELFNFDAENNQLSGELPVDVVSKLYSINYLHLSYNNMISQDANTNLEPFFTALSNCSELEELELAGMLLGGSLPYSIGGVTKTLAILLLQENHIRGSIPPQIAHLSNLKLMNLTLNQFNGTIPDEIGQFSYLERLSLSFNSFSGEIPAALGQLSRLGLLDLSNNQLSGKIPESFGDLVNIYYLFLNNNLLSGKIPRTYLQKWESTINLSNNFLEGQLPESLGELWSLKVLDVAWNKLSGRIPSSLNKTSTLTFLNLSFNNFDGRIPTGGIFDFASNLSFVGNQHLCGIIPGFPTCHQKLKFFHSHLFLIILCSVVSVSMFSSTICCVIGCQRLKVVIGSTSQPERENQMAPEVMHNFPRITYKELAEATGGFEDQRLIGSGSYGRVYKGVLPDGTSIAVKVLHLQTGNSTKSFNRECQVLKRIRHRNLMRIITACSLPDFKALVLPYMANGSLDSRLYPHPRTGLSSGSSDLSLPQRINICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGIARLVMTIGAGNAGVMENMGNSTANLLRGSVGYIAPEYGLGASASTKGDVYSFGVMVLEMVTRKRPTDDMFVGGLSLHRYVKRFKKMSEVAIGELIELGILCTQDSPSARPTMLDAADDLNRLKKYLGGDTTATFASSLGISSSTFSSDW >CDO98112 pep chromosome:AUK_PRJEB4211_v1:4:2988072:2991988:1 gene:GSCOC_T00022109001 transcript:CDO98112 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFHWFWLLLISYFLAVCVCKVLVHYWWLPRRVEYQFLQQGIKGPEYHFFLGNLKELASLMSRASSQEMPLSHNILPRVLSFYHHWKKIYGASFLVWFGHTPRLTIADPVLIRDIFVTKSEYFEKNEPPPLVKKLEGDGLLSLKGEKWAHHRKIITPAFHIKNLKLMIPMMANSMGKMLKQWSKMSSNDGKVEIEVSEWFQNLAEDVITRTAFGSSYEDGRAIYQLQAQQMVYATEAYQKVFIPGYRFLPTKKNRISWRLDKEIRKSLMKLIDKRRKNASAKGLSEECPNDLLELMIKAIHDIVEECKTVFFAGKHTTSNLLTWTTILLAMHPKWQELAREEVLRVCGARDTPTEDDVATLRTLGMILNESLRLYPPAVAAIRRAKVDVELGDLRIPQGTELLIPIVAVHHDPELWGNDANEFNPARFAGGVGHAARHSMAFLPFGLGSRRCIGQNLAILQAKLAIAMILQRFSFDLSPTYQHAPTVQMLLYPQYGAPIMFQKL >CDP18090 pep chromosome:AUK_PRJEB4211_v1:4:14231758:14239353:1 gene:GSCOC_T00008263001 transcript:CDP18090 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKSILNFDHCYVVDDMNRYGGMALLWNEKTKVKDIKYSAFTIEVLIEDAEVKQEWWLVGIYASCDNQVRKNQWEVISRRKSLWGDNQIIMGDFNDVCSNEEKWGGRMREEWSFHDFRRFIQENQLIDVGFEGNPWTWSNQWQTGEIKQRLDRGLSSGGWHNLFEHTRCTHIESLGSDHSMLILDTMPGARTKRKKFFFDKRWIQREGIKEVVKKTWEEDVRGSRMFRVVNKIKRCRVALLKWRNGFIENSKKKRISDLKQRLMVEKRSGNEEMERKATILLLESSPVMLSPWLGLGRRVLANSPPPFDTYHGHDIWRYAQNNPAHSKLINDAMACDARVAVSAMIYRCPQVFEGISSLVDVGRGDGTALRTLLKACPWIHGINFDLPHVVSIAPRSDGVEHVGGDMFHSFPNADTAFIMSVLHDWGDDNCISILMNCKEAIPQDTGKVIIVEAVIDHEEGDDKLKDVGLTLDMVMMAHTTTGKERTSEEWAHILNQVGFSRHTMTHIQAVQSVIEAYL >CDO98246 pep chromosome:AUK_PRJEB4211_v1:4:3938218:3939752:1 gene:GSCOC_T00022275001 transcript:CDO98246 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFFLICLFSLLGIQARPLLEHGYTSMVESNYGTPAPKSVDKVLLRMIITYEQAPGLHLVQPSLATSYGRPLSAPPPPMPASPTHYHEASHGVEQAPGLHLVQPSLATSYGRPLSAPPPPMPASPTHYHEASHGVEQTPGLHLVQPSLATSYGRPLSPPPSPKPASPTHYHEARHGVYESPPNPFLASS >CDP12323 pep chromosome:AUK_PRJEB4211_v1:4:27309830:27311328:-1 gene:GSCOC_T00035791001 transcript:CDP12323 gene_biotype:protein_coding transcript_biotype:protein_coding MSKITDHKLNNSNYLDWSKTVRLYLRSIDKDNHLTDDPPKDGSRQTWLREDARLFLQIRNSIDSEVFHLILKLLNLRFFPVLRSHPCKMCLVGCFAQRIPSLFSPAVLLLVLENSNTKVVVKELTLGDRMQKWLCVTIVKSRAI >CDO98552 pep chromosome:AUK_PRJEB4211_v1:4:6677910:6678527:1 gene:GSCOC_T00022689001 transcript:CDO98552 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKFPLTSFLFFMIHTNLVFCSAAPEPLPPVLDVAGKLLRAGVNYYILPAIIIGNDIGGGLTLANGTCPPEVIQDNYEFTDGIPLIFSPLDPKKGIVRVSSDTNVKFSSTSKCAQSSVWKLSELDALTGKYFVGIGGVEGNPGPKTLSSWFKIETFDRSYKLKFCPSVCKFCKVICKDIGIVFQNGKRRLALSDDPFKVIFKRT >CDP12728 pep chromosome:AUK_PRJEB4211_v1:4:12119342:12119734:-1 gene:GSCOC_T00037351001 transcript:CDP12728 gene_biotype:protein_coding transcript_biotype:protein_coding MSINRSKATRTTTCVLFFLISFFVVQFASPKKGSSSTDYASILHIAAAFLLLAILIILAVRTTIVTWITLLVLLAFAGNRRRVLVKKGRRITLDVAMHLAMVVAKERGIAVFACVAFASLIAMAWLRKLV >CDP12371 pep chromosome:AUK_PRJEB4211_v1:4:18990671:18993240:-1 gene:GSCOC_T00035871001 transcript:CDP12371 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNVNDASTKIDRKTVERNRRIRMKGLIHELTSLVPPQHFVPSKELLSQRDQIDQVAAYIMQLRERVENLKKSKELVKSKVETKGTKSRNSAIPGSSIPIVKIREIGSNLEVVLVTGSTKNFALHEVILILEEQGVEVVSISISTMDDKICHILHAQVKVSRLGVDTSTIYDKLQKLFNYDTWFGRSKMKENGVINLKGNEN >CDO98038 pep chromosome:AUK_PRJEB4211_v1:4:2400818:2405361:1 gene:GSCOC_T00022011001 transcript:CDO98038 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGEMQFPAFPHKPYSIQIDFMKALYQSLQKGGIAMLESPTGTGKTLSIICSALQWLVDKRQQNLDPAKDGSDSNQNLKDEDPPGSDGEPDWMRKFTVNKESESPQDRKTKIKNFRSKKWNKKGKEECSTIRDIFNRTGVGGDEDVDEREVKASKLKSGIEEVEDHEFLVEDYESEGEDGVKLKKRGGGGYSVDSSSEEDGQEDGFDEKEQDSRPKIYFCSRTHSQLSQFIKELRKTKFASELKVVSLGSRKNFCINEEVLRLGNTTSINERCLELQKAKSKRASKMMNLSAGKRVRRMKASSGCPMLQSRKAEREFWSETSHQGPLDIEDLVKVGHKVGTCPYYGSRSMVPTADLVILPYQSLLSKTSRESLNLSLKNNIIIIDEAHNLADSLISMYDVKITSSQLERIHSHLEKYLERFQNLLGPGNQRYIQTLMVLTHAFLQSVAYPNGANYVDPLSSGGEVQSDLDFSIGINEFLFSLNIDNINLVKLLHYIEESNIIHKVSGFGDKLAMLQNDVELEGTTAEASTLSGFRALVSLLSSLTNNDGDGRIIISRARVISSVHERGYLKYVMLTGEKIFSEVASEAHAIILAGGTLQPIEEIKERLFPWLQPDQLHFFSCGHIIPPTNILPVAVSQGPSGQSFDFSYSGRSSSSMAKLGMLLCNLVAVVPEGIVVFFSSFDYEGKVYEAWKESGILARIMKKKHLFREPRKNTDVELVLKEYKETIDELSITNAKYDPAPCSGAVLLAVVGGKISEGINLSDGMGRCIVMVGLPYPSPSDMELMERVKYIEGLESSLISKTQARLDILKCCKHRGRQYYENICMKAVNQSIGAAIRHINDYAAILLVDARYASDPSRRSFSHVCDKLPQWIRSHLIPSPKNYGAVHRLLHQFFKFHRKETSNR >CDO98352 pep chromosome:AUK_PRJEB4211_v1:4:4805515:4808767:1 gene:GSCOC_T00022419001 transcript:CDO98352 gene_biotype:protein_coding transcript_biotype:protein_coding MWMMGYNDGGDSFGGRKLRSIVPRPAAVINPSCLGRIHGTDLLSFNHHLAGLTEQSKRDFGPQQVVVSSRWNPTPEQLQTLEELYRRGTRTPSAEEIQHITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQLESTSDEQDHNNNDGNVENTERKESAEANGTGIEVERTKNWASSTNCSTLAEKTVSIQRTAKAAIPERRAADGWVQFEEAELQQRKSLDERNATWQVMQFSCCSSSSSPPTNNNLINRTPCAATIPPTSLRNMDPKHIMNTREELNIFITPYTENFETHLVSASSNIVVREANGHDGQSQTLQLFPLRSNDDGDDQEVAEKQSDESAAEMNSFTSTPYKFFEFLPLKN >CDP16424 pep chromosome:AUK_PRJEB4211_v1:4:17426082:17431290:-1 gene:GSCOC_T00018301001 transcript:CDP16424 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSERFSVDFLVGGTAASVAKSAAAPIERVKLLLQNQGEMIKRGHLTRPYLGVGDCFKRIFKEEGLLSFWRGNQANVIRYFPTQASNFAFKGYFKSFFGCSKEKDGYFKWFAGNIASGSAAGATTSLLLYHLDYARTRLGTDASECPANGKRQFKGLLDVYRKTLLSDGIVGLYRGFGVSIIGITLYRGMYFGIYDTMKPIVLVGHFQDNFFASFFLGWGVTTVSGVCAYPFDTLRRRMMLTSGQSLKYRNGAHAFCEIVQHEGFTALFRGVTANMLLGVAGAGVLAGYDQLYQFLYRSGYRFEPQKA >CDP12301 pep chromosome:AUK_PRJEB4211_v1:4:26949057:26951870:-1 gene:GSCOC_T00035763001 transcript:CDP12301 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFASRFPAHHSRLPARFFSTAEAASLQNHHYHRPSPPSPLLNLGPKAAEKEAGKDLIKLIKSCPKKSHLPQIHTHLIHTSYLLNPTIFLHFLSRISLPGPLQDLSYGRRIFQHYPKPNVSLYGTMIRAHSLSHNNSAASLGFELYKEMLDLGFSSDGFCSSFAIKCCVKMESSLNGVQVHSRILRDGYKCDSFLSTTLMDFYSLSGKCDDACKVFDEMSHRDTAAWNVLISCYIRNKRTRDALSVFDIMEKSNWRRPDDVTCLLVLEACGKLNALEFGEKVHKYVKEGGFDSSMKISNSLIAMYSRCGCVEKAYEVFESLANKDVVTWSAMIAGLASNGHGEQAIRAFRKMQTVGVVPDDHTCTAVLSGCSHSGLVDQGRMFFDIMRKQLGIVPNLYHYGCMVDMMGRAGLLDEAYKLICSMEVRPDAAIWRTLLGACRNYKHSILGERVVEHLIELKAEEAGDYILLLNIYSSINDWEKVTEIRKLMKEKGIRTTPASCTIELKGKIHEFMADDVSHPRKKEIYDMLDEINKQLKIAGYVAETIAELHNTGAEEKQTRVSYHSEKLAIAFAILATPPGTKIRIAKDLRICLDCHNFAKMVSTVYNREVVIRDRNRFHHFREGHCSCNDYW >CDP15047 pep chromosome:AUK_PRJEB4211_v1:4:9666853:9673034:1 gene:GSCOC_T00042588001 transcript:CDP15047 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNPISRAWLVLLAAAFISSFVSAEPDGNKKQYIVYMGDRPQGDFSASSQHSSLLQAALGSTRASDSLVYSYKRSFNGFVAKLTEEEMERLADMEGVVSVFPNGKKQLHTSRSWDFLGFPENAERNTVESDIIVGMLDTGIWPESESFDDSGFGPPPSKWKGTCETSDNFTCNNKIIGAKHYYLDGDQPLDEGEFASPRDSAGHGSHTASIAAGRMVKGASLYGLRSGTARGGVPSARIAVYKICWKDHCSDANVLAAFDDAIADGVDIISISVGGKMSVDYFEDSISIAAFHAMKHGILTSVSAGNLGYGTGGYLTNYSPWSLTVAASTIDRKFVTNVRLGNGEVYEGVSINTFDLNGEYYPLVKGEDVLETGGDGNKTRYGMPGSLGGDAQYSGKIVFSELSNGWAFATDGGAVGSIMQWDPGNDVASTYPISAAVLAQDPGNKVLNYITSTSNATATIDKSIEVGDIPAPFIASFSSRGPNPITVDILKPDISAPGVDILAAWSEATTVTGYAGDKRVVKYNFDSGTSMACPHATGAAAFVKSYNPTLSPAAIKSALMTTATIISAERDVDAEFAYGSGQINPLKAIHPGLVYDIEEADFVSFLCGQDYNITTLQLITGDSSVSCSKEKNISVWDLNYPAFTLSTPSGLVTRVFHRTLTNVADVGSTYKATITSAPELNIHVSPAALSFESFGEKQSFTVTVTANVTKHVTSGSLVWDDGVHQVRSPVVATSFQSARDENFIGSLLSGESSYEDFHAPS >CDP16949 pep chromosome:AUK_PRJEB4211_v1:4:13654076:13656792:1 gene:GSCOC_T00005315001 transcript:CDP16949 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAHTLLQIIFIFGVFIAFSSTPVLSEPNVVFGSSDNHLLSNLTFHASRKFQQLTGIRSYLRRINKPASPDGDLIDCVSSHLQPAFDLPQLKGQKPQDPPERPNGYDSGDILAESFQLWTDSGESCPEGTVPIRRTTEKDVRRAGSLSKFGRKFFSAQLTKVSSDHENAFAFAGGDRYYGAKVNLNVWKANETDLYDFSVAQAWILSGIFSLPVDDTDIIEAGWEINPKLYGDEFPRFFTHWTNDLYLTRGCYNLLCSGFVQTSNRISLGAAISPRLSQGGRQLDFGIMIWMDIKHGNWWLEVGPGLVVGYWPSTLFTHLKSHVDMVQFGGMIVRTKSMGMNSSTLMGTDDLSDDEVSKASYFRNLQVVDYFNSLIPLSNFQLLTIDDISCYDIKAGRNDAWGNYFHYGGPGGNPQCHGEKNSTSA >CDO98505 pep chromosome:AUK_PRJEB4211_v1:4:6200107:6203907:1 gene:GSCOC_T00022624001 transcript:CDO98505 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIS12 [Source:Projected from Arabidopsis thaliana (AT5G35520) UniProtKB/TrEMBL;Acc:A0A178UCY0] MEGSESEAIFESLNLNPQLFINEVLNCVDDLVDEAFTFFNQQASAVLKTDGTDRSDDLTKGVASIRNIIQSSLDKRLSMWEKYCIRHCFVVPEGFSLPKANGSSNDTSMDLDTVDDSELDKQLDSLRDKLILVGKESAQLNSEIQSLERQSDLINSSAASIHEALQLYEKHSMDDMLKELIKYSSEFHAKVDKLRSSRFEDIEHGRAERIHMLNGDISKVNRRFGLFNAKIEELQELLDDMNTG >CDO98224 pep chromosome:AUK_PRJEB4211_v1:4:3753157:3759198:-1 gene:GSCOC_T00022247001 transcript:CDO98224 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTAGQGQFMTSSENPRLANEPLIDNIEYDQIVVPDKKSWRNFFAYLGPGFLVSIAYIDPGNFQTDLQSGAQYKYGLLWIILLASIAALIIQSLAANLGVVTGKHLAEHCRKEYPKVANIILWILAEIAIVACDIPEVIGTAFALNMLFKIPIWCGVLITGLSTLVLLLLQQYGVRKLEILITLLVLIMAACFFVELGYAKPKSSEVLYGLFVPQLKGSGATKLAISLLGAMVMPHNLFLHSALVLSRKIPRSVSGIKEACRFYLLESGFALMVAFLINVSVISVSGAVCNSPDLNPEDEQNCQDLDLNKASFLLKHVLGSWSSKVFAIALLASGQSSTITGTYAGQYVMQGFLDLQMKPWIRNFLTRCLAIVPSLIVALIGGSAGAGNLIIIASMILSFELPFALIPLLKFTSSKTKMGMHANSIWISAATWIIGSLIMGINIYYLAEKLVTTLTHSHLRVVGIVFCGILGFSAVLVYLAGILYLVVRKTKKVDILLPESLQMANESGNASVLPREDIVNMQLPQTRTTSDDD >CDO98536 pep chromosome:AUK_PRJEB4211_v1:4:6520796:6524409:1 gene:GSCOC_T00022668001 transcript:CDO98536 gene_biotype:protein_coding transcript_biotype:protein_coding MATDCQEDSDSENPTKRTKTQSPTPENSSPPSLFSSKGKTKLQLETNCCGICLSEAARDDNSVSRGYIDSCDHYFCFVCIMEWAKVESKCPLCKRRFSTIRRPAKPPILPADRLVHVPVRDQVYYYGGNATVGPLDPYSEVKCSVCQSSVDDSLLLLCDLCDSAAHTYCVGLGATVPEGDWFCHDCTLLRDERNKSEIDSVNNNNDGNPPSYEHVSIFDVVREPKTRCVGRSSESCLSVDQVRDLETTLGDNVNVLDSRRQTDIMQGAVQLTGRTLSRCRNVHNRIQALRDNWNSFRSGSLSFSSGKRNYRCSADRKPEIGVDSVQPSSTSSLSLKSRLDDSNPGASQNKDSPEIDRAWKMLDMAKSIEKRKSTSCQSSKFTRYKYHSSDSKQRSSENLGGFKKEQRQDCHSVWKDSNKHKPLMVERQNCHDQGFGNDGIGFAIAPLPLYYQLITSQDVRCPVQHDVPRGNGKKPYEKISLGSTFTVSDSCSRPICTGSPVEPAPVSSNLVCPSVELNFPSSCKKEPWVQKGERQKFPADSKAKENYDAKSEIQSLVKLNLKLLTKDKNLEANVFKEVARLATHTILGACGLEQPKHGIHPSQSSVCSHEKGNQHFRKSTLMPNSCRECFYVFVKDVVSAIMYERIRHVNT >CDO98163 pep chromosome:AUK_PRJEB4211_v1:4:3331848:3335389:1 gene:GSCOC_T00022169001 transcript:CDO98163 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQVKAVSSADSFDYELCEGDPDHLTTVATTPARPSPYIDPASLKLKHRIGHGFFGDIWLATHHRSADDYDEYHEVAVKMLHPIQEDHIRSFLSKFDDLWIRLVSHQQDGLCWLHGVSVISGKICVVMKSYEGSVGDLLARLKGGKLPLSDMLRSGIGLIKGIQELHLLGILVLNLKPTNFLLNEQKKIVLGDIGIPYLLLGIPLADSDLAFRLGTPNYMAPEQWEPEIRGPITYETDSWGLGCSIVEMLTGVPVWFGRSNNEIYRSVVINQEKPQLPSGLPPELENILYGCFEYDPRNRPLVQDILKVFERSLNVATIEGEWSVPQSTLLLDKSTCKSYASWSLSKDHLQVGDIVRSRKAVNSCSTQTMAVTEGTVVGLEKDTDQDGYVLVRIPSLPNPLRLNVSTLERVTSGFATGEWVRLIKENEEHSSVGILHFIQRDGNVAVGFLGLQTLWKGHPSDLQMAEPYFVGQFVRLKPSIVNPRFEWLRKGGGMWATGRISQILPNGCLIVKFPAILVIGGECKSFLADPAEVELVSFDTCPGVVEKYQHAEDFHWAVRPLAIAVGLYTTVKVGVFVGRNVGAKFKSKGHTNQSHNEGRGQVGHGGMITWNEYS >CDP12366 pep chromosome:AUK_PRJEB4211_v1:4:28157592:28158993:-1 gene:GSCOC_T00035861001 transcript:CDP12366 gene_biotype:protein_coding transcript_biotype:protein_coding LSRLSDDRTNKAKLWHSMYWGLDLRIQVNAIQSTIGVCPQDDLLWDTLTGREKHLNFYERLKNLRGANLSQVIQILVQIKTDYFDLSGAKVEYYFCLSVSLFVVLHYPYLLFFFLFFPSLLSKQQNRVEIKHVTTYVFALISAHSMEEAEHLCDPIGILFDGSFQCLGSPDEVVLMLLLVFLRILTSKVPNSKAIISIHSLSPNAKQT >CDO98490 pep chromosome:AUK_PRJEB4211_v1:4:6118055:6121102:-1 gene:GSCOC_T00022605001 transcript:CDO98490 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGRTKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCSKEEKRTIAPEHVLKALEVLGFGDYIEEVHAAYEQHRNETMDTVRAGKWSNGAEMTEEEALAEQQRMFAEARARMNGGAIVPPTVPKQPDSEPEPSLNS >CDO98525 pep chromosome:AUK_PRJEB4211_v1:4:6473425:6474264:-1 gene:GSCOC_T00022654001 transcript:CDO98525 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVRENNSGWSLLGTTTLATGGRWSLSGATALVTGGTHGIGRAIVVELAQLGATVHTCARKEADLNERLQEWSSLGFKVSGSVCDASSRDQRIRLIEKVSSIFNGKLNILVNNVGTCKGKPAEEFTSEEYDMMMSTNLESCFHFSQLAYPLLKASGIGNIVFISSVTGLVSIQGLSVQAATKGAINQLTKNLACEWAKDNIRVNSVAPGVIRTQLSQAVLNSDEKWKKFKSRIPMNRVGGPEEISSLVAFLCLPAASYITGQVVAVDGGLTVNGVQWD >CDO97784 pep chromosome:AUK_PRJEB4211_v1:4:474935:476346:-1 gene:GSCOC_T00021687001 transcript:CDO97784 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSPVFPSNEAGNYFGHEFDPHAEFALFLGEARKRTCDEGTFKFKVAPPDTEETRKTESNGEKRNKKSWKSSLFSWLKSDKKSDNLVEPLHGSAAPKLGRGIVSGPLRGSAGGLVPAKPRKPVSGPLMSLFNPADKVDGIPYMCLSHLTNPHEAQSYGPVYLVT >CDO98285 pep chromosome:AUK_PRJEB4211_v1:4:4223427:4227565:-1 gene:GSCOC_T00022329001 transcript:CDO98285 gene_biotype:protein_coding transcript_biotype:protein_coding MERMKRKPNLRYLLLMLVVGCFFSELKASSNSEFYESFEEAIEGRWVVSQKEDYKGVWKREKSEGHDDYGLLVSEKAKKYAIVKELDEPADLKDGTVVLQYEVRLQEGLECGGAYLKYLRPQDAGWTSKEFDNESPYSIMFGPDKCGATNKVHFILKHKNPKTGEFIEHHLKFPPSVPSDKLTHIYTAILKPDNELRILIDGEEKKKADFLSAEDFEPPLIPSKTIPDPDDKKPEDWDERAKIPDPDATKPDDWDEDAPLEIVDEDAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIDNPKCAEGPGCGEWKRPMKRNPAYKGKWHAPMIDNPNYKGIWKPQEIPNPSYFELDRPDFEPIAAIGIEIWTMQDGILFDNILIASDEKVAESYRTTTWKPKFEVEKEKQKAEEAAADTGALKGFQKTVFDLLYKVADLPFLGEHKLKVLDLLEKAEKQPNLTIGVIISIIVVIFTLLLKIIFGGKKPARAREEPEKTDAPESSSNQETTEETTEEKEEPNEDAGAAPRRRIRRDN >CDP15018 pep chromosome:AUK_PRJEB4211_v1:4:9290956:9292929:1 gene:GSCOC_T00042548001 transcript:CDP15018 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNLGHGENLEQKTGDPETAETISGLARQNPESILDPVESGCHQEAESAPQERQVSPEKQYRAALLRSRFADTIIKAQEKALEKGEKLDPEKLRREREDLERRRKEEKARLQAEAKAAEDARRKAEEEAAAEVRRKRELEREAARQALQQMEKTVDINENSQFMEDLEMFRSAPDEQLVNFIEETSPEHSQTGLGSFKFQGSSNPLEQLGLYMKKDDEEEEETDVHSVPDASDDPEEGEID >CDP14875 pep chromosome:AUK_PRJEB4211_v1:4:25446542:25448941:-1 gene:GSCOC_T00042350001 transcript:CDP14875 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSTTDDSTDLKLDETSLEVSHEIKYKGVKVMPFIIGNEMFDKLGGFGIGANLLVYLTTVFNMKPITATNLLNIFSGTGHLGTLVGAFLSDTYFGRYKTLAFASICYFLGMLVLTLTALIPNLHPPSCQKGSSKCAEATSGQLTILLSGFGLLIIGAGGVRPCNIPFGADQFNPNTESGRKGINSFFSWYYFTTTVAVMVSFTVLVYVQNSVNWALGFAIPTFLMFISCLFFFVGTRMYVMITPQGSPLTSMAQVMVAAIKKRHMHLPDHPWDSLFAYISSNSINSKLPYTDQFRFLTKAAIITPEDRMNLDGSASNPWRLCSIQQVEELKCVVRIIPIWFAGAMYFVALKQIHTYVVFQAIQSDRRIGIGNVKIPPASFIIFSLLGFSICTAIYDAVMVPLLRRITNREEGITVLKKIGVGMVIAPIALILSGVVEYKRRTMALSMPFVGTAPSEGGISPMNARWLIPQLALIGVSESFAIIGQVAFFYKEFPESMRSFGGSFLSCGAAMTDYMGSSLISVINRVTRDASGRSWLDQDLNKGRLDYFYYLVAALGIINLGYFLVCAKWYKYIGTQGKDIPVAAPMDEINSKHHPV >CDO98325 pep chromosome:AUK_PRJEB4211_v1:4:4640571:4644558:1 gene:GSCOC_T00022386001 transcript:CDO98325 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLKTATVKTEVPEETTDSAPLRGSTMQWSFSNKASANPQFFSFEGAGAGAKDDKPKTGFEALATTGLVTITTTEAVDSCQKPYSSVVQKNMMILDKQGGTHYTATTYPSKPFDALSVHRPLEARGIPLTTQTKQTVSVTMPAPVHQYFTSPAGQNLIGSTTPQPLPAPSSIPVVNSVSPLVGTTELRSTSKTSGAPAQLTIFYAGSVCVYDDISPEKAQAIMLLAGNAPPVPSPPVPTVAAVPAVPISPVQAPMVRPSIVESFAVNQSNNAKPCISSPISITCHTPGRSVIPNDATAMRTIGTLATPSKGEPSKNASPLGSAPASLIASAAVPQFRKASLARFLEKRKERTLSASPYANKPSEECGSPASGGRSISVNSSGSCPLPAIN >CDP14827 pep chromosome:AUK_PRJEB4211_v1:4:24728984:24736955:1 gene:GSCOC_T00042292001 transcript:CDP14827 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGTPLLLIPASEFRAVKTSQIPQSNDLPDKSCRIKGSCPATILITGNNREIGESVAGNMFPNSSCGLNNTADCVFVIFSLFCSFGYLCLPGKTDKTSEKKYYYLQSQCKANFTISVHTQDGTEEAECLQGLLAWRKNYIEINDELYNGQYKNGEINEIVAAYDFRDSDMKHFDVHLWYNTTPTSSEKPPNEVPVGSTLNMVWNAYLQSVLGPSVRMIFEFLGEMPRASTYVTYDFASSIGHVFFTWVILQLFPVILTSLVYEKQQKLATMMKMHGLGSASYWLITYLYFLVIFSLYMVCFVVFGTLAGLTIFTLNSYSIQSVFYFIHINSLISSAFLLSTVFSSAKIFGFVLVSGSWLVGGFFFKRLIADVSFPTTRLKFHIPLLFVYRFLLSCSNPMWLIVLEIFPALSLYRGLDELFNFSEAAFEMGAYGMRWQSLRDENSGMREVLTIMSVEWLVFLFMTYCTLGGCFCRCPLSIFRSSQERPPSFQRPRLQVQESGVLVQVENQDIDQEILKVEHLLNEPGTRYPIISYRLQKTYPARDGNPEKQAVKGLSLAVARGECFGLLGPNGAGKTSFISMMTGLTKPSSGTAYVGGLKLKTQMGEIHSSMGVCPQENLLWDTLTGREHLNFYGRLKNLKGANLSRAVDDALRNVNLLQGGDADKRVGDYSGGMKRRLSIAISLIGDPKVVYLDEPGTGLDPASRKMLWDAVTKAKEDKAIILTTHSMEEAEHLCDRIGIFVDGAIQCLGSPDELKDRYGGTYVFTMATAPQNAKDVEDLVKRLSPNVQKTYHISGTQKFEFPKRDVSLSDVFLAVKFAKERFGVEAWDIADTTLEDVFVKVATESESS >CDP12325 pep chromosome:AUK_PRJEB4211_v1:4:27324972:27330869:1 gene:GSCOC_T00035796001 transcript:CDP12325 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKGKKQKIAEKGDDENNNNNNSDPIDGELVLSIEKLQEIQDDLEKINEEASDKVLEIEQEFNEKRKPIYDKRTEIVKSIPDFWLTAFMSHPALGELLTEEDQKIFKHLSSIEVEDSKDVKSGYSITFNFSPNPYFEDKKLTKTFTFLDEGTTKVTATSIKWKEGMGLPNGVANEKKGNKRVHADESFFSWFSGNEQKDDELDDIHDEIAEIIKDDLWPNPLTYFHTDADEEDFDDEEGDDEEKGSDDTEDDDDEPDDDEEDENGDED >CDO98320 pep chromosome:AUK_PRJEB4211_v1:4:4582331:4586864:1 gene:GSCOC_T00022378001 transcript:CDO98320 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALRFMVVFSRDGGIFQGLNFGSDALFSFLMANLDGNDEPAWLERVRSEGAVPLCDPDNCSNGWASPLGDSFKVRGPDYFTTRVKVPAGEYLLKPLGFDWVKGPTKLSELLNNPNHRVRKVLQEEFPAGGEPFFWAFNLQVPSKENFSAVAYFVSMSSFPEGSLVDQFLKGDNNFRISRLKMIANIVKGPWIVKKAVGEQAICIIGRALSCKYSQGDNFIEVDIDIASSMVANAIVHLAFGYITTLTVDLAFLIESQTESELPERILGAFRFSELNPASARPIEMLSYGSLGMQSSLSMRLWKSIGSFILPGTQENSSGSGSGSLHTNGIIDDQTKEDKESSSDSGSSHVNEKSKEETSKCTQENSSDSGSSHAKGIIDDETKEDTESSSDSGSSRVNEKSKADTIKCKAKPISSLLLIYACTSPLEPYLLVLVLAT >CDP18019 pep chromosome:AUK_PRJEB4211_v1:4:10659506:10662784:-1 gene:GSCOC_T00008919001 transcript:CDP18019 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRTWMQYGPVRSGTIAVRFFVGLHKNQVVNEELWNEAKTYGDIQLMPFVDYYSLITWKTLAICVFGTQVVSAKFVMKTDDDAFVRVDEILASLNRINVSRGLLYGLINSDSHPHRSPDSKWYISPEVTVTSRPISRRVKYLDIEWPDDSYPPWAHGPGYVVSNDIAQAIYKRYRKGQLKMFKLEDVAMGIWISDMKKQGLEVKYETDERIFNVGCRDGYVIAHYQGPREMLCLWQKLREAKRANCCGD >CDO98099 pep chromosome:AUK_PRJEB4211_v1:4:2823359:2827219:-1 gene:GSCOC_T00022087001 transcript:CDO98099 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVFQTKGLLSLPSNPKTRALLNPPQQGLRHRFSPFNPLKNKPFSGLSVNLNGFQKFQGFATKPNLITPKNRTFHVCRAEAAAAATGADGQPIFGQPEPPKFLGIELNTLKKILPLGMMFFCILFNYTILRDTKDVLVVTAQGSSAEIIPFLKTWVNLPMAIGFMLLYTKMANVLSKEALFYTTILPFIAFFGAFGFVLYPLSNFFHPTALADKLLNILGPRFLGPIAIMRIWSFCLFYVMAELWGSVVISVLFWGFANQITTIEEAKRFYPLFGLGANIALVFSGRTVKYFSNLRKNLGPGVDGWAISLKGMMSIVVLMGAAICFFYWWVNRNVPLPTRSKKKKEKPKMGTMESLKFLVSSKYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQWIFDKYGWGVAATITPTVLLLTGVGFFSLILFGDPFGPSLAKFGLTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEETKIKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLIIVGAWLAAARSLDGQFTALRHEEELEKEMERASVKIPVMTQNETGNGSLASGAALNPAEGGPASTSEPSSPRSV >CDP20730 pep chromosome:AUK_PRJEB4211_v1:4:8254519:8256003:-1 gene:GSCOC_T00008123001 transcript:CDP20730 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPSPILSFFLVLALLLSFTSVSNSIRDHQHNSYQIFDVSAVIQKTHQVFTQTPQAQQEEEARPTITATAQHNSSVLSFSLHPRASVKKPQHKDYSSLTRARIARDSAQVNSLNSKLEFALSGYTQADLKPVPTAVQPEDLETPLTSGVSQGSGEYFARMGVGRPGRSYYMVIDTGSDLSWLQCQPCADCYQQTDPMFDPSSSSTYRTVSCSAQECSQLQISGCSDGKCIYQINYGDGSYTMGDLATDTVSFGNSGSVTDVPIGCGHDNVGLFVGAAGLVGLARAPLSLPGRLRATSFSYCLVNRDSSSSSTLDFNSAPPGDSVFASMVRNPKLDLFYYLDMVGINVGGETLSIPASVFQVDGNGRGGVIVDSGTAVTRLNTQAYNALRDAFKKYASDLPSSSGFSLFDTCYDFSSVGGSVRVPTVSFLFASGKRLLLKPSNYLVPVDGRGKFCLAFAPTSSLSIIGNVQQQGTRVSFDLANRQFGFSPNKC >CDP12721 pep chromosome:AUK_PRJEB4211_v1:4:12042717:12044094:1 gene:GSCOC_T00037338001 transcript:CDP12721 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQQQQEQRVHDGENEHDLEIIKAVAQAWYANSSSSKSSPNEFDAHRRNFKGKPSRFKLEAARKASSGDGYGSSSSTSSNWDFGQSLWDSYEIVTIARRLERGLVLDHTFSEKDDAGHVFKKRKESKNSLRNLLNRISSRRFNEPLAPQEEGNLSLDKPG >CDO98547 pep chromosome:AUK_PRJEB4211_v1:4:6627447:6630926:1 gene:GSCOC_T00022683001 transcript:CDO98547 gene_biotype:protein_coding transcript_biotype:protein_coding description:MPB2C [Source:Projected from Arabidopsis thaliana (AT5G08120) UniProtKB/TrEMBL;Acc:A0A178UL06] MFEPQHLVDLQDNRSATAAAGTAEPNSWLSGDVRLHHTASSSPSSLHRTLSALSNASAAGSYDPVLFNDLVEMVPLVQSLIDQKVNPSFTRRGSMIYTKTPSRESLARKTAEVKARNGVQSIPMKRNKDHRNNQDRIADNSSMLSRLSPTEKDMEELLVLREQVLDLQRKLSEKDELLKSAEISKTEIQAKLNELKTEAAEKEFLLNSTQVQLADTKIKLADKQAAVEKLQWEAMTSNQRVEQLQKDLKGVQGEISSFMLVFEGLARNVSTLSAKDYDAVSCASDHNPDLDDLDEIQMQKLEAAREAYATAVAAAKVKQDDESIAAAASARMHLQSFVMRSKSSSGGKGNPNDGVSRIIAETVSIS >CDO98353 pep chromosome:AUK_PRJEB4211_v1:4:4821751:4823565:1 gene:GSCOC_T00022420001 transcript:CDO98353 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMLRNLLALLLLSLHLLDHSVEVSATTMTFYNKCSHPVWPGIQPSAGKPILARGGFKLPPNRSYSLRLPAGWSGRFWGRHGCSFDASGRGRCATGDCGGSLFCNGLGGTPPATLAEITLGNEQDFYDVSLVDGYNLAISITPFRGSGKCSYAGCVSDLNMMCPVGLQVRSHDKRRVVACKSACFAFNSPRYCCTGSFGNPQSCKPTAYSKIFKAACPRAYSYAYDDPTSIATCTGGNYLVTFCPHHK >CDP20729 pep chromosome:AUK_PRJEB4211_v1:4:8244992:8246443:-1 gene:GSCOC_T00008121001 transcript:CDP20729 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDVHINPCSSPKLLITKTTNMAREAQRPFPSVNIFIILSFFSLTLSPFSPQVVSASVFKRLQLFSIEKTQPSSTSSVLSLSLHPHSSIIKPPYDNYSDLVLSRLASDRARAKVINSNIERAVSSFNHPHAVKSDVQVQPEDLEAPLTHSEGGYLARIGVGQPVKEFHLIADTGSQITWLQCLPCDACFNQSDPFFDPSGSSSYSPLSCASQECTSLGTNQNCKADPCTYEASYGDNSSTTGEFTTETVSFRGSGSVDKVAIGCGHANQGGFGGAAGILGLGGTPVGFPSQIRATSFSYCLVDMDSNSSSSLEFNSAPPGDSVFVPLIINPIIEVYYYVELTGVTINGEKVSIPPSDYQIDQDGGGGIIIDSGTTITEFPTQVYNSFRDTFVKYARALPPTSGSDDGLVKFDTCYDLSSEPKDGYPTMSFEFHGGKTLSLRPANYLVRVDTRGKHCLAFTGTTERVSIIGNIQQQGMRVTYQ >CDP14835 pep chromosome:AUK_PRJEB4211_v1:4:24868830:24873686:1 gene:GSCOC_T00042301001 transcript:CDP14835 gene_biotype:protein_coding transcript_biotype:protein_coding MHCPGALHLFETNAKKIRYGICGGANPPKPRVSSNMDHLLAFLTQMRLQTASDAIRCKTFPMFLKRKTRQWFQGLPPRSIRSFSQLARLFPVLFYLLVAMFGFTFRIHSLVLEKEPKLRQTMSIMGLYDSAYWTSWFIWEGFMAFLTSLLIVVFGTMFRDDIFVKNNIFLVFLLFFLFMISMVSFAFMISTLLSKSSSATTVGFFILAFGIVTVAMKNSNYRILCSFFPPNPFARGFTVLEEATGEVLFHYLLFLILILCILAFSDQITLFIIQAYFYLWLVSLFFFWSLVAIYFDNIHPNSAGLRKSRLYFLKPNYWTGRGDSNLTGKTDIRHLASRSPTQPNHFTPDDEDVREEDASVKKATIEGTVDLDVAVQLRGLTKSYSVALKISCHCWRKEDFGPDYCTLDLWMNFPKNQLFCLLGPNRAGKSILISCLTGISLVTHRDGKDFAFCFLLATLIYGNSIRNSKGMSTIRTLIGVCTQVTF >CDO98158 pep chromosome:AUK_PRJEB4211_v1:4:3289503:3292938:1 gene:GSCOC_T00022163001 transcript:CDO98158 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAAGSAATSSASLFASSQQIVSATRSASSSNTSVSVASTYVSNCMGASLRRCFPVKRKMAKISRIVTAAASVAASPVEEVTDYKLPTWAEFDLGRAPIYWKTMNGLPPTAGEKLKVFYNPAANKLVPNEEFGIAFNGGFNQPIMCGGEPRAMLQKVRGKVDPPIYTIQICIPKHALSLIFSFTNGTEWDGPYRLQIQVPRPWRNRPIEFFTEGLAQELSRDGACEKAIFPDTNVVATRCAMIGNLSVEGGDRCNLDFVVGCTDPSSPLFNPLANVDDGSCPLDSDSED >CDO97920 pep chromosome:AUK_PRJEB4211_v1:4:1553889:1557283:1 gene:GSCOC_T00021866001 transcript:CDO97920 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGPKLYTNKPKKAQSKQHKHQQHQPSSSMASSTPPGSAAADPPLSKESFIRRNKFIWPLLLAVNFTVGAYLFMRTKKKDGVEEAEVPNVAPASVATTATTTTVTEKPLATSPVIEPPKPREPIPENEQLELYKWMLEEKRKSKPKDPEEKKRIDEEKALLKQFIRAKSIPSL >CDP12774 pep chromosome:AUK_PRJEB4211_v1:4:12824883:12828644:1 gene:GSCOC_T00037420001 transcript:CDP12774 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSYSSCFEFALDYLRCLHDTPIECSYLIPKLKEGVRLLQSFDLYLTTCSRRRNHETCLEQDEEEKDVTSSRIQDLIIRRMQDLEFACSGYLIHSRSPDLTRIASELTMFREAIKLFFETDINESCINYLLDCYWLRDPELVIDFIDSVSEILVEIDISHFNFKRLVEKLMFLKSFIRFAMLRGVEGQQLIGLLIHTEVVSIDALRLAYIWWSDDSRYNDPEAKLQISRLISEKINPSEPQVLETYIHALTASKLSDTSALEKSKQTVADFMDYLVQNTTELLQPCTSTSVPIMNQLLKIVEGLRFLTILLRHQEKFKELCHEMKNLIGIVACDAAIVIFSRFVNQIEEGLAKETDLAIFHLLKVLKLMRAEFTQVYPLTSVSGFDFPRICELGSMDFLLRNLQELSRSDEINGSNALPVDKIQTIQEDFEFLRSFLGKIKEQRNQNKKLQAFWSHVMEIAYKAELVIDWTLVGDRCEYFLDDVARDINVMKIEAQEIYDSISYVGETIKGVTKTFTRVPSQVTVTAYNEELVPLDDEVKTITDGLTREGSRQLDVVPIVGMPGLGKTTLASIVYNSPSVMLHFNIRAWCTVSQSYSMHNMLVQILGSIESGKFEQYQKMDEHDLAVKLKQVLLRNKYLLVLDDLWDAKAWNLLERSLPDDANGSRILITSRLQNLSLQFKPDSKVHHLRRLTDEESWNLLQKKLFGKEGCPPRLSGVASQIAKSCRGLPLTVVLVAGILANTAEDCWKEVTKSLTSSIVLDDEYCMKTLELSYTHLPDDLKPCILYFGAFQEDKNVPVRRLFWLWISEGFVQKTEGMRLEDVADDYLKDLVDRSLVMVSEQRIKGGAKACRVHDLVHEFCVKKAQEENFLHILHSQNDCFILTSPSNPFRVCDRSARNLMIRESMLEFPNARSLLSFKEDDLGFWLPKLLRVLDLGKLVFVEYFPMEVFLLAHLRYLALRLYLIDSIPAAIADLSRLQTFLVRGEYVSGFLLPKTIWNMKTLRHLWTTNPEVGFIFPVENLEVSSGLDRLDSLSLAIDPSSQSLQKLLTKLPNIRRLRCKKTASREEPTRIGDGILVFDCLNQLESLTLRYFDRYRFKFPLNLKKLTLRITKQPWSEISTIGKLPKLEVLKLCRNSFVGEEWEMKEEEFPKLRVLKLSRLWGFRSWTASSDNFPRLEKLIVHNCWMLEEVPSCLGECPTLEMIEVRGCFESVVNSVKQIQLEQIDMGNEILKILIEDCIDTWSSSEDEEGW >CDP12335 pep chromosome:AUK_PRJEB4211_v1:4:27499174:27505428:1 gene:GSCOC_T00035811001 transcript:CDP12335 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARKLWANSNLAALIIWFISALTFWILFQMLLRSSAPPSTGSSISYEERRTRLYDKLSRELDEKGAAFLKYGETSQSLSLSDLFTIKDGAVTPVLKAANPPVRANVLYMSPQYSVPIAEAVKSILSPYFEKAIWFQNSSLYHFSMFHASHHISGVPATEAEIEAEVNAIKAVAEGLCPLRISLDRVVLTSTGVLLGCWQVNSGVDPVVLRDRLRTALPHAPQKQLYDAAILHTTLARLLGQPKLPKENRITGLQFFHDLVNKLNSQLHGIQAQVSELWYVEEYDVLALALNGRMKVHKLNLGCSKA >CDO98407 pep chromosome:AUK_PRJEB4211_v1:4:5227603:5232455:1 gene:GSCOC_T00022489001 transcript:CDO98407 gene_biotype:protein_coding transcript_biotype:protein_coding METVQKGKGTTFGFKNLMATFTVNVHRAEGRRLNVPLIAPFTIATSRLDKVENVAIRIELSNGCVGWGEAPILPFVTAEDQPTALAKAEEACEFLRQSSGKSMCFILGEIGQILPGHQFASVRAGIEMALIDAAATSTGIPLWRLFGGASNTITTDITIPIVSAAEASELASKYRTRGFKTLKLKVGKNQCGDIEVLQAIRKAHPDCLFILDANEGYTSKEAIEVLEKLHEMDVTPILFEQPVHRDDWEGLGQVTAIATDKYGVSVAADESCRSLADVQRIVKEKLADVVNIKLAKVGVRGALEIIEFARASGLDLMIGGMVETRLGMGFASHLAAGFGCFKFIDLDTPLLLAEDPVLEGYEVSGPNYKFSNARGHGGYLHWKDVAW >CDO98178 pep chromosome:AUK_PRJEB4211_v1:4:3450817:3453594:-1 gene:GSCOC_T00022189001 transcript:CDO98178 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEEVFIGSIDQGTTSTRFIIYDRSAKPIASHQLEFTQFYPQAGWVEHDPNEILESVRVCISKAIDKATAEGHNVDGGLKAIGLTNQRETTVVWSKSTGRPLYNAVVWMDIRTSPICRKLEQELPGGRTHFVDTCGLPISTYFSALKLLWLLENVDAVKEAVKSGDALFGTIDTWLIWNLTGGVGNGLHVTDISNASRTMLMNLKTLDWDKPTLDALGIPSGILPKIISNSEIIGNITEGWPITGIPIAGCLGDQHAAMLGQACRKGEAKSTYGTGAFILLNTGEETIKSNHGLLSTLAYKLGPKAPTNYALEGSIAIAGAAVQWLRDSLGIISSASEIEELASKVDSTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTNKSHIARAVLESMCFQVKDVLDSMHKDAGDTGETKNEKGEFLLRVDGGATVNNLLMQIQADLLGNPVVRPADIETTALGAAYAAGLAVGIWTEDEIFSAGEKMKKATNFQPVLEEGLRKKKVESWCKAVSRTFDLADLSL >CDP14851 pep chromosome:AUK_PRJEB4211_v1:4:25134245:25135823:1 gene:GSCOC_T00042320001 transcript:CDP14851 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLGAGGRGAAADRRSPHDVIFEEIVPSSGWTEDKDRHCLIIDLPGFKMDEVKLRVDNYGHLLVSGERQVNGIKHIRFQQSYRVPDNSDIQEATAKFEDEILYMIIPKTATAENESNREKVTVPQTDHIQEESQQKNDLDRDHQVIDDDQDKIDNHQRTEKGSSEDPKKEEDCDGEPEEEFHDARKESVWNESSLLETLRLQLKKNTGIVVTAVLAFSLGVFVCQKFQTNPEN >CDP14859 pep chromosome:AUK_PRJEB4211_v1:4:25166915:25170666:1 gene:GSCOC_T00042328001 transcript:CDP14859 gene_biotype:protein_coding transcript_biotype:protein_coding MILRREGKWINTDQHIGNVSGIEIGAKFQFRAELAVVGLHHQLFRGISYARFGSKTYAISIVNSGRYENVTKSSDVFIYTGEGGNPNVTNKKPRDQKMVMGNLALKNNMVGNFPVRVIHCRKTLKAFDTLGINNGKGLAYVYDGLYTVVGCWEVRDQLGKLAFKFEMKRLVE >CDO98108 pep chromosome:AUK_PRJEB4211_v1:4:2950471:2952127:1 gene:GSCOC_T00022104001 transcript:CDO98108 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVNVVLVLLCAILPVALSFIDGLVPNGDFEEGPKPWQMHGTEVVDPHSVPHWELSGFVEYIKSGQKQGDMILPVPKGHFALRLGNEASIKTKVQVAKGLFYSLSFGAARTCAQQEQLNLSVSPNSEPKDWGMLPMQTMYSTEGWDSFSWGFLAESNEVEVIFHHPQTQEDRACGPIIDLVALKSFTLPKKSRDILQNGNFEEGPYVLPNTSWGILIPPNVEDDHSPLVGWMVESLKAVRYIDSDHFAVPEGKRAVELVAGRESSVAQVVKTVPGKDYDLEFYVGDARNMCEGSMLVEVNASNSTFYVPYESKGKGGSKLALLRFKAETGRTRIRFLSSYYHMKSDFSGSLCGPVVDGVRLVRVPHA >CDP18089 pep chromosome:AUK_PRJEB4211_v1:4:14161281:14161640:1 gene:GSCOC_T00008259001 transcript:CDP18089 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNKLVKLIEILNPQNKPGRITIITKIGAENMRVKLPHLIRAVRRAGQIVTWISDPMHGNTIKAPCGLNTRPFDAIRVEVRAFFDVHKQEGSHPGGVHLEMTGQNVTEYIGGSRTVIL >CDO98206 pep chromosome:AUK_PRJEB4211_v1:4:3645233:3651195:-1 gene:GSCOC_T00022226001 transcript:CDO98206 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRSISLEEIRKENVDLESIPVQEVFEQLNCSREGLTTQEAQQRLQIFGPNKLEEKKAIFICSESKILKFLGFMWNPLSWVMEAAAVIAIALANGQGKPPDWQDFLGIIILLVINSTISFVEENNAGNAASALMAGLAPKTKVLRDGRWMEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPADEVFSGSTCKQGEIEAVVIATGINTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIMVEVVVMFPIQKRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFTKDVDRDTVILLGARASRVENQDAIDACIVGMLADPREARADITEVHFLPFNPVDKRTAITYFDSRGDWYRVSKGAPEQIADLCGLREDMKRKLHSIIDKFADRGLRSLATVPEKTKEGQGGPWVFVGLLPLFDPPRHDSGETIKRALHLGVNVKMITGDQLAIAKETGRRLGMGINMYPSSSLLGQHKDETISNLPVEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDKVRPSPMPDSWKLREIFATGIVLGTYLAVMTVIFFWAAQGSNFFSDKFGVRSIRDKHHELNSALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVGAFVVAQLIATLIAVYANWEFAYIHGIGWGWAGVIWLYSVIFYIPLDVMKFFIRYCLTGRAWNNLLQNKTAFTTKKDYGRGEREAQWALAQRTLHGLHPPENSDILNDKNNYRELSEIAEQAKKRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >CDO98312 pep chromosome:AUK_PRJEB4211_v1:4:4514393:4517777:-1 gene:GSCOC_T00022367001 transcript:CDO98312 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLLLLCCFLVVESSPMPHPDMITQLPGQPQVGFQQFSGYVTVDDKKGKALFYYFVEAEIDCASKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGQGLVRNEHSWNREANVLYLETPVGVGFSFADDSSSYDAVSDAITARDNLVFLQRWFLQFPQYRDRNLFITGESYAGHYVPQLAELMIQFNKKEKLFNLKGIALGNPVLEYATDLNSRAEYFWSHGLISDSTYKLFTSFCNYSRYVSEYYRDAVSPICSKVMSQVSRETSRFVDKYDVTLDVCISSVLSQSRIISPQQNAERIDVCIEDETVNYLNRQDVQKALHARLVGVRRWLVCSDILDYQLLDIEIPTISIVGSLIKEGITVLVYSGDQDSVIPLTGSRSLVHGLAKQLRLNATTPYRVWFAGQQVGGWTQVYGNLLSFATIRGASHEAPFSQPERSLVLFKSFLEGRPLPEVF >CDP15069 pep chromosome:AUK_PRJEB4211_v1:4:9990328:10001711:-1 gene:GSCOC_T00042622001 transcript:CDP15069 gene_biotype:protein_coding transcript_biotype:protein_coding MASEASSTPVASGNIEVFSWLKTLPVAPEYHPTLAEFQDPIAYIFKIEKEASQYGICKIVPPVPAPHKKTAVSNLNKSLVARSGSPTFTTRQQQIGFCPRKQRPVQKPVWQSGENYTLEEFEAKAKAFERNYLKKSRKKCLIPLEIETLYWKAIVDKPFSVEYANDMPGSAFAPRRGGKEGGGEGSNVNANVTVGDTEWNMRGVSRAKGSLLTFMKEEIPGVTSPMVYVAMMFSWFAWHVEDHDLHSLNYLHTGAGKTWYGVPWDAAAAFEEVIRVHGYGGEINPLVTFSTLGEKTTVMSPEVLIDAGVPCCRLVQNAGEFVVTFPRAYHSGFSHGFNCGEAANIATPGWLTVAKDAAIRRASINCPPMVSHFQLLYDLALSSCSRVPRGVRMEPRSSRLKDKKKGEGEMLVKDLFVQDVMQNNDLLYMLAEGSSVVILPQNSVVSSFSSNSKAGSQSQVQPGLFPSLGSPDLMMKTTKSLLSEGIVQERKRGVLQGTGSCSMKETVSPSCFDKRVPCSVRGNEFSALASESKNMETEKGRASRGDRLSEQGLFSCVTCGILCFACVAIVQPTDAAATYLITADRSEFKDWGETSDVSTVVNGDEVLPKSDSCSGWMYKRNPDELFDVPVQSGGLYQSVDDEIVGLIPNTEAQKDTSSLGLLALTYGNSSDSDEDDVDANNHTEACQNEAKDCSPESGLYCHDAGLHKGGSRNDVFSCSEFSCADVVPLQIIGSSDKQGTTKSTSESRRHPPPDGTIEYKRRSFPLMEIDNLADRCRHQVKEQDASSPSPLAHKAETIASTAIVEFENKTLPFAGRPDEDSSRMHVFCLQHAVQVEKQLRSIGGVNVLLLCHPDYPNVEAQAKKMAEELGGHYVWSNISFRQASKEDEETIQAALESQEAIHGNGDWAVKLGINLYYSASLSRSPLYSKQMPYNLVIYNAFGRSSPVNSPTKDDSLGKGPGKPKKTVVAGKWCGKIWMSNQVHPFLAERDEEEQERGIPSCMKADLKPDRPLESTRVQTGETTARTCRTGRKRKAAAEIRPAVKAKSAKVEERDKAAEDSPVNHSQHQCKSNRRNTQRKKENLESSNKGNKVVRNRKQFNLETEEEQEGGPSTRLRKRTEKPSKGQGAKSLETKSVAKKQPNGLKAKKSPAGSNKMKGKDEKTEYPCDMEGCTMGFGSKQELVLHKRNICPVKGCGKKFFSHKYLVQHRRVHVDERPLKCPWKGCKMSFKWAWARTEHIRVHTGARPYVCAEPGCNQTFRFVSDFSRHKRKTGHSSSKKGS >CDP12284 pep chromosome:AUK_PRJEB4211_v1:4:26634844:26636220:1 gene:GSCOC_T00035736001 transcript:CDP12284 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTTQASIAVFRPCASKTRFLTGSSGKLNRGVSIRPSTSSSTTSFKVEAKKGEWLPGLASPPYLDGSLPGDNGFDPLGLAEDPENLRWMIQAELVNSRWAMLGVVGMILPEVLTKIGIINAPNWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKSYSLPPHECGYPGSIFNPLNLAPTEEAKEKELANGRLAMLAFLGFIIQYNVTGEGPFDNLLKHLSDPWHNTIVQTFAGK >CDP12763 pep chromosome:AUK_PRJEB4211_v1:4:12661196:12662458:1 gene:GSCOC_T00037403001 transcript:CDP12763 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKRTINPFFSAKIPSNPNAGRHGRLSLKFSPRTHHPSSLTTPGKIGRQMQVRLEAMALSTFRPTFHQSPPLPTSISISRTPSLFLFITRNFRHHQWQQ >CDO98602 pep chromosome:AUK_PRJEB4211_v1:4:7281026:7309917:1 gene:GSCOC_T00022757001 transcript:CDO98602 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEIHVCINVEYTLKTINHIYIYIYIYIYIYIYLFHSEAMTFVGEIAKGYHWRHFIAYVVCPNWDLIEGTSHDVSLGMRAHLETTAAQTQALTEMGNGYALKVRKPYTIKKQREKWTEEEHQRFLEALKLYGRAWRQIEEHVGTKTAIQIRSHAQKFFAKVARDSNECEGSLNPIEIPPPRPKKKPAHPYPRKVMDVTKTTLDDPHQPEQAPPFKRSGEERNSRSPTSVLSALGSDTSGSPSSEPQRSHLSPVSYTTDDAHSAIVQLTENDNECMTSDSSVEEEKEYGHAILLATSATQDDESAMELDLLTFGRACPAEDPAFEQAPATIKLFGRTVEIKENQKLSSSAPENSELSPSHSMKEKLDIRNGRHMIGSAENTIDSQVNLSLVPSCITPVACLVPQYAVSEDFGQLGSIPHMIPMWTWSHGPLLPNLSLSNQTAIENFGNNPYKGAVKNEESQREISLTGSNSGLVTAAKRGQKIFNAIQSKNLGNVERQRSTKGFVPYKRCLAERDATSSLVAAEEREGQRARICS >CDP16400 pep chromosome:AUK_PRJEB4211_v1:4:18080524:18091243:-1 gene:GSCOC_T00018261001 transcript:CDP16400 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVLIFVLAVELWDIQRETTKKNELIWGESLNLEHGYKLRADYFVSIVAAPFEIFFFWCVENLQELAGLSVATAIAEVYKSSERSRVLAICGPGNNGGDGLVAARHLYHFGYKPYICYPKRTAKPLYNGLVTQLESLSVPFLSVEDLPMNLSDSFDILVDAMFGFSFHGTPRPPFDDLIRRLLNLTSPDMNASRLPAIVSVDIPSGWHVEEGDLSGDGIKPDMLVSLTAPKLCAKKFTGQHHFLGGRFIPPAIIDKFRLQLPAYPGTSMCVRIGKPPRVNISALRENYVSPELLEGQVDSDPFDQFQKWFDDALAAGLKEPNAMCLSTTGSDRKPSSRFVLLKGFNKDGFVWYTNYGSRKAHQISENPHAALLFYWDALNRQVRVEGSVEKVSEAESEHYFHSRPRGSQIGAIASDQSSVIPGQQVLHQKYKDLEAKYSAGSSIPKPKHWGGYRLKPEVFEFWQGQQSRLHDRLRYTPKEIDGKRVWKIDRLAP >CDO97793 pep chromosome:AUK_PRJEB4211_v1:4:532288:536793:-1 gene:GSCOC_T00021698001 transcript:CDO97793 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTIESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPAEVEAVITRL >CDP14367 pep chromosome:AUK_PRJEB4211_v1:4:22213557:22215575:1 gene:GSCOC_T00040722001 transcript:CDP14367 gene_biotype:protein_coding transcript_biotype:protein_coding MLILIKFILVVSALVGFASSQDLSITYNGFRSTNLSMDGIAEVTPNGLLKLTDATTQQLGHAFFPNPVSFKDSADSSAFSFSTTFIFAVVSEYPILSGHGIAFVIAPRRGLPGALPSHHLGLFNETNNGKPTNHVFAVELDTIESEEFHDINNNHVGIDINGLNSTLAEHAGYYSDGNDVFQNLTLISGKAMQVWVDYDGTAKHISVTLAPIYAGKPNKPLLSLPYDLSPVLNEIMYVGFSSSTGSVPTSHCLLGWSFKMNGVAQGLDLAQLPKLPRVGPKKKSKVLTIGLPIILIASLSIAISGVIYRVRINKKFAEVLDDWEREYGPHRFKYKDLYIATKGFRDKGLLGSGGFGKVYHGILPSSKLEVAVKRVSHDSRQGMKEFVAEIVSIGRLRHRNLVPLLGYCRRKDELLLVYEYMPNGSLDRFLYEQREYTLNWSQRFRVIRGVASGLFYLHEGWEQIVIHRDVKASNVLLDSELNGRLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHTRTGKATTKTDVYAFGAFLLEVVCGRRPTEPHPPTEDAILVDWVFSCWNKGQILEAVDPNMGLDYVKEEVELVMKLGLLCSQSEPTARPSMRKVVLYLDSALALPDLLSLGISTTGLSFACHEGFSDFKLSYPSSMDKPFSHTSSFVAESLLSAGG >CDP14368 pep chromosome:AUK_PRJEB4211_v1:4:22239988:22242233:1 gene:GSCOC_T00040725001 transcript:CDP14368 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTLVTTFLALLVIVHIGADSAAPDDNGFIYEGFQSSNLSLDGIAKITDNGLLRITNITKLQMGHAFYPDPINFKSTPNGSAFSFSTQFVFTIVPQVSGLSGHGMAFVIAPTRGLPVGLPTQFLGLFNDSTNGNASNHVFAVELDTIQTHEFNDINDNHVGIDINSLFSTASQPASYRANNKNSFDNLTLSSAQRMQLWVEYDGESKVINVTLAPIAVAKPNTPLLSLSYDLSPILRETMYVGFSASTGSLAAAHFVLGWSLKMNGVAQALDLSRLPKLPRFGPKKVSQFFTVGLPLICTFLLLVVISGLAYYLGRKWKFAEVLEEWELAYGPHRFKFKDLYIATKGFREKELLGAGGFGRVYKGVLHTNKMEIAVKKVSHQSRQGMREFVAEIVSIGQLRHRNLVPLLGYCRRKGELLLVYEFMSNGSLDRFLYNQPKSTLNWSQRLRVIKGVASGLFYLHEEWEQVVIHRDVKASNVLVDAEFNGRLGDFGLARLYDHGALPQTTHVVGTLGYLAPEHYRTGKATTSTDVYAFGAFLLEVACGRRPIDPQAPGEDEILVDWVFSCWKEGDIVQAIDRKLGSQYVKEEAELVLKLGLLCSHLEPTIRPSMRQVLAYLEGTVPLPELSSIGISAIGLGFTHACGFKCTALSLSSSTDNNFSRSVAKSPLSGDR >CDP14894 pep chromosome:AUK_PRJEB4211_v1:4:25818909:25823505:-1 gene:GSCOC_T00042380001 transcript:CDP14894 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPSANQAYEAAAAAAAGGSGGGGGGSQHIYCRKQKSLGLLCSNFLRLYDREGVETIGLDDAAARLGVERRRIYDIVNVLESVGVLARKAKNRYTWKGFGAIPSALQLLKEQGMVTNENANDGTSSVKTSDDEEDDTYSNLSNYSSQNHNFNPISAPKLPQSSKYADNRKEKSLALLTQNFVKLFLCMDMDMISLDDAAKILLKNGKDPAMTRSKVRRLYDIANVLASMKFIEKTHHPETRKPAFRWLGMKGISESGADPSAIDGSKKRAFGMDLTNMTVKRSKVEPVGDGGLQQGMKEQLKAQVKREALEDEVLRPKLQIDSRASTRSYRFGPFAPVNAPQVAASEDNKETQSHDWESLAATYRPQYHNQALRDLFAHYVEAWKSWYTEVAEIPIQLIS >CDO97872 pep chromosome:AUK_PRJEB4211_v1:4:1232334:1234339:1 gene:GSCOC_T00021807001 transcript:CDO97872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine synthase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G72810) UniProtKB/Swiss-Prot;Acc:Q9SSP5] MVAASSLLRSPFLSSPLYPQPHQPTTSKHPTTTHFTTVKATAFPTSSPDPSAGTSASPQAIKHRRPTNENIRDEARRHASTHNFSAKYVPFNPDPSSTESYSLDEIVYRSRSGGLLDVQHDMDALKKYDGEYWRALFDSRVGKTTWPYGSGVWSKKEWVLPEINSDDIVSAFEGNSNLFWAERFGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMKRPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANRISIAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFHMCKELGLVNRIPRLVCAQAANANPLYLYYKSGWKEFKSVKANTTFASAIQIGDPVSIDRAVFALKNSNGIVEEATEEELMDAMGQADSTGMFICPHTGVALTALIKLRNSGVIGPTDRTVVVSTAHGLKFTQSKIDYHSREIKDMACQYANPPVQVKADFGSVMDVLKKYLLSKDSKY >CDO98349 pep chromosome:AUK_PRJEB4211_v1:4:4790928:4795910:1 gene:GSCOC_T00022416001 transcript:CDO98349 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEGQEREVQKSYWIEHTADLTVEAMMLDSMAADLDKEERPEVLSLLPPYEGKSVLELGAGIGRFTGELAKKAGQIVALDFIEGAIKKNESINGNLKNTKFICADVTSPELHFSAESMDLIFSNWLLMYLSDEEVVTLAKKMVGWLKVGGHIFFRESCFHQSGDHKRKKNPTHYREPRFYTKVFKECHFNDGSGNSFELALVCCKCIGAYVRNKKNQNQICWMWKKVRSEDDRGFQRFLDNVQYKSSGILRYERVFGPGFVSTGGIDTTREFVGKLDLRPGQKVLDVGCGIGGGDFYMAEKYDAHVVGIDLSINMVSLALERAIGLRCSVEFEVADCTKKTYPDGSFDVIYSRDTILHIQDKPALFKSFYKWLKPGGTVLISDYCKRAGPPSDDFVKYIKQRGYDLHDVEAYGQMLKDAGFDEVIAEDRTHQFINVLQKELELVEKDREAFISDFSEEDYNDIVGGWKAKLLRSSSGEQRWGLFIAKKN >CDO98653 pep chromosome:AUK_PRJEB4211_v1:4:7771309:7778103:-1 gene:GSCOC_T00022817001 transcript:CDO98653 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSGIGRGGQSAESEDEEFEVEDLQESTESTWKNYFRLIWNCSGLDQSINSRSGNGREITTRGRGHSHGFVIEPNNRWYQLWTHFILLWAVYSSFFTPLEFAFFRGLPENLFLLDIAGQFAFLIDIVVRFFVAYRQPHSHCMVYSHSRIAIRYLKSQFMLDLLGCFPWDYIFKASGRKEPVRYLLWIRLSRALRVTDFFKKLEKDIRINYLFARIINLFVVELYCTHTAACIFYYLATTLPPSEEGYTWIGSLQMGSYKYTHFREIDLWTRYITSLYFAIVTMVTVGYGDIHAVNTKEMIFVMIYVSFDMILGAYLLGNMTALIVKGSKTERFRDAMADLISFMNRNRLGKDLRMEIERHVRLQYESGYTSASALQDLPVSIRTKVSQKSYEPYIRKVPLLKGCSDEIINHIALNVHEEFFLPGELIIEQGSMADQLYFICHGKLDEVRRYENEREEPLLSLETHSTFGEVSVLCNVPIPYTIRVVQLCRLLRIDKQHFLDILDTYFLDGRIIINNLLEGKESNIENIIKESKTTLHIAKHESELAMKLNCATYDGDLYRLRCFIGAGADPNMSDYNDRSPLHVAARKGYEDIAQFLIEKDANVNAKDYFGNTPLLEAVRNEHDEVASLLVNAGATLMLDHVGTFLCEAVASRELEFLKRLLFNGANPNAKNFDMRTPLHIAASEGLYPASVLLLEAGASVLSVDRWGNTPVDEARVGGNKSLINLLENAKRAQLSEFSESFERNQGSVIIEQEQRKCTVFPSHPWDNLDGRRTGVVLWVPQNMDDLIRTAKEQLKVCNGSCILSENGGRILDVRMISDSQNLFLVNEAP >CDP12278 pep chromosome:AUK_PRJEB4211_v1:4:26489801:26490715:1 gene:GSCOC_T00035725001 transcript:CDP12278 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWHVDYTPQSQLEQLKEDVRTEIRATASNPSQQLQLIDAIQRLGVEYHFQEEINHALRKMHEKHQNWENIDHIYTADLYIRILRLEGFRVSSDIFKKFVDDEGKFGEGLVNDVLGILALYEATHFRLHGDDIFVRMATTFLQRNFLNENLFPFMRTRDNFGTNCMFSQFSTD >CDO97900 pep chromosome:AUK_PRJEB4211_v1:4:1376682:1382440:-1 gene:GSCOC_T00021842001 transcript:CDO97900 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCSSFYPLLHINFGNKARLIFPFPTKPQSLVCFKAFSSQADTSTTKDDIPARIGFLGLGIMGSPMAQNLLKAGCDVTVWNRTKSKCDPLLSIGAKYKASPEEVAASCDITFAMLADPESAADVACGKRGVASGMGPGKGYVDVSTVDGDTSKLICQHIKATGAQFLEAPVSGSKKPAEDGQLIFLTAGDRSLYEVVGPLLDIMGKSRFYLGDVGNGAAMKLVVNMIMGSMMASFSEGLLLSEKVGLDPSVLVEVISQGAISAPMYSMKGPSMVKSLYPTAFPLKHQQKDLRLALGLAESVSQSTPIAAAANELYKVAKSHGLSDDDFSAVIEALKARIQDKK >CDO98021 pep chromosome:AUK_PRJEB4211_v1:4:2306495:2310625:-1 gene:GSCOC_T00021988001 transcript:CDO98021 gene_biotype:protein_coding transcript_biotype:protein_coding description:CURT1C [Source:Projected from Arabidopsis thaliana (AT1G52220) UniProtKB/TrEMBL;Acc:A0A178WBD4] MASILAKLPPPPLFANGRHLLLSTLQKVTAPGIGGRQGRFAFVAKATSDTSDESSTSLVKSVQSVWEKSEDRIAVIGLGFSAIVGLWAIVNFVSAIDKLPLIPTALELVGILFSSWFVYRNLLFKPDREEFFRSANKSLSDILGGR >CDP12767 pep chromosome:AUK_PRJEB4211_v1:4:12686805:12691721:1 gene:GSCOC_T00037410001 transcript:CDP12767 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKKLNQSQMPVAKAALAPNIPQELTVDILLRLPAKSIGKFRCVSKPWRSLLSDPLFITAHLTLHLHYPQKLIFFSSSPVPPSSRSIYTLTFTTADNPGSDAVLQKLTLSENILENLSSKYASIVGSCNGLVLVLGFRMEIGFRDTMYLINPTTMEFVKLPASPLVREAVRIGGVLGYDSSNDDYKIVTVSCDEPTSNETSVDVFSLRSGSWKRIKSLPYHLDFRSGVFLNGAIHWLAYTDSGDHSVIAFDLTCEKFNPVPIPRGEFASFKLVDLGGCLAMVVKQTFHQIDFCIMQEYGIGESWTKFSVTTPNYFFFNEVVCLLGDDNVVLNVKEQKLVVHNLTENTRRDMVVAGIGGQLRDLIGFSESLVSPIYYCQNWRAT >CDO98070 pep chromosome:AUK_PRJEB4211_v1:4:2582989:2594223:1 gene:GSCOC_T00022046001 transcript:CDO98070 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHQPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQTQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAVGYMRGSRRVVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADYEVTDGERLPLAVKELGTCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIFGGSLLSMCSNDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVSAHLDNGKSVDEQGVEDAFELLYEINERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDIERANEVLPSIPKEHHNSVARFLESRGMVEDALEVATDPDYRFELAIQLGKLEIAQEIASVAQSESKWKQLGELAMSTGMLEMAEECLKQANDLSGLLLLYSSLGDAEGISKLASSAKEHGKNNVAFLCLFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVSEIVAIWRRDLNKVNQKAAESLADPEEYPNMFDDWQVALAVEGKVAETRGNHPPAIEYLNYADRSTISLVEAFRNMQMDDEPPLENGALDYEEQNGDELQEDIGDAQDERQEGGQEEVHEEAVVVDADSTDGAVLVNGNEADEEWGTNHEGKPSA >CDO97825 pep chromosome:AUK_PRJEB4211_v1:4:790823:796276:-1 gene:GSCOC_T00021738001 transcript:CDO97825 gene_biotype:protein_coding transcript_biotype:protein_coding MQHALLQQQSLYHPGLLAAPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLIQEVFSSTGPVEGCKLIRKEKSSYGFIHYYDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLFACFSVYPSCSDARVMWDQKTGRSRGYGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGAGANDDKQSSDAKSVVELTTGSSEDGKEAANNDAPENNPQFTTVYATQLDLHRHFHALGAGVIEEVRVQRDKGFGFVRYSTHAEAALAIQMGNTQSILCGKPIKCSWGNKPTPPGTSSNPLPPPAPAPLPGLSATDLLAYERQLAMSKMGGVHALMHSQGQHPLKQASMGLGAAGASQAIYDGGFQNVAAAQQLMYYQ >CDO97983 pep chromosome:AUK_PRJEB4211_v1:4:2044473:2049718:1 gene:GSCOC_T00021939001 transcript:CDO97983 gene_biotype:protein_coding transcript_biotype:protein_coding MENAFDPEFTLAEIVEMENLYKEMGGKSLNQQFYQELATKFSTSVHRCGKSSIGCEQVQSWFGDLEKELEAKVSSFRRKVEKSVGPTKLAMNKSEVQKPSFVKSEEIDASMPAEVPNVADENSQKPKGVSVAELSELVFEARSSKDLAWYDVASFLNYRVTSTGELEVRVRYVGYDKDQDEWLNVKRSVRERSIPLEPSECDRVKVGDLVLCFRENEDDAVYCDARVVDIQRIAHDSDCCCCIFLVRYDQDFFEDQVQLKKLCCRPAT >CDP12771 pep chromosome:AUK_PRJEB4211_v1:4:12723326:12724238:1 gene:GSCOC_T00037415001 transcript:CDP12771 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSAATIDKCFLLPLSHSANKASNNEPPLSAADLHRQDSAASSFSNNSVKRERELGSSEEVEIETVSSRVSDEDDDGSNGRNKLCLTKAQSALLEESFKQHSTLNPITSLSLSFSLYLARELNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCYETLTDENRRLEKELQELKALKLAQPLYMHAIANGYTDHVPFLRKGRQSW >CDO97835 pep chromosome:AUK_PRJEB4211_v1:4:858246:859586:-1 gene:GSCOC_T00021752001 transcript:CDO97835 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNIWNAHPKNYGPGSRACRVCGNSHGIIRKYGLMCCRQCFRSNAKEIGFIKYR >CDO98376 pep chromosome:AUK_PRJEB4211_v1:4:4983917:4987141:1 gene:GSCOC_T00022448001 transcript:CDO98376 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLTETYACVPSTERGRGILISGDPKSNSILYTNGRSVVIRYLDRPLEVAVYVEHAYPATVARYSPNGEWIASADVSGVVRIWGTHNDFVLRNEFRVLSGRIDDLQWSPDGLRIVASGEGKGKSFVRAFMWDSGTNVGEFDGHSRRVLSCDFKPTRPFRIATCGEDFLVNFYEGPPFKFKLSHRDHSNFVNCIRFSPDGTKLISVSSDKKGILYDAKTGDILGELSSEDGHKGSIYAVSWSPDSKQVLTVSADKTAKVWEISDGGNGKVKTTLTSPGSGGVEDMLVGCLWQNDHIVTVSLGGTISLFSASDLDKTPVSFSGHMKNVNSLAVVKNNKIILSSSYDGLIVKWVQGIGYCGKLERKVNAQIKCFAAVEEQIVTSSFDNKAISLSLSRYSFCLNMALFCVWMFFCVTVFAFFGHGAGKCVCTSSNRPKFKVCLHSKKAFQMF >CDP18020 pep chromosome:AUK_PRJEB4211_v1:4:10794115:10797849:1 gene:GSCOC_T00008923001 transcript:CDP18020 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSSFRLAAKNIFITYSRCDISKESCLEKLLDKFPKYQPSYIRVSSELHEDGTPHLHVLVQFADKFQTRDDRLFDFICHQRSQVYHPNIQAARDARAVRDYISKYGDFCEWGTFKSDGYDHQQKCNTTTSNTNTALEQDTVEDFMNVIKEGDPKSYCIYYDKIKCNADKLYSTPTQEYTSPFPLADNVPYELMDWANTNIKPIESRPNRLMSIIIEGPSRLGKTIWARSLGPHNYLCGHLDLNNKVYNNDAWYDIIDDVNPQYLKHYKEFIGAQKDWVSNCKYGKPVLIRGGIPAIILCNPGPDSSYRDYLDRADKQALRDWTENNAKFVFISEPLYEETNRAFSSEAENQGSQSHTQETYPTRSNNSEETFPRVARRGRWI >CDP14880 pep chromosome:AUK_PRJEB4211_v1:4:25560834:25563941:1 gene:GSCOC_T00042356001 transcript:CDP14880 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNEKTAAVEEVDEPEINYRGIKAMPYIIGNETFEKLGAIGTLSNLLVYLTSVFNLKHITATTLINVFNGTTNFATLIGAFLCDTYFGRYKTLGFASIASFLGLLLISLTAAAKKLHPPHCGSEESHCIGPTAGQLAVLLGGFALMIIGAGGIRPCNLAFGADQFNPNTESGKRGINSFFNWYFFTITFAQMVSVTLVVYIQSDVSWSIGLAIPAIFMLVSCFLFFVGTKIYVIVKPEGSPLTSIVQVIVVAVKKRQLKLPEQPAASLFSYTPPKSINSKLSYTHQFRFLDKAAIITPEDEIKSDGTAANPWRLCSIQQVEEAKCVFRVIPIWAAAIIYHVAIIQQQQYVVFQALQSNRHLGKSSFQIPASTYTIFSMLSLTLWVPIYDRFVVPFLRRLTGKEGGITILQRIGIGLFLVVISSLIAAFIEDRRRTLALTRPTLGVHSPRGAVSSMTALWLVPQLSLAGLAEAFAAIGQVEFYYKQFPENMRSFAGSLFFCGMAASSYVNSFLLSIVHHTTEGSRSGNWLPEDLNKGRLDYFYFLITALGVLNVCYFVACAKWYRYKGEGSTAVAVEMETKKSEKAVV >CDO98145 pep chromosome:AUK_PRJEB4211_v1:4:3220499:3224619:1 gene:GSCOC_T00022148001 transcript:CDO98145 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVLLHGTLHVTIFEVDKLHGEGGGPSVFRKLMANIEETVGFGKGTPKIYATIDLEKARVGRTRMIENEPNNPRWYESFHIYCAHEASNVIFTVKDDNPIGATLIGRAYVPVHELLEGEEIDRWVEILDEDKNPIKEESKIHVKLQYFDVTRDRNWARGIRSSKFPGVPYTFYSQRTGCRVSLYQDAHIPDNFVPRIPLAGGKLYEPHRCWEDIFDAISNAKHMIYITGWSVYTEITLIRDSRRQKPGGDVTIGELLKKKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETEQFFQGTDVHCVLCPRNPDDGGSFVQDLQISTMFTHHQKIVVVDSDMPGGEPQKRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTGASITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDILVNLRELDDIIIPPSPVMFPDDHESWHVQLFRSIDGGAAFGFPETPEEAARAGLVSGKDNIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSCFGWKADDIKVEDVGALHLIPKELSLKIASKIEAGERFTVYVVVPMWPEGIPESGSVQAILDWQRRTMEMMYKDIIKALRDKGLEEDPRNYLTFFCLGNREVKRSGEYEPSEQPEPDSDYIRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGSRDSEIAMGAYQPYHLATRQPARGQIHGFRMSLWYEHLGMLDDTFLHPESEECIAKVNQAAEKYWDLYASESVERDLPGHLLRYPIGVAGEGDVTELPGMEFFPDTKARILGTKSDYLPPILTT >CDP18513 pep chromosome:AUK_PRJEB4211_v1:4:18682934:18686499:1 gene:GSCOC_T00003540001 transcript:CDP18513 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNATSLSFGLGFRTPKFASPFLPSPLRLSSFSTQWQPNALSIEAKAGTRRVDRTARHSRIRKKVEGTPERPRLSVFRSNKHLYVQVIDDSKMHTLASASTMQKPISDDLNYSSAPTIDVAKKVGKAIAKSCLEKGITKVAFDRGGYPYHGRIEALADAAREHGLQF >CDP14902 pep chromosome:AUK_PRJEB4211_v1:4:25882733:25887577:1 gene:GSCOC_T00042389001 transcript:CDP14902 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCRNLSFLLLFLSLLQFLHHSSSHPLCIDSSAPLPQKVPLSFCSYNGTSCCNSTDDSQIKNQFKAMNISDSSCASLLKSILCARCDQFSAELFRINSRPQQVPVLCNSTVLPNSTQTSQAANNYCSKLWTTCQNVSMVNSPFSASVQGQAGGGVISNSTKLTDIWKSQSDFCNAFGRASDENSVCFAGEPVNLNSTGTSIPPSGLCLEKIGNGSYLNMVAHPDGSNRAFFSNQPGMIWLATIPKQGSGGVMQLDEANPFLDLTDEVTFDTAFGMMGIAVHPNFLTNGRFFASFNCDKTKWPACGGRCACNSDVNCDASQLPPDSGSFPCQYQSVVAEFTVNGTASQPSLAKTAQPSEVRRIFTMGLPFTAHHAGQILFGPTDGYLYFMMGDGGNSGDPYNFSQNKKSLLGKIMRLDVDNIPSAAEISQLSLWGNYSIPKDNPYTEDKELQPEIWALGFRNPWRCSFDSVRPSYFMCADVGQDTYEEVDLVMKNGNYGWHVYEGPNLFNPPKSPGGNTSAKSMNLIFPVMGYNHSAVNKNEGSASITGGYFYRAETDPCTYGMYLYADLYAGALWAGIESPENSGNFTSNLIPFTCAHDSPISCTVVPGSTLPALGYIYSFGEDNSKDVYILASSGVYRVVPPSRCKYTCSKENTTAEVTTGPAPSPPSGATKLTNPYVNLVSLLVSLWLLCLL >CDO98403 pep chromosome:AUK_PRJEB4211_v1:4:5200852:5204684:-1 gene:GSCOC_T00022483001 transcript:CDO98403 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVIPESSAIGDTGRYRRSRNLNFSQNQHQPISETDPIPSLVDSNRSKSTISSLLFPTNDHHTSASHKKKNFSSATFRGLGCTASSQVSVPAVIRTSADWDPKKVKKKKQKSKKNKDHSSSAAAAAAVVLGGTNINSTTSLTNTNTSNSNHNNNNNHNNNTNPLSLSLSSSCVAVPDVWCGPGTGLTTDAASVDCVVSRRPVTGRGKVDVEKTSHRERPSYSVRRMVTPEEIPFLDSDATFSIPRSRLDAFGSRRHRHARHGFPEGLAEIVMLQSNLLMGGRSEGADRFRDWRLDIDSMSYEELLELGDRIGYVSTGLREDDITHCLRRTRNPVTDNLRSSLIIEVEKKCSVCQEEYDADDEMGKLNCGHQFHIQCIKKWLSQKNACPICKTEAVSRG >CDP16956 pep chromosome:AUK_PRJEB4211_v1:4:13806988:13815433:1 gene:GSCOC_T00005324001 transcript:CDP16956 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP37 [Source:Projected from Arabidopsis thaliana (AT3G54170) UniProtKB/TrEMBL;Acc:A0A178V9T4] MFGGLKLCRCWFNGCCHGWVLVQWDKHSNEEEERYEKKKDIKDLETAKSEIQKWHSAFRNESFVQPGTTPEPKIVISYLQNLRSSEESLREQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLRAQQKPPSMQARKLLLDPAIHEEFTRLKNLVEEKDKKVKELQDNVAAVNFTPQSKMGKMLMAKCRTLQEENEEIGNQANEGKIHELSMKLALQKSQNAELKSQFEGLCKQMDGFTNDVDRSNEMVLLLQEKLEERDDEITRLKQELQQRSIMDIEKTELPVDENPGDE >CDP12394 pep chromosome:AUK_PRJEB4211_v1:4:19686831:19692057:-1 gene:GSCOC_T00035909001 transcript:CDP12394 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDDGTRRLQTSGCGETSLCEVEQGQEPYEDMVFESEGAARAYYDEYALRTGFVTRVLSSRKSERDGSIISRGLGCRGIYSHNSAKVSSQKRDRRRGGCTAMMLIKREKPGRWVVRKFVRDHNHPLVDSLPRRRPTFDEKDKRIQELTAELRVKKRLSAAYKEQLLSLMKDVENHNEHLSTKVQVVRNNLKELEARRQELVNHNSRTYGKG >CDP12709 pep chromosome:AUK_PRJEB4211_v1:4:11505187:11506340:-1 gene:GSCOC_T00037308001 transcript:CDP12709 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLGRPLKIYIYIQSLSTLHPLSLASSISLHLLHRLHHFYLSSFASKTFGVERRYKDSMARAALLVSSIVLSCLVATSYGATFSSLMRTLVVTASPAAGQVVKSGEDKITVSWSYNNTFARGTDSTYKTIKVKLCYAPISQVDRAWRKTVDDLSKDKTCQFNIVTKPYNPSNNNTFTWTVERDTPTATYFVRAYAYNSAEEEVGFGQTTDSHKTTNLFEVHAISGRHTSLDIASICFSAFSVVSLFGFFFIEKRKAKASQQK >CDO97971 pep chromosome:AUK_PRJEB4211_v1:4:1921845:1929539:1 gene:GSCOC_T00021925001 transcript:CDO97971 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDGDSVESLASRFGVSMDSIVTANGLDNPDNVTVGQLYFIPLNSVPGEPYHVENAITPAPVPEPSDSDIAATQVNHKTHVPYWWIIGSLAVGLVLVVILVAVFISLRSSSCFSGALAGHPKNSNEKNSHKFHILRNTSFCCGSGRYICCKSGDWKQPNGEATGRQMNIPKVIGTDVFDMEKPVVFTYDEILSSTDGFSDSNLLGHGRYGPVYYGLLRDQEVAIKRMTTTKTKEFTAEMKVLCKVHHTNLVELIGYAATDDELFLIYEHAQKGSLNSHLHDPQNKGHTALSWNMRVQIAVDAARGLEYIHEHTKPHYVHRDIKTSNILLDGSFRAKISDFGLAKLVGKTNDGEASATTVVGTFGYLAPEYLRDGLATNKSDVYAFGVVLFEMISGKEAITRTQGIAPKSADRRSLASIMLAALRNSPDSMSMSSLREHVDPNLMDLYPHDCLFKMAMLAKQCVDDDPVLRPDMKQVVISLSHIQLSSVEWEATLSGNSQVFSGLVQGR >CDP12279 pep chromosome:AUK_PRJEB4211_v1:4:26496137:26497177:1 gene:GSCOC_T00035726001 transcript:CDP12279 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAKQSQISDSLEVGDRMNREVGTNDAEINKEVGTNDAEINKCEMNKKVSSIADILEV >CDO98101 pep chromosome:AUK_PRJEB4211_v1:4:2838601:2840131:-1 gene:GSCOC_T00022090001 transcript:CDO98101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeoylshikimate esterase [Source:Projected from Arabidopsis thaliana (AT1G52760) UniProtKB/Swiss-Prot;Acc:Q9C942] MPSEPPPNFWGDMPEEEYYASQGVRNTKSYFDTPNGKLFTQSFLPLDPQEPIKGTVYMSHGYGSDTGWLFQKICMSYATWGYAVFAADLLGHGRSEGIPCYLGDMQKIAGASLYFFKSMRNSEEYKHLPAFLFGESMGGLATLLIYFQSEPDTWTGVIFSAPLFVIPEPMQPSRVRLFVYGLLFGMADTWAAMPDNRMVGKAIRDPEKLKIIAGNPRRYTGPPRVGTMRELVRQTEYVQNNFHKVTVPFLTVHGTSDGVTCPSGSKMLYEKASSQDKTLKLYDGMYHSLIQGEPDESANLVLADMRAWIDERAQKYGPKAKRNGYS >CDO98267 pep chromosome:AUK_PRJEB4211_v1:4:4079659:4083111:-1 gene:GSCOC_T00022302001 transcript:CDO98267 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWWHKMVFPVRRVWIAVSARVKARKNGAGLLKLRDDIQTCGYEDVQVMWEMLRRTESELMSRHAKRKQHRHFWRIFIWSNHNHASSFASNPAQ >CDP12370 pep chromosome:AUK_PRJEB4211_v1:4:18863209:18869783:-1 gene:GSCOC_T00035869001 transcript:CDP12370 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSDGFVRADQIDLKSLDDQLERHLNRAWTLEKNKKKAQDDFYINPTTTTMAVAPAPTAVTANNNSTTAAAAATTTTTTPTPTPIPTITTMNKASRRRHDWEIDPSKLIIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEEGHRTEAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGSSELNIQTDNGHIGMPSNVCCVVVEYLPGGALKNYLIKNRRKKLAFKVVVQMALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVYLLEAIDTSKGGGMIPLDQPQGCLCFRKYRGP >CDO97740 pep chromosome:AUK_PRJEB4211_v1:4:172014:179410:1 gene:GSCOC_T00021620001 transcript:CDO97740 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVSNDSRAEDILETEPILSQSATAKRSEESSSSIEITVSGEFSLTVDDSPSGDADENCSLVHADQPLCRICLDTGGEDLIAPCHCKGTQKHVHRSCLDNWRSTKEGFAFSHCTECRAVFILRANVPPDRWWLRLKFQFLVARDHAFIFVIVQLIVAFLGVLVYKFYGEELREMFGYEEHPYGFYTMAVLAVVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYIVEDRESSKDVPDLDPSHITELRMLGLY >CDO97987 pep chromosome:AUK_PRJEB4211_v1:4:2110878:2112827:1 gene:GSCOC_T00021945001 transcript:CDO97987 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSEFFVGGYFSHAGDNEFGHKSMENQNITTGNSNNFTVDDLLDFPKEDEVMTDAFFDSITGNSGESSSLTVVDSCNSSVSGGDRQFNGNISCRSFTDTQFSGSELCVPYDDLAELEWLSNFVEESFSSDDLHNLHLISPTTNFKAPSASSAATANATTDTSSSAATISTNNDNSNSSSPVFPSDVSFPGKARSKRSRAAPCNWASRLLLLASPATSSSEPTNDVNTPNPISVTIKAPKQAPSRKRETTETPGRQCLHCGSEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVSAKHSNSHRKVLELRRQKELQRQQQQQQQLLSQASIFGVSNGGDEYLIRHHHHHHPHQQNVGPDHRLMI >CDO98005 pep chromosome:AUK_PRJEB4211_v1:4:2201777:2207382:-1 gene:GSCOC_T00021968001 transcript:CDO98005 gene_biotype:protein_coding transcript_biotype:protein_coding MLACSCWSLIRADLARTVTKLSAKSNSVRHLLRCSFHHKLRSVDIGELLHSDMDRTRRDKVSRVWRQVATQSSSSQDFEGNSVKIDSENSAVVAGSEKNEIESQVKEVQDGISSHLSTIECENEVRVGDDQEINLRSVKSGDEVKGLQGEPINSSEKDAASIEPISSSAKHSISLEVGSSLIRFIKGKGGATQRKIEEEMGVQIIFPSSKKEESIIIEGDSAESVDRAHKRVQDIIDEAVQSPSLDYSHFVSLPLAIHPQLVDKLVQFQNSILGIHDTDQGDVLTVDSDASTSDEEPDVQHADKATKHAIEIKARDGNEHVRRSVTSIPLASYPPKMSKASALEKTSKVSESGIERSIFIRPKTFHLTVLMLKLWNKDLLRAAAEVLQSISSEVIQALDSRPVSIQLKGLECMKGSFAKARVLYAPVEEIGGEERLLRACQVIIDAFVEAGLVLERDATHKLKLHATVMNVRHRKRKMRTRKFDFFDARSIADQYGSEDWGVYVIREAHLSERFRFDENGYYHCCTSIPFPEDTQLD >CDO98457 pep chromosome:AUK_PRJEB4211_v1:4:5745468:5746514:-1 gene:GSCOC_T00022554001 transcript:CDO98457 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQVGGGYVARVGVGQPVKEYYLLADTGSQINWLQCLPCDGCSSVSRSVFDPSGSSSYSLLSCASQECASLGENRNCQADPCMFITSYGDRSTVEGEFATETVSFGSSGSVDKVAIGCGHTNQRGGASGILGLGGTPVSFPSQIQATSFSYCLVDRDSGKSSTLEFNTAPPGDSVLVPLIINRRIEVFYYVELTGITINGEQVPIPASAYQIGQDGSGGIIVDSGTTITELPAQVYNSVRDTFVKYAQALTRTSGYDDGLVNFDTCYDLSSNPTDGYPTMSLDFSGGKTLPLRPANYLSRVDTSGKHCLAFTVTTQPMSILGNIQQQGMRVTYDLANKMIGFSPNQC >CDP12276 pep chromosome:AUK_PRJEB4211_v1:4:26418455:26420271:1 gene:GSCOC_T00035719001 transcript:CDP12276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MACCLKTGFYVSGSSDTLLGCRAKGSLGVSPSVEALQLSNSRSKDFMGKQLDYSVQNSTYWDVKAPTTFSVKARASISVSRALRWWEKTLKPNMIEIHSAQELVDSLLNAGDRLVIVDFYSPGCGGCKALHPKICQLAESNPNAIFLKVNYEELKPMCYSLHIHVLPFFRFYRGAEGRLCSFSCTNATIKKFKDALAKYGTEGCSLGPARGLEESELLALASSGQISRNVPLGYATEKKDKQVQDVVLNGFDLSSSVVKDENKRGVNGGSAVVMA >CDP12343 pep chromosome:AUK_PRJEB4211_v1:4:27593919:27597208:1 gene:GSCOC_T00035822001 transcript:CDP12343 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLQSKRRQREPKEENVTLGPATRDGEQVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDIAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >CDP12375 pep chromosome:AUK_PRJEB4211_v1:4:19198593:19199591:-1 gene:GSCOC_T00035878001 transcript:CDP12375 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTMAKASSLKPLVFVLFVSMLIMSFFRSGQAQISCDTVKNDLSPCIGFIMNGGKVPPACCSGLNTLLSLAKTRTDRQSACSCLKSVAESATDDQLKNAAQIPHSCGVNLPFKISRDVDCSK >CDP16399 pep chromosome:AUK_PRJEB4211_v1:4:18131534:18145347:1 gene:GSCOC_T00018260001 transcript:CDP16399 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVPSRLLFIDGQWREPVKKNRIPIINPSTEAIIGDIPAATAEDIDIAVEAARRALIRNGGKDWAAASGAHRAKFLRAIAAKITERKSELAKLEALDCGKPLEEAAWDIDDVAGCFEYHADLAEALDSRQKAPISLPVETFKGYLLMDPIGVVGLITPWNYPLLMATWKVAPALAAGCAAILKPSELASVSCLELADICIEVGLPPGILNIVTGLGPEAGAPLASHPHVDKIAFTGSSATGSKIMSAAAPLVKPVSLELGGKSPIVVFEDVDLDKAAEWTLFGCFWTNGQICSATSRLIIHENIAREFLDKLVAWCRNIKISDPLEDGCRLGPVVSSGQYEKVMSFISTAKSEGATILCGGKRPENLEKGFFVKPTIITDVKTSMQIWREEVFGPVLCVKTFATEEEAIELANDTHYGLAAAVLSEDLERCERLTKAFQCGIVWVNCSQPCFCQAPWGGKKRSGFGRELGERGLDNYLNVKQVTQYVSSDPWGWYKSPSKL >CDO98380 pep chromosome:AUK_PRJEB4211_v1:4:5003206:5008200:-1 gene:GSCOC_T00022452001 transcript:CDO98380 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQFFSSFIHPQLRQIMDKMCLFDKILFCIIHFLDKYLPWYRLPVLIGLAYLGIRRHLHQRYNLLRVGSSNGGKYDTGKFAYRTADGTCNHPTDDKVGSQGTFFGRNMPPSSSDYALMDPHPTIVATKLFERREYIECGKQFNMIACSWIQFMIHDWIDHMEDTKQMELRAPDEVAERCPLKSFRFLKSKRFPTGSPDPKFGFLNMRTPWWDGSVIYGNNTEGMVRVRTFRDGKLKNSSNGLLEHDAKDIPISGDVRNGWAGFSLLQALFVKEHNAVCDMLKKHYSDLDDEKLYQHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRINWYGFLGKKVKDLFGPKFGPVLSGLVGLRQPRDHGTPYSLTEEFVSVYRIHSLLPDKILLRDVKSATSEDKCPPIQEEVPMRELIGKEGEGRLSKIGMEQMILSLGHQASGALTLWNFPSWMRNLIAHDINGEERLDPVDMAALEIYRDRERGVARYNEFRRNLLMIPISKWEDLTDDEKVIEALREVYGHDVEKLDLQVGLHAERKIKGFAISETAFFIFLLIASRRLEVDRFFTTNFNKEAYTEKGLEWVNSTETLKDVIDRHFPEMTKKWMTSSSAFSVWHSEPNPKNYLPLYLRPAT >CDP15084 pep chromosome:AUK_PRJEB4211_v1:4:10205151:10207760:1 gene:GSCOC_T00042647001 transcript:CDP15084 gene_biotype:protein_coding transcript_biotype:protein_coding MYNISYVPKAVHYDYVRLNWSKPSCANCEAKGEYCRLKINGTNDETECVDIPKQPGDGSSNKKAIIAGVTVAVVVVFTVLLVLSVVFISKKRKKEQQKKFEKFLEDYKALRSIRFSYADIRKITYQFKEKLGQGGYGTVYKGKISDDTLVAVKVLSNFKGNGEEFINEVGTIGRIHHINVVRLVGFCADGYRRALVYEFLPNDSLQKFISSGKQLIRWEMLEQIAIGIAKGLDYLHQGCNQRILHFDIKPHNILLDQNFSPKVADFGLAKLCSKEQSIVFVTAARGTIGYISPEMFSRNYGNVSYKSDVYSFGMLLLDMIGGRKNFHAGADDSSQIYYPEWVYNQLEKGETFSIQIAEEKNSKIFKKLAIVGLWCIQWYPADRPSMKAVIQMLEGENLPIMPPNPFASSKVGKTDGSFSTWEGDSISEAIEGNC >CDO98373 pep chromosome:AUK_PRJEB4211_v1:4:4956883:4960583:1 gene:GSCOC_T00022443001 transcript:CDO98373 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSCFLLFFTFLFLSTYPPKFPALPQLPNPDPAPVQTQPLALPTPSPPATIPAFPEESNVDGCPLDLPDDLFSGVKSACGSGAKHHHAMADPNSYSGQLHRTRCCPVLAAWLYAAYSATALQRATGIDKTTTPQTASAREVDMPLLPDDSETCVDSLEKALGNKGVNLAKPNETCDFVYCYCGIRLHPLSCPEAFYVNSLGKLVGGESVKKLEEDCSSSSSLNGYAGIAGCSKCLNTLYLLNEDKVGNASALEDRTSKMHSKDCELMGLTWLLHKNRAAYLHTVSAVLRALMLSTEGTYPQSCTLNSDGMPLAVDSSEINDQSSSTLLHKSLCLFFLLLSLLSIMVVM >CDP14895 pep chromosome:AUK_PRJEB4211_v1:4:25828563:25830023:-1 gene:GSCOC_T00042382001 transcript:CDP14895 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLHKTAVGPKFPDFSSAKTPLKHQIAPPPPVDVTSITNLVLKANPEILTQKLPTLVQWTPELVHTILKRLWNHGPKALQFFKALDSHLSYTHSATAFDYAIDIAARMRDYKTLWALVAQMRARKLGPGPKTFAIILERYVASGKADKAVDVFLSMHKHGCPQDLTSFNSFLDVLCKAKQAEIAYNLFKVFRRKFRVDMISYNILANGYCIMKRTPKALEILKEMVERGLEPNVMTYNIILKGFFRAGQTKEAWEFFLQMKRRKCEIDVVTYTTMVHGFGVAGEVERSRKLFDEMVGAGVLPSVATYNALIQVLCKKDNVENAILVFDEMLRKGYLPDVTTYNVLIRGLCHVGKMDRAVEYMDKMKEDECEPNVQTYNLVIRYYCDDGEFEKAFELFEKMGSGHCLPNLDTYNILISAMFVRKKSDDLVVAGKLLIEMIDRGFLPQRFTFNRILNGLLLTGNQEFAREILRLQSRSGRLPRHFRL >CDP12711 pep chromosome:AUK_PRJEB4211_v1:4:11524620:11525588:-1 gene:GSCOC_T00037312001 transcript:CDP12711 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDQVLIPACFSSSEKLMDDSAGVTRSGQSVFMSVYRTKIADQCRLITITWCKNLLLHGLSVSVEGPEGESQYTCKVELKPWYFWRKQGSKRFLVEGKPVDIFWDLKAAKFNGETEPSSEYYVAVVYDEEVVLLIGDLKKDGYRKTGCRPALIDPLLVSRKEHIFGKKKFLTRVKFHEKGRIHEIAIECKSRSNNSGNLSNANSPNGVDPEMEIRIDGNLVIHVKHLQWKFRGNDSIKMNKVRLEVYWDVHDWLFSPGLRHALFIFKPTWVSSSPSLVSTSSSPPFSSSLSSQTVSSGSLEGFSASGSSDFCLFLYAWSYN >CDO98410 pep chromosome:AUK_PRJEB4211_v1:4:5237664:5242706:-1 gene:GSCOC_T00022492001 transcript:CDO98410 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKTPGTPASKIDRTPATTPGGHKGKEEKIVVTVRLRPLNKREQSAKDHVAWHCIDDHTIVYKPAPQERAAQPASFTFDKVFSPECSTETVYDGVKDVALSALMGINATIFAYGQTSSGKTYTMRGITEKAVNDIYMHILNTPEREFRIKISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTMVEKLVEETVNDDQHLRSLICICEAQRQVGETALNDTSSRSHQIIRLTIESTLRESADCVRSYVASLNFVDLAGSERASQTNADGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPASSHVEQTRNTLLFATRAKEVTNSAQVNMVVSEKQLVKHLQKEVARLEAELRTPDPSNEKDFKIRQMEMEMEELRRQRDLAQSEVDDLRRKLQDEQRLKPSESSSPVAKKCLSFSAVLSSNLDGQEPGRLDRTRNTMGRQTMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEILQKEVACHRLGNQDAAETIAKLQAEIREMRSVKPAPKEVEVNNVVAVNKSVGANLKEEITRLHSQGSTIADLEEQLENVQRSIDKLVMSLPANTEQEPNSENSPLKSKNQLKRKKLLPLASSNSINRPNFIRSPCSPLSATQDVLDNDIENHAPQYDEAQPVAEKETPSKSEDTSSKEGTPYRRSSSVNMRKMQKMFQNAAEENVRSIKAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYDLENDEGIPPIQEESPASWQAIFREQRQQIIELWDVCHVSIIHRTQFYLLFKGDPADQIYLEVELRRLTWLQQHLAELGNASPAHTGNEPTISLSSSIRALKREREFLAKRLKRLPEEERDALYIKWDVPLEGQQRRIQFINKLWRNPHDEMHVKESADIVAKLVGLCESGNMSKEMFELNFVLPSDKRPWIVGWNQISDLLHL >CDO98442 pep chromosome:AUK_PRJEB4211_v1:4:5600886:5602107:1 gene:GSCOC_T00022533001 transcript:CDO98442 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSWAKKEYARLRLQKQRRLLPPSSSATTAKCLPLPPQVQEVIIAADLRCGECERRVAGILSKIDDVESVVFHVSEKRVTLTRKTAAK >CDP12765 pep chromosome:AUK_PRJEB4211_v1:4:12675620:12678118:1 gene:GSCOC_T00037407001 transcript:CDP12765 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKYFHQTEMPLAAADSAPDIPQELIIDILLRLPTKSVGKCRCVSKPWRSLLSDPLFIKAHLSLHLHHPQKHILISISRSPPSSNLSVVTFTTTSGSSNNDGFLEKLTLLQNQLIFAQIVGSCNGLVLVLTFEGSDTPTMYLMNPTTRELVKFQHSPLVWDDADTSYGFGYDSSSDDYKIVMLSHDWLADKTEGYPAFVDVFSWRTGTWRRIGCFPYVPSSHSGVFLNGSTHWLALSKVDGLCVIIALDMSCEQFKQLPWPETDNTPRKRSRKLVVLGGCLGMVAVQSRHHMDVWMMKEYGVRESWTKFSVTIPKKASVWGPICLLGVDDVVLEMAGKNFVVHNLKERTMRDMVIAGIQDKFRRGVMGFCESLVSPTFYSQKWRAT >CDP16524 pep chromosome:AUK_PRJEB4211_v1:4:16251306:16254190:-1 gene:GSCOC_T00018887001 transcript:CDP16524 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLKLTWLWLRNLIPQPVRISLRDKAIAVAGRVSVVKVRDSMQVCCPVCTEAISSPLDSDVKMILAVHLSLWHAEDVNLQWDIMQKKKDSVLHLPSLVVGVGIAAGVGVLLTFLAKNRAQALVGNTVRQPRFKER >CDP17009 pep chromosome:AUK_PRJEB4211_v1:4:15713957:15722545:1 gene:GSCOC_T00011379001 transcript:CDP17009 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFRCAAVSIAKRTNAFIVSRNIHDGFPSKTILRSSSSPFSHRHTGYRHSIRATQGSGAARVLDPVAPKKEDVEQSSENWKIKMLYDGECPLCMKEVNMLRERNKSFGTIKFVDISSDDYSPEKNQGLDYKTVMGRIHAILSDGTVVTDVEAFRKLYEAVGLGWVYAITKYEPIATIADAVYGIWAKYRLQITGRPPLEEVLEARKNKRDLCNDSKTCKT >CDP12329 pep chromosome:AUK_PRJEB4211_v1:4:27400682:27402405:1 gene:GSCOC_T00035804001 transcript:CDP12329 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNNPMEEKKKSLLKPGLSPPPGYVNFQDFSNDGNEHFLILSPSSGLNIYPENPPEFGNVRTFFFIKEIFPNLVELSWLMERIVSISLGLAPNFLTEYNNDDRSCDFLKAVHYFPIEKADQINGTPAHKDANCLTFIFQNDVGGLEVLKNEQWIPILPAKGAIVVNIGDVIQVLSNDKFKSASHRVIRQKGKSRCSIVFFDNLHGDKWIEPLPKFTTEIGESPKYRGFMYKEYLQLRVKNKLDPPARPEDDINITHYSISTQDQGN >CDO98566 pep chromosome:AUK_PRJEB4211_v1:4:6938528:6944703:1 gene:GSCOC_T00022706001 transcript:CDO98566 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASDLMSKLQALLSMKAWKLKTKQQELLIRVSILLLVYVLAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTQNGFYDFWNWFDSESWYPLGRIIGGTLYPGLMVTAAIIYWTLRFLRFAVHIREVCVLTAPFFASNTTLVAYFFGKELWDSGAGLVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVKTGLLAWALASAFGYFYMVSAWGGYVFIINLIPLYVLVLLVTGRYSMRLYVAYNCMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKHQLNDPKLFHAFLRITVSSAVGLGAIALGLGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLILFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATIKNLTQLVREKPKTTHSGSAKGTTGTKTSSKGSFDQSLPFQKNGAIALLLGVFYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGNRIIFDDYREAYFWLRQNTPPDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDRGAAPKMLNCLMYKLSYYRFGELTTEFGKPPGYDRARGVEIGNKDVKLEYLEEAFTTSNWIVRIYKVKPPNNRW >CDO98608 pep chromosome:AUK_PRJEB4211_v1:4:7342763:7355642:1 gene:GSCOC_T00022765001 transcript:CDO98608 gene_biotype:protein_coding transcript_biotype:protein_coding MVANEGLHNQPQWSGIDKQFLATPVKSAVDKFQLLPEFLKVRGLVKQHLDSFNYFVRTEIKKIVHANNEIRSSKKDENVYLRYKDVRIGEPSMIIDGVTEKLSPHKCRLSDTTYAAPILVTIEYTTGSGQNTSVQTKKDVVIGRMPIMLRSCCCVLYGKDEEELARLGECPLDPGGYFVIKGTEKVILIQEQLSKNRIIIDADKKGCVQASVTSSTAATKSKTVIKMEKEKIYLYLNQFKSKVPIMVVMKAMGMESDQEVVQMIGRDPRYSALLLPSIEECAKANVYTQHHALEYLEKQVKQLPYAYAPVEKRALSILRDIFIANIPVRQYNFRSKCIYVAVMLRRMMEAILNKDAMDDKDYVGNKRLELSGQLLSLLFEDLFKTMNDEVRKTIDAILSKTSRSSRFDMSQYIVKDSITVGLERTLSTGNWDVKRFRMHRKGMTQVVARLSYIGSLGHMTKISPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEEEGPISSLCYSLGVEDLELLSGEELHMPNSFLIILNGLILGKHRKPQHFASAMRKLRRAGKIGEFVSIFVNEKQHCVYIASDGGRVCRPLVIADKGVSRIKEHHMKELKDGVRTFDSFLREGLIEYLDVNEENNALIALYEGEATPETTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQASRY >CDO98250 pep chromosome:AUK_PRJEB4211_v1:4:3960627:3964407:-1 gene:GSCOC_T00022280001 transcript:CDO98250 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKRELEDPLEEEYGPLNKRSKHSSSLQQGSGEVGGFSVAPPAQYNPLDEPSPLGLRLRKSPSLLELIQMRLSQANSPKGASSSKKELKGAAASGSSEKLKASNFPATILRIGTWEYKSRYEGDLVAKCYFAKHKLVREVLDGGLKNKIEIQWSDIMALKANYPDDGPGTLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASIQRRHFLQCPQGLLGKHFEKLIQCDPRLNFLSKQGEITLDSPYFEPRISVFDDPSENKAAFDLNNHESPTFFNLRDAASPSGGQSSSSRNEQDTVSRPRESIRHETPSPSSVMDTHVIEEIKNSGGEQWKELSSWHQIRVPGLHSSMSMSDLVSHLENRISEQRTSDNFILSSDERQGLEILEEINRCLFSDSQYVPASDEKSLMSRVNSLCCLLQKDPMTGQILPSKSESISDVLVMDKRIDDSSFTLQASGSKIDEKSAQPPPMSRKDSVGDLLLNLPRIASLPQFLFNISEDVDNQAR >CDO98379 pep chromosome:AUK_PRJEB4211_v1:4:4997386:5002607:-1 gene:GSCOC_T00022451001 transcript:CDO98379 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKDLLKFLKPHTESIHIKKYAGKRVGIDAYSWLHKGAYSCSMELSLNMEGDKKLQYLKYFMHRINLLRHHKITPIVVFDGGNIPCKAVTQDERERKRKANRELAMEKLKEGDVSAASELFQRAVTISPSMAHQLIQVLRSEDIEFVVAPYEADAQLAYLSSLEAEKGGIVAVVSEDSDLLAYGCPAVVFKMDRYGNGQEIILDKVLGSSDRVPSFRNFDKNLFTGMCVLAGCDFLPSIPGIGIVKAHSLVSKYRNLDRVLSVLKFEKGSQVPEQYSKSFREAVAVFHHAHIYDAALKQLKHMKPIPEDLLKSFDEELDFLGPEMPQSIATAIAEGNLNPCTMEAFSYFPSSSQANSTNLVRCDRLKKQEKRSLSSEDGCFAAVTSCKSRKDEKRGKFSASHAPFVVGRKHIDGAVELEKLKLPLMNDCEEESIIIIQKEVPKLPENNPFKKRNMEDILLKHTESTIKQVSVLTETESSEMLYPTPDSQQSVDSKPVKTTEEDTSVHREKKMKRSSNCHSSVQKSSILNFFSRV >CDO97844 pep chromosome:AUK_PRJEB4211_v1:4:973588:974196:-1 gene:GSCOC_T00021764001 transcript:CDO97844 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAGFFCCKYDLFTVHKTRGVNFEERETLLLLPSAECMKQQSAAVFCLCISFDSSLIRSRDLPRVFTSEGFLQLL >CDP15004 pep chromosome:AUK_PRJEB4211_v1:4:9135162:9152764:-1 gene:GSCOC_T00042531001 transcript:CDP15004 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 9 [Source:Projected from Arabidopsis thaliana (AT5G63380) UniProtKB/Swiss-Prot;Acc:Q84P23] MAKAPKIDPRNGFCSETKIFHSLRPPVPLPPPSEPISITDHTRSLLHASTTPTTPFLIDSATDHRISYADFLNQVQSLSLSLQSHFPSLSQNDVAFIFCPPSLHVPVLYFSLLSLGIIVSPANPLSSTSELTHMLQLTKPSIVFTTSSLCHKFPETLKSINSVFVDQPQFQSMLSDTTTGSGVVVIHQNDSAAILYSSGTTGRVKGVELSHRTLIAVIAGLYHNKHKADGEDENPLFPFDFENMLEAIEKYKVTYMPVAPPLVVAFAKSDLVNKYDLSSLRLLACGGAPLGKEVSESFTARFPIGYGMTETGGAATRMIGTEEIKKHGSTGRLSEHTEAKIVDPDTKEALPPGQRGELWLRGPIIMKGYVGDSQATAETLDSEGWLKTGDLCYFDSDGFLYIVDRLKELIKYKAYQVPPAELEHLLQSIPEVADAAVIPYPDEEAGQIPMAFIVRKPGSHVTETQIMDIVAKQVAPYKKIRRVAFISSIPKSPAGKILRRELVNHAISAASSKL >CDO97741 pep chromosome:AUK_PRJEB4211_v1:4:181981:183503:1 gene:GSCOC_T00021622001 transcript:CDO97741 gene_biotype:protein_coding transcript_biotype:protein_coding MMATSSQGVEDRRGEGEERRVWWLELVLGFERGRRAIAVLNSFYLFIGVKEQEMCRRSKLFGLKKRKEKRKKKRSNVGMEKREVKVLQCLEGE >CDP12753 pep chromosome:AUK_PRJEB4211_v1:4:12526977:12528629:-1 gene:GSCOC_T00037390001 transcript:CDP12753 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPFRALLSELSRPHHTLLRTQILHAFIIKTHLSRDPFYATRLVRLYAINNDLSSAHQLFDETPQRSIFLWNSIIRAYAKTHLFSDAFALFKRMLSLETSPDNFTFACILRACSEKADINGLEIVHGLGVVLGFGLDSVCCSALVSAYSKLGHLDKASRVFYGILEPDLVLWNSMISGYGCCGNWEKGMELFRKMRELGKCPDSYTVVGLSIGLTDPTLLRIGESVHGFCVKCNFGWIGHVNSVLVNMYSRLKCMDSAYKLFNSLLQPDLVTWSALITGFSQAEQHNLALDFFRKMNLEGRKPDHVLISSALAAAAQTAIVRPGCELHGYAIRHGCHSEVTVSSALIDMYAKCGYLGLGMKLFKSMPKKNIVSYNSIIACLGLYGFAAEAFKTFEEVLSEGIRPDESTFAALLGACCHSGLVDTGREYFRRMRDEFGILPKNEHYVHMVKLIGMAGELTEAFELVQSLQQPADSSIWGALLSCCNVHENYELAEVVAENLFKSKQTKNSYKVMLSNIYASDGRWDDVQKLRFDAEDLKGKIPGIAGLIV >CDO98585 pep chromosome:AUK_PRJEB4211_v1:4:7107606:7110273:-1 gene:GSCOC_T00022736001 transcript:CDO98585 gene_biotype:protein_coding transcript_biotype:protein_coding MTCIFRKKIGEKNLGYCNDTIIPRIYITEIAQKFESYTFVNSKFEPLVIYCTTLLLEIKFLSFLEKKNRTINASIKLLEMLKFHVLCFLIQKLDFSSYTGIARMISSSNRNLVTFSCIIAYFVAKKYSVSGAKDTLGVSESLKLHNGEILESSNKRYRFLSDHSSSFLVIQFVYLNHSINVWAANSYLAAPAMSRISAITMNESGRLEVYGHGNSDAAVFTVNAEQKVMIGKTSATLLDNGNLVLRSRSGHTVWQSFDYPSHHTWLSSGMKLGISLLSQRSTTCCLQRAASGPSLAPSPGSPSFPVGPSLAPASGSPSFPVGPSRSPAPGSTSLPPGPPSGRKKSKNLRVKPYVVGAAIATFLVSLVLLFWCCKLQKRKGNHRKFMRPGRPQLDDKGDDESLFFSFTSIEIATDHFSEENKLGQGGFGPVFKVS >CDP16994 pep chromosome:AUK_PRJEB4211_v1:4:15006691:15009278:1 gene:GSCOC_T00011354001 transcript:CDP16994 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKSYVVQMVPLAAMAMVECYRCSLIHTDQSSHVRRNESLCLCCLLKCYCHFILSPFSFIFERKNRPHLNLSLLCKFFLLSLLGYNCVFTDISYSSPTLGSATTNLIPAFTLLLAVIFKMEKLNLRSSRSQIKIIGTLVSIAGALVLTLYKGPSIGSFATNSLSPPSESSHRPLNSSSYLMEVRNNWVIGGFFFATACLSVSFWNISQAALLKGYLSQLTTAAVFCLFGSIQSAGVSLIAERNNPNPWKPRPVIEVITIVYSAVFGSIMTFCAQTRKGPVFVAMFKPLGIAIAAMMSVIILGGTLHVGTQDISQPRSAVYQVKPLTPVHNTASRPT >CDO98242 pep chromosome:AUK_PRJEB4211_v1:4:3908216:3912280:1 gene:GSCOC_T00022270001 transcript:CDO98242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate O-hydroxycinnamoyltransferase [Source:Projected from Arabidopsis thaliana (AT5G48930) UniProtKB/Swiss-Prot;Acc:Q9FI78] MKIEVKESTMVRPAQETPGRNLWNSNVDLVVPNFHTPSVYFYRPTGSSNFFDAKVLKDALSRALVPFYPMAGRLKRDEDGRIEIECNGEGVLFVEAESDGVVDDFGDFAPTLELRRLIPAVDYSQGISSYALLVLQVTYFKCGGVSLGVGMQHHAADGFSGLHFINSWSDMARGLDVTLPPFIDRTLLRARDPPQPQFQHIEYQPPPALKVSPQTAKSDSVPETAVSIFKLTREQISALKAKSKEDGNTISYSSYEMLAGHVWRCACKARGLEVDQGTKLYIATDGRARLRPSLPPGYFGNVIFTATPIAIAGDLEFKPVWYAASKIHDALARMDNDYLRSALDYLELQPDLKALVRGAHTFKCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIAYEGLSFILPSPTNDGSMSVAISLQGEHMKLFQSFLYDI >CDO98660 pep chromosome:AUK_PRJEB4211_v1:4:7910256:7916504:-1 gene:GSCOC_T00022828001 transcript:CDO98660 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTAFGYSCRPYISLRCPLRLCLHQVRLSCAAAMETIDEAENQRNSERIGGDGQKASQWKKLNSADLGIRSSMIPRTTRAVLNGLKRKGFEVYLVGGCVRDLILRKTPKDFDIITSAELKEVMRTFSRCEIIGKRFPICHVHIGDNIVEVSSFSTCGRNSRRDFSLVFEKPVGCNEKDYIRWRNCLKRDFTINGLMFDPYAKLIYDYIGGVEDIRKAKVRTIIPASFSLTEDCARILRAIRIGARLQFRFAKDTALSVKKLTSSILRLDRGRLLMEMNYMLAYGSAESSLRLLWRFGLLEILLPIQAAYFVDSGFRRRDKRSNMLLSIFSSLDKCLAPDRPCHSSLWVALLVFHRALFDHPSDPSVVAAFCLAVNNGGDLSEASDIAKRITRRHDTNFVELLEPQDLDFDSLVAEVKHLAALVQDTLANMTDEYSVSRAMAQYPKAPYSDIVFIPLALYLKVCKIFECVRAGKERGFVPKQGSKIHHELLALGSLQEVRHVFARVVFDALYPIDLKQ >CDP18091 pep chromosome:AUK_PRJEB4211_v1:4:14479119:14481890:1 gene:GSCOC_T00008268001 transcript:CDP18091 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLEGRDREPTQGSKVESPPKCNAKRRITYKHPFIKTIVGERVRKHARKDPRRRATFEALIAQLDGEKERTGVDRFSLRQVVKFICATNRPDELDLEFVRPGCIDRRLYIGLPDAKQRVQIFGVHSAGKRLAEDVDFEKLVFRTVGYSGADIRNLVNEAGIMSAFSLLVQVRKGHTKIYQQDIVDVLDKQLLEGMGVLLTEEEQQKCEQNVSFEKKRLLAVHEAGQIVLAHALVPSF >CDP12773 pep chromosome:AUK_PRJEB4211_v1:4:12799370:12799738:-1 gene:GSCOC_T00037418001 transcript:CDP12773 gene_biotype:protein_coding transcript_biotype:protein_coding MIWEMGFVSPFFKPAVQLLLLQTLDFMVQRLRVTHMSLYFKGGKLRAGGKLLGKVPFGDDVFGGSRRTTPPTLPAADHSKLLP >CDO97745 pep chromosome:AUK_PRJEB4211_v1:4:197818:202104:1 gene:GSCOC_T00021628001 transcript:CDO97745 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPTAATAKQHKTAAAKKLVLPSIIGLLGFALIADLLWAASSPSPASSSSSSSTPFVVISHSPFPNHTHSAPATFKDKDAADKHVKTNQRLLSATFSDLPAPLLEWEKMAPAPVPRLDGAAIQIGHLLYVFAGYGTIDYVHSHVDIYNFTDNTWGGRFDMPKEMAHSHLGMVTDGRYVFVVTGQYGPQCRGPTARTFVLDTQTKQWSNMPPLPLPRYAPATQLWRGRLHVMGGSKENRHTPGSEHWSIAVKDGKALEKEWRTEVPIPRGGPHRACVVVDDRLYVIGGQEGDFMAKPGSPIFKCSRRNEVVYGDVYMLDDDMKWKVLPPMPKPDSHIEFAWKIVNNSIIIVGGTTEKHPETKKMTLVGEVFQFQLDTLKWSVVGKLPYRVKTTLVGFWNGWLYFTSGQRDRGPDDPAPRKVIGEMWRTKLHL >CDP14391 pep chromosome:AUK_PRJEB4211_v1:4:23163491:23166695:-1 gene:GSCOC_T00040773001 transcript:CDP14391 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKKLTSAAAAPAILHHSRRLVRPNFITNDKLVGSFIPSKNPSQWTSNRFLDIYQLANKAAIEKERARISDEMSRGYFADFGEMKKHGGKIAMANKIIIPAMAATKFPALEVNFSDGSSLKLPITSSGNGSSADKLDVPKASLLCLSFRGSSQAMINSWSKPFLDEFCSFNETQLFEVSLIESWLLRRNPIKKLLLRIMKKPSPDDKKNVLQRQIVYSFGDHYYFRKELNILNLLTGYVFLLDKFGRIRWQGFGSATPEEVSSLLACTALLLEEA >CDO98329 pep chromosome:AUK_PRJEB4211_v1:4:4664865:4674146:-1 gene:GSCOC_T00022391001 transcript:CDO98329 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYLESLVRYVENNAEPLIEGTLVLKLNPVGLRYVQSRLEALSELESLLSGAPVDYLRAYISDLGDHRALEQLRRILRLLPSLKVVSVLPPPGRDPTPLSLLPFGRLKVLELRGCDLSTSAARGLLELRHTLEKLICHNSTDALRHVFASRIAEIKNSPQWNRLSFVSCACNGLLLMDESLQLLPAIDTLDLSRNKFSKVDNLRKCTKLKHLDLGFNHLRSIASFSEVLCQIVKLVLRNNALTTLRGIQNLKSLEGLDVSYNMISNFSEIEILSGLPSLQSLLLEGNPLCFARWYRAQVFSYFPFPNSLKLDEKKISTREYWKRQIIVAGRQKQPASFGFYSPAKDDGELDGTINTKRKRTSRLASIESEDQSTCVCSDQDSALGDSENQSKKEDANSDEEEIADLMNRIEIMKKERSALWFQEFKEWMSPVTQSFDDRKCTGTNNSVNEEVYVKGNTRHRYPGESSRYVSDSFQASGDDSSTNILESNNSFADASLGWNTQSSLDRIGEVASTLFTGQSGGDSVPVIRSFPMDKEHPKSLKNQGSMSAIDNRVESNSSSIAPGSPPHYQQDILHRRQNLEEEFLQFSAESLSVASTDSDTSSEEDSAEFGSWIPQVDRSLIGNFSGTGLDDCSTALCSDDVHHEGENRASASEQNGLCELDIGTRGIPGVENEADWQEEKLRKKKSKRRVVSLAEEDNVDIEPGPVHKSNGDLDIYKVETRHEHHRYISGKESLSMESDDMIMTILNSNNANFGAPESCRQFVRCRCLLQEKSELVESEVAILSGVNKFYVLLGGESDGSVTCLKLIGCHEIGDVREVFVGLGLQVVRVCCKDKSYLFITMSVEKSRKLLALLDSFDSSVLQDNCPLTSLEQVQVNIFERHVYGSATMSIFQYSMVMFWSSNLEEGLWLSRSLFVLKWQLLVCIEDLKQFGTLAEEKSSSSYFILDASCSIINISEMVIDNKDRECMTLALQCALDKQDAGHATLAKGKPSSGPFTWKFKWFSEESLYKFVALLKAIHAELTTSPLCVRWIS >CDP12408 pep chromosome:AUK_PRJEB4211_v1:4:20020779:20023477:-1 gene:GSCOC_T00035930001 transcript:CDP12408 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAISFVIERTGDLLIQKIVFLKGVRRQVERLQNDLVRMRCFLKDADQRQDEDARIRNWVSEIRAAAYDAEDIIEIFASKVEMRLKETADSREEYGIKNLGEGMTTHGEELQRIRRSSPLSEDKDIVGFREITKSLVAELLKEDRNRRVVSIIGMGGAGKTTLAKKVYNHADVRERFKCRAWVCVSSSYDYKKILRSIIKQLNEMSKELLEVLEKMEEEDLERRLFQDLQDKCYLVVLDDVWTEEAWDCLAGAFPDVGTSSRLLLTSRNLDVAQHADAYRHPYELKTLGQEDSWQLFLKKALGHGANAVCPPDMEEVGREIVRRCAGLPLAITVIGGLLLGKKELKNEWEKILNDFSTYLSRSQNRVSAILELSYAELPPNLKFCFLYLGLFPEDSVISVCKLIHMWVAEGIIQKRDAKYLEETAAYDDVERLCSRNMVQVAEMTVDERIKSCRVHDLLQELAIRKAEDEYSLQIHDTRDDKISAKSRYLAVHILSRDKNHFGTSPAPLRSLLFFNTHGYEENISLSFESFRKLRVLDLENVMMYSNLPEGIGKVRLLRYLGLRRTSIGRLPHSFGCLRNLQTLDIRTTYVAMEVSNFIWKLESLRHLYARGMECDVPLKIEGLSNLQTLLGIRFDDIMHNNMITLTSLQKLGILVDRRSDIDKLCMHLSEVGSLKTLHLDGSSAISGELPQLPSLAGLSKLHHVTELKLIGKFLTMLPPDFPPNLSRLSLKFRELEYDPMPVLEKLGQLSFLKLEAVWGRQLVISRHGFHQLKFLELYLVYGLHEIEVEEGALPQLQCLRIRKCYSLEKLPEELKHISTLDALELVSMREDFISRLDADIASRIPNLRIF >CDO98166 pep chromosome:AUK_PRJEB4211_v1:4:3352179:3355143:1 gene:GSCOC_T00022172001 transcript:CDO98166 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVCGKRSFFDDLQSPSPTASSPPAAKKHRCISSASPVRFLHSPPQQPPLIDRLMAAFPDMEKELLEKALEEFGHDLDSAIKSLNKLRLGYVEGELHSVVEAHARGKNGISSSDEGVSVAPEDASTQSNIPVDGAEWVELFVREMMSATSIDDARSRASRVLESLERSISARVGAEAAESFTKENTMLKEQIEVFLRENSILKRAVAIQHERQKEYDDRNQEVQQLKQLVAQYQQQLRTLEVNNYALTMHLRQAQQGNSIPGRFHPDVF >CDO98265 pep chromosome:AUK_PRJEB4211_v1:4:4065580:4070494:1 gene:GSCOC_T00022299001 transcript:CDO98265 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPLILQVSVYIWKRKMAITFVSSRAKTTKDILQSLLKKAHYFRPFSSFCDKDPPSPDLVNELSRILSDYRSPHHDIQSVLHPFSSKMSTNLVEQVLKRCKNLGFSAHRFFLWARELPGFQHSKDSHHILVDILGSSKQFPLTWDFLVEMRDTRKFEISSEIFWIVFRAYSRANLPAEAIRAFNKMLDFGITPTVNDLDQLIYLLCKKKHVRHAQEFFDKAKDDFTPTAKSYSILIRGWGEIGELGNARKLFDELLGRGSEIDLLAYNSILESLCKGGKVDEAYKLFSELRSKGFVPDAFSYSIFIHAFVEVNDMHSAFRVLDRMKRYNLVPNVYTYNCIIKKLCKNNNVEEAYLLLDEMVERGVMPDVWSYNAILAFHCDHNEVNRALKLISRMDDYGCQLDRHTYNMVLKMLIRVGRLDRVQKVWESMDQRGFYPPVSTYAVMVHGLCKKKHNLQEACKYFEMMVDEGLPPYTETCNLLRNKLIGLGIAEQTEILADKMEKSTSCSIQELSSIMRGTKVPRKVDNKMRF >CDP12706 pep chromosome:AUK_PRJEB4211_v1:4:11482936:11489994:1 gene:GSCOC_T00037305001 transcript:CDP12706 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFE3 [Source:Projected from Arabidopsis thaliana (AT5G50210) UniProtKB/TrEMBL;Acc:A0A178UIT6] METASLAMRASCSSFFSKSLSPFNTNHRTLQPCFSFYKPPIRCLDILNSDPNSQPLFKSTSEDPSGKIPSFSCSAVTSFTSHQPNISSNTREKLQLLVSEFQALKEPVDRVKRLLNYASCCPSMEASLKIAANRVPGCTAQVWLYVMMDDDQRMRFLADSDSEITKGFCSCLISVLDGATAEEVLELKTEDLGALSVVGLNGIGGLSSTASRVNTWHNVLVSMQKRTKALVAERQGRPRGEPFPSLIVNADGIEAKGSYAQAQARFLSADPLKVQELANLLKEKKIGVVAHFYMDPEVQGVLTAAQKLWPHIHISDSLVMADSAVKMAKAGCKFITVLGVDFMSENVRAILDQAGFTEVGVYRMSNEQIGCSLADAAASPAYMDYLSGASVSSPPLHVVYINTSLETKAYSHEIVPTITCTSSNVVQTILQAFAEVPNLSVWYGPDSYMGANIAELFRQMAVMTDEEIAEIHPKHSRSSIKSLLPRLHYFQDGTCIVHHLFGHEVVEKINEMYCDAFLTAHLEVPGEMFSLAMEAKRRGMGVVGSTKNILDFIKQKLQEALNRNVDDHLQFVLGTESGMVTSIVAAVRQLLGSANSSSDRGKVSVEIVFPVSSESVTRTAPSSSVGLNLGDSRELMGVSVIPGVASGEGCSLHGGCASCPYMKMNSLDSLLKVCQNLPNNKSKLSAYEAGRFSMKTPNGKLIADVGCEPILHMRHFQATKRLPEKLIHQILHHSDKSTSY >CDO98464 pep chromosome:AUK_PRJEB4211_v1:4:5823826:5824133:1 gene:GSCOC_T00022564001 transcript:CDO98464 gene_biotype:protein_coding transcript_biotype:protein_coding MLESCSRLVKASHYASHCRKTFCLLSRNDCSVADLDAICKPGRPQLYVHQVHILMVFLNTVAPFAEETSTS >CDP20874 pep chromosome:AUK_PRJEB4211_v1:4:8940311:8943504:-1 gene:GSCOC_T00010619001 transcript:CDP20874 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMKQMSLIIATLGALSFIFGVVAENKKPASGTAVTVKDIVICNYPKDPTVALGICSIVFLVAASVAGYLSLFYPYRGKSVPQAALFRNTGFSVFFNIALGLTGLALAMLLWPTITEQLHLSHKVHHNLQTDCPTAKTGLLGGGAFLSLDSALFWLVSLMLADNAREDYFDEADVKGERVTSQGDDYEPDNLVKGSV >CDO98603 pep chromosome:AUK_PRJEB4211_v1:4:7312487:7314823:-1 gene:GSCOC_T00022759001 transcript:CDO98603 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSTITRVPVAACSSISFSETKRRIQPTRLTTTDRIRLPAQRFSRTRKCHFTTVSCLPPAPLPLSKTHFNPSTRLFVSGLSFRTTEESLTNAFKAFGELLEVNLVMDKIANRPRGFAFIRYATQEESQKAIEGMHGKFLDGRVIFVEFAKSKSELRQSLKQKPKLT >CDP18313 pep chromosome:AUK_PRJEB4211_v1:4:21461567:21463918:1 gene:GSCOC_T00004343001 transcript:CDP18313 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWSNDAQTAFLFQLVFPLRWKTPVEEEEFSNMLFSSYHAMKYHTPSLTLTPLKNFKSLRVNIHGLPLISKACTLPSCFYLKKYYDLPCYLHFSKYVWICKNEILLLYKVRDEKYLLDLQRVSGPQILFLEFCAIFVVLLEAS >CDO98396 pep chromosome:AUK_PRJEB4211_v1:4:5127778:5132366:-1 gene:GSCOC_T00022474001 transcript:CDO98396 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDSKTTTSPDVNSKREAELPELVSASKKPRTLAEEKQTSEANNNSNNVSSNENADSSQENEHKDKNVEERRLSFAVEADAAEDKGSRHTMEDAWVVLQDASLDFPGKLRCAHFAIYDGHGGRLAAEYAKKNLHRNVLSAGLPRELLDVKAAKKAILEGFRKTDESLLQESTAGGWQDGATAVCVWVLGQTVFVANVGDAKAVIARSTVVDGSQHNSTTTDSLKAIVLTREHKAIYPQERARIQKAGGTVSSNGRLQGRLEVSRAFGDRQFKKVGVVATPDIHSFDLTERDHFIILGCDGLWGVFGPSDAVEFVHKLLQDGLPVAVVSRHLVREAVRERRCKDNCTAIVIVFRKN >CDO98674 pep chromosome:AUK_PRJEB4211_v1:4:8114749:8121515:1 gene:GSCOC_T00022846001 transcript:CDO98674 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGTRAARETGTATRRRGGNGRRRRIGQRRPGTEVVGVQKQGEGKERTAEFPAREPDRRKQTAAILEYSLRNITATNQQGWPTWLVAVAGDAIKDWTPRRANTFEKLDKIGQGTYSNVYKAKDLITGKIVALKKVRFDNLEPESLKFMAREILVLSKLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLSAGQEVKFTEPQVKCYMHQLLSGLEHCHNNGVLHRDIKCSNLLIDNEGNLKIADFGLASFYDPEQKQPMTSRVVTLWYRPPELLLGATYYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSRLPNATLFKPQQPYKRCISETFKDFPPSSLPLIETLLAIDPKDRGTATAALNSNFFTTEPFACNPLSLPKYPPSKEIDLKLRDEEARRQRGLSGKPHAVDGARRVRARDRISRAVPAPEANAENQANLDRWRMMTEANAKSKSEKFPPPHQDAAVGYPLDNSNNGPLSFNVADNSFNSSIFDPKSSRSLKNTAATGGPSRRRIKKEEPQMVPSRGIFNAFLPSTVRLSMDLRFKKESASEIFGRQK >CDP15077 pep chromosome:AUK_PRJEB4211_v1:4:10117974:10125211:-1 gene:GSCOC_T00042639001 transcript:CDP15077 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDIQNPNIRILCRKLVLPKLHDQSAEIPQIQWLIGSPFFPSPTIIATIRCINSADFSRESDDIRILLPKGFEVIGALIVKNDPNFENSVGEAVDAAVRLRKLLYGDAGGGFSEKDQALVGGVVDLNNAGDVQFFTFKTGNVKKIEKISSVLYEEQPEKYVWERGCLLQCELPIKFPVYYPAKNLKEVEKMYIRATEVVASNLVDLKTTYIMEANGPSAGEQPVVLSGTDLELHKEMSSTTSQESKVKTFACSDLCLKAGKAISYTSIEEYADIIHVSILSNRSKRSLKPAAPIAEYYPAVEDTKVLVVDHKLEVLCYAAKDLSLAAAVSKLIIPALVDQILSMRNKILSHLLTEHPQLQPYHFVPPGFFIPITVFYELSYGEMEMKQVETRKALHIRFGLPLDRPLLRVVNAINLSVKDNKTTGSALRKGSSLLKDVHLGIPSSGVSGGTVSLIQGSYEYYHYLLDGFDDSGWGCAYRSLQTIVSWFRLQHYSGIDVPSHREIQQTLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGAELPEKCRELALHFDTQGTPVMIGGGVLAYTLLGVDYNEVSGDCAFLILDPHYTGTDDLKRIVSGGWCGWKKAIDSKGKHFFLHDKFYNLLLPQRPNTV >CDP15063 pep chromosome:AUK_PRJEB4211_v1:4:9852110:9857900:-1 gene:GSCOC_T00042612001 transcript:CDP15063 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNGEEFWEGGSDVQRGRGVDVDELFQGRYGNDDVGGEVEGEENYGTEEASGYSGDRTYNRRFEYPLRLDAEDCAYYMKTGSCKFGMNCKFNHPPKRRNQGTRDKAKPRVEDSERLGQTECKYYLTSGGCKFGSACKYNHSREKSALAPVVEFNFLGLPIRPGEKECPYYMRTGSCKYGSNCKFNHPDPTSVAGNDHASGFVAGGSAQLHGAAQPSASSWSSASMIFPPAQGMPSNAEWNGYQATVYPTSERSLPTPPAFAMNNPVSETNFYAPLQQQMPVDEYPQRPGQPDCSYFLKTGDCKYKANCRFNHPKFQSSKSTSCALSDKGLPLRPDQSICSFYNRYGICKFGPACKFDHPENWGKSVASGGVRMARNEMEAGFS >CDP15078 pep chromosome:AUK_PRJEB4211_v1:4:10125954:10129474:-1 gene:GSCOC_T00042640001 transcript:CDP15078 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSQPLKGARITGSLHMTIQTAVLIETLTALGASVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGSEGGPDLIVDDGGDATLLIHEGVKAEEEYEKTGKLPDPSSTENAEFQIVLGIIRDGLKVDPKKYHKMKGRLVGVSEETTTGVKRLYQMQANGTLLFSAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKSAGARVIVTEIDPICALQALMEGIPVLTLEDVVSKADIFVTTTGNKDIIIVDHMKKMKNNAIVCNIGHFDNEIDMLGLENYPGVKRVTIKPQTDRWIFPENETGIIILAEGRLTNLGCATGHPSFVMSCSFTNQVIAQLELWKEKATGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTSGQAAYINVPVEGPYKPPHYRY >CDP16405 pep chromosome:AUK_PRJEB4211_v1:4:17973967:17976314:-1 gene:GSCOC_T00018267001 transcript:CDP16405 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGKFRRWKISIYKSSSSSSSSSSKSQQKLDPPAEFLCPISGSLMSDPVVVSSGQTFERVAVQVCKDLGVIPNLSDGSTPDFSAVIPNLALKSSIINWCRQSRVEIPSPPDYSSMETTIVQAKTASQQEPSVSETELLRGVENNPQFLFSHAASDLNPRNNYSSSSEESVIANATPFLPFATRPACYSSPSSSAPSSSSSETVYDEALGTPDGIGTGIGMDEMFVTKFKSLDVMEQEEAVILLRKTTRANDEDARVRLCTPKLLQAIKPLLVSRYPIVQTNAVASIVNLSLANVNKVKIVRSGMVPILVDLLKGGFEESQEHAAGGIFSLALQDENKMAIGVLGALEPLLHALRSGTELTRRDSALALYHLSFVSSNRVKLIKLGAVGILLGMLRSGVLVGRVVLVVCNLAVSPEGRSALLDGGAVASLVAILRDGSESDSSESTRENCVAALYSLSHGSLRFRGLAREARASEVLQAVVEHGSERAREKAKRILAVLRGRDGEEESGEIDWEAVMEGGLSRTRHRVPARYSSGLNSTEF >CDO98454 pep chromosome:AUK_PRJEB4211_v1:4:5699846:5700784:-1 gene:GSCOC_T00022548001 transcript:CDO98454 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRFMLRPTRSNLRPTGSSSYSPLSCTSQQCTSLSPNYKCGRNKACIYEALYWDNSVSIGEFATETVSFGSSGSVQKVAIGCGHENQGRFGKAAGILGLGGTAVGIPSQIQAKSFSYYLVDLDSSSSSTLEFNSAPPGDSILIPLIMNLKSEIYYYVELTGITINGEQMPIPASDYQIGEDRRGGIIVDSGTTISALPAQVYNSVRDTFVKYAKNLPPAGAVGDLDTCYDLSSMPTRDGFPMISFQFFGGKTLPLKPQNYLFRAGSGGTFCLAFKTTSQSVSIIGNTQQQGFQVTYDLANKMFGFRPNQC >CDO98471 pep chromosome:AUK_PRJEB4211_v1:4:5899676:5908022:-1 gene:GSCOC_T00022576001 transcript:CDO98471 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH2 [Source:Projected from Arabidopsis thaliana (AT3G18524) UniProtKB/Swiss-Prot;Acc:O24617] MDDEEQSKLPEFKLDAKQAQGFLSFFKTLPSDARAVRFFDRRDYYTAHGENATFIAKTYYHTTTALRQLGSGSGAISSVSVSKNMFETIARDLLLERTDHTLELYEGNGSNWRLVKSGTPGNIGSFEDILFANNEMQNSPVIAALVPNFRENVCTIGLAYLDLTKRMLGLAEFLDDSHFTNVESVLVALGCKECILPIESARSSECKSLLDALSRCGVMITERKKTEFKGRDLVEDLSRLVKGSLEPIRDLVSGFEVAPGALASILSYAELLADESNYGNYSIRQYNLDNYMRLDSAAMRALNVMESKSDANKNFSLFGLLNRTCTAGMGKRLLHMWLKQPLLDVNEINSRLDLVQAFVEDTGLRQDLRQHLKRISDIERLVRNLEKKRAGLLHVVKLYQSSIRLPYIKSALERYDGQFASLIKERFLDKLEDWTDDRHLNKFIGLVETSVDLDQLENGEYMISPDYDSTLSAMKDEQESLEKQIDNLHRQIANDLDLAVNKTLKLDKGTQFGHVFRITKKEEPKVRKKLNTHFVVLETRKDGIKFTNSELRKLGDRYQKIVDEYKNYQKELVARVVQTAATFSEVFEGVAGLLSELDVLLSFADLAACCPTPYTRPEITPPDVGDVILQGSRHPCVEAQDWVNFIPNDCELVRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQIGSFVPCDKANISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATNKSLIIIDELGRGTSTYDGFGLAWAICEHIFEVIKAPTLFATHFHELTALANETSDDERSSDNIAGVANYHVSAHIDSASRKLTMLYKVEPGPCDQSFGIHVAEFANFPESVVALAREKAAELEDFSPMAFMPKDAKEGATKRKRELDPDDMSRGAARARQFLQNFSELPLETMDFEQALQHVSQLRNDLEKDAVNSRWLQQFF >CDP16902 pep chromosome:AUK_PRJEB4211_v1:4:13054118:13066847:-1 gene:GSCOC_T00005247001 transcript:CDP16902 gene_biotype:protein_coding transcript_biotype:protein_coding MADPALSFVIERTGDLLIQKIAFLKDVRRQVERLRNDLVRMQCFLKDADQRQDEDARIRNWVSEIRDAAYDAEDIIEIFASKVEFIKDKGLVTKLTFYPLKFVNLYKIGKEIKSLQMTLSDIADSREKYGIKNLGEGMSTQGEELQRLRRSSPFNEDKDIVGFEEITKSLVAELLKEDRNRRVVSIVGMGGAGKTTVAKKVYNHADVRARFNCRAWVCVSSSYDHKEMLRSIIKQLNPISKELLEVLEKMEAQDLEQRLYQDLQDKCYLVVLDDIWKEEAWDCLARAFPDVNTSSRLLLTSRNRDVAQHADALSKPHELKTLGQEDSWQLFLRKALGHGDNAGCPPDLEEVGREIARRCAGLPLAITIVGGLLLAKKKLKSEWEKVLNSFNTNLSKSQSGVSAILELSYADLPANLKFCFLYLGLFPEDHVISVRKLLFHMWIAEGLIHQKGVEILEDVAADRLDELVYRNLVQVVAWTANGKVKSCRVHDLLRDLAVAKAEEVMFLKIFGESSSSFPSSKCRHLLVNSCSERLNFPGEFEHSTPPLRSLIFFNLAEDKHEVNLSFVRFKLLRVLDLQNMNISYLPEEIGELSLLMYLCLRYTRIERLPLSLGCLQNLQTLDIFTFASAVEVPNVLWKLRNLRHLYVCETIKRVPLKFDTLKNLQTLCDVYLDNLIGNKIMVMTSMRKLGVWIERSSRIDELFSSIAKLENLVHLVLIRYGEEGFPSLLGLSHLNYVKRLRVSGRLTELPSPHNFPPRLSHLSLRATRLAEDPMPTLEKLEHLSILKLKNAYAGKELSISENQFPNLTVLQLEQLPNLVEIKIGRGAMPQLRCLRISNCYFVEKLPEELRFMKALEKVEVEDMPKRFITRLHGMDSYKVSHVPNIIVTGTLAPRMLGGMLKARRVLDAFVSVTRGKRTSDAMVIKELVVLFVP >CDO98187 pep chromosome:AUK_PRJEB4211_v1:4:3524512:3526717:1 gene:GSCOC_T00022201001 transcript:CDO98187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT6E [Source:Projected from Arabidopsis thaliana (AT1G80510) UniProtKB/Swiss-Prot;Acc:Q9M8L9] MDSNYSAISKDSDVELKVKDHVLGSKDKSFRLISQDEELGYVKPDNVDDSEVRDDLDFDNFPLIIGEAKSGSGIYGAVFNLTTSIIGAGIMALPATMKVLGLVLGVVLILLMGILSEISVELLVRFAIHCKASSYGEVVQAALGRAARILSEICIIVNNAGVLVVYLIIMGDVLSGSIRHIGVFDQWLGHGMWDHRKLLVLVIVVIFLAPLCALDKIDSLSLTSAASVALAVVFVFVAFVVAFIKLVEGKIEAPRMAPDFGSKTAILDLLVVIPIMSNAYVCHFNVQPIYNELEGRSPSKMNRVGRITTVICVLVYASTAIAGYLLFGKDTEADVLTNFDKDLGIRFSTALNYIVRIGYIFHLILVFPVIHFSLRQTVDTMLFEGSAPLQESKKRCLALTLVLLGLIYFGSTMIPNIWTAFKFTGATTAVSLGYTFPALIALRLSKEGVSLSFAERLLSWFMLTLAIVVSVAGVIGNVYSIKSQSE >CDO98519 pep chromosome:AUK_PRJEB4211_v1:4:6444637:6447252:1 gene:GSCOC_T00022647001 transcript:CDO98519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g18485 [Source:Projected from Arabidopsis thaliana (AT1G18485) UniProtKB/Swiss-Prot;Acc:Q0WN60] MALVLPLFSSHHLHHHNHHHHHHLPIRNPISNHSVQSISTPVENRSGRRNSPLEEITELCESKTLIKALNLIQENPHNSFLDPTQKALALGILLQACGVDKNIEIGRKVHELIRESIHLRNNPVLNTRVITMYAMCGSPSDSRSVFDQIRGKNLYQWNALLSGYTRNESYYDALYLFDEMISITEYMPDNFTFPCVIKACGGILDVDLGMAVHGMVVKMGLASDVFVGNALIAMYGRFGILENADKMFEFMPEKNLVSWNSMLSVLSENRCFQESFNFFRELLMGLDGLVPDSATMVTILPACAGEGDSVMGKAVHGLVVKFGMSGDVMVSNALIDMYSKCGLLEKARVTFDLNDSKNVVSWNSMIGGYSRKGLIDGTFDLVRKMQMERDKFKANELTVLNVLPCCQEASELLYLKELQGYSIRHGFEKDELLANAFITAYARCALLSTAECLFDKLERKAVSSWNALVGGCVQNGNPSKALEKYLEMARSGIDPDLVSIGSLLLACNHLKSLWYGKEIHGFVLRKGLEIDSFISTSLLSLYFSCDIPAHAQVLFDSMETRGLVSWNAMIAGYLQYRLPCAALDIFREMVSDGIQPKEITIVGVLGAISRLSALHLGKEAHCFALKVDLMKDSLVNCSLIDMYAKSGSIELSQTVFDHVQDKDTALCTAMISGYAIHGYGKEAHMLFQKLKKLGLKPNLCTFSNILIACKHAGLIEQGLSYLTEMHAFQKIEPKLEHYACVIDMLGRAGRFVDALELIASMPIKPDARIWSSLLSSCRNHRELDLGNEFAEKLLELEPSRAESYILVSNFFAGFGKWDDVRRVRGIMKEMGLQKDVGCSWTEVGGKTYSFIVGDEMLPDSEEIRLMWKTLE >CDO97720 pep chromosome:AUK_PRJEB4211_v1:4:5002:5616:1 gene:GSCOC_T00021597001 transcript:CDO97720 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKSNKITEIVRLQQILKKWKKLAAKESSSSLNNGAVSGGSSDVVPKGYLAVCVGEELKRFVIPMEYLGHQAFGILLREAEEEFGFQQEGVLKIPCQVAVFEKILKMTDERRDTPDAFHLHDFGLTTTASGDQLDMDINSNVGYCYSPHHHQPPQMCR >CDP17739 pep chromosome:AUK_PRJEB4211_v1:4:23790866:23792798:1 gene:GSCOC_T00010517001 transcript:CDP17739 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGILKVTSGALVMLKGVRKNILYYYQGSIVVGTAAATTSSSSKKDAEATKLWHMRLGHAGEKSLQNLAKQGLLKGTKVCKLEFCEHCVLEKQRKVKFGTGIHNTKGILDYVHSNVWGPAKTPSLGGRYYFVTFIDDFSRRVWVFTMKSKDEVLEIFLKWKARVENQTGRKIKILRTDNGGEYKSDPFQKIGQECGIVQHFTVRKTPQQNGVSERMNKTLVEKVHCMLSNARLGRKFWAETVTYAQHLVNRLPSSAIGGKTPLEVWSGKPTTDYDSLRIFYSTAYYHVNESKLDPRAKKAIFMGLNAGVKGYRLWCLEAKKTIISRDVTFNESAMLNKVTQNGTSGTPQQVECTPKQVEFEQIVVSPANSTISDSPMAEEESDEEEISTQDLNSSKSQLPSIGQNEKFINLLVLLTWWLMHFQLLMMFHPHFLK >CDP12737 pep chromosome:AUK_PRJEB4211_v1:4:12349620:12350108:-1 gene:GSCOC_T00037367001 transcript:CDP12737 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKISSAIFCFVLLAMFWPSRAQNSQQDYLDVHNAARAQVNVGPIAWDDRLAAYAQNYATQRMNDCQLMHSGGPYGENLAAGSGDFTARAAVNLWVNERQYYDYGSNSCTQGKECRHYTQVVWRNSARTGCARVQCTNSPSWFVICSYDPPGNYIGQRPY >CDO98638 pep chromosome:AUK_PRJEB4211_v1:4:7661381:7663226:1 gene:GSCOC_T00022800001 transcript:CDO98638 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPLKNHPILQLLYGCKTLNSLLQIHAQMITTGLILHTYPLSRIILVSSSITTNISYAETIFNQVKNPTIFLYNILISCYTRKGKTHDALSLYSRIFSNNMTSSAKPNNYTYPSLFKACGAQLWFQHGKALHAHVLKFLEPPYDQFVQASLLNFYSSCGKIGIARYLFYQTIQPDLAVWNSILSAYATNCSVNFDAHADSFCDSTGLWLEVLHLFSEMQKCSIQPNEVTLVALISACADLGALSQGMWAHGYVVRKKLGLNIYLGSALINMYSNCGRLKLAYQLFDELPERDTFCYNAMIRGLAVHGHGREALQLFEKMALEGLVPDDVTLLVVMFACSHLGLVDQGCKYFYAMHRDYGIAPKLEHYGCLVDILGRAGRVAEAEETIHAMPMKPTAVLWRSLLGAARVHGNIQVGKVALKCLIQLEPETSGNYVLLSNIYASMNRWDDVKNVRKLMKEFGINKMPGTSVLEIDGEMHEFSSGDKTHPKAKEIFEARRYEW >CDO98466 pep chromosome:AUK_PRJEB4211_v1:4:5836066:5845433:1 gene:GSCOC_T00022566001 transcript:CDO98466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MLLVDKCHINPEHKMVSDTLQINHHLTNGEAENNLHKQSEKALCQPLNGSLGEVSSLGFGANRTHTDEESVDISEVTTRDSAATSNSNSHLDVIPKTGKRQNDMTLEDIYNKEYNFDDDDDDSDWDPLEKNIEVLKWFCVNCTMVNFDDVVHCDVCGEHRESGILRHGFHASPFLPEQSLDPNGSELIESSKGSRSQNVAPNNSTVVGFDERMLLHAEVEMKSHPHPERPDRLRAIAASLDTAGIFPGRCYPILAREITREELLMIHSPENIEAVELTSRMLASYFTPDTYANEHSARAARLAAGLCADLASTIFSGRAKNGFALVRPPGHHAGVKQAMGFCLHNNAAIAASAAQAAGAKKVLIVDWDVHHGNGTQEIFDQSKSVLYVSLHRHEGGKFYPGTGAADEVGTMGAEGYCVNIPWSRRGVGDKDYIYAFQHIVIPIASEFAPDFTIISAGFDAARGDPLGCCDVTPAGFAQMTEMLTALSGGKLLVILEGGYNLRSISSSATAVIKVLLGESPACNLDDIVPSKSGLRTIFEVLKIQTKYWPALESTFSKLQLRWGIYAFQDTGKQVKRRRKAVVPVWWRWGRKRLFYRVLSKQLQFKVK >CDO98626 pep chromosome:AUK_PRJEB4211_v1:4:7490874:7501425:1 gene:GSCOC_T00022784001 transcript:CDO98626 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGAGTTVPETTSLFPVRPQSSTGDEDNAPEWLRNTSFTTDLTVINDAVSTHYQQSQLEELSSEEDEERAEKSKEKLARPQYELIDSSASGRGSYSDDEEMKEKRKNRKRKKKKRRRRELSSNAASLHEYGLASSSSSSRKADIRAWASSTSDTTGSTKEYYFDSKGDRDNLAFGCIYRMDVARYKLKNSRKFSDLSFYRRSRKSSVLDGDDDIDGLDGKLRLEGRYWSAMFAALERHKNLKRIRVLAPQKIVPTVAAEFIPLSDDGGPNFRSSGDKVVEESWEDEVYRKTKEFNKLTREKPHDEKAWLAFADFQDKVARMQPQKGARLQTLEKKISILEKATELNPDNEDLVLSLMKAYQSRDSTDVLIGRWEKILVQNSGSHRLWKEFLQVIQGEFSRFKISEMRKMYANAVQALSGACSKQYRQVQRHTTGPSSDPELVRLELGLVDIFLSLCHFEWQAGYHESATALFQAEIEYTLFCPSLLLSEQSKRRLFEHFWNSNGARVGEDGALGWSTWLGKEEEQRQKIVNEELSHKAEEGGWTGWSDPPSKTKDMMEALENDRVSDMAIEESGDVSDARDDEQDDDTEALLKKLGIDVSADANNEIKDTKTWTKWLEEELARDSDQWMPVRTRSAGAPHHDVAEADEQLLRVILYEDVTDYLFTLISEEARLSLVSQFVEFFGGRISQWACTNSSSWDGTTRSLDAFSYSILDNLRKVHDILTEKQSIPMSMPLECLLSSSDDISMRTSMMKFVRNASLLCLSALPQNYVLEEAVLVAEELSNTRMNSLVSPVTPCRALAKSLLKNNRQDVLLCGVYARREAVSGNIDQARKIFDMALLSVGGLPLDVQSNASLLYLWYAEMEISNTSFDRSESSLRAVHILSCLGCGEKYTPYSRQASSLQQLRARQGFKERIRMLGPLWARGRIDDFSTAVICSAALYEELTTGPAAAIEILDQAFTMVLPERRRQSYQLEFLFNYYVKILCKYHQGMRFSEIWDAIVKGLQMYPFSPYLYSALVEISHLHTSPNKLRWILDDFCSKKPSIVAFFFSLLFEMSKGGLQHRIRAIFERALENAAFRNVVVLWRCYIAYESVVAHDFSAARRVFFRAIHACPWSKKLWLDGFLRLNSVLTAKELSDLQEVMRDKELNLRTDIYEILLQDEMEI >CDO98107 pep chromosome:AUK_PRJEB4211_v1:4:2943374:2944033:1 gene:GSCOC_T00022103001 transcript:CDO98107 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFISICHAYNLIRFPKKHPFLPSFSLTSLFHPHFIILLEKFFFFFCGFSKPSKASSELLFGLMLMATTPFAHFPTSPVICSASPQPNHHPKHNPTRQKLSPSPSNWWPPLFGWSSDPDYIQGSNSESNQESGEIQGHPGREASGRPTRSKFGLGCFTEEKAKELRKKTMESSTFHDIMYHSAIASRLASDASASGTGLRQER >CDP12312 pep chromosome:AUK_PRJEB4211_v1:4:27197344:27206564:1 gene:GSCOC_T00035777001 transcript:CDP12312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g74600, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74600) UniProtKB/Swiss-Prot;Acc:Q9CA56] MNFHIPRRFEPKISALGRRFVSSLPVRENPSIFCDQNVNNVTKPNPFHILKDPNKPRKVKLKDTKIVHANLLRTHDLYLDMFRTNYLLDCYFECGSTKYAFNLFDEIPDPNSVSWNLMLSGCNKNMLFEDSWRSFCEMHRFGLEMGEYTYGSVFSACGALGCVLRGEQIYGLSIKKGFLSNGYVRVGMMGLFSESGRFDNALRVFYDVPCDNVACWNAIISGAVKNMEYWVALDIFSNMCHRLIMPNEFTISSVVTACAALKDFDFGRGVQSWMIKCGIKEDLFLGTAILSFYAKSGCMDDAVRQFWFMPVRNVVSWTTMISGFAQNGDFVSAVEFFRQMRNVDVDINQYTITSVLIACANPDAVKEAIQIHCWIFKTGFYSDSVVKASLINFYAKIGAIDASEIVFQDSEGMKHLSTCGTMISAFAQHKSSKQAVNLFHRMLQEDVKPDKFCTTSVLGIIDSLNMGRQIHTYTIKTGLVFDVSAGSSLFTMYSKCGSLEESYNVFNLLERKDTISWALMITGFVQNGSATKAIYCFREMLSEEIVPNGMTLTAILTACSALCLLRTGREVHGFSLRNYMGDQASVGSALVSMYSKCGILCSARRVFDMIPRKDQFMGSAMVSGYAQGGHPEEAIHLFCDMLVDGLVIDAFTLSGVIGCLADLGRLGIGSQIHAQVIKMGFESEVSVGSSLLVMYSKIGSIEDCRKAFQQIKAPDIISWTALIASYARHGKGTEALQIYELMKESGTNPDSVTFVAVLSACSHCGLIEEGFFHFSSMKKDYGIEPGYRHYSCMVDLLGRAGRLKDAESFITSMPITPDALIWGTLLASCKVHGDVDLAKLAAEKAMELETHEAGTYVSMANICADMGQWDNVLKLRSEMAGTEVIKEPGWSSL >CDP15053 pep chromosome:AUK_PRJEB4211_v1:4:9715301:9722834:-1 gene:GSCOC_T00042598001 transcript:CDP15053 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQNGEYRNLYNHENVFIADHGGGAGNNWASGYHQGKQYEEDLMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQNETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHITTPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSCARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVALSRKSPYVQTAHRVSGLMLASHTGIRHLFAKCLSQYEKLRKRQAFLDNYRNHPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPDHLLTGDGNATGTVDPKLAV >CDP14854 pep chromosome:AUK_PRJEB4211_v1:4:25142528:25143897:1 gene:GSCOC_T00042323001 transcript:CDP14854 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTEDSGKNECATKTEKDEHEKKEKSKHKDEDEKGAKSEEKAKDKKKDKDKKKKDPEDKKDLSKLKLKLEKLDAKMQALAIKREEIVKLISDAEKAAANPTAEAAAPPPSA >CDP15014 pep chromosome:AUK_PRJEB4211_v1:4:9244146:9254540:1 gene:GSCOC_T00042542001 transcript:CDP15014 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPLANSNTSIQIPLMAENPLLSSSDDLKDTVLDKHLAKLETFLRVFGFCQDSPFGSILSWLAFAIFAILLPLWSIYYAYCSNCEHYQIRTFELEIFTSQAVVAAISLLCISHNLRKHGVRNLLFVDRCHGNTAQFRQQCIQKIKAFYRSVFTWVIICFVLKTAREVTRAIYLRDKLWWWPVVILVASLVSWTYSTILFLLGTSLFNLVGNFQVIHFENYGKLLERDLDLSVYIEEHVRLKYNLSKISHRFRVFLLMEFLVVTASQFVALLQTTGNKGIINFINGGDFAVLSIVQLVGIVLCLTAAAKMSHRAQALGAVASRWHMLVTFSSNDACASGISTNGGNLEVPNPMGALSVNYSESDLESSDFVSLPPRLPLTQMTSYHKRQAFVSYVQSSAGGFTIFGWIIDRHLCNTIFFIELSLAFFVLGKTITITTR >CDP15056 pep chromosome:AUK_PRJEB4211_v1:4:9745240:9754320:-1 gene:GSCOC_T00042601001 transcript:CDP15056 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMAGACEECIRNCQLTHRNKMDLSPSVTRFFKVLVGDDFSQFLLLPRKFADTVMYLVNQETKLEDSNGVQWTVTLSLAKNSLAFTRGWQEFSLDHNLQAGDFLLFNYIKGTHFVVYIFDKSCCESASDKIGLPRKRARTRGSISSGGKPCEKIDTNPINRQNSSTSGVSGSNSKNSHILPTTTNSTLNAEGGNGNWSFLDSSFDMMIDWDAGPGLAARRMCLYDLSEFELQGDKEVADTDKGIDAVQSTSSHTQTSMRSQDEADKDPTGTKVGTKDLKIATFTEETDMGMMVNEKDLEAKDDMLLERDTDKGIDAVQGTSDHTQTSLRCQNGPDKDPTGPEDLKLATFTEENDIGVMVNDDDLKPEDGMLLKCDTDKGIDAVQGSSGHSQTSLRCQDGADKDPTGAEDLKIATITEKTDIGMMVNVNNLEAEDDMLSERDSDRGINAVQGTSDHGIGMMVNYDELKPEDGMLLKCDTDKGIDAVQGSSDHSQTSLRCQDGTDKDPPGTEDLKIATFTEETDIGMMVNDNNLEAKDDMLSEHDSDRGINAVQGTSDHREVSLRCQDGADKDPTRTKDLETATFTEETDIGMLVNDYNLGGKDDILLECEKVPLADRSNSLPTVLCPELGEKGGNMSNVYIQLQNAERSNKKYDVDWSSPYADEGACFRDSQEEFAGETKKMVRKEHPDTREEGDSSAKRLRGLKPLSVKDIGSSSQGPCVCKPVKLEPVDLLDIPSSVAESLTCLAMMDGQPYLELPAKLPGITYRKGMDRKSVLLQDQGKRFWPALYYYRSGFHVLRGCWDKFNKEHRIQAGDHYIFQAENVYRGIYKVSVLHQ >CDP14390 pep chromosome:AUK_PRJEB4211_v1:4:23159777:23161836:-1 gene:GSCOC_T00040771001 transcript:CDP14390 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDTKSIESVQAAISLFGGENDQRKNRPLCKEELDKENELENLLKDLANVKVQLEAKDSAYKQALLKLDHYQKTSDELSTLLKNSELEKDTLTNDCKESRICIGELESTVKEMANQIAESVNIREQFSHVLIELKDTQGQLLTSEIELAAAKDAKFEFLTKLEVVGTAFSLEKLKTDELLRHVTELNETIMHLKMAAAEAEEEKNAVISEKEEEIQLAEAAVTQIQQQLESVTEQLELTHYLEKQFLDKSALVDSLQAELQRANALHSSSEKVAFDALCELNQLKADLELKEEKNMGQAVYITLLENELKELKMEHSKANEDILRLVRNAEEMRRELEKTTGEITEASEKENEAQVEIAKLKAELHKGRSKIAAAVAAEERAKTEKSAVYAALQQLAVEAEEAKQKYRQLKASGKLPEEMKKAKQADTFLKPGLEDSHQSENLKKELEIATGKIAEFRMRAEQAISRADAAERAKLELEEQIKKLKERKARRKAALTALREVSVSKEIKEVSSSKETSNTFRYYNGPKVYQPLGKVLNMKF >CDO97766 pep chromosome:AUK_PRJEB4211_v1:4:357239:360742:-1 gene:GSCOC_T00021658001 transcript:CDO97766 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVPQADASMKAGKWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMNVIAHDPYAPADRARAIGVDLVSFDEAISTADFISLHMPLTPATSKIFNDETFSKMKKGARIINVARGGVIDEDALVRALDSGTVAQAALDVFTEEPPPKDSKLVQHENVIVTPHLGASTKEAQEGVAVEIAEAVVGALKGELAATAVNAPMVPAEVMSELAPYVVLAEKLGRLAVQLVAGGSGIQSVKVVYRSARDPDSLDTRLLRAMIVKGIIEPISISFVNLVNADFTAKQKGLRISEERVFVDSSPEYPVDSIQVQISNVQSKFASALSETGNISIDGKVKYGIPHLTGVGSFSVDVSLEGNLILCRQVDQPGMIGRVGNILGESNVNVSFMSVGRTAKRIKAIMAIGVDEKPDKDTLKKVGEVPAVEEFVFLEL >CDO97969 pep chromosome:AUK_PRJEB4211_v1:4:1888745:1894214:1 gene:GSCOC_T00021923001 transcript:CDO97969 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVGVAVIGAAAVCAAAALIVRHRMRCSSRWARAMAIVRELEEKCGSPEAKLKQVADAMTVEMHAGLASEGGSKLKMLISHVDNLPTGDEEGVYYALDLGGTNFRVLRVELGGKTGGIVSQEFAEASIPPELMVGTSEALFDYIAAELAKFITEEEEKYHPPPGRQRELGFTFSFPVMQNSINSGTLMRWTKGFSIDDMVGNDVVAELSKAMHRQGVDVHVSALVNDTIGTLAGGRFSNKDVAIAVIMGTGTNAAYVERAQAIPKWHGPLPNSGEMVINMEWGNFKSSHLPLTEYDHALDAESLNAGEQVFEKLISGMYLGEILRRVLLRMAEEAAFFGDEVPPKLKTPFVLRTPDMSAMHHDTSADLRVVSNKLKDIFEVSNTTLKTRRAVVELCNIIATRGARLAAAGIFGVLKKMGKDTSTGAEKTVIAMDGGLYEHYTEYRKCLENTLIELLGGDGSSSIAFEHSNDGSGIGAALLAASHSQYRNESS >CDO98276 pep chromosome:AUK_PRJEB4211_v1:4:4146835:4154470:-1 gene:GSCOC_T00022317001 transcript:CDO98276 gene_biotype:protein_coding transcript_biotype:protein_coding MNCHEINSIIKRSDSYHQTLRRHSFNLSSNPPKKPRIGTVCSASIFIKPLGLPLFSKTTQSHSKSFHLEPHKPYTIGRDYFCCDFLLNDRKISKSHCQILFNSSDKKVYLADGVFFGIDQIECSSRVRASLNGVFVNGIRIRKGEVVELRGGDEILLSCGNGSDCNVVNRIGFFVERIILSEEVVDRNVPNLMASGMSIDYGPVRIASNKLDVKAGFLLSMCRDILCSNDPISFMKKHANLDGKIPSPYSRRSGKKVIRFLKSSGVKSNSEDRVHRLEVALSESPANCRDAVVNSHKGTIISSERNTGSQSFSFNKNAEEKAGNYCLQQNNGVNVRSKPKALSLDRPRVADMALCDRSNVGQDKIEGGYVSPPGRKFYLNRLQFMGHCSSEAQDVVSLPELFYPVETLQRVFIATFTSDIPWFLSYCRISAHLPITIACHNAERCWSSNPGRRTSYPFTDFPRLVVVYPPFPEVIAFGKDRRKSGIACHHPKLFVLQREDTLRVVVTSANLVARQWNNVTNTVWWQDFPHSCTPDYGSLFNQSSLGGNNQDSKSDFGAQLAGFMASLVADVPSQAHWILELAKYSFKGALVHLVASVPGIHTPKSPYMLHSRHFLSGNKNMQKSSGTNLLGSVETSVVGMSHLFCTSVDSNGVKLKKLAAFLRKCSENAYGMSEIILRRETNILADVNAVSVLIPNPEEFSLGDCVQIGFLPRDVAQWVAPLSDDGFFAFSAYIYPKEVLRAALEGSYIKVQLILYVSQGPCFSGISNSLRCQQVSAICSLVSSIHRCVGLWRLQEVLAPYKWPEHLETDFLFGSSSVGSVNAQFVAAFSAASGKRAAQLSESEESDPYWGCWSASQELRNPSIKVIFPTIERVKNASCGILASKYILCFSQKTWQRLKNVGILHDAIPYPNERSGFPMHVKVARRRFQSKTDASSFGWVYCGSHNFSAAAWGRALPNTVDRKVNVNERNGSVLGSRIHICNYELGIIFTVPPSDKKDNGDEKHRNLDDIVLPFATPAPKYKPRDEPATAQAMREVLTEMEREMDAAIAISGECPDEEDEVLEAADFVTVEKEDEKAYAERLWSGVDSSESC >CDP12699 pep chromosome:AUK_PRJEB4211_v1:4:11322755:11330039:-1 gene:GSCOC_T00037297001 transcript:CDP12699 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHFGSKPVLVASSADAASQIMKTHDLVFSNRPKSSVINRLFYGSRDVAFTPYGEYWRQAKSICVLHLLSNKRVQSYQHVREEETSLMIEKISQMCSSSPVNLTEIFVTLTNDIICRVALGRKYSEEEKGRKIMENLRIFVELMGVFDVGDYIPWLSWVNRFNGLDLKVEKFVKLIDEFLEGVIEEHINKRKGEAESDHSVEARCLDFVDILIEVNKESTIGFALGPDDMKAIILDVFAGGTDTTQTVMEWAMSELLKKPITLQKLQAEVREVTQGKPEITQDDLEKMRYLKAVIKETLRCHVPAPLLVPRESTRDIKIMGCDIPAGTLVLVNASAIARDPILWENPEEFQPERFLNSKIDFRGLNFELIPFGSGRRHPVSQFTENILYMRLPLHIFVNGFIDVYMFHPIFFSLFPLILLWFLFKLVSNSRKNQPPSPPGLPIIGNLHQLSSLPHYPLHSLAQKYGPIMFLKFGSVPTVVVSSADGASLIMKTHDLIFSDRPFSSTANKLLYNMKDISVAPYGEYWRQLKSICVLQLLSNKKVQAFRNIREEETSIMMQKIKDASLDSTPVNLSEMFVSLTNDIVCRSAFGRKYGGGETGKKFKLLLGEFLELLNGGSLVKSVPCLSWINRVNGYDARVDRVAREVDEFLEGVVQERLDGAVEKYSCGSGGETIDGESREDFLDILLKIYKDNATGVTMDRDSVKAIILDVFSAGTDTTATVAEWAMAEILRHPIVLKKLQTEIRGVVGGKEQISEDDLAEMHYLKAVIKETLRLHPPIPLLVPREAREDVKIMGYDIAAGTMVIINAWGIGRDPAYWDEPVNFMPERFMDSSIDFKGHDFQLIPFGAGRRGCPGIAFAVASNELVLANLVGKFDWQFPDGAQGKELDMTECPGVAVRRKIPLLVIPSPLS >CDO98531 pep chromosome:AUK_PRJEB4211_v1:4:6492057:6493351:1 gene:GSCOC_T00022662001 transcript:CDO98531 gene_biotype:protein_coding transcript_biotype:protein_coding MTALVTGGSRGIGRAIVEELAELGATVHTFSRNEAELNQVLQEWSSKGFKVTGSICEASARDQRSQLMEKVSSTFNGKLNILVNNVGTCIGKPAADFTAEEYNLIMSTNLESGLVNVQYSSIYGATKGAMNQLTRNLACEWAKDNIRVNCVAPWMVRTSLVEFCLKDVEFSKRIEARTPMRRPGEPEEVSAVVAFLCLPAASYVTGQVIAVDGGLTVNGFE >CDP18015 pep chromosome:AUK_PRJEB4211_v1:4:10553244:10553804:-1 gene:GSCOC_T00008914001 transcript:CDP18015 gene_biotype:protein_coding transcript_biotype:protein_coding MNCKLLSLLNTIYCLCAVSFSKTNSPSQKSEKLYLLAVGCYRSGDYSRRPQLIKPDWRRALYLEKTVKEQISKDGVIGIGISVTAVVLIAGGIITALACRKS >CDP14884 pep chromosome:AUK_PRJEB4211_v1:4:25704844:25705938:1 gene:GSCOC_T00042367001 transcript:CDP14884 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKGGTSPACAACKYQRRKCSSDCVLAPYFPANQHKSFQNAHRLFGVSKIVKILEQLSTLEQQEEAMKSIKYESDMRERYPVHGCAGIVHHLREQLRLATEELHYVYAQLAAHREQIPNNQQQLGCSSSDYSSSHQPQLLHSGVMINNSCSTTQSIDALPFFQHHSAGSMHDCEMPFTMDYFLANENYGDDTDANDDEGVRHRDSNDHVAKPLLGLEPVYTNTPPDPNCDLIRNNVNSVVGNQPEVIANSNQAFGIQPEIKVFDQDYEDMPPFNAMVDDRQSYVETKEACESSSVESSFRDFPQPAEQESGMESELRTAAACFSLTSVN >CDO97726 pep chromosome:AUK_PRJEB4211_v1:4:59734:63704:-1 gene:GSCOC_T00021605001 transcript:CDO97726 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESESQNKSCCYSMIGGGDAGGGGGDLYGLMMVVPKDLLLLHNHLSDATAAAASSFSASSTTTAANNTTTTTRPLHQHQLLLDFRFRPPTLSLPHLQLPRLHEFFRSREVAEFLSGALAGAMTKAVLAPLETIRTRMVVGIGSKNICGSFVQVIEQQGWQGLWAGNTINMLRIIPTQAIELGTFECVKRTMSSAQEKWIQNDCSKVSIGNLSLNLSFSWLSPVAVAGAAAGVVSTLACHPLEVLKDRLTISPEIYPNLSIAVRKMYRDGGLGALYAGISPTLIGMLPYSTCYYFMYDTMKKSYCLANKKESLNRAEMLLLGALSGLTASTISYPLEVARKRLMVGALQGKCPPHMAAALSEVIKEGGLRGLYRGWGASCLKVMPSSGITWMFYEAWKDVLLGSR >CDO97824 pep chromosome:AUK_PRJEB4211_v1:4:786743:789723:-1 gene:GSCOC_T00021737001 transcript:CDO97824 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVDMEASPSYFDPEDLSIREQFRRYGKRHSGSSLSPQHDSAASKLSEIRSNAALFLEDIKQEAEGLDMDDGGTPPNAFSKRRSFIDDQGPSELDFGSGIRSLQTLKQEEDRLVDSGDTTFSLFASLLDSALQGLMTIPDLILRFESACREVSESIRSGSNERLRIVEDKLMRQKARLLLDEAASWSLLWYLYGKGNKILAHGRLKNINCNIVHEWLYLRCWEICISSFPYPQK >CDO98249 pep chromosome:AUK_PRJEB4211_v1:4:3957406:3960482:1 gene:GSCOC_T00022279001 transcript:CDO98249 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPNKKVEQTLINGFSPVSSAPVFWKSRRRAVSVKYLDKPTENDADKPPETQENPTAEAMQEDSTPVVLSEKRKALFEPLEPMTNINGRRPSAEMLLPPPDFDAATYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDARCLEHLQLQLLEERSKRSEVERQNAMLQNQVTMLMDMLQENDDVDDDEGGEEP >CDO98115 pep chromosome:AUK_PRJEB4211_v1:4:3008008:3013179:1 gene:GSCOC_T00022112001 transcript:CDO98115 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTNSSMGSGSRGARRTFDFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSEDGPDDFEGLDASAAHIANLLSTEPADVKLGIGGFSMGAATALYSATCFAHGKYGNGNPYPVYLRAIVGLSGWLPGSRNVRNKIEGSHEAARRAASLPIMLCHGMCDEVVPYKHGEKSSQILSSAGFRCLTFKSYDGLGHYTVPKEMDEVCHWLNARLGLEGSR >CDP18321 pep chromosome:AUK_PRJEB4211_v1:4:21609248:21611196:1 gene:GSCOC_T00004357001 transcript:CDP18321 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSSLIDLTCLVMTQCMSVFEDLTSLVDVEGGTSEFTKAIAQNFPNLECLVCDLPHVVANQHRTENLDFVAGNMLEMVPPGDAILLKLIWQELALTKMAISILHDWSDDGCVKILKNCNNAIPERSKGGKVNMDMAMLVLHGAKETTEKEWAKLFQDAGFSNYKVFPVLGLRCLVEVYPD >CDO98104 pep chromosome:AUK_PRJEB4211_v1:4:2919096:2923125:1 gene:GSCOC_T00022100001 transcript:CDO98104 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHDLPEIIEVDSSHLPRYGISSCNGLVLMAKSQEENRYVANLVTKEVVTLPPPLAAAKANPSFSGIGYTCSKKYKLVEFYFNHQGPLEGGILTLGIDREWRCLCRRNETREIDKFSFIDLLFKKPIASAEGILHWTHYKLPLVLNLDLETEILYTRATPKCSEMNRRTYMGTGRSLCFMDYLGKFSWELWLLKDAETGEWDKLAIIDLGPQEKMLRRTLCPTGFQIVPVGFLKDGEIAVLYVAHEDGIPQERYCRHKTHPTRNCITYNVKTRVINSFHLDKGSTFEMNESWRYTPHVKSLVSLKFSAN >CDO98324 pep chromosome:AUK_PRJEB4211_v1:4:4611311:4624082:-1 gene:GSCOC_T00022385001 transcript:CDO98324 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDTSSPDGSSNSPRSLGSNSPIRSDKKKVKSASISSKDNSPVSSGTSTSSSGFSKKDGRGKETLLKSSRGKDGKEVGPTPSAAAAVSMSPIVASSLGLNKIKTRSGPLPQESFLGFGSGREKGSALGASNLSKAFIGGGADSGSSLGSGKKSGGVKKDGGGGGEKKKLIGNIENAGWIDNGSNSDSMSTESGPSREQSPHVQAPSRLQNADSSTEAGRFNSSWDHSGGPRSSDVYTPDVKTSYECDNPKESESPRFQAILRLTSAPRKRFPGDIKSFSHELNSKGVRPFPFWKPRGLNNLEEVLGMIRARFDKAKEEVDADLHIFAADLIGVLEKNAENHPEWQETIEDLLVLARSCAMTPAGEFWLQCEGIVQELDDRRQELPMGVLKQLHTRMLFILTRCTRLLQFHKESGFAEDENTFQLRQSLQPADNRIPSATGMGGKVSSASKASKTSTTRKSYSQEQRGLEWKRDHDVKPGNLLLSPTDAAKNLDSPSRDRMASWKKFPSPVTKSPKEPVLLKEQDDSNVEATKILNNRRVLQDGDLATAKLPEVSSARDTQGHSSLPIKHQHKVSWGYWGDQPSVSDESSIICRICEEEVPTLHVEEHSRICAIADRCDQKGLSVNERLLRISETLEKLMESFSHKDFQHTVGSPDGVAAKVSNSSVTEESDMVSPKLSDWSRRGSEDMLDCFPEVDNSAFMEDLKGLPSMSCRTRFGPKSDQGMATSSAGSMTPRSPLMTPRTSQIDLLLGGKGAYSEHDDIPQMNELADIARCVANTPLDDERSLPYLLTCLEDLRVVIDRRKLDALTVETFGARIEKLIREKYLQLCELVDDDKVDISSTVIDEDVPLEDDVVRSLRTSPIHSNRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSMRIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLMEEDDSHISASDSQDRRKKRSAVGTPDYLAPEILLGMGHGFTADWWSVGVILFELIVGIPPFNAEHPQKIFDNILNRKIPWPRVPEEMSPEALDLIDQLMTEDPNQRLGARGASEVKQLPFFRDINWDTLARQKAAFVPASENAIDTSYFTSRYSWNPSDEHVYAASEFEDSSDNGSMSDISSCPSNRHDELGDECGGLTEFESNSSINYSFSNFSFKNLSQLASINYDLLTKGWKDDPPTNRNA >CDO98125 pep chromosome:AUK_PRJEB4211_v1:4:3062212:3067645:-1 gene:GSCOC_T00022124001 transcript:CDO98125 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKKWARIISRVASQIYFLLIIFQVPLFRFPCRIGMCETPMEVTSSQLIASEALPTVVVKIILSPGAIAKAITKKTSIPTYNDLLSTGKFINMGKGPSTIDLKHMEVLAGSYFSVAGAFLGLIRSGRMSLFGVMLIILGIAREVNFGKHAPNDPSKEACMYRSMYIAVLSAFFSIRGDVRKLIRFKQNSFLVLSSALILISMAASFQSLNHPSHALGFISRQRSQNTVGRNPVLALSPSSIIPKIEESGDLLDFSKNSRSTSALIDGGGSLLSRNSVGVIGGASVVSTVKFAKKLVDWSSKDAESSSIPFVLCSDPVLSKELLFHERSSLPFLTSKGEHRAKDHGPAVSNLRTKRIFLENSGAGCIVTPCHVSHSWYDEVAEGCSVPFLHMGECVAKELKEAKMKPLEAGSPLRIGIIASDATLAAGFYQKKLQNEGFEVMVPDKATMEHTVLPAMEALIRKDIEGAQNLFRIALQVLLVRAVNTIVLASDDMQGLLPPDDPLLKKCVDPVDALARSAIRHARSAEIAT >CDP15051 pep chromosome:AUK_PRJEB4211_v1:4:9704276:9705529:-1 gene:GSCOC_T00042593001 transcript:CDP15051 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAYLFYRLSPIKISAKIPTACFILLQKGHLLSSSIFARGSRNDCCANFCSLAEAIDSGYGVKSRTANSALDEYSHSYIVSYLVNSCGLPAERANFLSKRVTFKSLEKPNAVLAFLRDQGFSKAHIAKLVGAAPQILFYNPEKILLPKIEFFSSIWVSRSELTKNLSANHHLLRSSLKNKILPLYHCLKSMYGTDDRLTSPRIWRAVYFSKDPTKNLASNIAVLRELGVRESCIRSLMTRHPAAVMVNDNRFREVVFEVKNMGFDHLKSTFVQALYARFGMRNRLNWERCCEVYRSWGWSEDLVRNAYGKDPNCMLISEQKLSRMMDFLVNKMGLNSQTVARYPVILNFSLDKRIIPRGSVIHLLRLKGFIRWYTRLYSIFIPGEKYFLSKFVIPYEKQVPQLRDVYQGKMGIFDV >CDP12700 pep chromosome:AUK_PRJEB4211_v1:4:11334955:11336929:-1 gene:GSCOC_T00037298001 transcript:CDP12700 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAGTDTTHTVMEWVMLELLRDPKVMEKLQNEVRAIGQGKSEITEDDFDKMQCLKLVIKETLRLHFPVPFLVPRESTRDINVMGYDIPIRTRLIVNAWAAIGRDPLLWEKPEEFQPERFLNVGIDFRRQGLQDQLIPFGAGSRGCPGTTFVVAVNELALAKLVYKFDFAWTDGAKPHDLYMSEATGLTNHRKNPVFAVAIPHSS >CDP17738 pep chromosome:AUK_PRJEB4211_v1:4:23786580:23788976:-1 gene:GSCOC_T00010516001 transcript:CDP17738 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNSISRIFPSNAIGALASSSTWSRIPMSSLKVAVETFDGTGHFGMWQGEVMDSLFQQGLDIAIEEKKSDDIEEKEWSTINRLACGTIRSCLSKEQKYAFKNETSAWKLWKALEGKFLKKSGQNKLLMKKILFRFDYQPGTTMNEHITIFNQLVADLLNLDVNFEDEDLALMLLSSLPDEFEHLETTLLHGKENVSLDAVCSALYSRELRKQDKKKKKVAAADEALVARGRQQSQSKGRRGWSKSISRVAKDECAFCREKGHWKKDCPKLKKKGKAPQDVNVAECKSDAESDFSLAVSRLTSHPDEWILDSTCTYHMTPMREWFFEFEELDGGFVYMGNDNPCKTVGIGSIKLRNHDGSTRILKDVRYVPNLKRSLISLGLLESKGLEVRMRDGILKVTSGALLMLKGVRKNNLYYYQGSTVVGTAAVATSSSSKKDAEATKLWHMRLGDAGEKSLQNLAKQGLLKGTKVCKLEFCEHCVLEKQRKVKFGTGIHNTKGILDYVHSDVWGPAKTPSLGGRYYFVTFIDDFSRRVWVFTMKSKDEMLKIFLKWKARVENQTGRKIKILRTDNGGEYKSDPFQKICQECGIVRHFIVRKIPQQNGVSEHMNKTLVEKVRCMLSNAGLGRKFWAEAVTYAQHLVNRLPSSAIGGKTPLEVWSGKLATDYDSLRIFDSTAYYHVNESKLDPRAKKALFMGFSAGVKGYRLWYLEAKKTIISRDVTFDESVMLNKITQDGTSGTPQQVECTPKQVEFEQIVVSPANSTISDSPMAEEESDEEEVSTQEPQQQQKSIAVNRAR >CDO98015 pep chromosome:AUK_PRJEB4211_v1:4:2271962:2274874:-1 gene:GSCOC_T00021980001 transcript:CDO98015 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFNPKPAFNNQLQLLISLRTLQKNSSSSFVKTRISCPLPLLQVFRVNPTNPTFQVRFLSSASRSNLLMELVKAVASKGSQTGQSIAVRSEQKSYSYHQLISSAWRISTLLCNGGLKTAVDLKGNKHLGGIRIGIVAKPCAEFVAGILGTWLSGGVAVPLALSYPEAELLHVMNDSDISMILSTEDHQELLKDVAAKTAAHFSLLPPVIGTSTELDQTHNGELNVIERVQGIENFSAIEDEKPALILYTSGTTGKPKGVVHTHRSILAQVQMLANAWEYSPSDQFLHCLPLHHILVYNIYSC >CDO98551 pep chromosome:AUK_PRJEB4211_v1:4:6666996:6677109:1 gene:GSCOC_T00022688001 transcript:CDO98551 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGIIIAIMLLGFSTHNGKAEIYMVMMEGEPVISYKGGVIGFEATAVDSDSDEKIDVTSEAVTSYAHHLEKRHDMLLGMLFDRGTYKKVYSYRHLINGFAVHITPEQAEILRQAPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIILGFVDSGIHPNHPSFSTHNTEPYGPVPKYRGKCEVNPDTKRDFCNGKIIGAQHFAEAAKAAGAFNPSIDFDSPLDGDGHGSHTAAIAAGNNGIPVRMHGFEFGRASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDILNLSVGPNSPPATTKTTFLNPFDATLLSAVKAGVFVAQAAGNGGPFPKTLLSFSPWIISVAAAVDDRRYKNHLTLGNGKILAGLGLSPATLANRTYTMVAANDVLLDSSVVKYSPSDCQRPEVLNKNLVEGNILLCGYSFNFVIGTASIKKVSETARSLGAIGFVLAVENVSPGTKFDPVPVGIPGILIADVSKSLELIDYYNVSTPRDWTGRVKSFKAVGSIGDGLNPILHKSAPQVALFSSRGPNIRDYSFEDADILKPDILAPGSLIWAAWAPNGTDEANYVGEEFAMVSGTSMAAPHIAGIAALVKQKHPHWSPAAIKSALMTTSTTIDRAERPLQAQQYSGSETMTFVQATPFDYGSGHVNPRAALDPGLVFDAGYEDYLGFLCTVPGVDANEIRKFSHSPCNYTLGRPSNLNSPSITISHLVGTQTVTRTVTNVAEEETYVITARMAPEIAIETSPPAMTLRPGASGKFTVTLTVRSVTGSYSFGEVLLKGSRRHKVRVPVVAMGYNR >CDP12356 pep chromosome:AUK_PRJEB4211_v1:4:28003233:28003277:-1 gene:GSCOC_T00035846001 transcript:CDP12356 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDNEANYDICRR >CDP14852 pep chromosome:AUK_PRJEB4211_v1:4:25136023:25138437:-1 gene:GSCOC_T00042321001 transcript:CDP14852 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVFSFWTEGFCIQCSRILIIILRPEQRNIFSICVNGPKVLNFVVFEGTWMGFPNLVKVLHPVD >CDO98569 pep chromosome:AUK_PRJEB4211_v1:4:6960246:6961462:1 gene:GSCOC_T00022712001 transcript:CDO98569 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSVNFSFLIVFSLLCTVSSAKENASPFKIIYQFGDSLADTGNRIRQSGVTSVFNVSRLPYGMTYFHKPTGRFSNGLLVIDFVAKALHLPLLRPYLETNASFISGVNFAVGGSTALDNSFFYDRNISVPSTNVPLSQQLKWFKKHLKLVSDNRSQCEERLKRALFMMGEIGGNDFSTAFSQGKSIKESRNYVPYVVDAISLAIREVIQFGARIIIVPGIIPMGCLPSFLASFPSADPKAYDDKGCLKKLNKFVLFYNNYLQKNLAALRLEFPGVVIRYYDYYNAFQYILHNAGSLGFDQRSLLKACCGKGGKYNYSNDMICASNGVKACLQPERFVHWDGVHLTQEAYRYISDHLIRDILPNT >CDO97915 pep chromosome:AUK_PRJEB4211_v1:4:1506043:1510567:-1 gene:GSCOC_T00021861001 transcript:CDO97915 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRNPGRVVPLFIVVIFLTSSAHSFYLPGVAPRDFQTGDKLFVKVNKLSSTKTQLPYDYYYLKYCKPENIENSAENLGEVLRGDRIENSVYKFEMRHETPCKVACRMKLNAEAAKNFKEKIDDEYRVNMILDNLPVAVIRQRRDGSPSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSFKVMYHKDQEMDSARIVGFEVTPNSINHEYKEWDEKKPQVSTCNQNTKNLVQGSTVPQEVDTNKEVVFTYDVTFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLETQDEAQEETGWKLVHGDVFRAPINSGLLCVYVGTGVQVFAMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAVFFVLNALIWGEKSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQIPEQAWYMKPIFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIITCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFLYSVFYFFTKLEITKLVSGILYFGYMLIASYAFFVLTGTIGFYACFWFVRKIYSSVKID >CDO98419 pep chromosome:AUK_PRJEB4211_v1:4:5306546:5309476:-1 gene:GSCOC_T00022501001 transcript:CDO98419 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit delta [Source:Projected from Arabidopsis thaliana (AT1G48760) UniProtKB/Swiss-Prot;Acc:Q9C744] MAGGPSLMDSLFQRSLEDLIKGLRIHNLAAGTAAGTPESSTFLSKSIDEVRREIKSTDQQTKTTALQKLTYLHSLYAIDMSWAAFHAIELSSSTIFNSKRTGYLAASISFNSSTDVILLLTHQLRKDLNSGNPHEVSLALQTLSSICTPDLARDLTPELFTLLNSNKGFIKKKAIATVLRVFELYPDSVRVCFKRLVENLENADVGIVSAIVGVFCELANKEPRSYLPLAPEFYRILVDSRNNWVLIKVLKIFAKLVPLEPRLGKRVVEPICEHLRRTGAKSLAFECIRTIVCSLTQHELAVKLAAEKIREFLTEDDPNLKYLGLQALAAIAPKSLNAVVENKEVVIKSLSDEDVNIKFEALRLVMAMVSEDNVAEICRVLINYALKSDPEFCNEILGSILSTCSRNYYETIVDFDWYVSLLGEMARVPHCQKGEEIENQLVDIGMRVRDVRPEVVHVGRDLLIDPALLGNPFIHRILSAAAWVSGEYVEFCKNPFELMEALLQPRTNLLPPSVRAVYIQSAFKVLTFAAYFYFYPEEALAASISGVGESVHNGWCEQSSDSVSGQTVTFSEPDEGFNPRMLHQPQKDASGNDGKKMISDLEQVSSCSVKMGHFTKDCLVGMVNLVESTLRPMAGSHEVEIQDRVKNVLGLIELIRQEIHGCLVPKEEENDRGELKACEIVRVMHDAFSEELGPVSLSAQGRVPLPDGLELKENLSDLEAICGDFRIPVLSSFSLEKPRSLEKDVVTVSDQQNEEECEPSSESTSLLTEHRKRHGIYYLPSEKKEKVPNDYPPANDLSMQDKVNDEVDYLVKLTEKSLVPKKKPIAKPRPVVVKLDDGDRIHINETLPELKEDLISDAVQEVLLGNQAVASSSRTDKSDKSSNRRSRKETFRPLESNADSTTVEIAELGNKNSRRIKHRTHGKERSHRSSKKATGESDRSDRPNSSHPHGKHKSRQRADGMENVAAESPVIPDFLL >CDP12758 pep chromosome:AUK_PRJEB4211_v1:4:12567996:12568727:1 gene:GSCOC_T00037396001 transcript:CDP12758 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHYQLQQRPTEAVKSFLPQKGPSTSHVLAVVTLLPVAGVLLGLSGLILVGTVIGLAVTTPLFVIFSPILVPAVFTLGLALAGFLTSGAFGITALASLSWMLNYIRLMKASSQEQMDLAKWRVQDTAGQVGQKARDVGQRTQDVARA >CDP12416 pep chromosome:AUK_PRJEB4211_v1:4:20183958:20204821:-1 gene:GSCOC_T00035943001 transcript:CDP12416 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKVTSRDVQEIVSKLSSDKAKAREDGIKLLNTWLEGERSILFCKYISEKSALLKPDELPHSETWPFIISLLAQCVTLEIQSSKKRLPKLGFAKTLRIVVQRAEDRKFSGKNLLLVPVVKLLFNHIWDVLRDVPSFQSEYGVILRHLLQVQHYQLHIRKRVYSGLVLLYMEKVETSLDVKTSSYSNPKEEVFRCILTLHSLLENPPGDFPADLRGDIVKSFIGIFCHIREEGKISRKLIECLNTYLLKDGPNLDSQNLEIHDSVQQFVFRCWLTTHDRSLKDALVLYARLQLNLTRVTGDGNALLEQLEEVLSKELDQMTTSSSNLPWNDITRDEKCGILTCSQQRFLELAAVVFWRACGNTSKPASAEKRARREHMVVQVKDGLMNGKWSWHAAFCFLIRNYSSRIRKDLIIYWFEGICASFDRIINDANVEHTYDGLLWTLRSLQRLSSLLLFPSSRGDLSPKSSFFMNEFDKFWHTIWSCTVRGLPIFSNIISVAEAALVLLRNMILSDKLITFSVPRDIWDLRLFTHLPSASVLCFVSCYFSSRASQGDLHEALHVRQNLLKAVLALLNWKEASMLNDQLVVMLPPAVYALCAGCAPLSHSVPEAGMNWVMAEEQEQENVHEFFELSVEVLASISHESTPQDFLPECCQNVRLPMKLRDLLCHEVETRTLEALKEIEIKKMLLSDIFLTCALLSNLIYCSCSMRHRDEKSYFLTKLGEYMIGLLDQSASILERTHNDIICGCAGSSPVFNSMDSIVASFNSFVSSPFFSKWRDKNDIDVVIYTGIVESIERLLKGLANLYDACSDNGKDVCSGVDLPDDFDSKMLQNDPVDNSKSIIVDMELDVNSDSKDMDILNLDGKVTHGFAFSSENLKMDVLSFISSFFSVLPSLTWDTLFDLIEKDGNQRVLENLVSCLCQHPHWSSHRRVSELIMSLNNVVDNQANLKLQCIRTLDAICSLVRNILLARTAKDKNVSSLWDRISEEELISLGDLINKVDEKNLVDWCGRTKLIGCICDFVLLKPEIGQSMIEKLLGMLRDSDYRVRFCLAQRIGILFQTWDGHNELFQDICSNFGPRLVIFSKDRVVTAKEVLAAGPQAGSLLETTIITLMHLAVESEKIELEAVFMMSVVAAIDPSQRELVTAVLDNLSRELNYTSRAKYMEELIGPLLFWWVACGVSLVALVEIRDLFVSSVEPCNFILYCCQWLLPALLLNEDFSNLNWVAKIACQPLATLVKIHFVHVFSVCMALHCSKKTGWKKGSAVLESLILRIAEISENERDKLIKRHMVSIVNHLFSLAAASADPAPPLFSRDTIVHAIETVVDGFLEMEDRSRSAGLVDKINIFRPDRVFSFIVEMHYKVTAAAHQRHKCHRLGGIEVLVNVLGHRAGVSSSFIYLLNLVGQCIGRDALLNQCCRIISMLLKIYKDCPSDETTRVLGEQLQFLISKLVLCYSPYDNDGENPVACSSDVLPLLRELILHSDASLYEYIKELEPLPDLDMFSDIRKFHEELCLDYSAKNHLVNVKASGNFCEFD >CDO97982 pep chromosome:AUK_PRJEB4211_v1:4:2039704:2041539:1 gene:GSCOC_T00021938001 transcript:CDO97982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Downstream target of AGL15-4 [Source:Projected from Arabidopsis thaliana (AT1G79760) UniProtKB/TrEMBL;Acc:Q9MA02] MKKALMSTPESLPDNNIIDFRAPPPSPVASGRRSSFNNEDALSEFLENSLKVPDLVLPDRVFPQQKSVQNPPKLDFRSLNSTENDSVTKFVDSVARIGCFEVVNHGVPEGLIKSVLAAGAGIFGISQEKRKLVTRSLEKPYGFEEFHGEEEKAVTEEFVWSQDESLKMDMEGIWPAGYSNFSERMQKLVLAIENVAVTALEFLEQNTSTITRENVKQDPEFGPICYLHKHNGHIINGDQSVNSVLRYDVIRMLVRGSEFPHAICLHICDGAEEFHVYSKKGWASFCPDQGTIIITTGDQLQASGKGPYKHVMGRPIFRGADQERMSMVFPYPSVILKCDKHHKEQKISICWQFIATLILTLFFHFAVRLQSHARK >CDO98449 pep chromosome:AUK_PRJEB4211_v1:4:5656409:5667346:1 gene:GSCOC_T00022541001 transcript:CDO98449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASP [Source:Projected from Arabidopsis thaliana (AT3G18480) UniProtKB/Swiss-Prot;Acc:Q9LS42] METTQGGTEREKSNSASSAPGPAVASFWKDFDLEKERAILDEQGLRIAENQENSQKNRRKLAESTRDFKKASNEEKLSLFNSLLKGYQEEVDNLTKRAKFGENSFLNIYQKLYEAPDPYPVLASIAEKDSKLSELESENRKMKVELEEFRTEATHLKNQQATIRRLEERTRQLEQQMEEKVKEIVEIKQRSLAEENQKTMEVLKERERMLQDQLRQAQDSVSTMQKLHELAQSQLFEVRAQSEEERAAKQSEVNLLMDEVERAQSRLLSLEREKGLLQSQLQTSNEDNEQKKSDSLDVHSMLENSLSAKEKIISELNMELHNLETTLANEREQHINEMKRLNTKLNEKETILEEMKKELQARPTEKLVDDLRKKVKILQAVGYNSIEAEDWEVATTGEEMSKLESLLLDKNRKMEHELTQLKVKLSEKTSLLEAAEAEREELTAKVNEQQRLIQKLEDDILKGYGSKDTKGTLFEDWDLSESGGSQPSENTEQRHVSSDQDQSSMLKVICNQRDRFRARLRETEEEIRQLKEKIGMLNAELEKTKADNVKLYGKIRYVQDYNSEKVISRGSKKYAEDLESGFSSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGLRDKITLSSGRFLLGNKYARTFAFFYTIGLHVLVFTCLYRMSAYSHLSNGPEESLLGDKNINLPHAL >CDO98119 pep chromosome:AUK_PRJEB4211_v1:4:3029034:3032820:-1 gene:GSCOC_T00022117001 transcript:CDO98119 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGFGASGLEISSLNLGSARPKFSALQPLNGFPVGILKFNQFDGLEIVSARSRRAVAGCKFSASEAILTITAKTDDSPEGIRSSNGASKLILNSFEVESLIKEVCDTTSIAELELKLGGFRLYVMRNLAVPTPASAPISVNTAIDVPNENGSSSSTSLAISKSEPSPSDIQTSLVKAADEGLVMLQSPRVGFFRRSRTIKGKRAPPSCKKKQQVKEGQVLCFIEQLGGEIPIESDVSGEVVKILREDGEPVGYGDALIAILPSFPGIKNL >CDO97886 pep chromosome:AUK_PRJEB4211_v1:4:1294829:1297518:1 gene:GSCOC_T00021825001 transcript:CDO97886 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKQKWTPEEEEALKAGVKKHGTGKWKNILKDPEFAPFLTNRSNIDLKDKWRNVSISTGQISKVKSMAPRIKQSTAIALPVTPISAVPISVVEDDAVDDPSKSPQEGRNATLYDSMIFEAITDIKDPNGSDIGAIVHFIEQQHEVPQNFRRLLSSNLRRLVLKGKLEKVQNCFKMKDAASGAKTPMPKKKDVCSRPSQNFGSVTSIEKLEDAAINAAYRIAEAENKSFVAAEAVREYERAVNVAEDTDSALLLVKDIYDRCSQGQMVLLRCR >CDP20570 pep chromosome:AUK_PRJEB4211_v1:4:8891830:8894004:1 gene:GSCOC_T00000093001 transcript:CDP20570 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFNGQLLLPSYPKFPTTDQLPIKVSACHPPFADHRLLQLHPPNKAKKPFLLSKVLSLAIAITLSSSESPSPSLAIPALNGSQTSQSPLLPTTTPFSQAKNLPIGLENGKIRPCPSINPGCVSTNPNSASFALPWVIPESSSRDAIKQLEDAILMTQKNVKIQSVEDTPYGKYLQAEVDGGFSRDVLEFLVKGGATVTYRAMATKVTYVYPFTTAFGDSKGQEERMKQIVQRLGWYAPNLDFED >CDP19371 pep chromosome:AUK_PRJEB4211_v1:4:24540284:24543535:-1 gene:GSCOC_T00002593001 transcript:CDP19371 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLEMTKLRSNFSNDNQIKPHEGPAKDTIFRSLEARCSENPIKVFGIFVGCFMGFFVMFSVLKNPSSSEARLLDSRPFGKGDAVVDIPKQNIVKRDNFPEPVEKPQDKLLGGLLPAGLDEKSCLSRYQSVLYRKQQSGRPSSHLISRLRGYEALHKRCGPFTDSYNRTLEYLKSSSHGEDTNSTGCKYIVWIQPIQGLGNRILSLASAFLYALLTDRVLLVDPGGYIPDLFCEPFPGVSWLLPSDFPIAEKFNSFDKKSPESYGNLLKTNVLHNSTLYSLPPFIYLHLLHDYDKGDMLFFCDQDQAILKEVPWMILKSNNYFIPSLFLIPSFEQELNDLFPEKGAVFHYLGQYLFHPTNSVWGLITRYYNTYLAKADEKIGIQIRVLETETGPFKYVLDQVLACVMKENLLPQVNEKEDLMVPYGRPNKTRAVLMTSLNSGYFEAIRDMYWEHPTITGEVIEVYQPSNEEYQHTENQMHNMKAWAEIYLLSLTDKLVTSAWSTFGYVAQSLGGLKPWILYMPENRTAPDPPCQHALSMEPCFHAPPTYDCKEKGGIDTSKLSPHVQHCEDRSWGLKLVDGDNRL >CDP12678 pep chromosome:AUK_PRJEB4211_v1:4:11045611:11047536:-1 gene:GSCOC_T00037264001 transcript:CDP12678 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHRACKDWGFFQLVNHGAATVIEKMKLVTEDFFKLPLQQKMACPQLPNDVEGYGQIFVVSEDQKFDWGDMIFLCALPVSQRNMRFWPTTPTSFRLQKPENCVQNIHHNANSQISDDVRATMDDPEKLCSIYQDGMQGIRMNYYPPCQQADKVIGQTSHSDAIGLTLLVQVNDVQGLQIKKSNTWVPIKPIPGEIIINIGDIMELMGSHEDKQVKESAHFHLQYYCFFFNLHHKPSLVNYQYAFMEVSSCMLLICLLFYVMVGCPTLICTCLDDIKPTLDEYSLELLEVCITLVKVMVTNLGVDGPEIINSMYPDVMQGMIMNQCPPCSQGDKVVCLIAPHSDGGLTLLVQVNKVEGLQIKKNNRCVLT >CDO98247 pep chromosome:AUK_PRJEB4211_v1:4:3943777:3947753:1 gene:GSCOC_T00022276001 transcript:CDO98247 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKETGASDVRIDSVVITPWKALLTNITCGVGLGVAFHAANNFYSINLIQNPAKTLRLIWVIEAPVVILLYSLFRHRPNQCSYLKAVVRGLLALPAGAVVNALGAIALGAPMIQNFNRTLHWSLLMSMLTCVPAASVFGSSWADWHQIFAHTKPSGPIEYMICLPAHGAVIGAWFGAWPMPLDWERPWQDWPVCVSYGALAGYFVGMAAAFGFVLFLNKRRHVKGD >CDP20572 pep chromosome:AUK_PRJEB4211_v1:4:8902444:8905794:-1 gene:GSCOC_T00000095001 transcript:CDP20572 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEQKIPEIAVLNSGHKMPVAGLGCAAHPLPPSEQLVTTFIDAMEIGYRHFDTAACYGTEEALGRAVAKALEIGLIKSRDELFITYKLWCTDADHDLVLPALKQTLGKLGLEYLDLYLVHWPVRVKHGAEKFNFAKDEILPFDIHGTWQAMEGCTKLGLTKSIGLSNFTCEKICKLLEIATIPPAVNQVEMNVGWQQRKLVPFAKDRGIRICAWSPLASYGGLWGNSAVMENPVLKDIAASKSKSVAQVALRWIYQQGASFVAKSFNKERMKQNLQIFDWELTKEEMDQILQIPQRRGFAGEVFVHPTGPYKSLIGNFYSKSYNI >CDO98113 pep chromosome:AUK_PRJEB4211_v1:4:2993190:2998603:1 gene:GSCOC_T00022110001 transcript:CDO98113 gene_biotype:protein_coding transcript_biotype:protein_coding description:(6-4)DNA photolyase [Source:Projected from Arabidopsis thaliana (AT3G15620) UniProtKB/Swiss-Prot;Acc:O48652] MDPGSNSLMWFRKGLRIHDNPALEYAAKDSKHVYPVFVIDPRYMEPDPTAFSPGSARAGLNRIRFLLESLTDLDKSLKNLGSRLLVLKGEPSEMVIHCLKEWNISKLCFEFDTEPYYQALDDKVKSYASLVGIEIFSPVSHTLFNPAEIIQKNGGTPPLSYQSFIKVAGQPFWSSSCLSTSLSCLPPPVNLGSCTISEVPSLNDLGYKESEEDERTPIRGGESEALRRLSESIADKDWVANFEKPKGDPSAFLKPATTVLSPYLKFGCLSPRYFYQCIQEVQKNVKRHTSPPVSLLGQLLWRDFFYTVAFGTPNFDKMHGNRICKQIPWDSNDELLAAWRDARTGFPWIDAIMVQLRKWGWMHHLARHCVACFLTRGDLYVHWEKGRDVFERLLVDSDWAINNGNWLWLSCSSFFYQYNRIYSPISFGKKYDPNGNYIRHFLPVLKDMPKEYIYEPWCAPLSIQTKAKCIIGKDYPKPVVSHDSASKECRRRLAEAYELNKKLNGTVSEEDLKKLRRKLEEDTIQESKSKRLKQKSIG >CDP12410 pep chromosome:AUK_PRJEB4211_v1:4:20070644:20071699:-1 gene:GSCOC_T00035934001 transcript:CDP12410 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSPVISLSPAPSFTSYSSSRLAEIAARVVEEFRAENHDYGGDGEDYGGADSFLTDYEENEEDKDDDDDEEDEEEFEFAVVGRDFISSPTPADEIFYNGQIRPCFPLFNQDLLLDGAEDEKEAVGFTHQKPTKEVLQPSATAARRLPLRKLFIEDRDPPSSCSSSEADELDGVQPDSYCVWNPKMATAAAAAEEGRCKKSSSTGSCSSKRWRLRNLLHRSNSDGKDSFVFLSHSESRNARKREGNMEKIEKLSGVAPEIPKSAAGKVAPEIPKAAAAGKVAPATAVYVKNDGERRRMSFLPYRQDLVGFFSNVNGLSRNLHPF >CDP16930 pep chromosome:AUK_PRJEB4211_v1:4:13477748:13484762:-1 gene:GSCOC_T00005286001 transcript:CDP16930 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKNSSSISYVSPSLPSPFLSRRTSTKVCMGRGLFSLQQFHVEQLKNTLVVKSCWSVHERLELKEKLPYRRQVPLAISEDHLDNRELETDNSAKEADHSAVEDVAFLNGAVIYTEGAGGKPGLISFYNRPYKVQDEMLVSSPKKKQNNLLWLAGPAVLVASFIFPSLYLRRILSTIFEDSLLTDFLILFFTEAIFYSGVAVFLLLIDHLRRPFEPLSPSNIRNLNPQVGHKISSVAVLVLSLLIPMVTMGFVWPWTGPAASATLAPYLVGIVVQFAFERYARYIKSPSWAVIPILFQVYRLHQLNRAAQLVTALSYTVKGAEMTSHNLAISSSLSTLLNVLQFLGVITIWSLSSFIMRLLPSATITED >CDP12425 pep chromosome:AUK_PRJEB4211_v1:4:20383268:20385705:1 gene:GSCOC_T00035956001 transcript:CDP12425 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNTKCSNKPGHQQFSMPANFLKPDIDQDFCMDNCQSKGFLQDFQHLDHFSFTGSSFNPDLGIHTTGFDPFDPFFNGSSMIDFDYLEFKPFEENDNNNGKLVTQNFEGGGGFVYYKESGTKRNNNRFSNIALSSSTKKQGRGRKKSKSAKGQWTIEEDRLLVHLVEKFGVRKWSHIAQVLKGRIGKQCRERWHNHLRPDIKKDIWTEEEDRILIEAHAEIGNKWAEIAKKLPGRTENSIKNHWNATKRRQFSRRKCRTKWPKPSSLLQNYIKSLNFEKSSSGRKNASTSDTPTIVDHKQNSTPNEEAMELNSDPLVPDYDFSEVPEFTLDDKLFERTSINNLNAEIHSASPILDDNGFCMEIPYDVPPPIMQFEVKKELDLMEMISHVNQ >CDP15025 pep chromosome:AUK_PRJEB4211_v1:4:9391189:9396787:-1 gene:GSCOC_T00042558001 transcript:CDP15025 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAFKSTTKRLSVGGGSSSGASADDSFSSGNNDSAKAHRRSRSLSHFSRRLPLELEEESSGTAAADYRGAPRGKFVNTTRGSEALEISLDDLALEFFSSNSTNGDEATESGERGRSRSARRGQEIGRWASDTASSRRRGRSVSRHSDGVEHKKVVSGGNESKIGTSEANSRRRRSLSVARHRISDSEVVSNCTGSKIGTSEPNSRRRRSLSVVRHRVSDSESDAFRNSQSHYNAKSINSWNSQIQKTSASADRRLQKSASQKDLAHLHDGYSSHSSALTDEETKDARCGKNGAERTIRTVYAQKAQHPNEGVINSGLYEVMCKELRYAVEEIRTELEHARVKNDEGVPSDSNGLQVLETYATKLEQSQKRKQDLLAEMLLEEQHGRELSKIVKELLPDSKCLSDGIKPARARKRSTDRNKMSKRLTEEAERYFEDFISNVEDTDISSFDGERSDGSSTLGGMTKPRDSIIRNTEGFQSPVGCDPHPVEMEGIILPWLQWETSNDGSVLDKVRKHTPITPKTLQWDAEQAISVKRDLSDYSISSHGSSSPGFINVHSVNSSVDDREKSRDSGIRLMSSFDMSEYLLLRRNDELLYERYKERNRINSGGLLLCTNVL >CDO98134 pep chromosome:AUK_PRJEB4211_v1:4:3154707:3156853:-1 gene:GSCOC_T00022133001 transcript:CDO98134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase [Source:Projected from Arabidopsis thaliana (AT1G15390) UniProtKB/TrEMBL;Acc:A0A178WDP8] MEGVQRFTHRLFPLPIVAQHCLQKTFTKTPLTTTRPALTFRKPIFTNRSIHQKPALCSNLITSASIKTYSRCSSSTSARAGWFLGLTEKKQVLPEIVKAGDPVLHEPAQEVRPDEIGSERIQKIIEDMVKVMRKAPGVGLAAPQIGIPLKIIVLEDTKEYISYAPKDDIKAQDRRPFELLVIINPKLKKKGKKAALFFEGCLSVDGFRAVVERYLEVEVTGLNQSGQPIKIDASGWQARILQHECDHLDGTLYVDKMVPRTFRTVENLDLPLATGCPKLGVRLLS >CDO97910 pep chromosome:AUK_PRJEB4211_v1:4:1453000:1456253:1 gene:GSCOC_T00021854001 transcript:CDO97910 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSSSRLVFAIALLSLFSAGLSIDDKCAACTAIAEELERGLMNERPRNHLDMRHRLDAKGQRQGKVIDYRVSELRVVDLLDGLCEKMQDYTLEKVDSSTQVWMKVDNWDILKTNRQEARAHSKAISSFCGRLLEETEDELSELIKKGSVKAGSVSKVLCEDLGRYCDQPSDDDGKVMDEL >CDP14846 pep chromosome:AUK_PRJEB4211_v1:4:25073717:25075836:1 gene:GSCOC_T00042313001 transcript:CDP14846 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLETIIKAASGLARPDYESEYPIVLNPDPVFLNLKPENEDPIDRILVKKDDGWPISQNDAERIELGRNFFKKLRRKLKNASSFTKDEFFEMFNPFLEKNGEILGISAKIGRREEGYSVKLVEKVGYLMGRDVRGLILEACIVLELWDVLESLIVNKLVLHSCVSNLVNNLIEKRRSDLIVLCVKHIGDIQTYEIMCILKYFLCPSKEGYESMVSVRKDWESQALLAIEKVSDKNLTAKKLSVARDASLLVMVAYDGFSVSELCLHYLLASKNVDDVILASCISKLNGLEMMSLIQHLSKWLKKYERFPQVSPCPKASSLLGLKVCEWIPSLQDVVRCLGLVIDEHFSSLVLHPEFHEELRSLGGLASSLAAEARLCGSLANLTERLRTDHRGIYIELVTTLKSTYF >CDO98478 pep chromosome:AUK_PRJEB4211_v1:4:6008016:6010668:-1 gene:GSCOC_T00022589001 transcript:CDO98478 gene_biotype:protein_coding transcript_biotype:protein_coding MILRAGFLIVALVSAVKVCQITNKRSRKPSTSGEHGKVSFDEEQAEENGKSEESHVDPREKKEEQEETCKSKSVNRLNAKSLEFSVQENGRVNVSEIDILKNVVKEKERMREGLEMRLLELYCLQEQQSRIALLQKQLNDRTSEINMLRETINILQDEKKKLYEEVKLNQLAPEQLESAELVMLELQGQLELDSGQMKEQLAMLKEQVSGFHAKEESKENLKAIKAVELKALEVKRMNIQLQLEKRELVVKLNAAKSKIAELSTMTEHKLAAKYKEEISRLKNVNKNLTEKVDKLHNDRLSILEELVYQRWLNTCLRFELGDHCSPSPKKLKRNVCRRSDLRSDSLSSGISSAESDEISNSTTTSSSSGENTKNKKKGFLQNIKRWGRSKDGSTDNASESWSFPSKAGKIRRFSTSSIPSSTLGSRNRGERGLLIPLQKKGVDSNSPETPAVRRVRRVSFNDAITSVRYIYDDSPESDQGISDNGQKNADNFNDYCSDMKTSSGRYEILEGNNSEIPSSNVVNSESHEASTVPGNQDTIETKVVRKEAVRAECLSQAMPCAASAASKFSVVVNLVAAIIIVLSLILFHFRLLSASG >CDP12411 pep chromosome:AUK_PRJEB4211_v1:4:20085822:20088383:1 gene:GSCOC_T00035935001 transcript:CDP12411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g08490 [Source:Projected from Arabidopsis thaliana (AT5G08490) UniProtKB/Swiss-Prot;Acc:Q9FNN9] MREAFSLFFHKLKCWSSFKLDYQVFADTLKSCAAKADVNLGKALHSHVIKQGHVSCQIVSKALLNMYAKCKALDDCEKLFWEIKNCDTVMWNIILSGFAGTRVHDTEAVRLFCDMHAAQEPKLSTVTLAIILPVCTRYGGLSVGGCVHCYAKKAGLDSDTLVGNALVSMYAKLGLVMDAFAVFDGIVEKDVISWNAIIAGLMENHSVDDAFEMFRWMLRLKVPPNYATIVNVLPLCAHLEDTVGHWVGRQIHSHVLRRAELATQITVVNALLSFYLRIGQMEDAVTLFKRMKFRDLVSWNSIISGYDSCGQWLKALELFHELVDMDVMGPDPITIVSVLPACGQLSNLQAGKQIHGYVVRHSLLCKDTSVQNALIRFYSNCGCKEAALNVFLLISRKDLISWNSILDALSENKYETQFIDLLHCLLREGMRLDFITMLTVIQFFTTLSRIEKVREAHGFSIKYGILLGNKEPTLANALLDAYAKCGNLEYAYRIFKHMSGQKNLVTYNSMISGYAEFGSHEDAALIFQSMSERDLTTWNLMVRVYAENDCPSQALSLFHEMQFCGVKPDSMSIMSLLPVCAKLASVNMLRQCHGYLIRACFADVHLKGALLDIYSKCGNIKSAYNLFQSASEKDLVLFTSMIGGYAMHGKGEEAVGAYYQMLESGLRPDNVIITTVLSACSHTGLVDEGLKIFESMQQVHHMKPSMEQYACLVDLLARGGRIKEAYSFATKMPIKANANVWGALLGACKIHNEVEMARSVIDRLSEIDSSDIGNYIAMSNLYASNSSWENVLKMRKLMRLRDLKKPAGCSWIEVENRKNEFLAGDYSHPHRCIIYETLGILDHQIREFYEFIS >CDP14384 pep chromosome:AUK_PRJEB4211_v1:4:22803774:22805327:-1 gene:GSCOC_T00040761001 transcript:CDP14384 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQVQAVAWNHFVPQVLLSGSFDHSVVMKDARISSHTGFKWSVAADVESLAWDPHAEHSFVVSLENGMVSGFDIRASSSKLSSDPKPSFTLHAHDKAVCSITYNRLVPNLLATGSMDKTVKLWDLSNNQPSCVASKNPKAGAVFSVSFSDECPFLLAIGGSKGKLELWETLSDAAVSTKYGKYTNQNRSAQS >CDP12405 pep chromosome:AUK_PRJEB4211_v1:4:19947611:19947910:-1 gene:GSCOC_T00035926001 transcript:CDP12405 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEIISKEEVKPASPTPLHLTTFKLSLLDQLARHEYFNLVYFFSPMNQSTILNDVISKRRQRLKQSLSRTLVPFYLLAGKVKDNLHIVVKNSQKNNVN >CDO98220 pep chromosome:AUK_PRJEB4211_v1:4:3728110:3729756:-1 gene:GSCOC_T00022241001 transcript:CDO98220 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPKSESVQIREVWNDNLEEEFALIREIVDDYPYVAMDTEFPGVVLRPVGNFKSNTDFHYQTLKDNVDLLKLIQLGLTFSDEKGNLPKCGVDNKYCTWQFNFREFNPNEDVFANDSIELLRQSGFDFAKNNEKGIDAKHFGELLMSSGIVLNDNVYWVTFHSGYDFGYLLKLLTCQNLPDTQAEFFTLINIYFPVIYDIKHLMKFCNSLHGGLNKLAELLEVERVGVCHQAGSDSLLTACTFRKLKENFFSGSLEKYTGVLYGLGVENGQNVH >CDP19372 pep chromosome:AUK_PRJEB4211_v1:4:24545906:24549048:-1 gene:GSCOC_T00002594001 transcript:CDP19372 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFKGNSTTDQTNPVESQNDIVFWDGLEAKCMCSPIKMLRIFVFCLVGFCVFFTVSSVLKDSSSDGIWTLAYARLPDVKPLQKGDIIEQTTFRQPVEVPQDKLLGGLLPAALDEKSCLSRYQSVLYRKKNIHQPSSHLISRLRNYESQHKRCGPYTESYNRTLKYLNSNQYNSSTGCNYVVWLSFSGLGNRILSLASAFLYALLTNRVLLVDRGLDIPDLFCEPFPDVSWLLPSDFPLTDKFSSFNQKSPESYGNMLKNNVFANSVSSSLPAYVYLHLVHDYDEHDKLFFCDQDQTVLQQVPWLILKTDNYFIPSLFLIPSFEQELHNLFPEKGTVLHHLCWYLLNPTNSVWGLITRYYNAYLATADEKIGIQIRVFEGGPGPYQYVSDQILACVIKENLLPQINKNLPIINPPGKPKIKAVLITSLSSGYFEALRNMYWEHPTITGEIIELFQPSHEVYQQTEKQMHNRKAWAEMYLLSLMDKLVTSSWSTFGYVAQSLGGLRPWILYKVENQTAPDPPCQRAMSMEPCFHAPPFYDCKRKIGTDTGKIVPNVQHCEDMSWGLKLFDG >CDO98268 pep chromosome:AUK_PRJEB4211_v1:4:4088132:4093508:-1 gene:GSCOC_T00022304001 transcript:CDO98268 gene_biotype:protein_coding transcript_biotype:protein_coding MALFFKSDLFSPLGLASLLFRFPTSSSSLFDSRIIPATKLSTKQTAIACSSLNSGDDENFQDSSNSNGSLNSRRLSKQSSWEAKDEEGNDYLYTLGKESDNMNIAVGARAGIIDDLFAGNFLGKDSDIVFDYRQKATRSFEYLQGDYYIAPLFMDKVVCHIAKNYLAHLLNTKVPLILGKYIVLCIWGGKGQGKSFQTELIFRAMGVEPIIMSAGELESERAGEPGKLIRERYRTASQVVQNQGKMSCLVINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTKVSIGQVWKESDVTKRIPIIVTGNDFSTIYAPLIRDGRMEKFYWQPTHEDILNIVHRMYEKDGMSRDEVGEIVKSFPNQALDFYGALRSRTYDRSILKWVEDGGGADNLGKRLLKQKKDGKLPVFTPPKQTIEALLESGHSLVKEQRLIMETKLSKEYMKNIDD >CDP12404 pep chromosome:AUK_PRJEB4211_v1:4:19879612:19882322:-1 gene:GSCOC_T00035924001 transcript:CDP12404 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTSLVIERTGDLLIQKIVFLKGVRRQVESLGDDLERMRCFLKDADQRQDEDARIRNWVSEIRAAAYDAEDIIEIFASKVEFFTKKKGLVTKLTYYPLKIVNLYKIGKEIESLRMRLKEIADSREEYGIKDLGEGMTTHGEELQRIRRSSPLSEDKDIVGFEEMTKSLVAELLKEDRNRRVASIVGMGGAGKTTLAKKVYNHADVRARFNCRAWVCVSSSYNHKETLRTIIKQLNPITNELLDMLEKMQEQDLEERLYKDLEDKCYLAVLDDVWKEAAWDCLARKAFPDGSTSSRLLLTSRNRNVAVHADALSIPHELKTLGEEDSWQLFLKKALGHGANAVCPSDLEVVGRKIAGRCAGLPLAITVIGGLLLAKKKLKSEWEKVLNNFSAYLSRSQSEAGAILELSYADLPANLKFCFVYLGLFPEDSVISVRKLIHMWVAEGIMQKRGAKILEEIAAYDDVERLCSRNMVQAAGMTVDERIKTCRVHDLLRELAIRKAEDEYSLQIHDTRDDKISAKSRYLAVHSLPRDKNYFVTSAPPLRSLLFFNIRRYVKNINLSFKSFRKLRILDLENVEMGYNLPKGIGEVRLLRYLSLRDTHIRRLPHSVGCLRYLQTLDIRNSFRTVIVSNFIWKLESLRHLYVNSLECDVPLKIEGLRNLQTLSRMHFDPIMHNNMITLTSLQKLGIWVDERSEIDKLCMHLSEVGSLKTLHLYRTAGGEWPSVAGLSKLHHVTELKLSGRFLRMLPSDFPPNLSLLSLKFTRLKDDPMPVLEKLGQLTFLKMEDAYEGPQIVISRRFHQLKFLELSRLNHLEEIKVEEGALPQLQCLRIRDCSRLRKLPEELKHMSSLDALELVDMSKDFISGLEADMVSSVPNLRIF >CDO98416 pep chromosome:AUK_PRJEB4211_v1:4:5281161:5284668:-1 gene:GSCOC_T00022498001 transcript:CDO98416 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLFDDSDNDHSDQSNPKRIKTTKPTFASVIKEVVTLNFLENFCSSLEPMLRRVVNEEVENGLRRNLRSLTKAPSLRIQAIDELPTLQLIFNKKLLLPIFTGSKITDTESNHLQVVLVDTRGDGKVPAFLPYSLKIEIVVLDGDFPAGDSENWTNEEFDKHIVKERTGKRPLLAGELNAIMRDGLCSFGDIEFTDNSSWIRSRRFRLGAKVVQGTSAAGQVARVRPAMSESFVVKDHRGELYKKHYPPSLHDDVWRLEKIGKDGAFHKKLSAEGVNTVQDFLKLNVIDPQKLKKILGLGMSEKMWEVTLKHAWTCNLGTKLYISRGSNYIVILNPVCQVVKAVINGQHYVLRDLKMMNKGYVEKLGQDAYANWKSLEEIEGQVNETALLTMGEIGDQVPNHQQPKMGSSYQGGQALLLDGSTNQMASIANNNEQVTYNDWGLNCSYLWTPGQNSGRYFPESSSEGDLH >CDO97790 pep chromosome:AUK_PRJEB4211_v1:4:518817:522185:-1 gene:GSCOC_T00021695001 transcript:CDO97790 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRFLSLLLLRYYHWQCGDSWPAKPEISPGIAFTCVPWRPTLPPVPSSTSHCSLLSHPTTSSFSSSSPSHQSSITSPLHFLTAAALHPPIFLLLLTPWLPMIQPPLLLFQSVKPKIIYCNIGNPQSLCQQPITFFMEVLALCDHPAILDRSETRGYSAFEILDLIPGRATGAYSHSQGIKRLRDTSAAGIEECDGFPADPNDIFLTDGSYDDAVTDKGQRRMEFLPYSPVVLYPPLQLPSMVVPHYLDEATGWGLEISELKKQLETAKSNGVCARALVVINPGNPTGQVSFLKIGLRYE >CDO98215 pep chromosome:AUK_PRJEB4211_v1:4:3701463:3705673:1 gene:GSCOC_T00022235001 transcript:CDO98215 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7-1 [Source:Projected from Arabidopsis thaliana (AT1G80750) UniProtKB/Swiss-Prot;Acc:Q9SAI5] MSCPKQNKQNKTSKQCGSRLAALYISSPLSLFVLRNRTATAYRPDGCFSEVVQRFNTMAEEEPKPLNYIPEVILKKRKNNEEWAIRRKQQLEQRVKRLKSDNFVIKKPEQFIREYRDREMDLVQMKNRKKQHIRSGVIPDSKLLFVIRIGGKNDMHPNTRKVLYSLRLRRVFNGVFLKANGRIMEILKKVEPYITYGYPNLKSVKDLIYKKGVAKFEKQRVPLTDNNIVEQALGQFNIICLEDIVNEITNVGPHFQEVSSFLCPFTLNKPVKALQGKRRRYKDGGDSGNREDDINELLRKMN >CDP16912 pep chromosome:AUK_PRJEB4211_v1:4:13194899:13198576:-1 gene:GSCOC_T00005261001 transcript:CDP16912 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPEKPPQETASKETRKMAGTVNWGTATVIGIFAGMLYGGSKEAAASSSKDAEVMLKMGSTPDKREQYRLMRDAMEKRFIRVTRGSIVGGVRLGMFTAAFYSLQNLLTEKRGVRDVYNVVGAGSATAATFGLIMPGSLLWRVRNVMLGSALGAAVCFPLGWLHLKLVEKANEEKLATTYDKSDPTGGKTGVGAAIQRLEGQLSK >CDP19377 pep chromosome:AUK_PRJEB4211_v1:4:24586546:24588564:-1 gene:GSCOC_T00002601001 transcript:CDP19377 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFIPQKRINPPGFSTFLPFSHSSSSSFITNLITSIVNSRTPSQALRIFNSASNKLDASKNLKVHSALICFLTNSKKYIDARCLIKGLIEDLGKSRKPHRACSAVFNGFSQLESVISSPKAYGVLILALCELGHVDEAYWVYRKIRSLPVIQACNALLDGFCKKEQFELMWDVYKDMVSHGGVPSVVTYGVLIDAACSQGDLAKAKMLMDEMVGKGIVPTVVIYTTLIRGLCSESEMVEAESMFKRMREIGVLPNLYTYNTLMDGYGKEASVEKVLWFYQEMLDQNLLPNVITFCILIDVLYRVGQLQTTRNYFVCMVKFGVVPNVFIYNCLIDGNYRVCNLSAALDYYYEMEKFGISPDVYTYGILMKCYCSLHRIEEADGLLKIMKHRGVHANSVVYGTLIDGYCKEGNLGKALEVCSQMTETGVEPSLITFSTLIDGYCKIGNMEAAMGLYNEMVIKGFVPDVVTYTSLIDGHFKDANSKAALRLYKDMTEAGICPNVFTLSCLIRGLCDDGKLKDAMKLFLDRKRAGSSGAKANHIDTECCSPNAVMYSALVHGLCKEGYLFKASKFFSDMRREGLKPDIVTYSTILRRHFGDGHVLEAMMLHADMTKMGTIPNATMYKLLNKGYQEIGCQSSALKCHEDLENLSLVNPYIDSLKKDNMLRQSINV >CDO97788 pep chromosome:AUK_PRJEB4211_v1:4:507791:511362:1 gene:GSCOC_T00021692001 transcript:CDO97788 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIKSFKGYGKVDPVEEQAFRRKTRRRTIVLLVSLLLLVALIVGVVVATVAHKKKNSKGNANDAPTSPSQSLRAICSVTVHPDSCYTSISSLEASNSTTDPEKLFQLSLQVVHASLQKLSTLPQHWISDARDLPLKKALGVCQAVIDDAVDATDESLSSLNVSEGDRLLTVDRVNDLKTWLSASLTDLETCLDSLQEVNATVLAEQVRTSSRNSTEFASNSLAIVSKLLTILSGFNIPIHRKLLAAGTDSDGGFPRWVRAADRRLLQTPNENTKPDLVVAQDGSGDYRTISEAVAKIPKKSKTRFVIYVKAGVYKEKVSLDKSTWNVMMYGDGKAKTVVTSDDNFVDGTPTFDTATFAVAGKGFIAKSMAFRNTAGAAKHQAVAFRSGSDQSVLYLCSFDAFQDTLYTHSNRQFYRECDISGTIDFIFGNAAVVLQNCNILPRQPLPNQFVTITAQGKKDPNQNTGITIQNCVMSPLDKLTAPTYLGRPWKPYSTTVIMQTNIGAFLAPKGWIEWVFNVEPPSTIFYGEYQNTGPGSSVAQRVKWDGLNPSLTATQASKYTVKSFIAGQSWIPASAVTFTQNLRSSFIGLEWSSDLANAFSEMPCPATSKDGRMLVESALADVSDQKKKKI >CDO98284 pep chromosome:AUK_PRJEB4211_v1:4:4215696:4220918:-1 gene:GSCOC_T00022328001 transcript:CDO98284 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTRNKMAKKETGASDVRIDSVVITPWKALLTHVTCGVGLGVAFHAAHNFYSINLIQNPAKTLRLIWVIEAPVVILLYSLFRHRPNQCSYLKAVVRGLLALPAGAVVNALGAIALGSPMIQNFNRTLHWSLLMSVLTCVPTASVFGSSWADWHRIFAHTKPSGPVEYMICLPAHGAVIGAWFGAWPMPLDWERPWQDWPVCVSYGALAGYCVGMAAAFGFVLFGNNRRHVKGD >CDP18122 pep chromosome:AUK_PRJEB4211_v1:4:21102639:21103878:1 gene:GSCOC_T00001603001 transcript:CDP18122 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLRSLHLEGLDGITSIGPSFYSGSAMHGDSSNQRPLKLCPALEHLILKNMSSLSEWTKAVVHDRKMVVFPVLETMEIDNCPQLDIVPNHFPRLKKLDFMRIGHGSTVLAYMCNRVSTLISLLIENVNELTELPDVLFENNSNLADLVQLHIYDSNNATQSQHLVGLRSLEKLVVGSCTSLKSISIPKGHQYLNALRELRICWCKSNSTSSPSSATCPPPPLEVLQVWQCRNLISFPSDLT >CDO97950 pep chromosome:AUK_PRJEB4211_v1:4:1769561:1775434:1 gene:GSCOC_T00021900001 transcript:CDO97950 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPSVGGLRHLNCFPWRRNFRHERIFNLPSSHLSTVASLPSSSPVSPNEDSEEIQFSTPPIKTVMKTSNGSINTAKENIGAKNKTDNDKKVSYFPKRGQTLELVCENLAFKGKGVCKVADTGFVVMCDRALPGERFIGRVTRKKDNYAEVTKLKTITPHLDYVEAPCEYAAYCGGCKTQNLLYEAQIRAKERQVRELVVHVGKFTDKDPEFNSIMKPIVPCDIQFHYRNKMEFSFGPKPWLPPKLLEEGYGDSHSYALGLHAPGFFDKILNVHKCLLQSEAANKVLAAVQAIWRDPGSGLSPYDVHSHTGFLKHLMLRTGRNVETGLPELMVNFVTSSYKLELLNSIVEKTVTIPEVASIVNNVNTSIGNTSVGEVEYTLYGKSTITEVLRGLVFQISANSFFQTNTYQAEVLYKLIEDCACLRGDGSEIVLDLFCGTGTIGLTLARRVRCVYGYEVVPQAVSDARRNAELNGIYNATFIEGDLNKIDEQFGSDFPKPDIVITDPNRPGMHIKLIRFLLKLKAKRIIYVSCNPATCARDLDYLCHGLPDQNIRGVYKLSSIQPVDMFPHTPHVECVCLLELDD >CDP17004 pep chromosome:AUK_PRJEB4211_v1:4:15468971:15478153:-1 gene:GSCOC_T00011370001 transcript:CDP17004 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGRYGLHQGWENNSALEGYGPVNEPNFRVGGSYDERRFVDERFSRDSVYSRSAFHRDVLERENYPPPSVGLWHQTRKRAYEDEYPLERDSRRHEKAYADPYDMDSRNTDHYHDHGFDRPSRYGGRDRDDYMYDDYDYRARVPHQSRENSRERDYDYGRHSYDSDYERGSRRDGNWRRHNSRDRERDKRDLSRERDQSPYKKHERSRSRSHGRDDRPRSRSPRGRSHGRSHREESYDDSRYERSERRRDRDDKHHYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPAVGAAQAMMDKLGDDGLVVDGRKLFFEYSSKPTGGSSGPLGFENSSRSGHGNHRSVTIPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMASSNPTPLGKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLEKNGQILRVAYAKSILGPGSGASGASQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDDKQSAGGGGQEQDGVVAGQTNAPAPQSGFVWDEASGYYYDAASGFYYDGNSGLYYDGNNGIWYSYDNQTQQYVPCNNQNDSTAEKQSEASKTSEGSSNRKVVISAPAATITANEKAASLPDAIQAAASAAIAAEKKEKEKSKEIRLASKSSILANKKKMNNVLTMWKQRSNEGQAPRVALDENLPSALSEDRAGSVGSSAKNRFKAEPVITKENATTTSGFAVNSGIQTDGESEDRPRSVSSSSGGTLKGVIRGSGMGVIKSDTLYTGSSGTSLTPLQAVASTAVSSQLTNIDASSTPTPFRTDASALGSYASAVPAVSGKRRFSEMPQQTPLLNKEQTTYRDRAAERRSLYGSSSSLGEDLSQLGAGDSNRDSTLRRGALDSMPFPPGVGGGRGATDGSSQSYDVITADRALDENNVGNRMLRSMGWNEGSGLGRDGSGMVEPVQTQAMDGRAGLGSQPKKVDPSLEVQAGDSYKTLIQKKAIARFREMSYREGN >CDP16908 pep chromosome:AUK_PRJEB4211_v1:4:13170110:13175860:-1 gene:GSCOC_T00005256001 transcript:CDP16908 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDELLPWEGQKSDSEEEDKSSAYSSCGESEFERYCSANSALGTPSVSGSSVYEFADSEFGSLKSFKLGGENRNLKNLGVGMKLSLNNNEVGLVGNDEMGIMGNQDSWGNQGIHEDVNRVSNDGNLIRLGVRHDGRCSDEDESSSRYEHSEGEDSMSGDGSDGGTKADLYSMNNVQYSSREGISENENELFMTSAVAFGSDDWDDFMQETGGNVVNTMVHDGYRDEKQQIAGNEIDYLDSASANSIGHFVSGYREQEDEVVDIPRTNHQIYDNGESSESVNASSSHTSDMKFDKAEQGDGKGVSDEDNQISCANGLDQGSLTKENPRGITLGMQNPEMEEVQQCANKGEVTSNLDDFVLEQVKLEERNLVCDPLSHNVDNQSFSLSRKNTEDRKDKSFMENNTCSSSLLAENETNGFMENPPVLFNHFEDHFSAVKRGNYKEFYDEMVHDMEEILLDTGKSHGAQLTQGRTAFQPQIPVPSRDGGSTASTSGTYDAYPLIHLPLRIDGLEVVGARQKQGDVSLSERLVGVKKYTVYIIRVWNGEETWEVEHRYRDFYALYHQLKKLFADQGLILPPPWSSVDRESRKIFGNASPDVIAERSVLIQECLQSVIDFNISSSTLNPLIRFLYPSKAIPSSPLNATPLSQSQLPAKGSDLENASMLGSTISLVVQVWPHKSVKQMLDAQHNICAGCHKNFDEGKNRMKELVQTLGWGKPRLCEYSGQLFCSSCHSNDTAVLPARVLHLWDFAEYPVSQLAKSYLDSILDKPMLCVSAVNPFLFSRVPALQHVTNVRKKIGAMLPYVRCPFRGSINKGLGSRRYLLESNDFFALRDLIDLSKGMFAALPVIVETVSKKIHEHIIEQCLICCDSGIPCNARQACNDPSSLIFPFQGGEVERCRSCDSVFHSHCFRRIEICPCGARLKPEEAKGITAGIRRGFVSDMFSTLKSADASAGFLSGLFSKATPQMSQGHKEGPKDKNTVILMGSLPSTSL >CDO98496 pep chromosome:AUK_PRJEB4211_v1:4:6155912:6156691:-1 gene:GSCOC_T00022613001 transcript:CDO98496 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSMDAQSSKVQSQSQDPFRSLPLLPMMATATPPESIEVQVDMESPRRENITIHGRRRFLGVRQRPSGRWVAEIKDSSQKLRLWLGTFDTAEEAALAYDNAARLLRGRNAKTNFTYNGTMDTREENLSLLGKNPRLYQLLKHAIMKNLAKSSALDSSKSTRNFTDQSSQEKRELRRISLGSSKVYSSVIVAPSFSASLSQGREEPENNSRGALFNSLFYQTNVMSHNKLV >CDP12346 pep chromosome:AUK_PRJEB4211_v1:4:27604876:27606056:1 gene:GSCOC_T00035825001 transcript:CDP12346 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSCRVSLLQAQSQQLSSRILQRRSHKNLTMIISFSLIILVSVIIGTIILSHLKNGKNNHTTESLRPNSANLKTLCSVTHDPELCFTSISPAIEETETDPDDIFAVSIKVAIANITGLAPLMKEVLLSSNMIGAESALEFCTWAFSDSRSHLDRTLKAVLLEKEGHYRVFSSVDFDTVAWTSDRGEDMITWLISALSALDSCGDILDEVGSMAVNDLSLKVYRARVQVNYGSSFLPFSRLRIRYCHFYSFIS >CDO98581 pep chromosome:AUK_PRJEB4211_v1:4:7087497:7089371:1 gene:GSCOC_T00022730001 transcript:CDO98581 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIFQDFRKSKSGSQDLSLTQGVQGEKVLPGIVLLFAQLSLFIGRSAIPRITEEIASSFSSGRARGYEYGPAFIPAVICRTFWVAGEKCLDHYVRLRAQKISVMLRTRFTTPNWHKEPREVHMFVDLLLQEFEAIRGKVKQILPPELSRKHCRRDSNGSTTSSRSNPLRDDRMNRSNTQRATSQLLESHLAKLFKQKMEIFTKIEFTQVW >CDP12398 pep chromosome:AUK_PRJEB4211_v1:4:19770948:19774445:1 gene:GSCOC_T00035914001 transcript:CDP12398 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFVMEVNHEEGPASEVNENGNSTNGKENVVANKSLNEGLTTQNPQNGGTGNVVEGEVETSIEQLYENVCEMQSSDHSPSRVSFGSEGEESRIDSELRHLVGGEMREVEIMEEEEGVRKPENDDSHSDIAIKKESSSIGNLENSQSGNKRNEKNQKKGITGGIFSKKQSNSPSGGLKLQNGSEDSSESGLDNPDLGPFLLKQARDLISSGDNPQKALDLALRAAKSFERCADGKPSLDLVMSLHVTAAIYCNLGQYSDAIPVLEHSIEIPVIEEGQDHALAKFAGYMQLGDTYAMLGQLENSIMSYSTGLEVQRRILGDSDPRVGETCRYLAEAHVQALQFDEAEKLCQMALDLHRESGSPASLEEAADRRLMGLICESKGDHEAALEHLVLASMSLAANGQEAEVASVDCSIGDTYLSLNRYDEAIFAYQKALTALKSAKGENNPAVASVFVRLADLYNKTGKFKESKSYCENALRIFGKPPPGFAPEEIASGLTDISAIYESMNELEQALKLLQKALKMYDNAPGQQNTIAGIEAQMGVLYYMLGNYSDSYNSFKSAVLKLRACGDKKSAFFGIALNQMGLACVQRYAINEALDLFEESRSILEQEYGPYHPDTLGVYSNLAGTYDAVGRLEDAIKMLEFVVGMREEKLGTANPDVDDEKKRLAELLKEAGKVRNRKARSLENLLDSNNPTNNLNNDGIKV >CDO98592 pep chromosome:AUK_PRJEB4211_v1:4:7221480:7228763:1 gene:GSCOC_T00022747001 transcript:CDO98592 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVISSTSQKPRYFFHTSKISAAATSSLKSRIRAFPFLPLCGCKFELGCKRNRPFSWECKRSFEICALSSNSQGNNWVRVQPEKDENFREEDEFVVVNFYHFVFIEDPQEEVSKHLSFMQGRNIHGRIYLNEQGINAQYSGPAKDALAYAKWVKEDHRFAGVLVQISPVSNGHAFPRLRLRYKPSLLEGGISHLPLLEPSIRAIPLTPSQWRNRLEALNKVNDCSNGDLNGNCILLDVRNGYEWDVGHFQGAPRPDVDCFRSTSFGLSDSMVSESDPLAAIDKEKTDVLMYCTGGIRCDVYSAILRGRGFKRLYTLMGGISHYLKSEGSAGWVGNLFVFDARLALPPPVFKPEVKTEACKTQAFLDNSFAKCYICGSKVSELRHRNCANLDCNLLFLCCTACVNQLRGCCCLKCTSAARLRPVLPGHQRYQKWHHYREVELDRR >CDP12751 pep chromosome:AUK_PRJEB4211_v1:4:12503456:12504386:1 gene:GSCOC_T00037385001 transcript:CDP12751 gene_biotype:protein_coding transcript_biotype:protein_coding MISLAFFKASFLLQISIIASFLLAAYAGNFYQDVAQTFGDQRFNILEGGQLFMLSLDKTSGSGFQSKNEYLFGRFNMQLKLIPGNSAGTVATFYLSSQGQGHDEIDFEFLGNSSRQPYIVHTNVYTQGKGGREQRFSLWFDPTTSFHTYSIAWQTQGLDAKGRNRIRWVQQKFMIYNYCSDAARFPQGFPTECRGSRL >CDP18018 pep chromosome:AUK_PRJEB4211_v1:4:10651566:10652825:-1 gene:GSCOC_T00008917001 transcript:CDP18018 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMHFASSFFCYTTFLAISLILVPCLVVSLTLQSDIQVLRNIREAVDPNTISSTSFLSTWNFDTDPCESSGPHFLGVLCTTPEDNSSSRIAVINLEGDGLDGFLTPTIGNLTELTTLNLRNNNFRGPIPNTIAKLRKITKLLLSQNFFSGGLPEGLSEMTRLEHFDLSQNRLSGTIPPKISALRSLLHLRLSGNQFSGKLPDFSGLWQLTTLDLSSNLFYGTLPHFPTSLRTLLLSHNMISGNVSSIGRLPHLKTLDLSDNRLSGKIEPDILTSPKVSSINVSANLFTDIEVVFMNQPSQLQLLDAHGNHLRGHLPLHLITFQNLRAIYLGHNLFSGWIPKEYGAKLYSWKTLFLEYNFLQGTLPQEFMNNLERIRGSLAHNCLRCPKNVSFCHGGQRAPSECTGQKGEGPWLTKLG >CDP15036 pep chromosome:AUK_PRJEB4211_v1:4:9540919:9545527:-1 gene:GSCOC_T00042574001 transcript:CDP15036 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 6 homolog [Source:Projected from Arabidopsis thaliana (AT4G24820) UniProtKB/Swiss-Prot;Acc:Q93Y35] MENQEGKQQPHLILANKLFLLTHPDVDDIEKVRLRDEVYNAVVSDDMAPLYETLIANGVLNLDQKVLDSMRAKIDEELKQLDEKIADAEENLGESEVREAHLAKSLFYIRISDKEKALEQLKVTESKTVAVGQKMDLVFHTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLFCMSTRNFKKAANLFLDSISTFTTYELFTYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILAVIGKIPFLSEFLNSLYDCQYKSFFSAFAGLTEYIKLDRYLYPHFRYYMREVRSVVYSQFLESYKSVTIEAMAKAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >CDO98290 pep chromosome:AUK_PRJEB4211_v1:4:4256969:4263601:-1 gene:GSCOC_T00022337001 transcript:CDO98290 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEAVGHVNFPAMATNDPSGCCNPVKKPGPVSMDHVLAALRETKEERDSRIKSLFNFFDSANVGYLDYTQIEKGLSALQIPAEYKFAKELLNVCDANRDGRVDYQEFRKYMDDKELELYRIFQAIDVEHNGCILPEELWDALVQAGIEMDDDELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHYWERVYLVDIGEQAVIPEGISKHVHATKYLIAGGVAGAASRTATAPLDRLKVVLQVQTSRASIAPAVKSIWKEGGLFGFFRGNGINVFKVAPESAIKFYTYEMLKNVIGGNKEDHRDIGTSGRLVAGGLAGAVAQTAIYPMDLVKTRLQTYACESGKVPNLGKLSKDILVQEGPRAFYRGLVPSLLGIIPYAGIDLAAYETLKEMSKTYILHDSEPGPFVQLGCGTISGALGATCVYPLQVVRTRMQAQRYNSDAAYKGMSDVFRRTIKHEGFRGFYKGLFPNLLKVVPAASITYLVYETMKKSLDLG >CDO98678 pep chromosome:AUK_PRJEB4211_v1:4:8153616:8155713:-1 gene:GSCOC_T00022851001 transcript:CDO98678 gene_biotype:protein_coding transcript_biotype:protein_coding MENGKSNTFELTVKQGQPTLIPPAEETQKGLYFLSNLDQNIAVIVRTIYCFKSGEKGNEEAVRMIKDALSKVLVQYHPLAGRLTISPEGKLIVDCTGEGAVFVEAEADCTIEDIGDNTKPDPVTLGKLVYDVPGAKNVLEIPPLAAQVTKFKCGGFVLGLCMNHCMFDGIGAMEFVNSWGEVARGLPLKVPPFMDRTILKARNPPKIEFPHHEFAEIEDTSNTAELYKEEMHYRSFCFDPEKLEKLKRKALEDGALAKCTTFEALSAFIWRSRSQALKMKPDQKTKLLFAVDGRSRFDPPIPEGYFGNGIVLTNSFCSAGELVGNPLSFAVKLVQESVQIVTDSYMRSAIDYFEATRVRPSLTATLLITTWSRLSFHTTDFGWGEPVLSGPVALPEKEVSLFLSHGRERKSINVLLGLPAAAMKTFEELMQI >CDO97722 pep chromosome:AUK_PRJEB4211_v1:4:22558:27904:1 gene:GSCOC_T00021600001 transcript:CDO97722 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTDTAATLISADCPFAKLPDHLLIEIFLRVPISDWGQISCVKKQWAKLFQEECLWNAALIRSFPLAGQAKRWPGPIPRGLSKRRYAALYVSKQIFSLHDEIDEIVGHTYLFLREQLEVSPMPLPSGILHGTIIADQFIACGKSQDKAYELASLIWLAVIDNLEETEQTFSVLKRLALDGEVFLPFPYSRSYNVQWRVFERLFTDFRDCFNHVDYYDLLSLAKNKFQMIPSAWLGY >CDO98289 pep chromosome:AUK_PRJEB4211_v1:4:4250498:4252096:-1 gene:GSCOC_T00022335001 transcript:CDO98289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MHKLSSKLIKTLKLDCKTIKQVHQVHAQAITTGLISFHPPTPFLAQILHAFTCLLATFSPSISTDPTFSSYVTALFNLIPDPSTFCYNTIIRAHTLLSSPETALALYAKMCQLSIPPDTHTLPFALKACARMNSFSLAKALHCQALKLGFIADLFVCNNLIHVYSTAGDVHNAYKLFDRSSYRDTVSYNAMIDGFVKAGDTVKARELFDQIPKKDAVSWGTLLAGYAKLDRSREAIDLFDQMLVLGVKPDNIGLVCALSACAQLGELEKGKRIHEYILQDRIQIDAYLVTGLVDLYAKCGCIETARELFESSREKNLYTWNAILVGFAMHGHGKLLFDYLTRMADAGIKPDGVTFLGVLVGCSHAGLTNEARRLFTEMEGVYGVPKELKHYGCMADLLGRAGLVEEAVEMIEKMPMGADVYVWGGLLGGCRMHGNTEVAEKAAQRVIAIKPEDGGVYSVLANVYANAERWDDLVRMRRLSDRTRVNKSAGCSLIQLNGVSHEFVAGDDAHPLAEEIHLVLNVLEQHKSEGVC >CDP12269 pep chromosome:AUK_PRJEB4211_v1:4:26196990:26202619:1 gene:GSCOC_T00035709001 transcript:CDP12269 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAADYRRPVRRKFSSWIWVLLGTFMIAGFVLFVFHHNYQHEDHAEQQPLLERKIGKGHVAHNSLNLTEEILSARSYARQLAEQMTLAKAYVIIAKEHNNLHLAWELSSKIRSCQFLLSKAAMQDEPISLDEAEPLIKSLSSLIFKAQDAHYDIATTMMTMKSHIQALEERANAASAQSTVFGQLAAESLPKSLHCLDIKLMLDWLKDKSLQELADEKRNSPRLVDNNLYHFCIFSDNLLAVMVVVNSTICNADHPTQLVFHIVTNGIHYGVMQAWFLSNEFKGATIEVQTIEDFTWFNASYSPVIKNLLEKDSRKYYFEGSEDSNIEPKFRNPKYVSLLNHLRFYIPEIYPQLEKVVFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYLNFSNPLISSKFDPQACGWAFGMNVFDLIAWRKANVTARYHYWQDQNTDRTLWKLGTLPPGLLSFYGLTEPLDRRWHVLGLGYDLNIDNRLIESAAVIHFNGNMKPWLKLGISKYRSLWDRYLDQSHAYLQDCASS >CDO98426 pep chromosome:AUK_PRJEB4211_v1:4:5464920:5471498:1 gene:GSCOC_T00022512001 transcript:CDO98426 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) UniProtKB/TrEMBL;Acc:Q9LS51] MAMSTAKFSEMPLPPHFFSSTSRKPSFSLYFLKPFSSSLRPTAPATTSAGRIPKKPFQNPDAARTTTKHSSSWLNQWPSSNLLPPVHYKNPKTLQPEIQDSRVTGEPGRPVTSAIDRIVLRLRNLGLGTDDDEEEDGIEEPGSALVPGRVEDVGRVNGEEKLGDLLKRDWVRPDMMLVEDGEDADSTLLPWEKEELAAAAEAEEGVLGKRRTPKAPTLAELTIEDGELRRLRRMGMTLRERINVAKAGVTGEVLEKIHDKWRKNELVRLKFHESLAHDMKTAHEIVERRTRGLVIWRSGSVMVVYRGTNYEGPLSRSQSEGGEGETPFVPNVSPSGTSLATKNGIVYPVLEKSNPAVTNGVESMTEEEIEYNSLLGGLGPRFEDWWGTGVHPVDADLLPQTIPGYKTPFRLIPVGMRSHLTNAEMTNLRKLAKSLPCHFALGRNRNHQGLAAAIIKLWEKSLIVKIAVKRGIQNTNNKLMADELKSLTGGVLLLRNKYFIVMYRGKDFLPPSVATALAERQEMTKQSQTVEEEAKIGPSNTAPVGQGGEPLAGTLAEFYEAQARWGREISRQDRETMIEEASRAKTARVVKRLEHKLAIAQAKKLKAERLLAKMVSSWIPADPDDDQETITDEERVMFRRVGLRMKAYLPIGIRGVFDGVIENMHLHWKHRELVKLLSKEKELAFVEETARLLEYESGGILVAIERVPKGYVLIFYRGKNYRRPISLRPRNLLTKAKALKRRVALQRYEALSQHIHEVENNIEQIKGEIGDSKEKESIGVSNLKDHTLSDHFSEFAHGEGEASMEVSDVDESDADEDGDLEWEDDEDSDVSTMEDFD >CDP18398 pep chromosome:AUK_PRJEB4211_v1:4:24246950:24248289:-1 gene:GSCOC_T00004734001 transcript:CDP18398 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRAWVSDKLMSLLGYSQPTVVQYVISITKKAASPAEVVNTLVELGLSSSRETQAFAQQVFARVEHKASGPNLYQQREREAAMLVRKQRAYTLIEADDEEDDAGGSVDNKSASMATQSRKEDNRNKKFRKRAEPQEDDEGDDEAKCFYS >CDP12393 pep chromosome:AUK_PRJEB4211_v1:4:19670958:19673571:-1 gene:GSCOC_T00035908001 transcript:CDP12393 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLWNEFEQNQGTHITNSIGNANVIIGIKLKITTFNYLSLTTKPGSSHLINPPTSEANVLKEWYNANKEEITELIQQMAYKDSSKLLPSPSANDIISVANALNTLKDVKTAWIIGKISLTPGQQKFWFETCENCQKAINVDVGCVMRCPFCKEESRVVARTQIGIAVDDGTGSINTSIFGLDAEKLTPFTALQFWEAHTEELNFFAELASAIRRHLIVCFIRYYESNYQGQKEGKYNIVKAYTTEESVQIPMAITAAKMNKKIPDLHATVLQPAKKNEFFSPSTKKLLDTIAKSSTTANELPPPVTTAKRSLVFGIVPPGIGLNSTEANTPISLSTNAPRSPLKKQRDDEL >CDO98336 pep chromosome:AUK_PRJEB4211_v1:4:4711216:4713974:1 gene:GSCOC_T00022399001 transcript:CDO98336 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASSKKFLALCRKWPLPLFSFHEPSKSRHFSTYSHLGSAQNSVKSSSWKESGRVSSEAIKGHDLIRLSSEPESSMDSKRHDEFSADVEKIYRILRKFHTRAPKLELALKESGVVYVFGCLLDALCKNSSVKEAALLFDDMRMRFKPTIKHFTSLLYGWCREGKLMEAKHVLGKMKEAGFEPDIVVYNNLLNGYAVAGKMVDAFDLLQEMKRKGCEPNATSFTVVIQALCAQEKMDEAIRILSDMERSGCEADVVTYTTMISGFCKWGKINKGYELLDSMIQKGLTPTQTTYLHIMLAHEKKEELEECMQLVEEMQKIGLVPDVSIYNTLIRLSCKLGEIKECIRFWNQIEVNGISPGVDSFVIMINGLVEQGCLVEACNYFKDMILRGLLSAPQYGTLKDLLNSLLRADKVEMAKDVWSCIMTKGCELNTYAWTIWIHALFSKGHVKEACSYCLDMMDSGVMPQPDTFAKLMRGLRKLYNRQLAAEITEKVRKMAAERQMTFKMYKRRGERDLKEKAKAKKDGRKRRARRRQWGKAQNEASIYCSIKYPSASIEIRVHGIASYCSSMQQ >CDP12415 pep chromosome:AUK_PRJEB4211_v1:4:20157205:20161400:-1 gene:GSCOC_T00035941001 transcript:CDP12415 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRFKRFGTETLIMTTDLQESDKRRAFQEVCENFRPVMHYFFLERFLHPANWFEKRLAYTRSVATSSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEETLSVMRANKEALLTIVEVFIHDPLYKWALSPLKALQRQKETDYDLETSLEGSEEDEYEGNKDAARALLRVKQKLDGYEEGEMRSVRGQVQQLVQDAIDPDRLSQMFPGWGAWM >CDO98474 pep chromosome:AUK_PRJEB4211_v1:4:5955717:5973898:-1 gene:GSCOC_T00022582001 transcript:CDO98474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKPKKSKNEEQKPDNSEAVVKHQKLCLSIDMEKRRIYGYTELEIIVPENGIVGLHADNLAIESVTVDGEPAHFEVFPHYLNLDNGDRWCSVSTATSAADAAGSVYLSSLERELVPNLLIMCSKSAKPDGERQGPIELGNESQPSAESTQNLKMVRIEYWVEKAETGIHFDHNVLHTDNQIRRARCWFPCMDDNLQHCSYDLEFTVACNFVAVSSGTLLYQVLSKEDPPRKTYVYKLNVPVAARWISLAVAPFEIVPDRHNALLSHICLPTNLSKLQNTLGFFHSAFSYYEEYLSASFPFGCYAQVFIAPEMAISSLSLGASMSIFSSQLLFDEKVIDQTIETRIKLAYALSRQWFGVYITPEAPTDDWLLDGLAGFLTDSFVKRFLGNNEARYRRYKANCAVCGADDSGATALCSSASSKDLYGTQCFGFYGKIRSWKSVAVLQMLEKQMGPESFRKILQVIVVRAQDTSRPLRTLSTKEFRHFANKVGNLERPFLKEFFPRWVGSCGCPVLKMGFSYNKRKNMIELAVLRGCTARPDPTAAFSNANPDSEKREGDVGWPGMMSIRVHELDGMYDHPILPMAGDSWQLLEIQCHSKLAAKRFQKPRKGLKPDGSDDNGDSVPALDTRSNSDSPLLWLRADPEMEYLAEIHFNQPVQMWVNQLEKDKDVVAQAQAIAMLEALPQQSFSIINALNNFLTDTKAFWRIRIEAAFALAMTASEETDWAGLLHLIKFYKSRRFDPDIGLPKPNDFHDFQEYFVLEVIPLAIATVRTADKKSPREAVEFILQLLKYNDNNGNFYSDVFWLAALVQSIGELEFGQQTIIYLSSLLKRLDRLLHFDRLMPSYNGILTISCIRSLTQIAIKLSEFVPLDRVIELIQPFRTFKAVWQVRVEAGRALLDLEFQSAGIDAALTLFIKYLNEESSLRGQVKLGVHALRLCQMRTGSDPDNDVKSDTLVALLRLLESPMSFNNITLRHHFFCILQVLARRAPTLFGAPRDETLRMGHAETCSELKNIFAALVKQSKPPEPSLEALDLERDGDGDGSVFPEASQARDVLSNGHALTKAINIAEPDGLLVPEANREADTHLGDNEQRNRVAELGEKTPSNSREQMKPVAELLHDNLVVAEASKENDSVSNGLERKKPVFRFKVKHSAASSRAEDPDNAILERSQDGHADAERGASSSVSVDAPQKIVAETFSTGNQNLEDVNSSHDVGSRVTASIGSAKLAADDVKELQCTADSSKVYVVSPPGDHISTSIVKDDHLEMMISNKYASLKSLTRDKKKDKKQHKEKKKRKRDEQKGHRNDPEHLERKRLKKEKKRKEKELSKLMSDEAKASSSVELQRKKGIPTGISRDEQASQVEHERTNYDSETRSGGTGGEGKAPPVGSDRKKDDAGSGASAQPNPPGETSGAKVVIKPLDSSVNATQSSSSHRLKIKIKKRTLEKPS >CDO98604 pep chromosome:AUK_PRJEB4211_v1:4:7315224:7317377:-1 gene:GSCOC_T00022760001 transcript:CDO98604 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVKVPQFSSLDHKCMIELKEFLLKSCKEDSVSNLRSLLGEKAHDVGLLVSQRVVNLPPQLLPPLYDALFDEVSWATEDEPTEELQKSFCFKSYLMISKIYKHKNANKKMGKSGDREEDIIYSKPEDELFDQLSSWSFGFPLHTQQVTANELKDYRPMGLVMAVDASKVGIFRKQLHSLIDES >CDO97756 pep chromosome:AUK_PRJEB4211_v1:4:266877:270650:-1 gene:GSCOC_T00021642001 transcript:CDO97756 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQVQSLTSHFPPSALPPTTTTAHFSKPSLSVSKPSPSSQFPVGPPKCSVSVVLEPGTSTDNHKPFPAEVSRTIVELASRGTLSALTQESEHGGWPLGIGVRFAVDSQGTPVLCLKFDTSVPTFLPNNGTRSSLHVQLDQCRLRTPQCTLLGNLQKVEDQMASKKLRSLWKKNFGEEVDEGLLYVVSVERILHMEDFGEDGVWVTSADYKLANPDPLRGFAEKIVDEINANNMEDVYRFCNIYADLGFQVLDAKMVWVDRLGFDMRVTSTQNDVFDFRIPFPGEVTDEKGAKSAFNCMSQLAWEVEKNFQVPEFKRVKQLKKITSRC >CDO98439 pep chromosome:AUK_PRJEB4211_v1:4:5589039:5591221:1 gene:GSCOC_T00022528001 transcript:CDO98439 gene_biotype:protein_coding transcript_biotype:protein_coding MEECAGENKSSKKRARYDSGELEPDSPESKRVQAGTDSDPESDRVDADKKPDSNEPNQSQHDSEDNSTESDPAENELDPPGVMTFREDILDILDEPEIATGIQDLDSVIRSFEEEIIQSSPSLVHPPAGEFNSDSGDSRPDLGYLLEASDDELGLPPTVSESQAQNQPVNMPDGNEQDVDGLGNMVGFEHELPNYDSFELGLGENNHGASGNGDFVTVDGLFDYSDLGWRPESLPAL >CDP18092 pep chromosome:AUK_PRJEB4211_v1:4:14482047:14483361:1 gene:GSCOC_T00008269001 transcript:CDP18092 gene_biotype:protein_coding transcript_biotype:protein_coding MVISPGNPRLGLTALTRRLGLVERPDNPDRELIKYKWDDPHVIPADMTVEVSELFTRELARYIEETEEFAMKKLMENRHILDMIAKELLEHS >CDO97791 pep chromosome:AUK_PRJEB4211_v1:4:522268:525322:1 gene:GSCOC_T00021696001 transcript:CDO97791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP19 [Source:Projected from Arabidopsis thaliana (AT5G51910) UniProtKB/Swiss-Prot;Acc:Q9LT89] MLLRFLGDFFYIKVFAFSMASVENSQNLEPDDDEVNNDAHDLLLGDPHHYTITAVGPAFEQSPRVAAAVDPTPSPPPPPPPFLQSSDIVPMLKEEPADNDVEGSIPVGLTPIHHLDKPMLLAPPKRSSKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLERAEPAIIEATGTGTVPAIAVSVNGALKIPTTSSTSMTATEGDGARKRRKRASTSEFYEVNDNSSFAPVAPITPQGLVPVWTVGAHGAPGMVPTSVVPSGAFFMIPPTGATIAAAAAAAGAVPPRPSNQPQLWAIPAAAATPVFGVSGRPISNFVATGSPTGATSAQVLRDFSLEVYDKRELQFMVGAAAGAGNDQTPSSKS >CDO97986 pep chromosome:AUK_PRJEB4211_v1:4:2072829:2075595:1 gene:GSCOC_T00021943001 transcript:CDO97986 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGEAIVSSYAPANNFNKPRRLSNDNLKRTTSDISFELSKEPVDVNLQAICEVEDAKCECCGMTEEYTLEYINEVRDKFCGKWICGLCTEAVKEEMEKNGGNREAALEAHMNACFRFNKFGRAYPVLSQARAMREMFKKSVKIMGRGGSGGTRSTGRAYGGGYNSYEGAAGGSRLLKRSSASSVYTPPFDEQYWKEVKWMYNLTDESRCRLGLELLDTCEENRGPGAPECEKYRQVLADCCSGRRAGAPRRPKASSTDRAPGDDKTWMYKLSDGSRCRLGVEMP >CDP12722 pep chromosome:AUK_PRJEB4211_v1:4:12064257:12065054:1 gene:GSCOC_T00037341001 transcript:CDP12722 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGENNSAWSLSGKTALVTGGSRGIGRAIVVELAQLGATVHTFSRKEADLNECSQEWSSKGFKVTGSVCDASSREQRTQLIEKVSSIFNGKLNILVNNVGTTKMKPAEEFTSEEYDMIMSTNLESSFHFSQLAYPLLKATGIGNIVFISSIAGLVSVEGASVYAATKGAMNQLTRNLACEWAKDNIRVNCLAPGAIKTPLTESVQDYDEKLKKLDARTPMNRAGEPEEVSSLVAFLCLPAASYITGQVIAVDGGLTANGIQWN >CDP12683 pep chromosome:AUK_PRJEB4211_v1:4:11092738:11098028:-1 gene:GSCOC_T00037272001 transcript:CDP12683 gene_biotype:protein_coding transcript_biotype:protein_coding MEASISLRAAANTPTRVVALQSIHLKPRLRFFKPTPVGSSMAHNTPLSIQAQKSELKEASAEITERDALKIKEWEVGMFRDEVAASQGIRIRRRPPTGPPLHYVGPFEFRIQNEGNTPRNILEEIVWHKDLEVSQMKEREPLPVLKKALENAPPVRDFIGALKTANLQTGLPGLIAEVKKASPSRGVLREDFDPVEIAKAYEKGGAACLSVLTDQKYFQGGFENLEKIRNSGVKCPLLCKEFVIDAWQIYYARTKGADAILLIAAVLPDLDIKYMTKICKLLGLTPLVEVHDEREMDRVLGIDGIELIGINNRNLETFKVDISNTKNLLQGERGRIIRERGIIVVGESGLFTPADIAYVQEAGVKAVLVGESIVKQDDPSKGIVGLFGKDISC >CDP15049 pep chromosome:AUK_PRJEB4211_v1:4:9683498:9685311:-1 gene:GSCOC_T00042591001 transcript:CDP15049 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFNLLICAISFLSLETLFVEGYLGMNWGRLATQRMIPSMVVDLLMQNKINYVKLFSASDNVLEAFSTIDIGLEITMPNQSTQKMKTQADVDEWVVQIIVRHPNVHFMYVCIGTQPLSTRFFNQTYPEAIQVLDWMQQALNLMNRSEIKATMSHFTDVLISAKKPSEGAFRKDLEPNITRSCQILQSYNAPIGVVIFPLVNVNDLFNGDIEFAFLENNSTSVFKDGDKTYSNVVEVMYDMFDVALEKVGCSNMTIIIDAIGWPTDGIKDGNIPNAQRFYQGLAKFVASKKGTPRHPGPIDVYVHNLSDENKINKNAGSFMRHWGIYKFDGQPKFNFDLQGLGRDVKLVPAIGITRMPKRWCIFNDRYASDIGKIAYNVFDDQVKYACDTADCTALDPGGSCSNLTYMQRLSYAFNMGFQKAAQSVYNKSCYYNGYGKIVSDDPSTPSCTFPVEILAAEIPNFTGYAQSLAHVFKPSSISLMTAVVQTLYICFTLMCTC >CDP16954 pep chromosome:AUK_PRJEB4211_v1:4:13699956:13704224:-1 gene:GSCOC_T00005322001 transcript:CDP16954 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIIKYLAGLAGPSGYGSKSTAEQVTRDCSSSSTFLPSSHLTAIITGATSGIGAETARVLAKRGVRIIIPARNLKKAALVKENIQKESPEADITILEIDLSSFASVKRFCTEFLSLGLPLHILINNAGKFSQNLEFSEDKIEMTFATNYLEMLLERMVETAAETGIEGRIVNVSSVIHNWVKRDDFSFYHMLNPRNYNGTRAYAQSKLANILHARELARQLKERTANVTINAVHPGIVKTGIIRDHKGFITGMDHHSLTADTFPFCIISLSLNPIAHTEITRTCAISSFFFFLFLTTFRISIFYGIKVAKIHSTGLSIHDCPFTLGR >CDP12762 pep chromosome:AUK_PRJEB4211_v1:4:12647239:12648405:-1 gene:GSCOC_T00037401001 transcript:CDP12762 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLCENNITGISAAGVLLTTIIIISVVIHRIINKKPAYRSILLLFKRTSKENLILEAAIKQYGSLAPRRYSYSDIKRMTNSFKDKLGEGGYGKVYKGNLFAGRPVAVKILNTSKGSGEEFINEVASISRTSHVNIVALLGFCFEGQKRALIYEFMPNGSLERYIHGETTSKTDGHLGWAKLYQIALGIARGLEYLHRGCTMRILHFDIKPHNILLDDDFCPKISDFGLAKLCSRKESVVSMLEARGTVGYIAPEVICRNFGVVSPKSDVYSYGMMLMEMVGGRKNVSVKASHTSEIYFPHWAYQHMILEKDFKLKGVMTPEEDEIARKMVLVGLWCIQADPSQRPAVSKVIEMLEGSLEALEFPPKPFFGSPSRSPEASSITIAES >CDP12725 pep chromosome:AUK_PRJEB4211_v1:4:12087679:12089982:-1 gene:GSCOC_T00037347001 transcript:CDP12725 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVLGFLNEISKQGFPYSLYSFNTLLIQLGKFDMVEAAQNVYHEILSSEIVPSLLTFNTMINIFCKNGKVQEAELVLCRIYQCEFSPDVFTYTSLILGHCRNRKLDKAYEVFDRMLKDGIDPNSVTYSTLINGLCDEGRVDEVLDMLDEMIEKGVEPTVYTYTVPLNVLCDVGRAKDAIGLMSTMMKRGCKPNAQNYTALISGLAVVGQLELAIGLYHRMLKEGLVPTVVTYNALISGFCEAGKLETALNIFRWLEGHGYSPNTETFNAAIKGFCMVGNMERSMVLFQQMLRVGPPPTRITYNTLINGYLQQHSLNNALRLFDIMKGNGCQPDEWTYAILVSGLCKGGKFDLASTLFEDMIRKHLSPNQVNYTTLIDGLCKDGKVDAALALLKRMQGSGCNPAIETYNAVLNGLSKSGMLSEAKKLHDKLLESGLLPNVITYSTLIDGLCKSQRTDLALKIFHEMGKRDCLPNLYTYSSLIHGLCLAGQSDEAELLLREMERKGLAPDVVIYTSIIDGFVRVGRLNHAFLLLFQMISAGCQPNYRTYNVLVKGLQKECQLPEEKVATQHQTVCTRSSVEKDLRIENLYSLLDRMSEYGCEPGLSTYTTLVVGLCREGKSYEAEQLVKHMKGKGLTPNSALLCSFIGSYCRDLRVNSALDIFNSLIISGFEPPLSIYRALICTLCKLSRAKEAEALFESMLEKQWNNDEIVWSILIDGLIKEGEADTSMKLLHAMDVKNCTFTYQTRTSLARELSKLGRPADKKSEC >CDP14849 pep chromosome:AUK_PRJEB4211_v1:4:25117910:25130203:1 gene:GSCOC_T00042318001 transcript:CDP14849 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAGQGINNASFMELQAMKHYSSSKLPTFGRRSANMSIKRYAFSSCSLSMNGCQGDDHDPTAPIGTIETRTFPAVSTPALAVESLNAAIADMQSQPSAFDSGIIRLEVPILEQIEALDWLHSQDQSLHLPRCFFSGRNRITISDLSQSINGNAFNPRTSTSVEDNDVVSVAGVGSAVLFRSLHPFSYHDWLSIKRFLSKNCPLIRAYGAIRFDERASISPEWKAFGSFYFMVPQVEFDELEGSSKIAATIAWDNALSCTYRNAIASLKMTMARVSSVVTRLRQEASHAYITSTDHDPSRKSWDKSVNQALERIKGDYSLLKKVVLARSSKVVTSTDVNPLAWLGSLKGEGKDAYQFCLQPPESPAFIGNTPEQLFRRDEFSIFSEALAATRARGGSESLDLQIAHDLFSSPKDHHEFAIVRENIRRKLEARNWIPSQKFLACNTLMELLINPEKVVRKLSRVQHLYGRFSGTLRSEDDEFTILSSLHPTPAVCGFPTEVARDFITDTEMFDRGMYAGPVGFFGGRQSEFAVGIRSALIGKGIGALIYAGLGIVEGSDPALEWEELELKASQFMKLMKLEVPTLATTV >CDO98572 pep chromosome:AUK_PRJEB4211_v1:4:6971771:6973612:-1 gene:GSCOC_T00022715001 transcript:CDO98572 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFLRSLQSTRLLELSSIAIIRSKILSSNHTYCSITINGPVGSKDDEDAYVSILRKCIDASNLRNAKAIHAKIFKGDICGSSWLFLGNHLINAFAKCGETCDALQLFDEMPQKNVVSWTALIAGFEQKGLSAESFSLFKQMGASGMRANEFTLVSALHACSAADFLGVVHVYQVYGLIIRLGFESNVHLLNAFLVALMRHRRLSEAFEVFEESHHRDIVSWNTIIGGYLQLSCREIPGFWVRMISEGIVPDGFTFASVLTGLAELLDFEMGVQVHGKLVKSGHGSEMCVGNALVDMYLKNRKLVEGFKAFEEIPLLDVSSWTQMAIGCLNCGEPNVALKVIGEMRRKGVKPNKFTLATAFNVCANLTSLEEGEKIHGLRIKLGHDVDVCVENALLDMYAKCGCMSSALKVFRSMDEWSVVSWTTMVMGFAQNGCAKEALEIFDEMRLKRVEPNCITFICALYACSQGGFVNEGWSYFSSMSSQYGIDPGEDHYACMVSLLGRSGCIKEAEELIHGMPFKPSLLIWQTLLGACRLHGDNETAKRAAEHALHLDKTDPSAYVLLSNTFASSNNWDSVGILREVMESQDVKKMPGSSWIELDRNESVTKSHRVSI >CDO98258 pep chromosome:AUK_PRJEB4211_v1:4:4005843:4009880:1 gene:GSCOC_T00022290001 transcript:CDO98258 gene_biotype:protein_coding transcript_biotype:protein_coding MWAATCLASCCAGCACDACRTVVSGISRKSARIAYCGLFAFSLIVSWILREVAAPLMEKIPWINHFHQTPDREWFETDAVLRVSLGNFLFFTILAVFMMGIKNQKDPRDSVHHGGWMIKIICWCLLVILMFFVPNEIISFYETTSKFGAGFFLLVQVVLLLDFVHGWNDKWVGYDEKFWYMALLVISLVCYVATFAFSGLLFYLFTASGHDCGLNTFFIVMTLISVFAFAVVTLHPSVGGSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAVSTSTLTIGLLTTVLSVVYSAVRAGSSTTLLSPSPPSSPRAGKPLLPLDKADKEEEKEKAKPVTYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWASVWVRIVTGWATAALFLWSLVAPILFPDREF >CDP16917 pep chromosome:AUK_PRJEB4211_v1:4:13241939:13250775:-1 gene:GSCOC_T00005269001 transcript:CDP16917 gene_biotype:protein_coding transcript_biotype:protein_coding MISLKNLSSSSWLVLQLWVILLFGVGAVRGNSEVAVKLLKTPHAVSKRDYATFRFEVVVNGESCRECITNCKLDDGLATVCENRSVSYAQLQDGNHTFEVCTNASQGVGCTSYNWSVDTIPPTAFVTASTNFTNASKVLVYVSFSEPCIDGGGFQCSSPDACNLLVYGAGQVVPNTLNVIEPNLKFSLTVSLSTSVQYGRVVVVMDKNFCTDSAGNSFTRTGNSSLYIHFDRRSVFANLRTHIPAKVLQINREARTVLATNKTKNLKLYLYFTEPVLNSSAEIMKTVNTSLGSLLPIRGNDLGKRRFGFQVKDIPDMAIITVSFDSNQILSRQGTSVSPMAPVTFLYDSLRPTVRLSTTSHTRTKDESIPVLIKFMKPVFGFNSSHISISGGHLESFQKLSTSTYTMDVQADDGSISISIPENITEDVAGNRNLGSNILHVRHYSVSVVSLVLSSFITAAFAVTSLVSGFLTISTASLQSVGAFKRPTPLLTSEPTRSLFRMASHIQVYALSRWLAVSLPVEYSEFARGLQWSIPYFRLPWETLKFPPHMGGTNSPTNPYSFTSKHHYPGVAMDLTSEEQNFLKAPKVYGLPLSPMEYRTFFEGQSMIPEAEHIVNPQTAHGWRDFKRSMFWLAVIYGSLMLLHTLLFSILWLRKKNTEKNRSYGALIFPRFEIFLLILALPCICEASAALLKGGASSGMVVGILLLAVVFFLLLALLLFLSVGITLGKLLQYKEVHQEGEAIHWYQEIIRVTLGPGKRGQWTWKNDSKSTCLTMLGPLFEDLRGPPKYMLSQITGGNVQKQRDRIIASDDETEDAEAPFIQKVFGILRIYYTLLEVAKRVVLGIVAGAYSENWASRTPTITLLCITSFQLFFMVLKKPFIKKKVQLVEIISVSCEFGIFATCLVLLYKGFSAKDETKIGIFMLSLFLLAFLAQLINQSYALYRQAKKLDPAGNFLTGLKIALIGFLLLFIPQRWIKNLESKFPLYNTAEGEGTTTSSGDRRSSSRSSDERPWLRQLRELARSSFSKERAATPTDPSTSQGTWSGFWNLKRSGSPSMTSSSDFKAKSRLSKDLESIFSSK >CDP16995 pep chromosome:AUK_PRJEB4211_v1:4:15025070:15039743:-1 gene:GSCOC_T00011357001 transcript:CDP16995 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHKRKRGRKPKISVSETLELDQNHTPTIPGSVPGPSSSPTANSDDSSNHERRRRGRPPKKTKLPSSEPSKAIRAASPTRLLLDKANNGPTASLISSSMVAGGESVAAAARVVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVISGRRILTNAHSVEHYTQVKLKKRGSDTKYVATVLAIGTECDIAMLTVEDDEFWEGVSPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNEKGNCVGIAFQSLKHEDVENIGYVIPTPVILHFIKDYEKNGAYTGFPILGVEWQKMENPDLRLSMGMKPDQKGVRIRRIDPTAPESDLLKPSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGDSAAIKVLRNSETIKFNIKLASHRRLIPAHNKGKPPSYYIIAGFVFTTVSVPYLRSEYGKDYEYEAPVKLLDKLLHEMPKSPDEQIVVVSQVLVADINIGYEDIVNTQVLAFNGKPVKNLKNLASMVESCNDEFLQFDLEYQQIVVLQTKGAKAATLDILTTHCIPSAMSDDLKI >CDP14924 pep chromosome:AUK_PRJEB4211_v1:4:26124058:26126491:1 gene:GSCOC_T00042415001 transcript:CDP14924 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDSIAANASNIPAASSNAKDFVKKKRVNRSAKLKQCKLDARREQWLSQVKNKSGKEESNGGTIGQPMATAGNRMGRAIEKLEIRPRVEEENDGGSVHHYSDSESPSNSPMSHTGSVLGGNDSGTNSSSSSSSSSGGCCSGSMSEEDEGGEGGCLDDWEAVADALAATDEKQQLHIGSAPVDKNIAHFDSQSDVDILKEKPENGVSVQRAPVNCRAWRPDDAFRPQSLPNLSKQYSFPMNSDRHYGCGGSVWGCKNAASIPKSCPICYEDLDFTDSSFLPCSCGFRLCLFCHKRILEEDGRCPGCRKQYNYDPVEGEATLDGGSLTYRLGRSCSMITRS >CDO98170 pep chromosome:AUK_PRJEB4211_v1:4:3370496:3377070:-1 gene:GSCOC_T00022176001 transcript:CDO98170 gene_biotype:protein_coding transcript_biotype:protein_coding MNMYGGHRDYSLYLFDLGATDPTLIESLALHPTRRHSFDENNKSRGSPPPKQHRHDGTSPLPLGMDWSPPPRIWEGRNSVWPHDFRTGWSYCVTVPSWTIIPKGRGLDPTVFYRVQVGIQSPEGSTTLRGILRRFSDFLKLYSDLKRAFPKKKLPPAPSKGLLRTKSKELIEERRCSLGDWIEKLLSDIDLSRSFPVAVFLELEAAARSSFYEANQSASDANSPATFFVPADQILNYSDGSLVTGSSFASDYGNDSSYEASELGTARHGMGIHHELGMGNASYEPEITSAAIASVEDGGFSVNNLRPSKKPIEGNEKGFHHNMTLIDKDTVTDHHMLKTGASQFIANNEDKMEPLSGTQNLSNARTLSGDSIESDTSSVVLERPDGSGAAQIDVLLTNSGHDVSIVLPTEEQNKMNRILNILKQRLNIARTDVEDLTARLSQELAVRQYLSTKVKDLETELETMKQTGEESLQQAIINERERVTQVQWDMEELRRKCIEMELKLKSEQEEKGLLETTKNSIVLDNDRLRQELDVAKEQVENLLKHHEESETKSKLDLKILAKEVKSLRNSQLELKQDLVRLANEKIEAERILQEERQRREHSNAANAKLLHECEVLRSRLEECSVNFLIEEEIKLSMDTSSPSEAIDILGTSDNRIGLLLAEAQLLAEDVENIVASASSSTAGRATRTRDDELRKMLTDVFIDNASLRTQINSILRYALDKSPDKSEKDAEETSSRESILSKFLER >CDP12302 pep chromosome:AUK_PRJEB4211_v1:4:26953014:26957301:-1 gene:GSCOC_T00035764001 transcript:CDP12302 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQPFQSWSKFQVMTKEEDVYPFSFPTQLSPLEFSTYNPGCAGSGSQLDFPFRDPDFDAFPIMGSCLNDPSYASLDIDPSHYVFQDSWQGFHGSGFRLGNEKSPEFDIQNQQLLLAGSNEQLTSQEEVVVEEKGMKKAREEKGGTISSKNLSRKTISNYFYMPITQAAKELNVGLTLLKKRCRELGIRRWPHRKLMSLQTLIKNVQELAKEGGEGAERKFREAIDILEHEKKMLEEIPHLQLEDNTKRLRQACFKANYKKRKLMGMVKESPSHSGVNPHEVNALAIPDFGSRVDDDQQEEELKILFSDCFPSPNTLISGLPCTNLCKHVANTL >CDP16522 pep chromosome:AUK_PRJEB4211_v1:4:16126658:16127824:-1 gene:GSCOC_T00018885001 transcript:CDP16522 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNFGGKPESIEVNLEDSYTIKNTLRIRISCPGIGLILLVFENSYARDFIKFAAEQFGKDNQEEELLHNRVTGGRLVFNLLSLCLSLRRCRAPSPLSSQFSIAAMIIPEKNRREISKYLFQEEVFYVKKDFNLAKHPKINVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSTKPLSRPMSGPPGDRPRGPPKFDGDRPRFGEREGYRAGSHGPLGEFGGEKGGAPADYQLAFRGSGGRPGFGRGSGGYGRAPPSSCFS >CDO98287 pep chromosome:AUK_PRJEB4211_v1:4:4238715:4240573:-1 gene:GSCOC_T00022333001 transcript:CDO98287 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSSAQLKYYFSRLRTGSGNSGFATSTIPKMKPHAPTADIHTHEHADTKLWPLKAEFVPVYVALGLIAMSTSFGTYTALHQLKRAPNVYVKKSRRETVPEVAEPERVAEDVDQFINKSFFRKVAHVQDSDRQDTVPNPIAGDVYAWKPHFKAATLKDVAGAEPPKDKPPKDA >CDP16418 pep chromosome:AUK_PRJEB4211_v1:4:17508810:17512007:-1 gene:GSCOC_T00018292001 transcript:CDP16418 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQKFNSIRNPQEQRFEEMNQRILAIGQRIDKHEEVVEKLLVSSGSNLEKTIQDTKQRCMKLKFNRNISVPIRTGEQIKGEGGSNLQLSLIDNCTGAVVDFGREASAKVEIVALKGDLDDDEGDAWTAEQFQSKIARDREGKQYVLAGNLQPKLNKGTVSLSDVMFRSSQRHNGGIFKLGAWVVDTFDGSQVIEAKTKSFKVLDYRGKYT >CDP15046 pep chromosome:AUK_PRJEB4211_v1:4:9657519:9659967:1 gene:GSCOC_T00042587001 transcript:CDP15046 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGILTSASAGNWGNGTTGLLNNYSPWALTFAAATIDRKFVTKVHLGDKEVYVGVSINAFDLNGTLYPLVYSVDGLAIGHDGNESRYYMIGSLNDTNQVTGKIVFTDHLNSWMRATDVGAIGIVMHAKGPLDYGDTYSLPASLLYNRDGNQILSCINSTSDPTTTIDKSIEIIDKAASSIVSFSSRGPNPITVDILKPDLSAPGVEILAAWSEATTVMGYVGDKRSSSYVKSFNPTWSPSAIKSALMTTDFLKSFHQSYFLILNMIKKHKDISSYSKIISAEKDIDAEFAYGSGQINPLKAVTPSLVYDIEEKDFVSFLCRQTYNITSLRLITGDSIISCSKDNNSSVWDLNYPSFTLSAPSGHVARVFHRTVTNVANVGSTYKATIIAPPQLSVQVNSTILTFESTGQKQSFTMKVKAHIAKNITSGSLVRDDGVHQVRSPVVAHSFESRRKDDYTGSFLKGGFSYKGFHPSS >CDP16909 pep chromosome:AUK_PRJEB4211_v1:4:13178282:13178539:1 gene:GSCOC_T00005258001 transcript:CDP16909 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTVTLAEALGGITVNITTLDGRELSIPVNDIISPGYELVVDKAGMPIANEPRNRGDLKINFEVKFPTKMTTEQTATIKRALGG >CDO97964 pep chromosome:AUK_PRJEB4211_v1:4:1847008:1851953:1 gene:GSCOC_T00021917001 transcript:CDO97964 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGRGRGGFRAAKQENFDLFLEIDELGTAESVKVDPSFAVWFSKFQRYWNSSPYYVEDESGGAKKSQRIEIEKLADRKSKKTSSKQALSHFIRMEPDYVPAELAKDEKKEKHGIKRVRWNPEADMQKLDVFEKLEQKHQVQELNGKEDEEEEGDEKLEEDEEEYSDDGDYNQNIDFDDDEDDFNTGDDNDDEPEL >CDP12359 pep chromosome:AUK_PRJEB4211_v1:4:28074674:28075301:1 gene:GSCOC_T00035850001 transcript:CDP12359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PRE3 [Source:Projected from Arabidopsis thaliana (AT1G74500) UniProtKB/Swiss-Prot;Acc:Q9CA64] MSSRRSRSRHSAASRITDDQIIDLVSKLQQLLPELRNRCSDKVSAARVLQETCNYIRSLHREVDDLSERLSELLANSDTSQAALIRSLLSQQS >CDO97799 pep chromosome:AUK_PRJEB4211_v1:4:573883:575249:1 gene:GSCOC_T00021705001 transcript:CDO97799 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMLSTVSIWSPLKLNQKNTDHDRKINKKRSSLISSHNLQLSSTAVGLRILTAADTTLPTDHSNVVLNSALRLVKPIFRMTAANQSSHSSLTTLSPQSSYCYLGSCFLCNKTLRLDKDVYMYRGDQGFCSVECRSRQMYLDEIREVESSTKRTLASLRRTCRDSNWCEGETRTVLEEFRRERDGQAMPCQKGQRVAPIFTLS >CDO98427 pep chromosome:AUK_PRJEB4211_v1:4:5471891:5475756:-1 gene:GSCOC_T00022513001 transcript:CDO98427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G73840) UniProtKB/TrEMBL;Acc:Q8VYM7] MAGKQVPGEGISANLAGMSKNQLYDIMSQMKQLIEQNQQQARQILIQNPVLTRALFQAQIMLGMVQPPQAIPNVQPAASQNPQPSKSPIQQSNIQTSSPVPGPTIKQEPNIKQEQNLPATTVSSASALPSNLQPPSFPSHPSQSTQQPKGHIATQPTQMPIRQSSQLPNMPHLPHHAAAQPPSHLQAPIPSASNQLQQPMQTTSVSHLPPLQPPLPTQQRPPVPGFPHQGQSQMGLNVGFQHSSAPQLHPSQPVYHSGTRPPAGPGHSFLQGQPPHPIQAPLQPPYQVGGSQLGTDFNQIASSNQADRGSPWIPGMKQESASAAQLSGQPQFPGQMGTGNQQPRPPSLTPEMEKALLQQVMSLTPEQINQLPPEQRNQVLQLQQMLRP >CDO98020 pep chromosome:AUK_PRJEB4211_v1:4:2301760:2303566:1 gene:GSCOC_T00021986001 transcript:CDO98020 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLKPYLCSLQLKPSPKIEFDDFKLRGEIPAKEKHCKCKQSSCVKMYCLCFASSKYCDGCNCSNCQNTFENEEVRQASIGLILQRNQKAFRTMVVSSPECSQDSEVMYIGCCCKRSRCIQGYCECYRANIICSKNCKCKNCKNSRAYEEM >CDO98557 pep chromosome:AUK_PRJEB4211_v1:4:6838497:6839120:1 gene:GSCOC_T00022695001 transcript:CDO98557 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLLFMISFLLFFISTVSSFSSAAEPEPVLDIDGNVLRTNHYYYILPAKVRGRFRGGGLALSSIGNDTCPVGVFQELSEQRNGIPLTFSPVKPRHGVVRTSTDLNIQFAYPETCGESPVWRVDNYLDPLVDSFVSIGGVVGNPGPATLGSWFKIQKFGYDYKLVYCPTVCSNCDVICKDVGILYQNGERRLFLNDYPLRVVFKQA >CDO97992 pep chromosome:AUK_PRJEB4211_v1:4:2138247:2139223:1 gene:GSCOC_T00021951001 transcript:CDO97992 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENODL7 [Source:Projected from Arabidopsis thaliana (AT1G79800) UniProtKB/TrEMBL;Acc:A0A178W8V4] MAPRSVLCCVCALVIPVIFFSAATTMVVAAEEFKVGDALGWRQPTLNETDMYNLWASRRRFHVGDSLRFEYTNDSVVVVDKWGFYHCNTSSPITAYTDGNNTLVTLDQPGPMYFISGDHHHCKEGQRLLIDVFPLHPRSHSPPAIARPPQSFPGISPAPSPLSNSGPTISSADGVVSISVVSVLVVFVATVLMA >CDP18121 pep chromosome:AUK_PRJEB4211_v1:4:20969056:20978218:1 gene:GSCOC_T00001598001 transcript:CDP18121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G08370) UniProtKB/Swiss-Prot;Acc:Q8RX86] MVKSPGTEDYTRRSLLANGLGLTPPMGWNSWNHFRCNLDEKLIRETADAMVSKGLAALGYKYINLDDCWAELNRDSQGNLVPKGSTFPSGIKALADYVHSKGLKLGIYSDAGTQTCSKTMPGSLGHEEQDAKTFASWGVDYLKYDNCNNNNISPKERYPIMSKALLNSGRSIFFSLCEWGEEDPATWAKEVGNSWRTTGDIDDSWSSMTSRADMNDKWASYAGPGGWNDPDMLEVGNGGMTTTEYRSHFSIWALAKAPLLIGCDIRSMDGATFQLLSNAEVIAVNQDKLGVQGNKVKTYGDLEVWAGPLSGKRVAVALWNRGSSTATITAYWSDVGLPSTAVVNARDLWAHSTEKSVKGQISAAVDAHDSKMYVLTPQ >CDO98503 pep chromosome:AUK_PRJEB4211_v1:4:6191969:6195356:1 gene:GSCOC_T00022622001 transcript:CDO98503 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTPLSKPFFSTKPTSQTPLFTLPATRKVHTRPNSVVRSSIATAPAAATVSKASAKVKSVKARQIIDSRGNPTVEVDLVTDGADGQLYRSAVPSGASTGIYEALELRDGDKSVYGGKGVLNAVKNINDILGPQLVGVDVRNQADVDAIMLEIDGTTNKSKLGANAILGVSLSVCRAGAGAKGIALYKHIQELSGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFAEALRMGSEVYHTLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDGKYDLNFKKQPNDGAHVLTDQSLCELYKEFVKDFPIVSIEDPFDQDDWKSWASLQSSVDIQLVGDDLLVTNPKRIAEAIQKKACNALLLKVNQIGSVTESIQAALDSKTAGWGVMVSHRSGETEDHFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >CDP12299 pep chromosome:AUK_PRJEB4211_v1:4:26925169:26926931:1 gene:GSCOC_T00035761001 transcript:CDP12299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl diphosphate reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74470) UniProtKB/Swiss-Prot;Acc:Q9CA67] MASIALNLKTFVGLRPASPENNPITTLAKPSSTTTAARKQLRINAAKTSPKVTGRNLRVAVVGGGPAGGSAAETLAKGGIETFLIERKLDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNVAVDIGQTLKPHEYIGMVRREVLDAYLRDRAATAGATLINGLFLKMEMPSSKTAPYRLHYTDYNAKTRVSGEKKTLEVDAVIGADGANSRIAKSINAGDYEYAIAFQERVKIPDEKMKYYEDLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKPDIKKFQLATRLRARDKIAGGKIIRVEAHPIPEHPRPRRLLDRAALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGKRMVEEGDLRKYLEKWDKTYWPTYKVLDVLQKLFYRSNPAREAFVEMCADEYVQKMTFDSYLYKKVAPGNPIEDLKLAVNTIGSLVRANALRREMDKLSV >CDP14873 pep chromosome:AUK_PRJEB4211_v1:4:25430875:25434934:-1 gene:GSCOC_T00042347001 transcript:CDP14873 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKHFFSPLSISHFAFCIFVSLCINPLINLFQFFSFSSYHFPIFPPYQALRVFQYSFDQFCFDFKLKPLQWTLGKFVCSEKFPKFKMDKDQDSSSFLSFYYFLGLLLL >CDP15048 pep chromosome:AUK_PRJEB4211_v1:4:9675729:9678758:1 gene:GSCOC_T00042589001 transcript:CDP15048 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRFFQTPREEKKMKSQLAAKRLKRSKMRAARFREAEPKKPRILMDPIEEKKRNARIAAKRRSSKKRSQRKEAKEKKELIAKKESYISDDDNWEFVDV >CDP18936 pep chromosome:AUK_PRJEB4211_v1:4:14672755:14675045:-1 gene:GSCOC_T00003259001 transcript:CDP18936 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLKPLISSSHLPSRTRRASPQTSSASSSPTSSWRMLHPSRLQHPKGVHPPPHPPPLWWHQETQEEDLHQAQEDQAQEEEGQACRPLVLQAGKSLLVLGGASGVGSFIIQVYATATVFLVVFLERKGNFLMQCSGGCEE >CDO98122 pep chromosome:AUK_PRJEB4211_v1:4:3040821:3041641:-1 gene:GSCOC_T00022120001 transcript:CDO98122 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNPTTGGYTSAQVPGQAQMRRNDQVDQPSHVQPNQDYATNFLQETGSHLKDVAQGAADAGKGAVLGAASMARGAAAGAANIAHGAADAVKHTLGLDAADNTCNWPSSNHPAAAGNSTTDWPSHRAADSNLLGNTNRPTSNLADTTNYPANLMDDSTNLPGSLHNNPNARKNI >CDP14867 pep chromosome:AUK_PRJEB4211_v1:4:25353055:25357132:1 gene:GSCOC_T00042340001 transcript:CDP14867 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSEPGSPRGTASTTPGSTPTPLFTAVRIDSMADDRKPMPACKCFPVLAGPQSCITNFPAPDISLPRKVGAEFLGTFILIFGATAGPIVNQKYDGAETLIGNAACAGLAVLIVILATGHISGAHLNPAVTIAFAALRHFPWAQVPAYIAAQVSGSICASFALKAVFNPFMSGGVTVPSVGNAQAFALEFIVTFILLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPASGGSMNPVRTLGPAVAAGNYTSVWVYLVAPTLGALAGAGAYTMVKLQGEGRNATESRQQSRSFRRPS >CDO98377 pep chromosome:AUK_PRJEB4211_v1:4:4987220:4989759:1 gene:GSCOC_T00022449001 transcript:CDO98377 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVDLTGSFILVASCHSFDELLLIMIWRVPLLEDQCGDGDCIDVGSQPKDLSIAHLSPEVALVSIDTGIVLLHGTQVVSTVNLGFPVTACAISPDGTEAIVGGQDGKLHLYSVNGDSLAEEAVLEKHRGAITVIRYSPDVSMFASADVNREAVVWDRASREVKLKNMLYHTARINCLAWSPDSSMVATGSLDTCVIVYDINKPASSRITIKGAHLGGVYGLAFTDERSVVSSGEDACVRMWRITPQ >CDO98072 pep chromosome:AUK_PRJEB4211_v1:4:2597523:2601466:1 gene:GSCOC_T00022048001 transcript:CDO98072 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAESNVQPSKKRAAGRELSRDNPGLDDDEEGSSEQEMGTFKRASEEVLAGRRIVKVRRNQSSTTPSAPSSNPFAGIRLVPPNSGATTAHFAAEADSGKSVADNEVKTVGSEEIDNKSDENENELESRVNEPDTGTIGEKENNYNEEGPNKLEVADDKAEKVTCSENNIEKDDKENAEVKECENEDRKDDDNASVDKSGEAASFSSFQQLSSSRNAFTGLSGTGFSSSTFSFGSLGSGSGSGSLFGSKVDSPSFGFGLSNNGSSSLFGSSGASTITKSEGSGFSSMQEVPVETGEENEKPVFVADSVLFEYHGGSWKERGKGELKVNVPTSGTAKARLVMRTRGNYRLILNASLYPDMKLTDMEKKGVTFACVNSIGDGKDGLSTFALRFKDASIVDEFRACVIEHKAKNPVTLKTPENSPKASDG >CDO98544 pep chromosome:AUK_PRJEB4211_v1:4:6608759:6610457:1 gene:GSCOC_T00022680001 transcript:CDO98544 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIHAISGGGGVAGGGNRLFAGGSGGGDRRLRPHHNQNHHQALKCPRCDSLNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKTKRSKPKQAASADVAVAGAPAPGERKSNSHSSSESSSLTATTTAAKKTPGAGTTGEVASATVNSSSSGASNLMNFTDSRFFLAQMNPSTSFEHPQPLLNPAVTSEGQIFTDMGSFTSLMTSSNDPAMLGFANVTATDISGYRLQQSHQGAVLDDQSSNQMAGTAGGGGSDHELKMDQINCGFLDQTAQIGFPGLQQNRISNGGLQCLDWHINGGGGEGDDGGGEQGLFDFSGTVDQAYWGQNQWADNDQSLNFPPLV >CDO97868 pep chromosome:AUK_PRJEB4211_v1:4:1182773:1188700:1 gene:GSCOC_T00021803001 transcript:CDO97868 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYNPRTVEEVFRDFKGRRAGLIKALTTDVEDFYQQCDPEKENLCLYGFPSEHWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFSMINDLPTVFEVVTGAAKKQQKEKSSAPNHSSSKPKSNSKMGKYSKSQPKDEDEVLDEEDEEEHGDTLCGACGENYASDEFWICCDMCEKWFHGKCVKITPARAEHIKQYKCPSCSNKRART >CDP16420 pep chromosome:AUK_PRJEB4211_v1:4:17499185:17500804:-1 gene:GSCOC_T00018295001 transcript:CDP16420 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKQDVIQMKCNALNPFLCLGSFSNDFELHTNNRPGFLFVVYKKHHPPSLADEVWRLEKMGKDGAFHRRLSKERVKTVKDFLTLYFVDPARLRNILGTGMSTKMWEVTVDHARTCVLDKELHFYYPFGSQHKKGVVFNAVGEVMGFVSDCQYITHDKLSETEKAYARDLVAAAYRHWTDVVSIDDEASLMDGSLFLSTIEYSSNPPMLVTSDGSKVLNSHQSGKCDYPEPNTCSHIMPSMDSLGVLSPLDVHDFPIVDSLENIFGHPLNIPGQVTNNMICDIDSMTPALYEDEHLQFFDSSNLGSSTGLNAAVGCFLRRSKRRWKMLFSVLRWLTIRRMVARKSKVKEVIRYC >CDO97970 pep chromosome:AUK_PRJEB4211_v1:4:1894627:1899006:-1 gene:GSCOC_T00021924001 transcript:CDO97970 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYPGKDEGCPKLLDLIPQERQWVVKMEQDTGHGACSEEQKLELRLGPPGGPESSNLSRGCFSNSTSAGTKRGLTDTTANGNQAHVLLKPCPWSSSSANFQGKGQQLLQQQTKATPFLQLQSTSTQRPLPAEVMSKDSSQHCCSTKAVDLQNTAEKKAFSPPSAAAAATTTAVPNSSTNAQKRTAPAAVVGWPPIRSFRKIIASGSHLKSTSGSQNGISGKTANEKAVEICQKGLFVKINMDGVPIGRKVDLKAYDTYEKLSSAVDELFRGLLAAQGDSSAGGIQNKGEGEKVISGLLDGSGEYTLVYEDNEGDRMLVGDVPWHMFVSTVKRLRVLKSSEIATLCRGSKQGKLSLDVSLK >CDO98081 pep chromosome:AUK_PRJEB4211_v1:4:2681995:2684814:1 gene:GSCOC_T00022057001 transcript:CDO98081 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKSKVSPESYSSAMNFEETELSLGLPGGPRVQNCSRKRSLSGGADLRLGCSSSGQNETSDPTTIPPAKAQVVGWPPVKSSRRNMMKSGSKYVKVAVDGAPYLRKVDLEMYNGYQQLLGGLEGMFTCQTICNGATQKKLMDPANGMQYVPTYEDKDGDWMLVGDVPWKMFVESCKRLRLMKSSEAIELATRTPPNCSSTC >CDO98433 pep chromosome:AUK_PRJEB4211_v1:4:5525173:5525960:1 gene:GSCOC_T00022519001 transcript:CDO98433 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKGVVFDEGAPDDFDPENPYKDPVAMLEMREHLVREKWIDIEKAKILREKVKWCYRVEGVNHLQKCRHLVHQYLDATRGVGWGKDQRPPSLHGPKVEAGVAE >CDP18932 pep chromosome:AUK_PRJEB4211_v1:4:14628103:14632942:1 gene:GSCOC_T00003254001 transcript:CDP18932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cyclic nucleotide-gated ion channel 16 [Source:Projected from Arabidopsis thaliana (AT3G48010) UniProtKB/Swiss-Prot;Acc:Q9SU64] MPLNQQPAAARANHAISLVIMLQYIPRLFVIFPLNWKIIKNTGVVAKTAWSGAAYNLILFMLASHVLGASWYLMSFERHLTCWRMICEKEKTRHHCDTLFLDCLKSGDEKDAWKKSTKAFTTCTPDKFEFGLFAEAYEDQITSVNIAERYFYCLWWGLRNLSSYGQNLAASTQVVETLFSCLICLVGLSFFGFLIGNMQTYLESTTARLEEWRVKRRDTEEWMRHRQLPPDLQDRVRRFVQYKWLATRGVDEEEILQALPLDIRREIQRHLCLNLVRRVPFFSQMDDQLLDAICERLVSSLNTKDTYIVREGDPVAEMLFIIRGELESSTTNGGRSGFFNSITLKPGDFCGEELLTWALMPTSNLNLPSSTRTVKSLTEVEAFALRAEDLKFVANQFKRLHSKRLQHAFRYYSHQWRTWGACFIQAAWRRLKRKRLAEELARQEYSCNLQFFDEDSQYGDAFAAAVYGSTTTGTAAMDGIPQHLGATVLASRFAANTKRGPKVTRVEAASSSLPMPKLFKPDEPDFHADKEYTKDTEEFD >CDO97818 pep chromosome:AUK_PRJEB4211_v1:4:738762:744077:1 gene:GSCOC_T00021730001 transcript:CDO97818 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC22 [Source:Projected from Arabidopsis thaliana (AT1G11890) UniProtKB/TrEMBL;Acc:A0A178WBU4] MVKLTMIARVTDGLPLVEGLDDGRDMPDVDYYKKQAKALFTNLSRGQNEASRMSIETGPYVFHYIIEGRVCYLTMCDRAYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQLSSRLTSESRIYAEKARDLNRQALIRKWAPVAVVLGVVILLFWVRKKIW >CDO98428 pep chromosome:AUK_PRJEB4211_v1:4:5477088:5479422:-1 gene:GSCOC_T00022514001 transcript:CDO98428 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 58 [Source:Projected from Arabidopsis thaliana (AT3G18400) UniProtKB/TrEMBL;Acc:Q9LS50] MEESLPPGFRFHPTDEELISYYLSNKVSDFGFTSKAITDVDLNKSEPWDLPAKASMGEKEWYFFSLRDRKYPTGMRTNRATEAGYWKTTGKDKEIFRGGVLVGMKKTLVFYRGRAPKGEKTNWVMHEYRLETKLAFKTSKEEWVVCRVFQKTATVKKPQAAPSSPQSLESPCDTNTFANELGDIELPNFSTLAVNSANGIFSNISPQTFSTDNLNNAFNWAALRDAANALPSLSSWPSPSLLSTANLAMSAPLLLRALQMRNYQPREATTASADYSFMPQGMISNQFGNDFSSHLAASSSSNRVLDHHSVQQQSQEQPFNLDSNIW >CDO97743 pep chromosome:AUK_PRJEB4211_v1:4:191170:193330:1 gene:GSCOC_T00021626001 transcript:CDO97743 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSNLGSFSSNYFSLICIPGLPRAQNDSVEALTVEGENIQKETLVELLLLGLKTKCQS >CDO98528 pep chromosome:AUK_PRJEB4211_v1:4:6481295:6482039:1 gene:GSCOC_T00022657001 transcript:CDO98528 gene_biotype:protein_coding transcript_biotype:protein_coding MSINLESCFHFSQLAYPSLKASKVGSIVFMSSVAGFVSIQNISVYAATKGAMNQLTKNLACEWAKDNVRINCVSHWVYLLGFKKFVLYCERKNPIQKHNLNPSSTIKIEPMKRVGEIEEVSSLVAFLCLPAAS >CDO97852 pep chromosome:AUK_PRJEB4211_v1:4:1022926:1024560:-1 gene:GSCOC_T00021776001 transcript:CDO97852 gene_biotype:protein_coding transcript_biotype:protein_coding MINEEPDPNPMSDHNILEWIEGSLPFLPPIFDDPYDSWWQLHVPAPDQEQINRCSTSFDSNVSTVTAATSATLPEPPAAIPSDHPRPSDSSKKRKASDDHAPKTSQISRKSQNRRNNNEGDEGETIVEQGLVPARKSSGSKKAAAKSGGNTCNNKDGRWAEQLLNPCAAAITASNPSRVQHLLYVIDELASLTGDANHRLAAHGLRALKHHLASPGSSVTSAGSTTFASTNPKFFTESLINFSDINPWFRIPNSIANSSILQILQEQNQPRNLHIVDVGVSHGIQWPTLLEELTRRSGGPPPLVRITLITRTNHEEQSRNTPFAASPSGYNCSSQLLGFAKKININLQINRLDNFPLQNLNSQVIKSSPDETLIICTQFRLHNLNHNNPDDRTYFLKVLRSMEPKGVVLTENNADCSCHNCVDFATGFSRRVEYLWRFLDSASVAYKGRESDERRMMEGEAAKALTNAGEMNERKEKWCERMASVGFSRQVFGEDAVDGARALLRKYDSNWEIRVEDRDGCVGLWWKGQPVSFCSLWKIAPDTK >CDP12748 pep chromosome:AUK_PRJEB4211_v1:4:12474099:12475967:-1 gene:GSCOC_T00037381001 transcript:CDP12748 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCVKQKLRKGLWSPEEDEKLFNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLILRLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLMKQGIDPITHQPLSENEVRDHEEKNSTDNSASLQMVPFSSGLLPNLPSSGMEKAYPITSTTSSYCLGGNTEFSRDQQMVSKQVLDPLFLLEFQATIDPCGYDKFLSTQYQYTMRPQNQSTEFEGNANYAYGSMPTLTNFDQRSVTDTDFSDSSNSRMSSFLMNEAKESSSNSTSNINSGHPSIQIHTMAAESANVFSWEADHQNKFDSVFDYQQQFNEIKIEEDHKPRPWQEGQLQAHNSGDFSNYPLTSLSEEDLSGASLDVFHQI >CDO97996 pep chromosome:AUK_PRJEB4211_v1:4:2164890:2168221:1 gene:GSCOC_T00021958001 transcript:CDO97996 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKSSYDGINEAYRPIPSLHLAFMVVWLLSAACWTVNTYKNRHFQTNKLQWTLTAVPLIKALQLTLSFLFWHSCFNLQVCSLWMSFGVFVTGVLFQTVTFVLFLLISHGYCITYERLSIIERRTTAALGCFFYLTLVGYRASVPYFSALMLLNYFVSFYMIFRHISQNLLLLQEQLTIIEDEEVHAMHDAICTKYIMFKKFQGAMHIVAMAELAIFFNINDSLDNYWLRLVVREWAQFCIILYIGWTFRSKDLAPRFSVMPTLKSTGMKMVPPIYSIEMDATTFKEFKSHEWHIGVPTSLQKGRLEGSVLVVIQHPRADTMTSISPASLCTTQVHASNLPVNQRNFNNQLV >CDP14826 pep chromosome:AUK_PRJEB4211_v1:4:24719422:24724270:-1 gene:GSCOC_T00042290001 transcript:CDP14826 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKSFRTKDEMDKWLLDNPMRCPGALHLFETNAKELRYGIEINSSSSFEFGRQIEDPVFKFQVPLQYAVSREISRSLIGDPNFSFDVGLKEFAHPPGRIDSSDSYDASFPTIFYLLVAMFGFTFRIHSLVLEKEMKLRQTMSIMGLYDSAYWTSWFIWEGFMAFLTSLLIVAFGTMFRDDIFVKNNIFLVFLLFFLFMISMVSFAFMISTLLSKSSSATTVGFFILAFGLVTVASIFSSLFYDGTVKNSNYRILWSFFPPNPFAGGFTVLEEAAGEGGIRWSQRAECKLLGDPCVSMVYFYLWLVSLFFFWSLVAVYFDNILPNSTGLRKSRLYFLKPSYWTCRGDSNLTEDIRHLASRSPTQPDHFTPDDEDVREEEASVKRATIEGTINPDVAVQLRGLTKSYSMALKISYHRHSLMFSTYFFLKDLWMNFPKNQLFCLLGPNGAGKSTLISCLTGITHVTRGDALIYGNSIQSSKGMSTIRRLVGVCAQFDSLWNALSAKEHLHLFASIKGLPMATRKSVSFAENYIEWSYLSTLHLAFAVYGTMKRLLADVDIDKIANSRLGSGYAAKVTFPKSLYNILSQENDINAKHRKAVKLFFKQRLNVEPKVENEYMLTFALPSAQEEILARFFSEVEKGQSEFGIKSIQLGLGTLEEVFLNIAKKAALQTFNPKENMKTLTLPSGATLQARTFTECVKIPGTESRQNPRGLMVDIFWAQDDIGNLCISGHSAEKPVPPDLQLTAASLATSTRKGCSCC >CDP14870 pep chromosome:AUK_PRJEB4211_v1:4:25368738:25373790:-1 gene:GSCOC_T00042343001 transcript:CDP14870 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVVLILAFSAALTAAVLSQASLALNSDGIALLEFKTSLNDSKNYLSNWNASDASPCRWTGISCHPEDQSVISINLPYMQLGGIISPSIGRLSRLQRLALHQNSLHGIIPSEITSCSDLRALYLRGNYLQGGIPSNIGNLSLLTILDLSSNSLRGAIPSSLGRLTRLHSLNLSTNFFSGEIPNVGVLSSFGNNSFIGNLDLCGQQVQKPCRTSLGFPAVLPRAESDEARAPTKRSSHYIKGVVIGAMSTMSFVLIVLLAFLWVRLLSKKERAAKRYTEVKKQIYQEPSAKLITFHGDLPYPSCELIEKIESLDAEDVVGAGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPAAKLLIYDYLAMGSLDDFLHEHGQLDRSLNWSTRLKIALGSARGIAYLHHDCSPKIIHRDIKSSNILLDENLDPHVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQNGRATEKSDIYSFGVLLLELVTGKRPTDPTFVKRGLNVVGWMNTLVKENRMEDIVDRRCTNADAETVEAVLEIAARCTDANPDDRPSMQQVLQYLEQEVMSPCPSDFYESHSDCS >CDP12274 pep chromosome:AUK_PRJEB4211_v1:4:26388082:26392075:-1 gene:GSCOC_T00035717001 transcript:CDP12274 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDLEKHFAFYGAYHSNPVNIFIHMVFVWPIFFTALMLFYFTPSVLKTVPPIVLWDHSLLVFNFGFLFTLIYALFYVALDKKAGSLAALLCFACWVFSSSIAYRLGYSLAWKVVLAAQLFCWTGQFIGHGVFENRAPALLDNLTQAFLMAPFFVLFEALSFFGYEPYPGFHARVKTRIDSEIKEWQDKKQKKVY >CDP17000 pep chromosome:AUK_PRJEB4211_v1:4:15302503:15311837:1 gene:GSCOC_T00011364001 transcript:CDP17000 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDFFLEKSIYIQMGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTTNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKESIPVTQLVRETATVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGNDRKFRQVTDILYDKVLSPAEVDDYLQEVE >CDO97862 pep chromosome:AUK_PRJEB4211_v1:4:1113603:1114190:1 gene:GSCOC_T00021794001 transcript:CDO97862 gene_biotype:protein_coding transcript_biotype:protein_coding MRLERIPLIKESDTFTAGEEPTVVDTNVGRIGIGICHDIRFLELTMLYIARGFLICSVSFFFFPFFFCVSTGEMLWELEQRAREVSVFINVQS >CDO97940 pep chromosome:AUK_PRJEB4211_v1:4:1718794:1727233:1 gene:GSCOC_T00021889001 transcript:CDO97940 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMNLIQQAQRHQLVVRELGEEIDLEIGPGEDDPAFDHNPLMEVQARESSAEEHDNKQMVASQPPTEDQDMTKTQQVKRKKKVVKRWREEWADTYKWAYVDLKDDTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASRDKIIVEKPIYVKALMSKTAGSIVEAALKRDPHEPEFIQSVQEVVHALERVISKNSNYVNTMERLLEPERTIIFRVPWIDDRGETHVNRGFRVHFNQTLGPCRGGLRFHPSMNLGIAKFLSFEQTLKNALSPYRLGGSSGGTDFDPSGKTDSEIMRFCQSFMNELYRYLGPDKDLPSEEMGVGTREMGYLYGQYRRLAGHSQGSFTGPRVNWSGSSLRTEATGYGLVFFAQLILADMNKELKGLRCVVSGSGKIAMHVLEKLIAYGALPITVSDSKGYLVDEDGFDFLKISFLRDIKAQHRSLRDYSKTYARSKYYDQAKPWNERCDVAFPCASQNEVDQSDAINLVNSGCRIVIEGSNMPCTPEAVDVLRKANVLVAPSMATGVGGVVAGELELKECNLNWSPEEFESKLQEAMKQTYQRVLKAASDFGYQKESPETLLHGAVISAFLTIANSMADQGCV >CDP18397 pep chromosome:AUK_PRJEB4211_v1:4:24233329:24246864:-1 gene:GSCOC_T00004733001 transcript:CDP18397 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEVGNGKEERSVRRRTSKDDSDGSESEEERLRDQREREELERNIRERDAAGTRKLTEQKLTKKEEEEAIRRSQALENDEIETLRKVSRQEYLKKREQKKLEELRDDIEDEQYLFDGVKLTEAEYRDLRYKKEIYELVKKRTQDADDVNEESHSHCTQELHLYILLCLQYRMPEAYDQEGGVNQEKRFSVALQRYRDPNAAEKMNPFAEQEAWEEHQIGKATLKFGSKNRKQKSDEYQFVFEDQIEFIKDTIMDGENVDQESSIEELNKSIAKSAFDKLQDDRKTLPVYPYRDELLQAINDHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKIGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSETTVLKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRFPVEIHYTKAPEADYLDAAIVTALQIHVTQPPGDGDILVFLTGQEEIETAEEILKQRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPVSKASANQRAGRSGRTGPGKCFRLYTAHNYQHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLLNFDFMDPPPAEALLKALELLYALGALNKLGELTKVGRRMAEFPLDPMLSKMIVASDKYKCSDEIISIAAMLSIGNSIFYRPKDKQVHADNARLNFHMGNVGDHIALLKVYSSWKETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEVTSNVNDLETIKKAITSGFFPHSARLQKNGSYRTVKHPQTVHIHPSSGLAQVLPRWVVYHELVLTTKEYMRQATELKPEWLVEIAPHYYQLKDVEDPTSKKMPRGEGRTS >CDP14885 pep chromosome:AUK_PRJEB4211_v1:4:25717476:25723490:1 gene:GSCOC_T00042368001 transcript:CDP14885 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLSFDFLDPVFLHGLSGLLHLVLLFLLFFSWVYTKIKGAKPDQITKEHATLLGCVFLAVFNLVLCLLNHFLWYRNGWSEEKIITLFDLSLKALAWLLVSIFLHAQLLLESSENKCPSVLRVWWVLFFFVSCYCLVIDFVAFYKKHQSLTTLFWVSDIGSTLLGLFFCVVGFLDKNEGEGSLLQEPLLNGSASANYVSEPKKATGEENLTPYASASLFSILCFSWMGPLISLGNKKTLDLEDVPQLMGPDSVREAFPILEHKLESECQGSNKVTTLMLVKGLMSTVWKEVLLSAVFVLLYTLANYVGPALIDTLVQYLNGQTEFDNEGYILVFAFFGAKVVECLAQRHWFFRVQQAGFRARASLVEKIYTKGLTLSCQSKQGQTSGEIINFMAVDAERIGDFGWYMHDPWMVLIQIVLALAILYKNLGLASLATLVATVLVMLANIPLGKLQENFQDQLMKSKDRRMKAMSEVLRNMRILKLQAWEMKFLAKIQELRNSEAGWLKKFMYTSAMTSFVFWGAPTFVSAVTFGACVLMGIPLETGKILAALATFRILQEPIYNLPDTISMIVQTKVSLDRIASFLSLADLPHDVIEKLPRGSSDIAIEIVDGNFSWEVKSSSLSLRDINVNVSHGMRVAICGAVGAGKSSLLSCILGEIPKLSGIVKLCGTKAYVAQSPWIQSGKIEENILFGKEMEREKYDRVLEACALKKDLEILSFGDQTVVGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGTHLFNECILGLLSRKTVVYVTHQVEFLPAADLILVMKDGKVTEAGNYNNILKSGSDFMELVGAHREALSVLDSVEVTSANISEDGSGVGSTKKAVKKEETGDGENGKIDDGAGPKGQLVQEEEREKGKVGFPVYWKYITTAYGGALVPFILLAQILFQVLQIGSNYWMSWATPVSEDVAPPVTTSTLITVYVALAIGSSFCILFRSLFLATAGYQTATLLFNKMHFSIFRAPMSFFDATPSGRILNRASTDQSAVDLNIPYQVGSFAFSTIQLLGIIAVMTQVSWQIIIIVIPAIAICIWLQRYYISSARELARLVGVCKAPVIQHFAETISGSSTIRSFDQETRFQDTSMKLIDGYSRPKFHTAAAMEWLCFRLDILSLITFTFLLVFLVSIPEGTIDPGVAGLAVTYGLNLNMIQAWVVWVICLMENKIISVERILQYMSIPSEPPLVVESNRPDNHWPSQGEVDICDLKVRYAPHMPLVLRGLTCTFFGGKKTGIVGRTGSGKSTLIQTLFRIVDPAGGQIKIDGINISSIGLHDLRSRLSIIPQDPTMFEGTVRTNLDPLEEHTDEQIWEALDKCQLGEEVRKKEGKLESAVSENGENWSVGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHFTDSTVITIAHRITSVLNSDMVLLLDHGLIEEYDSPTRLLEDKTSSFSKLVAEYSTRSTSSFGET >CDP15092 pep chromosome:AUK_PRJEB4211_v1:4:10281222:10285394:-1 gene:GSCOC_T00042658001 transcript:CDP15092 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKLAFFPPNPPTYKILKDEETGLLLMDPFPHRENVDILRLPTRRGNEIVALYIRYPMASTTLLYSHGNAADVGQMYELFIELSIHLRVNLMGYDYSGYGQSTGKPSEHNTYADIEAAYKCLEETYGAKQEDVILYGQSVGSGPTLDLATRLPQLKAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVKCPVLVIHGTSDEVVDCSHGKQLWELCQEKYEPLWLKGGNHCNLELYPEYIRHLKKFVTTVEKPPSQRNITRRSIDRPEISRKSDCFEAPRKSTDRREKPRRSTDKGERLKFYEHKHTTDDKISKSRISCDYMERSRRSVEYHEKSRMSIDLQPEKARKSVDWLDRIRAT >CDP20731 pep chromosome:AUK_PRJEB4211_v1:4:8268646:8270146:-1 gene:GSCOC_T00008124001 transcript:CDP20731 gene_biotype:protein_coding transcript_biotype:protein_coding TIEIGADRFKTPDILFNPSLAQTIPGMENFAETAPSVRGLLQMVLESINKCDVDIRRELFSSILVNFQPFNVNKYLNYTKMYKAVI >CDP14373 pep chromosome:AUK_PRJEB4211_v1:4:22387811:22393346:-1 gene:GSCOC_T00040731001 transcript:CDP14373 gene_biotype:protein_coding transcript_biotype:protein_coding MEIISCHAMHGQYLVKFRGYAVGKSPRSNQAKLWNFKERRLISVVSQCKLKALRHSYLDQLAIRRLGRAHTVRTLSALKGYEHGDPSVLSESLNSYVLDGKENVTDSDKTVPKVLIPGLPDENKGDSVASITRCSWEWKPKLNVHYETAGSVNVDFPPVLFLPGFGVGSFHYEKQLKDLGRDFRAWAVDFLGQGLSLPREDPTRQTKNGDNLKSDVENFLWGFGDETEPWAKELVYSSDLWRDQVRYFIEEVIGEPVYIVGNSLGGYIAVYFAACYPELVKGVTLLNATPFWGFLPNPMKSPRWSSMFPWAGTFPIPATIKSLTEIVWQKISDPESIAEILKQVYADHSTKVDKVFSSILEITKHPAAAASFASIMFAPQAQLTFKDSLSRCQMNNLPVCLMYGKEDPWVKPIWGLQVKRQLPEAPYYEISPAGHCPHDEVPEVVNFLLRGWIRNVESQGSVALPLFDSPENFQNDFAKDLEYVREGSGKLTRVQCYGSESSFWKRIISLIKSQFDTSRK >CDO98161 pep chromosome:AUK_PRJEB4211_v1:4:3301482:3310328:-1 gene:GSCOC_T00022167001 transcript:CDO98161 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUS-interacting protein 2 [Source:Projected from Arabidopsis thaliana (AT3G15880) TAIR;Acc:AT3G15880] MSSLSRELVFLILQFLDEEKHKETVHRLEKESGFFFNMRYFEDCVTNGEWEEVESYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDLAKAVEILSKDLKVFSTFNEDLFKEITLLLTLRDFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPKPNPEIKTLFVDHSCGQPNGAIPPSPVTHPLIGSMPKIGGFPPIAAHGPFQPGPAPLTASLAGWMTNPSSVPHQAAPVGPMGMAPPNNAVSLVKRPRTPPNNPTLDYQTADSEHVLKRPRPFGISEEANDLTVNILPVSYPGQMHILSAYGSDDIPKTVVANLNQGSAIKSMDFHPVQLTLLVVGTNIGDIALWEVSTRERLVSRNFKVWDLASCTTALQASLANEYTASVNRVMWSPDGSLCGVAYSKHIVHIYSYYGGNDFKNHLEIDAHIGNVSDLAFSHPNKQLALITCGEDKTIKVWDAATGSKLYTFEGHEAPVYSVCPHYKENIQFIFSTSIDGKIKAWLYDPLGSRVDYDAPGRSCTTMAYSANGTRLFSCGTSKDGESYLVEWNESEGAVKRSYQGLGKRSVGVVQFDTTKNRFLAAGDEFVVKIWDMNDTSLLTTVDAEGGLSASPCVRFNKEGILLAASTIENGVKILANAEGVRIIRAIESRIVDPSRLASGSVARAHMIPTYGSSSSNAGPGIGIADRSAPLSTIVALNGDVQSLPDVKPRISDEMEKLKIWKLAEINEPSQLHSLRLPDSLLAVRIIRLIYTNSGSAILSLAYNAVHKLWKWQRNERNLTGKATTAVPPQLWQPSSGILMTNDISETNLEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMSPPPAATVLAFHPEDNNVIAIGMEDSTIQIYNVRVDEVKSKLKGHQKRVTGLAFSNILRVLVSSGADAQICVWSTDGWEKKVSKYLQIPSGRALNPLAQTRVQFHQDQSHILVVHETLIAVYEASKLDCINQWVPRESSSAITDATYSCDSQLIYASFEDGTICVLTAAALKLRCRISSAAYLPPNPSSRLQTLVIAAHPSEANQFAVGLSDGGVHVLEPLESEGKWGSAPPDEMGSGYSITPVASGVEQLSR >CDO98447 pep chromosome:AUK_PRJEB4211_v1:4:5648206:5651159:-1 gene:GSCOC_T00022539001 transcript:CDO98447 gene_biotype:protein_coding transcript_biotype:protein_coding MIVISSPLPKQYVELIMGRPDANSGPSHRGGQHQQPQEYHFQPATQSPETIDDAPPPFETSNDEIHQENYEHEQPQKEEIAGQQNQHAQSHPTPSRGPMAFPPQNTQMRSSPTGHQPQAFPPTQDQTQAFPPPQGKPQAFPPSQDHQPEAFPPSQGHQPQAFPPPQDHQPQAFPPAQGHQPQAFPPSQGQQPQAFPPPQGKPQAFPPQQSAFQQPNMAQFPQAATFSQPAMGVGGQVPIYQAMPNSPLVHPHAQVINNTPMTGFPVAQVLPTQPWKTALFACMDDPTNALITACFPCVTFGQIAEIVDSGQTSCGTSGMLYGLIACFIAMPCLLSCTYRTKLRNRYGLMEAPAPDWMIHCFCEWCALCQEYRELKERNLDPSIGWLGNVAKSQQMAQQAAMTPPAKQTMMG >CDP14899 pep chromosome:AUK_PRJEB4211_v1:4:25859705:25863347:1 gene:GSCOC_T00042386001 transcript:CDP14899 gene_biotype:protein_coding transcript_biotype:protein_coding MENSHRHQHRGSGSKWIAAVASIWIQCSCGASYAFGIYSPILKSSQGYDQATLDTVSVFKDIGANVGIISGFLYSAVVPDRRGRGQGRSWLRQPWVVILAGVIQCFLGYIMMWASVTGIIDRPGVGLMCLFMFLAAHAQTFFNTANVVTAVHNFQDYSGTIVGIMKGFLGLSGAVVIQVYDTLFEGNPSTFLLILALLPTLISILLMWLVKIDAARTKDEKKYLNGFSVVSLVVAAYLMILIILESAITLPLWSRIITFLVLLSLLSSPLGVACKAQSDSSLNLAYYTSSVGTPLMDEAEPIKQETTSKSGSEDMLDLGAELNLFQAMRTVNFWLLFVAMICGMGSGLATINNISQIGQSLGYSTVERSTLVSLWSIWNFLGRFGAGYASDIFLHRRGWARPLLMALTLAAMTAGHVVIASGFSGNLFIGSVLVGVCYGSQWSLMPTITSEIFGVRHLGTIFNTIAVASPIGSYVLSVRVIGYIYDRHATGEENTCYGKYCFMSSFFILASVTVLGFLVALALFFRTRRFYSQLLVRWLRHTATR >CDO98127 pep chromosome:AUK_PRJEB4211_v1:4:3071006:3072930:1 gene:GSCOC_T00022126001 transcript:CDO98127 gene_biotype:protein_coding transcript_biotype:protein_coding MDALQVVSSAMQIVSSMVAAIGSLEQASRNLDDAPKRIRILEEFVSELENLVLKTRQKHVYKLHDSRLERQIQSLNNLIDRLHPNITKAKRIVSKSKFKNFAKVVWNSMAGDPLAKILLSIQYDLKWWLESQNLSRDVENVIERTARNIPSRLKVNSDQGYPILGKCSFVQNMLEKDDSHHVILIVGLSGIGKTCLARQVASDLPSKFVDGAIELRFGQWCSRAACNGNKAEYQRRLTRKLTKFLVQIGSQKKMQDEHYRDLEDIGYLLQEALYGKSILVLLDDVWEQDIVERFAKLYDNNCKYLVTTRNESIYEITEAEKVELSKDDIMEISKAVLLHHTLLTEDQLPV >CDO97816 pep chromosome:AUK_PRJEB4211_v1:4:719967:723449:1 gene:GSCOC_T00021727001 transcript:CDO97816 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKLLQTINSSVKVRGEYRSILLQVISIVPALSGSELWPCHGFFIKVSDSSHSTYVSLSKDDNELILNNKLQLGQFFYVDRMEAGTPVPVLVGVRPLPGRHPFIGNPKDLMQVLEPSEGPVQVDQEGEDSSVSVEPPEAKENGQRKKIIIKEDKAVVSSRYMQGVLTPNGKANGADPGGENAVAGKRNGFLKGKQAEIKVQTRTLTPSRTRPDGLTTNSDIDTLNGKEAFASSKYPAIKHTSSKQENIDSNCLPNNRDKHQSSEGICWSNLPANLLKPGKGLLRRKNLASSVAAEAQKEASTAANLVKCLSIFADLCSSASPTNPHLYLSMFFTLHQLIKHPNATNSSKDTPDHFTVNSSMQNTDKYGKRISSSVSRDRMKLSKSPTDLTGTDKLEWANVDGSKEIKELREIVLNETQAWFLKFLEEALDSGFQGGKQNKKGKDYLINQTDPGNQIALTLSQLKHANEWLDQLRNDADSEKKELGEIVDRLKQKIYSCLLLHVDSAAVALESR >CDO97861 pep chromosome:AUK_PRJEB4211_v1:4:1111025:1112872:1 gene:GSCOC_T00021793001 transcript:CDO97861 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLRKIVVGVKQQSASDVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVVGIDDVDRLARQPNSIVISCNLKLNLDRVLARMWDEMGLVRVYTKPQGQQPDFTDPVVLSSDRGGCTVEDFCNHIHRSLVKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEREDGGRGRFKSHSNAPARISDREKKAPLKT >CDP12406 pep chromosome:AUK_PRJEB4211_v1:4:19957904:19960546:-1 gene:GSCOC_T00035927001 transcript:CDP12406 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVISLVIKRTSDLLIQKIVFLKGVRQQVERLQNDLVRMRCFLKDADQRQDDDERIRNWVSETRAAAYDAEDIIEIFASKVEFYTKKKGLVTKLTYYPLKIVNLYKIGKEIESLQVRLNDIADSREKYGIKNLGEGMATHGEELQRIRQSPPFSEDKDVVGFGEITNSLVVELLKEDRNRRVVSIIGMGGAGKTTLAKKVYNHADVRTRFNCRAWVCVSSSYDHKKMLRAIIKQLNEMSKELLEMLEQMEEEDLERRLYKDLQDKCYLVVLDDVWKEEVWDCLARAFPDVNTSSRLLLTSRNRDVAQHADALSKPHELKTLGQKDSWQLFLKKALDHGANSGCPPDLEEVGREITRRCDGLPLAITVIGGLLLAKKKLKSEWEKVLNNFSTHLSRSRSGVSAILELSYADLPANLKFFFLYLGLFPEDSVISVPKLIHMWVAEGIMQKRDAENLEETAAYDDIHDNRDDEISAKSRYLAVHALPWDENYFGTSTPPLRSLLFFNVQDVSLSFRSFRKLRILDLENVKLSYNLPKEIGKVRLLRYLGLRDTSITRLPHSFGCLRCLQTLDIRNFEPVIVSNSIWKLESLRHLYAYGMECDVPLRIEGLRNLQTLSRKLWIWVDDKSEIDKLCLHLSEVGSLKALCLYRTQGREWPQSLAGLSKLHHVTELKLIGRGLRMLPPDFPPNLSRLSLKHTNLTDDPMPVLEKLGQLSFLEMTDATYGGPQHMVSFGNGFRRLKFLELSRLYALHEMNVEKGALPQLQCLRIRKCPSLRKLPEELKHISTLDVIELVNMPKDFISGLDADMVSSVPNLRMF >CDP14355 pep chromosome:AUK_PRJEB4211_v1:4:21756292:21758435:1 gene:GSCOC_T00040694001 transcript:CDP14355 gene_biotype:protein_coding transcript_biotype:protein_coding MLILIKLILLVVSTLSGFASSQDLSITYNGFRSSNLSLDGIAEITPKGLLKLTNATRQEKGHAFFPNPVSFKDSPNASAFSFSTTFVFAILPEYPTLSGHGIAFVIAPTRGLPGALPSQYLGLFNESNTGNATNHVFAVELDTIQSSEFHDINNNHVGIDINGLTSTRAKPAGYYSDSDGKLQNLNLISGKAMQVWVDYDGTAKHINVTLAPIHATKPNQPLLSLSYDLSPVLNETMYIGFSSSTGSVLTSHCVLGWSFKMNGVAQGLDLSQLPKLPRNGPKEKSKVLTIGLPVILIVSLSIAISGIIYQVRTKKKFAEVLEDWERDYGPHRFKYKDLYIATKGFRDKELLGRGGFGRVYRGVLPSSKLEVAVKRVSHESRQGMKEFVAEIVSIGRLRHRNLVPLLGYCRRKAELLLVYEYMPNGSLDRYLYEQPKYNLNWNQRFRVIKGVASGLFYLHEGWEQIVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHARTGKATTGTDVYAFGAFLLEVVCGRRPIETQVPTEDAILVDWVFSCWNKGQVLEAVDSNMGLDYVREEVELVMKLGLLCSNSEPTARPSMRQVVLYLDGALALPDLCSLGISANGLSFANHEGFSDFALSYPSSMDKGSSYASSVTESLLSGGR >CDO98470 pep chromosome:AUK_PRJEB4211_v1:4:5886133:5894113:-1 gene:GSCOC_T00022574001 transcript:CDO98470 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRVGKALQVLSAHTFLLWFTIFLVLKLDHVFSYSWWFIFFPLWLFHAVVARGRFSLPAPSVPHDRHWAPCHAVVATPLLIAFELLLCIYLESIYVKGSAAMNLKIVFLPLLAFEIVILIDNFRMCKALLPGDDESMSDEAIWETLPHFWVAISMVFFVAATVFTLLKLCGDIGALGWWDLFINYGIAECFAFLVCTKWSNPMIHRSPNAREASTSSNVVRYLDWNSGLVVSEEDDSSNGMCALQDIGGHIMKIPIVGFQVLLCMRLEGTPPGARHIPIPMLFSPIFLLQGVAVLFAASRFVEKLVILLRSGDETGRYFVVTSKVRDCFGFLHHGSRLLGWWSIDDSSREEQARLFHDGASGYDTFCGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKFSQQEYERLQNEKVLCRVCFEREISVVLLPCRHRILCSTCSEKCKKCPICRVPITEQLPVYDV >CDO97823 pep chromosome:AUK_PRJEB4211_v1:4:775975:786642:-1 gene:GSCOC_T00021736001 transcript:CDO97823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP107 [Source:Projected from Arabidopsis thaliana (AT3G14120) UniProtKB/Swiss-Prot;Acc:Q8L748] MGVFLFFLFGLHASWSHDCEAFYAFAGSEDIPEDLILFPTNSHLEACQFVAANHTAQLCLRIIQWLEGLASKALDLENKVQGSHVGTYLPKSGIWHHTQRFLKKGASNLKTVHHLDFDAPTREHAQLLPDDKKHDESLLEDVWTLLRAGRLEEACNLCQSAGQPWRAATLCPFGGFDLFPSIESLVKNGKSRVLQAIELESGIGHQYRLWKWASYCASEQIAKQDGGKYEMAVYASQCSNLKRILPICMDWESACWAMAKSWLDVQVDMELARLQPGGMDQFKSYEEAIEETPEHGDGGQQIAGPDNWPLQVLNQQPRHLAALLQKLHSSDTVHEAVTRACKEQQRLIEMNLMSGDMPCLLDLIWSWISPSDDDQNVFRPHGDPQMMRFGAHLVLVLRYLLADQMKDDFREKIMAVGDLILHMYTMFLFSKQHEELVGIYASQLARHRCIDLFVHMMELRLNSSVHVRYKIFLAAIEYLPFSAGDDSKGSFEEIIERILLRSREITVGKYDKLSDIAEQHRLQSLQKAMVIQWLCFTPPSTIKDAKDVSSKLLLRALVHSNVLFREFALISMWRVPANSIGAHTLLSLLAEPLKEPTETLLSTEDHHLTENLREFEDWNEYYSCDATYRNWLKVELENSEVPPLELSVEEKTRALTAAKETLVSSLLLLQRKEAPWLVPTQDRIYESEEPVYLELHATAMLCLPSGECLSPDATLCTTLTSALYSSVSEEEVLKRQLMVSVSRSARDEYSIEVILRCLAVAGDGLGIHEVNDGGLLSTVLAAGFKGELVRFQAGVTLEISRLDAWYSSSLGSLEGPATYIVRGLCRRCCIPEIALRCMQVSVSLMEAGNPAESHDELIDLVSCAETGFLHLFSQQQMQEFLLFEREYSIHKMELLEDNFS >CDO97956 pep chromosome:AUK_PRJEB4211_v1:4:1809430:1809869:1 gene:GSCOC_T00021908001 transcript:CDO97956 gene_biotype:protein_coding transcript_biotype:protein_coding MTANSSQESLVVASVIFAILILSSTIPPALAAGITGLTHRELMQKPHCPPCLCCQRKLPPPECCYCACFVTESGNKAP >CDP16425 pep chromosome:AUK_PRJEB4211_v1:4:17424408:17425734:1 gene:GSCOC_T00018302001 transcript:CDP16425 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRSKITAFCMSPAVARVCVRSPSQRYKKAESFFKSDGNSDHSSKSSGELSFNGDVSKLENNGGNRVMVVVNSSLEAKGALQWALSHTVQSQDSIILLHVAKPSKHGLNSDGKSNQKAYELLHSMKNTCQKRRPGVQVEIALREGKEKGPIIVEEAKQRNVTLLVLGQRKQSIMWRLQKMWAGKTTRNGVADYCILNANCMTIAVRRKSRKYGGYLITTKRHKNFWLLA >CDO98263 pep chromosome:AUK_PRJEB4211_v1:4:4047463:4054326:1 gene:GSCOC_T00022295001 transcript:CDO98263 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNGKSFRALSQNKKKFPQMEGEKVTTLIQARITIPDKRTAFSGTTTAPLFAWPWEHYGNYKYLFYVALLAKYLYPTTTTEEGTEGSSWCLHILLLFLLRGLLYQLWSSYDYMFFLNRTRRISEEGIDFKQIDAEWNWDNFLILHAIVAVLAYWSFPSLTNLPLWDGRGIFCCLALHIGVSEPVYYWLHRLLHSPNLFTDYHWLHHSSKVSHPFTAGHATFLEHLVLCIVIGVPILGTVFIGYGSISILYSYVLLFDMLRCLGHSNVEVIPHHLFENIPLLRYLVYTPTYHNIHHMDMRTNFCLFMPVYDILGKTINTRYWDLHKEISSRKKTSAPGFVFLGHVVDIMAALHTPFVFRSFNSIPFSKKFFLFPFWPPTFLVMLAMWAKSKTFLVSFYNLRGRLHQTWVVPRFGFQYFLPFAADGINKQIEEAILRADRLGVKVISLAALNKNESLNGGGTLFINKHPDLKVRVVHGNTLTAAVTLNEIPRDVDEVFLTGSTSKLGRAIALYLARRRVRVLMLTQSTERFLKIQKEAPEELQKFLVQVTKYQAAKQCKTWIIGKWTTPGEQSWAPSGTHFHQFVVPPVIPFRRDCTFGKLAAMRLPEDVEGLGSCEYTMERGVVHACHAGGVVHFLEGWKHHEVGAIDVDQIDVVWKAALRHGLKPMQISPAIGG >CDO98648 pep chromosome:AUK_PRJEB4211_v1:4:7746343:7749804:1 gene:GSCOC_T00022812001 transcript:CDO98648 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRYAMVCSSNQNRSMEAHSLFKREGFDVSSYGTGQHVKLPGPSLREPNVYDFGTPYKHMFDDLRRKDPDLYKRNGILPMLKRNLAVKSAPQRWQENAADGSFDVVLTFEEKVFDMVIEDLHNRNHVLMKPVLVINLEVKDNHEEAAIGARLALLLCQELESTEAWEESIDDLITNFERQHRRKLLYSVSYY >CDO97805 pep chromosome:AUK_PRJEB4211_v1:4:621758:625149:1 gene:GSCOC_T00021712001 transcript:CDO97805 gene_biotype:protein_coding transcript_biotype:protein_coding MCDQEALVLPPLIALAIRLRPGVWEYVGVDVNDFHVEEMTVKEYLHFKGELVGEDNPVLELDFEPFAASVPKPTLTKSIGNGAEFLGKHISASLFHDKESMAPLLLEFLRSHHYKGKTMMLNDRIKNLNNLQDVLQEAVKYLTQLPPKSPYSEFESKFKEIGLERGWGDTAESVLEMISMFLDLFEAPDSSTLEKFLARVPTVFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPAMEREMLKRIKEQGLDIKPRILIVTRLLPDAVGTTCGQRLEKVFGTEHSHILRVPFRTEKGVLRQWISRFEVWPYIETFTQDVAKEISAELGAAPDLIIGNYSEGNLVASLLAHQFGATQCTIAHALEKTKYPDSDVYWKKFDEKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKETVGQYESHKAFTMPGLYRVVHGIDVFDPKFNIVSPGADTKIYFPYTEKNKRLTEYHPEIEELLFSDDQNEEHLCVLKDKKKPILFTMARLDRVKNLTGIVEWYAKNTRLRELVNLVVVGGDRRKESKDLEEQAEMKKMYELIETYNLNGQFRWISSQMNRVRNGELYRYIADTRGAFVQPAFYEAFGLTVVEAMTCGLPTFATINGGPAEIIVNGKSGFHIDPYKGEQVAEVLVDFFERCKEDASYWETISSGGLKRIQEKYTWQIYSDRILTLAGVYGFWKEVSRPDRLKMQRYLEMFYLLNYRKLAESVPVAK >CDO98259 pep chromosome:AUK_PRJEB4211_v1:4:4010844:4014091:1 gene:GSCOC_T00022291001 transcript:CDO98259 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLALVGLFLLTPRISRAGAQSIGVCYGLNGNDLPSTQDVINLYNEKGIAKMRIYAPFPQVLNALRGSNIELIVDVANEDIEPLASDASAAAHWVQDNILRYSPDVQFKYIAVGNEVLPNFDNAKYILPAMENLQNAIIAAGLQDQIKVSTATYTALLAVSSPPSQGSFGNDSKLFMKPIINFLVQNNAPLLVNIYPYFGYIGDPANIGLEYALFTSPGIVVQDGLLGYQNLFDAMLDAHYTALEREEGGNTVEIVVSETGWPSEGSSASSVENAGTYYKNLINHVKGGSGTPRRPGKAIETYLFAMFDENEKTGDETEKHFGLFTPNKQPKYAVSFT >CDO98085 pep chromosome:AUK_PRJEB4211_v1:4:2707978:2713180:1 gene:GSCOC_T00022063001 transcript:CDO98085 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGMLARRALLTENPVMVEIQELIRGVKDSISLAQGVVYWQPPKQALQKVQEIVGEPSVSRYGADEGLPELRKAILEKMRQENKLHKSSVMVTAGANQAFVNVVLTLCDAEDSVIMFAPYYFNAYMSFQMTGVTNILVGPSDPKTLHPDADWLEKTLLETKPTPKLVTVVNPGNPSGTYIPEPLLKRISDICKSTGCWLVVDNTYEYFMYDGHKHVCVEDTHVVNIFSFSKAYGMMGWRVGYIAFPSEDEDLKDQLLKVQDNIPICAPIISQKLALFSLQMGPEWVTDQVKDLVKNRALVLEALSPLGEGAVRGGEGAIYLWAKLPEGYPDDFEVVRWLARKHRIVIIPGSSSGCPGYVRISYGGLAADGCRVASERLRDGLEELVKKGMVE >CDO97897 pep chromosome:AUK_PRJEB4211_v1:4:1365878:1368853:-1 gene:GSCOC_T00021838001 transcript:CDO97897 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKALIRTGFSLMTRFANPSLRQNSTSTQLITTQSLDIDIVPKLFPSLCSPSLPPLHQYDADSIKKLSSQGFLYPCGLPSLPFFLPDGNDSMSSEPMVLFPKRTYQPSNIRRKRNHGFLARKATKGGQRVIARRIAKGRARITP >CDO98241 pep chromosome:AUK_PRJEB4211_v1:4:3893017:3900534:-1 gene:GSCOC_T00022269001 transcript:CDO98241 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKSSDDGENDRLRYGMSSMQGWRSTMEDAHAVYPNLDKATSFFGVYDGHGGKAVAKFCAKYLHEQVLKNEAYLAGDLGDSMKKSFLRMDEMMCGQRGWRELSVLGDKMQKVTGMIEGLIWSPRSGEANSQINDWPSEQGPHSDYIGPTSGTTACVAIIRDNQLVVANAGDSRCVMSRGGQAYALSKDHKPDHELEKERILQAGGYVQCGRVNGSLNLARAIGDMELKQNKALPAERQIVTADPDITMVDLQDDDEFLVLACDGIWDCMSSQQVVDFVREQLYNETKLSTVCERLLDKCLAPTAGGEGCDNMTMILVQFNKPLNNGASSKDHPFPLDEQAESDERPSRN >CDO97899 pep chromosome:AUK_PRJEB4211_v1:4:1369693:1373737:-1 gene:GSCOC_T00021840001 transcript:CDO97899 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSSAVKNSSNNFLPPGLVSNLQEVLLKRKGADDPDPQSQSSSAAKPPTVNDESAEPSSSSASNSGRVDDQPDSDTSKPVILVTNGDGIESPGLTHLVQALVCLGRYNVHVCAPQSDKSASGHSLTMRQTISVSLAEIDGATAYEVSGTPADCVSLALSGALFSWTKPLLVISGINRGSSCGHHMLYSGVVAGAREALISGVPSLSISLNWKKDQSQDNDFKDAVTACMPVLEAALGDIEKGVFPRDCSFHIEIPTSPLANKGFKLAKQSLWRSTLNWQAVSSNRHPSSGRFMSNQQILGMQLAQLSRDASAAGAARRLGTQKKNVEVVESVGVAGKSDSNRTMRYFRLEFLDKDQGGEDEDLDFRAIENGFIAITPVFPQSQVESGTQVAAASDWVSRALKVGQ >CDO98610 pep chromosome:AUK_PRJEB4211_v1:4:7368990:7378857:-1 gene:GSCOC_T00022767001 transcript:CDO98610 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEVGTRVLCRWRDNKYHPVKVIERRKLPGSGANDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDCVKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRCGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQTLELIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQS >CDP12718 pep chromosome:AUK_PRJEB4211_v1:4:12027775:12029747:1 gene:GSCOC_T00037334001 transcript:CDP12718 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEMKDAGYVIDTDTYIQVMREFRTRKMVKDAVELFEHRMNSPFQYLPNDCSLLLRAIASTVDPDLDLMSRVVKKYEAAGYSLLKRDYEGIHRCLLSAGKFDEAEQIVRTMNRAGYEPSSIIRKQLIFGLCKARRLKEAIELLDEMEAQGCVPDVETGMIELLSCCCDIDGVDKAFLWFGKMVKKGFDADAGLVDVLVNGFLKEKRVIEGYQFLVELVDKARVAPKHATLKSLIEELLGERRLEEALNLLRLIKKRNYKPDPEPFIQYLSKFGSVEDAWVFLRALSHKQGKKDVSVFGCKRVFQTFLNEGRHSEAEELFRKCPKYIRRNPEFRIHFSSSRSSDVVAASA >CDP12757 pep chromosome:AUK_PRJEB4211_v1:4:12549655:12552123:1 gene:GSCOC_T00037395001 transcript:CDP12757 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB [Source:Projected from Arabidopsis thaliana (AT5G63090) UniProtKB/TrEMBL;Acc:A0A178UKE6] MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNELLPHQREDAVNSLAYEAEARVKDPVYGCVGAISFLQRQVERLQKELDAANADLIRYACNDHIQPELSAPHGAMHQVHQPMTPRQRPVEYNNTRRMGNEGGGFYQTPNFQYPYHLPWNDNDIHRYGGGGGGGGGGHI >CDP12324 pep chromosome:AUK_PRJEB4211_v1:4:27311504:27314837:-1 gene:GSCOC_T00035792001 transcript:CDP12324 gene_biotype:protein_coding transcript_biotype:protein_coding MARIYDHWERLVDATIRREQLRLSALRTPSDLSLAASVSSSPSSSFRFSDFNFPIISVGDSFSYEQILRATGNLNESNLIKRGHSGDLFYGVFEDGIQVVVKKIEISSSVKRELAFISEVEVLGKVSHSRLVPFLGHCFENMKEGFLVYKYLPFKDLFSLYYKKTAPEHHEDLTSLDWVKRLKIAIGAAEGLCYLHNHCHPPLVHRDIQASSILLDDNFEVRLGSLTQICSEEKDRTSPNTIARFLRLSKGSEQGTSGLGASDGTRAYDVYCFGKLLLELVTGKLVKDTSNNSSMEDLIANTLPYIIPSNKKLVLDILDSSLIVDKNVSTQVWAVAFVAKACLSPKPSKRPQMPQVLIALKHAKAPSFTISENPGQTMTKTLDGSEITGGFILS >CDP12285 pep chromosome:AUK_PRJEB4211_v1:4:26636377:26643055:-1 gene:GSCOC_T00035737001 transcript:CDP12285 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 65 [Source:Projected from Arabidopsis thaliana (AT1G18750) TAIR;Acc:AT1G18750] MGRVKLKIKRLESNSNRQVTYSKRRSGILKKAKEISVLCDIDIMLLMFSPNGKPTIFTGARSNVDEIISKFAQLSSQERAKRKLESLEVLKKTFKKLDHEINIQEYLDGRCVTMFGESCSQVRVLRAQLTELHNRLSWWSNPEKVEDIEHLRQMEGSLRESLTRVRALKENFVKHQLMPLNYSGQFQSGMQFPMTMDGNQDGTTLPWIQDNENQPVILSKDSDFITQRDMEYPRDASLPGCSALFTTGKEAEIDNTGLIDNINCPRDITLSSCSGLFSNSKVEGTNNTGKMDNMECPRDTSLPSCSGLIDRGKETEFHNAEQVDSITQEGSAMDDLSAISCLRLQLGGEQPYYPYSHLNIPELNKLEVGREANFQENPMTYQMNGNFELPRSIYANLGHPWFPASDDCEINMMNDNPFTQPSSQHP >CDP15089 pep chromosome:AUK_PRJEB4211_v1:4:10268739:10272126:-1 gene:GSCOC_T00042655001 transcript:CDP15089 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVQSTIRVSRLSLGPDRCLNNQCGWLAGWLQHSSALLPFTFPFLSLFQIHKQKSQNFNSDSFCSRQQKQRVHPLQQKMALQEEEIKIAKEAIKHAIKALRRRHLVEEAAHSPAFIALSKPLLSQGSEWKEKAENLEVELQQCYKAQARLSEQLVVEVAESRTFKTAIQEKDAIIADLQSEVAKARDECSDLNTLLEEKTKALELMISENQVLKTQLEEAKARADKFEAENKMLIDRWMLQKMQDAERLNEANAIYGDMLDQVNNSSIEQLARQQVDGVVRRSEEGAEYYVESSIPNMCKQRIPGHEGGCGTIVFEYNSTKLISGGQDRDVKMWDTNTGALVRTFEGCVGSVLDLSITPDNRTIIAASGSKNLVVWDASSARTRHTLTGHMEKVVAVDVSKISSRHVVSAAYDRTIKVWDLQKGYCINTILFPSNCNALTFSIDGQTICSGHVDGNLRLWDIQTGKLLSEVAAHSLAVTSLSLSRNGNTILTSGRDNLHNLFDMRTLEVCGTFRANGNRVASNWSRSCLSSDDNYVAAGSVDGSVHIWSISNAKIVSTLKEHTTSVLCCSWSGFGKPLATSDKNGSICIWT >CDP12424 pep chromosome:AUK_PRJEB4211_v1:4:20373502:20379674:1 gene:GSCOC_T00035955001 transcript:CDP12424 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQIVLFGDSITEQSYRSGGWGAALADTYSRKADIVNRGFGGYNTRWALFLLHHLFPLGSATPPVAVTVFFGANDAALLGRTSERQHVPVDEYKENLRRMVQHLKECCSTMFIVLITSPPVDEEGRKEYARALYGEKAMKLPERTNEIAGLYAEKCVELARELDLPSINLWSKMQETDGWQKKFLRDGLHLTEDGNAVVYQEVFRVFSEAWLPASKMPYDFPHHSEIDPENPGKAFQQQCLGV >CDP12716 pep chromosome:AUK_PRJEB4211_v1:4:11956352:11958281:1 gene:GSCOC_T00037330001 transcript:CDP12716 gene_biotype:protein_coding transcript_biotype:protein_coding MFHYLSTPAIFFFLGIFSGIAKHAEADCSHVLKFQPEESFPKLKILTSSIPVPIHALIPYVSLTFLLTI >CDO98103 pep chromosome:AUK_PRJEB4211_v1:4:2862185:2864435:-1 gene:GSCOC_T00022094001 transcript:CDO98103 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLITGKLNKDKDKKKPVSRSSRAGLQFPVGRVHRQLKTRVSANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >CDO98479 pep chromosome:AUK_PRJEB4211_v1:4:6011984:6019185:1 gene:GSCOC_T00022590001 transcript:CDO98479 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRYSRVIDPKVRQVGFCPRSGQVQNQSGPTGPSTSPPVSHISPSSNSLSPVMIPPPRHPSDAASRAVNVPAAATTPLRPRRPSFDDPNNNSSSTEQLVVSSYNPDESVLGTSPPFSGIGDDRDGDAESEFSDNSVNWIRQNDSGRFPLSLAPDGRFDSAAAKEKQSKKPQVGSRKERGGGQTAEVRNDLPSESKPLKEKTSKAERRALQEAQRAVKAAAKAGGNKSKAASLSAHEQTDKAAKLPLQRKDDGPVTSSAAVSERRGGERPPEKDRKKDAPAPRMQFDDKNRVEKAKKRSVVKQTEARNRVELFRHLPQYEHGTQLPDLESKFFQLDPMHPSIYKVGLQYLAGDIRGGNARCVAMLQAFSEAIKDYSTPPEKTLTRDLTAKVNNFVSFLIECRPLSISMGNAIRFLKSRIAKLPITLSEAEAKSSLCSDIERFINEKIVIAEKVIVEHAVTKIQDGDVLLTYGSSAVVEMVILHAHKLGKQFRVVIVDSRPRFEGQALLRKLVKIGVSCTYTHINAVSYIMREVTRVFLGAASVFSNGTVYSRVGTACVAMVAHAFHVPVLVCCEAYKFHERVLLDSICSNELGDPDAISKVPGRMDINSLHDGTNKENLQLLNLMYDATPPDYISMIVTEYGMIPTTSVPVIVREYGREHLLI >CDP14871 pep chromosome:AUK_PRJEB4211_v1:4:25394309:25396326:-1 gene:GSCOC_T00042344001 transcript:CDP14871 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHKFAIPQCRKKVMTILTKEINKRKFEGNAARAEFDLLDQLLNLKDDDGHQLQDEGVLDNIVGLIIAGYESTSLSIMWILYYLAKYPNVLKKLREEHMNVGTNGDFITRDDILKLQYTNKVVEETIRLANVSAFVFRTAKRDVEYKGYKIPKGWKVICWLRYIHTDPKNFEDPLCFNPDRWNEQPKPGTFLVFGGGSKICPGNMLARMQVAIFIHHLVVGYRWELVNADAEMSYLPHPRPIDGVEINISRT >CDP16947 pep chromosome:AUK_PRJEB4211_v1:4:13646393:13646971:-1 gene:GSCOC_T00005312001 transcript:CDP16947 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLNIAMLWWVVVIFAVPMAHGSIDQSPKAVEKWFKRLPQAKEKLTKLHFYFHDTVTDKNPTAIRVAQANITSKSPTRFGETLVVDDPLTLGPEPSSKIIGHAQGIYSSVSKEERSLIMILNLVFNDGKFNGSTLSLLGSNSIFHEYREMPILGGTGALRLARGIATAKTYASNTTTRNAVVEYHVLVLHY >CDP18516 pep chromosome:AUK_PRJEB4211_v1:4:18313014:18318554:1 gene:GSCOC_T00003550001 transcript:CDP18516 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTADDVMMEIEASKPQGNGLVVGGLSPLSETLWKEKTNTEFVGDVSARLTWKDLTVMVTLGNGETQNVLEGLTGYAEPGSFTALMGPSGSGKSTLLDALSGRLAANAFLSGTILLNGRRAKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPWTEKRALIESTIVEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASIHQPSSEFFAQAGFPCPTLRNPSDHFLRCINSDFDKVKATLKGSMKMRFESNDDPLEKITTAEAIRTLIDCYRRSQYCYIAKEKVEEMSKIKGTVLDSGGSQASFLMQAFTLTKRSFLNMSRDFGYYWLRLAIYIVVTICIGTIYLNVGTSYSSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVISNTLSAMPFLILITFISGTICYFMVRLHPGFSHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIITGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMTYISFHFWALQGQYQNDLKGLIFDNQTPDMPKISGEYILEYVFQIDTNRSKWVDLSVIFSMIIIYRIIFFIMIKINEDVTPWIRGYVARRRMLQKNGNQNTTVAPYGLTQSPSLRAYVMDHGSGTSKK >CDP19376 pep chromosome:AUK_PRJEB4211_v1:4:24579741:24582540:-1 gene:GSCOC_T00002599001 transcript:CDP19376 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEP1-interacting protein-like 2 [Source:Projected from Arabidopsis thaliana (AT1G74410) UniProtKB/Swiss-Prot;Acc:Q8LBA0] MALGHDETWPSSSHGQACLSSGHARSRSRRKKDTGLMIGFCYLVRLMATAIFTALTILFAVAGAFTGGIAGGIAGWVSNTGILRGVAMVAIAGATISVQFLDALRTYWCSECPGSSSSSSMANFLQELFDTIFVNQQIEQLPPATPRSHQLQVNRPNTSYGESIAGPSVVASSSGLSEDSLKKLPCLVLLDEMKAEQEACCSICLQDVGVGDTARTLPQCRHMFHLICVDKWLVIHGSCPVCRQDVTNYP >CDO98298 pep chromosome:AUK_PRJEB4211_v1:4:4308322:4312921:-1 gene:GSCOC_T00022345001 transcript:CDO98298 gene_biotype:protein_coding transcript_biotype:protein_coding description:GUT1 [Source:Projected from Arabidopsis thaliana (AT5G61840) UniProtKB/TrEMBL;Acc:A0A178UAL2] MRNWRWGLIGIVFLAFVIRTEAWKFSKAQHEHTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLTHMFAAEIYMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFIVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKDGSITIPPYAPPQKMQAHLIPPNTPRSIFVYFRGLFYDVGNDPEGGYYARGARASVWENFKDNPLFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVFVAEKDVPKLDTILTSIPTEVILRKQRLLANPSMKQAMLFPQPAQSRDAFHQILNGLARKLPHDRSTFLKPGEKILNWTAGPVGDLKPW >CDP12696 pep chromosome:AUK_PRJEB4211_v1:4:11252042:11254786:-1 gene:GSCOC_T00037290001 transcript:CDP12696 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSGERREWLKPIMFSGGIGQMDHIHITKGEPEVGMLVVKIGGPEYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACIEMGEKNPIISIHDQGAGGNCNVVKETIYPKGAKIDIRAIVVGDNTMSVLEIWGAEYQEQDAILVKPESRSLLQAICERERVSMAVIGTISGEGCVVLIDSLAVDRCISKGLPPPPPAEDLELEKVLGDMPQKTFEFHRTVNVREPLDIAPGITLKDSLKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQITLSDVAVIAQSYTDLTGGACSIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKMDGEGAAMYDAALALSEAMIELGIAIDGGKDSLSMAAHTSGEVVKAPGNLVISTYVTCPDITKTVTPDLKLGDNGILLHIDLAKGKRRLGGSALAQVFDQVGNECPDLDDVPYLKTVFNKVQELLSEDLISAGHDISDGGLIVSALEMAFAGNCGISLDLTSVEGSPFKTLFAEELGLLLEVSKKHLDMVMAKLPDLGISAGIIGSVTVSQVVDVKIDGVTFLNEETSALRDIWEETSFQLEKFQRLASCVESEKDGLKYRQVPSWDLSFTPTYTDEKYMTSISKPKVAVIREEGSNGDREMAAAFYAAGFEPWDITMSDLLSGTISLHPFRGIVFVGGFSYADVLDSAKGWAASIRFNRPLLDQFQEVYERPDTFSLGVCNGCQLMALLGWVPGPRVGGVMGVNGDPSQPRFIHNESRRFECRFTSVRIEESPAMMFKGMEGSTLGVWAAHGEGRAFFPDEGVWNSVLNSKLAPVRYCDDDGKPTEVYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKHWSVDKKGPSPWLQMFQNAREWCS >CDP15066 pep chromosome:AUK_PRJEB4211_v1:4:9901848:9903491:-1 gene:GSCOC_T00042615001 transcript:CDP15066 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIPYLVTIVAVLAFFQLQRKWKSRSQIPKSKLPHPPEAAGGLPIIGHFLSFNTKSSVARNLAAMAEKYGPVFALRIGMTPALVVSNWESVKDCFTISDKALSSRPESVFAETLCFGNASFAFAPYGPYWREMRKIVFLDLLSTRGLEKVKHIRVSEVDNTMKELFSIFSKANNVGGKSSTASPAKVELRKLFEKFTLNLIVKKVSGMRYSETEVGTNKDAQFQKVFKALVHYAGQFVLSDMIPIPFLKWLDIGGHIKSMKGFTKELDAVVQDLWDEHTQRRMNSETIDEKGFMDVLLSKIQSESVFGYSRETAIKATVMTLIVGGFETTSTHLTWLMSLLLNHPHVMKKAQEEIDRHVGKDRWRSCPGMLMATQVIYLIVARLLQGFEFTTPSNLPVDMTEGLGTRLCKTTPLEVLIKPRLPNHALYG >CDP15060 pep chromosome:AUK_PRJEB4211_v1:4:9786146:9787749:-1 gene:GSCOC_T00042605001 transcript:CDP15060 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLILLTITFTFIILSSPVTKCATSAKTATHPIPPFKSIYAFGDSYTDTGNTNSATGPNPYLYVSRSPYGQTFFHHPTNRYSDGRLVIDFLAQQLSLPFLPPYLSKTADKSYGINFAVAGSTAIIYSFFVKNNLTLNRTPQSLQTQLVWFNEFLESKGCKNSITTPKECKAVFDNALIWLGEIGANDYAYTFGSSVSGTTIQKLAIGSVTRFLEAILDKGVKYLLVQGLPPTGCLTLTRYLAAENDRDTLGCVASSNKQSYAHNTILQAKLNDFRKKFPNAVIVYADYWNAYASVVKNPEKYGIKELFKACCGSNSGLYNFDLFNTCGSPMATSCSNPSQYINWDGVHLTEGMYKAVAELLLEGKFSNPPFEYLLSRKRRSG >CDP16423 pep chromosome:AUK_PRJEB4211_v1:4:17478699:17480845:-1 gene:GSCOC_T00018299001 transcript:CDP16423 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVRCFLIYIIYVSIIAQTCLCFLSSNLEKKIQDTKQRCMKLKFNSNISVPILTGEQIKGERGNNLQLSLIDNCTGAIVDSVREAFAQVEIVALEGDLDDDEGDAWTAEEFQSKIARDKEGKRSVLTGKLQLKLNKGTVSLSDVKFRSSKRYNGGIFKLGAWVVDTFDGGQILEAKTKSFQVNDYRKKYNQKHHPPSASDEVWRLENIGKGGPIHDRLKGANVNYVKDFQTRLLEDPEDLKCLVGLPAKKWEATVNHAQACIPDNIVGQVPPPVCVTMLYNLPEETTEYFIKTNFTFFLSFLSHLLYF >CDO98642 pep chromosome:AUK_PRJEB4211_v1:4:7707213:7710105:1 gene:GSCOC_T00022806001 transcript:CDO98642 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLSSFKSQNYSISPSLFSQIIKIYGDAGLPDEALKAFYLILKFNIKPLPKHLNRILEILVTHRNFLSPALDLFKSAQRYGVSPDTKSYNILMRAFCLNDDLSVAYSLFNQMSKRDVVPDVESYRILIQGFCRKSQVNKAVELLEDMLNKGFVPDALSYSTLLNSLCRKKMLKEAYKLLCRMKIKGCDPDLVHYNTIVLGFCREGRAFDACKVLEDMRSNGCLPNLVSYRTLVGGLCGQGLYDEAKSYMGEMFLQGFSPHYSIVHLLVKGFCNIGKFEEACAVLEEVLRHGVAPHIDTWMEMLPRIAEVDDPQSMGNTLNQVLKMEIKPDTRLVDAGAALGEYLVKKIGHRSTKI >CDO98368 pep chromosome:AUK_PRJEB4211_v1:4:4915795:4922581:1 gene:GSCOC_T00022437001 transcript:CDO98368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:Projected from Arabidopsis thaliana (AT3G18040) UniProtKB/TrEMBL;Acc:A0A1I9LRW0] MGGGTFVDGVRRLFQRRGPSLSVTSTSSNTHENHLTPFEQNKKEREQKLRIEEDFDLSGLKLIKVPKRINFAVSSSSSSMDHQKKNNLETEFFTEYGEASRYEVQEVIGKGSYGVVGSAIDTHTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLSGKPLFPGKNVVHQLDLMTDLLGTPPPESIARIRNEKARRYLSSMRRKQPVPFTHKFPHADPLALHLLERLLAFDPKDRPTAEEALADPYFHGLANVDREPSTQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLQEYLRGGEQTSGFMYPSGVDRFKRQFAHLEEHYGKGERSTPLQRQHASLPRERVPVAKDDTNKENDDLEKRSAASVATTLQSPPRQSEGSVNPNGNVQNGSNTVGRSLLKSASISASKCIGVKGKKDIEGEAIAEQNEEVEELSEKVAALRS >CDP15076 pep chromosome:AUK_PRJEB4211_v1:4:10111740:10115824:-1 gene:GSCOC_T00042638001 transcript:CDP15076 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKYYPIIHFPSSPPSFPLLNDHHPSTPKLFKLTKASHIQISVSLSPPSSSPPPPPPHTSTVPQSSTSPIFLPFLQGNSNSETELNPPQESSKDENFDSKVNRDDPLIRFFKSQTSNPNPDPGKEGKISLRKNRKSSWHLAFETGNSPQGDEEIENFQLGSPLVDSNSVSTSESKVGGMVREIFEKAKTLPDNSTLGEVLGGFQGKVSEKDCWEVLGMLGEEGLIRSCVYFFEWMRLQEPFLVSPRACSVLFPLLGLAGMGDEILVLFRNLPDEKRFGDVHIYNAAISGLLGCGRYDDAWGVYKSMETNNVQPDHVTCSIMVTVLRKKGNSAKDAWELVEKMKTEGVKWSLEVSGALIKSFCEEGLKKEALIIQSDMEKRGISSNAVVYNTIMDAYCKSNQIEEAEGLFVEMKAAGISPTAASYNILMDAYSRRMQPEIVEKLMLEMENVGLEPNVKSYTCLISAYGRQKKMSDMAADAFLKMKKVGIIPTSHSYTALIHAYSVSGWHEKAYLAFENMQKEGIKPSIETYTALLDAYRRAGDTQMLMEIWKLMIRNKIDGTRVTFNILLDGFAKQGHYVEARDVICEFRKIGFEPTIMTYNMLVNAYARGGQESKLPQLLKEMAALQLKPDSITYSTMIYAYLRVRDFRRAFFYHKQMVKSGQVPDAKSYEKLRAILDAKAKIKNRRDKSALMGIINSSLGLMKQKKKRKKDEFWKNRKKRSRTHGTAKAGS >CDO98096 pep chromosome:AUK_PRJEB4211_v1:4:2816810:2818966:-1 gene:GSCOC_T00022083001 transcript:CDO98096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g15510, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15510) UniProtKB/Swiss-Prot;Acc:Q9M9E2] MEDRDVFSWNVLIGGYAKNGYFDEALDLYHKMLWAGYRPDVFTFPCVLRTCGGMGDWSRGREIHDHVIRFGFISDVDVVNALITMYVKCHDLGSARMVFDGMLRRDRISWNAMISGYFENGECFEGLRLFFLMREYCINPDLMTMTSVISASEVLGDERFGRALHGYVVKTEFGVDVAVDNTLIQMYSSVGKWEEAEKVFNRIELKDVVSWTAMISAYESNSLPEKAVETYKTMELHGIMPDEITIASVLSACTSLSLFDMGVRLHELAKTTGLVSYVIVANTLIDFYSKCKCIDKALEIFHRISDKNVISWTSIILGLRINNRSFEALIFFRQMKLSVNPNDVTLISVLGACARIGALMCAKEIHSHVLRNGLAFDGFLPNALLDMYVRCGRMAPALNQFRIQRQDVAAWNILLTGYAQRGQGTQAMELFDRMVQSKVEPDEITFISLLCACSRSGMVSEGLTYFESMRDAYCIAPNLKHYACVVDLLGRAGKVNDALDFIHKIPIKADAAIWGALLNSCRIHRQVDVGELAARHIVEMDKESVGYYMLLCNFYSECGKWDDVAHLRRMMREKGITVDPGCSWVEVKGKVHAFLSGHDFHPQIKELNAVLEGFYVKMRAEGLTEPQSCFENELEASKAEVFCGHSERLAIAFALINTVPGMPIWITKNLYMCQSCHSTVKFISKVVRREISVRDTEHFHHFKDGKCSCGDEGYWGHS >CDO98345 pep chromosome:AUK_PRJEB4211_v1:4:4764278:4767534:-1 gene:GSCOC_T00022411001 transcript:CDO98345 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWEEALDLDDSDIPSLLRPCKRHHRQTSISAAATTTSTSLSQPTLRPCSNRPQTLVIEEETEQQQHQEQQRQQFSRPNSPQRRRIIPGPAGAVQSAMLQKNRDREREHLFSSQTSDSNPIPTQEYIRRAVENAPEFDDDFSSDPWLSALQFIGTVDGVVPSVPLNSIHQCANNGKVVAVIKSCTQSRLGGLMVTLKDPTGTICASVHQKVLSESLFAKDFVIGSVLILQKVSIFSPSKLMHYLNIMPTNLVKVVNKDNGPLTEKSSQAYSVKHVAPGDAGSPEKRSTPENGASREMTDTMQHNIDASGSLHINDQKENRILLNQSLTCSSRLGLKAKLVDKEPSSLRQGAAQGLSEEAASNRTGYNEEVVSLDNERRLKVADINERLHNDDVAKSLMTNHVVQEIQEDEDNTVQKQRQPVTAATLPQWTDEQLEELFACDDEDGGSYI >CDO98372 pep chromosome:AUK_PRJEB4211_v1:4:4948032:4951903:1 gene:GSCOC_T00022442001 transcript:CDO98372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-1 [Source:Projected from Arabidopsis thaliana (AT1G18140) UniProtKB/Swiss-Prot;Acc:Q9LMS3] MGSFYHQIFVTLVLLLSIFLPCSLSSTTRRFEFNVKWKNVKRLCNTRPVLTVNGEYPGPTISVHEGDNVEVKVTNRVDMNTTLHWHGIRQLRTGWADGPAYVTQCPIGTGKSYTYRFTVVDQRGTLWWHAHLSWQRSTVNGAFIIYPRMPYPFSVPIQEEIPITFGEWFNSDVMAIEKDMMLTGVGPNASDAYTINGLPGPLYNCSLKDTFIKTVEHGKTYLLRIINAALNDELFFAVADHTLTVVEIDAVYTKPFTTKAIMIAPGQTTNVLLTANQKPDSTGMFVLAARPYLTSIFPFDNSTTIGFLKYKTTKSKETVELPLPPYTLPSNLPALQDTEFATEFAKQLRSLGSAQYPCKVPKKVHKQVITTIGLNLQECTPNKTCKGFRNQRFLASMNNQSFIRPPISILECHYKNLSMANLFSNFPEKPPVPFNYTGVNPLTENMNAEFGSKLVVVPYGTRLEIVLQDTNFLNPENHPIHVHGHNFFIVGRGFGNFDAEKDPLHYNLVDPPERNTVAVPIGGWAALRIHADNPGVWFIHCHLEEHTTWGLAMGLVVQGGKNPSQCLIPPPDDLPPC >CDP16903 pep chromosome:AUK_PRJEB4211_v1:4:13092911:13095583:-1 gene:GSCOC_T00005248001 transcript:CDP16903 gene_biotype:protein_coding transcript_biotype:protein_coding MADPALSFVIERTGDLLIQKIVFLKDVRRQVERLRNDLVRMRCFLKDADQRQDEEERIRHWVSEIRDAAYDAEDIIEIFASKVEFIKDKGLVTKLTYYPLKFVNLYKIGKEIKSLRMRLKEIADSREEYGIKNLGEGTTTHGEELQRLRRSSPFNEDKDIVGFEEITKSLVAELLKEDRNRRVVSIIGMGGAGKTTLAKKGYNHADVRERFNCRAWVCVSSIYNHKETLRTIIKQLNPITNELLDMLEKMQEQDLEQRLYQDLEDKCYLVVLDDVWKEEAWDCLARAFPDVNTSSRLLLTSRNRDVAQHADALSKPHELKTLGQEDSWQLFLRKALAHGDNAGCPSDLEEVGREIARRCAGLPLAITVIGGLLLAKKKLKSEWEKVLNSFNTNLSKSQSGVSAILELSYADLPANLKFCFLYLGLFPEDFVISVRKLIHMWVAEGIIQNRDAKNLEETAAYDDVERLCSRNMVQVAEMTVDERIKSCRVHDLLRELAIRKADDENFFQIHDTRDDKISAKSRYLAVHVLPWDENYLGSSTPPLRSLLFFNVHGYMENISLNLKSFGKLRTLDLENVQIPYNLPKEIGEVRLLRYLCLRYTSIARLPRSFGCLRNLQTLDMRTRATVVVLNFIWKLESLRHLYAYDIRLDIYNIRWNESLKIEGLRNLKTLSRVNFDDIMHNNMITLTSLQKLGIWVDDMSEIDKLCMHLSEVGSLKTLQLYFDGSSQQPTLGGLSKLHHVTELKLSGVDLRMLPPDFPPNLSRLSLKFRHSTDDPMPVLEKLRQLSFLKMEFEFEGPEHMVISRHGFHQLKFLQVGRLNHLDEIKVEKGALPQLLCMRIRDCGRLRKLPEELKHISTLDTLELVDMPKDSISRLAADMAFSIPNLRIFDS >CDP12318 pep chromosome:AUK_PRJEB4211_v1:4:27270919:27273650:1 gene:GSCOC_T00035785001 transcript:CDP12318 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSNNWMTNSKVDLLNLTPILDACPLLEQFRLLARCPGRNAKRGGAWPPRHHAHLKEMEFDGFRGTMNEIAFASFLLRSASELERLCIRSSYSTYFADFTWTEHPDYEIYPEERQEIYKQLMGQALSSKVKVIFS >CDP12298 pep chromosome:AUK_PRJEB4211_v1:4:26913459:26916077:-1 gene:GSCOC_T00035760001 transcript:CDP12298 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFVAFLASLILLQVVFFKGYDCKAVQFIFGDSLSDVGNNNFLSKSLAKANLPWYGIDMGSGLPNGRFSNGRTVADIVGDKMGLPRPPAFMDQSLTEDVILDSGVNYASGGGGILNETGSLFIQRICFYKQIELFQGTQELIRAKLGDTAAEKFFQDAVYVVALGSNDFINNYLMPIIYKDASTYNDSTFVQYLMRTFRDQLTLLHGLGARKVMVFGLGPMGCIPLQRVLSSSGECQDRTNKLAQSFNQQAGKLVADLSNSLPNATYNFGDAYDVVNEVISNPNKNGFSNADSPCCSFGKIRPALTCIPVSSLCKDRSKYVFWDEYHPSDAANELIADELLKKLSFLSQASSPAIPPSSSR >CDO98301 pep chromosome:AUK_PRJEB4211_v1:4:4334887:4337596:1 gene:GSCOC_T00022349001 transcript:CDO98301 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGFSASLFKWDPRSVMPPPTRFLEGVAAALPPPPQTMYSVRPRELGLGGLEELFQAYGIRYYTAAKIAELGFTMNTLLHMKDEELDDMMNSLSQIFRWDLLVGERYGIKAAVRAERRRLEEEESRRRHLLTGDTTNTLDALSQEAGLSEEPVQQEKEAGGSGSGAGGGQTWETVGKKQGRKKKGRASKILTSIEDEDESEGAEEDENGSGGSERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLIQVQNIAKERGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEDASNALRRAFKERGENVGAWRQACYKPLVAIAARQGWDIDAIFNAHPRLSIWYVPTKLRQLCHAERSNAAAASISVTGGGAAQLPF >CDO98450 pep chromosome:AUK_PRJEB4211_v1:4:5668094:5671079:1 gene:GSCOC_T00022542001 transcript:CDO98450 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSAAFSTTTAAAALRLLFSSCDHPKTLIPFYSWTRHPSTLTQFTPRLRMVHNTHNNDLSPSSVSAAASFSSSAAASASAAAPTDKPKTQTWLIVGLGNPGKRYNGTRHNVGFEMVDYIAQAEGISMGSISFKALFGKGQIANVPVMLAKPQTFMNASGESVGAIASYYRIPLAQVLVIFDDMDLPFAKLRLLPKGGHGGHNGMKNIINHCKGSRDFPRLRIGIGRPPGKMDPMNFVMRPFTKQEREELDFTFQTGLEAVRILLLEGINRSATFVNSAKSLEQLQ >CDO98564 pep chromosome:AUK_PRJEB4211_v1:4:6923030:6930890:-1 gene:GSCOC_T00022704001 transcript:CDO98564 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MVRGGGGAKKEKEENAEAEERKRLKKSAFKNNILSETQATASGSNALNPSATVVKHHGKDIMRKSQRSGKNRYLFSFPGLLGPISGGKVGELKDLGTKNPVLYLDFPQGQMKLFGTIVYPKNRYLTLQFSKTGKNVTCEDYFDNMIVFSDAWWIGKKDENPEEVRLEFPKEMDVEQVQNYDFKGGAGGPSDSKRDSIKTAKKVVEQPSPKFELEEDSSDSQNKPKEVLELTPSRKSARTDSSDSQNKPKEVLELTPSRKSARTAGKTFKFAESSSGDDIADGLGETSGDDFVNNGLESSEGDGDNNVNRLESLAIKQSAKSASKTKELAHSNQGSLVQKTISSLFKKAGEKVVHTDANEKIDNVKISRPSKKGKPVGKRKDGTESSARKKKMKVQVEDDDIEEFTSTSQDMISSDEDWTT >CDO98589 pep chromosome:AUK_PRJEB4211_v1:4:7135681:7148227:-1 gene:GSCOC_T00022743001 transcript:CDO98589 gene_biotype:protein_coding transcript_biotype:protein_coding MARMISTPNSHLLTFSCIIAYFIAIICTLSGAKDTLGVSESLKLKNGEILESSNQRFRFLSDHSSSILVIQFVYLKHSVNVWAANSYLAAPSRPRISAITMNESGRLEVYGQGNPHVAVFTVNAQQKVMISKTSATLLDNGNLVLRSSSGRTVWQSFDYPSHHTRLSSGMKLEISLLSQKSTASMQAAASGPSLPLAPGSPSFLVGPPSGRKKSKSSRVVLYVVSASVAAFLTAIVLCKLRSRILRYRRESMGSETPELDDKGDDESLFFSFTSIDIATDHFSEENKLGQGGFGPVYKGKLVNGLAIAVKRLNRMSGHGIEQFKNEVKVISKLQHRNLVKLLGYCIEKGERLLVYEYLPNNSLGSVLFDAAKRDLLDWKRRLKIVEGAAQGLLYLHKYSRLKIIHRDLKTSNVLLDADLNPKISDFGTARIFGENELRGSTKNIVGTYGYMPPEYAMDGIFSEKSDVFSFGVMILEIISGKKNTSFCDSDRHLNLIGHVWDLWTEGRISEIIDSCLDERIPRSEALQYVRVGLLCVQENAADRPTMLDVVSMLLNGSMALDSPKRPAFSEITSLNKANSFLLLLQTYKIITNPDQLALGNAKNAILQLGNAIRVANCKKVRSRLAFTFLLGTRVASADNHSQFFCRKSTKSKGMLRSFSVASGQCLTGITTKMISTSNGHLATFSCFIAYFIAMICTLSGAKETLGVGESLKLQNGEILESSNQRFRFLSDRSSSLLVIQFVYLKHSVNVWAANSYLAAPSRPRISAITMNESGRLEVYGQGSPHVAVFTVNAQQKVMISKTSATLLDNGNLVLRSSSGRTVWQSFDYPSHHTRLSSGMKLEISLLSQKSTASMQAAASGPSLPLAPGSPSFLVGPPSGRKKSKSSRVVLYVVSASVAAFLTAIVLCKLRSRILRYRRESMDSETPELDDKGDDESLFFSFTSIDIATDHFSEENKLGQGGFGPVYKGKLVNGLAIAVKRLNRMSGHGIEQFKNEVKVISKLQHRNLVKLLGYCIEKEERLLVYEYLPNNSLDSVLFDAAKRDILDWKRRLKIVEGVAQGLLYLHKYSRLKIIHRDLKTSNVLLDTDLNPKISDFGTARIFGENELRGSTKNVVGT >CDO98350 pep chromosome:AUK_PRJEB4211_v1:4:4795980:4799034:-1 gene:GSCOC_T00022417001 transcript:CDO98350 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFSTWRASRDTAAAAATVSCYLNSYGIRKISHLKVYSYSDPSSSSSSNPSSSSSSSSSSSSSSSSSVHSWSAASRKILAERSSRSGRNFDGAFSIIETETCKVETKTKSNESSKGPSKIKANKSSIRRRPLWRKLLFGSKKFRSIILLNVVSVVYASNILVVKETEALMDPAAFSAVRFAVSAIPFLPFVFRARNDAQTRKAGMELGLWISLGYLIEALGLLTAEAGRASFLSLFTVIVVPLLESMLGTIVPARTWFGILMSVVGLGLLECSGSPPNVGDLLNFLSAIFFGIHTLRTEHISRSTRQENFLALLGYEVSVVALLSAIWCLIGGSFDGFQYTENVSWTWALVWDWMVTFPWIPTLYTGVFSTGLCLWAEMAAMRDVSATETAVIYGLEPIWGAGFAWFLLGERWGTAGWIGAALILGGSLSAQMNEYLPNEFRKVGKNDEKLNLLTIPDNQGKRNTNLSASPVVVRSKDVIDVLKK >CDO97945 pep chromosome:AUK_PRJEB4211_v1:4:1744380:1749375:-1 gene:GSCOC_T00021894001 transcript:CDO97945 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDEASNKGIDASVGGLVWVRRRNGSWWPGRILGPEELPESCLVSPKSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYDDCIEKAKAAANSSKKVVKYARREDAILHALELENARLGKDHPDFCSTMDKKDEDPQDFGKSPNSLHSQEDTEDSEDQISSSEDESDSELELSHSGVSFEEPDHPNAGKEESAEGRQWRTPNDSEDDGTEGIKRMRGLEDLGMSVVSSLKRKRSQVAHVHEFLKRKNRRRPLTKVLESTVMVSVPVLCEQLGSPTRSYLPGVSDSKVSGIESNESKRSFSIANNNSDSTGVSCENGASFNASEHAYDASLMKCKQKENEISSILGYHENGSSDSLFDVPLMAEEKHSAVISCASQKPQIGAGAQSSQCSQVETLSLGNDELNESGSTSSGTGDMNTLNQMIEKGASEWQLKGKRNSRHTSKIRRQDSRYTDADEESDVYLTAMDQDVLSLGSGRKADCSSIGGCLALNSTYRLKSRTVTDIQLDEFGGWSSSHNECHVRDLTAELPQRSLPFRLSRFVLNPKYNQSDFSLRHHITDSSLYDVNVEVKASYRPHHVPYISLMSKLNGRPIIGHPLTVDVLEDGSCDQLVSSSECHSSSYELDDDPAEDTYPLRSFDMVYERRPNSGGRTNKHQALQPRVSPAKSPKAKKNGLLLKKIRKLSSLTGHKHCDVDKKPVMEKLKGPAVACVPLKVVFSRINAALNSSMRSTHRLLPPSNG >CDO97754 pep chromosome:AUK_PRJEB4211_v1:4:256849:263087:-1 gene:GSCOC_T00021640001 transcript:CDO97754 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPKLGNMPGKTPTKPPPNKKQKKELFAAKPSTKEDLLSDSDGTDEQVDEYETSDDDQQQDLDSGSDSEMSSVGDDPFALDILQANAEGEGEGEEVSDLDSDSDESDIEKKSRAIDEGRAREEEEAEAELQINIKHQSDEFRLPSKEELEEEANRPPDLSNLQRRIKEIVRVLSNFGELRPKGVTRKEYTHQLKLDLCSYYGYNEFLIEALMEIFPVVELMELIETFEKPRPICLRANTLKTRRRDLAGVLLNRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYMAGHYMLQGASSFLPVMALAPQEKERVVDMAAAPGGKTTYIAALMKNSGIIYANEMKASRLKSLSANIHRMGVTNAVVCNYDGRELPKFLGQNTVDRVLLDAPCSGTGVISKDESVKTSKTALDIQNSAHLQKQLILAAIDMVDANSKSGGYIVYSTCSIMVAENEAVIDYALKKRSVKLVPCGLDFGRPGFIRFREHRFDISLEKTRRFYPHVHNMDGFFVAKLKKISNAMPTPTDSGPRREVGQDTEQIKGSSQNNVEGDPNVLGEEFGAAKENRSRPIHFARDGKGKDQYASKKRKKGRRYVGKEGHHRTSK >CDP12414 pep chromosome:AUK_PRJEB4211_v1:4:20149943:20150897:1 gene:GSCOC_T00035939001 transcript:CDP12414 gene_biotype:protein_coding transcript_biotype:protein_coding MMRELQIPLLGSHHLGIDDTKNIARVVQHMLIDGALLQITARRLRGSPEKVGVYWRHLVPFSKCGFQNLSLRNMELLMFKENTCKVLYWCRQLSH >CDO97865 pep chromosome:AUK_PRJEB4211_v1:4:1145413:1148802:-1 gene:GSCOC_T00021799001 transcript:CDO97865 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQHLNAASLPQPQHDDGDAAAISTPSTRQAIKALTSQIKDIAIKASGAYKNCKPCSGSSNHHNNRGDGCADSEPGSVSGRYQFSYARAAAAAGSSNSTPRRPWGREMESRLKVPSSGETTPASVSGRTESVVFMEEDEPKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQKFNQQAVPLPTPPRSEDEISKMESAEDSPATPPLNKERLPRNFHRALGMGHYSSDSLENHPMHPRTYYESAGLTSTPKLSSVSAARTETSSIDASARSSLSREADCSGELSVSNASDMESEWVEQDEPGVYITIRALPGGNRELRRVRFSRERFGEMQARLWWEANRARIQEQYL >CDP18085 pep chromosome:AUK_PRJEB4211_v1:4:14038269:14039047:1 gene:GSCOC_T00008252001 transcript:CDP18085 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTLNYAAGGHPFQLSSTSTPPTITAKQHLLLSRNTPPPSSTLSNFFLLPVSCPFSPFSRFTYMSKLSCQKKFQRTPILASSSSSSDSTSNDNPLDQSASDAAASFFWLHLSRRFFTNFKQQTGIDFQHDAIATLAQLAAPLRRSVQLPHSALERFRSHLLPDFVNWNNWIAGRT >CDP16920 pep chromosome:AUK_PRJEB4211_v1:4:13292180:13299335:1 gene:GSCOC_T00005272001 transcript:CDP16920 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMYGLPSSNTTSTEGNPSTCQPPIAASLKEDDFEAFGASWSSALFDSSASPDAAGAITCNSIKRSSTTGTGSSSSEEESFSAMKASISSHPLYTKLLEAYIDCQKVGAPPEIAMFLDEILQENQLSINNTGTYCVNDDPELDEFMETYCDVLSKYKLDLEKPYDEASKFLTNMESQLSNLCSNTPRMLDEAARSSNEDGSSGEVEVLQEKSSNGPAEVNNEIKEKLLVKYSGYISSLRHEFCRKKKKGKLPKEARQILLNWWKVHCDWPYPTEADKIALASSTGLDQKQINNWFINQRKRHWKPPENLQYPSLINNNEYGSFFLNVD >CDO97798 pep chromosome:AUK_PRJEB4211_v1:4:570947:571629:-1 gene:GSCOC_T00021704001 transcript:CDO97798 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLRSNGAVLFLRRAYTVAVENVKAQPAASVMRKVAEPSTSNIDVGKELVVQGAKREVFWMKDPKTGNWIPENHFNEVDAAELREKFLSKAPKP >CDO98025 pep chromosome:AUK_PRJEB4211_v1:4:2336376:2338367:-1 gene:GSCOC_T00021994001 transcript:CDO98025 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQKRLEPMSPEMRARWRKEIDWLLSVTDHIVEFVPSKQVSKDGTNMEVMVTRQRNDLHMNIPALRKLDAMLIDCLDNFKDQNEFYYVSKDSDESQKGKSNARKDDKWWIPTPKVPPNGLSDVAKKWLQFQKESVNQVLKAAMAINAQVLLEMEIPENYIENLPKNGRASLGDSIYKSITDEHFDPDYFLSMVDLSSEHKILDLKNRIEASVVIWRRKMTAKDGKSSWSSGVSMEKRELFEDRAETILLILKHRFPGIPQSSLDISKIQYNRDVGQAILESYSRIIESLAFTVMSRIEDVLHADSLAKNPSAGEPKKTLKKETITLESIPSAKEEADKLNSAETPTSKTLLDFMGWSLDQGETEVKKDVKEEVSKDNDLKLLSKPPNIATNKKISYIERLEHLGGSRSPTARH >CDP14992 pep chromosome:AUK_PRJEB4211_v1:4:8979325:8980407:1 gene:GSCOC_T00042516001 transcript:CDP14992 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLILARSLITAVASPRLVQNFSIAKINCKISNRIDHVINEWLFFFKTIENLPFSLTGFRTKQLCDGANSSAASTCGRPLGLGFNFKTGELYVLDFKFGFLVVSPGGGLATQLATGLNGTTFVFPNALDIDQANQIVYFTDAGAIFLSRNLSTIIQSNDTSGKLYKYDARTKTLSLMLNGLSGPLGVAVSKCGAYVLIDEYIASRVRRYWARGPKAGSSEILVNLPGSPDNIKRTISDDFFAAVTILNRHTFQTTSSLGQRINGDLGIVEATVNLTAQYTNNLISEVQEFLGKLYIGSSTASFVGVYGP >CDO98409 pep chromosome:AUK_PRJEB4211_v1:4:5235301:5235941:1 gene:GSCOC_T00022491001 transcript:CDO98409 gene_biotype:protein_coding transcript_biotype:protein_coding MKASYLAVFAMLVLFLSDQPNVSIAVTCDPNALSPCASAFTSSVSQTCCIKIKEQKPCFCEYATNPKYRAFLDSPIAKKISKACNIPIPKCP >CDP14369 pep chromosome:AUK_PRJEB4211_v1:4:22242806:22243849:-1 gene:GSCOC_T00040726001 transcript:CDP14369 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESSSRRRCTPQGWNFLWGLKVKHKLKHFIWKCLQGILPVNAVIRERCSKGDSVCKCCGEYPETIEHLLFFCDNALAIWKVAPVSWAGLECLRNNFGHWWEEIREARAMESGQERIELTVNVLWQIWKSRNRRQFEDKGMDPMTVVNKATREWREFQEAQDVDGGNGSHTTNGKEGLGGWREPEVGWVKINSDAAVQQKAERAGWGMIARDCLGNALGAWAVPDTCCSSAKQEEALALRSAMLMAKQQGWRRVVFESDCKQLIDSINSGDGDSDIATILLDIVSLKSNFYKCCFSFTRRMNNSVSHSLAKLALSLDGPAEWKVVFPAWLLELLQADCRGSCSISV >CDO97849 pep chromosome:AUK_PRJEB4211_v1:4:1010930:1011502:-1 gene:GSCOC_T00021773001 transcript:CDO97849 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFNFSNFLMLPANCYCSLLGTGQVGAIQLGISRALQNWAPDLRPLVIFRWFLLTRDSRVIERKKPGKAKTRRSFQWVKR >CDO98388 pep chromosome:AUK_PRJEB4211_v1:4:5072690:5076827:1 gene:GSCOC_T00022464001 transcript:CDO98388 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSVYDCYASFPINPKSMEVHSPQSPNFPFDEKRGLYHGVVEQWLYRFIRCGIPLQIKFHAEDLRVWKRGKGINHTGTRKRDSVPSRTKWNLGTLQPLLCRRILC >CDO97976 pep chromosome:AUK_PRJEB4211_v1:4:1977865:1979306:-1 gene:GSCOC_T00021930001 transcript:CDO97976 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP4 [Source:Projected from Arabidopsis thaliana (AT3G16360) UniProtKB/TrEMBL;Acc:A0A384KT90] MERNQLRRKLANMRQSLFEQGYLDEQFTQLEELQDDANPNFVEEVVTLFYRDSARTIQNIEQALEKNPLDFAQLDSYMHQFKGSCSSIGAVKVKSESTQFRNYCKEGNAEGCRRTFHQLKKEYAALKKKLELYFQYARQAGPDETACRPK >CDO98279 pep chromosome:AUK_PRJEB4211_v1:4:4176583:4177251:-1 gene:GSCOC_T00022320001 transcript:CDO98279 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPPLSLGTLLIACFVVCHYQCQAADTDNLHDTCPTDTAQKTVFINGFPCKNPGSVSASDFKSSLLNEKGDTDDIFRSSTTLVTAAEYPGLNTLSLSVARTDLEVDGLVMPHAHPRASEMLFVSTGVVIAGFFDTNNVMFRKVLREGDVFVFPRGLLHYCLNNGFEDATVFSVLNSQNPGVASIPGAAFAPHDAESMKKLKQRLISVSRLDHERLENTTFF >CDO98333 pep chromosome:AUK_PRJEB4211_v1:4:4684407:4685262:-1 gene:GSCOC_T00022395001 transcript:CDO98333 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPANELLPPPSSPTISSVSSSDLDTESTGSFFHDRSTTLGTLMGVTFPAITFRAPSRSHSQHRQLQTATTNGPVNVCRRNKKAKKNRAVAMAAEEDERRRWRRRRWWRFCRDECDCQPSSLGEYLEVERRFGDGAFFGGAATELEGVGGIHMQTTDGRVLFANGRVLPPAQVDEAESAAGGLCRFSVVSLGGICSGGGGGGVG >CDO98075 pep chromosome:AUK_PRJEB4211_v1:4:2632303:2637408:1 gene:GSCOC_T00022051001 transcript:CDO98075 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNGRLWSLRPGGLKSTLSGKSTPRGSPSFRRVGSGRTPRRGGISSQYFRNNRIVLWLLLITLWTYGGFYIQSRWAHGDNKEGMFGGNGTDEINELKPQNEKTEVKPKDRRDLIETDDYLDSKAVLSKNQSLSRISDAIMAKSGNANPSRKSVSLKKSRKRSRRGSRNKSRVKQKEVVEVQESEVDVQEVEIPMLNSTYGLIVGPFGSIEDGILEWTPEKRLGTCNRKGQFARLVWSRKFVLIFHELSMTGAPLAMMELATELLSCGATVSVVVLSKRGGLMPELARRKIKVLEDKLDLSFKTAMKADLIIAGSAVSASWIEKYREHTVLGASQIAWWIMENRREYFDRAKLALNHVKRLIFLSELQSKQWLAWCEEEKIKLKSPPELIPLSVNDELAFVAGISCSLNTPAFSTEKILEKRQLLRSSVRKEMGLTDDDMLVVSLSSINPGKGQFLLLESAHMVVEQRMAVNSSTIKGSVKRGRNYHARTLLQEGLRIGESSSELFHSEGYSAKFRSRENTLPSHYATTLYGDYSLRKLLANGETKQKQRLKILIGSVGSKSNKVTYVKTLLEFLSHHTNLSKSVLWTPATTRVASLYAAADVYVMNAQGLGETFGRVTVEAMAFGLPVLGTDSGGTKEIVEHNVTGLLHPLGRPGAQVLAKDIQYLLENPSARKQMGTEGRKKVEKMYLKKHLFKKFGEVLYSCMRIK >CDO98189 pep chromosome:AUK_PRJEB4211_v1:4:3529680:3530960:-1 gene:GSCOC_T00022203001 transcript:CDO98189 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVREKRLLRRGLRRGPCSQTPQARHPVLIVSSQVGLDKGRLIPLSSILCVVLSFCSPFLFLCVVFSLCCLFLSLLSPLRLNRVADTIPAPPCEQLSLVKNSNLLICTFHLKERCLFTDQAAAKCNPLV >CDO98165 pep chromosome:AUK_PRJEB4211_v1:4:3345352:3347727:1 gene:GSCOC_T00022171001 transcript:CDO98165 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEENGLRGDPRLQGISDAIRVIPHFPKPGIMFQDITTLLLDHKAFKDTIDIFVERYREMDISVVAGVEARGFMFGPSIALAIGAKFLPLRKPGKLPGSVISEAYELEYGSDCLEMHVGAVQPGERVLVIDDLVATGGTLSAAIRLLDRVGAEVVECCCVIGVPEFKGRCSLNGKPLYILVEPRQ >CDP12687 pep chromosome:AUK_PRJEB4211_v1:4:11123701:11125772:1 gene:GSCOC_T00037278001 transcript:CDP12687 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGITGNKGRCYDFWMDFSECMSRCREPKDCALLREDYFECLHHSKEFQRRNRIYKEEQRQLRAATQKGEDGGHGGSHH >CDP12270 pep chromosome:AUK_PRJEB4211_v1:4:26301527:26305208:1 gene:GSCOC_T00035712001 transcript:CDP12270 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTASPKNGIDGRKKPNLLITGTPGTGKTTTASALAEAAQLRHINIGDLVKEKNLHNGWDEQFECYIINEDLVCDELEDMMEEGGNIVDHHGCDFFPERWFDRVVVLQTENSLLYDRLATRGYTGSKLSNNIECEIFQVLLEEAKESYQEEIVVALRSDSIDDLNNNVSTLSDWLRSWNMS >CDO98255 pep chromosome:AUK_PRJEB4211_v1:4:3991182:3995996:1 gene:GSCOC_T00022286001 transcript:CDO98255 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGDDVLVKRGESVPVDFPGPVLVCTRNDDLETVLESTPKSRWNDLVFFQNGMLETWFQSKGLSDPDQVLAYFAVSKLGESPTDGKTDTNPEGLTAAYGKWASAVAGRLRAGGLSCKVLEKEAFQKQMFEKLIWICAFMLVGARHPGATVGIVEKEYRSEVSSLISELAAAAAAEKGIVFEPAMEDRLCAYSRTVAHFPTAVKEFKWRNGWFYSLSDKAIAEGKPDPCPLHTAWLKELNVV >CDO98369 pep chromosome:AUK_PRJEB4211_v1:4:4923682:4926599:1 gene:GSCOC_T00022438001 transcript:CDO98369 gene_biotype:protein_coding transcript_biotype:protein_coding MANKFPSYSVFTSRVQEPKPEDHLGLPLEPSKPEHDYGVYKNLLQEYAQKSGLPLPLYNIENEGFPHAPKFRSSVIIDETKFTSKFTFPDRRAAEQDVAKLAYEAIVKDNKTLTGGPHIYQNLRFCKLIVYEYATKTGLGVPEYNTTLAEGPPRPVFVSSFVLGGKSYTGQVGRSKKEAEQLVARLAIQSLLETASQGSDSGKLTEIIKSKERLFATLSAKKNSENQGTKTLSVHQSSNAGNLSQQQASIQTGIISYNPGSCTVTCAKGWTKRKVENNWEQKKKKGRVGAAIKRPGYNFRP >CDP14926 pep chromosome:AUK_PRJEB4211_v1:4:26141649:26146762:1 gene:GSCOC_T00042420001 transcript:CDP14926 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAALYDELTRKGGGAARFKQGLGFSSTSHREAVPSRGSGLPSFTSSSSSLLSTFVKASSPSKTGELEKQAQLESIQNKLKKTPHQPQNLIASTREVSRDRDRDSSHSSDRSRSGERERHSRRRSRSPSRNRERHSKRRSRSGSRDDYRMRRRGYRSRSRERESGRRRSSRSESPTQRKSSQNSTARKVEKERNNDGRVEYAETIEGYDKMTPAEKIKARMKFQLSEAAEKDEVKGTGSGWERFDFDRDAPLDDEEIEAVEDDAALVKHIGQSFRFATVEARRDKETRAAHDEAIFGVSSRPADPETAEQEEAGIVKKETHESTQITNLLSDQVLAMQQGSWRHCVRKK >CDP16933 pep chromosome:AUK_PRJEB4211_v1:4:13503672:13508097:1 gene:GSCOC_T00005291001 transcript:CDP16933 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLGLRPPLGKHFKNFNSSFFSSKPIGIRKLLAVRLCALAPKDAQKLVVEVKEKLEKECNSLPAGKNGKDDEELILWFLKDRRFSVEEAVSKLTKAIRWYHEFGVSELSEESVRSAAESGKAYVHDYLDVNSRPVQIVEASKHFPGKHDFREDEKLCVFLVEKALSKLPAGKEQILVIIDLQGFGTENADLRFLTFLFDVFYYYYPRRLSEVLFVDAPFIFQPIWQLAKPMLKSYASLVRFCSAEVARDEYFTDETVPANLRKR >CDO98481 pep chromosome:AUK_PRJEB4211_v1:4:6026899:6030008:-1 gene:GSCOC_T00022592001 transcript:CDO98481 gene_biotype:protein_coding transcript_biotype:protein_coding MDARKIVVVVEDVDVARTALQWTLHNLLRYGDLITLLHVFPSTRSRNKNKLRLLRLKGFHLALSFKDICNNFPNTKTEIVVTEEDQEGGRIVTIVRELGASALVVGLHDQSFLYKLATDHNNVVNALNCKVLAVKAPTSMATRTISVPDSSTNMDFSQIEISALSIPDIPPQKIPYQLCPDPSAIIWRSRRSLRRKI >CDO97812 pep chromosome:AUK_PRJEB4211_v1:4:681477:683190:1 gene:GSCOC_T00021721001 transcript:CDO97812 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHKLWDETLAGPTPESGLGKLRKYNSFSGARPSAPAAPATMMMGSVDDHLIPVSRSITILRGNSPTMRYASATPDSGSVPSSPAASASTTPTSPLSPTAAGHGGNFKKLTRRKSNSAALQPGEPKSPAGYDWIVLSALDR >CDO98293 pep chromosome:AUK_PRJEB4211_v1:4:4280280:4285059:1 gene:GSCOC_T00022340001 transcript:CDO98293 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKKERAREKREMRRQEISLLRTIPYSDHQRWWSSDTVAVVTGANRGIGFEIAHQLAMHGLTVILTSRETGVGEEAAKVLQEGGLNVVFHQLDIVNPSSIETFTEWIQQNYGGLDILVNNAGININIGSENSVEHAEKVIETNYFGTKSMIKAMIPLLRPSASGARIVSVTSRLGRLNGRRNRIGNLTLRAQLEDVGSLSEELIDGTMNKFLEQVKDGTWESGGWPQVYTDYSLSKLAVNAYTRLMARILSDRPEGHKIYINCYCPGWVKTAMTGWAGHIPPEDGADTAVWLALLPELSVSGKFFAERREINF >CDO98332 pep chromosome:AUK_PRJEB4211_v1:4:4682620:4683123:1 gene:GSCOC_T00022394001 transcript:CDO98332 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVALCAPSIISSGVVKVLFSDGRLVIYTRPVKAAELMLENPGQFVCDSSHLKIGHRIPGLSADEELEVRQIYYLLPMEMLYSVLTSEEMGSLNDKSSKAFKQGSLSFSKIFPVLGDFCLFPLTSETSKNLDSATNGPEPAERYARQRSWKPALETIIEAPTPPYA >CDO98269 pep chromosome:AUK_PRJEB4211_v1:4:4103362:4105133:-1 gene:GSCOC_T00022306001 transcript:CDO98269 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEPALLDLSCNDFYLSAHFGEADELDSEGDQIFPVSDVKCAQGLQLQEALVASMLPEPSQGYSTFNIAANAAASSSSLSFKVEQAEEAVTAESGESSLIFCEICVDRKERDQMFTIQSCGHVFCNECISKHVAARLEYNVHGIRCPAVNCGSAIEFDSCGSFMPKDVLEKWDEMLCDALVDASQKFYCPFKDCSAMLVRDSDEVIRESECPICRRLFCAQCYVPWHPGVDCEEFHRLNEDERGREDLMLRELAKAKSWNRCPRCKYYVERNEGCIHMTCRCGFQFCYTCGEQWSSAHGGC >CDO98231 pep chromosome:AUK_PRJEB4211_v1:4:3803363:3806454:-1 gene:GSCOC_T00022257001 transcript:CDO98231 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLACLGVISPFPFYYWLWTQPQTWVNLCGKGSDPCKVMAMVSHFLKLVQFASLLAVSTLSWPPPFYFWPLFIFGQFLNFRVYQLLGESGTYYGVRFGKTIPWVTDFPFGVIKDPQYVGSVMSLLACLSWVPYLYILLWVLGYAFMIHLESKEDPSTRAEAIS >CDO97887 pep chromosome:AUK_PRJEB4211_v1:4:1298511:1302939:1 gene:GSCOC_T00021826001 transcript:CDO97887 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDARQYDTRMKELLSADGQDFFTSYDEVHDTFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYSLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILLAGVHVVVGTPGRVFDMLRRQSLRSDSIKMFVLDEADEMLSRGFKDQIYDIFQLLPAKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDQMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRILITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTREDEKMLFDIQKFYNVVVEELPQNVADLLG >CDO98251 pep chromosome:AUK_PRJEB4211_v1:4:3969324:3971705:1 gene:GSCOC_T00022281001 transcript:CDO98251 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAVILLLSTNTRGVFSSYREKEVLSLKKLQWSQRSSKTSFLSQKSRTENGATILEMRHRDCFSGIISDWNQRLQNWLQADRIRVKSIHAQIKILASGKTEAHSQTRIPIASGVNLHTLNYIVPVTVGGRNMTVIVDTGSDLTWVQCQPCKLCYNQPEPIFDPSLSSSYHSIPCKSSACQSLQFATGNSGLCGGDAGTCKYEVSYGDGSYTRGVLGSDRLILGTIPVENFVFGCGRNNRGLFGAASGLMGLGRSDLSLISQTSDVFGGVFSYCLPTTDVGSSGSLILGGDASVCKNSTPFSYTRMVPNPQPVTFYFLNLTGITVGGVATQAPSFGKAGILIDSGTVITRLPPSIYEAVKTEFLKQFSGYPTAPSFSILDTCFNLSSYDEVDVPTVKMQFEGDAELTVDVTGIVYIAKSDASQVCLALASLTFEDEIGIIGNYQQKNTRVIYDTKESKLGFAKESCSFY >CDO98543 pep chromosome:AUK_PRJEB4211_v1:4:6592216:6594704:1 gene:GSCOC_T00022678001 transcript:CDO98543 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFSVNSKRIRRPNVRLWEIGDLPAAFARASYHRSGASLGQKRWKRKFSDVGESEYLQFSTEKQPEHTILDPSVSPRIINDIQHNRENKDPNSLKVASEFVNSDERNMTNCNLDFGTITRRSRLMKRRKRNPNSTCSLFGNPWNSKTGRQKTVQDEKGYGWNQNVACTSAADLDIFPVNGFKDSSQNETSAMSKEAWENEIDDLNSRGPLQKPRGFSIEGACYEENATFLQFARGHNDKESSGCDVNGVSLWLEELGLGKFAELFEMHEVDEETLPFLTFEDLKDMGIVSVGPRRKLFNAIQQLKGGR >CDO98004 pep chromosome:AUK_PRJEB4211_v1:4:2199413:2200747:1 gene:GSCOC_T00021967001 transcript:CDO98004 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGERTLWSFVDEEKKNINLPRRLSFGNQELDSHLASARTSCASAASFPLWPMSPETPWTRSPLHASASPNSSLLYHCIASLHRSEGKIFSIAASKDFVFTASDSRRIHAWKLPDCTEMGYIKAKAGGIRTILAHGKLLFTAHGDHKIRVWDMPPTENFQHKKITTLPRRSFLVYAKMNIHKDSISCMAYNHMENLLYTGSWDKTVKVWKIREKQCLDSFAAHEGNVTAIVINQEDGCVFTGSSDGTVKIWRRVYRESSHILTMTLKFQPSPINALALSSSPGGCFLYSGSSDGLINYWQKERMSGRFNHKGFLQGHHFAVLCLVSIGGLILSGSEDATIRIWRREDGDSFHSCLAVIDGHHGPVKCLAASLETADALIRLLVYSASLDQTFKVWRVKVYPAENVNLEKSEAKHSQVEMECQMSPVLSPSWVERKMQGSHFE >CDO97973 pep chromosome:AUK_PRJEB4211_v1:4:1933586:1936475:1 gene:GSCOC_T00021927001 transcript:CDO97973 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNNSGLTTKSKPRPKSTARKPLRDVSNAVKTFSTSIATKLPVKYQEDEEGNQLRQVGDHDGALDRLLLIHSHISSIIHQIDGLVAQAQKFPSKQRMKEIELFANVLSEMQTSLQPWIPRFQKVLSTEPVNQLEEPSTSRNVAYVKKDTSHVVESPRPTKLDSLVSPSPLVSWRAGCTTEGGRQLFLVTPLPRPKAFSVKYQEEPKSVLENTTSSTMVQAPVIFDAVGEKNDDLLEGTVVKQTPRKVSDDILKRRCSSPENLLGREGSMLLMTPYLKLSPPKSCVLLEPVPEFRQNVTRGVYQSTPYPTRVKISEGSQDSESSLCRSSEKMSFQYTEHPGIKLSNNYGSRSKVVEESPYRMISPPKTCVLMEPPDDKLSLSDEAGSVLDRGANLLSVTEVDLQGGQSLADETCKQGLYRSFKIVESTPILRGPESSIQIGKHPGENTLKKELWEKFEAASIDGIDFDVSVVQQSVQKGFLDRLDEVS >CDO97918 pep chromosome:AUK_PRJEB4211_v1:4:1529050:1536056:-1 gene:GSCOC_T00021864001 transcript:CDO97918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 1 [Source:Projected from Arabidopsis thaliana (AT3G13750) UniProtKB/Swiss-Prot;Acc:Q9SCW1] MWKVLALLVLVLLGSWVSCGTASVSYDNTAIIVNGQRRILISGSIHYPRSTPEMWPDLIQKAKEGGLDVIETYVFWNGHEPQPGKYYFEGRYDLVKFVNLVKEAGLYVNLRIGPYVCAEWNFGGFPVWLKYVPGISFRTNNGPFKAAMQKFTTKIVNMMKAERLYEPQGGPIILSQVENEYGPMEYELGAPGRAYTEWAAKMAVDLGTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNKAYKPKIWTEAWTGWFTEFGGAQPYRPAEDLAFSVAKFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRALKLCEPALVSGNPTVTPLGNYQEAHVFKSKSGACAAFLANYNSQSFARVAFGNMHYNLPPWSISILPDCKNTVYNTARVGAQSAQMKMNPVIKGFSWESYNEETASNEDNAFTVSGLLEQINVTRDNSDYLWYSTEVRIYHTEGFLKGGKWPVLTVMSAGHALHVFINGQLSGTTYGSLENPKITFSQGVNLRAGVNKISLLSIAVGLPNVGPHFETWNAGILGPVSLYGLNEGRRDLTWQKWSYKVGLKGEALSLHSLSGSSAVEWGEGSFVAERQPLTWYKTTFSAPSGNEPLALDMSAMSKGQVWINGQSIGRYYPAYKASGNCGACSYAGSFNEKKCLSNCGEASQKWYHVPRSWLYPTGNLLVVFEEWGGNPYGISLVKREVSSVCADIYEWQPTLVNWQLQSSGKVSKPLRPKAHLSCAPGQRISSIKFASFGTPEGTCGNFRQGGCHAFHSYDAFETYCIGQQTCSVTVAPALFGGDPCPNVMKKLSVEAVCS >CDO98359 pep chromosome:AUK_PRJEB4211_v1:4:4850221:4854262:1 gene:GSCOC_T00022426001 transcript:CDO98359 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLAFTAIVTVGYQFFFFIITFLLRFDKVTDFAGSSNFVILAVLTLVLKGSWHFRQVILSLLAVLWGLRLALFLLMRILQWGEDRRFDEMRNNLGKLAVFWTFQAVWVWTVSLPVTIVNASDRDPSLEARDVIGWIMWSVGILIEATADQQKLTYKNNPENRGRWCNVGVWKYSRHPNYFGEILLWWGIFVASTPVLKGAEWLVIFGPIFLTLLLLFLSGIPLLEDSADKKFGGVAAYRDYKKTTSPLIPLPPALYGNLPIWIKATFLFEFPFYSRSLPKAELSW >CDO98079 pep chromosome:AUK_PRJEB4211_v1:4:2656550:2669360:1 gene:GSCOC_T00022055001 transcript:CDO98079 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLPSREGNLFKLIVKSYETKQYKKGLKAADSILKKFPNHGETLSMKGLTLNCLDRKPEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLDGFVETRQRLLTLKPNHRMNWIGFAVAHHLNSNGSKATEILEAYEGTLDDDHPPDNERCEHGEMLLYKISLLEESGLLERALEELHKKESKIVDKLAYKEQEVSLLTKLGFLEEGEKEYRLLLSMNPDNYRYYEGLQRCLGLFSEKGHYSSDEIGQLEELYESLAQQYNKSSAVKRIPLDFLEGEKFQKAVESYIRPLLTKGVPSLFSDLSPLYDHPGKADILEHVVLGLELSLKTSGGYPGSLDKEPPSTLMWALFYLAQHYDRRDQQDIALAKIDEAIVHTPTAIDLYSVKSRILKHAGDFLAAAAMADEARCMDLADRYINSECVKRMLQADQVTLAEKTAVLFTKDGEQHNNLYDMQCMWYELASGESYLRQGDLGRALKKFLAVEKHYADIVEDQFDFHSYCLRKMTLRTYVEMLKFQDRLHSHTYFRKAAFGAVRCYIKLYDSPSKPSAEEDDEMSKLPPSQRKKLRQKQKKAEARAKKEAEIKTEESQASNASKSVKRHVKPVDPDPHGEKLLQVEDPLLEATKYLKLLQEYSPDFLETHVLSFEVHMRKQKRLCALKAVRNLLRLNADHPDTHRCLIKFFHKVGSLPAAVTTAEKIIWAAIEEERLTYGQLREKSLLEANASFFETHKDSLMHRAAAAEMLFVLESNKKDEAVKLIEESINEPLSIKGLLGPARKWKLIDCIAVHSVLEKIFDDQDAALRWKLRCAEYFPYSTYFGGKKCSAITKLAHHQTEETSENAGTVNPHATEFSTNGNLEKSEGSKDLAGQLHSSLVLS >CDP16525 pep chromosome:AUK_PRJEB4211_v1:4:16348328:16349703:1 gene:GSCOC_T00018889001 transcript:CDP16525 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQNGIDDIVLTLSLSLVAFFVLCNHVCLARNFLAFPTDTWNLSVVFLFALFLCCACLSLC >CDP15016 pep chromosome:AUK_PRJEB4211_v1:4:9267034:9268035:-1 gene:GSCOC_T00042546001 transcript:CDP15016 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGGCCIARYAGNTYDMSKMDRIMLRYRPIAPKPATNSSCSGSSTAENSGAYVKTGRAKRRYVRNSGKKKIASFSNGGVTKKCKCARKRKSPSPEENESMNGGRSTVSTGSVSGGDGVGVGVVTLPLLPETPETKQRSSSSSQERPARGASSRGVEKAKVPMWLNFEGGGSSQGDGKAGGMVAQPVRVVGTWVRVECVTETWAGVGLDDVYGGGLGCTDQEKLMNLDRDTCPGFVTEGLSRVVWVNRAYRAMVVGQEEAESSEEEVVVWLVMKEGFELPPKNWPAFTCRVRVVTCGKGNSSLTLPCDVWRLGGGHGFAWRLDTKAALSLGR >CDP16415 pep chromosome:AUK_PRJEB4211_v1:4:17601333:17603324:-1 gene:GSCOC_T00018287001 transcript:CDP16415 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRAFHLVLFLLFCVAQVSSDLHSTVNEEEPAHISQAFHVFTRGAERRLVQGIALRLSKYLNIGQHLPPAPAPAPAPAPHYAHLDCGGLCSHRCSLHSRPNVCLRACGTCCVRCKCVPPGTFGNRELCGKCYTDMTTHGNKTKCP >CDO98318 pep chromosome:AUK_PRJEB4211_v1:4:4573592:4575975:1 gene:GSCOC_T00022376001 transcript:CDO98318 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRAKTDYESLRKARILENQARLASLGVQKAVSELRSLTSSAKSGKRKWHKVDYSSTPLRRSNRLKGKSCISELSVKGESGLSQLSDVEDDGEKKRPANAPLMRVKRGEIGRLSPDALALRCSSKGRGSLYDPTYGICCHFCRQKKLCGEEDCRRCGDLDMDQPCIGKTDCSVCHSSNGVLCRACLKVRYGEEIEDVRANQEWMCPHCVEEKGTKPYWICNSSFCLKKRNMAPTGIAIFKAREMGYESVAHYLMDRLRKADKRGG >CDP18515 pep chromosome:AUK_PRJEB4211_v1:4:18477051:18486644:1 gene:GSCOC_T00003548001 transcript:CDP18515 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVSATSLPSRKWAKKYPIKVENKETTLYKGSTTFYIYLETSWEKESWCKALRLASSDDKEKLKWFAKLNLEFQRYVASLGAEYPSFMKPSVGLSNDLNDKLIKLDGSSSKVRQFLKKLAKKGSKSGLDNKASWTPISAQEERKFGEKSRSYYDSGVANMVIGGKLPEDVIVSSSLSTSRDLGSRSHISVSSDGDSDEKTFGDEGTLCWNLLFSRLFFDAKSNARLRSSVQERIQKLLSNMRSPSYIGQVICTAVDPGNLPPYIHAMRVLPSHMNELWAFEVDIEYCGGAILNVETRIEVRELHVQEGEDKLFESSAVGQVTSDLLESFEHLGKNLKVSEEKEKADQLNKRDEGEAGVDGSRNQKNALRGSSQVSRWKSVLHSIAKQVSQVPLSLGIRVSWLRGTMRLYIKPPPSDQIWFGFTCMPDIDFNLESSVGDHKITNGHLALLLISRIKVAIRDTLVLPNCENICIPWMLAEKDDWVPRIAAPFLWNRQEAAGNSTTKPEQTAQSLEENLTAEANKRIPNTCSEGRLSKSNEVGPEKHPENGLSEHYASSAPTEHSTGRSLHELTTPLLNIDEQGEIARRSVEENPQCNVPSPSLILTGQNSYNSEEEDAKHKRIGTRARMLGFGKKMGEKLEEKRKHIEERGRHIVEKMKGPQLS >CDP18016 pep chromosome:AUK_PRJEB4211_v1:4:10641807:10646355:-1 gene:GSCOC_T00008915001 transcript:CDP18016 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRVQNHALDLVVPAGENALLIRVDEKNSACCTHVPRQISKSDPITLLLDSWITDYESLHTQSNEPLEFSLSRISQTKEGRTSIAFDHSHLKSKNNTLSILFAEENCKKSCILQDIIQYFDTNRDAVYYFQDPISGHIYFDTCTNCEECLLAEQLECDVLDLYSKKNRSKRSKPIDLVPFEPRPCKPDPEPRQLDADDFTSCRSSFDGYEIPTSWKQTQEWKPVQTVQTQKFSIPTFEPMASAQPTECFMFQEADFPPLESFVKNDFKHTPKIQTPAPVILPTGETQRTNLADEVLNWQIENSLVQNIALTTIHHNVSEVHKKVNHIDTTVSSGFGTIPLRNWPTPFYFGNITTPNPSVFFPDQPQPASKPFDIAAIEAEQRKAEEDKKRKAKVIADQPVASLMYTANEATKVYDNPFSSMLEDLQQDSVPYITTYTKTSDPVSPESESSEDTLLDTRFTKYQHACIATVETTLNVGTIFVTLFPNFNMSLADPHLLDTLRGRSVNH >CDP15052 pep chromosome:AUK_PRJEB4211_v1:4:9708556:9712547:-1 gene:GSCOC_T00042595001 transcript:CDP15052 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTNNERITHLVIPFIYLLMLSGLSSVSSAFSQPNLQGTDNPGSRTLKQEDPPVHEVHCSRERSRAAWNIIDQYLMPFVEKEKYQLSSQCRLNASNDLFRDQEEHKIHYDANEWRCGYCKKIFRAEKYLDQHFDNRHSNLLNSNGKCLADVCGALHCDLMMDSKPRKSKCNPAAAAKNRHLCESLADSCFPVNQGHSATRLHDLFLRQFCDAHTCSGGKKPFSRGGRKHTNVFYLAISILTMMLLPIFYLIVYLYQREMRKGTQELKRISKIGQKTKPS >CDP12698 pep chromosome:AUK_PRJEB4211_v1:4:11303795:11304265:-1 gene:GSCOC_T00037295001 transcript:CDP12698 gene_biotype:protein_coding transcript_biotype:protein_coding MFYPIFFSLFTLILLWFLFKLFSTSRKNQPPSPPGLPIIGNLHQLSSLLHHSLHSLAQKYGPLMFLKFGSVPTVVVSSADGASLIMKIHDLIFSNRPFSSTTSKLLYNMKDISVAPYGEYWRQLKSICVLQLLSNQKGSFISQYKGTGNVDYDAED >CDP12681 pep chromosome:AUK_PRJEB4211_v1:4:11084979:11085926:-1 gene:GSCOC_T00037270001 transcript:CDP12681 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVKGKTMLSGVPENIVSSPASGESIFNGPSSTSLKSRRVFNLGVLDGYRFLCNVRFKIWWMIPSFGNQVVRFLWKLRCFSWRALKRLSSMMKTSLQGSSDNQLQLNFHTLNFHFSNAPGDPNVQTCEVKEALFINSGVNPCELIKNSIKILSKHKGTFSHVDGKKVQIAAVAFNRLFEGEIVAVDWDIFQVRYL >CDO98412 pep chromosome:AUK_PRJEB4211_v1:4:5253474:5263122:1 gene:GSCOC_T00022494001 transcript:CDO98412 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQPLLFLHLLPVFISFVFMKSCAGNNNSSIWPHCPASKCGNIEVKYPFWIKSNDTAIQYCGYEGFGLDCTPSSAPGNYNLTLHLPPVDFHVQNINYPDYKLTLVDADVTTNLSCPRPRHNLTLEDLPLNYSTQDLNLTFYFNCTVPSLPFSAYPVDCLKSGGNMSFVSLETGTKSDPDWFKFCEEKVVVTVTKGEIEGMINWNDNRIRESMFAGFVLDWYRGFECAGCENSGGRCGHNNTTTEEFLCFCNDGTITHDHCKGTLHFLESIERFFTVTILPSYKCHCIQIIAILFTILMDGIACFYTNSSACEPQNCGNGLKIEYPFWIPGRQESYCGSPMFNVTCRDRNPILKISDDDYIIRDIFYTNDSILLSPAELYNVSDQCPAPQHNFSTGGTPFSYGPETVDLFFFYNCTEPYQEETYPLDCACNASHHAFAVFHTEILDHRNHSEQSCQPPVNAPVATDSLKRLLAMNYTDVLKKGFVLQWDGDSCSKCRRSGGECGSYYDDFVCYCDDHIHPKTCDDGGGSNLRLKIGIGFGAAAGSALILCVIFFVYQRRHKNRDSGSTLISRDISSYSSSVIDPERASGYLGVHIFAYTELEEATNCFDPNKELGDGGFGTVYKGKLRDGREVAVKRLYESNFKRVEHFRNEVEILTRLRHRNLVSLYGCTSRHCRELLLVYEYIPNGTIADHLHGPLARPGSLPWSTRMNIAIETASALSYLHASDVIHRDVKTNNILLDNNFCVKVADFGLSRLFPINATHVSTAPQGTPGYVDPEYHQCYHLTDKSDVFSFGVVLIELISSMPAVDITRHRHEINLSNIAVHRIQNHLLHELVDDNLGYGSDYKLTAMIEDVAELAFQCLQYERDMRPTMQEVLQALLEIQNKDYNAEKKEEMDNQADDVVLLKINQLTSSPDSILTNSVSSSTTTSTST >CDO98482 pep chromosome:AUK_PRJEB4211_v1:4:6033502:6041183:-1 gene:GSCOC_T00022593001 transcript:CDO98482 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGASSVAPMVTDKGKKVETETTDAARGVEAYIGSLISLITKSDIRYEGFLFHLSPQESIIGLRNVKSFGTERQKMDGTQVAPSDRLYEYIYFRGTDIKDLQVISFPHVQSTPTLPDDPAIIQPHHSNPPSTNISLASSSALVGSNPSSNSHLGVNVLPPEGNTAPRRSMELSDSWASVIPLPPVNINGPSMQSYWPGFTGFPGEKSHLQQITYPPQPQGLLLPNSIQQQLPHPSVNASFPGNALNFIELPQNLLPPACFGSVNLISTSPLPSTVQYSHIPLSTLLSNLSSTPMSSNISNALPTDQPLSMEVVDSGSEKEPSLVIASNVNSAVPPSNNQAQSLLGPAPFPLISKFTSSFVSSSTTPHVRGSNVSPAIPGSFWERRPVNALSPHNCTDGNSNLGSPSNHQPSDLQNIEELAIELPKSPARKLYDPASSSHPGSQHHGQVQGRGRGDLVHFMPFLIDFPFHRECCILQLEGFSYISFCAFSLVQIYGDMHLRYQSNRDRMLGRGRGNLPNRAFSHNYQTCRVFCSYSTYHNQMFFGCLIFQLIGTASYRHQAPRGQGRANAADANFTEDFDFEAMNAKFNKKEIWGLLDKAKQGGSEDNKEDEIGRKGKEAVDESGNGLPKLDNKSAYYKDDFFDSLSCPTLDGESYRGKVSELRKTDDEKIGEILQHRRDHGIQGPYRGGNSQGSYRGRGYYNAGRGRAKAAWSRAT >CDO98614 pep chromosome:AUK_PRJEB4211_v1:4:7403120:7403951:-1 gene:GSCOC_T00022771001 transcript:CDO98614 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSFSGILSYIESTAEEKLKDNECTPADLCYSLQETLFAMLVEITERAMAHCDKKDVLIVGGVGCNERLQEMMRIMCSERGGKLFATDERYCVDNGAMIAYTGLLAYAYGTSTPLEESTFTQRFRTDEVLANWRGKESSKANSHMP >CDP12729 pep chromosome:AUK_PRJEB4211_v1:4:12172374:12174221:-1 gene:GSCOC_T00037353001 transcript:CDP12729 gene_biotype:protein_coding transcript_biotype:protein_coding MENCKPAFWPIYAIVLMGAALYCFYIFSDVYRLPSSSFSLLPITPAKMQESNSSLPLAPISRLQNKLVNPIWQVPPAGSKMPPLKAFKLSKKLVQQRVKDNIIIITFGNYGFMDFILNWVKHLTELSIENLLVGAMDSKLLEALYWKGVPVFDMGSQMSTIDAGWGSPDWNKMQRERIILIDALLPFGFELLVCDTDTVWLKNPLPYLARFPDADILTSTDQLVPTVVDDSLDFCDQIHVHYNVGIFHWRPTNSSKKFVKEWKELILADQNIWDQQVFNDIIRRKLGPYVDQDSKLIYAYDGELKFGCLPPNIFCSGHTFFVQKMYQHLELEPYAAHTTFQPCGTEGKHHRFREAKLLYDPPSYYDAPEGFLTFKPSIPKNLLLDGEHNIESHFVLVNYQIKQIRTAFAIASLLNRTLIMPRIWCRMDTLWLFRPGDMVGSIMRQPFVCPLDYVFQVDVIMKGLPEDEFGPSIRIKEYSILDNPSMPQKVKDSWLDVFLCQEGSHGCQVSSSATTNRTGVVKFPKNSSEETYRTVFSLFKDVKVLQFSSMQDAFIGFTDKLREEKFRKRMKAYIGRWCCVEDHFPGHIYYDIYWDEKPGWKPVPPQTPEDDHPPE >CDO97923 pep chromosome:AUK_PRJEB4211_v1:4:1570781:1572729:1 gene:GSCOC_T00021870001 transcript:CDO97923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 3 [Source:Projected from Arabidopsis thaliana (AT1G55170) UniProtKB/Swiss-Prot;Acc:Q9C717] MAGRNRMPREAFDNRRGYPLEGPVLHGPLPRPIPPHPALLEEELEMQHADIRRLLDENRRLVEDRVALQQDLGAANEELRRMNLILADIRAEQEVQSRELIERGLKLEADLRATEPLKIEAGQLRAEVQRLNTIRQDMSGQVQALTKDLTRLQADNQQIPRLRAELDGLHQELMRSRAAIDYEKKVNIELVEQRQAMEKNLVSMAREVEKLRADLANSDGRGWSAGGPYGMKFSSPEGGFPEPYGDGYGAHMGAANKGPFYGSSSASWAGVEKPRINRR >CDO98559 pep chromosome:AUK_PRJEB4211_v1:4:6876749:6877387:1 gene:GSCOC_T00022698001 transcript:CDO98559 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTSVLFILSFLVIFSISTNFFSSAAEAPEPVLDVAGKMLRTYLNYYILPANVFRGRYRGGGLTLSGIGNDTCPAGVFQETSQQINGIPLTFFPVNPKKGVVRVSTDLNIKFDYPDTCDESPVWSVDNYVYPSDDSFVNIGGVVGNPGPETLSSWFKIQKFGYRDYKLVFCPTVCSYCDVICKDVGITYQDGKRRLSLTTDYPHRVVFQQA >CDP14385 pep chromosome:AUK_PRJEB4211_v1:4:22805506:22809726:-1 gene:GSCOC_T00040762001 transcript:CDP14385 gene_biotype:protein_coding transcript_biotype:protein_coding MISAISWVPKGASKPVPVAAEPPSKEEIEEILKNDLLDNREEVGDDNDDDEGMDLDQSKQDAEVAQALAAADALGKGSKGTHPETDSIADALKELDMDNYDEEDDGVELFGEGLRTLYYPSNEMDPYLKDKDDEDSVDLDDTTISPEDSVIICARNEDDVWILEGSEEGDSNMYVHHDIVIPAFPLCLAWLDCPLKEGEKGNFVAVGSMEPAIEIWDLDVMDEVQPSAVLGGVVEKKKKKGNKKSVKYKDDSHTDSVLGLAWNKEYRNVLASASADKVVKIWDVATGKCSDTMEHHSDKARTKFYSSKFFLKSEKMMHLDLPCFSL >CDP14374 pep chromosome:AUK_PRJEB4211_v1:4:22397819:22398754:-1 gene:GSCOC_T00040732001 transcript:CDP14374 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKLHSYPVIIARRVRVNNYNGMALGTWFDSAILVDPPMQEARELKNWALRNTKLIKEIVEKRDYIKYNPLLSLKSDQKTTWICNITSSQKVWE >CDP15015 pep chromosome:AUK_PRJEB4211_v1:4:9257211:9260418:1 gene:GSCOC_T00042543001 transcript:CDP15015 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGTFSHSISAGRKTGMGYDVPEGVDIRGRYDPEFARILTRDALQFVADLQREFRNHIKYAMECRKEAKMRYNNGAMPGFDPATKSVRDAEWACAPVPPAVADRRVEITGPVERKMIINALNSGAKVFMADFEDALSPSWENLMSGQVNLKDAVNGTISFHDQARNRAYKLNEHTAKLFVRPRGWHLPEDHILIDGEPATGCLVDFGLYFFHNYAAFRENQGEGCGPFLYLPKMEHSREARIWNNVFEKAEKMAGIQRGSIRATVLIETLPAVFQMDEILYELRDHSVGLNCGRWDYIFSYVKTFQAHPDRLLPDRVLVGMAQHFMKSYCDLLIRTCHRRSVHAMGGMAAQIPIRDDPAANEAALELVRKDKLREVKAGHDGTWAAHPGLIPTCMEVFTNYMGNSPNQIETMKRGDAAVITEEDLLQIPRGVRTMEGLRLNTRVGIQYMAAWLTGTGSEEMARIEREVGKDKFKTGKYKEACRIFTQQCTAPALDDFLTLNAYSHIVMHHPDGSSRL >CDO97972 pep chromosome:AUK_PRJEB4211_v1:4:1929636:1932034:-1 gene:GSCOC_T00021926001 transcript:CDO97972 gene_biotype:protein_coding transcript_biotype:protein_coding MPVESLFKEVEVPQQKNYKEIIFPRVLSPNPNGLPSSSPTLPLLTDAIKESKPWLESLLHQSGALLFRGFPLTTASDFNNVVEAFGFDELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPEYPSKLFFFCEVEPGTGGETPIVLSHIVYERMKEKHPEFVEKLEEHGLIYIRVLGEEDDPSSPIGRGWKSTFLTKDKSVAEERAAKLGMKLEWTEDGVKTIMGPIPAIKLDKTRERKIWFNSMVAAYTGWEDKRNDPVKAVTFGDGKPLPAHIIYDCLRILDEESVAIPWQKGDVLLIDNLAVLHSRRPFNPPRRILASLCK >CDO98064 pep chromosome:AUK_PRJEB4211_v1:4:2545454:2548949:1 gene:GSCOC_T00022040001 transcript:CDO98064 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPFCSRRAISTYPSLRQRFKQTEYDIVRMFQLATPKDEAPNFAVSRPAIRKSRSKRTLDERFIRILKIFKWGSDAEKALEVLQLRVDHRLVREVLNIDVEINVKMQFFKWVGKRRNFEHDSTTYLALIHCLEEAGRVGEMWKTIQEMVRGPCVISPVDLSEIVRTLGRAKMVNKALTVFYQVKARKCNPTASTYNSMIMMLMQQGHHEKVYELYSEMCNEGNCLPDTVTYSALISAFSKLGRDDSAIRLFDEMRENGLHPNSKIYTTVLGTYFKLGKVEKALRLVQEMKETGCAPTVYTYTELIKGLGRAGRVEEAYSVFLNVLKESCELDVVLINNVINLLGKVGRLADALSVFEKMGSLKCTPNVVTYNTILKSLFQSKAPVSEISSWFEQMKASGVAPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINSLGKAKRYEAANELFQELKENSGSLSSRVYAVMIKHFGKCGHLAEAMDLFNEMNKVGCSPDVYSYNALMSGMVRAGMLDETLSLLQTMEENGCNPDINSYNIILNGLAKTGGPERAIEMFTRMKSSKIKPDAVSYNTILGSLSRAGMFEEAARLMKEMQVKGFEYDHITYSSILEAVGKIDEDRWCISHSSIMLLGNICFCLV >CDO98226 pep chromosome:AUK_PRJEB4211_v1:4:3773759:3774865:-1 gene:GSCOC_T00022251001 transcript:CDO98226 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSSLLKKHENQELDWLEWLRGWSRLLSEGLFQKIKAKHLPRKYPLPQLSGLSCVVTGATSGIGLEIARQLAESGAHVVMAVRNPKAAHQIIEKWNDRQPNSRHLDVDVMEINLLSLESVVKFAESWNSSMKPLHVLINNAGIFSMGEPQKFSRDGYETHLQVNHLAPALLSVLLLPSLQRGAPSRVVNVNSLMNVVGFVDPNDMNFSSGKRKFTSLRAYSGSKLAQVMFTSILARNIPQGIDALCVEPGSVRTNVARDLSKVVQIAYQCMPSFLFDAQQGSRSALFAAIDPDIPKFCGKLKAEKLAVCAYFSYSCRPVKPAKAAYNEKTSDLVWEKTLDMVGLPSDAVEKLLEGKEIHCSYGSL >CDP12426 pep chromosome:AUK_PRJEB4211_v1:4:20492319:20498316:-1 gene:GSCOC_T00035961001 transcript:CDP12426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate/phosphate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G33320) UniProtKB/Swiss-Prot;Acc:Q8RXN3] MQGTAISFSPSISLPNKPNSISTHRHGFLQSPRTELPLHFNPLLKTPSVSSRKLITPIKCTSSVNPISSGWISGPPPEPESDDNVKVRATSVPESAPGQPEGKALVDTLVLGLLFGLWYLFNIYFNIYNKQVLKVYPYPVTVTVVQFAVGTVFVIFMWLFNLYKRPKITSGQLAAILPLAVVHVLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVALSAMFLGEMPTIWVVSSLLPIMGGVALASMTEASFNWAGFWSAMASNLTNQSRNVLSKKFMVKKEESLDNITLFSIITIMSFFLLAPFAIFAEGIKFTPAFLQSAELNVRQVYTRSLIAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVLFFRTPVSPINSLGTGIALAGVFLYSRAKRIKPKPKTA >CDO98217 pep chromosome:AUK_PRJEB4211_v1:4:3709348:3711901:1 gene:GSCOC_T00022238001 transcript:CDO98217 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTQKQLSTKEADIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIHIINLAKTWEKLQMAARAIVAIENPQDIIVQSARPYGQRAVLKFAQYTHTHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALSNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGVIPQGHKWDVMVDLFFYREPEEAKEPQEEEAPAPDYGDFTSAALGVQDSWGTSNIPEGEWTMAAQPPIPPAVPAAGWPGEPVPAVSTDGWEATAAPPTAPGVNPVAPPGGDWE >CDP15057 pep chromosome:AUK_PRJEB4211_v1:4:9766543:9768983:1 gene:GSCOC_T00042602001 transcript:CDP15057 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYYQLASSSSFQESILALEADIRHANALAASISRTSNNACLHLRLVYNNLAPLFLFLLQWIDFSCTCLLPSYLNLFHIVVYEVTSDGKQMFASHGRRATVREFYAVILPSLQCLGDNSPELLSDKDKGQSLKVIVRNKIGGSNKQVDGDLEREDECGICLEPCTRMVLPNCCHAMCINCYRDWNLRSASCPFCRGSLKRVNSGDLWVLTCEKEVADKDALLREETLRFYLYINSLPKDTPDALFLVYYEYLI >CDP12689 pep chromosome:AUK_PRJEB4211_v1:4:11145168:11164215:1 gene:GSCOC_T00037280001 transcript:CDP12689 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVCEGKDFSFPNQEEKILEFWSEVKAFETQLEKTKDFPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQSMTGHHVTRRFGWDCHGLPVEHEIDLKLGIKSREDVLKMGIDKYNDECRSIVSRYVEEWEKTVVRVGRWIDFRNDYKTMDLKFMESVWWVFAQLYNKGLVYRGFKVMPYSTGCKTPLSNFEANSNYKEVPDPEIMASFPLADDEEGTSLVAWTTTPWTLPSNLALCVNKDFVYVKVRNKFNGKIYVVAESRLSELPVEKAKKGVPNGPVNDSESTNPKTKSSGGKGKDLATYEVLEKFSGSSLVGKKYVPLFDYFKDFSDVAFKVVADDYVTADSGTGIVHCAPAFGEDDYRVCMENHIITKGENLVVAVDDDGCFTERITDFKGCYVKDADKGIIQAVKARSSRLVKSGSFMHSYPFCWRSDTPLIYRAVPSWFVAVEKIKDQLLENNKQTYWVPDFVKEKRFHNWLENARDWAVSRSRFWGTPLPLWISEDGEEIEVMDSIEKLERLSGSKVTDLHRHKIDHLTIQSRRGPEFGVLRRVEDVFDCWFESGSMPYAYIHYPFENVELFENNFPGHFVAEGLDQTRGWFYTLMVLSTALFEKPAFRNLICNGLVLAEDGKKMSKRLKNYPPPGEVIDEFGADALRLYIINSPVVRAEPLRFKKDGVYGVVKDVFLPWYNAYRFLVQNAKRLEVEGLAPFAPMDQCTLQNSSNVLDQWINSATLSLVHFVRKEMGAYRLYTVVPELLKFLDNLTNIYVRFNRKRLKGRTGELDCRVALSTLYHVLLTSCKAMAPFTPFFTEVLYQNMRKVCNGSEESIHYCSFPEVEGEGGERIEKSVSRMMTIIDLARNIRERHNKPLKTPLREMVVVHPDAEFLGDIAGKLKEYVLEELNIKSLVPCNDTLKYASLHAEPDFSVLGKRLGKSMGVVAKEVKAMSTDDILAFEKAGEINIATFSLKLSDIKISRGFRRPDNISDKDMDAAGDGDVLVVLDLRQDESLFEAGVAREVVNRIQKLRKKAGLEPTDTVEVYFESLDEDKSNSQQILEAQEANIRDALGCPLLPSTLIPPHAVILAEEKFYGISNLSFAVRLARPSLVFVPDAIVSLYAGNLKFAQGLQAFLLMRDLSNLKSEFQLGNGKIQVNCIENQPPVEVVLREHVYLSVGDYYLLGTKASQSN >CDP16922 pep chromosome:AUK_PRJEB4211_v1:4:13326667:13333754:1 gene:GSCOC_T00005274001 transcript:CDP16922 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSISTPHTTEWKFSQVFGEPAPGEDVQQTDIISAIEFEKGGDYVAVGDHGGRVVIFEKRTPKDDSLEYVTRNELEQNDFMIRHPPNYQYKTEFQSHEPEFDYLKSLEIEEKINKVRWCMSPNGSLFILSTNDRTVKLWKVKESKVKKVKEMDLDPFVASENSLLAEKSFSSIPETTPLSNGVEWADKTFNGAYSSVSHTKVPKFEDSPPARCRKAYAHAHDFNINSISNNSDGETFLSADDFRVNLWNLEISDQCFNIIDMKPPNMEDLTEVITSAEFHPFHCNLLAYSSSRGYIRLVDMRKSAICDQSSRILHDGASNGSKSFFTEIVASISDLKFASNGRHILSRDYMSLKLWDIHMENSPVATYKIHEHLRPKLSDLYNNDALFDKFACCLRGDGLQFATGSYSNQVHIFSHGGGVEDITFEASKNPNRHLSF >CDO98282 pep chromosome:AUK_PRJEB4211_v1:4:4193555:4193965:-1 gene:GSCOC_T00022324001 transcript:CDO98282 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLLASRTLLRSEKLRPGNFTLLLCRSIISTIHFPNPEDAIEVFIDGYPVKIPKGMTVLQVNIPRFCYHSRLSIAGNCRMCLVEVEKFAKPIASCAMLALLLN >CDP14372 pep chromosome:AUK_PRJEB4211_v1:4:22379373:22381356:1 gene:GSCOC_T00040729001 transcript:CDP14372 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCKAESAILTSNSHLPASSKKAHQVNSQEKTKEKPVKIQEFDYSDLEAATNGFSEQKLLGRGSHGLVYKGVLRSGRLVAIKKPARGSRISSTSENSEVENEIDILSKLQSPRLVNLVGFTNSDSHGRLLVVEFMSNGTLYDVLHSNSRPPTWGRRIKLALHTANAIDTLHSSSPPVIHRDIKSANVLIDRNFNARLGDFGLALRCHVDDYRLRSTPPAGTMGYLDPGYVTPDNLSTKTDVFSFGILLLEIISGRKAIDVGHSPPSIVDWAIPLIRRGKLVSIYDPRIPPPRDPLVRKQLAVVAAKCVRSCRERRPTMKEVVECLSGLSKLVPLHSWNGLTNPCLMVETVGRPVESRSNNVNVKEKGDEQGNLDGEDGASLARALRNSRRVYSDLGFRSNLMDLLNGIHGESEFPEDNSGIKPCEQPESGGFSCRFESEKFVGRKSNLSLVCGIDKLGASQLSRNHTVSENTSDKHSEGNATVL >CDO98202 pep chromosome:AUK_PRJEB4211_v1:4:3622244:3627636:1 gene:GSCOC_T00022222001 transcript:CDO98202 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRWKESLTTRPLDPSLPSLHQRGIGGSDHLPPSVFGQEMKGEDAKDGTQLQTEFVRIYSTRELGEKLRALRPERRDKKDWFSLEELSERLVKLRKMEEEEINAKPAGATWMTLRKSLQAISETQSEPKKHNIALLGQLAGPPLYMSSPPKEELYFHPDHMSAAEKLKLELKKVRDKFKLSESDCGSARVQVAQLTTKIKHLSTVLHKKDKHSRKGLEEMVQRRKKLLKYLRRTDWDSYCFVLSKLGLRDSQDDYAKKKNYPSKKDHSGKKKALKN >CDP17007 pep chromosome:AUK_PRJEB4211_v1:4:15633203:15639419:-1 gene:GSCOC_T00011375001 transcript:CDP17007 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFFRNTSLSQLRLQPQKSDPFALSRRGLHVEPGPREKALLAEDPALKQFKSHKKSVKRIKRVGDVLTIVVVAGCCYEIYVRAVMREEARKQAKESA >CDP12427 pep chromosome:AUK_PRJEB4211_v1:4:20502225:20504987:-1 gene:GSCOC_T00035962001 transcript:CDP12427 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISLVIERTVDLLIQKIVFLKGVRRQVERLQNDLVRMRCFLKDADQRQTEDERIRNWVSEIRAAAYDAEDVIEIFASKVEFFTKDKGLVTKLTYYPLKIVNLYKTGKEIESLRMRVKEIADSRQEYGIKNLGEGTNTHGEELQRLRRSSPLSEDMDIDENRRVVSIIGMGGAGKTTLAKKVYNHADVRARFNCRAWVCVSSSYDYKKILSAIIKQLNEMSKELLDVLEKMEEEDLERRLYQDLQDKCYLVVLDDVWKEEAWDCLARRAFPDGSTSSRLLLTSRNRDVVQHADALSKPHELKTLGQEDSWQLFLRKALGHGDNAGCPPDLEEVGREIARRCAGLPLAITVIGGLLRAKKKLKSEWEKVLNNFNTNLSRGQVGVSAILELSYADLPANLKFCFLYLGLFPKDYVISVRKLIHMWVAEGIMQKRDAENLEETAAYDDVEELSGRNMVQVAEMTVDERIKSCRVHDLLRELAIRKAEDENFFQIHHTRDDKISAKSSLQKLGIWVDDRSDIDKLCMHLSEVISLKTLHLCCPGGSGKQPQSLGGLSKLHHVTELKLSGLRMLPPYFPPNLSRLSLKSMDLMDDPMPVLEKLGQLSFLKLEVAYVGPQLVISRQGFHQLKFIELSNLRVDEIKVETAALPQLQCLRIRSCRSLWKLPEELKQISTLDALELVNMPEDFISRLDADMASGVPNLRILDYSFPQRSRRLSLLEQITAHARVIRAGVLFQPAAQPS >CDO97921 pep chromosome:AUK_PRJEB4211_v1:4:1561723:1565910:1 gene:GSCOC_T00021867001 transcript:CDO97921 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCTGNLLATAILLSAIIFYRKLKLLSSYTTPRLPLGTLGWPFLGETLDFISCAYSDRPETFMDKRRLIYGKVFKSHIFGNPTIVSTDAEVSRSILQSDEKTFVPSYPRSLTELMGKSSVLLISGNLQRRIHGLIGAFCKSSHLKAQITCDMQKYVQESMKSWSEDHPVYIQDQAKNIAFQVLVKALISLDPGQDMEFLKKQFQEFIAGLMSLPINVPGSRLYRSLQASPMNRKKMVNLIHRIIQDKRSSLDSSFPKDIAEILLSDGSQQLTDDVISDNIIDLMIPGEDSVPVLMTLAIKYLSDCPTALQQLTDENLRLKRIKENLEEPLLCWRDYLSLPFTQNVITETLRMGNIIIGVMRKATKDVEIKGHFIPKGWCVFTYFRSIHLDEDAYDSPYQFNPWRWQERDTSGSSFTPFGGGQRLCPGLDLARLEASIFLHHFVTQFRWAAEEDCIINFPTVRMKRRMPVWVKRRRDDSCSKPKH >CDP12734 pep chromosome:AUK_PRJEB4211_v1:4:12308094:12311076:1 gene:GSCOC_T00037361001 transcript:CDP12734 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISFVIERTGDLLIQKIVFLKDVRQQVERLQNDLVRMRCFLKDADQRQDEDERIRNWVSEIRAAAYDAEDVIEIFASKVEFFTKDQGLITKLTYYPLKIVSLYEIGKEIESLRMRLKEIADSRVEYGIKNLGEGMTTHGEELQRLRRSSPISEDKDIVGFEKMAKSLVAELLKEDKSRRVVSIVGMGGAGKTTLAKKVYNYADIRARFNCRAWVCVSSSYDHKEMLRTIIKQLNPITKELLELLEKMQEQDLEERLYKDLQDKCYLVVLDDVWEVAAWDCLARRAFPDVGTSSRVLLTSRKRDVAQHADAYRHPYELKTLGQEDSWQLFLRKALGHGANAGCPPDLEEVGREIARRCGGLPLAITVIGGLLLGKNKSNTEWENVLNNFGAYLSRSQSEAGKISEAGAILELSYADLPANLKFCFLYLGLFPEDSVISVHKLIHMWVAEGIMQKRDAKNLEETAAYEDVERLCSRNMVQVAEMTVDKRIKSCRVHDLLRELAIRKAEDENFFQIHGTRDDKISAKSRYLAVHSLPLDENYFGSSTPPLRSLLFFNVRYYREKEIGLIFKSFRKLRILDFENVDMCYNLPKGIGEVRLLRYLNLRFTNIIKLPHSVGCLRNLQTLDMRNFRWPVEVSNFVWKLESLRHLYAHDISCDVPLKIEGLRNLQTLSGIRFEDVMHNNMITLTSLQKLGIVVDDRSDIDKLCMHLSEVGSLKALHLSFGGNAWPTLAGLSRLYHVTELKLFTSLFARTMLPPDFPPNLSRLSLKDIYLEDDPMPVLEKLGQLSFLKLESTYWGPQHMVISRHGFHQLEFLELNRLDCLVEIKVEEGALPQLRCLRIRYCRSLRKLPEELKHISSLDALELVDMREDFISGLDADLVSRVPNLRIF >CDO98221 pep chromosome:AUK_PRJEB4211_v1:4:3732506:3735406:1 gene:GSCOC_T00022242001 transcript:CDO98221 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEQPVAVETPAPALGEPMDIMTALQLVLRKSLAHGGLARGLHEGAKVIEKHAAQLCVLAEDCNQPDYVKLVKALCADHNVNLISVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEETEGLHIVQEYVKSH >CDP16997 pep chromosome:AUK_PRJEB4211_v1:4:15236153:15242391:1 gene:GSCOC_T00011361001 transcript:CDP16997 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENILKKWIPELHHFAPGIPVVLVGTKLDLREDKHYLADHPGLVPVTTAQGEELRKQIGAAFYIECSSKTQQNVKSVFDAAIKVVIKPPQKQREKKKQRRGCLVNIFCGRSLVCLK >CDP18402 pep chromosome:AUK_PRJEB4211_v1:4:24470536:24471021:-1 gene:GSCOC_T00004740001 transcript:CDP18402 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRDEKNRQSSISSLNDPSSKVKVLFASTKACSEGINLSGASRVVLLDVVWNPAVERQAISRACRLGQKKSVYVYHLITSGTLEVEKYAQQTNKDRLSDLVFSSQDRKRNKSRISSVFKDKILEGMLDNKMLNDIFENVIHQPKESNAFSNFNYVEHKQ >CDO97829 pep chromosome:AUK_PRJEB4211_v1:4:817756:820027:1 gene:GSCOC_T00021743001 transcript:CDO97829 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPENDAVTVASAEKIILRWDSTASEDARDRMIFDGDRYEIDKYLQAVDEIQRSMESASISDDSAKANSAIQIAMARLEDEFRNILIAQTSPLEADTLTDPSSCSFRSTASIGSSDSHLSLPPPLPPHTEEDDFTETSSSTHASDTPRRKEFDHQESGSSTSYRSTNSIREIDLIPPEAICDLRSIAERMIAAGYLRECVQVYGSARKSAVEANFRSLGIEKLSIGEIQRLEWESLETKIRRWIRAAKVCVRILFASEKRLCEQIFEGLGTASDDACFMETIKGPAVQLFNFAEAISISRRSPEKLFKILDLHDALSDLLPDIEVVFESKSSESIRVQAVEILSRLAEAARGILSEFENAVLREPSKVPVPGGTIHPLTRYVMNYISLISDYKQTLIELIVSKPSTGSRYSGDPNTPDMDLTEFEGKTPLALHLIWIIVILQFNLDAKSKHYKDASLSHLFMMNNVHYIVQKIKGSPELREMIGDDYLKRLTGKFRLAATNYQRSTWVRVLYCLRDEGLHVSGSFSSGVSKSALRERFKTFNAMFEEVHRTQATWLVPDVQLREELRISVSEKLIPAYRSFLGRFRSHIESGRHPENYIKYSVEDLENAVLDFFEGYPVSQHLRRRSQ >CDO97924 pep chromosome:AUK_PRJEB4211_v1:4:1578542:1581841:1 gene:GSCOC_T00021872001 transcript:CDO97924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 2-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26160) UniProtKB/Swiss-Prot;Acc:Q8LEK4] MLRNPVIQYKSGDVLQFSIRSARICYHLQVGTRSKLRGWDQNIRCSLFLKPRLDCVRTRPLVRFKVRAVAEETDQPKWWEKNAGANMVDIHSTQEFVDALSQAGDSLVIVDFYGTWCASCRALFPKLCKIAEEHPEVLFLKVNFDENKGLCKSLNIKVLPYFHFYRGADRLVESFSCSLAKIQKLKDAIATHNPTWSGGKYS >CDP16926 pep chromosome:AUK_PRJEB4211_v1:4:13398480:13406716:1 gene:GSCOC_T00005279001 transcript:CDP16926 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 50 [Source:Projected from Arabidopsis thaliana (AT3G06980) UniProtKB/Swiss-Prot;Acc:Q8GUG7] MAAASLSSSLPINFQIDRKLSFNLQLINHQQRAMKVKMVVRAGRYTRLSLDTPGAYQLIDNETGEKFIVWGGEENDPPNSPIPSQQVLSWEPFSSNSKRRGNSDGADDSVGSPAGGGGLARSFGRLKAQRVKALVRRSHRKIQETNDLDHLEQNAHITRLQLAESKDVLQNILTNDHKEHHEISFRRETGQLPKDQCTPTEDQLSDFSSAESDVSVSRASSTTLRGWGSRESRDIYRQANNSAKRSNMNDKHNFFSKKSFKYLGCRDYVIECLRGLHFLQPSHIQAMAFEPVFGGKSCIIADQSGSGKTLAYLLPIVQRLREEELQGISKSMSNSPRVVVLVPTAELASQVLSISRSLSKLGIPFRSMVATGGFRQKTQLENLKQEQDVLIATPGRFMFLMKEGFLQLKNLKCAVLDEVDILFNDEDFELALQCLMNTSPITTQYLFVTATLPVEIYNNLVEAFPDCDVIMGPGMHRTSRGLEEILVDCSGEDPAEKSPETAFLNKKNALLHLLEENPVNKTIIFCNKIETCRKVENVLKRIDRKGSMIRVLPFHAALDHETRLANMEEFRGSQMKDSASFLVCTDRASRGIDFAGVDHVVLFDFPRDPSEYVRRVGRTARGAGGKGKAFIFVVGKQVSLARRIIERNRKGHPLHDVPSAYELMT >CDP15044 pep chromosome:AUK_PRJEB4211_v1:4:9639315:9647462:1 gene:GSCOC_T00042585001 transcript:CDP15044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MGEVEEKAVLEKVGNVVASINGSKHVDQVISAVYSLAALLFPLETLSFIGSISEKYRDEVRSAEALSENQRKEWWKVFYKGAAFPALARVLLYDVASDWLVCFPISARKNVYDVFFVKGRMTEVVQAMVPCLQNRGSTRYDTSAVYSNAERLLVLCLVENDGAFQMVKEFNFQCESEELTGDKLKQAISMLAQLVTSIPDKARPGISSALSSHLFFKSITTQLLSGAEEWDKNLPDGVDSCNKIYTHDTIVFIGEIVARICRRGSADVLLSELIPRVLCQARNFLSGTANVSVNKTFELKPGLRFWSRVIEEIKDSYAVERLSEQLLHQLAAQNTNDIEAYWILWILFHRSYENQPLIRSMFVDKFLLWKVFPICCLRWILHFAILECSPDNTLLTKAYHAHRLVETMQRLVSVWSKREFVQSSRLEQQAYVTAALGLCLEKMSKEDLDATKDAIHSILQGVSCRLESPDHLVRKMASSVALVFSKVVDPQNPLYLDDNCHDETIDWEFKPTMSDRSSVAKSHHKDEEADKVKGLDMAKEANGVDDADMGKKVKGRKQKLLEFKLVDPDEVIDPAALNGELISDGEGDDFGSEDSDSLSDTSLEPYDLTDDDADLKRKFSQLVDVVGALRKSDDVDGVEGALNVAEKLVRASPDELKYVASDLARTLVQVRCSDFTVEGEEESAEEKRQKALVALIVTCPLESLETLHTLLYSATVDVSQRIMILDVMTGAAQELASMKFLKPEYQPRNLISSVSDKPWFIPRNIGPPGASSWKEISTPGTPLNWSYSYERELPPRPGQIQRGKTRRWSLQSSVNENQLEQSQNQFPQYAAAFMLPAMLGFDKKRHGVDLLGRDFIVLGKLIFMLGVCIKSAAMHPEASVLASPLLDMLRVREISHHIEPYVRRSVLFAASCILVAIHPTHVASALMAGNTEIPRGLEWIRTWAHDIAESDTDRECYMLAMTCLQLHSEMALQTSRALESTEGTFGTETLGLPSALASGMIKIPRV >CDO97889 pep chromosome:AUK_PRJEB4211_v1:4:1309089:1313968:-1 gene:GSCOC_T00021828001 transcript:CDO97889 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYKILQGGTGISNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADHMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYVIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLANPPSRALGTTVGTSSGMQVSAANHDRQQGGEDGRPTGWSTANQARSRNTGMQLNSGSFSRQKPNGLVTGKEVSSSMFLRSSGSSKRPAVSSSRDPAILVGNESEPSRTRTADASPGVLRKISSTAQRTSPVVSSDHKHSSSNVKIFESTIKGIEGLSFGNEETLHH >CDP20642 pep chromosome:AUK_PRJEB4211_v1:4:28163656:28167914:1 gene:GSCOC_T00013574001 transcript:CDP20642 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKLDLFMSLSRQKSVPFVFKTVSQEGIGGGPQFIPQNEELNLEEEQESPIRPALDFRRLPSNTSTTTNDDSKPERRIQKFTHPLSAFNFDGAANSTQILKSAKEAYSLGRQLWDDLSFKSWEDGNSLNSAAALDKKNRTKEEASSSSCPHSIWMAGDEFWEKKGRLMVLPCGLTLGSHITIVGKPKKAHAEHETRISLLRDGQYVMVSQFMMELQGLKAVDGEDPPRILHFNPRLKGDWSGKSVIEQNTCYRMQWGTSQRCEGWKSRVDEETVDGQVKCEKWIRDNDNHSEETKASWWLNRLIGRTKKVSFDWPFPFAEDKLFVLTVSAGLEGYHVSVDGRHVTSFPYRTGFALEDATGLTLNGDIDIHSIVAASLPTSHPSFAPQRHLDMSPIWKAPPLPDRPVDLFVGILSAGNHFAERMAVRKSWMQHRLIKSANVVARFFVALHPRKEVNVELMKEAEFFGDMVMVPYMDHYDLVVLKTVAICEYGVRKVAAKYIMKCDDDTFVRVETVLKEANKMPENRSLYIGNINYYHKPLRSGKWAVTYEEWPEEVYPPYANGPGYILSSDIANFIVSGFEKQKLRLFKMEDVSMGMWVEKFNSSRTVDYVHSLRFCQFGCIEDYYTAHYQSPRQMICMWHKLQEFGKPQCCNMR >CDP17008 pep chromosome:AUK_PRJEB4211_v1:4:15712543:15713044:-1 gene:GSCOC_T00011378001 transcript:CDP17008 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSHSSVMFLSSSDKYLFVLPLYSGFQYGSLVKLFLVVQFEVRFGVTKLPLLVYLHGGGFLIKSAFSPTYHAHLNVVVAEAGVVAVSINYRLAPEHPLPLVYEDS >CDP16402 pep chromosome:AUK_PRJEB4211_v1:4:18063778:18067719:1 gene:GSCOC_T00018264001 transcript:CDP16402 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWRSRVSTVKSPFSLGTFVKHLPASNPSPNPSPSTFKFSPSGKPQSIFTTPALPKSHFQALTQKQQQQVHLYIHSLLEWNQKMNLTAVRGQNEVMERHVEDSLAILEPIKTSYLSRCGTSCENLNLIDVGSGAGLPGIILAIACPGWKVALLESMNKRCVFLEHAVGVTGLSNVQVIRERAENLGHNPGFREAFDVAVARAVAEMRVLAEYCLPLVRVGGLFVAAKGHNPQEEVKSAKRAVNLLGASVLQTCNVESKSKYGHRTAIICLKDGTTPKKYPRDPGTPTKLPL >CDP17953 pep chromosome:AUK_PRJEB4211_v1:4:8744962:8754275:-1 gene:GSCOC_T00005606001 transcript:CDP17953 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVPSAVNALQFYTNVQPSIRGRNVYVQFSSHQELTTMDQNTQGRGDEPNRILLVTIHQMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLQQSAVSARNALQGRNIYDGCCQLDIQFSNLDELQVNYNNERSRDFTNPSLPSEQKGRSSHPGYGDVGVGYPQMASAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDSIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAKLFGKRLEVNFSKHPNITTGADTHEYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQEVTEEEIVAHLEEHGTIVNSKLFEMNGKKQALVLFENEEQATEALVCKHATSLGGSTIRISFSQLQSI >CDP12288 pep chromosome:AUK_PRJEB4211_v1:4:26727008:26731255:-1 gene:GSCOC_T00035743001 transcript:CDP12288 gene_biotype:protein_coding transcript_biotype:protein_coding MESQGVNQTDEFKNQITSSALPPGHAKDELPKVEKWWGRFDLYKYDGFWYRLDWLEGAISAKTNFQAQDNDILLSSPPKSGTTWLKSLMVSIMDSHAARTFNNINDAKDQYDLLEENFPHDLIPCMEMEIFNPLRASENPTLKGQPRLHHTHVPYSMLSESVKNSGCKIVYIARDPKDVLVSLWYFVNSAKKPEEEPYPMADAFDSFCKGVHFFGPFHDHVLGYWKESLARPDKILCLRYEDMKMNPRGEVTKLASFLGRPFINDDEVDRIISRCSLERLKGMQVNKEGCTNNGMPRSSFFRRGVVGDWKIHLTPEMKERLDEIARKKFQGAGLDIYYP >CDP12320 pep chromosome:AUK_PRJEB4211_v1:4:27282372:27295712:1 gene:GSCOC_T00035787001 transcript:CDP12320 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKGNPGDSRNKRSVSMFIVAGLCCVFFLLGAWQRSGSGKGDNIAYEITKKAKACSILGNLEFETHHGNKAVNDSMLEVKEYKPCDDQYIDYTPCQDQARAMTFPREDMNYRERHCPSEQEKLHCLIPAPKGYVTPFRWPKSRDYVPYANAPHKSLTVEKAVQNWVQYEGNVFKFPGGGTQFPHGADAYIEQLASVIPINDGTVRTALDTGCGVASWGAYLFKKNVITMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGKYMMEVDRVLRPGGYWILSGPPINWRNSYQAWQRPKEELQEEQRKIEEIAELLCWEKKHEKGETAIWRKRINEDYCSRGDSRVTKCRSVDADDIWYKKMENCVTPYPETRSQEEVAGGELKPFPERLHAIPPRVDGGSIPGVSIDSFQEDNRLWKMHMSAYRRINKLLDSGRYRNVMDMNAGLGSFAAAFESPKLWVMNVMPTIADRDTLGVIFERGLIGIYHDWCEAFSTYPRTYDLIHAEGVFSLYKDKCNMEDILLEMDRILRPEGAVILRDHADVLTEVKRIIAGMRWNMKMYDHEDGPLVPEKILVAVKKYWVAGDKNSTKMYEFLGLRGGGPFHSPLVPFNFSHPINISQDNTLSNHRFAASFSPHHLNGAQENFVFTVKAPVHDLNGELFLPRISPTHVERTNSTDNGEIGVFGADKYFNTRLDYAARMKPENRNEVPLDVPVVKPNLRPGTPSISSEATSWNSQAALIRNLSQNVARARPRKAIARRIFGSLSCSGPCLGKGAVHIPENPGEDIGIASEEKVSQPGSKRIDSNHFAFPILNPDEAQNVTVKKQLDHEEKLEDYPRRSLEVFGYDRMKKGDIATNLARKLSMLTWDAIPKAPNLPTTTVGSITIGDDMTSDASSDLFEIEDISGAGYPILTTEAADNMSAGCVSPPTQYAPSEASIEWSVITASAADFSSVISDYDEKNIAISGDMILSNTVNRNSSIQSPAGKEAQKIRPGGLLGCKSQKAVNVAETVHKTRVERLFQIP >CDO97815 pep chromosome:AUK_PRJEB4211_v1:4:712376:718962:1 gene:GSCOC_T00021726001 transcript:CDO97815 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAYSSEIEHSTPATPRNSHRQYHTTPARSQPQSASFSVSTSYDFPFGSRRPRNPTPASPFAADDDRSWQGELSWQFNPTGWRDNRDFSAALSPVGYSSGSRIFRRSAKDYFLSRTTAAAGLRSFTNPYYDHSSGHYEALPSGRLELQSYVARDGEDAFSARRFAPREPTMPHGAHRHLSAATKRSAASSGPLADKDELSLVDYDAPEDLDHQINLLETDPAPYRLGDYDHTAHALENINDDLYSFNNDHKTPNHRVHEATSHQYRTHSHSGYDVDQELAYDVQDFDDDDVGAPKSVGLFGLFKYSTKFDLLLVLLGCLGSLINGGSLPWYSYLFGRFVNKIAKESKTDLQKMMKDVEKICLLMTGLAAVVVVGAYMEITCWRMVGERSALRIRTQYLRAVLRQDIGFFDTDISTSDIMHGISSDVAQIQEVMGEKMAHFVHHIFTFICGYTVGFLRSWKISLAIFAVTPLTMFCGIAYKAIYGGLAAKEEDSYRRAGSIAEQAISSIRTVFSFVAEDLLAEKYVDVLDKSVPLGIKIGFAKGAGIGVIYLVTYATWALAFWYGSILVARKEIKGGEAIACFFGVTVGGRGLALSLSYFAQFAQGTVAASRVFEVIDRVPDIDPYSDEGKRLSNPRGKIEFKGVTFAYPSRPTIQILQSLNLVIPASKTSALVGTSGGGKSTIFALIERFYDPTQGIVTLDGNDLRTLQVKWLRSQIGMVGQEPVLFSTTILENVMMGKENATKKEAIKACIAANAHSFISGLPQGYETMVGDRGTLLSGGQKQRIALARAMIKDPKILLLDEPTSALDPESETVVQKAIDKISMGRTTIVIAHRLATIKHADAIVVLDRGSVVEIGNHHELMENSGSYYNLVKLALEAVSKPTLQQSDIAIGFHTKLNQDPSQAENVYEISRSKYLKSNQDGGQVEEAEDKQSELRKYKLSEVWNLQKPELMILLLGLLLGMHAGAILSVFPLVLGQALNVYFDPSTKNLKREIRKLCLILVGLGFGCIGFMTGQQGLCGWAGTKLTKRVRDFLFRAILKQEPGWFDINENSTGILVSRLSSDCVSFRSILGDKISVLLMGLSSAAVGLGFSFFLEWRLTLLAAALTPFTLGASYFSLIINVGPKLDNSSYAKASNIAADAVSNIRTVATFSTHERIVQSFDQALSKPKKLSVKRTQILGLALGFSQGAMYGAYTLTLFFGAYLVKEGYTNFGDVYKIFLILVLSSFSVGQLAGLAPDTYLAPTAVPAVLNILSRRPLIQDESQKGSKIEMLKPFDVEFRMVTFAYPSRPDVIVLRDFGLKIKGGTMVALVGGSGSGKSTVIWMVQRFYDPIRGKILMGGVNLRELDLKWLRRQTALVGQEPALFAGSIRENIAFGNPNASWAEIEDAAKEAYIHKFISGLPEGYETEVGDSGIQLSGGQKQRIAIARAILKKSKVLLLDEASSALDLESEKHVQDALKKIAKRATTIVVAHRLSTIREADAIAVVRDGAVAEYGSHEKLMASHLDGVYASLVRAELEATTFS >CDP14371 pep chromosome:AUK_PRJEB4211_v1:4:22366232:22375868:1 gene:GSCOC_T00040728001 transcript:CDP14371 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSRTFKSLKPHHNINPCPKPLLKAPTTSVATPSKSEFSSSSPSLKTAPEVSSSKSKSKSKSKSRLSKSLKNSWSVYLILSTNPPIKTYVGVTTNFSRRLKQHNGEVNGGAKASRAGRPWVCACLIKGFRDKSEACEFESKWKLFSRKLSRKRKTTEVEEQDDNRSLALLHHRHAALSRVKSSVVCSHLEIIWHFDLS >CDO98664 pep chromosome:AUK_PRJEB4211_v1:4:7958677:7960474:1 gene:GSCOC_T00022833001 transcript:CDO98664 gene_biotype:protein_coding transcript_biotype:protein_coding MAELNPLDGQINGGGGGGGGAGNGETMVGTATKRQRRPSVRLGDIGGDPPLAAYEHLHQQHRRASSKQQLQYQWKQQQKGSRLRNNETLAVDKNGISNSEDGIEGFVNEGEEGNEGDMDLDRVAIGSWKTFRDSSMKSKRGRGGSIRKRVRSNWVSSKVDESGKLLTSGGEGEGDGEEGFHLDNDDGMDRDFARENSDEEVDRNESPIRSFENNDEDIDVERERGFLKLGEKNRRVTTRVSDEMEGPSDSVERNGVKAWLNQLGLGRYGPVFEIHEVDDEVLPMLTLEDLKDMGINAVGTRRKMYCSIQKLNKGFS >CDO98675 pep chromosome:AUK_PRJEB4211_v1:4:8123223:8130139:-1 gene:GSCOC_T00022847001 transcript:CDO98675 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILRKIIAPGGIPAWGIRVIASRTYSSSAGGKEMTVRDALNSALDEEMSADPSVLLMGEEVGEYQGAYKVSKGLLDKYGPKRVIDTPITEAGFTGMAVGAAYHGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSSGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLAPYNSEDARGLLKAAIRDPDPVVFLENELLYGESFPISAEALDSSFCLPIGKAKIEREGKDVTIVAFSKMVGYALKAAEILAKEGVSAEVINLRSIRPLDRATINTSVRKTNRLVTVEEGFPQHGVGAEICASIVEESFEYLDAPVERITGADVPMPYAANLERMAVPQVDDIVRAAKRTCYRSVPVAVNA >CDP21049 pep chromosome:AUK_PRJEB4211_v1:4:11011950:11013837:-1 gene:GSCOC_T00010687001 transcript:CDP21049 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKRESSVSPTSFTSVLKLSQIGAASVPKCYVLPPLLRPNLGLCPNPALVSPIIDLSSLHHPIHGLRIKEEVRLACKDSGFFQVINHGIPLSVMNDALDAATDFFELPHEEKMSLASANIREPVRYGTSLNHVKDRVLFWRDFIKHYSHPISTWIDQWPSNPKTYKEKMGNYTQAVHALHRKLMGVVFENLGLSPKYLHEGIYEGSQVMAVNCYPTCPEPDLVLGMPPHSDYGYMTIILQNHQGLEIMSHDRKWYEVPVRKGALVIQIGDQMEIISNGLCKSLVHRATVNSDTDRISIASLHSLALERKVGPASELLVDDQHPLSYTEGSFSGFLDFISNNDIMEVRYIDALKKDP >CDP15055 pep chromosome:AUK_PRJEB4211_v1:4:9740991:9742777:1 gene:GSCOC_T00042600001 transcript:CDP15055 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELCGAVGKGICKSWKKILKGLDESVSKSRVGKYFKLDARKSCFTKEVRAGTATFLTMAYIISVNATILSESGATCTVADCTVPANQTVATPDCMLKPNAGYESCLAKAKSDLVVATALSSMIGSFAMGLLANLPLALAPGMGPNAYLAYTLVGFHGSGSISYKTAMAVVLVEGCAFLAIAVFGLRARLARHIPQPVRLACAAGIGLFIAFVGLQAHQGVGLVGPDPNTLVTTAACASTDPVTGKCIGGKMRSPTFWLGSVGFIITCYGLMREIKGSMIYGILFVTLISWIRGTSVTFFPNTPQGDTNYQYFKKVVDFHTIKSTAGAISFTNFNRGEVWVALVTLLYVDVLATTGTLYTMAEVGGFVDEEGEFEGEYLAYMVDAGSTIVGSALGVPPIATYIESSAGLREGGRTGITALVVGFYFALSLFFTPLFTSVPPWAVGPSLVMVGVMMMKVVKDIDWNNIKEAVPAFVTMLLMPLTYSISNGIIAGVGLHIVLGLYDHVVGWIRWLIKMRTMVVKEQNQVSAAAAEQNLEVI >CDP18396 pep chromosome:AUK_PRJEB4211_v1:4:24144496:24152548:1 gene:GSCOC_T00004730001 transcript:CDP18396 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYRESEFQLPSWISKNPTDMGESRLFVLSCFIAGLVGILTIFYTAFQWRRNINLSWMKAISRSKKNPKARTKVPVAPHTWILESGYRGKSLNCCVCLKSMSPSQALGPIGASDSFIHRCSICGSAAHLSCSSNAHKDCKCVSMLGYEQVMHQWALRWADVMDQPDESSFCSYCEEPCSSSFLGGSPIWCCLWCQRLVHVDCHGSFSNESGDICDLGPFSRLILSPLFVKELNRTSAGRILSSITHGANEIASSVRASIRSQGKKHKHNHEATADKGNSSVISDASTESAADSPRVNGLHGVEENCNNGVSPDSADVQQNGGVKKMESQPSFKRSSSINQKDELHLARMRQSYELVDLPSDARPLLVFINKKSGAQRGDSLRQRLNILLNPVQVFELSSAQGPEVGLYLFRRVPHFRVLVCGGDGTVGWVLNAIDKQNFVSPPPMAILPAGTGNDLARVLSWGGGLGSVERQGGLCTLLNHIENAAVTILDRWKVSILNQQGKPLQAPKFMNNYLGVGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGARSIMDRTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDETEDNFDPQSMHDKMLEVVSISGTWHLGKLQVGLSRARRLAQGQSIKIQLFAALPVQIDGEPWFQRTCTLAISHHGQAFMLRRAAEEPLGHAAAIITDVLENAESNHVINASQKRSLLQEMALRLS >CDP18014 pep chromosome:AUK_PRJEB4211_v1:4:10533084:10534931:-1 gene:GSCOC_T00008913001 transcript:CDP18014 gene_biotype:protein_coding transcript_biotype:protein_coding MGVITYDHEVITSIPPAKLFKTFIVDFDNLIPNILPQAFKSVEILQGDGGAGTIKVTHFGEGSQYKSMKTHVDELDEENFVVKFTIIEGDVLEDVIEKITFVIKILPSADGGSVTITSSTYYTKGDAKINEEDIMSRKDKAAGVFKALEAYFDDNPDDY >CDO98031 pep chromosome:AUK_PRJEB4211_v1:4:2361871:2366969:1 gene:GSCOC_T00022001001 transcript:CDO98031 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFAEAATALKELGSSLLMAKLDAERYPKAASTLGIKGFPTLLLFVNGTSQPYSGGFTSEEIVIWARKRTGSPIIRISSLTEANEFLKKHSTFVVGLFENFEGPDYEEFVKAATSDNEIQFIETSSPEIAKVLFPDARHTYPFLGLTKNEPERYSAYEDTFNVDGILQFLDNNKFPLITVLTEFNSAQVYSSTKKLQVYIFAEADDLKKLTEPLQEVARKFKLKIMLIFVDIKEDNLAKPFLTLFGLEDSEDIVVTAFDYNNSFKYLLESDPTPTKIEEFCLGLFHGTLSPFFKSQPIPDNKDASILTVVGKTFDDLVLRSPKNILLEVHTPWCITCEATTKQMEKLAKHFRGLEDLVFARIDASENEHPKLQVEEYPTLLFYTSKDKQNPIRFSSKSGSKELASLINKHLKNQDDISKDEL >CDO98500 pep chromosome:AUK_PRJEB4211_v1:4:6175506:6182980:1 gene:GSCOC_T00022618001 transcript:CDO98500 gene_biotype:protein_coding transcript_biotype:protein_coding MMYHGCYKDFGPNPPAWLPDPNNAKLTNVGQLLESRGKEFLGSKYRDPISSFSDFQEFSVSNPEVYWKAIFDEMNISFSVSPERILVENSSCPGGQWLPGAYINPAKNCLNVNKKRNLDDVVILWRDEGDDELPLQKMTLMELRSRVWLVAYALERLELDKGSAIAIDMPMDAHSVVIYLAIVLAGYVVVSIADSFAPSEISTRLELSNAKAIFTQDLILRGDRKIPLYSRVVDAQAPMAIVIPTRDSSFSMKLRAGDISWQDFLNRAEGSKEVEFVAVEQPIKAFTNILFSSGTTGDPKAIPWTVSTPLKAAADGWCHMDIREGDIVSWPTNLGWMMGPWLVYASLLNSASMALYNGSPLGSGFAKFIQDAKVTMLGVIPSIVRTWKTKNSTASYDWSSIRCFGSTGEASSVDEYLWLMGRACYKPVIEYCGGTEIGGGFISGSLVQPQCLAAFSTAAMGCSLFILGEDGYPLPSNIAGTGELALGPIIFGASSTLLNADHNEVYFKGMPVWNGKVLRRHGDVFEHTSKGYYHAHGRADDTMNLGGIKVSSVEIERICNAVDGNIVETAAVGVPPPGGGPERLAIAVVFKDPDSSTTDINTLMQSFNSALQKKLNPLFKVSNIIPLPSLPRTATNKVMRRVLRQQFTQGENSRL >CDO98190 pep chromosome:AUK_PRJEB4211_v1:4:3537003:3538087:1 gene:GSCOC_T00022204001 transcript:CDO98190 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTGLEPSLVYEYGLAFAHNELEEEDIAYFNHEFLQSMGISIAKHRLEILKVAKKEKGTIPHPMSRLLIAIKRTKRSLSKYIRVWVRREESALALVPKRSYNSSSRWKSAMVKRNKRLTVAKQNSSRLLLTNGSPMVMMPSSRIESFSSPNIYDLRADDEKMDADRDEYWSAAFEEIKWDSMFQNLKPT >CDO98587 pep chromosome:AUK_PRJEB4211_v1:4:7118987:7122624:1 gene:GSCOC_T00022739001 transcript:CDO98587 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSRLVRHCSNFAITFCFIAYYLSCISASQVQNTLGVNESFVLYYGDFLESSNQRFRLTIISPANWTYFLAIQYVYMNQPFNIWAANNYQTPARKQPAYLIMNADGRLVVDDSFNNSLFVVNGEQSAMISSTTAALLDNGNLVLRAPGGITVWQSFDYPSNTWLQGMRIGIFDLNMRIPRQSILTSLSTFDQPNSTLAVDHSSTKELIVMQDGVVYWRSGIWDGLKFSSLDFFARFGVTFSYFSDANESFFTWNNFGANRSMAVCDENDENKSKEQSLGCTNPCGGVDGFREILGELDVWDGYTYSDQTLAGCKLKCRTNCSCYAYSYITYNQGGWGCKTTRSRKGQLLYSGTHRIFVRDSFDLAGKGTRKMFFTFFYIQFNNLVWLKKIPRLLLYYLRGQKFDTIKEMESQVIYRCPGLREFNSAKRLVLRDKRVDDELPFFGFAIIEIATNHFADENLLGQGGFGPVYKFERLEQLKSSINSLRASNKLASLFTRGKLHDGQEIAVKRLKHMSGFGMEQFKNEVTVISKLQHRNLVRLLGYCIHREERILVYEFLRNKSLDSIVFGKSKELTTWIFSCQGFGLSDSEKGIVLDWKRRSHIIDGIAQGLLYLHKYSRLKIIHRDLKTSNVLLDNDLNPKISDFGTARIFGDNESRANTKKVVGTYGYMSPEYAMNGIFSVKSDVYSFGVMMLEIISGKKNTSFYDSDDHLNLIGYVWDLWLDGRVTEAADQNAVDRPTMSDVLSMLNNDSVTGLPVPNRPAFSCITSRLINDNPVQSQEPCSISKVTISDVEGR >CDO98049 pep chromosome:AUK_PRJEB4211_v1:4:2456403:2462575:1 gene:GSCOC_T00022023001 transcript:CDO98049 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFYFVRFCCDVIQQFNLLLEYFYQSSGMELQKMAVALVACLCLLVFGATTSQAGHPAHPDPKLINPLRIMPEASSGDSVSVNNCLSWRLTVETNNKRNWNGVPEICGNYVAHYMTGKQYGYDCDAVVDIAIEYVKSLPIPRDGRSIWIFDIDDTALSNLPFFSRPDVFFGVKTDNAELEAEFYEFVLTAEVPVLEATLRLYQAVVEAGIKAVFLTGSSERSADARDKNLKAVGYHTWEKLILKPDSVTTSVQAFKSEVRDQLVAEGYRIEGNIGDQWADIVGSNVGRRTFKLPNPMYYGYY >CDO98142 pep chromosome:AUK_PRJEB4211_v1:4:3199945:3201470:-1 gene:GSCOC_T00022145001 transcript:CDO98142 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVNFLIFFALVATCSDGSRSRIIPRPAQPLPSFKINATQPPNQRSTCSFTVSIRTSCSSVRYTRDRISLSFGDAYGNQVYAPRLDDPRSRTFERCSTDTFEIYGPCTYQICYLYLYRGGRDGWRPYDVTVYGYNTRPVTFYYDVFIPRDVWYGFNNCYYYGAAADKSAM >CDO98355 pep chromosome:AUK_PRJEB4211_v1:4:4831869:4832765:1 gene:GSCOC_T00022422001 transcript:CDO98355 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSIGYIPAILCHSQQNKSPSLISSTYQKKKRRRRMNPESKATTMATTTTNNADSNSSKPSAYLQDADEVQKVFERFDANSDGKISSEELAGVMKALGSDTSPDEISRMMVEIDTDKDGFINLEEFTAFCSSNSLYGSADGGDGGVKELHDAFELYDQDHDGFISATELHLILTRLGERCSVQDCEKMIKSVDSDGDGRVSFQEFKIMMTNSKKA >CDP14918 pep chromosome:AUK_PRJEB4211_v1:4:26102277:26104899:1 gene:GSCOC_T00042407001 transcript:CDP14918 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIMSKVGDWGFKAFTAGLGVTTLYLAATFSVNVYRGLSWHSAQSKIENEGAKGRKPE >CDO98117 pep chromosome:AUK_PRJEB4211_v1:4:3018853:3022798:-1 gene:GSCOC_T00022114001 transcript:CDO98117 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLAGLLRRSIAAFPAAQSSAIASGCFYRSATCYSTTVPHDPDTHEDFIPTSKLEGSGLSLNKIVEQDVNENPVMIYMKGVPDLPRCGFSSLAVRVLQEYSVPISARNILEDPELKNAVKAFSNWPTFPQIFIHGEFIGGSDIILNMHQTGELREKLKDTSDGQEKVE >CDP12338 pep chromosome:AUK_PRJEB4211_v1:4:27540561:27551100:1 gene:GSCOC_T00035816001 transcript:CDP12338 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLQRNSVAERNIDQAITALKRGSYLLKYGRRGKPKFCPFQLSNDETTLIWFVGKEEKQLRLNHVSRIIPGQRTDVFQRFPRPEKEYQSFSLIYGKRSLDLMCKDKDEAEIWFIALQALISKGNYQRWKTEVKGDDISSDTSSADAQRNIRPTVSTGSGDAAYEDSRQVHRNLLSLQKPPQKKLGRVFSEFLLNNSVDFSPQRDSFTNSTSSMSCTNTDDVSGQSSADTSRVSSSSALSSSSQRSFFEDFDRLCDLFIWGEGTGDGLLGGGVHKVGEISGAKKDAFLPKALESTLVLDVQNIACGSRHAVLITKRGEVFSWGEGSGGRLGHGVETDIANPKLVETLNGLSINSVACGEYHNCALTVSGDLYTWGDGTHKLGLLGHGNEVSHWTPKKVTGQMECLYVLSVSCGPWHSAAITSLGQLFTFGDGSFGALGHGDRSSSSVPREVETLKGFRTVRVSCGFWHTAAVVDVSFQSTSSETSSGGKLFTWGNGDEGQLGHGDKEPRLLPFCITALEEMSFCKVACGQSITVALTASGEVYTMGRADYGQLGNPGHAGGLPTCVQGKLKNIFIEDIACGSFHVAALSLTSEVYTWGRGKNGQLGHGDFNDRDIPTLVEGLRNKQVKALACGNNFTAAICLHKQVSLADRSTCSGCTRPFNFKRKCKNCYNCGLAFCRACCSKKSLKASLAPNMKKPYRVCDNCFAKLHKGLDSGFSFLPPKALSGTKGRNAVEEKEKENFHTKPHGFLSKLSSFDSFRRSNIGHYKKSLKSTSISGHSSSISSDNSLCDKSCIPTPSRSMLDYPEKVSISAPGSTGHSQPSSPCSRGSSPFQSALVMPSSSPPLSIPDAVFDDSKQKSDSLTKEILLLREQVEILTCRSQFLASELERTSSQIKNATELARYEAEKNNAAKEVINSLIRQLRDMSAKVPQGASSCRTSDPFSENNSNSLSISSSWSQLTS >CDP18400 pep chromosome:AUK_PRJEB4211_v1:4:24457894:24458901:1 gene:GSCOC_T00004738001 transcript:CDP18400 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVEWCCDDFRSWKSTAHPFQCKFFKRHHQSCRSHTGMLAGALVVRVEVSARPLAMAFIAM >CDO97993 pep chromosome:AUK_PRJEB4211_v1:4:2140726:2144382:1 gene:GSCOC_T00021952001 transcript:CDO97993 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKPVKYFVVDAFTDKAFKGNPAGVCWLEEDNRDKEWLQAVAGELNMSTTAFLTPIDGTDELQSASPRFGIRWFTPVAEVQLCGHATLAASQTLFTYGLVNSHRIEFLTLSGILTARRISKSRASESIELQNGDNAQEDYFIELDFPVVPVTGFNSADISEISKSLNGASVVEILKTTTAEDLVVVLPSEKAVAELEPQFDEVKRCPGRGIIVTGPASPETGFDFYSRFFCPKFGVNEDPVCGSAHCALAPYWSKKLGKCDFLAYQASSRSGVINLHLDEKNQRVLLRGKAVVVAEGSILV >CDP12340 pep chromosome:AUK_PRJEB4211_v1:4:27554745:27561327:-1 gene:GSCOC_T00035818001 transcript:CDP12340 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGMMDSAYFVGRNEILAWINARLQLNLSRIEEAASGAVQCQMMDMTYPGVVPMHKVNFDAKTEYDFIQNYKVLQDVFNKLKIDKHIEVNRLVKGRTLDNLEFLQWLKRYCDSINGGIMNENYNPVERRSKGGKERFVRTQKSSKSLQTSNTHDHAIGDGLGINKVAGTKLGRQCPVSSGVNSSVEIQALTKEITDLKLSVDLLEKERDFYFGKLRDIEILCQSPELENIPIAVAVKKILYAADEKESLLTEAQEIIHQSMDGQAELSKEGEEED >CDP16925 pep chromosome:AUK_PRJEB4211_v1:4:13382048:13394265:1 gene:GSCOC_T00005278001 transcript:CDP16925 gene_biotype:protein_coding transcript_biotype:protein_coding MREECVDLVPQTFRLHCVGKESEENKNSSALSAGNSGTSAVDEEQSLVDDSSLCSTSPIGSAPLCRQFWKAGSYDDTLSTKPSLQKGANYLHIHPKFLHSNATSHKWAFGAIAELLDNAIDEIQNGATVVIVDKILNPRDGSSALCIQDDGGGMDPEAMRRCLSFGFSDKKSNSAIGQYGNGFKTSSMRLGADVIVFSRCKKNRKLTQSVGLLSYTFLTQTGHDRIVVPMLDYEFNTQSNMWDKLSNTQCINNLPLLLRWSPYSTEAELLKQFDDVGQHGTKVIIFSLWYTDDGQMELDFESDPEDIRISEVANTNKKSARMMVASEQHLANRLRFSLRAYLSILYLRVPENFCMMLRGKCVQYHSIANDLKFPEFILYKPQSGGCKEGTVVTAIGFLKEAPLVNIHGFNVYHKNRLILPFWHVVSYSDSRGRGVVGVLEANFIQPTHNKQDFEKTPVFQKLESRLKEMTREYWDYHCQLIGYQPRKARAMTFQASSDSGKNDGIYQPVQLTRDDLASDCNLQKGAPSKRKESNHLVEPDRVRRKVGIGANATVCNQENLRAGVATDELKSGEAANLIQENRKLQAQCLEFEKTVEELNLKAARLRVELGEARREHSRLLAEFKLVEKVKEEKSNAH >CDO98472 pep chromosome:AUK_PRJEB4211_v1:4:5915406:5916128:-1 gene:GSCOC_T00022577001 transcript:CDO98472 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFHLKPKQIPPISPILPPLSLTPRILKNTLLDPNSGFLMPPLGKYYAVDAAYTNIPGFMAPFRGA >CDO97759 pep chromosome:AUK_PRJEB4211_v1:4:313889:321538:1 gene:GSCOC_T00021647001 transcript:CDO97759 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSSRSRQPPAKTTAHPKDSANKLEDNLNLFKSDNFDADSYVHSKCNSFNEKEIRQLCSYLLDLKKASAEEMRRSVYANYSAFIRTSKEISDLEGELSSMRNLLSTQATLIHSLAEGVQIGSLSDSAAEGLAANGSVNEEVTEPSELEKRLIEFPDLLDVLLAERRIDEALARLDEGERIAAEAKELRTLSPSVLLSLQTAIAERRKKLADQLAEAACQPSTRGAELRAAVLALKKLGDGPRAHSLLLSAHYQRYQYNMQSLRPSSTSYGGAYTAALSQLVFSAIGQAASDSLVIFGKEPAYASELVMWATKQIETFALLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEGRGLALCPVLLKLFRPSVEQSLDANLKRIEESSAALAAADDWELVYLPAVTRQSVRPSGSAMATMASYQYKLSTSAHRFNLMVQDFFEDVGPLLSMQLGGKALEGLFQVFNSYVNMLIKALPGSMEEEANFEDSVNKIVRMAETEAQQMALLANASLLADELLPRAAMKLSPLNQGNYKDDPRRRSSDRQNRHPEQREWKRRLVSCVDRLKDSFCRQHALDLIFTEDGDSHLTADMYINMDGDVDEIEWFPSAVFQELYAKLNRMAILAADMFVGRERFATLLLMRLTETVILWLSEDQTFWDDIQEGPRPLGPLGLQQFYLDMKFVMCFASQGRYLSRNLLRVLNEIINKAMIAFSSTGVDPNSVLPEDEWFVDICQEAIERLSGKPKVANGERDLNSPTASVSAQSISSVRSHGSS >CDP14890 pep chromosome:AUK_PRJEB4211_v1:4:25766032:25782201:1 gene:GSCOC_T00042374001 transcript:CDP14890 gene_biotype:protein_coding transcript_biotype:protein_coding MATILVLNSFHCKVLHTLLYLLTKDTFRIFPKPPQPYHLRFVCFLSLNFVPAFSLSAPKKRLIRMGHKKRNSAARSKPSVSSPAAVSPSPPAVVSAAGDGAVASAEQPENLISLNPSINTAEAPLSTESENRSESLNSASSSSLASTSYASIKGECERALTALRRGNHTKALRLMKELSSKHESSPHSALIHRVQGTVCVKVASIIDDPNSKQRHLKNAIDSARKAVTLSPNSIEFAHFYANLMYEAANEGKEYEEVVQECERALAIENPVDPGKESLQDESQQKLTPAEARIAHVQSELRSLIQKSNIASISTWMKNLGNGEEKFRLIPIRRVSEDPMELRLVQTRRPNEIKKATKTPEERRKEIEVRVAAARLLQQKSESPLSQADGDRILDSSSGSGQRMGERRKSGSARKNASSAERKDWVRSFWNSMSLDKKKDLLRVRVSDLKAHFSLSKDGVANEVISEALTFAEANKDWNFFVCCRCNEKFADADSHIHHVLREHMGILLPKMERVIPKIVENEWAEMLLNCDWKPLDVSTAIKTLGEQSKAHGSEFLDESYPRNETEDFNECFADSYCNEDEWDSSPRKKESGDNCNGSSIKSKEYDKISDIVWMDCDDNQETKACFPQDSWPVSDDIERAKLLERIHTIFQALIKHKYLASSHLNKVMRFAVEELQGLAYGSQLLNHNIDQTPLCICFLGAPELKKILKFIQELYHSCGLGRYPDKGNAVDDANSGNQGVKVLEKLVLSEDASCLSLDEHFLPQKHIPSSCHSVVTGDISAANLPDMSNDSGNLLDSDALLSWIFFGPSSGEQLASWMRGREEKAQQGIEILQLLEKEFYNLQGLCERKLEHLSYDEALQAVEDLCLEEGKKREHVTEFVRRSYESVLRKRREDLIECDNELTIMSNRFELDAISNILKEAESLNVNQFGFEENYGGMTSQLCDLESGEDEDWRTRDYLHQVDSCVEVAISRQKEHVSVELSKIDARIMRVIAAMQQLEAKLVPASAFDYRAILVPLVKSYMRAHLEDLAEKDATEKSDAAREAFLAELALDSKKGSSGGSDNARHMHEKTKDKKKNKDFRKAKDSKANSGSELHMLSSETTKEISYPVTHEGEDIHAEIVNAGNGDTLEQEEEEVRRRIELEAEERKLEETLEYQRRIENEAKQKHLAEQHKRTVGINPEKVAAIAHSDTYLKQQEDDHDVNVQWKYRKKEPMVQKNGFSNAVEGFLEDGVEGVGQKAGLPNGGSIEDGLLPSDRRSGRRNRRQKGAARLNQPVLSEKENLEFKSLDEAHDDGTKTLRQLQAEEDDEERFQADLKKAVRQSLDSFHAHQKLPLAAKLGMPPKTFPAATDSVISADEIMTENLDQMDVYGTGLKNEIGEYNCFLNVIIQSLWHLRRFRDEFLKRSLSEHVHVGDPCVVCALYDIFAALNTASVDARREAVAPTSLRIALSNLYPDSNFFQEGQMNDASEVLGVIFDCLHRSFTSTMCTSDAESLDSNCMGSWDCTNGACVAHSLFGMDIFERMNCYNCGLESRHLKYTSFFHNINASALRTMKVMCPESSFDELLNLVEMNHQLACDPEAGGCGKLNYIHHILSTPPHVFTTVLGWQNTCEHVDDIKATLTALCTEMDISVLYRGLDPKNRHCLVSVVCYYGQHYHCFAYSQDHERWLMYDDKTVKVIGGWEDVLTMCERGHLQPQVLLFEAVN >CDO98676 pep chromosome:AUK_PRJEB4211_v1:4:8138516:8139104:1 gene:GSCOC_T00022848001 transcript:CDO98676 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLLLCCILSLLFVMNLAEEVPNAGGLEDSKVTVKHLGLRLSVAQCVRDCHARWPNSTARCPIFHGHICACLCYVP >CDO98310 pep chromosome:AUK_PRJEB4211_v1:4:4490987:4497242:1 gene:GSCOC_T00022364001 transcript:CDO98310 gene_biotype:protein_coding transcript_biotype:protein_coding MARAIVQSTNVSSLVAPGRYGQFKGSGKNKRAVMMLCSLHVAPLRMRGFSGLRTSNALDMMVKTGQSLHSKVAIATSSRRGRGSRMAPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESAEAVAAGLGGGSSSNKMPTLEEYGTNLTKMAEEGKLDPVVGRQEQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILKGLRERYEIHHKLRYTDEALVSAAQLSYQYISDRFLPDKAIDLVDEAGSRVRLRHAQLPEEARELEKELRQITKEKNEAVRGQDFEKAGELRDREMDLKAQISALVDKSKEMSKAETEAGDGGPLVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHTRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEDAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKDIADIMLKEVFDRLKKKEIELQVTERFRDRVVEEGYNPSYGARPLRRAIMRLLEDSLAEKMLAREIKEGDSVIVDVDSDGNVTVLNGTSGAPPESLPEPIAV >CDO98019 pep chromosome:AUK_PRJEB4211_v1:4:2288110:2298075:-1 gene:GSCOC_T00021985001 transcript:CDO98019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin Putative 5 [Source:Projected from Arabidopsis thaliana (AT1G79830) UniProtKB/TrEMBL;Acc:F4HQB9] MSWFGAKVSLSGLDLAGALNKVSESVKNIEKNFDSALGLEEKSDGTTGSSNETPGLWPSATDRKALFDPVMSFMGQRGGVSTVDPLGKPESSILSSPMIDEELAKPDSSTKSGVEQTAHAEVREERKNDIVDPVTAEEGLTLSGEQNEMPAAEISETATDSSPLPVEVSETNAENVEQTDSPNLLQKETSEEPLKDSSEKSSRESLEEPLKEGSEGSSENSESVEPNSMKSVDQAAALALVLDGLGNIGAVEESTEDQRTLEGEVAGEVFPFQAQDVITENSGSVELAVSDSVTTYVTENAEVSSERNFPVVHHTQELADMVSEPKSTEAVEMTQKADDEIDAKEQRLSSSGNSSSIADSTELEKVKKEMKMMETALQGAARQSQAKADEIAKLMNENEQLKGEIEDLRRKFNEEGIESLREEYHNRVASLERKVYALTKERDTLRREQTKKSDAAALLKEKDEFIKQVMDEGEQLSKKQAAQESQIRKLRAQVRELEEEKKGLLTKLEVEENKVESIKKDKAATEKLLQETIEKHQAELATQKEFYTNALVAAKEAEALAEARANNEARTELESRLREAEEREAMLVQTIEELRQTLSRKEQQAVFREDMLQRDIEDLQKRYQASERRCEELISQVPESTRPLLRQIEAIQETTARKAEAWAAVERSLNSRLQEAEAKAAAADERERSINERLSQTLSRINVLEAQISCLRAEQTQLSRSLEKERQRAAENRQEYLALKEEADTNEGRVNQLEEEIRELRRKHKQEIQDAMTKQELLQQELEKEKAARLDQERAARLQSSVLSDQSPKTKQMPPIENGNLIRKLSSASSLSSMEESYFLQASLDSSENLSERRNQGEGSLSPYYIKSMTPGAFESALRQKEGELASYMSRLASMESIRDSLAEELVKMTAQCEKLRTEAALLPGLRAELEALRRRHSSALELMGERDEELEELRADIIDLKEMYREQVNLLVNKIQVMSSTMGAA >CDO98596 pep chromosome:AUK_PRJEB4211_v1:4:7238837:7243668:1 gene:GSCOC_T00022751001 transcript:CDO98596 gene_biotype:protein_coding transcript_biotype:protein_coding MWWNKVVKLSGYSVRHYSRSKKVQPPRVIRVTNNVAHLGRPRKGPKPRQLLSLPPFPGLPLPGRNSSTGHVTAISWLKYYFDDIPGDVVQSHFNKGLVQMESLDSSMSSKSQGMQTKSTRKINHNEAMEPGARVSVPVSVAEAKISKRFDIIPSGTLYPNADEIEYLQRLDSAILVLNKPPKLPVKGNLPIHNSMDALAAAALSYDYDEGPKLVHRLDRESSGLLLMGRTKESISYLHLLFSDINKAKSLSKGWGDACESTYQRYWALVIGSPKEKEGLIHAPITKVMLDDGKTERVIVANRSGLEAFQEAITEYRVLGPMINGCSWIELRPHTSRKHQLRVHCSEALGTPIVGDYKYGWFVHHKWKQMPRVDFEPNSGEPYRLRRPEGLDVQKGSVLSKVPLLHLHCREMVLPNIEKFLEIHTSKGSNYSSKLKYSSKPDVLRFVAPMPSHMKISWNLMSSYLI >CDO98051 pep chromosome:AUK_PRJEB4211_v1:4:2475175:2479049:1 gene:GSCOC_T00022025001 transcript:CDO98051 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLLKSKFYSKCKSAIKITKTRLEMIKRKRIAMQKYLKNDVVDLLKNGLDTNAYGRVEGLWNELNLSSCYDLVEQYSTIVSSHLANMDKQRECPEECREAASSLMFAAARFSDLPELRELRTIFTERFGNSIELYVNKEFVHKLKSTPPTKDMKLQLMQDIALESGIEWNSKTLEQKLYKSPAASVPDGKNGKINSPKRIHNANKKIDNEDVENKLEDPEIHAAYEREGGKDVRDERRSLIDPSSGETEDIIPVKDIQVDGIGRKVQPDEPQATSASVEENDEKQPFYYKPIRPPYSKSKISITDIAVDVPPTGADSKGQEGKESSKNSGGVGSETLGSKGINDRQRDERDEEGKVMDRLLMHYSTKKFPHDTAKSDAVLKSSSQPDDIKPGRGSLDRSRDGRPTRAASLPSETSPTEATKLRARASSFQPEVLNSSGHIHPKLPDYDDFVARLAALRANRN >CDO97959 pep chromosome:AUK_PRJEB4211_v1:4:1818576:1819475:-1 gene:GSCOC_T00021911001 transcript:CDO97959 gene_biotype:protein_coding transcript_biotype:protein_coding MAFILSSPCIALQPKKFSTAYGSTQPLNIGSVKQQKPSSKLGNKTVYGPLETSTTGPGSVNSPIIDSKHDPEFGALKQKKPSCKLGKKSTCADNGTSARGFRSGSVSSAARTSSQWVYKKNSRTMLQPLDKNSQELPESQNPNDKGKFWERLTWQHQYSASCSELN >CDO98556 pep chromosome:AUK_PRJEB4211_v1:4:6695804:6698275:1 gene:GSCOC_T00022693001 transcript:CDO98556 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEQRFLRYAIFLASLLLSSLSSLNAQKGNYNSTAYLPASWINQASKSQEINGTDETTVLVLPILLRGSDGPRYVCGFYCNKVEDQCLFGVLISQYTPNTDNYLQSPKLVWSANRNNPVQSNAMLQLKEDGDLVLANSDGTLIWSSNTRGKSVSGLNLTEMGNLVLFGPNNEPIWQSFDHPTDSLLLGQKLARGQRLTASVSASNWSQGRLSLAVGSASFSAYTESDPPQIYYLSGLTYPYCEFRNGSFNGFTIPLASVAQFIRFEPDGHLKLYQWGAADEFTEVVDLLTFLNDCDYPMVCGNYGICSRGQCGCIETTNGQERYFNEIKSRQPNLGCSLVTPISCDHSQDHTLLELKNTSYFAFHQSSDKIETLMEECKSKCMSNCSCKAAVFYYTDQLHRDLESKGYCLLLNEVFSISNNERSVDDTILLVKVQNTHVNKSRRKTVILASTVGALFGVVCVVGSCLVLLMRVFRESNETEGDFLSKVPGMLARYSYENLKAVTEDFSKKLGEGGFGSVYEGALSNGTKIAVKCLDGFAQLKDSFIAEVQIIGSIHHVNLVKLIGFCFEKSHRLLVYEYMANGSLDKWIYGGKEKQSLPWSARRRIITDIAKGLAYLHEDCSHKIIHFDIKPQNILLDQNFNAKVADFGLSKLVEKDQSRVITRMRGTPGYLAPEWLSSVITEKVDVYSFGIVMSEILCGRKNLDWSQIEEDRHLLSVFKRRAEEARLEDMVDKNNLDMQVHVLEAVEMMQIAAWCLQSNFTKRPSMSLVVKVLDGLVAPETNLDYDFTKPSAVETGAAGDQGREAFHIASPLLPSTLSGPR >CDP16914 pep chromosome:AUK_PRJEB4211_v1:4:13211430:13215916:1 gene:GSCOC_T00005264001 transcript:CDP16914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17990) UniProtKB/Swiss-Prot;Acc:Q02166] MALFQHCHLSVASLQSSVSAQKNAPIKYELKNSIFFNSPFGETIKRRSANALSAVTGSNQLSSSISSFSQLIETLISGVDLSESEAEESLDFLLDGADEALLSAFLVLLRAKGETYEEVVGLARAMIKHCRKVEGLDDAVDIVGTGGDGANTVNISTGASILAAACGVKVAKQGNRSSSSACGSADVLEELGIAIELDPEGVKRCVNEAGIGFMMSPIYHPAMKIVRPIRKKLKVKTVFNILGPMLNPARVPYAVVGVYAEDLVHKMANALQRFGMKRALVVHSEGLDEMSPLGPGVVLDVKADKIEKFSFDPLDFGIPRCTLDALRGGEPEYNAEVLRRVLSGEQGAIADAFVLNAAAAILVSGHVKDLSEGVTLARQTLLSGKALKTLHSWKEVSNKAKEEAQSTLTSA >CDO98150 pep chromosome:AUK_PRJEB4211_v1:4:3248548:3252511:1 gene:GSCOC_T00022154001 transcript:CDO98150 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPLPCHQPWPTITPAIIHLLPRDSFQVSLGLKILSGSSLLFSSGCLFSSGLACGMGEILEDQRMDLDLEDDILFYPEPMQVLVISCFKF >CDP16906 pep chromosome:AUK_PRJEB4211_v1:4:13153102:13161823:1 gene:GSCOC_T00005253001 transcript:CDP16906 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPSKNSWEPIMTGDTTTPSYWLNWRVLLCSIWLLVSLVFASILISKYECLRNSKSRSRERLKDSAGVVYEDEVWRPCLRSIHPVWLLGYRIVAFIVLVLMLILNVAVDGGGIFLYYTQWTFTLVTFYFALGSVLSMYGCYQYHNRIGARYDDERVDTEHGADGTSRNAAENSNVSNGAKDFDPSEQLHDRKIASFWGYVFQIIFQMNAGAVLLTDCVFWFIIVPFLAIKDYRLNFLIINMHSINAVFLLGETALNCLRFPWFRIAYFVLWTVVYVIFQWIVHACISLWWPYPFLDLSSPYAPLWYSSVALLHIPCYGLFVLVIKLKHFLLSKWFPRSYQCAR >CDO97854 pep chromosome:AUK_PRJEB4211_v1:4:1040152:1044016:-1 gene:GSCOC_T00021783001 transcript:CDO97854 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWLGFSLTPHLRIHDDDDEQNDFGGQTDQNQQRGGGSTPPTSVAMALRSDGSLCMMDTFGLPSSDWRRYENALDDATNLRNGEGPKLEDFMGRCYTTNSPPPDPSSNIDRRVYCQLPQQQQGEINVNIPPHCNGWEMEIERREHLITTTATPSSSSLIHPSYPFSYHMSSQDTISNRCDPNSNMNGMLYHVPFDGATTVSGFKSWLRQTPSPADTNEISVQESNQNNFEALSLGINPGAADQPGTSSSAKDSAAPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTHINFPLCSYEKELEDMKATTRQEFVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIRFRGTSAVTNFDISRYDVRRICSSSTLIAGDLAKAKRSPRNSRPSSVVDDNNSCASSASPQPLVAITNREASQHGWNVMVWSSSTDHDQRLQDHETNSNDMLQFSASGSRCSSTPQSPKDPVGSTGSPGKNGGEFGVEGDYSQLYFSLEGPNSKPKYGDGNNGSEDRDTSSNRLANTGSVHPVPMFSLWNSSI >CDO98523 pep chromosome:AUK_PRJEB4211_v1:4:6467805:6468876:1 gene:GSCOC_T00022652001 transcript:CDO98523 gene_biotype:protein_coding transcript_biotype:protein_coding MTALVTGGTRGVGHAIVEELAGQGAIVHTFSRQEAELNERLEEWSCKGFKVTGSVCDASSREQRMQLMEKVSSIFNGKLNILVNNVGTFVRRPAEVYTAEEYNLIVSTNLESCHHFSQLAYPLLKASGIGNIVFLSSVAGLVNVNYSSVYAMTKGAMNQLTKNLACEWAKDNIRVNAVAPWYIRTPLVEEVLNEREYRERVESRTPMRRVGEPEEVSSVVAFLCLPAASYITGQVIAVDGGMTVNGFE >CDO98314 pep chromosome:AUK_PRJEB4211_v1:4:4521279:4530510:-1 gene:GSCOC_T00022370001 transcript:CDO98314 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTTESLVYARQWSGGSSSTGSSSPAGSPAHPRSRLPPATGGMSTIKRTQNVAAKAAAQRLAQVMASQVTADDDDDDDDDLGFRFAGAPPPASGFSSNVNSSRVDRNSHSGFPAASLAKPNRSPSPALGRNFMDHTPSVRSTSAGRPSMSVRSTTTTVLPQNKTTLRTPVTIPPIEPPSSRLREKRLTPDVGLVDLKASGNEHEASALRDELDMIQEENELILDKLRHAEEKHEEAEARARELEKQVAALGDGVSLEAKLLSRKEAAIRQREAALKAAQQTKDGRDEQISSLRAEIENLKDETVNTMEQLREAESEAKALRVMTQRMILTHEEMEEVVLKRCWLARYWGLAVHYGICTDVAALKHEHWSSLAPLPFEVVISAGQKAREESRNGGDDTERRNKHVRNLSDLTGEGNIESMLSVEMGLRELASLKVEDAVVLALAQHRRSSLVRHSFLEPKSTIETKYMEPFELSQEESQDVLFKEAWLAYFWRRAKDHGVEEDIADERLQFWISRSAQSPTSHDAVDVERGLTELRKLGIEQQLWEASRRELEQQSIPTLMPGVESDNSS >CDO98599 pep chromosome:AUK_PRJEB4211_v1:4:7252988:7255900:1 gene:GSCOC_T00022754001 transcript:CDO98599 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKFFSLIFLCLFPAISTLEANDVEANKGCIEIERKALIKFKEGLTDPSNFLSSWTGDNCCSWKGISCSNETGHVVKIELQNYQCFNDAGNYYGSNQNASCLGGQISTSLLDLKYLDHLDLSLNSFQIPIPEFLGSLGMLSYLNLSLAAFTGMIPQHLGNLSNLRYLDLSSYSSLQSNDLYWLSSLTSLEYLNMESVNLSLASTHWLQVLNPIPSLSEVHLVSCELQNLPQSLHTVNFTSLSVLELTGNRFKSPIPRWFSNLSTLVQLDLTMSEITGDVSAVIGDLTTCCKNSLEELILAGNQISGHIPHSLGLLKKLKFLELLLNVISGPIPETVGNLTNLESLDLSYNQMNGSLPESIKMLTKLASLGLLQNSWQGILSENQLQSLGKLEYFTISSSNKSFTINVSDNWVPPFSLKDIEINDCLLGPKFPAWLKTQKQLSTVTLTNVGISEVISDWLWGLSQLTWCDLSNNQITGSFLPSLEYPSPALQKSTFNRSNSSFTSWHKLYNLNLAGNLLSGPIPANIGQVLASVSMLNLSGNFLDGRIPSSIGRMRNLISLDLSNNQLSGRILDNWRHLKKLLVLDLSANHLSGTVPGSIFLLPELQKLKLNDNHLSGDLSNYQINNASILQFLDLGGNRFAGKIPKWLGGSPLALFELRLRANRFSGSFPEEVCNLIYLHILDIAANYLSGSIPACLGQLVHMQIVLPFVPISPISPFKDIAEMDLVVKGRQMRYGKTLELVNILDLSSNNLSGEIPKEITRLLALGTLNLSRNQLTGNIPEEIGNLRLLETLDLSHNHLSGPIPRSMTAMTFLNYMNLSYNNLSGQIPSTNQFQTFNDPSIYEGNVGLCGIPLPSKCDAVSAGDAEDEGRMKGHSVEEDGEDSTKLEFYISMGLGFIFGFWGVFGSSYVYKPWRQG >CDP14861 pep chromosome:AUK_PRJEB4211_v1:4:25199313:25200088:1 gene:GSCOC_T00042331001 transcript:CDP14861 gene_biotype:protein_coding transcript_biotype:protein_coding MILKKEGKWVNTTREVGEIPGVEIGDQFQYRAELAIVGLHTQLSAGIDYIKIGSKLFATCIVDSGRYNNERRSPDVFIYTGEGGNPEIYKKKAEDQELKRGNLALKTSMLADLPVRVVRCHQSPEAPNPLGVNNGTGLRYTYLGLYKVSSCDRVRDEYGKLAFKFTMVRNQNHGGSSSSCKRERSHPKANDSTRSKGGGGTITKARGSYQKRASFPQA >CDP15067 pep chromosome:AUK_PRJEB4211_v1:4:9914455:9916636:-1 gene:GSCOC_T00042618001 transcript:CDP15067 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIPYLVTIVAVLAFFQLQRKWKSRNQIPKSKLPYPPEAAGGLPIIGHLLSFNTKSSVARNLAAMAEKYGPVFALRIGMTPALVVSNWESVKDCFTISDKALSSRPESIFAETLCFGNASFAFAPYGPYWREIRKIVFLDLLSTRGLEKVRHKVSGMRYSETEVGTNKDAQFQKVFKALVHYAGQFVLSDMIPIPFLKWLDIGGHIKSMKGFTKELDAVVQDLWDEHTQRRMNSETIDEKGFMDVLLSKIQSESVFGYSRETAIKATVMTLIVGGFETTSTHLTWLMSLLLNHPHVMKKAQEEIDRHVGKDRWVEESDIKSLAYIQAISKETLRLYPPPISVPRRAIEDCTVGGYLIPKNTLLFVNVWKLHRDPRVWSEPDKFLPERFLNSCNGPRKMHDDGYSLDYAFTPFGSGRRSCPGMLMATQVIYLIVARLLQGFEFTTPSNLPVDMTEGLGTRLCKTTPLEVLIKPRLPNHALYG >CDO98402 pep chromosome:AUK_PRJEB4211_v1:4:5196343:5200708:1 gene:GSCOC_T00022482001 transcript:CDO98402 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVGFLRLEVRSPTHFPAGSPRPDAPVIVHSAAKLNMRAVSSIAGAGGKVSDKPSICTADELHYVPVPDSHWRLALWRYRPSSPVGIKRNHPLLLLSGLGTNAIGYDLAPGSSFARFMSVQGFDTWILEVRGAGLSENDEAVNRSDDFLLREKLKDAFVHIAEGFSNLLNEALARARQDSAANQVRNWSQKLGNIFAGGQKSVGLQEQFSLLMDDFQKQLDLILKYNWDFDHYLEEDVPAAMEYVRTQCKPKDDRLLAIGHSMGGILLYAMLSQNGYKGRHSELASIVTLGSSLDYSTSRSSLRLLLPLVDPAQALSVPVVPLGAFMAAAFPLASRPPYFLSWLNPQVSAQNMMRPEMLEKLVLNNFCTIPAKLLVQLRTVFQEGGLRNRNETFIYKHHLNKSKVPILALAGDQDLICPPEAVYETVKLVPGRFVKFKVLGEPRGPHYAHYDLVGGRLAPKEVYPCIVEFLQHHDLT >CDO98576 pep chromosome:AUK_PRJEB4211_v1:4:6999820:7003025:1 gene:GSCOC_T00022721001 transcript:CDO98576 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 15 [Source:Projected from Arabidopsis thaliana (AT3G17660) UniProtKB/TrEMBL;Acc:A0A1I9LR18] MNEKAGVSKELNAKHAKILEGLLKLPENRECADCRSKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSTTLDTWLPEQVAFMQSMGNQKANSYWEAELPLNVDRSNMEKFIRAKYQEKKWSSPKATQPIHAVGEKSSITDTSAAGTRNGIPRKARKYSLEEEMFSKHMSQIGLAARPRTGSLDIAELMVSPTTDGLVNENPASVNNVGTEQDLFGLLYVSDVKQNRTLVPPSRWATFECKISISVHIILNF >CDP12372 pep chromosome:AUK_PRJEB4211_v1:4:19061179:19063288:-1 gene:GSCOC_T00035872001 transcript:CDP12372 gene_biotype:protein_coding transcript_biotype:protein_coding MENNCSNDAPRKLDRKTIERNRRIRMKGLISELASLVPPQHFKPSKEMLNQKDQIDQVVTYIMQLKERVEKLNKRKEMLKSEHQTKGTNPRNSAIPSSRIPVLKIMESDSSLEVVLVTGLRKNFALHEVIQVLHGQGLEVVSVSISTIEEKIYHILHAQVKVPRLGADTLTIYGRLQKLFN >CDO98393 pep chromosome:AUK_PRJEB4211_v1:4:5104363:5118224:-1 gene:GSCOC_T00022471001 transcript:CDO98393 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYSPFLVRGLRLKAIPLLTKPMSSFHLINRGCHFYSQNPILLRRRLVPQNHSFRAGLVLTSCTCSASRSSSSGSVVVKRIRKDGYSSSFSIPPPYFYQQSAGYGRFAYDEYASEEDDYESDRGNGSREMCPSTLDNIEEWRRKLTRLMHNEDQQELVSRERKDRRDFEHLSVLATRMGLYRHVNILLQINFRQYSKVIAFSKVPLPNYRSDLDDKRPQREVVLPSGLVGRVDTYLKAYLSRKAKNKETFGHSSLPRSSDKLSPNDDLSECQESPTRSVVAERILQRRSLDMRNRQQDWQESSEGQKMQEFRRSLPAYKEKEALLHAISRNQVVVVSGETGCGKTTQLPQYILESETEASCGAFCSIICTQPRRISAMAVAERVAAERGENLGDSVGYKVRLEGMKGRDTRLLFCTTGILLRRLLVDRNLQGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLVLMSATLNAELFSSYFGGAPMIHIPGFTYPVRSHFLENILETIGYRLTPYNQIDNYGQDKMWKMQKQALRKRKTQIASAVEDALDAADFKKYSPRTRESLSCWNPDSIGFNLIEHVLCHICQRERPGAILVFMTGWDDINALKDQLEAHPLLGDPSRVLLLACHGSMASSEQKLIFNKPEGAVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISQAAARQRRGRAGRVQPGECYHLYPRCVHDAFSDYQLPELLRTPLQSLCLQIKSLKLGSISEFLSKALQPPELLSVQNAIEYLKIIGALDEDENLTMLGKNLSMLPVEPKLGKMLILGSIFNCLGPILTVVAGLSVRDPFLMPFDKKDLAESAKAQFSAREFSDHLALVRAFEGWKEAEKEQSGYEYCWRNFLSAQTLKAIDSLRKQFFHLLKDIGLVDDIESCNQWSHDQHLIRAVICAGLFPGICSIVNKEKSVSLKTMEDGLVLLHSNSVNSQEPKIPYPWLVFNEKVKVNAVFLRDSTGVSDSAVILFGGNVSQGGLDGHLKMLGGYLEFFMKPTLASIYVSLKKELDELIQKKLLDPKLDISSHDDLLSVVRFLVSQDQCEGRFVFGRQMPTSLQKAKIGEQTGTLSVGGGHNSKSQLQTLLARAGHQPPMYKTKQLKNNKFRSSVTFSGLDFVGQPRGSKKDAEKDAAAEALQWLTGESQSTRDTIDNMSAILKKSKKKQHLDVARWR >CDP12280 pep chromosome:AUK_PRJEB4211_v1:4:26503172:26504188:1 gene:GSCOC_T00035727001 transcript:CDP12280 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLARAYFVEAKWLHQGYMPTLEENMKNAVPSSGYPTLTIISFLGMGDIVKKEAFDWALKVPEIVRAASIIARLRNDIVGYKFEQKREHIAKLMPYDATKHNGATSMQ >CDO97938 pep chromosome:AUK_PRJEB4211_v1:4:1712976:1715672:1 gene:GSCOC_T00021887001 transcript:CDO97938 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTIVNAEKRGFASAQLQPISSCMASFLQIMKYRGYVKDFQVHDPHRVGKITVQLQGRVNDCRALTYRQDINAQNIQSYTKRQLPTHQWGYVVISTPNGVMDHEEAIRQNVGGQVLGYFY >CDO98560 pep chromosome:AUK_PRJEB4211_v1:4:6879574:6880218:1 gene:GSCOC_T00022699001 transcript:CDO98560 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPLVLFPLVLISIYTNSLFCQAAAESVQPAAVFGVDGKEVRTDLFYYIRPANNRGKDRGGGLGLRSIGNDSCPLVVIQETNELRDGLPLTFSPAVAPKDNVVRVSTDLNIQVAFPDTCNQPTVWRVDVSDKSEGRKFVNLGGVIGNPGPETLGNWFKIEKVGDHGNKYKLVYCPTVCSYCKVNCKNLGIVYQNGLRRLALSHRPFKVIFTRA >CDO98520 pep chromosome:AUK_PRJEB4211_v1:4:6448847:6455034:1 gene:GSCOC_T00022648001 transcript:CDO98520 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSASNSGLHSESASSPSLPPNKEEQDVSSENGALLEPKKEEPSKDMDNQEDKKKYENYVTQMTSYVTAKYFSDKTLYGGNIYDVKVNTDGQTVKASRLPPHQSYADPASFHELLNSVAKSEEETSADASNGKHSGKKN >CDO98168 pep chromosome:AUK_PRJEB4211_v1:4:3363608:3364253:-1 gene:GSCOC_T00022174001 transcript:CDO98168 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNVGSLLAKGKANFHVRLSGQAGITSQLIPVHITAFSVACWYVELTDMAAVASAAAPLVLKSCSPASKNPPPNAIRIAFAKPNTCSPKISKTRAGLVRALESEREEANSDKDGPDDIASLFQEDLNYLIQLGAGSVVGAAAIKYGSAVFPEITRPNIIEALALISFPLIAAVLLLIRQSRSQ >CDP15101 pep chromosome:AUK_PRJEB4211_v1:4:10384282:10385387:-1 gene:GSCOC_T00042673001 transcript:CDP15101 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTMAKASSLKPLVFVLFVSMLIMSFFRSGQAQISCDTVKNDLSPCIGFIMNGGKVPPACCSGLNTLLSLAKTRTDRQSACSCLKSVAESATDDQLKNAAQIPHSCGVNLPFKISRDVDCSK >CDO97985 pep chromosome:AUK_PRJEB4211_v1:4:2065958:2066596:-1 gene:GSCOC_T00021942001 transcript:CDO97985 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLPVIAKKFWHMVRVVYFMLRKGISKGKLMTDLNMMMKRGKIAGKAIQKLMFHHSHTWASSFAAFPAHHRRSHDKPLSFPAPSGEYYEFSCSNSPAYPSFHLPFHLSKRKSNHHSNLFTCTQAPAAEDSDAAVHAVMRALEMLHSETASPALPGFGKSPMVRQLRITDSPFPLRDVDEDSHVDEAAEEFISRFYKDQRRQNAMAALGPC >CDO98527 pep chromosome:AUK_PRJEB4211_v1:4:6478478:6479317:-1 gene:GSCOC_T00022656001 transcript:CDO98527 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVRENNSGWSLSGTTTLATGGRWSLSGATALVTGGTHGIGRAIVVELAQLGATVHTCARKEADLNERLQEWSSMGFKVSGSVCDASSRDQRIRLIEKVSSIFNGKLNILVNNVGTCKAKPPEEFTSEEYDMMMSTNLESCFHFSQLAYPLLKASGIGNIVFISSVGGLVSVQGFSIYASTKGAVNQLTKNLACEWAKDNIRVNCVAPGVVRTQLSQAVLNSDEKWKKFKSRIPMKRDGKPEEISSLIAFLCLPAASYITGQVIAVDGGLTVNGVQWD >CDO98579 pep chromosome:AUK_PRJEB4211_v1:4:7026299:7028926:-1 gene:GSCOC_T00022725001 transcript:CDO98579 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAIVSFAVKKLGDFLTQEIVDRKNLRTRVEWLRNELGYMQSFIQDAEEKQSDDHRMQQWISEITDIAHNAVAILNDCNLKVGAPKSGFFNSLQTYACLCSREANLYNTSQQLKSLKQRVLDISRKRETYGIRNLSNSAGEGPNRGPNSRSIIKTLRRATSFVDQDRLFVGFEDVTQTLLAELFKMEHRRSVISIYGMGGLGKTTVARELYNSSKVMENFPYRAWVCVSQEYSTSDLLRTLIKSFRKRCFGDELKMIEQMDEEDLERYLREILKDHKYLAVVDDVWHKEAWESLKRAFPDDKNGSRVIITTRKRDVATRVDDRSFVHELRFLTPDESWDLFCKKISHGDGYNDWCSAGMLDLGGQMVHKCGGLPLAIVVLGGLLCHKKWLQEWHEVKEHIWRHLKNDSLEISFLLSLSYDDLSGQLKHCFLYLGSFLEDSLIDVEKLKWLWMAEGFITPREPKMEEVADHYLNELVNRSMIQIADKMWDRIAYCRIHDLLRDLAVQKAIEVNFFDIYDPRKYSTANSSGRRQVVHTQIKNYFSLVSPSSKMQSLVIFNPDGEEPKVKHFRSLCVSFTNLHVLYLENCHFNFATAVGQLPYDIGSLIHLKFLGIVDTNFRSLPSSLGKLRSLETLCATMTDLAFPPEISELTNLRHLVALCRGPVNVSSLTNLETLKYVKYQDWLKLETTNLVNLRELVVQNIDGQGSLDSIGKLRSLATLTLTCSVSGAFPPLEPLSSCQHLIRLWLSGPIQNQGQLEKLPWSIRILTLQHSNVGRDPMPVLETFPNLQSLELIGAYYGHSFCCTAKGFPELELLRFRNLYSLMDWHLEDEAMPRLKGVGIFRCPRLRCPQRINHVFKLKETETRLLPYERMWA >CDP15033 pep chromosome:AUK_PRJEB4211_v1:4:9508903:9510743:1 gene:GSCOC_T00042569001 transcript:CDP15033 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRIRRASISSLELLEMEERPSPKLIKDDPLSIYESTLMKLREGSLRCQSLPPAEPSCLDVSCSTASDPPATIGDDCSSTDLSPLSNADQHIPRGSTTRRAKNLSVLFLFSKYKSPKNAPNSSEEDAMTLENVCSSACASSTDSISQFTSSHRQHLPEECFHSGMIQ >CDO98367 pep chromosome:AUK_PRJEB4211_v1:4:4912031:4913153:1 gene:GSCOC_T00022435001 transcript:CDO98367 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDESTSFSISHGIHVFHCPDELGIIAKLSECIASIGGNILNADVFVPEDKNVFYSRRSIALPCTLFLGTFFYGKLISWFCPFFLFFFGLSGLCLRYCEVDLGQMLRVMVPFVIHSSMADMSRALESLHLLLLMFFFVLSGNFLKSYGKAVINIHHGLLPSFKDGHQAKQTFDAGVKLIGAPSHFVTKELDLARFKT >CDO97955 pep chromosome:AUK_PRJEB4211_v1:4:1803938:1807740:1 gene:GSCOC_T00021907001 transcript:CDO97955 gene_biotype:protein_coding transcript_biotype:protein_coding MTILVEQPEFGINEVEEKGIQKNERELVLDGGFSVPQANAFGQNFRDYDAESARQQGVENFYRTNHICQTYDFVKKMREEYGKLDKVEMSIWECCELLNEVVDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLTALIHDLGKVLNLPSFGELPQWAVVGDTFPVGCAFDESIVHHKYFKENPDYADPAYNTKCGVYSEGCGLDNVLMSWGHDDYMYLVARGNNTTLPSAGLFIIRFHSFHALHRSGAYKHLTNEEDEQNLKWLQIFNKYDLYSKSKVRIDAERVKPYYLSLIDKYFPAKLRW >CDO98456 pep chromosome:AUK_PRJEB4211_v1:4:5738513:5739961:-1 gene:GSCOC_T00022553001 transcript:CDO98456 gene_biotype:protein_coding transcript_biotype:protein_coding MYMARKAQRPCPSVNIFIIVLSFFSFTLSPFSPQVVSASAFKRLQLFSTEEAQPSSTSSVLSLSLHPRSSIMKLPYDNYSDLVLSRLASDRARAKLINSNIERAVSTFNCLHAVKPDVQVQPEDLKTPLTHSEGGYLARIGVGQPVKEFHLIADTGSQITWLQCLPCDPCYEQSDPIFDPSGSSSFSPLSCASQECTSLEKNQNCKADPCTYKASYGDNFSSMGEFATETVSFGSSGSVDKVAIGCGHTNQGGFGGAAGILGLGGTPVGFPSQIRATSFSYCLVDMDSNSSSSLEFNSAPPGDSVLVPLIINPRIEAYYYVELTGVTINGEKVSIPASDYQIGEDGRGGILVDSGTAITEFPTQVYYSVRDTFVKYARALPPASGFDYGPVKLDTCYDLSSEPTDCYPTMSFEFHGGKTLSLRPANYLIRVDTSGKHCLAFTGSSERVSSKRVSIIGNIQQQGMRVTYDITNKVIGFSPNQC >CDO98508 pep chromosome:AUK_PRJEB4211_v1:4:6222373:6223385:-1 gene:GSCOC_T00022627001 transcript:CDO98508 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQNRIKDSAFCDGTTSLDKSPTCGLPLGLDFDYFAGQLYCCDPFVGLTRVGAFGGLATTLVPTKDGVPYRFLIAVTKTSDGPVYFTDASAVYGPKDADKSIQTGDSTARLLKYDPRTKNVTVLLSQLAGAVGLATDSDASFLLVSEFIAKRILRYWLKGEKAGTSEVFLTFPGGPNKIKRNQEGNYWVAVNVPKNDSTVAPQGVKFSPSGEILAMVDLSKEYNSRITIVIEYNNKLYVGGRNFPFVGQYDIKYVRNLTSTKINLYQIPIIVVEARMPF >CDO98437 pep chromosome:AUK_PRJEB4211_v1:4:5542117:5546989:1 gene:GSCOC_T00022524001 transcript:CDO98437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MLRGCDSRVPTAEQSPPPKSAKRKTSQLNHHYHHTSRKEKLIASKTGTESEIETLTLTRCSTVSTANFTSHQIHRKQKHRRKRTSKLPITGDYRQWSYSTRDFSYCKDRVLLVSYNILGVENAAKHPDLYSNISPKYLDWDYRKRLLHKEIKNYKPGVICFQAISYSLRALTEVDRFDDLDDLLKRDGFRGVYKARTGEASDGCAIFWNNELLTLLHEESLEFQTFGLRNNVSQLCIFRMNQSQLLMDMNSQAPKYSSSRTFLVGNIHVLFNPSRGDIKLGQMRLFLEKAQKLSQEWGGIPVVIAGDLNSMPQSAMYQFLTSSELDVQQHDRKQISGQICPLENPSFDCRSSHAASFYSSKKPLMHRWSQDELRLAAGSAVSHVRHPLKLCSAYVGVPGSTRSRNNIGEPLATSYHSKFMGTVDYIWHTGELLPVKVLETLPINRLKETGGLPSKRWGSDHLALACELAFADRGTEE >CDO98582 pep chromosome:AUK_PRJEB4211_v1:4:7098897:7101022:-1 gene:GSCOC_T00022732001 transcript:CDO98582 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEMPELDDNEDDESLFFSFTSIEIATDHFSEENKLGQGGFGPVYKGKLVNGLEIAVKRLNRMSGHGIEQFKNEVKVISKLQHRNLVRLLGSCIEKEERLLVYEYLPNNSLDSVLFDAAKRNILDWKGRLKIIEGVAQGLLYLHKYSRLKIIHRDLKTSNVLLDADLNPKISDFGTARIFGENEMRGSTLNIVGTYGYMSPEYAMDGIYSEKSDVFSFGVMILEIITGKKNTSFYDSDRHLNLIGHVWDLWTEGRIPEITDSCLDETISTSEALRYVHVGLLCVQEKAADRPTMSDVVSMLLKESMVLVSPKRPAFAEIMTLNNTKLPEYPELCSLNEVTISDVQGR >CDP15091 pep chromosome:AUK_PRJEB4211_v1:4:10278222:10280759:-1 gene:GSCOC_T00042657001 transcript:CDP15091 gene_biotype:protein_coding transcript_biotype:protein_coding MIQMRKHLCRLQSETILLTVAPMRIEVEKGPETERVELLNQKSKVMNQPCPGSKGLKILLLTNHFKVSVAAKIPDFYHYNVSILHDNGKLVGSSNLRRKVIAQAHETYKSELAEKSFAYDGFKNLYTVGCLPRNNLDFVVVLNAISLKRVSGGANLSGKESPCENDQKRHRLMSSAKTFKIQMSFVATISTQQITNTTSEQGPEKHEGLKVLDTILRQHAAKQEYLVLRQSYFSNDSKNFMDLGGGVFGCRGFSSRFQDLQGGLFLNFDVTTTTIVQPGPVINFLISHQNVSDPFKVDWLQAKRTLKNLRIKVAHNNREWRITGLSEKSCKQEKFWCKLDGGSNQVALLQQKEMTVYDYFTKVLGISLTYSADLPCIDAGKPCRPTYFPVEVKNFVFFVFSLYFQVN >CDP12275 pep chromosome:AUK_PRJEB4211_v1:4:26399706:26402144:1 gene:GSCOC_T00035718001 transcript:CDP12275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G18730) UniProtKB/Swiss-Prot;Acc:Q8RXS1] MAEAVMSFTIAKPNFQSTSVQTTIVDLNPFSKSLRLCPTAGLHNGWNLIGGINSKRASTCKANAFPDWPLMAVLVEHAEGQRDLITHKSIWHLSDQAIKNVYTFYIMFTCWGCCFFGSTKDPYYDSEEYRGDGGDGTGHWVYEKQEDIEERARAQLWREELIEEIEQKVGGLKELEEAGKKEELVK >CDO98424 pep chromosome:AUK_PRJEB4211_v1:4:5439334:5445472:1 gene:GSCOC_T00022509001 transcript:CDO98424 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANKTRNMLEGLVKEGSFKWLTRTRSAYDEAIEEMGRSPSAGKNWLPDLSPVANVVVRRCSKILGVAMEQLKENFDEVASGALKHPSQYARNFLEYSSFRALVLSAQVAGYLEDKKFRRLTFDMMVAWEIPAAGSQPVLNMDEDVSVALEAFSRIAPAVPIIADVIVSHKLFQVLTASSGGRLHFSVYDKYLGALARAVRKLKTQSESSLLSAVRSARGEKILDVDGTVTGQPVLEHVGISAWPGRLTLTDQALYFEALRVVSYDKAKVYDLSDDLNQVVRPELTGPLGTRLFDKAVLYKSTFISEPVIMEFPELKGHTRRDYWLAIIREVLYVQRFIHKFQITGIQRDEALLKAIFGILRVQALKEMSSTIPLCFDTLLMFNICDQLPGRDLILETLANMSTTRELDRSNSGSPRGGMYSASASTMANTLDFMFGTGSTVPSPAGLLVGEIAVGETTPLEKAVMESRSSYKKVVQAQATVDGVRVDGIDTNLAVMMELLFPIVEFANWLQSLAYWEDPLKSMAFCMAFAYIICRGWLGYMFALLLIFSAVFLVLTRWFSRGRPIDELRIIVPPAKNAMEQLLAVQNAVSQVEELIQDGNVVLLKIRGLVLSIFPQASEKFAGALVVMALLLALLPAKHIVLLAFLELFTRYSPLRKASTERWARRFREWWFSIPAAPVLLERSKEDKKKK >CDO98463 pep chromosome:AUK_PRJEB4211_v1:4:5821841:5823760:1 gene:GSCOC_T00022563001 transcript:CDO98463 gene_biotype:protein_coding transcript_biotype:protein_coding MRSARWGYFRIITGTIIGGIFGFYVMHLAELKYKEMWNERLKKYEEELNRKKIAEQSNEFQESL >CDP16931 pep chromosome:AUK_PRJEB4211_v1:4:13485934:13489428:1 gene:GSCOC_T00005287001 transcript:CDP16931 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQLLIYSLPAFGLNEAFDRSVVMLTHSEALRESRDGIFEVRHPCLSSSYLQNFTCHGCFGLERMSSENVTTKMEEKELSSYIWLAGSSNWENCKELARAAAVNSSNSKLSELENHSKCIGLSSRTGKMMLNLTSYSHYNALSGFFAISNRLNLSAKANLTKMWETGEHICPRLWADQRSTSAQDCFHLSYMASLIEDALCLRNAEITFGPGDVSWTLGAALIEGDHLWRSTSESQKGFLTLKGNERISSSFLLFASLSFLLLIVHYSHIKLPMPNRGTSSTRGCLPSYLGPKRQPA >CDP16955 pep chromosome:AUK_PRJEB4211_v1:4:13804002:13806909:1 gene:GSCOC_T00005323001 transcript:CDP16955 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPNHLDDDDDFGGDFSSKRSGAKRSFTDLDDDEDDFFGSKKGKLKAEETAPGVATGMILSLRESLQNCKDALAMCQAINLNITSQTF >CDP15086 pep chromosome:AUK_PRJEB4211_v1:4:10214416:10216075:-1 gene:GSCOC_T00042649001 transcript:CDP15086 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFLLVTLSLALVLEIGSSFDFHEKELETEESLWDLYERWRSHHRDSTSLDEKLKRFNVFKSNVLHVHQFNKKDKPYKLKLNKFADLTSHEFRSFYAGSKIKHHRLLRGQKADNGTFMYANVDRAPPSVDWRQKGAVNPIKDQGQCGSCWAFSTVVSVEGINYIRTKKLVSLSEQELVDCDNTDNQGCNGGLMDLAFQFIRKQGGITSEQNYPYKAADGRCDTRKLKQPVVSIDGYEDVPPNDEDALLKAAANQPVSVAIDAGGSDFQFYSEGVFTGDCGTELDHGVAIVGYGTTLDGTKYWIVRNSWGTEWGEKGYIRMQRGIDDKEGLCGIAMEPSYPIKNSSSNPVGAADASETKDEL >CDP15019 pep chromosome:AUK_PRJEB4211_v1:4:9301875:9302716:1 gene:GSCOC_T00042549001 transcript:CDP15019 gene_biotype:protein_coding transcript_biotype:protein_coding MPERDCLVLMQRKPISSKNLSATSVFRSLKKTKFLLLEEFKKTKLIMSLRILPSCSFMNVLTDIEPFDCF >CDP12712 pep chromosome:AUK_PRJEB4211_v1:4:11543244:11544872:-1 gene:GSCOC_T00037314001 transcript:CDP12712 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRHALKSLVLIPLFVLVTCNAFEDFLHCISTSSKENIEKYIHKPNSPEYSSLLKYAQKISRWSNSTSAFPLFIVTPYHEAQMRSIILCSKKLGLQVRVKSGGHDYEGLSYRCRSPFIMIDLCNLKSINIDLESETAWIQTGVTLGQLYYAIAQKSKTHAFAGGLCPTVGSGGHISGGGIGTLLRKYGLAADNVVDARVMDANGQILDSKEMGEDLFWAIRGGGGASFGVILAWKLKLSRVPEQVTAFTIRRKLDRNNIKLIQRWQNIAHQFPEDLFVRMILQNQAPIVKGGEKIVQISFQGLYLGTADKLVTLSSRYLPEFGIKVRDCFQDPTEIKNCKRKPCIKKECYQVPWIKSALYFASKIPKSSLQYLVSKRSTPAYYKAKSDFVTRPIPEKAWVLIKKMFLEEDSPMMILDPFGGRMSQICESKLPFPHRNGTLYNIQYLVNWKYNNQSESNKHIEWIRRLHKKMEPFVSQYPRAAYINYRDLDLGVNGEEYKYEEAKTWGEMYFKDNFEKLARIKSKVDPSNFFRNEQSIPLLF >CDO97936 pep chromosome:AUK_PRJEB4211_v1:4:1685701:1693560:-1 gene:GSCOC_T00021885001 transcript:CDO97936 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTVSVKWQKKVFPAVEIDTAQSPYVFKCQLYDLTGVPPERQKIMVKGGLLKDDADWPKVGVKEGQRLMMMGTADEIIKAPEKGPLFAEDMPEEAQVVNVGHSAGLFNLGNTCYMNSTVQCLHSVPELKSALTNYPPSGAGNNVDQSSHQLTVATRDLFIELDKNAKPVAPMQFWMVLRKKYPQFGQLNNGAFMQQDAEECWTQLLYTLSLSLKSSSSSEEPDTVKALFGIEFVSRVHCTESGEESTERESVYSLKCHISQEVNHLHEGLKRGLKSELEKASPALGRSAIYVKDSRINDLPRYLTVQFVRFFWKRESNQKAKILRKVDYPLVLDVFDLCSDDLRKRLETPRQILRDEEGRKLGLKVDQKSSGSDTDVKMTDNEESANGNGGTSKDSSLEGKEVHLTGIYDLVAVLTHKGRSADSGHYVAWAKQENGKWIQFDDDNPIPQREEDITRLSGGGDWHMAYICMYKARLVAM >CDO97724 pep chromosome:AUK_PRJEB4211_v1:4:47612:57561:1 gene:GSCOC_T00021603001 transcript:CDO97724 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPVRIVESTGTGKWASSKGAASFRSPLNNLVADELGLLLKGSKIHGDHTSMVPNRSGSAPPSMEGSYAAFSNLIYPQRSSWDSSMGSSGRAFDCYQTGRQLGVDPSNFGFASSNIDLSARFPQSTMSRESWHQVHDIGTLSNSWGLTPSGSSGDGSLLLARSSLSTHPEEPEDDNSTQQASDDWAENSTSIPEQSIFSLSGRHKSLVDLIQEDFPRTPSPVYNQSRSGHVTTDEPIDDEVQALELHNLSLDISKLPELKSPSDSGARLEISHKLKAIDDSCTTSLPKTSYLDNLERSPSTQKDDRSKDQCLEVEVMRGHPSTSDDRNKQENKFYEKNILQQQLPFSQQCSHFQFQTSQDQVTGQAVNNMSNVHGKVPQSHFNFSYEAQPVLQSPGFTPPLYATAAAYMASGNQYYSNLSPTTLYAPQYSMSGYALGSGFIPPFVAGYPSHTSLPMHFETSSAQSFSDQSTGVSTGESTVQVGDLQHYNKFYGHQGLMVHPPFPDPFHVQYFHPPLEDAYSAPYGRPSSMNMIGGQFDSYASQKNPNLPAYIGDRKFQPAPSGSISILSPRKIGTPGSNYYGSPTGLSFMPPFPGSPLGSPVLPGSPVGGTNPSGRRNDLRYSQASVRNTGVYAGWQGQRGSDGFSDPKKHTFLEELKSGNARKIDLIGIAGRIVEFSVDQHGSRFIQQKLENCSAEEKASVFQEVLPHAPKLMTDVFGNYVIQKFFEHGDPEQRKELAHRLSGQMLTLSLQMYGCRVIQKALEVIELDQKIELVHELDGHVMRCVRDQNGNHVIQKCIECVPAEKIGFVICAFQGQVATLSTHPYGCRVIQRVLEHCSDDSQTQCIVDEILESAYVLAQDQYGNYVTQHVLERGKQHERTQIISKLTGKIIVMSQHKYASNVVEKCLEYGDAAERESLIEEILAQPDDNDNLLTMMKDQFANYVVQKILEISNDRQREILLNRIRIHLHALKKYTYGKHIVARFEQLSGEECGSSEA >CDP17950 pep chromosome:AUK_PRJEB4211_v1:4:8553239:8553607:-1 gene:GSCOC_T00005599001 transcript:CDP17950 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCMLFNCVAKKNGMKKELWTKGARNGLISVRGNKKDESKRFIVVNDVEKHMYAVVAPYPDIIIHTSSETWLSNFLFGKALIAFYMHLLYFGPRLVFGIWFGQFLDFQRNFSYLKEKTKQS >CDO97913 pep chromosome:AUK_PRJEB4211_v1:4:1481428:1487575:-1 gene:GSCOC_T00021859001 transcript:CDO97913 gene_biotype:protein_coding transcript_biotype:protein_coding description:HTH [Source:Projected from Arabidopsis thaliana (AT1G72970) UniProtKB/TrEMBL;Acc:A0A178WG21] MALLVGASALKLLLSLLLCLNTLTSSCQGKDQRTWESRYPFIKRASSFSSSESVTPGPEGGDGEGYDYIIVGGGTAGCPLAATLSQNYSVLLLERGGAPFGNVNVSFMQNFHISLADTSSSSASQFFVSTDGVLNSRARVLGGGTCINAGFYTRASSSYIREVGWDEKLVNESYPWVEKQVVHKPDLAPWQQALRDSLLEVGISPFNGFTYDHLYGTKVGGTIFDRFGRRHTAAELLASSNPEKLSVLIHATVQKIVFDTRGKRPRATGVIFKDEKGIQHRAFLSRDTRSEIILSSGAIGSPQLLLLSGIGPKADLKKFNIPTVLNNPFVGKGMADNPLNTIFIPTNGPVQQSLIQTVGITKMGVYIEASSGFGQSTDSIQCHHGMLSAELGQLSTIPPKLRTQEAIQAYRKRKRDLPHEVFKGGFILEKIARPLSTGKISLINTNVDDNPSITFNYFSHPRDLQKCVNGIRIMEKIVNSNRFTNISQCKKETIEKLLNMSVQANVNLIPKQTNDTKFLEQFCKDTVVTIWHYHGGCHVGKVVGPDYKVLGVQRLRVVDGSIFRDSPGTNPQATVMMMGRYMGVKILRKRLGRAAGI >CDP15061 pep chromosome:AUK_PRJEB4211_v1:4:9790328:9801912:-1 gene:GSCOC_T00042607001 transcript:CDP15061 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFNRVIYRNEALRVAFIHTEEVGAAGGKVTKEFYSKLVKADAHGKDQEIYSIKLPGDPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAIKMRNLLEEFRGNHGLRPPTILGVREHVFTGSVSSLALFMSNQETSFVTLGQRVLAKPLKVRMHYGHPDVFDRIFHITRGGISKASRIINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLLDFFRMLSFYFTTVGFYVCTMMTVLTIYIFLYGRAYLAFSGVDRGISREAKLLGNTALDAALNAQFLVQIGVFTAVPMIVGFILERGLLKAIFDFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEIALLLIVYIAYGYIDGGGVSFVLITLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWTSWLMYKGGVGVKGDNSWESWWDEEQMHIQTWRGRILETILSSRFLMFQYGIVYKLNLTGKHTSLAIYGFSWVVLVGIVLIFKIFTFTPKKSTNFQLMLRFTQGVTALALIAALVLVVVFTNLSVPDLFASILAFIPTGWLIICLAITWKRVVRSLGLWESVKEFARMYDAGMGMIIFAPIAMLSWFPFISTFQSRFLFNQAFSRGLEISLILAGNKANVEV >CDO98338 pep chromosome:AUK_PRJEB4211_v1:4:4715397:4719625:1 gene:GSCOC_T00022401001 transcript:CDO98338 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKLFELNNGTIQLKVSSLGCTITSLSVPDKNGKLGDVVLGFDALEPYLKGAAPYFGCIVGRVANRIKDGKFTLDGAHYSLPINKPPNSLHGGHKGYDKVVWELVEHKQGNEPSITFKYYSRDGEEGYPGELAVTATYTLTSATTLRLDMKAVPQNKPTPVSLAQHTYWNLGGHNSGNVLDHTIQIWAKHVTPVDQNTIPTGEIMPVKDTPFDFTTEQKIGSRIHEVGIGYDHNYVLDCEDEQWGLKHAVKLKDPVSSRVLNLWTNAPGMQFYTANYVHGIVGKGGAQYDKHSGVCLETQGFPNAINQPNFPSIVVRPGEKYEHSMLFEFSVE >CDP20573 pep chromosome:AUK_PRJEB4211_v1:4:8909923:8913062:-1 gene:GSCOC_T00000096001 transcript:CDP20573 gene_biotype:protein_coding transcript_biotype:protein_coding MPETMEKGEQKIPETVVLNSGHKMPVVGLGCAAHPLPPSEQLVSTFIDAMEIGYRHFDTAACYGTEEALGIAVAKALEIGLIKSRDELFVASKLWCTDADHDLVLPALKQTLGKLGLEYLDLYLIHWPVRLKHGAQMFSLAEDEILPFDMHGTWKAMEECSQLGLTKSIGLSNFTCEKISKLLQIATIPPAINQVEMNVGWQQRKLVPFAKEKGIVISAWSPLGSYGNFWGTNAIVENPIIKDIAASKGKTVPQVALRCIYQQGACVIVKSFNKERMKQNLQIFDWELTKEEMDRILQIPQRRGFAGDVFVHPTGPYKSIEELWDGDI >CDO98517 pep chromosome:AUK_PRJEB4211_v1:4:6323116:6325754:1 gene:GSCOC_T00022639001 transcript:CDO98517 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSKLQQSQLCTGTQDARSDNLVGFNTRISHLAEQFQSFFMGAIMSTTGNSRGPRDHIPVQYSVKHLKCVT >CDP12752 pep chromosome:AUK_PRJEB4211_v1:4:12521153:12521740:1 gene:GSCOC_T00037387001 transcript:CDP12752 gene_biotype:protein_coding transcript_biotype:protein_coding MISLAFFKASFLLQISIIASFLLAAYAGNFYQDVTQNFGDQRFKILEGGQLLTLSLDKTSGSGFQSKNEYLFGRFDMQLKLIPGNSAGTVATFYLSSQGQGHDEIDFEFLGNSSGQPYTVHTNVYTQGKGGREQRFSLWFDPTTSFHTYSIVWNPQRIM >CDO98167 pep chromosome:AUK_PRJEB4211_v1:4:3356218:3363536:1 gene:GSCOC_T00022173001 transcript:CDO98167 gene_biotype:protein_coding transcript_biotype:protein_coding MANSATLTLSSPEPPQSRIAPVFPFSSSSSSFLAGGTHLRSHKKFISVSLSSSSSQFSNKISARRFGRLVVAAADYYSTLGVSKSASGKEIKAAYRRLARQYHPDVNKEPGATDKFKEISAAYEVLSDDKKRALYDQYGEAGVNSSMGGQAGAYTTNPFDLFETFFGPSMGFPGMDATGFGTRQRSTVTKGEDLRYDIRLEFSAAIFGAEKEFELSHLETCEACAGTGAKTGSKMRICSTCGGRGQVMRTEQTPFGMFSQVSICPNCGGNGEMISEYCRKCSGQGRIRVKKDIKVKIPPGVGKGSILRVAGEGDAGPKGGPPGDLYVYLDIEEIPEIQRDGINLSSTVSISYLDAILGTVTKVKTVEGLTDLQIPPGTQPGDVLVLARKGAPKLNRPSIRGDHLFTVKVSIPKKISSQERELLEELASLSSKPGKRSKTRPNVQQTTKTVQSETDSATNNSEESEEQNDLWKKFTDFAGSVANGALKWLKDNL >CDP14361 pep chromosome:AUK_PRJEB4211_v1:4:22017272:22018105:-1 gene:GSCOC_T00040709001 transcript:CDP14361 gene_biotype:protein_coding transcript_biotype:protein_coding MILHDVLRLYPLVPVNGRITAAATKLGKFSLPTGMVFLLQTQLVHHGLEIWGEDATEFKPERFYQGVSHSTKGNVALFPFGWDLLLVQMRGIHAMLEAKLVLTMILQRFSVELSPSYSHATISLITLNQSTVLP >CDO98307 pep chromosome:AUK_PRJEB4211_v1:4:4380607:4387353:-1 gene:GSCOC_T00022358001 transcript:CDO98307 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNDPPRPVLKKPKIEKTSEAGEEKRSNNGGNHEQEDEEETTRKEQEEALVALIEHRTKEVEHLRHRISYYTTQLDQAENRLEESKIQLARIRGRHSSLTTKAFLGSGTKEANVEGRSCAPLQNSQGLQNLSESKPQLGQEAVKTSRNYEGRERGAASPSGHSEYSSKNQHQSKPKLVIPSIAASSPRASQLVKTKESGSKVASSSGSQQNAITPTSDNISVKVREDRSYKVSPKPEVSASQTKAAKRIIELKEHKELIPLVRSSSSPITIRCHTGSVISSQHKRKLRSLILCPTNDQLFATSALDGIINLWQVQGKGSSANLLSTTDCSSAKQRRWPEDIAWHPQGDSLFSVYSADNGDSQISILNLNKTKEGTRVSFLEQKPHLKGIINSITFMPWKDTRFVTGGSDHAVFLWSEKDGENPWKHKVLHRSMHSSAVMGVAGLQQKTTVLSVGADKRIVGFDVASERAGYKHQIESKCMSVVPNPCDFNLFMVQTGTPERQLRLFDIRLRNTELHAFGWKQESSDSQSALINQAWSPDGLYISSGSADPVIHVFDIRFNSRRPSQSIKAHQKRVFKAVWHHALPLLISISSDLNIGLHKTY >CDP15074 pep chromosome:AUK_PRJEB4211_v1:4:10089902:10095010:-1 gene:GSCOC_T00042632001 transcript:CDP15074 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGFLTEEQREMLKIATQNAEILSSSLNSPTPKSPSPKEHYVKALGGGRASAAGGAPVRHVRRSHSGKYIRVKKDGGGGKGTWGKLLDTDGEFRIDRNDPNYDSGEEPYELVGSAVCDPLDEYKKAVVSLIEEYFTTGDVDVAASDLRELRSSEYHPYFVKRLVSMAMDRHDKEKEMASVLLSALYADVISSAQISQGFFLLLESADDLEVDILDAVDILALFVARAVVDDILPPAFITRAGKMLPESSKGLQVLQTAEKSYLSAPHHAELVERRWGGSTHLTVEEVKKKIADLLREYVEGGDTSEACRCIRQLAVSFFHHEVVKRALVLAMEIRTAEPLIRKLLKEAAEEGLISSSQMVKGFVRLAESLDDLALDIPSAKTLFQSLVPVAISEGWLDASFLKSSGKDGEVQDKDDEKLRRYKKEVVTIIHEYFLSDDIPELIRSLEDLAAPEFNPIFLKKLITLAMDRKNREKEMASILLSALHIEIFSTEDIVNGFVLLLESAEDTALDILDASNELALFLARAVIDDVLAPLNLEEIASRLPPKCSGTETVRMARSLVAARHAGERILRCWGGGTGWAVEDAKDKILKLLEEYESGGVVSEACQCIRDLAMPFFNHEVVKKALVMAMEKKNDRMLDLLQEGFNEGLITINQMTKGFGRIKDGLDDLALDIPNAKDKFGFYLEYAKERGWLLPSFSLSYPEAPPNLAASS >CDO97802 pep chromosome:AUK_PRJEB4211_v1:4:596972:599719:1 gene:GSCOC_T00021708001 transcript:CDO97802 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSNLPLLPAALFLLLLPTPSVLATERELKTFIVRVRPDAKPSIFPTHQHWYESSLTSLLSSHDSSAATATPPPPPSLLLHTYSTVVHGFSAQLTVSQAHALHSFPGILAVIPEQVRQLHTTRSPQFLGLKTSDSAGLLKESDFGSDLVIAVIDTGIWPERKSFDDRDLGPVPPKWKGACVPGRDFLATSCNRKLIGARYFSNGYEATNGKMNETTEYRSPRDSDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWNSGCYDSDILAAFDAAVADGVDVISLSVGGVVVPYYLDAIAIGAFGAWEAGVFVSASAGNGGPGGLTVTNVAPWVTTVAAGTIDRDFPAEVKLGNGKVIPGTSLYGGPALAPHKLYPLIYAGSEGSDGYSSSLCLENSLDPKAVEGKLVLCDRGINSRASKGEVVKKAGGIGMILANGVFDGEGLVADCHVLPATAVGASSGDEIRKYILSESKKSSATATATIMFRGTRLNVSPAPVVASFSARGPNPETPEILKPDLIAPGLNILAAWPDGVGPSGLPSDKRRTEFNILSGTSMACPHVSGLAALLKAAHPEWSPAAIRSALMTTAYTVDNRGETMLDESSGNSSTVMDYGAGHVHPQKAMDPGLVFDLTTYDYVDFLCNSNYTVKNIQAITRKKADCSGAKRAGHIGNLNYPSLSVVFQQYGRHKMSTHFIRTVTNVGDANSVYKAAVTPAAGTSVTVQPDKLTFRRAGQKLNFLVRVEAEAVKLSPGSSSLKSGSLVWSDGKHSVRSPIVVTMQQPL >CDO97836 pep chromosome:AUK_PRJEB4211_v1:4:861883:868083:1 gene:GSCOC_T00021754001 transcript:CDO97836 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRKMVSSTTKRDDDEDSGGVDTQTHSGIDRVSNRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNLHVGSVFGGNDSHQLKGPEITLELRHLLNLLTLCWHFSKKPFPLFLEETGFSQENVLLQEPKAGILKPAFTVLVDQNSKTFLLLIRGTHSIKDTLTAATGAVVPFHHSVVCEGGVINLVLGYAHCGMVAAARWIAKLATPCLLKALNNYPEYKLKIVGHSLGGGTAALLTYVLREQKELSTATCVAFAPAACMTWELAESGSEFITSVINGADLVPTFSAASVDDLRAEVTASAWLNDLRNQIEQTRILSTVYRSASALGSRLPSMASAKAKVAGAGAILRPVSTGTQVVMKRAQSMAQAALSRPGMRLTSWSCMGPRRRSANKQGSTNDGAESLESSAIHGETSEPFLATSEVTSSSIDSSEIPVSSSGGVVWSSGSCSSEIRCGVDADLDEGEDVLDHDRHQDRMTEVELWQQIEKELYDQIECEESDVVKEIREEEAAAIAEVSDSDSESSLPNTKEVHRFFPPGRIMHIVTLLTDEVDCGIDSITSSSLDHCQPAEPKVGIFLTPRSLYSKLRLSQTMIADHFMPVYRRQMEKLIRELDEESSDSHCFDQEI >CDO98100 pep chromosome:AUK_PRJEB4211_v1:4:2833989:2837220:1 gene:GSCOC_T00022088001 transcript:CDO98100 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSWSRALVQISPYTFSAIGIAIAIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTSQIYAPESLRAGYSIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSTLFVKILVIEIFGSALGLFGVIVGIIMSAQASWPSKNA >CDP12745 pep chromosome:AUK_PRJEB4211_v1:4:12430779:12431513:1 gene:GSCOC_T00037377001 transcript:CDP12745 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKTRKSRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFHCPIVNIDKLWSLVPSDLKEKAVSEGKSGKAPLIDVTQFGYFKVLGKGVLPENQPVVVKAKLVSKTAEKKIKEAGGAVLLTA >CDP14923 pep chromosome:AUK_PRJEB4211_v1:4:26118928:26123910:-1 gene:GSCOC_T00042414001 transcript:CDP14923 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEIYDTTCILGSKFTYLGVTKIINSLFLESGKSSQVVWCLLFLCILFWSENRKGSAVKFLLIPGKHF >CDO97978 pep chromosome:AUK_PRJEB4211_v1:4:1991602:1997626:-1 gene:GSCOC_T00021932001 transcript:CDO97978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:Projected from Arabidopsis thaliana (AT1G79750) UniProtKB/TrEMBL;Acc:A0A178W4H6] MLSLNGTSFLDNSLYGVSRGVVQQHRRVAPPMVVVASVSSNGRAGDRNVSVLVETSLKEIRDASPAPPADKDSKSKVTGGIGDVYGEDTATEDQSITPWTVSVASGYPLLRDPHYNKGLAFTEKEKDAHYLRGLLPPVVVSQELQVKKMMANIRQYQVPLQRYMAMMDLQERNERLFYKLLIDHVEELLPVVYTPTVGEACQKYGSIFRQPQGLFISLKEKGKILEVLKNWPQQKIQVIVVTDGERILGLGDLGCQARHHLLGMGIPVGKLSLYTALGGIRPSACLPVTIDVGTNNEKLLNDEFYIGLRQRRATGKEYAELIDEFMSAVKQTYGEKVLIQFEDFANHNAFDLLAKYGPTHLVFNDDIQGTASVVLAGLIAALKLVGGTLAEHTFLFLGAGEAGTGIAELIALEMSKQTGAPVDETRKKIWMVDSKGLITRSRMEGLQHFKRPWAHEHGPVTNLVDAVKAIKPTVLIGSSGAGRTFTKEVVEAMATFNEKPVVLALSNPTSQSECTAEEAYMWSEGRAIFASGSPFDPVEYDGKVYASGQANNAYIFPGLGLGLIISGAIRVHDDMLLAASEALAAQVTEENLESGLIYPPFSNIRKISAKIAADVAAKAYELGLATRLPQPENLVAYAESCMYSPAYRCYR >CDO98054 pep chromosome:AUK_PRJEB4211_v1:4:2489180:2490214:-1 gene:GSCOC_T00022028001 transcript:CDO98054 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELTGGYKIKYHANGQENDPIEIDFTPPFRRIDMIDELEKMANLNIPKDLSSVEANKYLLDACAKFDIKCPPPQTTARLLDKVNTVSLHGHYAATDFQLSCQKTSGMCTFHLLSHLTYLLHALNSDLNPACVMSQVEEKVLKFKNDLNPTFIINHPEIMSLLAKWHRSGPGLTERFELFINKREVCN >CDO98328 pep chromosome:AUK_PRJEB4211_v1:4:4662120:4664764:1 gene:GSCOC_T00022390001 transcript:CDO98328 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASISKTLARTLRQGVSRSPTTLPSQWVRAPLPPLSSFSTSAPSISSPTTPPPEQERRSALSKLLLFIPGAITFGLGTWQIFRRQEKIKMLDYRQNRLGMEPLKCDKVAPSSETLDSLEFRRVRCKGVFDEKRSIYIGPRSRSISGVTENGYYLITPLLPIPGNPESLQSPILVNRGWVPRAWRDKSLEAPADDRQASDAPSSSTRENTKGSWWPFASKKAEDKVPTISPVEVTGVIRGSEKPSIFVPANDPSSSQWFYVDIPAIARACGLPDNTLYIEDINENIDPSKPYPVPKDIATLMRSSVMPQDHLNYTITWYSLSAAVTFMAFKRLKPKRSRR >CDO98351 pep chromosome:AUK_PRJEB4211_v1:4:4799487:4801765:-1 gene:GSCOC_T00022418001 transcript:CDO98351 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRCNGSSALQLDRVNVYFNEASGGRYVPRAVLMDLEPGTMDSIRTGPYGQIFRPDNFIFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFEVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQLINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLTMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEDAEYEDEEEAGAEN >CDP18086 pep chromosome:AUK_PRJEB4211_v1:4:14039182:14048446:1 gene:GSCOC_T00008253001 transcript:CDP18086 gene_biotype:protein_coding transcript_biotype:protein_coding METLIPEPTPTNVRKFKKGLWRKTTPKGLKLKKFIEGPDGTLVHDSSFVGEDAYDDDDHPWESVKEIIDQDVKLNKEEKKVLEEDLTILGENQESRGTWRERLQAWNEILQKDKLAEQLDSLNARYVVEFDMKEVENNLRKDVLEKVKNNHGNRALWISKRWWRYRPKLPYMYFLQKLDSSEVAAIVFTEDLKRLYVTMKEGFPMEYIVDIPLDPYLFEMISSSGAEVDLLQKRQIHYFLKVVFALLPGILILWFIRESLMLLHITSRRFLYKKYNQLFDMAYAENFILPVGEVGETKSMYKEVVLGGDVWDLLDELMIFMGNPMHYYEKEVKFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAARINEMFSIARRNAPAFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGEKERTGVDRFSLRQVVIFICATNRPDELDLEFVRPGRIDRRLYIGLPDAKQRVQIFGVHSAGKRLAEDVDFEKLVFRTVGYSGADIRNLVNEAGIMSVRNGHPKIYQQDIVDVLDKQLLEGMGVLLTEEEQQKCEQNVSFEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREDMVDQDDLEKITKIAREMVISPGNPRLGLTALTRRLGLVERPDNPDRELITYKWDDPHVIPADMTVEVSELFTRELARYIEETEEFAMKKLMENRHILDMIAKELLEHSRITGLEVEEKIRGQSPCMFEDFVKPFQINLAEDGPLPHNDGVRYQPLDIYPAPLHRC >CDP14889 pep chromosome:AUK_PRJEB4211_v1:4:25759792:25763638:-1 gene:GSCOC_T00042373001 transcript:CDP14889 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSKELVTYRPPEEFEEVEKDALIELNLDDSKELWLIQWTVNQTSDLNGQQVSLKFHHDGHLGSFEGSSGKLYDVVSFKLQDPEVTRNRTQKRLYSCHQHQSLESLGRSHGLFLYCIIRSPVN >CDO98257 pep chromosome:AUK_PRJEB4211_v1:4:4000235:4000949:-1 gene:GSCOC_T00022288001 transcript:CDO98257 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDSIITSPHRRSQPQSGFSSPMLKKQMSRGDEFGNCSTLIQRHRFLLTALALLAFLCTVYLYFAVTLGGSDSCSGLSRAQKAACHLQQAKAAVGKGKLKFF >CDP14858 pep chromosome:AUK_PRJEB4211_v1:4:25160394:25162251:1 gene:GSCOC_T00042327001 transcript:CDP14858 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSTAAAQLRCLRPNLSNLRPLYRFSGDYAPRFLAMATPQKVNKYDSKWEKKWFGAGIFYEGSEEVEFDVYKKLEKSKVLSNVEKAGLLSKAEEFGITLSSIEKLGLFSKAEDLGLLSLLEKAAGSSPSDLASAALPILVAAIVAIVVIPDDSAGLVAVQAVVAGALGVASAGLFIGAVLLGGLQEAE >CDP12760 pep chromosome:AUK_PRJEB4211_v1:4:12637613:12641208:1 gene:GSCOC_T00037399001 transcript:CDP12760 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPPRPPPIELTKEQLLDGPLKAFGFELDLISPEKVTGHLVVTPNCCQPFKVLHGGVSALIAEGLGSIGAYTASGRRRVAGIQLSINHHKSAQVGDLLFAEATPLSTGKIIQVWEVHLWKTSSSSSENRSLMASSKLTLVCNLAENTKDAQKYVKHAKL >CDO98149 pep chromosome:AUK_PRJEB4211_v1:4:3244290:3245041:1 gene:GSCOC_T00022153001 transcript:CDO98149 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSITINSFLLPVVSTVIMTLSRVFQVRLKVSVSAILISSLICLPSRSGSPAVGMMPSNTNSDQNALQGRKMVLGSRPPGCVNKCMSCQPCKATLVIPPHQDEGSRKLAHREDDSYYLLSWKCRCGDKLFQP >CDO97752 pep chromosome:AUK_PRJEB4211_v1:4:236909:250395:1 gene:GSCOC_T00021637001 transcript:CDO97752 gene_biotype:protein_coding transcript_biotype:protein_coding MTTANNNNVNAPPSPTSFSPPLKNNKMLNVPQQHELDVEAGIHVVAQPPSPGSWLPSHDNHHHDDDDDDDDAFSDPFDIANTKTASLESLKRWRQASLVLNASRRFRYTLDLKKEEEKEQRRRMIRAHAQVIRAALLFKLAGQRAIVLGATVAPPCANGDYGIGLEQLASISRDQNTSALQQYGEARIAEMLKTNLDTGIGGDADELLSRRNAFGSNTYPVKKGRSFLRFLWEAWQDLTLIILIIAAIASLALGIKTEGIQEGWYDGGSITFAVLLVIFVTATSDYRQSLQFQSLNEEKRNIKVIRGGRREKISIYDIVVGDVVPLKIGDQVAADGILIVGHSLAIDESSMTGESKIMHKDQKSPFLMAGCKVADGAGTMLVTSVGINTEWGLLMASISEDTGEETPLQVLVRHVASFLQTMHKVIADIFSWQYHVTGALEWGNHEPSHLHNGKKFFTGHSRNLDGTTQFVRGKTSIGETVDGVIKIITAAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGKNKMDPPEDGSKLHAVVSSLLDEGISQNSAGSVFLSKDGGVEVSGSPTEKAILSWAVKLGMKFDVVRSESIVLHVSPFNSTKKRGGVAVRGKSNSEVHIHWKGAAEIILDSCTEYLDINGRLQSIDQDKEFFKSAIETMAAKSLRCVAIAYRKCEVEKVPTDEEQLAGWVLPEDELILLAIVGIKDPCRPGVKDAVKLCTDAGVKVRMVTGDNLQTAKAIALECGILTSDADAIEPKIIEGRTFREMSDMERGQIAKAISVMGRSSPSDKLLLVQTLRKQGEVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRTPVGRREPLVTNIMWRNLSIQAFYQVGVLLILHFCGKSILNLKHDDAGHANMVKNTLIFNAFVLCQICNEFNARKPEEFNVFTGVTKNHLFTGIVGTTLVLQIIIIEFLGKFASTVRLDWKLWLVSIAIGLFSWPLAIIGKLIPVPETPLAKILSKPYQRLVAARKA >CDO98334 pep chromosome:AUK_PRJEB4211_v1:4:4689850:4703684:1 gene:GSCOC_T00022397001 transcript:CDO98334 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSQKIVSFLGLDEVGLELAASLLRSGYDVRAFETFSPLMDEFCKLGGKRCGNTTEMSKGLSALVILTCHSDHINEKILEQKGILKGHAGGHHTDSIVDMFVSRAVSDDLNGKVMIISSGSSETTHRAQPILSAMCEKLYIFEGELGGGSKTKMVIELLEGAHFVASIEAISLGAQAGIHPWILYDIISNAAGNSWVFKNYLPQLLRGNQTKHHFLNALIQNVGTVLDMSKSLVFPLPLLTVAYQQLIAGSLHGRKDDEDATLLKVWENVLGVNITDAANSKSYNPEELAHQISAKSENVKRIGFIGLGAMGFGMATHLLKSDFSVTGYDVYKPTLSRFADAGGLIGSSPAEVSQDVDVLVIMVTNEAQAESVLYGDSGAVPALPSGASLILMSTVSPAFVKQLERRLQNEGKNLKLVDAPVSGGVKRASEGTLTIMASGTDEALKHSGSILSVLSEKLYIINGGCGSGSAVKMVNQLLAGVHIASAAEAMAFGARLGLNTRLLFDVIRNSRGTSWMFENRTPHMLENDYTPLSALNIFVKDLGIVSHECSSRQIPLHIANVAHQLFLAGSAAGWGRLDDAAVVKVYEMLTGIKVEGKIPVLSKESILQSLPSEWPVDPIDDIHKLIEKSSKILVVLDDDPTGTQTVHDIEVLTEWNVEALIQQFKNRPKCFFILTNSRSLSSEKASKLVADICTNLYTASQSVNSTDYTIVLRGDSTLRGHFPEARTKNLSCKC >CDP14882 pep chromosome:AUK_PRJEB4211_v1:4:25590592:25596519:-1 gene:GSCOC_T00042362001 transcript:CDP14882 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVNRNASDEDLKKAYRRLAMIWHPDKNPTSNKQEAEAKFKQISEAYDVLSDPQKRQIYDLYGEEALKSGQVPPPPRGSGLYANRPHHHHHNQQQHPNPSFRFNPRDADDIYAELFGNETNAGGGGGGGSSSGRSGGAGRENASNNGYFFRSTTMGGSSSGAGNAGGGVGTSGGGGMRKEAPVETVLMCSLEELYKGSVKKLKISRRIIDRAGKFRNLEEILTVDIKPGWKKGTKITFPEKGNQEPGVIPADLVFVVDEKPHSIYVRDGNDLVANQEITLLESLTGKNLELTTLDGRNLLIPLTEIVKPGYEVTIPDEGMPISKDPRKKGNLRIKIDVKYPSRLSEAQKAELRRVLGPSS >CDO97735 pep chromosome:AUK_PRJEB4211_v1:4:144072:152739:1 gene:GSCOC_T00021615001 transcript:CDO97735 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHA-A [Source:Projected from Arabidopsis thaliana (AT1G78900) UniProtKB/TrEMBL;Acc:A0A384LM33] MPSLYGGPLTTFEDAEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKAIALKSGDVYIPRGVSVPALDKDTLWDFEPKKIGEGDLLTNGDLYATVFENTLMQHHVALPPDAMGKITYIAPAGQYSLKDTVLELEFQGVKKQYTMLQTWPVRTPRPVAEKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDSDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYSLANQAVERAAGMDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGEEALIAKFKKLRDDLTAGFRNLEDEMR >CDO97937 pep chromosome:AUK_PRJEB4211_v1:4:1699943:1711857:1 gene:GSCOC_T00021886001 transcript:CDO97937 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSGKLGRGGGGGRGGGAGAKRNIHSTFHPPPLHRPPSAAAPGGRLSMGGAGAGAGPRNRTASASAGAAAGAASSSSASASAEESFSLVTGNPLNFAMIIRLAPDLVEEIKRVESQGSVARIKFDANANNPAGNVINVGGKDFRFTWSRETGELCDIYEERQSGEDGNGLLVESGGAWRKLNVQRVLDESTKNHVKKLSEEAERKLKSRKAIVLDHGNPSMKSQMKALAAAESNPWRTKGAPFKKRNAEPPPGGPPKSVHKSGLTTTPPLKSRRPASPPSSPPEQSGAPGSPFGSGNIMKGHVNVEDFGSLQATSRTVSSDNDATGKVITSAGRGKPAQKGIKGAQPSDLRSMLISLLRESPSKGMSLKALEKAIGDTIPNSVRQIEPILKKIATFQDSGRYFLKPGVEIEGFKKASSGNQSSPEDSYHQSPAQDDRQAAPDTSFPLRSDANEMDEQAELDFKNEAAHISQEKGVLHHSYLFGDKKVSDNSEGPIGSSSDSGSDSDSESDSSDSGSDSSRSRSRSPAGSGTGSSSDSESDASTNSKEASDEDVDIMTSDDDKQAKYKLQASGLVSSRSPLLWRTSDVEPDHTGSDEKQDGHVSDVIEIEKDMPDDQPAVGMAAASISVPNKEGQKPVEQTTPSLYNHHEDQEREDHMVKIYKETGNMSRDRFNHGQSDSSEKASRGKYKRVSDEKDVENKPDRSKRFKLGNSHQTKVSPSRTTAFENSYQNSSPDRSFEELHKGHNNQMADKIKRDINSGFGSQKSHIHTSLGKSTSDSVQPIQRSADSSARAKPSASVERPGKHSEHLGRSIKHPERLHPMTEGVPVQKDKPNREMQDNYGSISYKGPGKVSKEDLGEKYTATFDSRHRKHEASGSVKDFGSVLNSNPGYPLKDENISARDRSVVNGRPNALQREFSDLELGELREPLPEETPGFRKQLDRKSSFKQLENKSLSSENGNLDSSKAKVGSKMNADSRKSSPLHTNIPFLGSSEGISNRGVHENSAEDLLRTNQRVVQSQQRHQPRVDYADAGTQHNRVVELSGKNRQGEAGRSLDATPEVQGNIPSKGLASVSQEHDAKQGAVPPSRKESRRQKSSTIPDLNDKQKDTSLTGSSDGCQKRRESSSDENSCPYSKYEKDEPELKEPIKDGFQYKEYVEEFQEKYGIYISLNKTLESYREEFLKYGRDLEASKDKDMDRYHDVERQIKESYRHCGARFKRLKKIFLVLHEELQHLKKMIHEFAAPYLKDLKD >CDP14833 pep chromosome:AUK_PRJEB4211_v1:4:24850018:24851109:1 gene:GSCOC_T00042299001 transcript:CDP14833 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGFSLLLQQINALLKKNFILDWRNRRSIFLQLFSSFFFIVFMFALRKTNKCTQSRPNFSAKVCDPKPITNSPIPACKDELIINVPCFDFVWSGSGNQRLEFIVNGIMTNNPGHTILRVKSFRTKDELDKWLLYNPMRCPGALHLFETNAKEIRYGICGGANPLEPRVSSNMVICQFGYIGRQFAYGCRVPTGWACGLRLPNFRSQPTEP >CDP12754 pep chromosome:AUK_PRJEB4211_v1:4:12530476:12533620:-1 gene:GSCOC_T00037392001 transcript:CDP12754 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSDEGHGGDFYLAAPYRAREGLITRRQGNNSGEIQLEINDLEQGALDYGVTDLRGQVRKLRNVSYEIEAEAKYHRNLVKVLKLPLVRAQAALKNNSRRLNQRIVREGSDHVKHVILFAVVCIFVLYFWSKFSGIWK >CDO98169 pep chromosome:AUK_PRJEB4211_v1:4:3364687:3370388:1 gene:GSCOC_T00022175001 transcript:CDO98169 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGSSISRALQQMSNATIIDEKVLNECLNEITRALLQADVQFKLVRDMQTNIKKIVNLDDLAAGHNKRRIIQQAIFNELCKMLDPGKPSFTPKKGKTSIVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIIDTSGRHKQEAALFEEMRQVSEATNPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTLRIMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGHEKESQAKIKRYMTMMDSMTNEELDSSNPKLINDSRIMRIARGSGRQVREVMEMFEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSMGSAKEMMGMFGGGDK >CDP18311 pep chromosome:AUK_PRJEB4211_v1:4:21358553:21361975:-1 gene:GSCOC_T00004341001 transcript:CDP18311 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLFPWLFLIPLLQILSVRHVVLLASGQCLEDQRSLLRELKNSLTFNSTSSTKLARWNNSKDCCLWDGVGCDSLGHVIRLELDNQTISGLLENSSSLFNLRYLVRLNLAFNNFSSTIPTGLFKLTNLTYLNLSGAGFVGQIPRDLASMSRLVTLDLSTRFPGVQPLEMENPKLQTLVQNLMELQELYLDGVNISAEGGEWGNALSSMLNLREISLSSCHLSGPISSSISELHSLSIINLNTNNLSAAVPDFFANFTNLTSLSLSSCNLLGKFPKKILRLPMLQNIDLSINNFITGTLPRFADSGSLLQTIVISYTNFSGSLPDSIGVLGDLSRIDLSNCNFTGPIPSTMADLTELVYVDLSNNQFNGSIPSFGMSKNLSHLDLSRNDLTGNIPSDHFEGFANLSFINLGYNSFTGKIPPSLFALPSLWKLQLANNNFIGKVEFRNASSPFLDTLDLSGNQLNGSIPMSIFELQMLNVLSLSSNRFNGSLPLQIINRLRNLTTLDLSYNNLSIDASSGNSTLSTFPQLSVLRLASCNLQKFPELRNQSKMIDLDLSNNQIAGEIPRWIWEVGNGSLQHLNLSCNHLVDLPMNATMPNLSVLDLHSNQLQGEFPKPPEAAIYVDYSSNKFSNSIPQDIGNSLNFAVFFSISNNSISGVIPQSLCNASYLQVLDLSNNVLRGSIPDCLFYKMENLEVLQLGRNKLRGIIPDKFPINCVLKSLDLSKNVLEGRVPRSLVNCTSLEVLNIGSNRVEDAFSCVLKNLTSLRVLVLRSNRFYGNLSCSGADDSWQKLQIIDLASNNFSGALSPKCFSNWRGMISRVEYGQSAQDRLHFTVLKLSNIYYQETLTVTFKGLELEFRKILLVFASIDFSNNSFEGSIPETIGELRALYLLNLSHNGLTGTIPKSIGNLTQLESLDLSMNRLSGMIPVEIANLTFLSSLNLSFNQLLGSIPWGRQLQTFTETSYEGNKGLCGPPLNSSCKGNNHAAVPSSVDANSVIETGFDWQFIFTGLGFGVGAAVIVWPLIVCKEGRDWSDKHVERILLLICPRYRFCYTRYDEGKVNAVEKSEDEFLDDTEDSDGDEFEVEHEAFGGKYCVFCSKLDVHKKRAVHNPKCTCHTSPIFFTSPTSSSSLLVLYHQHF >CDO98098 pep chromosome:AUK_PRJEB4211_v1:4:2820181:2822630:-1 gene:GSCOC_T00022085001 transcript:CDO98098 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDKLALPESITTFAGRTTQFGADCWFDDACILDMDYFVKTVSGIKAKGVRPELIGSIIAHYSSKWLPDLSGSGDEVEKGLINLEESPDSVTSSWMKKRFFVETLVGILPPEKDSVPCSFLLRLLRTACMVGVEPTFRVELEKRISWQLDQASLKDFMIPSFSHTSGTLLDVELALRLVKRFLNLDETFRSGAALMKVAKLVDSYLAEAAVDSNLALSDFIALAGALPAHARSIDDGLYRAIDTYLKAHRGVSKQERKNLCRLIDSRKLSAEASLHAAQNERLPVRAVIQVLFSEQTKLNKQIDWSGSFSGTRSPNMGLDPPARCMSKREMNAQQLEIKKLREDVLRLQSHCMNMQGQIERLLEKKKGLFSWKKIVMPSFRANKLTNVEGEGDFGRQTPVDVKTRLVKGKKPPKWRNSLS >CDO98043 pep chromosome:AUK_PRJEB4211_v1:4:2428647:2430993:-1 gene:GSCOC_T00022017001 transcript:CDO98043 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWPEFLASSWGREFVAGGFGGVAGIIAGYPLDTLRIRQQHSSKGSAFNILRHVVSGEGPLALYRGMAAPLASVTFQNAMVFQIYAILSRAFDKDVPTTDPPSYKGVALGGVGTGAIQSLMLSPVELVKIRLQLQRNITGNKYSSIHSGPLDVARSIFRNEGLRGMYRGLTITVLRDAPAFGFYFWTYEYMREQLHPGCRKNGQETFRTMLVAGGLAGVASWISCYPLDVIKTRLQAQSSTKYGGIVDCFRQSVKEEGYRILWRGLGTAVTRAFVVNGAVFTAYETALRCLFTNNDPAPISAENAL >CDP18123 pep chromosome:AUK_PRJEB4211_v1:4:21107391:21113008:-1 gene:GSCOC_T00001605001 transcript:CDP18123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MDRGGRIRRGRGGGMLAFLALHAVSEYCRLERKPPVTAGLVAANTLIYLRRPGFVDAMLPTLDQVWFNPDLILKHKDLKRLVLSAFYHIGEPHLVYNMLSLLWKGIQLENSMGSVEFASMVTALVVMSQGITLLLAKSLLVFFDYERPYYNEYAVGFSGVLFGMKVILNSQSDNYAYVHGLLVPARFAAWAELILIQMFVPGVSFLGHLGGILAGLLYLRFKASYSGPNPLTMLLKGLTSVVSWPLRFVQQLFRFQRRRISRRGAVGGAPGRTAYVWRCRACTYDNSDWLNTCEMCGSSRDGDGLSSLELLGQTDELSLEELRRRRVQRFDR >CDO98116 pep chromosome:AUK_PRJEB4211_v1:4:3013768:3018613:1 gene:GSCOC_T00022113001 transcript:CDO98116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) UniProtKB/Swiss-Prot;Acc:Q8VYZ5] MNYRFQNLLGAPYRGGNVVVVNNTLLVSPVGNRVSVTDLSKSETLTLPCQSSTNLRRIAASPDGIFLLAIDDKNRCLFINLRRRVVLHRITFKHPVSTAKFSPDGQLIAVAAGKLLQIWRSPGFRKEFFPFELVKTFADCNDKITALDWSPDSNFILAGSKDLTVRLFCLKKLKSYSKPFLFLGHRDAIVGCFFGIDKKTNKVLRVYTISRDGAIFSWGCRGGDGKFDGGGLQEISEPESPGTPEQNFDGNLAVKKRKDVDGKNGTLDDESDFLLHRLKWELMKKDYFMQAPAKLTACDYHTGLNMLVVGFSNGVFGLYQMPDFVCIHLLSISREKITTAVFNDLGNWLTFGCAKLGQLLVWEWKSESYILKQQGHYFDVNCLAYSPDSQLLATGADDNKVKVWTVSSGCCFVTFSEHTNAVTALHFMASNHCLLSASLDGTVRAWDLFRYRNFRTFISPTSKQFVSLAADQSGEVICAGTLDSFEIYVWSMKTGRLLDVLSGHEGPVHGLMFSPTNAILASSSWDKTVRLWDVFEGKGAVETFSHTHDVLTLVYRPDGKQLACSTLDGLIHFWDPLEGLLMYTIEGRRDIAGGRLMTDRRSAANSTTGKCFTTLCYSADGSYILAGGSSKYICMYDVADQVLLRRFQITHNLSLDGVLDFLNSKNMTEAGPLELIDDDNSDTEEGVDKQTQSKLAYDLPGSMPNHGRPIIRTKCLRIAPTGRNWAAATTEGVLVYSMDDSFIFDPTDLDIDVTPEAVDAALNDGQPKRALILSLRLNEDALIKKCIIAVSPAEVPAVASSVPFKHLQRLLEALAHLLENCPHLEFVLRWCQELCRVHGPSIQHNSRNLLPALKSLQKAITRSHQDLADTCSSNEYMLQYLCSTSHKR >CDO98514 pep chromosome:AUK_PRJEB4211_v1:4:6289303:6291562:-1 gene:GSCOC_T00022635001 transcript:CDO98514 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSGKGVHIGWDEESYPQYQDLIALPFFTVSFLLLRLILDRFIFEKLATRLIFGKDHAKLKTSLRRKKITKFKECAWKTFYGISAEFLAILVTYNEPWFSNTRYFWIGPGDQVWPDQKMKFKLKLLYMYSGGFYIYAIVALLFWDTKRSDFWASMIHHVASVLLIAVSYIFRFGRVGSVVLALHEASDVFLETAKMSKYSGYDRLANTLFPIFALSWLIFRLILFPFWVLRSTSYEVLYILDGINNTVGTVLYYFFNSLLFCLLALNCYWGKLALKMAVEQIKSKGQVSDDVRSDSEGEEEHQD >CDP18322 pep chromosome:AUK_PRJEB4211_v1:4:21616415:21620049:1 gene:GSCOC_T00004359001 transcript:CDP18322 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGKLLEELAYLHRLQYMNANFNNLTGEIPSSFGLLPNFITYEIGNLNKLQWSSLGSNQLTASIPPSLFSISSLQRIVLTNNSLSGNPPTHMCSNLPKVGTFAFSLLSLESCGLGGILPSSIYNITSLIKINVYKNKLSSSLPEDLCSNLPAVQFVDLGNNLFSGNIPREIGNCTSFTNFYLSGNQLTGEVPMEIGNLFNMDRLALDNNSFTGRIPPIVFNILGIRGISLLCNNFSGNLPETIGIGLPSLEELYLGINNLSGVIPDSISNASKLTGLGLGQTIPNGICNFINLEFLSLSYNEFCCMVPACLGNITSLRYIYLSSNKFNSAIPSSLGSLRYLLNLDLTSNYLSGSLPLEIGIQLEVCKILSGEILAGGPFANFTSKSFMSNERLCGAPQLQVPACRANLSGKRMTIRVLLIVAITIPVALMQSRKTSSTVTRGDFLDAIVHERISYHEIEQATEGFSERNLIGMGAYGSIYKALINGSNVAVKVFNLQIEDLYMDFLQRLDIMIDVASALDYLHDGYSILVLHCDLKPSNVLLDENMVAHVGDFGTAKLLGMGESVAQTRTLATLGYMAPEYGSEGLISKKCDIYSFGIMLMETFTRRKPTNEMFSGDMSLKDWINASWLGTVSECSAEIPKERIAIGDVLTSLEKIRNHHIKSHQDVIKNIPSFLLV >CDO98177 pep chromosome:AUK_PRJEB4211_v1:4:3421337:3435122:1 gene:GSCOC_T00022187001 transcript:CDO98177 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSGRGKGNKAKTDKKKKEEKVVPGVLDITVITPYETQVILKGISTDKILDVKKLLAANVETCHLTNYSLSHEVKGQKLNDKLEVVTLKPCLLKMVEEDYAEVSQVLAHVRRLLDIVACTTRFAKTRGGRAATAGGGTESRAKKNKTQQNSPSGRPSSPADGEVRPPDSPSPSPAPAAAVGEGYDMVAIHPIPKLSDFYEFFNFSHLTPPILNLKRVDRKDGEMGREGDFFEMQIKICNGKLIQVVASRKGFYTMGKQFLQSHSLVDLLQQLSQAFVNAYDSLMKSFIEHNKFGNLPYGFRANTWLVPPSVADPTSEFAPLPAEDENWGGNGGGQGRYGEYVLRPWATEFAILASLPCKTEEERVVRDRKAFLLHNLFVEVSTFKAVSAIGELMDSTAKGRINSSTGSILLEDRVGDLSITVKRDATDASSKAEVKNIFSGIPNQSSQEVTQRNLLKGLTADESVVMHDTPSLGVVVVRHCGYTATVKVIGHVRRGRNLVNNIEIDDQPEGGANALNINSLRILLHKSFTSESSGEGQSRNSHYCNFEKSRCIVRKIVEDSLSKLADEAMHDRTIRWELGSCWVQHLQKQETPTKNSSKNKEDDNKVEPVVKGLGKQFKMLKKRERKPNSSSSIEENEESGGVSGSNTKSSIDELNSNDSECGNELRNIISEEAYQRLKESGTGLHLKSVDELMKLAHKYYDEVALPKLVTDFASLELSPVDGRTLTDFMHLRGLRMCSLGRVVELAEKLPHIQSLCIHEMVTRAFKHVLKAVIASVDNWANLPVAIASSLNFLLGSCTAEDTGSNFSDDYTLHLEWLRTFLAKRFGWRLKDEILKLRKLSILRGLCHKVGLELVPRDYDMGNPNPFRPSDIISVVPVCKHVGCSSADGRNLLESSKIALDKGKLEDAVNYGTKALAKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQATVYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGLEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASKGHLSVSDLLDYISPDPDSRVTDAQRKRRAKVLPVGDKLQQGLHDDRNDESTSGDVIDMIVTAAGSDNVETKAIKVPIQEPEVIDSSITTSPVIEEVVQDISSDEGWQEANPKGRSGNGSGRKFNRRRPNLTKLKLNSEWHNFGDNSQRKEAIAQGRKPSSKTMSGDISLLKQSKTASLGAIEDSSKPPAKCVSPTSASKVSLNPASLTPTASKSLSYKDVAVAAPGTVPKPFLEKVEQKVEELNEAHTDNPISISQPETSENGKESIALDDALPNPEDTGSPVEGEVNGSGSKAENSTPEFEDDLNPNDQEKHAETNGSKLSAAAPPFNPGAYPLVHSLSSPTATSVYDVVASQSMLTEPAAFPSVAARVPCGPRSPLYYRTSHSYRMKHGILNYQIPIMERNESVSPKTMNPHAPEFVPRKAWGQSAATEGSKGSTSSDSSGDSNASDPEVSSEEKLDKKVSNGLQEARSKKSSSDAEKAELARQILLSFIVKSVQHSSDSQSESHVNEKKREGSANSAEAIANDSAIIKILYGNEGKKEMNRRGDGEGFIVVTKRRRNRQHFTNGVNGLYNPQSICASVR >CDO98179 pep chromosome:AUK_PRJEB4211_v1:4:3459629:3464811:1 gene:GSCOC_T00022190001 transcript:CDO98179 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPDLGTEIVIPVCAVIGIIFSLIQWVLVSRVKLSPERKEANNNSKNGFNDCLIEEEEGINEQNVVLECANIQSAISEGATSFLFTEYQYVGVFMVAFAILIFLFLGSVEGFSTKSQPCTYNKEKFCKPALATAAFSTVSFLLGAFTSVISGFLGMKIATFANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAISLFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGIDHDFTAMLYPLLISSMGILVCLITTLFATDFFEIKAVKEIEPALKRQLIISTAIMTIGIAIVTWTCLPPTFTIFNFGAQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVSTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKI >CDO97888 pep chromosome:AUK_PRJEB4211_v1:4:1304581:1305439:1 gene:GSCOC_T00021827001 transcript:CDO97888 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYTRSKSTREGRMQRGEDGYYGDGAASAVAGPPSMHELRSYSTSYTSYTQPLPPPPQPPAYVQDLTKDGKMKKSKSRVASSSKSWSFSDPELQRKKRVVSYKAYAVEGKMKGSFRKSFRWIKDVVHGLW >CDO98460 pep chromosome:AUK_PRJEB4211_v1:4:5791046:5794008:-1 gene:GSCOC_T00022559001 transcript:CDO98460 gene_biotype:protein_coding transcript_biotype:protein_coding METTICSRVALSPNPLLQPKPGDKYSLQKQWRSRSNFMTMPIAGAGKGAGVLDKPVIERTAPGRESEFDLRKSRKTSPPYRVLLHNDNYNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLSVVIICGQVDAEDHCMQLRGNGLLSSIEPASGGC >CDO98668 pep chromosome:AUK_PRJEB4211_v1:4:8029512:8035112:-1 gene:GSCOC_T00022838001 transcript:CDO98668 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVSSSKIAEEVTVSLCTLAQTPPRLTGASYDMNELHIGRPSNHMLGDLSCTLSREVSNNADVGSAHKDGMANTHRLRVGTVETRFLPFNGGRTAQTPASRIVGFDSTGPNTTHPNVGVFEGHKSAVPFSTSVIGTTEATGSLVRKQLFSPLNGLLLPDEFNDRCIDPGRDINRMDSLLYGENYGINFQEHKISNVSNSNHDHTLFSSKSKFLEFIHAHNQHSGTNSSIITDGPLFEDEKCHPEIFYSSSPGGSSYGKLPKLKSQNGAIDIVQEKVVSPSLSLSPLGPKSSRRMRTLEEYEEMLELDENCLTLKDIRQSLEGTICAIPSSQTEKDSKMACETFEDIDVFQMNLEQFTPESITATRGNLGCDSAFTNQCAKLGRNLSGLSVKRSLVGSFEESLLSGRLASGIPSQKFDGFLAVLNITGGSFSPHPQKLPFSATSVDGDNYLLYYSSIDLGGQSSPNKCKAPTMKRSFSSNGSPTERSRLRVPMKGRIQLVLSNPERTPIHTFVCDYDLSDMPAGTKTFLRQKATLALDRRRSDLKKEGKSTAKSGDSLQRETVLSGSDGVHLVERSDIKEHRGSIELENVPTVPSSVTESKYANGTSKVNDSTACPGVLRYALHVRFLCPHPKKYSRTFQRCKSDTAALPVRNRMDIEGERRFYLYNDLRVVFPQRHSDSDEGKLHVEYHYPSDPKYFDLDN >CDP19373 pep chromosome:AUK_PRJEB4211_v1:4:24551370:24551967:-1 gene:GSCOC_T00002595001 transcript:CDP19373 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSAAQMVFGITAEHNPSLERHVFPNPVDASGYMVMLWYKNGSLTREDIRNRCAEKSWEVFNKLLQQTPPRK >CDO97966 pep chromosome:AUK_PRJEB4211_v1:4:1856367:1859781:-1 gene:GSCOC_T00021919001 transcript:CDO97966 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFGKGFSSQPPASPKLSISGETKENDVNHDNLGSNFKSQNPKKPVTKHFMSPTISATSKVTVPRTKILAEKNESTTSCDNAHYPKSLILDAKNGLHYTGLGASPHCDQTSSWADPACVNASVFYDGEVNNCFADSSVRPYDPLTNYLSPRPKFLRYKPNRRREILQRSGIFSSRNQKIVDEQKSSECDQEELLDSSPQEDADGDEDEESEEDEVPNCWCLKGLAKFLVLLVVIGLSTSYISSMNNPRPSTVVQATGGLMEGYHRIQKHLFEAVYSNVHGSPWQNGSERTLGFTLDDDHELGRYQIDLDDEEEWDESTDEIIEIPEPPTGEFEDISEDDEGCHTNVHGSPWQNGSKRTLGFTLDDDHELGRYQIDLDDEEEWDESTDEIIEIPEPPTGEFEDISEDDEGCHTNVHGSPWQNGSKRTLGFTLDDDHELGRYQIDLDDEEEWDESTDEIIEIPEPPTGEFEDISEDDERDEELICPDWKSAEIINDKVKETNEASDQFPVFENAQTDAATDQELNEVEKDSEGLQQCASLERKPANLIFRADQLENDHKADQIEGAKAGEEMTRNRPERIEDATTIRDGENYDKAEELNRIYVEDETNETELQLSEATISGVGDEIFLPAKIEIDDDTQAHTRRFEIGGKSIKDLNFLDLEPIAIAMIIFSIFSIILACLVYRYRLRAIPAPLHDPQLKASDRKEKLIQAQVCGQVEHAEERAESILKPSSMSFPFEKGHKETYIHAPDIELLGEFVIGEFSTVRRSLDRKGRTIETGETIYSVSHGQSRAQPVARAQTPNVSSTGSPSYGSFTAEKIMLKKKEGGREGNVKAITTPVRRSSRIRNLSATSP >CDO98131 pep chromosome:AUK_PRJEB4211_v1:4:3089341:3090748:-1 gene:GSCOC_T00022130001 transcript:CDO98131 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHYNLSYLKVRFEEFKNEILLIAKLQHKNLVRLLGYCLEGEEKTLIYERFPNRIRNTSLTTSNHTEVMKDINAIRDHRRKVQKLLYLHEDTLLRIIHRDLKRSMLYQNSPSLDANIHICI >CDP14989 pep chromosome:AUK_PRJEB4211_v1:4:8961361:8966981:1 gene:GSCOC_T00042512001 transcript:CDP14989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT3G48760) UniProtKB/Swiss-Prot;Acc:Q9M306] MYGVAPPRSSDSDGGAADGAAFVRAYQTWKGSNKFFLWGRFIFGPDVRSLFLTIFLIIAPVAVFCVFVARKLMDDFSDHWGISIMVVAIVFTVYDVVILLLTSGRDPGIVPRSARPPEPEAYEGSPVMEPGQTPQLRLRRIKDVYVNGVVVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTILCLYVHGFCWVYIRKIMDSEKTTIWKAMSKTPASIVLIVYTFVCVWFVGGLSVFHLYLISTNRSTYENFRYRYDGRANPFNKGISKNFMEVFCTRIPPSKFKFRAKVQREPELPPRVVGDGFSVSKVGKPLGDLEMGRKPVWDEGTTNANEFERQYGHDDGLDKSGELPIVSPELSRITLTESTEGRSILHSRRSSWARTSGSLDMPAEIVAMASRMGDSNDIPLGERFTVENQQ >CDO97997 pep chromosome:AUK_PRJEB4211_v1:4:2172186:2175254:1 gene:GSCOC_T00021959001 transcript:CDO97997 gene_biotype:protein_coding transcript_biotype:protein_coding MKQANYFSQTFGPLHWWVGPRTRRDKYSTANHSAHVKRMRRRSSSLLSCKTGGNGVSFSFVAGITFPRTLIPMSAGGAFGGNRGVRPVPPEKGVFPLDHMHLCDQEKKEYISCLKSSGHKSEKCRHLSKMYLQCRMEKNLMAKQDMSELGFRKESDVEALRDGSRNGSLDD >CDO98413 pep chromosome:AUK_PRJEB4211_v1:4:5263191:5266521:-1 gene:GSCOC_T00022495001 transcript:CDO98413 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQPLLFLRLFPFFISFFFMKSCAGNNNSSISALCQASKCGNIEVKYPFWIKSDATAIQYCGYEGFGLDCTPSSVPGNYNPTLHLPPVDFHVQNINYTDYKLTLVDADATTNLSCPRPRHNLTLEDLPLNYSTQDLNLTFYFNCTVPRLPFSAYPVDCLKSGGNMSFVSLETGTKSDPDWFKFCEEKVVVTVTKGEIEGVINWNDNGIRESMLAGFVLDWYSGFECAGCENSSGRCGHNDNTKEFLCFCNDGTITHDHCKGTLHFFDIPSVAAGAMMAGVGILSFSIICYRYYKKRKNDKQLEALIKEFVSLKPRRYSYSDIKKMTNYFKEKLGQGGYGEVYRGNLFDKRPVAVKVLRTNKGNGEEFINEVASISKTSHVNVVTLLGFCLDSTKRALIYELMPNGSLDKYIHHESEPHLGFERMHEIAIGIAQGLDYLHGGCNTRILHFDIKPHNILLDEEFCPKISDFGLAKLCARKESIVSMLGARGTIGYIAPEVFSRNFGGVSYKSDVYSYGMMILEMVGGRKNAINVQVSNSSDIYFPHWVYNLVLTDEDLKLHGHTMTKEENDIARKMILVGLWCIQTNPAHRPTMSKVIDMLEGSLESLEVPPKPFLSSPSRSEVVSLEITMLPQKVLSTSSSSPADSGTR >CDO98611 pep chromosome:AUK_PRJEB4211_v1:4:7380529:7384993:1 gene:GSCOC_T00022768001 transcript:CDO98611 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPAVLYHYPCPDGAFAALAAHLYFSSSSIRALFFPNTVYSPLRAEDLPLNDIDHVYLLDFVGPSGFVDELSSKVNKVVVLDHHKTAFESLGTGSSVNGTGNVIKVIDMKRSGATIAYDYFREKLLARDGSKLGDNCGRIAAEFERVRRLFEYIEDADLWRWHLPNSKAFSSGLKDMNIEFDPRLNPALFQQLVYLDPESIISQGIESLAQKQKLIDDVLDQSYQIALGGGAFGHCLAVNADSVIELRSELGHQLANKSQNMMLRGIGAVVYKVPELENDRLLKISLRSIENEDTTQISQEFGGGGHRNASSFMLSSTDFEKWKVHGDALTNAAI >CDP14381 pep chromosome:AUK_PRJEB4211_v1:4:22766430:22767290:1 gene:GSCOC_T00040755001 transcript:CDP14381 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIIVLLSLVMPCYGADPSPLQDYCIADLSSDIYLNGYPCKNPNNVTVDDFFYDGFITDTTAFNIDRWKAAAAHVDFFPAVNTLGVTMIQIQLLKGGVVGPHTHPRASEMLLLMEGKVIAGFITTDNVLFYKTMTPKMLVVIPQALVHFVYNVGEGTALLYAGYNSQQPATQYMADALFNSMPSIPDGVLSRSFRVNDSIIELLKSSLSVPVYPDIMSSTSSGSVRLK >CDP19585 pep chromosome:AUK_PRJEB4211_v1:4:13906080:13906860:-1 gene:GSCOC_T00012777001 transcript:CDP19585 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQDGENSIFHRVDWYRVVLDEAHTIKSSKTLGAQAAFKLSSYCMWCLTGTPLQNKLEDLYNLLCFLHVEPWSVTIQWQKLIQKPYESGDYRGIMLIKAIWRPLKLYYLQTEVIIISFYVITVSFYFFNSLWNFLF >CDP12367 pep chromosome:AUK_PRJEB4211_v1:4:18811123:18811937:-1 gene:GSCOC_T00035865001 transcript:CDP12367 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVRYSLFYKKWNLIWVGMNKVASLESHEIEMLKGFPPNHTNGGGISRTKQYTILGNAFPLIISKQIFFAYHLSVLKSMFLDKISVLSVKSRNFTWLYNPYVSSLTLDSCLF >CDO98039 pep chromosome:AUK_PRJEB4211_v1:4:2408020:2410209:-1 gene:GSCOC_T00022013001 transcript:CDO98039 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTRKLSFLVFLLSVLISLKNQNAETKKGSWFSSSYSSPFSKAPLSSRLMFTTSKMGMKIQEFHISGSLRYDYYNESCPQAEQIIKSTVREIYKLKPSIAPQLLRLVFHDCFIEGCDASVLLDPHDGIDSEKESPPNESLKGFDHIDIIKSELEETCPGVVSCADILVLAARESVLLAGGPFYPLYTGRRDSTLSYSEEATYDLPSPQDDVVKITEKFESRGFDERETVSLLGAHSTGMIHCKFILNRLYNFGGTNRPDPSIDPEFLNLLRSQCKSNHVSSTPSASPAPTPSPSSSIGGLSSALIQEPGLKMDYEGSGSGFGTLYYRSLLRGRGILYADQQLTAGGDTETWVQAYASDASLFQRDFAMAMMKLSNHRVLTAPLGQVRSDCRKID >CDO98196 pep chromosome:AUK_PRJEB4211_v1:4:3571569:3573224:-1 gene:GSCOC_T00022213001 transcript:CDO98196 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLNQNFDEDRWIIQIRRAIEEELEEDNDVPVSIFNVPKTLKVSDPDCYIPQQVAIGPYHHWRSELYEMERYKLASAKRTQKQMQSLKFQNVVDQLARFEPRIRACYHKYLDFNGETLAWMMAMDASFLLEFLQVYAAKEGKVLTRLSSRMSHLVDIAGRKSAHNAILRDMVMLENQIPLFLLREMLELQFSSLEAADNVLLSMLIGFCKELLPFKMMEELPKFEVTDYAHLLDFLYRVIVPKLEGPSEITEIDEEGEIKEAEESYFGMSSHVKQIGYVVWNIISKLNKVSARLIKRILFSKPIKVILKLPWTIISNLPVLRLIKAPIEYLCFSQDKENQKPENENLNSTNINKPPLVEEIEIPSVIELSKAGVQFVPTNQGILSISFDDKMATFCLPSISLDVNTDAVLRNLVAYEACNASGPVVFTRYTELMNGVVDTEEDAKFLREKGIILNRLKSDEEVANMWNGMSKSVRLTKVPFLDKVIEDVNKYYNGRWKTKIGRFLKHYVFDSWQFLTLIAAIVLLFLMTLQAFCSVYSRARIFHIKQTQ >CDP12677 pep chromosome:AUK_PRJEB4211_v1:4:11044832:11045515:1 gene:GSCOC_T00037263001 transcript:CDP12677 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIIDVASALEYLHRSYSIPVVHCDLKPNNVLLNEDMVACVTNFGVPKILSILHQYGSEGLVSTGSDIYSYGSMLIEVFARLKPIDEMFSDTRLRNWVKSYVPTANCIGGHNVYCENSYSLHRRFSQGQDECYRCSCFSRIARQPRKLFTQNKEIHILEIDFMSILRN >CDO98363 pep chromosome:AUK_PRJEB4211_v1:4:4875506:4882947:-1 gene:GSCOC_T00022430001 transcript:CDO98363 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLKKLHIGSNQPEDSEGSTSSSKWNRLSDVSSPERFSHSRSQHTSEHNKPFSAISGWLNSVTHRKSPSPPSSSNVPGVDNMEPSDSLGSSGIDASLEAMRRDSGSSNSRDPDIEEEYQIQLALELSAREDPEAVQIEAVKQISLGSLPPDNTRAEVVAYQYWNYNALSYDDNILDGFYDLYGIFTEFSSSKMPSLIDLQGTPVSDSITWEAILVSKANDTKLLKLEQEALEMAVKSRLGSVIFVGHNLLRKLAIIVSDYMGGPVGDPEKMSMAWRSLSYELKATLGSMVLPLGSLTIGLARHRALLFKVLADCLGIPCRLVKGQQYTGSHDAAINFVKIDDGREYIVDLMGDPGTLIPADITAANTEYEEAFPSANDFLKDDNSHFASSSALVPNLLQDHSEVESRFADSSAATVESNSEGECLAFQNLPQIKVEEGSNKSAEDIPKPNGVRKLIPDVRSRPNPPYSHARSPSWTEGVSSPAVHKMKVKDVSQYMIDAAQENPHLAQKLHDVLLESGVVAPPSLFTEIYPKQFNNVPVDSKSLKGDGENEEKGNTKRILGQDNVSWARFLPPLPYHGLCSKGIPRDQVEHQIDFREGTGQHVPSQPEVTPAIYTKNVPVAAAAAAAAAVVASSMVVAVAKTGTDANLELPVTAAATATAAAVVATTAAVSKQYENLEIGASSPDSPAAFFNPFGCAKSDEDADAAAYNQRGSGSREQEALGDGERTSDRSTGNDSAKSDAMLDDVADCEIPWEDMALGERIGLGSYGEVYHGDWHGTEVAVKRFLDQDIAGESLEEFKSEVWIMKRLRHPNVVLFMGAVTRPPNLSIVTEFLPRGSLYRLLHRPNNQLDERRRLRMALDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTVSRCIGFYLLWEK >CDO98304 pep chromosome:AUK_PRJEB4211_v1:4:4348771:4351957:1 gene:GSCOC_T00022352001 transcript:CDO98304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit PAM16 like 1 [Source:Projected from Arabidopsis thaliana (AT5G61880) UniProtKB/Swiss-Prot;Acc:Q93W66] MARAFVQAYRQALANASRSGAAQEAVQTIRRASKTMTESEARQILGVAENSSWQDILQKYDTLFERNATNGSFYLQSKVHRAKECLESIEQMKAQGPS >CDP16408 pep chromosome:AUK_PRJEB4211_v1:4:17871067:17872503:1 gene:GSCOC_T00018272001 transcript:CDP16408 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSLSSKPQNSTIKKLSAAATDAEESKEFVTEFDSSKAPTAKNRDNRVIPPKPNEWRPTKKMKNLELPLQSDAQEQPMLQFEVVESGPSDPTSESMSYGLNLRNSGNGAGANPQEFPGSASKEDPVLHKLREDLKRLPEDAGFEEFEDMPVEGFGRALLGGYGWVEGKGIGKNAKKDVDIVVLKKRTGKEGLGFTGGLPELPAEANRENGGGTNNSMRKGSDRDGKEKGRDKKDFYVGKEVRIVGGREVGMKGRILEMKHSGEVAILRLLKSEEDVSVYVSDLADLGSVEEERCLRKLKELRIREKSDVSDKKIGKGGDKDLVSSWTDSRDREMKDRKKDSKRGREEIKGSDKLSWLTNHIRVRIVSKNLKGGRLYLKKGEVVDVVGPTTCDISMDENRELIQEVDQELLETALPRRGGPVLVLYGKHKGVYGSLVERDTEKETGVVRDADSHELRNVRLEQIAEYIGDPSYIGY >CDO98645 pep chromosome:AUK_PRJEB4211_v1:4:7716806:7723221:-1 gene:GSCOC_T00022809001 transcript:CDO98645 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLTVDYLHIPLINRNPYKFAVKTTFKPKGSSKLRSFGLKPLVVRRKKEEMAMVEAAKGKDVAATVMLTSGASGRISALFSVRVWKSLLMVLNAFVLLLFLPFRRRRVVSPVAGHGKEERQAEKKGGVTVVRVPAAMVPRKSSAVDKEVASRRALAIKRVLEDNCKKSVRDYALFGTSRGDTLFTQSWTPVGIKVRGLVALLHGLNEHSGRYTDFAQQLNANGYKVYAMDWIGHGGSDGLHAYVHSLSDAVHDMKSFIRKVLAENPGLPCFCFGHSTGAAIVLKAVLDPEVKAHIAGVVVTSPAVGVQPSHPIFAVLAPIFSFLLPRYQFSAANKTGTVVSRDPEALVAKYSDPLVFTGSIRVRTGYEILRITAYLQQNLKKLTVPFLVLHGSADGVTDPAASRKLYEEASSTDKTIRLIEGLLHDLLFEPERQEIVEEIMEWLNQRCRLRWSSST >CDP14844 pep chromosome:AUK_PRJEB4211_v1:4:25050715:25059844:1 gene:GSCOC_T00042311001 transcript:CDP14844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate dehydrogenase 12A1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G62530) UniProtKB/Swiss-Prot;Acc:Q8VZC3] MYRLKGCRELRKRASQIALNWFSTFSFSRSTHSVSFDPIITAEDISGSKPAAVQNLVQGNWTRPSNWTTIVDPLNGEPFITVFEVDDRGLQPYIESLHKCPKHGLHNPFKSPERYLMLGDISAKAAHLLSLPKISDFFTKLIQRVSPKSYQQAYGEVYVTQKFLENFSGDQVRFLARSFAVPGNHLGQQSHGFRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVSIVMEKMLRLLHECGLPREDVDFINCDGNTMTKLLLEAKPRMTLFTGSSRVAEKLAVDLKGRIKLEDAGFDWKILGPDVQEVDYVAWVCDHDAYACSGQKCSAQSILFMHENWGRSPLLDTIKKHAARRELDDLTVGPVLTVNTEALLLHKNKLLKIPGSKLLFGGKALTNHSIPAIYGAIEPTAVFVPLEEILKDDNYELVTKEIFGPFQIVTEYKDNQLPMVLNALEKMHAHLTAAVVSNDVLFLQEVIGKSVNGTTYVGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDVGPIAQNWQIPRST >CDO98160 pep chromosome:AUK_PRJEB4211_v1:4:3297657:3299274:-1 gene:GSCOC_T00022165001 transcript:CDO98160 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMLDILAHIAGRDDIEAGNEFVDSQPYYQHAGLHGSVWQRDTPGISTNRQPHRECSRSRACGVSYRMLTQMDLLKFWKAHEAALIGILSHRVREAGAVSDIIFGVTDKAKVIDVIKCMRTASLTAVPIVQSSSEIEEDHSQLINGKGRTLVGTFSATDLRGCPISQLQSCLKMGVVEFLEKLADTPLHQAACLRSSTRDPVVCTPESLLGEAVDKAVTYAVHRVWVVDGQGLLYGLISLADMIRVIRVWLLAEPV >CDP12742 pep chromosome:AUK_PRJEB4211_v1:4:12413007:12416204:-1 gene:GSCOC_T00037374001 transcript:CDP12742 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASLLILKVLLTILFSVWLSLWLLQPSRTWEKKWREAEEKAMKTVFNYNGLSFAVYTFPFLALAMVGFIYLELKLRTNRMRPESKLISALSNPIVVNRYLGILTGTEILLVFLFVTFLFWTFYAHISNDFKKMMPTKSLKLSMWQYKVLKVATRCGLLAEACLALLLLPILRGMAIFRILGVQFEVSVRYHIWLGTTMITFATLHGAGTFFTWGIKHHIQDEMWNWQPTGRIYLAGEIALAAGLAIWITSLPHIRRKHFEIFYYTHHLYVIFFTFFLFHAGDRHFYMVFPGIFLFVLDKFLRVIQSRPKTCILSARVFPCRAIELTLPKDPKLTYTPASIIFVKIPRISELQWHSFSITSSSSVDHHTMSVIIKSEGWWTNALYNLVSAKPDREADQRKCLPIAVEGPYGSASADFLSYDSLLLVAGGIGITPFLSILQEIASARSNGRRIHPKRVLLIYAVKKTQDVSLLNPVLAQLLNVEQNYLKLKVFVTREFGNQTVGEVLNEVSQIKTIDFDTSTSKYAAYGAENLLWMAAIVGLCSIIFLVLLICFNWSTHFYGEMPSGRVMKVPSSATDLFLSCSFVIAIICSSLLAIVLRRKRVGKELLSFSGIPIETTKPTGIGIDNTLVEHEIHFGGRPDFREVFCKFSNETEGSSVGVFVCGPESMKESVALTCKLSSRAFRVDSQKRKLSVSFHSLNFTL >CDO98192 pep chromosome:AUK_PRJEB4211_v1:4:3542969:3547401:-1 gene:GSCOC_T00022206001 transcript:CDO98192 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVANDFGENVGIIPGIACNKFPPWAILLVAVCLSFLGYGVLWLAVSETVHYMPYWVLFLALCVATHSSAWLGTAVLVTNMRNFPVSRGTVAGILKGYVGLSAAVLTEVYTMVLDGSASKLLLFLVLGIPVICLAMMYFVRACTPASEEDSSEHVHFLFIQAASLLLAVYLLTTTILADTLSLSAYIRYIFVAIMVVLLMLPLAIPLKMTLFPANRRKKDQSTGSSGNLIAGEDSNKADPLLTPSSSAAYLGSFMETDDASDVDTLLAMGEGAVKEKRRPRRGEDFKFREAVIKADFWLLWIVYFLGVGSGVTVLNNLAQIGVSLLGLNDATVLLSLFSFCNFLGRLGGGAVSEYFVRSKAIPRTAWMTVAQILMVITFLLYASALNGTLHAATALLGICFGFQFAIMIPTASELFGLRHFGVIFNFMQLGNPIGALLFSGLLAGQVYDSEAAKQGGSSCAGPDCYRLTFLVLAGACGLGALLSIILTIRIRPVYQMLYAGGSFRLPQSSGH >CDO97965 pep chromosome:AUK_PRJEB4211_v1:4:1854597:1855750:1 gene:GSCOC_T00021918001 transcript:CDO97965 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVQNQKLQDLWPQYNNGHLKNEGPWIIDEDHENCWINVDAASSSSSSLASSVIEASTISDGSCSSNDTRDDASSSATHSSSSNSSGALYDLSELMEQLPIKRGLSNFYRGKSESFTCLSRVTSIEDLAKKEAPCRRKHKGSKNFEGGLDAYRTFTLPKPIISKKTPRGSLSSSFLCRRGGFINGSSRPPQKPLKKSLGDGM >CDO98398 pep chromosome:AUK_PRJEB4211_v1:4:5145575:5148209:1 gene:GSCOC_T00022476001 transcript:CDO98398 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQLDYVLVPLGMFIFLVYHVWLLYTIIYNPRKTVVGLNAESRRNWVFSMMNDPLKNGVLAVQTLRNNIMASTLLATTAITLSSLISVFVSNASNSSSSKLVYGNKTSFLSSIKFFSILLCFLVAFLCNVQSIRYYAHVSFLATVPTFKDRNDCIEYVARNLNRGSFFWSLGLRAFYMSFPLFLWIFGPIPMFVCCCMMIFLLYFLDTTTSFTRDLHCKSIKEEAIANDVESIHHSL >CDP12289 pep chromosome:AUK_PRJEB4211_v1:4:26751857:26753541:-1 gene:GSCOC_T00035747001 transcript:CDP12289 gene_biotype:protein_coding transcript_biotype:protein_coding MESQGVNQTDEFKNQITSSTLSPGHAKDELPKVEKWWGIYDLYKYGGFWYTLEWLEGAKAAKSNFQAQDNDFLLSSPPKSGTTWLKSLMVSIMDGHPARTFNNINDAKDQYDLLEENFPHDLIPCLEMEIFNPLRASENPNLKAQPRLYQTHVPYSMLPESVKNSGCKIVYIARDPKDVLVSLWYFVNAAKKPEEEPYPMEDAFDSFCKGVHLFGPFHDHVLGYWKESLARPDKILFLRYEDMKMNPRGEVTKLASFLGRPFINDDEVDRIISRCSLERLKGMQVNKEGRADSGLPRSSFFRRGVVGDWKNHLTPEMKERLDEIARKKFQGAGLDIYYP >CDP12357 pep chromosome:AUK_PRJEB4211_v1:4:28018959:28020539:-1 gene:GSCOC_T00035848001 transcript:CDP12357 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFRYSFASLFSRLFLTLSAIILERPSFLVSRDLPSACEEESKWVFNTYSTIENLKRKGLRERTQSYSIPRERLDAPREGTQSESTLRRGRDWKSWCTLPTMHKPRKLCSGVFIDGKFYVIGGIGIGNPIASDGANLKVLTCGEVYDLRTGTWSEIPDLYPQCAREGTNDFPAIARAPPLLVMVKNALYTTYCDEKEVWKYDKQRNVWITIGRLPEQATSMNGWGMAFKACGNQLMIISGPRALNGGYIEINAWKPNKGPLEWTLLGTKHLGSFVYNCVAMGC >CDP12317 pep chromosome:AUK_PRJEB4211_v1:4:27240348:27243338:1 gene:GSCOC_T00035784001 transcript:CDP12317 gene_biotype:protein_coding transcript_biotype:protein_coding METAGQWLEKALLELCKKIETGLDLDAEIISGLVSYCEMAPPLDAKEYLDNIIGQEAGKNVIAEYLQQRGYSEPQNVNKPTQNTSTSNLQAYVKPRTNDGLVIGAKKPQKASKDVSASSNRKNRTSTGTSASQNVDKGTTGNSKKKKSGKVVSLAEASKGSIVYQKGKPCSCQARQHRLISNCLSCGKIVCEQEGEGPCNFCGALVLREGSSYAGLHEGAVPLSEAEAAAEAYAKRLVEYDRNAAARTTVIDDQSDYYEIEGNSWLSQEEKELLRKKREEIEEAERAQRSKVIMTFDLVGRKVLMNEDEASEELQNRLLLQPSEERESARIKPNPTLKIQPVFVDPGPRKSVKENLNKCLSNGLCLEISGRVQHDNNDSLGTFSNGQVLA >CDO97980 pep chromosome:AUK_PRJEB4211_v1:4:2017391:2017972:-1 gene:GSCOC_T00021936001 transcript:CDO97980 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAGTDTSSVTIEWVLSFLLNHPEVLEKARAELDAQVGTDRLILPWFWPSTVGGGFALRSLIQSFDWKRIGKEEIDLVKGTGVSMQKAKPLEKMCFRN >CDO97946 pep chromosome:AUK_PRJEB4211_v1:4:1749595:1755313:1 gene:GSCOC_T00021895001 transcript:CDO97946 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEALEAILMDDFREIHSSESGLNTSSRCFQITLSPQDEEADDSSPSVRLALIFSHTEKYPDEPPLLSVKNLRGIQAGDLTALKEKLEQEASENLGMAMIYTLVTSAKEWLSERFAQDGDAENADEDEVAKDEIIVPHGEPVTIETFLAWRERFEAELALERAKLMPDSALTAPKEKKLTGRQWFESGRASAKGAASIDEESDEDEEDIDLDDDDFEDDEEDMLEHYLAEKSDASSHSSRRAH >CDO97988 pep chromosome:AUK_PRJEB4211_v1:4:2118481:2119440:1 gene:GSCOC_T00021947001 transcript:CDO97988 gene_biotype:protein_coding transcript_biotype:protein_coding MANFCCSIELEPRTLRQGQIDQAREVAVDIMQKKEQNEASSILVEGLKPVASIKEMVMAVQEIDMLHEEDEAKDKIINSITETCCQCSSISTTIDSPNQSLLKEPVSAPF >CDP16526 pep chromosome:AUK_PRJEB4211_v1:4:16350466:16354857:1 gene:GSCOC_T00018890001 transcript:CDP16526 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTPHLSSASKEQTTKEELNIQFQTPKSSSSSPFSAVVNIRLWGPAAQRNLRNQWSKLASLWQDWQSCSSATRSQATTLVNSYLSQKYMDAMDLGILSGMLSIRKKASSKLFKQQEIQHNKLLASYKDMVGVVTQMVNTSRSMRCYLKGTTNSPLAQFSLSSENENDTGDGGGAPVFTFWPISLFEKAAQDLVQIFVSELNLKRLLVMELLSLGDERIPDLSSLCWSDELYPGEFDDLRTCSLYSHGASKPVLPSLGKGEVESFQPRHQQDRNVLQIYLTTLIAEVNVERSRVDEIFASTGAEMHVTLS >CDO98041 pep chromosome:AUK_PRJEB4211_v1:4:2415188:2421501:-1 gene:GSCOC_T00022015001 transcript:CDO98041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT3G16060) UniProtKB/TrEMBL;Acc:A0A178V575] MNAVGRQRSGASTVHHQRQYSDNFLEASSNGRWLQSAGLQHLHSSNNSMPPAQDFGYYGGNQGSRMYRGPQRTFSGGSDIFTEPLTPPAANLRRRNGEEDGVSPNEYSPGLLDLHSFDTELLPELSVPGLYDASSMHHYARGRSFDDSESYFGNNKQTSKFQNLPEENVMKSFTVDKEKASNVAKIKVVVRKRPLNKKELAKNEEDIIETESNSLVVHETKLKVDLTEYVEKHEFIFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTYTMKPLPLRASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLNERKKLCMREDGKQQVCIVGLQEYRVSDVETIKELIEKGSATRSTGTTGANEESSRSHAILQLAIKRSADGSDSKPARVIGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQVHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKTGASKKEPASSTVNLKESTTVSLSSGLPPSSTYEDETGDSWPEQNDKDDYEEDFYEQEKPTWKKNAKVEVSSFSSLEDKSRRANGQMKWKEHPKSDTKTLNPDDDLNALLKEEEDLVNAHRRQVEETMDIVREEMNLLVEADQPGNQLDNYISRLNAILSQKAAGILQLQNRLAHFQRRLKEHNVLVSAGR >CDO98300 pep chromosome:AUK_PRJEB4211_v1:4:4324159:4327258:-1 gene:GSCOC_T00022348001 transcript:CDO98300 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNCFLRYQTLVQILEACKSSHDLRTVIAMHAKIVKHGYGMYPSMLSLLISIYVTCQCRNLACELQKEISYRNVDIVSANLIISSFMKIGEINIAKKIFQNVPSRDVVTWNSLIGGCVKNASFQEALSTFREMLRRQIEPDGFTFASTIAACARLGALHHGKWIHSMMIEKRIELNYILSSALVDMYAKCGQINTAKEIFDGVQRTDVSIWNAMINGLAIHGLALDAIAIFSRMKGENVLPNSITFIGILTACSHCGLVEEGRKYFDLMRTLYLIQPQLEHYGAMVDLLGRAGRLEEAYGIIKEMTVEPDIVIWRALLSACRMHKNSELGEVAIEKISHLSSGDYVLMSNIYCSIKKWDSSEGMRYMMKENGVRKCSGKSWLEFGGAIHQFNAGDKSHCEAILIDKVLESLIHRTKIEGFMSETDLVFMDVSEEEKEENLNYHSEKLAVAYGILKSSPGAEILISKNLRTCLDCHSWMKVVSKLLNRVIIVRDRIRFHRFERGTCTCRDYW >CDO98501 pep chromosome:AUK_PRJEB4211_v1:4:6183453:6186395:1 gene:GSCOC_T00022619001 transcript:CDO98501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHAPERONE-LIKE PROTEIN OF POR1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23040) UniProtKB/Swiss-Prot;Acc:Q9FN50] MATTLLSSKATVSTPFLGQKLATHRVRVNSKKSSSWTLPPRLLNSRSPRCAVDAPFGGNISKFPRINVWDPFKRLGIRRDASEEEVWSARNFLLSQYAEHERSFESIEAAFERILMASFRNRKKTKINLKSQLKKKVEESPPWVKNLLNYVELPPGVIILRRLFLFGFMACWSVLNSADAGPAFQVAISLAACIYFLNDKMKSLFRAGITGFLALFVGWFMGSLLAPMIPTVLVHPTWTLELLTSLVVYFSLFLACTFLK >CDO98400 pep chromosome:AUK_PRJEB4211_v1:4:5188028:5191304:1 gene:GSCOC_T00022480001 transcript:CDO98400 gene_biotype:protein_coding transcript_biotype:protein_coding MYILFISLVTTLFSFVKSHHPFNTRLMDPPPHPSMIPASIQTATTTNNHQIHQNYPDSTNSSPRSQHIDTWDEPLPPVPGAKLRLMCSYGGHIIPRPHDKSLCYVGGDTRIVVVDRHASLSDFHSRLSQTLLNGRQFVLKYQLPNEDLDSLVSITTDEDLENMIEEYDRINSASPLKPPRLRLFLFAVKPETAASMGCLLDDAKSETWFVDALNGAGLLPRGLSDSAAVDKLLELDEPRVNVDSGTVIEAQNLTSGGNKQAINNAIQDVQSTFSDSPMVQTTSSFESSVSSPSMSNLPPIKVRIDETNARLGGLDEQLSQMNVASNAQKQDDRSIHMGTSQPPIPIIVGGVGVHSGAVTGENVTRIISDDEKSDLGAPPGGPRKPPLPLQSVPRKFGDAYNLPSPDSKHAVGYNLPSPDSVASDSSIASSASLSKHTIYQDPASSATRENRIPSSGVDHQSNITDPSPLFQMQQVQETMVLPPSQQNQLQQQFIQTSAHYIQHAATGQVPVSSYYPVYAPPPQQAIHQQIDQQYPVYLLPVTQTQPYNLAVQSNIVDATSVAAARPPTPTPNYVPSTAYKEIAPQIYPAKTVTTKPEVPANLYRTAGTATPTLVQVPANQFQQQYYSLSQMPPPSQSIPAVSASVTNYGYEYAHPTHDQAYYAQHPTATLPRYQTISPAAAIMLSQTSTQLATDDTSQQISSTQL >CDO98340 pep chromosome:AUK_PRJEB4211_v1:4:4726583:4730774:1 gene:GSCOC_T00022403001 transcript:CDO98340 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAATRGDERGMAEAAMEIETAAAAGHSHSQSVMGFLYGMGIMRERSKAKAFLHHYFAAEGGNMQSKMALAYTYYRQDMHEKAVQLYAELAEIAVNSFLISKDSPVIEPVRIHSGAEENKEALRKSRGEEDEDFQILEYQAQKGNAGAMYKIGIFYYFGLRGVRRDHAKALAWFLKAVEKGEPRSMELLGEIYARGAGVERNYTKALEWLTLASKQQLYSAYNGMGYLYVKGYGVEKKNYTKAKEYFEKAAENEEAGGFYNLGVVHLKGIGVKRNLRQACNHFILAANAGQPKAFYQLAKMFQTGVGLKKNLPMATALYKLVAERGPWSSMSRWALESYLKGDVGKAFLLYSRMAELGYEVAQSNAAWILDKYGENSLCLGESGICTESERHLRSHALWWQASEQGNEHAALLIGDAYYYGRGTARDYDRAAEAYMHAKSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDQALEIDPAAKLPVTLALASLWLRKNYANSFMVEFIDSLPELYPKVEAWVEDMIMEEGNATILTLFACLLTVLYLRERQRRHAVVAGGGGMAPQQPFEQAVLAGR >CDO98210 pep chromosome:AUK_PRJEB4211_v1:4:3676149:3677339:-1 gene:GSCOC_T00022230001 transcript:CDO98210 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGWNLAVHGVEYAFGAHEYPTTGIFEGGPKQCDGFTFRKALLMGWTEMTPGQVRGVMEELAEKYRGNAYNLITKNCNHFCNDACIKLTGNPIPSWVNRLAKLGFFCKCIIPVTLNTTKVGHHTIEDKVCDSEKTKLRSRSKRSNSPSSSSSSSSSQDSTNRDPSRTSHPPSSPLIPGSSSS >CDO98074 pep chromosome:AUK_PRJEB4211_v1:4:2616430:2624775:1 gene:GSCOC_T00022050001 transcript:CDO98074 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKEEEVEYESDPEETKLSLKMRRREASDDEEEEGGDGEDSERGEAFKPRRRIVDSDVESDGQGAAAEYEDEEEEEEDYGEEEEDELIDEEDYAEEDEVYVGERRGEIGEVEAVGKEAEAQVDGRKESREDRVGPKSIEGLQGSIDDGHFEDGANENQQGEEMGEEIGDEVEKKEIEPYAVPTAGAFYMHDDRFRDNAGGRNRRTFGGRKLWESKDDKKWGHDKFEELTMQERHFDEGRRNSRGRYRGRGRKRGPERGGYVRGSRPRAYNTNSNQNKNNNQNNALKSVRGRGPRRYQPSFKSNDEVRPALNQQSGKIAEKPLNANAGRVSAPASNVESEVAPAKKHVFASSLNSASPPFYPSASTSSSKEIAVTQKRDLHPGTNNRRIHPVVDESFSTAQSSAVQRGKSVVDSIGMDKLYINDSIATVAGKPSTTFQFPPGSSSMSSSQSLQLRTQGRGINNLPQVTYQSTGPNSQGNRISPPSQVHTTTQRNPVQNRGQPSLQTSGQQLAQNPGGGSQVSSPKAVSNKSSFESAETEPLSESNKSKAALMAKGKGSVQGSGRGSFLYGGAQVIGASGNLGSGPGDQNFPAFLPFMQFAAQRPGGIGVPAVGMAFPGYVAQPQVGGLGNSEMTWLPVLAGAAGALGASYCSPYLAMDGSYHARPSGQASSPAAASIKENNADKPNNEWNPSQRPEVSNDDFVQQQKKPIRYSEMKFNQ >CDP12682 pep chromosome:AUK_PRJEB4211_v1:4:11086548:11090356:-1 gene:GSCOC_T00037271001 transcript:CDP12682 gene_biotype:protein_coding transcript_biotype:protein_coding MTITAVPSITNGRLVVRGKIILTGIPDNVVITPGKAGSAFLGATSENTASRHVFGLGVLEDYQFLCLFIAKIWWMIPRVGKSGREIPMETQMLLLEAREDSALVDEDSFDAPDENKFYILLLPVLDGSFRASLQGTASNELQFCVESGDPSVRTSQALEAVFLNAGDNPFELIKDSIKLLAKHKGTFNHIGNKKTPAHLDWFGWCTWDAFYTKVDPDGILEGLKSFSEAGCSPKFLIIDDGWQDTANEFQKEGQPFVEGTQFATRLVDIKENSKFKSYGLDGSETNLRDFIGTIKKRCGLKYVYMWHALAGYWGGLLPSSETLKEHNPKIEYPVQSPGNLGSLRDIAMDSLEKYGVGTIDPEKIYDFYNDLHSYLANSGVDGVKVDVQNVIATLGSGHGGRVAITRKYLGALDESIDKNFRDNNLICCMCHNSDSIYSSKKSATARASEDFMPNEPAFQTLHIATVSFNSLLLGEIVVPDWDMFHSNHTTAEFHGAARAIGGCAVYVSDKPGKHDIKILKKLVLPDGSVLRARYAGRPTRDCLFVDPVMDGKSLLKIWNLNKLTGVLGVFNCQGAGSWPLKEAVHDLPIKHSQDSLISSHARPSDVEFLDEIVGKNWNGDSAVYAFNSGNLSIVPKNKRFQVSLDVLKCEIFTISPVRVFNETLEFAPLGLIDMYNSGGAIEDIMWISKDLSGTLKIRVRGCGRFGAYSSTKPSCCKMDIEEEKFWYNHENGLLIIDLQGGCNLRDLEIEY >CDP12305 pep chromosome:AUK_PRJEB4211_v1:4:27038482:27038754:-1 gene:GSCOC_T00035769001 transcript:CDP12305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini zinc finger protein 1 [Source:Projected from Arabidopsis thaliana (AT1G74660) UniProtKB/Swiss-Prot;Acc:Q9CA51] MKKRQIVVRKEGSRRCSSGSAVRTVRYAECQKNHAASLGGYAVDGCREFMASGEEGTSGALTCAACGCHRNFHRKEVESSVAEAVSESSS >CDP18514 pep chromosome:AUK_PRJEB4211_v1:4:18548865:18550442:1 gene:GSCOC_T00003547001 transcript:CDP18514 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKSSSITTASGLEIKTVHSNKLYYLYEVSIEPEVDNPTSIPVINPYNAFGKKSFSPTWMIKSLIRAHPKGVKEYIQASKVDQHPIPATRKEQFITLHIPNDFPMQWKQQGYTHIHFGAIRISLSFHGRKGLPVVARVALLDTRFKQYQHACIATTETTLNVGIVFVTLFPNFNMSLADPHLLDALKVKVQIIGVEQVSDAIAATLHYQMVYRIKNHALDLTIPGGENALLIRIDEKNSASCTHVPRQITKSELIQLLPNSWITDYEDLHTQANEPIESSNSRITHTPKGRTSISFDHSHLKSLSGKSHPSIMCVQVPMYYPSAFEKQWKIHDNHPQNLQQVHRSQDIIQYFDQEGLPVSWFQDPISGHIYFNVCNHCEECQLIEILGCDASDLDDWPKRKRKSKPVDPQPVESRPCKPDLDPQDPDTDTFISERSKFNGYQISSDWIPKFLPPSKKNLHPYYQKCLDILEKEAKHSNKEWKLKPFCQIEPLIPVNTPQVQEYFMFREEDFPKLETFNKNGS >CDO97765 pep chromosome:AUK_PRJEB4211_v1:4:355089:356645:1 gene:GSCOC_T00021657001 transcript:CDO97765 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLEDAEEVNIKKKTTKQLGRILLKGDNITLMMNSGK >CDP15010 pep chromosome:AUK_PRJEB4211_v1:4:9191642:9196035:1 gene:GSCOC_T00042537001 transcript:CDP15010 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSALPSDSNNQNPMGGAAEFLSNLPSRGLFSSTVLSSNPGGMRVYICDHDTAPPAEQLIKTNQTNILIRSLMLKKQKGDSGSKDGKANASNESSRKRTADRALDSRAKRAMTISQVASRQEGSKSRLPERDLQSLTVERIRALLKERGLSLKGRKASILLLLIEIKSDSPRIQCHLHRPSLAFWLRDLFLKFRF >CDP16992 pep chromosome:AUK_PRJEB4211_v1:4:14883954:14898810:1 gene:GSCOC_T00011351001 transcript:CDP16992 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSPNPQFCKRFSSTKTATTSAAAFFSKPSQISPNFNLERAKPSFLVRCSIRSSAPPISTLKQSCPRPEYIPNRISDPNYVRIFDTTLRDGEQSPGATMTTKQKLDIARQLAKLGVDIIEAGFPASSEADLEAVKLIAKEVGNVSPEGADGHLPVICGLSRCNKRDIDKAWEAVKYAKKPRIHTFIATSEIHLKHKLKKTKEQVLETARSMVAYARSLGCPDVEFSPEDAGRSDREFLYEILGEVIKAGATTLNIPDTVGILVHDEFEQLIADIKANTPGIDNVIISTHCQNDLGLATANSIGGAIAGARQLEVTINGIGERAGNASLEEVVMAIKCRGEQVMGGLYTGINTQHIVVTSKMVEECSGLHIQPHKAIVGANAFAHESGIHQDGMLKNKDTYEIMSPEDIGLLRSNESGIVLGKLSGRHALKAKLLELGYDIDGKELDDLFPRFKSVAENKKNITDEDLIALVSDEVFQPQVFWKLGDVQVTCGTLGLSTATVKLIDADGKEHIECSVGTGPVDAAYKAIDLITKVPLILLEYSLSAVTEGIDAIATTRVLIRGDSQTATHALTGEAMSRTFSGTGAAMDIVVSSVRAYLGALNKKLSFKKLNESQILVA >CDO98432 pep chromosome:AUK_PRJEB4211_v1:4:5517499:5520250:1 gene:GSCOC_T00022518001 transcript:CDO98432 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSEKCSIKPCLHWIKSPEAQANATVFLAKFYGRAGLLNLINAGPDHLRPGIFRSLLYEACGRIVNPIYGSTGLLWSGNWHLCQAAVEAVLSGSPISQVSADSAACTVCPPLKAGDIRHVSKDENSAGSAARDLHKVKCSKGKFKRAGVKQPKVRVESPDVDNAARVMWSWSHKEEEEEEEVVGSPSRDSGVSQHVEPPSRGCECGDADSASVETVEESLVKPDEPADGSDVELELTLGFEPVLCRQRKVGRQESDGGVNK >CDO97749 pep chromosome:AUK_PRJEB4211_v1:4:216667:221691:1 gene:GSCOC_T00021634001 transcript:CDO97749 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVSTDQATLSDNHSALVPPGASGSITNDNNQLTLSFQGQVYVFDSVSPEKVQAVLLLLGGREVPLNVPTNPVSTHGNRGISSTPEKFNVPQRLASLIRFREKRKERNFDKKIRYTVRKEVALRMQRNKGQFTSSKPSNDDAASAASSWDSNQNWGLDASASQNQEISCRHCGISEKSTPMMRRGPEGPRTLCNACGLMWANKGTLRDLSKGGGVQGPNCSLNQSDENGNFKADETVIRVAGNGSDSS >CDO98656 pep chromosome:AUK_PRJEB4211_v1:4:7885199:7888315:1 gene:GSCOC_T00022824001 transcript:CDO98656 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFEVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQKDEDHAFKKIRLRAEDVQGKNVLTNFWGMNFTTDKVRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKKRANQRKRTCYAQSSQIRQIRKKMVEIMRNQASSCDLKELVAKIIPESIGREIEKSTTSIFPLQNVYIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKLDRPAEETVAEGETEVVGS >CDP14921 pep chromosome:AUK_PRJEB4211_v1:4:26116432:26116855:-1 gene:GSCOC_T00042412001 transcript:CDP14921 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCGQNFVLGLCPGQPSADSDTVMIIAKVSPWS >CDP14383 pep chromosome:AUK_PRJEB4211_v1:4:22793769:22793999:1 gene:GSCOC_T00040759001 transcript:CDP14383 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYMNFSLQYCDRYADYMEFPHLEEWRKVLCLSAVKNSYANLETYRDSYSDDYEMLQVAHQSPHFTQLGDHAITL >CDO98382 pep chromosome:AUK_PRJEB4211_v1:4:5031610:5037734:1 gene:GSCOC_T00022455001 transcript:CDO98382 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNFLDLCRDFWRRHKRKVYITLGVLGSGYFLYKLYDAHSRRISDLERELATERENDELVKAQMQEHFENVQRIADSTTLPHVMQYLSTRVAEELDLAPLTERLMRGKGQPNTLTAAEKLELWDRLKILSFTRMVLSVWAMTTLNLFIRVQVNILGRHLYINTARGLGNSHLLDEADLIDRDDEQQFLAIADFLSNYEIPTLIASIEAATSEVLKGKQLKDYFNSSVLRETIVRILDTFMSKGNPRQWLGYLIPEDNRIYKLVEPSGSGNEVHSHASKFEQLTEETRAVLSSAEFGNILDISLKVAVDAIAEEIDMQSAIPLAKLLPRIAQMGQILLEEPNRQRYIQIIRNTPEVELFFTVLYSSMPS >CDO98059 pep chromosome:AUK_PRJEB4211_v1:4:2510667:2514893:1 gene:GSCOC_T00022034001 transcript:CDO98059 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKRSDDAPLSPRFPQSYYQQLPSDPHQQPQQPQYIILLPRYYNNRRPRLHLSRTWRRCLICLLTLVLLGLAVFLLWPSDPEVSIVRLRLDHLGIHLFPIPSVDITLDVTVKIRNRDFFSIDYKSLIVAIGYRGKQLGYATSDHGNIKARGSSYVNATVHLSGVNILSDMLLLIEDLATGEITFDTDTKIGGQLGLAFFEIPLQGKVSCEINVNIHNQTIEHQNCYPEVSIQCVLIVPPLHLLSHYSCC >CDP12717 pep chromosome:AUK_PRJEB4211_v1:4:12024258:12026479:1 gene:GSCOC_T00037333001 transcript:CDP12717 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQARRFLTSHRFANSLHSTRLPRTRSLHTQVTQFSHHSSPSLSTRSSLSLSLLDLRSQIEKLSKSRKSEALSNEILSENRGLPDSMRILHEDPKFDLLFYRWAAEENGFRPCSSSYNSQLKLCAINDGMKEFLVVVRSCKRKGTYIGQKTYLDIYSDLRRLKRDDDAAELQLFYENMVFQNAIKGVAKMVAQNLDWGSELEGKLGEMRIWVQENFVLGVLKELKGKGLPLKALSFFKWVGESLGFEHNNATYNRILRILCTEETITEFWSIFEEMKDAGYVIDSDTYVEVMLEFQKSKMVKDAVELFEYRMGTPFEYLEGECGLLFNVISSTSNPDLDLMFKVVKKYEAAGYCLLKSDYEGIHRCLTSAGKFDEAEKIIQTMRRAGYNQCNVTYRQLIFGLCKAGRLEEACEVVDMMEVPGYIPDIKTWITLTKGYCDVNGVDKALPWFGILVKKGFDAYAGLLDFLVYGFLKEKRVIGAYELVMEMVGKAHMIPWHATFRNLIEELLGERRLEEALNLLRLMMKHDYRPYREPFVQYISKFGSVEDAWEFLMALSKKTYPSLLACQRVFKSFFNEGRHSEAKELLLKCPDHISRRPAICILFGS >CDP16426 pep chromosome:AUK_PRJEB4211_v1:4:17331519:17333603:-1 gene:GSCOC_T00018304001 transcript:CDP16426 gene_biotype:protein_coding transcript_biotype:protein_coding MLRATIQKLALKSCCSEPEASANVAICALQGDFGDVKGDNWTSEQFNENIVTERGDIPVKNKESPEKKRKWLRHVQNIAFRQYTTWMKLSKFKLGERIPCTQSGIRTKEAMSDQFTVEDCRNQLYKKHHPPSLNDEVWWPEKIGRNGAFHKRLSKKDILGTIMLAKVWDATGTHARAYELDNKLYLYHSPGSQKKKVVVFNIRYDSILTFAFFTCITVNQIIDKHLIVHALDQADAHDLVLSAFKNLDKVVNVDDAASVMCVLCCLPPPDHPNPFAVANFESNKSLPANTYGYGNNPEFHSSSAAQMEGQFSVDNEASSTMDCSSNPPAVANFDHNKTSTANAIGNGDQ >CDO98422 pep chromosome:AUK_PRJEB4211_v1:4:5341594:5342454:-1 gene:GSCOC_T00022506001 transcript:CDO98422 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDTSTENSSLNTSPRISFSHDLCQTDEAAAVEDKPYRLDSMLQLDSDSDDFAFCITSNSTGAHETSSAEELFSGGLIRPVQLQERFVHTPKNASKSKAQAHQVPSLPPLPFVPQANGGSKQEILQEKESTLTNIEAEQKNQSKSFWGIKRSSSLHCDNGHKKSSFWSLPLLSRSNSTGSVPNSKQGLRESQKQNASSKQQKNLMGISASASASANATSAPSFYVYPLSQKPPLRKNYMGSNGNGIRISPVLNVPVPSSHISKGTANLLGLGSFFRNGKDKKMKK >CDO98617 pep chromosome:AUK_PRJEB4211_v1:4:7413865:7414293:1 gene:GSCOC_T00022774001 transcript:CDO98617 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLTPYLILHPMRLTYCKMIFNLNDPETSVLVAVLLTTGSSLTFESVIAAPSSNADSDSIDITYIYYKEC >CDP14869 pep chromosome:AUK_PRJEB4211_v1:4:25364130:25365257:-1 gene:GSCOC_T00042342001 transcript:CDP14869 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSLHLKKLEGKVAIITGGASGIGEATARLFSTHGALLVVIADIQDEKGQKVAESIGSNNCSYVHCDVSDENQVQGTVEWTLKTHGRLDIMFANAGIFNKSPQTVPDLNLSDLQHVFSVNVAGTAACVKHAARAMIDQGIKGSIVCTASTAASRGAEVRTDYYMSKHAVLGLMRCASKQLGEHGIRVNSVSPYMVATPLVCNNLNMTVEEAEASYPLPCLKGRALKANNVADAVLFLASDDSSCITGHDLLVDGGSKI >CDO98052 pep chromosome:AUK_PRJEB4211_v1:4:2479742:2481537:-1 gene:GSCOC_T00022026001 transcript:CDO98052 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTTAEVCDANPQLIVSGELRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALASHPMKANKKGIGEKHVPITIGGTRICDGEWLYADTDGILISRAELSV >CDO98625 pep chromosome:AUK_PRJEB4211_v1:4:7487545:7488873:1 gene:GSCOC_T00022783001 transcript:CDO98625 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVFPNATSFKEVDQPNQPLAIDHGGNLDGGPVVLTVWKKSLLFNCDGFTVFDAEGNLVFRVDNYMSGAKGEIVLMDASGKSLLTIRRKRLSIADNWLVFAGEEAVNPRFSVRKQVNFLNSRSLAQVSCLSCCDSGSPSSPCSSSSPKKKLVYEIEGSYKQRCCAVYDDKRRRVAEIKRKEAVGGLVFGLDVFRLIVLHEPGSRIESAVAMALVIVLEQMFGSSSYSPRALH >CDP16999 pep chromosome:AUK_PRJEB4211_v1:4:15245859:15254604:-1 gene:GSCOC_T00011363001 transcript:CDP16999 gene_biotype:protein_coding transcript_biotype:protein_coding MHGREQREGESHHRRIVVVRKSGCGGQHMRSVPLSTTRNSLADGGGDSSLISTVITIISSDSFCKDGRRISVGDCALFKPPQDSPPFIGIIRCLRPSKENNLQLGVNWLYRPAELKLGKGILPDAAPNEIFYSFHEDETPAASLLHPCKVAFLPKGVELPSGISSFVCRRVYDIDNKCLWWLTDQDYINERQEEVDKLLQKSRIEMDASFQSGNRSPKPTNNSVSTSQFKPSSDNVQSSATSLPTKGKKRERGDQASDPVKRERSLKLDDSDSGLYKSESFLKSEIAKMTEKGGVVDSESVEKLVLLMQPDKVDKKLDLISRSMLAGVVAATDKLDCLNQFVQLKGLTVFDEWLQDAHKGKIGDSSDKSAEDFLLVLLRALDKLPVNLLALQTCNIGRSVNHLRSHKNLEIQRKARGLVDTWKKRVEAEMNMIDANTGSTQATSWPSKSRIPEAHGGRSSGGSGDVALKSSVTQLSAAKTMSIKVSQVETAGKSASPSPGAIKSASSPASGKDSQPRITTGSTSDIPLSTREEKSSSSSQSHNYSQSFSGKEDARTSTAGSMSVNKISSGGSRHRKSVNGFPGTLVSGSQKETGSSRNSSIHRTTAQEKFSQSVAMGEKVFETPVVDGATPKLIVKLPNRGRSPAQSVSGGSFEDPSIMSSRASSPVVSDKHEPSERTKEKADAGRANVISDVNAESWQSNDFKDLHTGSDEGDGSPTAVPEEDRSRPPEDGTKVPEVPKTASSSSGNDLKSGKLHDASFSSMNALIESCVKYSEASTPVSIGDDVGMNLLASVAAGEMYKSDSLTPADSPQECSPPVEEISNGEDAKSKSSPQETLVRERCEPNDVDGDDLKRGKSASSWSKDGICLSKQASLHSTGDRKAAAASSEEILQATTDRYSEMNEKYDDILVSSSLSPTTKVAKDRDLDSGKQSSEEKGVEVKFSVLSSTEDVKPKAEVPSNPSMEIDCKKDSNEMSNNVVLTEQKPQSEELPATGSIKDLASENVDSCKVREADEDPGDSGVNQSDGATLDPKTNMISTSEDKNLDGLSSDATDQKTDCLKANSEKMEVAEPHAFGTCALKEEPTVGSKEVVGDVDFKEAKKSDAEVAELEERVSTVVGATSLTSVHAADMDSKMNFDLNEGLVSDDGKYGEPTNLSTVNVVNSLTTTVSMLSSSIPASITVAAALKGPFVPPVDLLRNRGELGWKGSAATSAFRPAEPRKVLQLALGSANVCPPDDSSGKTSRPPLDIDLNVPDERLLEDMACRDSSAEIGSNPDHSVNLDRSRNELTGSAPGRMSGGLDLDLNRVDDANDITQYPTTSIRRLEAPVVPFKSLSSSSNNEVKRDFDLNNGPGIDDGVAEQSSFTQHSRGSTQSVHSLPTLAGLRSNNLETGNFSAWFPPGTSYSSITIPSALSDRGEQPFAIIPPGAPQRLLGSPAGNPFNPDVYRGSVLSSSPAVPFAPSPFPYQMFPFGTTLPLPSATFSVGSNSFMDSSSGGRIFTPPVNSQFLGPVGAVSSQFPRPYMVSVSDGSNSGGMESNRKWSRQGLDLNAGPGVLDIEGREESLAQRQLANEESSYSLSKGQCLTCMYMISSSLDGSSPGGQLVSGHFP >CDO98440 pep chromosome:AUK_PRJEB4211_v1:4:5594868:5598030:1 gene:GSCOC_T00022530001 transcript:CDO98440 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTNQILYNTPARTPCTNCPLRRTILLPPLSSPRTHHLTVVSASKKLSSSRTGKFDSKKRRSSVSTTEEAEETPKLKELDRTADIDGSGPTVTVDDGFVMPELPGEKPDFWEGPQWDTLGFVIQYLWAFGIVFALIACGIAVATYNEGATDFKDTPAYKESIQSRELLEEPEASGSDVFESNPTEEAPSLE >CDO97866 pep chromosome:AUK_PRJEB4211_v1:4:1153395:1159762:1 gene:GSCOC_T00021800001 transcript:CDO97866 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRRRKPPENQKPTPPQPEPELEEDDNKKKELTPNKKIPKKAEERVKWSCLDNCCWFVGCVCTVWWGLLFLYNAMPASIPQFVSEAITGPWPDPPGVKLHKEGLKAKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGEVYKRPLCWVEHMSLNNETGLDPPSIRVRPVPGLVAADYFVPGYFVWAVLIANLARIGYEEKTMHMAAYDWRISFQNTEVRDQTLSRIKSNIELMVATNGGKKAVVVPHSMGALYFLHFMKWVEAPAPMGGGGGPDWCAKHIKAVMNIGGPFLGVPKSVSGLFSAEARDIAVARAIAPGALDKDLFHIQTLQYIMKMTRTWDSTMSMIPKGGDTIWGGLDWSPEEGYLPSKRKKHRSNAADCSADNENVSTESKARNIVNYGRIISFGKDVAEAHSSEIKKIDFRDAVKGSNTANNTCRDVWTEYHDMGLVGIKAVAEYKVYTAGELVDLLNFVAPKMMARGNAHFSYGIADDLDDPKYAHYKYWSNPLETKLPNAPEMEIYSMYGVGIPTERAYVYRQSPSSECYIPFQIDTSADEDDKDKRLKDGVFTVDGDETVPALSAGYMCAKGWRGKTRFNPSGIKTYVREYDHAPPSNLLLEGRGTESGAHVDIMGNFALIEDIIRVAAGATGEELGGDQVYSDIFKWSEKIKLPL >CDO98365 pep chromosome:AUK_PRJEB4211_v1:4:4889420:4896428:-1 gene:GSCOC_T00022433001 transcript:CDO98365 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQPQQQQLPPPPPPQPQPQPQPQPQPQPQPQPQLQSAMTAPPPQQPKPQPLQQLQASTPAEGAALPPIHFTQVANPTPNVVPVPVPNHPPYAQMITEAITALKDRNGSSKRAIAKYIERVYSNSNLPSTHSALLTHHLKRLKEQGSIAMVKHSYKLARSPVAPPPVNANGPKRRPGRPPKAKTGLTPPPGTAAPVVGSDGSFTVPVSVPVTGPVPVTESIFASLGLVDAPVPVPVSEGPVSVPVIKKKPGRPRKVDSGGAGVGLQPTPTLAKKSTGRPRKVAAKNAAPPGTGVFPVSQATQPVGQPKDGTPMKPRGRPPRKNVQVPVQNPTPLSVAPSASATLVPNVYVGEGVLAAGPAPAAKRRGRPPRAQNGPKTPRKLSGKPLGRPKKISSPPGVQGSSMQQLLAYNEMKSKLDFFQLRIKQTVSVLKPCLDSSTAATALGALRELEELANLELGPPATVQAQQPPPQNQA >CDO98356 pep chromosome:AUK_PRJEB4211_v1:4:4835053:4837660:1 gene:GSCOC_T00022423001 transcript:CDO98356 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSFDEECDYLFKAVLIGDSAVGKSNLLSRFAKDEFHLDSKPTIGVEFAYRNVKVGDKVIKAQIWDTAGQERFRAITSSYYRGALGALLVYDITRRETFEHLKKWLYELREFGCSDMVVVLVGNKCDLGSSREVNLEDGQSLAQLEGLLFLETSAKENLNVEDAFNQMIAKIHEVTSQKSLEAKMNELPAPILQGKKEIIFIDHDEVSATKQTTTCCSY >CDO98421 pep chromosome:AUK_PRJEB4211_v1:4:5319426:5331325:1 gene:GSCOC_T00022503001 transcript:CDO98421 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGGEGGGGGVAVVLCGGAVSNALDSLSPAPAPDDGGTVEEISEQNSNSPILFFLFFHKAIRLELDCLHRSALAFATGKLVDIQSLVERYHFLRSIYKHHSNAEDEVIFPALDIRVKNVAPTYSLEHKGESDLFDHLFELLNPNKQKNENFARELASCSGALQTSIGQHMSKEEEQVFPLLIEKFSVEEQASLVWQFLCSIPVDKLKEFLPWLSFSISPDENEEMQKCLCRIIPREILLQQVIFSWIKHREPTTTVTSNSVSPQIQRSLYSCASKASHEHSGGKKCVCIPSRTGKRKHTVAVNDASDLDGDHPINEILHWHNAIKQELEDIADEARKIELCGDFSDLTSFYERLQFIAEVCIFHSVAEDKVIFPAVDGGVSFFEEHAEEESQFNELRCLIENIQNSGANSASAADFFTKLCSQADLIIETIKRHFQNEETEVLPLARMHFSFKRQRDLLYQSLSLMPLKLIERVLPWLVGYLTEDEARNFLKNMQLAAPPPDAALVTLYSGWACKGRHKGICLSSNVTGCLVRRYTNHDIEENYIRGSCPCANVFQSERPVKRNLPIPSTEETLCGNSVDENSLHSAGNEQSCCVPGLGVNGNNLRLNTISTPKSFRPLSFSSSAPSLNSSLFIWETDNSSPGVDRIVHPIDTIFKFHKAILKDLEYLDVESGKLSYCDVTTLQQFVGRFQLLWGLYRAHSNAEDEIVFPALESKEALHNVSHSYTLDHKQEEELFEDISCVLCDLSELHEGMNRNSKIEDLNLTSLDNAVYGEDCWRKYTELATKLQGMCKSIRVSLHQHIYREELELWPLFGKHFSVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNNLMDTLKQATRNTMFSEWLNDCYKRTPELSGQPDKSDSSSSSTGTQSQETLDQGDQMFKPGWKDIFRMNQTELESEIRKVHRDSTLDPRRKAYLIQNLMTSRWIASQQKSQVSMDENSVSDETLGLSPSFRDTHKQVFGCEHYKRNCKLRAACCGKLFTCRYCHDEVSDHSMERKATVEMMCMVCLKIQPIGPVCSTPSCNGFSMAKYYCSICKFFDDERDVYHCPSCNLCRLGKGLGVDVFHCMKCNCCLAMKLEDHKCLEKALETNCPICCEFLFTSSATVRCLPCGHYMHSACFQAYARSNYICPICSKSMGDMAVYFGMLDALLANEVLPDEYRSHQQDILCNDCQRKGTAQFHWLYHKCGFCGSYNTRVIKAASANFECSTSNHEVEPS >CDP18399 pep chromosome:AUK_PRJEB4211_v1:4:24431455:24432424:1 gene:GSCOC_T00004736001 transcript:CDP18399 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIFTCILIIILFHYLITYWMLVIIKWKVVCNKIYEGDKRIVRLKKGNSECCYIVIVDDLVQFGGTLIECQLIILLLSSQVLTTNGATKLSTYVMHGVFPNRSWEQFTAFAYFWITDSCPLTMKAIADKTLFKIFSLVGSIADALKI >CDO98651 pep chromosome:AUK_PRJEB4211_v1:4:7764013:7766730:-1 gene:GSCOC_T00022815001 transcript:CDO98651 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWIILTYVVAAEAATAILLTVPTPKLIKSKMVSLVSLVLQPSLFIVPFSVFQLMDIYWKQEHRLMCTGETCTASERDRYEKSIYKAQRNVILCAAAVLLYWCIYRICKYYKDIQSMEEVERRYKDE >CDP12690 pep chromosome:AUK_PRJEB4211_v1:4:11165461:11171956:1 gene:GSCOC_T00037281001 transcript:CDP12690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MGALSFGFSPLNAVTTSSKQQTLKNLGTAHFFNSTNMPSFNFRTQQNCRGGIRMQIVEEEYEVQQAKDMAAAKKRWEAMIRNGKVKFLTPREAGYAIQLSNKVLLDVRPSTERKKAWVKGSTWIPLFDVDNSIDAVNLSRKMTNFMMGGWWSGVPALAYDNKFLSKVQEKFPKDSDLIVACQKGLRSLAACELLYNAGYRNLFWVQEGLEAAEEEDLDREGPQPFKLAGIGGVSEFLGWTDQQRAAAAKEGWGYRLVFTGRLLGVILAADALFFGAQQVGRYIQDLRAH >CDO98261 pep chromosome:AUK_PRJEB4211_v1:4:4033847:4040442:1 gene:GSCOC_T00022293001 transcript:CDO98261 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGAEHYTLYEEVGTGVSASVHRALCIPYNEIVAIKILDFERDNVDLNNISREAQTMVLVDHPNVLKSHCSFVSDHTLWVVMPYMAGGSCLHILKAVHPDGFEETVIATILRDVLKGLEYLHSHGHIHRDVKAGNILIDSRGGIKLGDFGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMQLRGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHPFFKQGRSNDYISRTLLDGLPALGDRLQALKRKEEDMLAQKKIPDGQKEEMSQNEYKRGISGWNFDLEDMKAQASLIQDEDIIVEKDQKGSTNSLSSLDVHGKQFQRQLSSASQFALQRQSSFASQYSDPDDNNLITHPSSADSTVICNIAKSEKSDDDASCFNSVHEHQNSIPQNEYHAETNSSIRPHPETNGKLAENFMPYSHQSDSFQSQPQSTSNYNVDLSHQAVIDVPSETSSKASKTSGKILLVLHLFCLNALRSCFLISIAVKR >CDO97781 pep chromosome:AUK_PRJEB4211_v1:4:458538:461103:-1 gene:GSCOC_T00021682001 transcript:CDO97781 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSELHALYLLKQQQLGLFTLWNRTTLLPHNPLNFSVNPTNASSNVVDSLPSSSPARLEDLKSDLLRHISLNKQIQQVLLSSHRLGDPLDSLSSNTSTDPTLGAGFDGCRKVEQGPSSQRRTIDWNPKSNKYLFAMCVSGQMSNHLICLEKHMFFAAALNRVLVIPSSKVDYEFHRVLDIDHINKCLGRKVVVTFEEFAASRKNRPRIDKFLCYFSSPQPCFMDDERVKKLKSLGVSLPKLESAWTDDVKNPKQRTIQDVLSKFSTDDDVIAIGDVFFADVEREWVTQPGGPIAHKCKTLIEPSRFILLTAQRFVQTFLGRDFIALHFRRHGFLKFCNAKQPSCFYPVPQAADCINRVVERANTPVIYLSTDAAESETGLLQSLLVLNGKTVPLVKRPGRSSAEKWDALLYRHGLEADSQVEAMLDKTICALSTAFIGSSGSTFTEDILRLRKGWGSASMCDEYLCQDEVPNFIAEDE >CDP15020 pep chromosome:AUK_PRJEB4211_v1:4:9303404:9310502:-1 gene:GSCOC_T00042550001 transcript:CDP15020 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHDDLEANPEALPAQEGPRKDEKASEEITEASPRVILDAKFEPLSAQKGSEKANTQPSKVIPYYKLYSCADTIDIILIIIGTVAAIVDGLLLVYRIRFWGQTIDFTSDLHAKPEKFHQVIEVVKKLLYMGIAATVASFIRGICWAVSAERQVSNLRYSYLRSLLRQDITFFDEEIKTGQVIGNMSRDIGRTDAAIGYRVGLFIKLMATFIGGMIMASSQAWQLAAVMCWTILPITLFGHFGIKILIRECVNEGVAYAKGDSVVLEALASIRTVISLNGERQTMSKYEKTLEEVQRSLTRAGIAAGGSIISLIDFIEYCGFSLIIWYGSRFVLDDRYSRGDLITVATYINSGTSCLVNAIGNFSAFPRGRVAASNIFRIINRESRIDAFDSHGKTLDHVEGEIEFRNVSFRYPSRRNIEVLSNFSLTITHGSKTALVGKSGCGKSTVVSLIQRYYDPDSGGIFIDGFDLKELRLEHLRKKIGLVSQEPVLFTLSIKDNITFGRDDVTEEEIRAAIALANAEKFLKELPQVEYNAYANTAFHLILLMLKLPHQESMLYLFTSLNMQGLDTMVGENGVQLSGGQKQRIAIARAVLKNPRIILLDEATSALDLESQQIVVDSLNKISVGRTTLLITHRMSTVANANKIFVLERGSIVEEGTYSELMDQNGPFCQLIQMQNIREESNKQNSIGPFSMSKRASLRKSSGDVFPSSEISYPSKKTIIKFWRFVRLKQVFFLFLGLVATITKALIPIIFGVIIAYVIGAFNLEAGRLQKEIKFWCIIIAVVALISPVAAVSQKYILIQASCWDAAPQVVQHAVTVIAGLVVVLSGSWQLAVLSLAFIPLVLFNGWLELKFNGNSSKIIKVLYEEAGQVTKDAVEHIRTVASFSADKQVLQLFKLKCEGPLKKRIKCAYAEGASYGLSSFLLYFIYGATFYVGATLIRDGRATSTTFYLALFALTDEVLNVTNWLGLLKEFNVVKPCLRSVSSILNLESKLDSSLDTGLTIECLKGSIEFQHVNFSYSSRPHIQVLKDFCLSIPAGKTAALVGESGSGKSTIISLLQRFYNFNGGLILIDDIEIQKLNINWLRKQMALVSQEPALFDDTIKANITFGLDRDVSEAEIISAASLANGHHFISNLPQGYDTEVGQRGVKLSGGQKQRIAIARAIVRRPKILLLDEPTSALDLSSEQVVQEALNEHADIIAVMRHGVIAELGTHESLLKSQNGIYASLVALS >CDO98011 pep chromosome:AUK_PRJEB4211_v1:4:2244331:2247076:1 gene:GSCOC_T00021975001 transcript:CDO98011 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDSKWKKTALLVIDMQNDFILPGGPMLVKGGQAIVPNVIRAVEVARGRGIPIIWVVREHDPSGRDVELFRRHLYSPGKPKPTSKGSFGAELVDGLEIKGDDYKLVKTRFSAFFNTHLHSYLQGAGISNLVITGVQTPNCIRQTVFDAVALDYQSVTVIIDATAAATPEIHVANILDMNNIGVAAPTLAEWAESNT >CDO97856 pep chromosome:AUK_PRJEB4211_v1:4:1056072:1056788:-1 gene:GSCOC_T00021786001 transcript:CDO97856 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRWSLIAGRLPGRTDNEIKNYWNTNLAKKYQSGERLAASSSCTKRNPSSTTLLHKLTSSAHQPPNSEKREGSSTYVVRTKARRCTKVFFNADLQTPSAQQPGVLAVKSPVPSGADQAKDDSNETRTTDNSEKVGPVPASGGGTESPPTFSGEEGYSSGFMMDFEMDNDFLSDFLNMEFERDFPEVVAQGTDNNEANDFSFNCCPRALSHDDRNDHADLGSITDLLDTAVTWLHEVQ >CDO98497 pep chromosome:AUK_PRJEB4211_v1:4:6159133:6161499:-1 gene:GSCOC_T00022614001 transcript:CDO98497 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAEIGTKLCTSSSLSLQSSPTNFVTHCAAHFLFPSQMAFKFVATQEEFLLDQIKVGSGIRLREGRGIPIDLLTKHLYPLDDLDVEIDEPDMVFKGLTVHELEGLYEDIKMHLDLDKATPRHMQYWQPLLVVCDWELSEARKRDSSDQAIRLLQGKSYRELEALRSQVEAQMQSGTAKVVEFWEVLLRRIDIFKGKACLEEIHAEVLSRHLQHLEKPIEFGDFCHDGKDVQVLLPQCTRREENPEKHRQELGAGPPAPDDNFEKKAVGAMEEGDAIFGWKHEINLDSQVYWWRAKYSPRKPKYFNRVHTGYEWNKYNRNHYDHDSPQPKIVQGYKFNIFYPDLADKEKAPTYAIEKDGNSLETCIIRFPSGPPYEDIAFRVVNKERDYSHKKGFKCTFGQGVLRLYFNFKRY >CDO98133 pep chromosome:AUK_PRJEB4211_v1:4:3149541:3154183:1 gene:GSCOC_T00022132001 transcript:CDO98133 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRSSPFVCFSSHSTAIISSISLCFVQRASIIFLFLNFTNVSGAKFDPPIQLPGSRTSQYVSNSSTVLYIHDFGARGNGMSDDTKAFQKAWKIACSLSSEAKIVVPAGKKFLVRPIDFSGPCRSGLTLWISGTIVAPRDPDVWNGLDPNKWLYFHGVNYLTVEGGGTVDGSGKEWWARSCKINHTNPCRRAPKAITFHRCKNLIVRNIMSINSQQMHMAFTGSKQIVVSYLRISAPAHSPNTDGIHISASKNVEVKDCIIRTGDDCISIVSNSSKILVEGITCGPGHGISIGSLGKSNSWDQVHDVKVNGANLTNTENGVRIKTWQGGSGFASGITFQNVWMENVSNPIIINQYYCDSFVPCANQTSAVSINKISFVGIKGTSATETAVSFACSDSSPCRMLYLEDVQLTSYTGEITTSFCWQAYGSSSGLVYPPSCLLSSCNNRIIQQQAESGSIQSI >CDO98434 pep chromosome:AUK_PRJEB4211_v1:4:5529353:5530819:-1 gene:GSCOC_T00022521001 transcript:CDO98434 gene_biotype:protein_coding transcript_biotype:protein_coding METEMGRHGDIKLMMITNARSNHVFCPCPCCGGKTSFDRLGTWEILPTDLLYNAHEYCPNPKPWISI >CDP19379 pep chromosome:AUK_PRJEB4211_v1:4:24596044:24602173:1 gene:GSCOC_T00002603001 transcript:CDP19379 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAVDKSEIAFFDVETSVPTRQGQGFAILEFGAILVCPKKLIELESYSTLVKPSDLSLISNLSVRCNGISRDAVISAPTFAEIADKVYGILHGRIWAGHNILRFDCARIREAFAEINRPAPEPKGTIDSLALLTQRFGRRAGDMKMATLATYFGIGQQTHRSLDDVRMNLEVLKYCATVLFLESSLPDIFTENSWVSPNATTRSRSNGKASPEAIGLDSNEPSSSSKVENHLRSTPANHLEEAHPILSLVTPVTNIDVQDIVDPNAIGTDPFDMGLLRNEMEREPLQVEDSMEEEESGSALQESSTTSSEGSCGLIDFLEPDEISIPSVSVILAPFYRGTRKIQILHKSIALHVCCRQLKVRFGISKKFFDPAGRPRLSFVVNASPSLCRILDEIDKLAQRLSVDSGSSSEWRPSVTRKAGFWNFPTVRLHLPTIADGDVVRWATEIYQKESSTIQRLVFSKFDTVELDPLFTPGNFVDAYFSLDTYDYQQNAGIRLVAKKLIVHPN >CDO97851 pep chromosome:AUK_PRJEB4211_v1:4:1017959:1022857:1 gene:GSCOC_T00021775001 transcript:CDO97851 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SPL2 [Source:Projected from Arabidopsis thaliana (AT1G54150) UniProtKB/Swiss-Prot;Acc:Q9SYH3] MSVHDQAAAAVLSQLALAADGAVLGLGLAYVAVRSILKFTSNSSALRKIEQAPSIRVSDLRSVLTLPSDNDDSANVQSESGKLVIVRGCVEAKSALDTNWKSLRPAPSGVLVSQESGDKGVILQRTQTCIYNEWRGFFGWTSDLRSLFVRSWKEQESSTVRMVPFILVETGRWPQSDYVIVNMEGSRHPLPLTTVYHRLQPIHASPYTFLQALFGHEYPVGLLDEEKLLPTGKEITAVGICSLKDGSPVIKSCKDLPFFLSDLTKDQILVDLDFKTKVLFWSGVVLGSLAVGILGYAVVRNWNRWKEWRQQRRDQQQSSARSNQANDQGASDEEHGDVPDGELCVICLMRRRRSAFVPCGHLVCCQSCALSVERDLSPKCPVCRQTIRNSVRIYDS >CDP14887 pep chromosome:AUK_PRJEB4211_v1:4:25734142:25740595:-1 gene:GSCOC_T00042371001 transcript:CDP14887 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNACIRPENELPQAASSPTTTSSKTRERRPNPYAESPATIRVLKDFIPRTRISDKYILGRELGRGEFGITYLCTDRETRDALACKSISKKKLRTAVDIEDVRREVAIMSSLPEHPNIVKLRATYEDNEAVHLVMELCEGGELFDRIVARGHYSERAAAAVAKTIGEVVRMCHANGVMHRDLKPENFLFANKKENSPLKAIDFGLSVFFKPGDRFSEIVGSPYYMAPEVLKRSYGPEVDVWSSGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQISESAKSLVKQMLEPDPKKRLTAQQVLDHPWLQNAKKAPNVPLGDIVRTRLKQFSVMNRFKKKALRVIAEHLSVEEVEVIRDMFTLMDTDNDGKVTYEELRAGLRKVGSQLAEPEIKLLMEVADVDGNGVLDYGEFVAVTIHLQRMENDEHFRRAFMFFDKDGSGYIDLDELKEALADESGETDVAVLNEIMREVDTDKDGQISYDEFVAMMKTGTDWRKASRQYSRERFKSLSLNLMKDGSLQLQDGFTGQTVVV >CDO98146 pep chromosome:AUK_PRJEB4211_v1:4:3229611:3231140:1 gene:GSCOC_T00022149001 transcript:CDO98146 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISQGLVLATAMAVSAGTIILFDLCREKYFPSTTQLARTRDAHQQKQNLKPCLSSGGKKGEKNKKKKRVQFAADVKEPSRNGEDYRREHGRKSTRIQSVSCGMPANRVALYSGILKDRLQRTEFSY >CDP16940 pep chromosome:AUK_PRJEB4211_v1:4:13571032:13571628:-1 gene:GSCOC_T00005303001 transcript:CDP16940 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFSSASIHFWPLKLSLSLSLSEATIKTKMVSLQPPLTNSNTLFYSTLTPPNPFHYFPNLYPKTLNPPPPPLKPPHSLPKIQSILQYNRKPQLAGDTPRVVVITSGKGGVGKTTTTANVGLSLARLGFSVVAIDADVGLRNLDLLLGLENRVNYTVVEVLNSDCCLDQALVRDKRWSNFELLCISKPRSKLPLEIR >CDP19450 pep chromosome:AUK_PRJEB4211_v1:4:17173362:17177403:-1 gene:GSCOC_T00012379001 transcript:CDP19450 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVQLSLNKTQKLRLETALEKLESLSSTTSNNSNASVTVADTIPINYEDGVLKGHGTSEMDGRVVATICGVVERVNKLVYVRALRARYKPEIGDIIVGRVIEVAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDIVCAEVRGFQHDGSLYLQARSQKYRKLDRGQLLRISPYLVKRRKQHFHHLDQYGVDLILGCNGFIWVGEHVEAKDDMVVDQMIKSEQENASLSGSVSSCEQEQSHTSVEIRQHICRLANAVRVLSTLGFMVTVELIIELVDLSISHNLNIHEMLGAEFYVIVAEKEAERRSLATKKR >CDO98030 pep chromosome:AUK_PRJEB4211_v1:4:2358478:2361317:1 gene:GSCOC_T00022000001 transcript:CDO98030 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAKSESDITSLAPSSPSRSPKRPVYFVQSPSRDSNDGDKSASMQPTPSFNSPMESPSHPSFGRHSRNSSASRFSGIFRSSSGRKGGRKRNDKGWPECNVIVEEGKYDEFDDDKGFTRRFQALMALCGFIVLFTVFCLIIWGAGRNYRAEVSVKSLVVHNVYMGSGSDFTGVPTKMFTVNGTLRMAIYNPATFYGIHVSSSPVNLIYSDIVVATAEMKKYYQPRKSHRTVLVDIEGTKVPLYGAGSNLVESVNGVVRVPLTLDFWIRSRGDVVGKLVRTKHRRHVSCSLVIDSTISKPIKFLKDSCVYR >CDP15059 pep chromosome:AUK_PRJEB4211_v1:4:9785355:9786076:1 gene:GSCOC_T00042604001 transcript:CDP15059 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVRQQTARFDASISGNSNLIIGEPKRFAAFGHSARFLHRHTLGKRSC >CDP12743 pep chromosome:AUK_PRJEB4211_v1:4:12419268:12421389:1 gene:GSCOC_T00037375001 transcript:CDP12743 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENQVDREEQQYHHWQVKSPEIVEIPDESKSILSSRNGQTNDVYVAVGKDDLHVVQWVLDNAVSPGTRLFLVHVFPPITYINTPVGRLSRSQLSQDQLRVYLNEDQNRRKYLLEKYIRMCNDAKVPVDTMLLESNATAKAILGLIPVVNITNLVMGTKRSPFTRQLMKGQGKGEYVQKNAPECCEVTIVYNGRRIINGQLHPPESIPSNLVSGDQRPETTHNSERNFFDCICFSGKFD >CDP15043 pep chromosome:AUK_PRJEB4211_v1:4:9630455:9638147:1 gene:GSCOC_T00042584001 transcript:CDP15043 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFGNGIHHYFSKIRRQHHNQLLGRLFSSSAAFGPPPSRPLRRVVVTGLGLVTPLGCGVETTWKCLTAGQCGVRAITPEDLKMDGFDKDIQLLTFDQLASKVAAIVPCGSNAGEFNEALWLNSKDHRSIARFIGYALCAADEALKAANWLPSEQNEKEKTGVSIGAGTGSICDILDASRIICEKRLRRLSPFFIPRILINMASGHVSMTYGFQGPNHAAVTACATGAHSIGDAARMIQFGDADVMVAGGTEASIDALSIAGFCRSRALTTKYNSVPEEASRPFDCGRDGFVIAEGSGIMVLEELEHAQRRGAKIYAELRGYGMSGDAYHITQPHADGRGAILAMTRALEQSGLHPNQVDYINAHATSTPLGDAVEANAIKSVFSTHATSGSLALSSTKGAMGHLLGAAGAVEAIFTVLAIHHGIAPLTLNLTEPDPVFSNDFMPLTASKEMIIKAAMSNSFGFGGTNSSLLFSSIS >CDP12746 pep chromosome:AUK_PRJEB4211_v1:4:12447310:12456767:1 gene:GSCOC_T00037379001 transcript:CDP12746 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTQKATPPSPTSSVAAGASSVLVRREAAAVASALQDWNDDHTGKKQVASVVRSEPASNASNKGIQLLQRAQTCHPLDPLTAAEISVAVATVRAAGATPEVRDGMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGGPAIPSKLPPRRARLVVYNKKSNDTSLWIVQLTEVHATTRGGHHRGKVISSAVVPDVQPPMDAVEYAECEAVVKDYPPFVEAMKKRGIDDMDLVMVDAWCVGYHSEADAPGRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMVVVEFEDRKLVPLPPADPLRNYTPGETRGGVDRSDVKPLHINQPEGPSFRVNGHYVEWQKWNFRVGFTPREGLVIHSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAHNQVVEVNVRVEEPGKDNVHNNAFYAEETLLRSELEAMRDIDPFSARHWIIRNTRTVNRTGQLTGYKLVPGSNCLPMAGPEAKFLRRAAFLKHNLWVTQYARGEDFPGGEFPNQNPRVGEGLDSWVKQNRSLEETDIVLWYVFGITHVPRLEDWPVMPVERIGFLLQPHGFFNCSPAVDVPPGACEMDGKDNDVKESSLAKPIPTGLVAKL >CDO98243 pep chromosome:AUK_PRJEB4211_v1:4:3912423:3913089:-1 gene:GSCOC_T00022271001 transcript:CDO98243 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGYLLWFFAFLCSQLFLCSLSLDEVPTLQNTDPKIKKLPSVAQEKQGTYSSGNFSLHTYGHGGAKDHTTDTEMTQRGKGTYGGANVVHRRPGEKAAASVLESPFGLFLLLILPHLVA >CDP15096 pep chromosome:AUK_PRJEB4211_v1:4:10332385:10334325:-1 gene:GSCOC_T00042664001 transcript:CDP15096 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLAKSHIIFLTFFTLILLVQSKLSLDKSDYEAISIIQKNLGIHGQRRGLECPCNSAGIVCERRISNSSVVLKVTRIVFKSEQLRGVLSPAIGRLSELKELSLPNNKLLDQIPPQIVNCQKLQVLDLRNNRLSGKVPSELSSLIDLRVLDLSSNQLSGNLRFLKHFPNLEKLSLADNLFAGKIPPSLRSFRNLRFLNISGNNLLEGPIPVPNQNGDDSLSESTEVNIVPRRYIFAENSSRSNKTSAIEPAMAPGVGSNQNSTQAPSPSTATVVHKNHKKTKKKIGAWIVGFFAGTVAGSISGFVASVVFKMLKFWIQGGDTDTSLAIFSPMIKKAEDLAFLQNEEGIARLEVIGRGGCGEVYKAELPGSNGKVIAIKKIIQPPRDAAELAEEESKALHKKMRQIKSEIQTVGQIRHRNLLPLLAHMPRPDCHYLVYEFMKNGSLQDMLQKVAAGENELDWLSRHRIALGIAAGLEYLHVNHNPRIIHRDLKPANVLLDDDMEARIADFGLAKAMPEAYTHVTSSNVVGTLGYIAPEYHQTLKFTDKCDIYSFGVLLASLVMGKLPSDEFFQETDEMNLVLWMRNVMTSEDPKRAIDPKLLGNGYEEQMLLVLKIACFCTLENPKERPNSIDIRAMLFQIKYEKR >CDP15054 pep chromosome:AUK_PRJEB4211_v1:4:9722976:9731962:1 gene:GSCOC_T00042599001 transcript:CDP15054 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKKPGKGKEKTERKTAKAEEKRSRRESKKLSPEDDIDAILLSIQKEEAKKKEVHVEENVPRPSPRSNCSLNINPLKETELILYGGEFYNGQKTFVYGDLYRYDVEKQEWKLVSSPNSPPPRSAHQAVAWKNYLYIYGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLNYKGCPSPRSGHRMVLYKHKIVVFGGFYDTLREVRYFNDLHVFDLDLFKWQEIKPSPGCMWPSARSGFQFFVYQDDIYLYGGYSKEVSSDKLGSEKGIIHADMWSLNPKTWEWNKVKKSGMPPGPRAGFSMCVHKKRALLFGGVVDMEMEGDAMMSLFLNELYGFQLDTQRWYPLELRKDKSTKGKLKKNQDEKCNGVALQNEASEMESEKFAASNEDEDLDSDEANDLESDMSDISSRMKRNVNVDNSGMTTPSDEKSLQSSSKSVVQNSELPEVVKPCGRINSCMVVARDTLYIYGGMMEVRDQEITLDDLYLLNLSKLDEWKCIIPASESEWVEDSENEDEDEDEDNPEDEEDESGDDSGENDDEDDEEGSTGGATSIQMGDAVAIIKGEGKSLHRKEKRARIEQIRASLGLSDAQRTPMPGESLKDFYKRTNMYWQMAAYEHTQHTGKELRKDGFDLAEARYKELKTILDELAILEAEQKAEEEEAAEASSSKKRGNKKKSLTSK >CDO98512 pep chromosome:AUK_PRJEB4211_v1:4:6278529:6284480:1 gene:GSCOC_T00022633001 transcript:CDO98512 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKETPSQHGFYMPAEWETHSECWMGWPERPDNWRDNAVHAQRVFARVASAISKFEPVTVCAAAGQWANARSQMPENIRVVEMSMNDSWFRDTGPTFVVRKRDSSSIKQHKIAGIDWNFNSWGGVDDGCYKDWSLDLLVARKILEINRLPRFPQSIILEGGSIHVDGEGTCLTTEECLLNKNRNPQLTKEQIEDVLKAYLGVTKIIWLPRGLYGDEDTNGHIDNMCCFVKPGVVLLSWTDCESDPQYERSVEALSILTSTTDASGRKLQVIKLHVPGPLYMTDKEAAGVVQDDDAKPRLPGTRLAASYVNFYIANGAIITPQFGDKKWDDEAVRVLSSAFPDYKVVRIEGAREIVLGGGNIHCITQQQPACP >CDP12413 pep chromosome:AUK_PRJEB4211_v1:4:20093805:20094567:1 gene:GSCOC_T00035938001 transcript:CDP12413 gene_biotype:protein_coding transcript_biotype:protein_coding MYCYSKNKKKKNVILLGIRRRIDFWVLRGEEGGGGRNGKLVLIGKEKREGGVVAWDGAANGKHRLKFSLTGKPILTLLSGGFN >CDO98415 pep chromosome:AUK_PRJEB4211_v1:4:5276591:5278961:-1 gene:GSCOC_T00022497001 transcript:CDO98415 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVRFLKSKMSTVQLFGSYNLQMMFPIISILMISTMIQSSYCAISNESSCDGLFYNCGNLQGIAHPFWGGNRPESCGIRDFQLQCVEEQQTLILFDDIQKFRLLNIDQPAGSMTLARTDICDNACPQGYTNTSLPTDGFLKYKEQTVSNLTIYYGCSLDLTSLGWDSSSNQTQYNTTDNFHSCCYQQITNSIASRVCFADYYPHSQECGSLAVVPLLKEASDELWASKDLLSAAEALLQETINQGFDVEYRELVTACSGCEASGGNCGSNKDRQVNCYCQDQVHPTICPNSPNGNQSFGSPPSNHGNNDLLVKKPVVAGIVIAGIVLVVGMCIVIWCCKIKKGQHGRAILLPFKRISKDFQNLDELMEQHGPLALRRYNYSDIKKMTSSFKDKLGEGGFGEVYKGNLSNGRDIAVKILRESKKDGEAFFNEVLSISKTSHVNIVTLLGFCLDRQKRALVYEYMPKGSLDKYIHGETVTKTENHLGWETLHRIAVGIARGLDYLHKGCNTRILHFDIKPHNILLDEDCYPKISDFGLAQLCTKKGGIVSNIRARGTPGYTAPEFGSRDSGGASEKSDVYSYGMMLMEMVGGRRNIEVDVSRISRIDYPSWVYQRVVLDEDLELQGIKTKEEDEMARKMLLVGLWCIQKDPSKRPEMSKVIEMLEGSLQALEKPPKPFGGGISSPVLQ >CDO98330 pep chromosome:AUK_PRJEB4211_v1:4:4676226:4677392:-1 gene:GSCOC_T00022392001 transcript:CDO98330 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPFGYTRKDVLLIGLGVTLFGIGLKSGLEFFGVDPLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYESKVMEKRLESLTEAELQALLEQVEEEKSQPTQGEQRT >CDO98262 pep chromosome:AUK_PRJEB4211_v1:4:4040494:4042024:1 gene:GSCOC_T00022294001 transcript:CDO98262 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQNALLFSKKAVLRLLPRTSTLKRFPLLQFFRKVTACRRAFLVISPQPGTSIPVTLSEATVAVPPNLPNQPIHSMLQSVLQTNIVQRESILSLMKLLSTGDCTVDGACASANAAMTEKSLMEAAHDREKELLREVTDLQWRLMSAQEELQKCKTENAQAEF >CDP12736 pep chromosome:AUK_PRJEB4211_v1:4:12334086:12337821:1 gene:GSCOC_T00037364001 transcript:CDP12736 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPAFMTQYPWSTRMIPTSYLLPAQWPQPQSDELLLSMEEAEFEEKCNEIRKTNSNLLVIGKATTDNDKEDFDNDADDDDADNVEESEGDDFEQETG >CDP14928 pep chromosome:AUK_PRJEB4211_v1:4:26178837:26180366:1 gene:GSCOC_T00042423001 transcript:CDP14928 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSQKESIGSFSSNQEGSGSDKEGSRSLSLSGSDEEGSRSLSHSGSDDEGCLDHPQERQKVEMLPGIPEEWVDGWEGGWEDLTAEAMRNVEPYTEDELRAICASLNKFEQNRRCVVPYVDPKVWDKYVKEVEESEGFDVFTNPGPTLGYRPITTYKRDKELEKELIGLAKQALTEKLPCYEFVEIEWVTGHRCAGWMYNITFRARGADFPEGRSFQARVYAGIAVVRVEFCRPKVVNT >CDO98207 pep chromosome:AUK_PRJEB4211_v1:4:3657306:3658266:-1 gene:GSCOC_T00022227001 transcript:CDO98207 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFAYNDSDPDSSSTKFLSATLIAKLQRCHMTSLKMVNKRPVLAQENQEQQCGSRGNRVLDGIGERDGEMQFVVPLNQDRCESQGESLSGQEFKSLEDDHIEQMIQELLDYSPVELSCVMQN >CDP16531 pep chromosome:AUK_PRJEB4211_v1:4:16658951:16659645:1 gene:GSCOC_T00018899001 transcript:CDP16531 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKETSPSPLFFFPFLFFSFISLFFFFLSSSFSSSSPPARPSFLPTIIAAAGSGATIGPPLATDRKNSPNYTSSLNFSCRIHFRT >CDP12739 pep chromosome:AUK_PRJEB4211_v1:4:12362316:12368842:-1 gene:GSCOC_T00037369001 transcript:CDP12739 gene_biotype:protein_coding transcript_biotype:protein_coding MKINHPNLLEGNCKNCLGKEDVDSAVKAAREAFDRGPRPRFHGSARRKILLKFADLIEQNTEELAALDAIDTGKFIYLLKIADIPLALDTISYFNTQCKEKHLEKVYQRAQYKLQAYTLHESIGVVGHIIPWNFLIGLFSMKVGPEFVAGCTMVVKPAEQTPLSALSCAHLAKLVGIPVGVLKVVPGFGPAAGAAISSHMDIDMVSFTGSTGRLIMQVAATINLKPQCLELGGNNNLYYLMSINKGQNIILKKFDFKTRGAIVPSVFVHFAHGVTLFAQRGEICVAGSRVFVQHGIYDEFLLKLKEKAKNWVVGDPFDPNSRQGSQVDKTQYEKILSYIERGKKEGATLFYANWRRNVQKIAVRHLSYYIEPTIFIDVKDDMTIAQEEIFGPVMSLFKFKNVEEAIKRANGTKCGLAAGIMTNDLNIANRVLRSIRAGAIWINCYSAFDGDCPCGGYKMSGFGMDTLKKYLQVKSITTPIHNSPWL >CDP18021 pep chromosome:AUK_PRJEB4211_v1:4:10803843:10804166:1 gene:GSCOC_T00008925001 transcript:CDP18021 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIKQGDPKSYCIYYDRIMCNVDKLYSTPTQEYTSPFPLADNVPYELMDWANTNIKPIESRPNRPMSIIAERPSRLGKTIWAWSLGPHNYLCGHLDLNNKVYSNDA >CDO98308 pep chromosome:AUK_PRJEB4211_v1:4:4389090:4391548:-1 gene:GSCOC_T00022359001 transcript:CDO98308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF1 homolog [Source:Projected from Arabidopsis thaliana (AT5G50930) UniProtKB/Swiss-Prot;Acc:Q9FI55] MERGAGENESEMDRDEEEAVNEILRDRFRLCTISIAEAEAKKNGMEVSQPIMACISDLAFKYAEQLAKDLELFSQHAGRKSVNMEDVILCAHRNSQLAASLRSFCNDLKAKEPPSERKRRRNAKKEDKGAADALYIPDQ >CDO98657 pep chromosome:AUK_PRJEB4211_v1:4:7888942:7894790:-1 gene:GSCOC_T00022825001 transcript:CDO98657 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALRSETISNEFKENREKETWRRTILLSFQSLGIVYGRLSTAPLYVFGSILPDDLKSDKEIHELYSFIFWTLTLISLLKYAFIVLSADDDGEGGTFPLYSLLCRHAKLGLLPNDRSASEIIQHDRGSPRIKVPSTTRKCIEKYKTSHYFLLFLALFGCCMIISDGVLTPSVSVLSATSTFGRSMIKLSHRLISSQKIREHLDKVLTRLPVPMACAILVCLFTLQHYGTQKISFVFAPIVIIWLTFISGIGIYNIAQNHKILYAISPTYMLRFLKKVDIISWKALGSIILCTAGSEVMFANLGHFSKRSLKVTFVCLIYPALIVCYAGQAAFISRYLGTKDDNVNLSESIPNKNLHHAFAVLSVFASAVGSQATITASFSIVNQCQALGCFPRVKVVHTSDKIRGQVYVPDVNWLFMTLSIGITVGFRDIAPIGKATGLAIISGMLVTTCLMSLVIALSWEKSLFIAACFMLFFGSLEATYLSSCLLNFPRGAWFITVLLLLFLTIMVSWYYGTVKKYQFDVENKVSVEWLTDLSPGLGISRVPGIGFIYTDISSGIPAFFSHFITNLPAFHQVLIFVSFKSLPVPYISEDQRYLIGRVGPKEYKIYRCVVLHGYRDHTRDSDDFEDHIISSIGEFIAREERDYESLSSPERMMVLGRSMGDGNALIPLTADGSDNSHPTLTQRSHSDDPAELSFAAVRRKRVRFMLPKNSPEMSASVRKELQELVDARESGTAYFLGQSHLSLRRGSNLLKKLLIMVYVFLDKNSREPPVALNIPHAALLEVGMVYTI >CDP14862 pep chromosome:AUK_PRJEB4211_v1:4:25246958:25250197:-1 gene:GSCOC_T00042332001 transcript:CDP14862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 4.7 [Source:Projected from Arabidopsis thaliana (AT5G62730) UniProtKB/Swiss-Prot;Acc:Q9FM20] MQEERHYSDKWEGYVDWRNRPALRGQHGGMVAASFVLVVEILENLAYLANASNLVLYLSKYMHYSPSKSANMVTNFMGTAFLLALLGGFLSDAFFTTYCIYLISATIEFLGLLMLTVQAHIPSLTPSACTPINRNTSCQQVDAGKAAILFAGLYLVALGVGGIKGSLPPHGAEQFDEDTTRGRKQRSTFFNYYVFCLSCGGLIAVTFMVWIEDNKGWQWGFGISSGIILVTIPIFLLGSSTYRIKIPTGSPITTIFKVLAGAVCTCCTSTTSRNAIMSMSTTSTYTTETGLEKKNGKNGAETHTTSEDLRLLNKAAIGRPFHQPLQCTIKEVEEVKIVLKILPIFASTMMLNCCLAQLSTFSVQQAATMDTRIGSFTVPPASLPVFPVIFIMILAPIYNHIIIPLARKATGTEMGITHLQRIGTGLVFSIVAMAVAALVEMKRKKVAMQSGMINSTEPLPITFLWVALQYMFLGSADLFSLAGMMDFFFTEAPFSMKSLATALSWTSLAIGYYFSSVLVSIVNKVTSSFRHTPWLYGSNLNHYHLDRFYWLMCILSGLNFLHYLFWASRYKYQSTRPGDQEEIQIRVNSSELQLSHS >CDP16934 pep chromosome:AUK_PRJEB4211_v1:4:13510023:13512423:1 gene:GSCOC_T00005293001 transcript:CDP16934 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGIYNGKKYHVADIVAVLSRAWSAGVDRIIVTGGFLEESKEALAIAETDARLFCMVDVHPTRCKEFDESGDPEKHFQSLLSLTKEGVEKGKVAAIGECGLDYDRLHC >CDP15070 pep chromosome:AUK_PRJEB4211_v1:4:10005770:10014293:-1 gene:GSCOC_T00042624001 transcript:CDP15070 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFKPVEKDGSSKKANVSASSPFSSVNDGEETAEISSENGDKKEPLKFLTWNANSFLLRAKNDWPEFSKFVENLDPDIIAIQEVRMPAAGSKGTPKNPRELKDDTSSSREEKQVMMRALSSPPFRNYAVWWSLSDFKYAGTALLIKKCFKPQKVSFSLDRTGSKHEPEGRVILAEFESFRFLNTYVPNNGWKDEETSFHRRRKWDKRMLEFVLQNSDKPLIWCGDLNVSHEAIDVSHPEFFSAAKLNGYVPPNREDSGQPGFTLSERKRFGAILKEGKLVDAYRHLHKEKDMERGFSWSGHPVGKYRGKRMRIDYFIVSEKLKDRIVSCEMHGQGIELDGFYGSDHCPLSLELSAKSCESET >CDO98033 pep chromosome:AUK_PRJEB4211_v1:4:2372227:2372763:-1 gene:GSCOC_T00022005001 transcript:CDO98033 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKEVGGLLGKIMPPRLEDAGLEDCALPPESIKEAFLKAATAVRSIVSASSDDEGAEGRCVNDPWPKVEGSSDELVGISSGVDDAPGGCQTEKGGGLPDVAGDEVSVQDAEEKVDEVVVGGPALPEGGGACIDGLRGLEIGGKSRGKTGKKLRDGEADNVDDDSEEEKLILAEGYV >CDO97963 pep chromosome:AUK_PRJEB4211_v1:4:1843366:1845440:1 gene:GSCOC_T00021916001 transcript:CDO97963 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISAVLFLLVALAATSHATCFRNPLRLLTDDDQTSHAECITSPIHLLRPKSGAAGHNVPQINCLSWRLAVETYNLRNWKLVPESCENYVGHYMLGKQYREDCDAVADIAIAYAKNLTLAGDGKEIWVFDIDETSLSNAPYYARSDVQFGAIPYNDTKFNAWVAEGAAPAVPGVLRLYKTLLSLGIKPVFISGTKEVYREVRISNLKNVGYRNWEKLILKGENDTGSAVVYKSNKRTELVEAGYRIVGNIGDQWSDLLGTNVGDRTFKVPDPMYFIG >CDO98431 pep chromosome:AUK_PRJEB4211_v1:4:5502375:5508598:-1 gene:GSCOC_T00022517001 transcript:CDO98431 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSADAFEPMSKSDGEAGAALAFVPKQRAILVEWLNSLLPNLSLPMNASDEELREILVDGIVLCQLLNKLKPGCVTEHGGPAQSPESRSENVKRFLATMDQMGLPRFQLSDLEKGSMKMVLECLLTLRAHFMPNARAHHHFGGHSTNQLGSDGSTKWKLLGDCFRSGHGVHKCSSFQHASHSPVMAEPSATTKHHVGHKFHEVFQLKHGRYADLPASKISEMMKSNSLDNAPTQSLLSVVNGILDESIERKNGEIPQRVAGLLRKVVQEIERRISTQGEHLKTQNNLFKAREEKYQSRIRVLEALATGSNQESQVVILALPSVSARPQFLLAANHATLRLADLKESVLLNEQSKVEEKRNVEEQDPVRTIKEQDDFSHEITALKQELEVSRKMHEEHCLQMETEGRGVQQELEKKLKEVMNFLAESRNRVKELEALSESKSLGWTKKEHIYQIFTEFQLGALRELRFASQSIKQEIVKTQKSYSEEFNVLGMNVKAMQKAAQSYYAMLAENQKLHNELQELKGNIRVYCRIRPFLPGQREKQSSIEYVGENGELIIVNPAKQGKESRRSFKFNKVYSQAATQAEVFSDTQPLIQSVLDGYNVCIFAYGQTGSGKTYTMTGPDKASEDEWGVNYRALNHLFQTSQNREHAFSYEISVQMVEIYNEQVRDLLSSDTSQKRYPSLCSQPNGLAVPDATMYPVKATSDVLHLMDVGLKNRAKGSTALNERSSRSHSILSIHARGVDQKTRSSMQSSLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSALGDVISALAQKSPHIPYRNSKLTQVLQGSLGGNAKTLMFVQLNPEVNSYSETLSTLKFAERASGVELGAAKSSKDGRDVRELMEQVASLKDTIAKKDEEIERLQLLKDLKNVYPGANNDTNSLRPWNPSNADNLQRMTDMSDICVSLGTEADGSVERSPLAEGARRSENIDK >CDP14843 pep chromosome:AUK_PRJEB4211_v1:4:25021233:25023666:-1 gene:GSCOC_T00042310001 transcript:CDP14843 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVMEENQKLRMHLDRVMKEYRALQMQFHDMVQQEPNKSSSTISTHRETGEPELVSLSLGMSSSDGKKDDHFSRKTHGKEKVDNDDDDKEVLALGLDCKFELPKYQENEPSPTPSLEASSGEVKEEEGGKTWPPKNSLKNVRNEEDEVSQQNSVKRARVSVRVRCDTPTMNDGCQWRKYGQKTAKGNPCPRAYYRCTVAPNCPVRKQVQRYAEDMSILTTTYEGTHNHPLPISATAMASTTSAAASMLISGSTTSTSGLSPSVASILSSASFNGLNFYLSDNTKPTPIYLPNSSLSSSSSCPTITLDLTTSSNASSHPSKLGSFPPRSYSTIQNLNFSSLESNALPLSWSNGVLGYGTQAQPYNKNQNIGSLNFGRQLQEAHYQSYLQKNNSISNSSTQQSLPADTLAAATKAITSDPSFQSALAAALTSIIGPGTSSTARVLGSQTAADESGHNLKLIDQSFPILSSFPPSTSTVNKCTSTFLSSSNPPSSANSQPGSLMFLSPSLSFPTPNNNSTSNVRLH >CDP12691 pep chromosome:AUK_PRJEB4211_v1:4:11172729:11174586:-1 gene:GSCOC_T00037282001 transcript:CDP12691 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLIFSSLFLALLLKLLLKHNDNRNEKLPPCPPKLPIIGNLHLLGNMPQESLHNLAKKYGPIMFLQLGQVPTVVISSARLAKEAMKNYDLALASRPQIFSAKHLFYNCTDIVFSPYGAYWRNIRKICILELLSAKRVQSFSFIREEEVAHLVQRITESCPGTTNLTNMLNLYANNLLCRVAFGKDFSQGGDNVRHNFQKLLEDYQILLGGFTIGDFFPSMEFMHKLTGMESRLIDTFRRFDQFFDEILDEHRNLENKKDHKDLVDVLLEIQRKQDLETPLTTDNIKAIILDMFAAGTDTNFITLEWAMTELMVNPNVLRRAQAEVRSIVGEKRSISENDLPQLHYMKAVVKETFRLHPPAPVLVPRESMEDVTIDGYNIPAKTRFFINAWAIGRDPESWENPYKFEPERFMNCHIDYKGQDFELIPFGAGRRSCPAITFSTPTVELALAQLLHSFDWELPPGVEAKDLDMKEVFGITMHRISPLMVVAKPHFS >CDO98509 pep chromosome:AUK_PRJEB4211_v1:4:6229775:6230047:-1 gene:GSCOC_T00022628001 transcript:CDO98509 gene_biotype:protein_coding transcript_biotype:protein_coding MALFIFLLLSTFPDSIFSTANDIAITSPTQSITLTSFEKLPLPSTATSPESLAFNLLGEGPYTGVSDGRILKYIRLTKSFVDFAYTSPNR >CDP16945 pep chromosome:AUK_PRJEB4211_v1:4:13623058:13623657:-1 gene:GSCOC_T00005310001 transcript:CDP16945 gene_biotype:protein_coding transcript_biotype:protein_coding MDEISTKMKTIQLIAILWWVVAMMAMPILAHGSIDQSPEAVKKWFKELGHAKEKLTELHFYVHDTVTGKNPSAILVAQANTTSKSPTMFGATFVFDDPMTVGPEPSSKVIGRAHGVYSSVSKKDASLIVILNLAFNDGQFKGSTLSVLGSYPLSQKYKEMPILGGSGAFRLARGIVTATAIATNGLNEIIEFHVLVLHY >CDO98469 pep chromosome:AUK_PRJEB4211_v1:4:5881836:5885432:-1 gene:GSCOC_T00022572001 transcript:CDO98469 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSFHARSEPDAKVDEKAFQQWAVAFCIIRFDLEQGQLIEECYPPGCLTQNEELEVAFSSFPDSVSQQYNRSSIHDCIFFFRIRRQEKMQTANVVSHEIVEVDNEIASQDNKLLKLSNNAPRYLYGFVFNRQRHDERLKRGGEQKSVVILSHSPYSSVFRPLLQIMGPLYFDIGKKALDYIAASVSSWASPLPGQLMELPIGNATLKVHLPPAHSLPLDGRMFFEELTSPIAPFLPSNQSVPQGLFHDSDLFGIFRGLLMQLWRLWELLLIGEPILVIAPTPPQCSEAVAGLVSLVAPLLCSVDFRPYFTIHDPEFAQLNSLQEGATFPPMVLGVTNLFFLKALRNVPHIVSVGSPASNSNRLAMSSRTSIGKMPDGQDGFGLPQLSLKKFTPSNFLNAVKLRRDGPLCLMTEHREAVWSTYTAITKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPSEGSSPFLDPPPLPHFDKEEFLASLSARGPGKFLLKRMRSNWLDLYRRFMKGHNFLPWFRRKRAVAEQEQLRLWRQVRMKTDIKKFMSQLSEVEIVDSFNAIERHLLGELQQSGSANANVDSEAAHQKLKGDLLAVFNMLPKDVQELLLMNPHRAALLQGPPDCEDLPKEPLVQSAVLFSSSPR >CDP16927 pep chromosome:AUK_PRJEB4211_v1:4:13445056:13447914:-1 gene:GSCOC_T00005281001 transcript:CDP16927 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHLCYLMIFFSVLGSVLVASLEDTLSDSDTLLRIKSELEDPIGVLENWTPGTNFCNWNGVACSNDQVNIVSLNLSGSGLIGSVSPQLSQLSSLQILDLSMNSLTGMVPPDLGKLQELRQLLLFANSLSGIIPAEIGLLKKLQVMRIGDNLLTGQVIPEIGNLTELRVLGLAYCQFNGSIPKEIGNLKHLKALDLQENDLDGPIPPEISSCTDLQDFAASNNRLEGKIPASIGSLESLEILNLANNSLSGGIPTKFGSKLQYLNLQGNELDGQIPVELNQLSQLEVLDLSENKLSGLINLSNSQLKNLQALALSDNFLTGSIPGSLCIPDSKFQQLFLARNNLSGSFPMQILNCSSLQQLDLSGNNFQGSLPSSLERLEKLTDLLLNNNSFSGTLSPEIGNMSNLVSFYLFDNMITGAIPLEIGKLQSLSIIYLYDNQMSGSIPMELTNCSNLIEIDFSGNRFSGSIPATIGRLKNLSFLLLRQNDLSGSIPPSLGYCQKLQKLTLADNKLSGTLPPTFRFLSQLIMLSLYNNSFEGPLPESLSLLKNLGIVNLSHNRFSGTLSLLSGSNSLTLLDLTNNSFSGLIPSTLAMSKNLTRLRLANNILIGSIPPHLGQLKELYFLDLSFNNLSGELALELSGLKKLKHLLLNNNSFSGTVPTWLGSMEDLGELDLSFNKFNGTVPVELGNCSSLLKLSLGSNTLSGTIPSDIGNLTSLNVLNLQSNNLSGPIPPTIQQCKKLYELRLSENNLTGSIPSEIGTLTELQVILDLSKNSLSGEVPPSLGNLVKLERLNLSFNQLEGKVPSSLGKLSSLHRLNLSNNNLQGELPSTFSGFPLSSFLGNKLCGPPLVSCLESARHGKKELSNTAVIGIIVAIVFTSTLICLALLYIMLRIWSNWREVSISCSETSGLEYKRGEEEWVYGEDIKSTEDYWEENTSPHGAFTKQADFSC >CDO98670 pep chromosome:AUK_PRJEB4211_v1:4:8052509:8055589:1 gene:GSCOC_T00022842001 transcript:CDO98670 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNQKTTDSSAAALIPTRSTADLKALIQSHSDFFDRLIELIPARFYLPPDDDVSDNPKPYFHGLSKAAKASLKRQSKQNLKLARRNRLDPDKISHSSTLDLLKQSLDTSAHGGEVAEIPAQDVPRAIDLEEKNGGDGNDGEKGQEKQVTYEELREKLRKKIESLRGNRGEGNRSARKGREDNKDRDNHRGEKKRKRDGEGSSGKGVEVVENDSEEIIEYGKVKIGDDEMEGEKRKKKRKMSKVKELDRAKRLEEVKKENPRVAEREWWRAAENRAMGVKVHDDPRLLRESMKKEKKRKEKNAEKWKERIEGQEKAKEGKQQKRKENIEGRIRDKKMRKIAKREKKLMRPGFEGRKDEYITKD >CDP12770 pep chromosome:AUK_PRJEB4211_v1:4:12714762:12717265:1 gene:GSCOC_T00037414001 transcript:CDP12770 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLENLKELESCNETDDSIAFPKDQIHTVLEDLVFLRSFLVKIADQRNRNGKLQALWSRVMEVAHRAEFVIDSIVVGDKHEYLERVARDIQLLRTEALETYDSTRHDCGAQRTNQTCFRIESKCRTPVLNEVLVGLDDEVKAIIRSLTRGSKLLDFVSIVGMAGLGKTTLANRVCNDPLILSHFHILARCTVSQVYSMHSLLVQLLSSISSRRHGEYLEMDENDLAHKLYKLLKKNRYLIFLDDVWDIKAWNLLERSLPDDANGSRILFTSRIQLQFKPDTKTHHLRHLTDEESWKLLQKKLFGKEGFPPTLGKVGPQIANLCRGLPLTVVLVAGILANIVEDYWEEVAKSLTSSIPCLLYFGAYKEDENVLVQRLLWLWISEGFVQKTEEKSLEDVADDYLKDLVDRSLVMVSEQRTMGGAKACQLHDLVHEFCVRKAKEENFLLVLHGRNDRFILTSPSNPLRVCCNPMNGELMLKFPDVRSLLSSKGDSLGFWLPKLLRVLDLGELVFRAYFPMGVLLLVHLRYLALRLHLIDSIPAAIANLSRLQTFLLRGNDIDCLLPKTIWNIKTLRHLWTTNSAIGFIFPVENLEVSPGLIHLDTLSLAIDPSSQSLQKILTKLPNIRMLRCKMTESREEHTGNGILVFDCLSQLESLTLLFFDGYGFKFPLNLKKLTLVYNKQPWSEISTIGKLPKLEVLKLLDGFFVGEEWEMKEGEFPSLRVLQLSNLWKFRSWTASSDNFPRLQKLVVHNCENLEEVPSCLGECPTLEMIEVKWCRTSVANSVKQIQQEQIDMGNEVLKISIEDRVGA >CDO98219 pep chromosome:AUK_PRJEB4211_v1:4:3721888:3727309:1 gene:GSCOC_T00022240001 transcript:CDO98219 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCRYFCSSFCFVAFDTEDRDRIGGGLGIHVCAITALALSKCLMASSCSDEESDISDSEIKDYKEKPLEELKSGKFKVKGPNGTLRCPFCAGKKKQDYKYKDLLQHATGVSKGSANRSAIQKAKHLALAEYLETDLVNEAEPQPLRVTPPAVADTSEQNELYCWPWTGVVVNILNETKSGKAVDSSAFWLNKFSKYKPLEVKVFWDDECQIAQAVVKFDNDWIGFRNAMEFEKSFEGDHHSKKEWIDQKTNSASGIFGWSARADDYYSEGPIGKYLRDTGELKTVADLVKEAVNDRNKVVANLTNEIDLKNENLNKLRTKYNEKTLSLSRMLEEKDMLHRAFCEERRKMQRLAHDHVKKILDEQEKLNSELDSKKKKLDYWSKELNKREALTERERQKLDEEKQKNDMRNNALQMASVEQRKADENVLRLVEEQKREKEEALKKILDLERDLNAKQKLEMEIEELRGKLEVMKHLGDDAAVQKKIKEMTEELNEKVEEMKSVEDLYQTLVTKERQSNDELQEARKELISGLSEMLTRGRAHIGVKRMGEIDPKTFEGECKQRYPDDYDYKALELCSLWQERLKDPDWYPFQIVRVDSENHQKILDEDDEKLRELRSEWGENIYLAITMALKDIDEYNSSGRYVVSELWNFKDDRKATLKEVIGFIFKQLKTLKRKR >CDO98565 pep chromosome:AUK_PRJEB4211_v1:4:6931178:6937755:1 gene:GSCOC_T00022705001 transcript:CDO98565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MATLHLPTPSHCCCAYLKTLDNNHNDIRKKLKFCGYFDVKWVNYSSIFGLRAGILNHNRGLVILSINRRRCWRFYANADLRVVVESGSGSRNNINVVHSQSPIVPSAEIPVTCYQILGVSDQAEKDEIVKSAMHLRNAQIEEGYTADVVVSRQNLLMDVRDKLLFEPEYAGNTKEKVAPKSSLRIPWSWVPAALCLLQEAGEDKIVLDIGRRALQHGDAKSYIHDVLLSMALAECSIAKACFEKNKISQGFEALARAQCLLRSKSSLGKMTLLSEIEESLEELAPACTLELLGMPHTPENAERRSGAISALRELLRQGLDVQSSSQVQDWPIFLNQALRKLMATEIVELLPWEDLALTRKNKKSLESQSQRVVIDFNCFYVILIAHIALGFSSKQKDLIHKAKTICECLISSEGTDLKFEEAFCLFLLDQGDEATAAEKLWQLELNSSPAARKLLSDKEAKDVSNSRKSLETWLKDSVLQVFPDTRETPPSFVIFFAGEKQTSGNRQPKRSLHTTSNMSHQSLTSPLVLDRKAFEDSIPSKDASRHVGPAVKQLTPANLQGPLTENKANSGANVDVPIQLKRNLGSHQNKAWDIWSDPYTVVRKLMYITSLGCIIYASFRLMNMHFYKMGNSSRWRLKRPTTSSSISWSKDFSLDENESKNAKKLKKFLSMLKIQMRPQPEVVSLQKSCLAASLSSSGVGVLRQPMPVEEAETLVRKWQDIKAEALGPNHHVQRLFDILDESMLGQWQALADAAKAKSCFWKFVLLQLSVLKADILTDETGNEMAEIEAILEEAAELVDDSQPKNPNYYSTYKIRYYLKRQYDGSWRFCEGDIQAPL >CDO98132 pep chromosome:AUK_PRJEB4211_v1:4:3142696:3143863:1 gene:GSCOC_T00022131001 transcript:CDO98132 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSAVSFRRQGSSGLVWDDKLLSGELGQQPAQPTNQREDHHLLHSGTTDNSDKQPRQEILQAQPHGQQEAKEEVAASRVSPRTVVGSIERSRSNGGGPRYRTGRVSPAIEPPSPKVSACGFCTCSAFGKPPPEKTRRRPPKHAGKRTM >CDP12685 pep chromosome:AUK_PRJEB4211_v1:4:11101634:11103813:1 gene:GSCOC_T00037275001 transcript:CDP12685 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSVVVRSSSSRRSIGFVNQIVPCFFGVSRTIYSSSSRSCLASNGGGGGDGVDNGFRAFEDYLNESWKSCNFDEEIELKTTWRSQNSTFQQKQNVSSRSSFIDTVRNDANRVLEILRQDGPGFDTKAALDDLKLRLSGLLVRQVLLGILTSISFANKKRSAKLGFKFFVWSGQQENYSHTTNSYHLIMKIFAEAEEFKAMWRLLDEMIHKGYPTSARTFNLLICTCGEAGLAKKVIERFIKSKTFNYRPFKHCFNAILHSLVVLHHYKLIEWVYQQMLVEGHSPDILTYNILLCSKYRQGKLEQFHRLLDEMGQNGFSPDFHTYNLLLHVLGKGDKPLAALNLLNHMKEVSCDPTILHFTTLIDGLSRAGNLDACKYFFDEMIKQGCMPDVVCYTVMITGYVGAGEFDMAQEMFDEMISEGQLPNVFTYNSMIRGLCMAGKFEEACLMLKEMERRGCNPNFLVYSTLVSYLRNAGKLSQAHEIIKDMVEKGKYAHLVSKIKRYRRC >CDP12382 pep chromosome:AUK_PRJEB4211_v1:4:19335803:19344530:1 gene:GSCOC_T00035887001 transcript:CDP12382 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANTWATGHSSTVPIHQLRPTLNSSSSSSSSLSEQLIFAKNNKKSISSLNFSRKGWLFIGKNNKKSRLYCLGSNNNDEGSSSSLASYTGFDDENSNNSPPPASEWDWNLWVRHISEIEQAESYASVLKFQLEDAIEREDFQEAAKLKTAIREATLKDSIAEIMSELKNAVDEERYHDASRLCHTTGSGLVGWWVGYPKDSDDPFGRLIRITPGMGRFLGRCYSPRQLINASPGTPLFEIYVVKDVNNTYKMQVVFLQRVKGSGANSSSSSDSKSNKGLSAAEIENASVVDVEANEKEAEKVDEKSIEVEEAAEEGIRSVINFLKDKIPELKVKVMKINVSEEVTEDSDVKRLMEEDNENAISAENSEEEASDLGGVQPDQVAAGENSDTVDEGKDLDSKLFIGGVLHNKEDISTKDEYVRVPAEIKDMEKDSFMLHISKRHQDDDAEENIASKFKLAAVAAAQDVSKLMPPEVAKAFWSSDKFSSKISRDVREIVKLAVSQAQKRTRLSEYTNFSRITTSKGDLDPFDGLYVGAFGPYGTEVVQLRRKYGNWNATSTKESSDMEFFEYVEAVKLTGDLNVPAGQVTFRARIGKASRISNRGMYPDELGVVASYKGQGRIAEFGFRNPKWVEGELLQLNGKGMGPYIKGADLGFLYVVPEQSFLVLFSRLKLPD >CDO98271 pep chromosome:AUK_PRJEB4211_v1:4:4121701:4125101:-1 gene:GSCOC_T00022311001 transcript:CDO98271 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMASSGTTLGLYTNLKAIDKHPIAKASLFTCSFGSDPVQGFCNFSKKRFPSSEARLLLQASPAAAVVGESPQETEEVPIPKVIIDQDSDPNATLVEITFGDRLGALIDTMNALKNLGLNVVKANVYLDSSGKHNKFAITKVSTGRKVEDPELLETIRLTIINNLLEYHPESSSQLAMGAAFGVVQPSQPVDVDIATHIRVYDDSPERSLLQVETADRPGLLVDLVKIITDINIAVESGEFDTEGLLAKATFHVSYNGNAISKPLQQVLANSLRYYLRRPSTEEASF >CDP16938 pep chromosome:AUK_PRJEB4211_v1:4:13545040:13552021:1 gene:GSCOC_T00005301001 transcript:CDP16938 gene_biotype:protein_coding transcript_biotype:protein_coding MDTISESSMASAMASLGAFSFIHSNNSPSHQASLVRAAKSHRLPFSSDLIFLSPSDSILSLDDFGSSPCIFITSSGSSHSKLLGLVSRSDWEALPSEYSKEARRVSDYMRKVDRDFCSLPNGSTLEDVAAYLAARKLDFVPLVSTAAHDGDEDEVVNLATFSDVERIKGFPKLGLPSLGADGNFLVGASIGTRESDKERLEHLVKAGVNAVVLDSSQGNSIYQLEMIKYVKRTYPGLDLIGGNVVTMYQAQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQGTAVYKVSSIAEQSGIPVIADGGISNSGHIVKALVLGASTVMMGSYLAGSTEAPGTYQYQDGIRVKKYRGMGSLEAMTKGSDARYLGDTAKLKIAQGVVGAVRDKGSILKFIPYTVQAVKQGFQDLGASSVESAHDLLRSEVLRLEVRSGAAQVEGGVHGLVSYEKKSF >CDO97853 pep chromosome:AUK_PRJEB4211_v1:4:1027227:1027604:-1 gene:GSCOC_T00021779001 transcript:CDO97853 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFFLFFFLVFTSEASVQDFCVADLNGPEGPAGCSCKSPANVTVDDFTFTGLGAAGNTSNIIKAAVNSSICSSIPGRKWPGSIHGAIGFSARWLDTNAHPPWGNRAAVCCSGIHPICIHLFSQ >CDP18935 pep chromosome:AUK_PRJEB4211_v1:4:14667452:14669702:1 gene:GSCOC_T00003258001 transcript:CDP18935 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRFDRSDRRILTFPAVHPCEGISPGILLESLINLSGRICSFRSRYIPSQRKNAREVIRQIGILLMFFEEIREHVPSLSSSFVLCFSELHLTFQKIEFLLEDCTREGARLWILMKSHFMTTQFRVLVRAMATALDVLPLKSIDLSREVKELVELVAKQSQKAKVEIGIEDEEELKRVIVISNQFENRFEPERCVIKKVLDFLDIRSWNDCQKEIKFLEEEIRLELNDGNARELPLLSSLVGLLCYSRGVLYEDYDYGNSDQSDDGRHSLETLTCLNPEDFRCPISLELMTDPVTVSTGQTYDRISIQKWLGSGNLICPKTGEKLTSAELVPNSALLKLIQQFCADNGISLAKSRKKNRDVSRTIVPGSAAAAEAIRFLAHFLACRLCFGTFDQKNKAAYEIRLLAKSNIFNRSCMIEAGTVPPLLGLLKSKNPSMQENAMSALLKLSKHSSGMKEIMENWGLKLILRVLRNGLKMEARQTAAATLFYLASVHEYRKLIGETPDAIPALVELIKDGATCGKKNAVVAIFGLLLSHRNHQRVIAAGTIPALVNLLAISEKVELTTDALAVLATLADSVNGSSKILESSALPTVSGLLHSTTSRAGKEYCLSILHSLCLNCGADVISVLAKDQSLMPALYSLVTEGTSPAGKKARSLIKILHKFGETSSSGSIRQVLPGQFVHVR >CDP17952 pep chromosome:AUK_PRJEB4211_v1:4:8729041:8731854:1 gene:GSCOC_T00005604001 transcript:CDP17952 gene_biotype:protein_coding transcript_biotype:protein_coding MNVARFNFSHGTHQYHQETLDNLRTAMQNTGILCAVMLDTKGPEIRTGLLKEGKPIQLKQGQEITISTDYTIRGDENMISMSYKKLAEDLEPNSVILCSDGTITLTVLDCDKKLGLVRCRCENSAVLGEKKNVNLPGVIVDLPTLTEKDKEDILGWGVPNRIDIIALSFVRKGSDLVAVRKLLGEHAKSIMLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFLAQKVMIHKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVIDGTDCVMLSGETAAGAYPEIAIQTMAKICMEAEDSLNYHEVFKRTMENAPMPMSPLESLACAAVQTASSSKAALIIVLTRGGTTAKLVSKYRPSMPILSVVVPEIRTDSFDWSCSNESPAMHGLVFRGVVPVLSSASTRASHTESTKEALDFAIQYAKSKQLCKPGETAVVLYHYESSVIKILTIA >CDO98080 pep chromosome:AUK_PRJEB4211_v1:4:2674095:2676837:1 gene:GSCOC_T00022056001 transcript:CDO98080 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGLGLEITELRLGLPGGGFDQVMLKTGKKRAFSEIEEDHHQNGKRSNEAKSEIIPKNQVVGWPPVCSHRRKISFNGQESTKLYVKVSMDGAPFLRKIDLAAQKCYSDLQLNLERLFGCYGIGEALNDADSSECVPIYEDKDGDWMLLGDVPWTMFIESCIRLRIMKRTDAKGIGIHPKSSLKGTSRDG >CDP15045 pep chromosome:AUK_PRJEB4211_v1:4:9650720:9651334:1 gene:GSCOC_T00042586001 transcript:CDP15045 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHPKESLCVTSLHKSILQKALLFFNGFVAKLTKEEKKRLTSVVSMFPNGKKQLHTTRSWDFVGIPQDASRKTLESDIIIGMLDSGIWPESESFNDSGYGLPPSRWKGTCESSENFTCNK >CDP14847 pep chromosome:AUK_PRJEB4211_v1:4:25087724:25092887:-1 gene:GSCOC_T00042315001 transcript:CDP14847 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKKSKFGGQRREQGKRGLEIEGYQIEGISIAGHETCVIIPSLNLAFDIGKCPQRAISQQFLFISHGHMDHIGGLPMFVATRGLYRMAAPTVIVPKTIKENVETIFQAHRDMDQSELNHTLIGLDVGEEFYLRKDLKVKAFKTYHVIPSQGYVVYSVKQKLKDEYIGLPGNEIKKLRLSGVEITYTTTTPEVAFTGDTTSDFIVDSNNIDALRAKVLIMESTYVEDTMTVDDARDYGHTHLSEIIHHADLFENKAILLIHFSARYQLDVIQHAISSLAPPLAGRVFALTDGF >CDP12756 pep chromosome:AUK_PRJEB4211_v1:4:12539987:12541059:1 gene:GSCOC_T00037394001 transcript:CDP12756 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDPFSHLVKNLSASTFHVMLGKLEKFIRDTGGDLALRLS >CDP12307 pep chromosome:AUK_PRJEB4211_v1:4:27079445:27081672:-1 gene:GSCOC_T00035771001 transcript:CDP12307 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKIGVKKGPWTPEEDIILVSYIQEHGPGNWRAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEHEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKLQGHDDHSCQDGHSSTSHESISKVLTLNPSFTNPPLKPSGYASSTENIARLLQNWMKKSPKSLAVVSETCTAQSLSCYSDNNPSGGLDSLLSFNSTTNSDVSQGQSVSVEEVANFRPENTVGGLFQDDENKPNLVVGSHQMPPLSFLEKWLLDDAGAVQGQEGIDLMDMSLGETADLF >CDO98502 pep chromosome:AUK_PRJEB4211_v1:4:6187236:6188379:-1 gene:GSCOC_T00022621001 transcript:CDO98502 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSNIIITILNVLTLAMAILAIGFSMWLQIHPGNSSLCQKVLHKPLLYVGLALFVVSLLGLIGSCCRLSFFMWLYSAVLFLMILGLICFTIFTIIVTNKGVGKVLSKRGVQEYRLGDYSRWLQNFVVNAENWDEIKSCLVDVNFCRNIDTGKPPEFYQKGLPPIQSGCCKPPAYCGFESKNATFWTMPETGPAVPDADCRTWSNVQTQLCFDCQSCKTAFLDNIKKEWKTLAIINTCILVLVIAVYSVGCCALRNNRRSKGYAKHRGGYL >CDP17005 pep chromosome:AUK_PRJEB4211_v1:4:15552644:15554986:1 gene:GSCOC_T00011372001 transcript:CDP17005 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDGQWRFLIGSSKNSRGIAYLYKSKDFINWVQDENPFHSKEKTGNWEGLDFFPISLKGNEGLENWMINGENVKFVLKVSLDPTRYDYYTIGKYLPKTDRYILDNTALDGSKGLRYDYGNFYASKSLFDPGKKRRIIWGFSNESDTRMDIVEKGWNGTLPIPRRIWLDPNGKQLLVWPIEEVESLRGHEVQLRNKKIKKGEVLEIEGITAAQADVEAVFFFPSLSKAERFDPSWDHIDAQELCSRKGSAVQGGLGPFGLLTLASEKLEEHTPVFFRIFEDKNKHRILLCSDASSSSLKKGIYKPSFAGFVDVDLSKKMLSLRSLIDHSIVESFAAGGKVCITSRVYPTLAVFNNAHLYAFNNGSQTVTIKKLEAWSMNKPKEMN >CDO98671 pep chromosome:AUK_PRJEB4211_v1:4:8057065:8062292:1 gene:GSCOC_T00022843001 transcript:CDO98671 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLTRVQKELQECNKDVEVSGIRVAPKADSLIHLIGTIPGPLGTPYEGGTFNIDITLPDGYPFEPPKMRFATKVWHPNISSQSGAICLDILKDQWSPALTIKTALLSLQALLSAPAPDDPQDAVVAQQYIKDQNTFISTARYWTEAFAKATGVEEKVKRLIEMGFPEDLVRSILEAVGGDENLALEKLCSA >CDO98244 pep chromosome:AUK_PRJEB4211_v1:4:3914944:3916914:-1 gene:GSCOC_T00022272001 transcript:CDO98244 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGEGKAIGIDLGTTYSCVGVWQNDRVEIIPNDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRYSDPSVQADMRHWPFKVIAGPGDKPMMVVRYKGEEKHFAPEEISSMVLTKMKEVAESFLGQTVKNAVITVPAYFNDSQRQATKDAGAIAAINVMRIINEPTAAAIAYGLDKKGSRTGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDISGNARALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKVDKSHVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILGGETDQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERPMTKDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKGEIERMVQEAERYKAEDEAVKKKVEAKNSLENYAYNMKNTVRDEKFAGKLDPSDKQKIEKAIDEAIEWLDGNQLAEVDEFEDKQKELEALCNPIIAKMYQGAGGDVPMGGGAADMPGAGRGTADSGSNGPGPKIEEVD >CDP16910 pep chromosome:AUK_PRJEB4211_v1:4:13179304:13186870:1 gene:GSCOC_T00005259001 transcript:CDP16910 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAVTAGDGPETAAGREALRKLKSTDPPLYLCPSEDLSKAARLASEYLFSSLKPYTPKSPFSHLLVNGFDAEQIWQQIDLQTQPLISSLRRQVKKFEKNPEEIRNLFNLGEKDKKNDGNDVGTISNGDEKMENEEGFDEFEDEEMEGDDDDDEEEEEEEEEEEEGNAHEGKEEDGGGGGVEDKFLKIKELEEYLEEDEAREYGLKKEKKQGKRDQEDDNDKEGDDEDEDDDEDEEEEDDELGVMGVDVGEDDESGEELENARYEDFFGGKGKMGQKRKSKLLHGSDNLDMDGELSEESSDDEKNQSQKKQKLSTHEKELEKLRSTIEQMEKANLEPKTWTMQGEVTAAKRPKNSALEVDLDFEHNVRPAPVITEEVTASLEELIQKRILEGRFDDVQKPPTLPSRAPREVKELDENKSKKGLAEIYEEEYVQKSGLVSTAVSISDQQKKEAGMLFKELCLKLDALSHFHFTPKPVIEDLSIQANVPALAMEEIAPLAVSDAAMLAPEEVFTGKGDIKEDSELTQAERKRRRAKKKRKFKAVAAKRMANKAQHSSLQNRVDGNEES >CDO98567 pep chromosome:AUK_PRJEB4211_v1:4:6947739:6948914:-1 gene:GSCOC_T00022708001 transcript:CDO98567 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGIFGGGDRGGAGGGTAPQNYFCYQCQRTVTISPSPSPTSELVCPNCHGGFLEESESADEPPSPPAVGPDLFFPPIFGGGGGGGGGGGGFPVIFSSSSGGGGEIDLSALFGGAAGYPAQSPNEFNPFAFLNNYLNNLRAGGANIQVVFDNPGGGVGIGFGGGGGLPGNFGDYFVGPGLEQLIQQLAENDPNRYGTPPASKSAVEGLPTVKMTEELLATDSSQCAVCKDSFLLDEEAKQMPCKHIYHSDCILPWLELHNSCPVCRYELPTDDPDYESRRNGQQGGNNSTSNVRSFGINIRTDDNLGTGSGGLSGGAVNQENPQTPRTVERRFRISLPWLFRGFGSPAETSGSGGAANSDGGNNGSRQNNNNSGGSNTDSGGQARMEDLD >CDO98326 pep chromosome:AUK_PRJEB4211_v1:4:4645226:4651340:1 gene:GSCOC_T00022387001 transcript:CDO98326 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAENLQELKLFIEQCKANPSILHNPSLAFFKNYLQSLGARVSPPAKSETDREDILDSGEHCDSKKHSSSAENSDEDIVESDLELDDADVVQPDNDPSQKMGDPSIEVTEESRDAAQEYKSNAIDAIAKGKLNEAIDHLTEAILLNPISAILYATRASVFAKLKKPNAAIHDANAALQINPDSAKGYKERGIARAMLGLWEEAASDLRMASKLDYDEEINIELKKVEPNAQKIEEHRRKYDRLRKERELRKMQRQRRWRRAEAQPSNEKGKKVEEPSEAETLSSNEKGKKVEQPPETKTLDPEAASVLKDGQVIGIHSPKELESMLNAAARTSRLAILYFTATWCGPCRYIAPIYASWASQHPKVVFLKADIDEAVDVAISWKISSVPTFYFIKDGKQVDMVVGGDKSSLERKIVQYAG >CDP14897 pep chromosome:AUK_PRJEB4211_v1:4:25837038:25838054:1 gene:GSCOC_T00042384001 transcript:CDP14897 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKGGTSQACAACKYQRRRCTADCPLAPYFPADQPKMFQNAHKLFGVSNILKILRQLDHPSQKLVAMKSIIYQSNIRDKYPVHGCLGIIQQLWYQIQLAEEELQAIHAQLALYRQHQQQEVSTGLSDSISQLHLGMAPPSNALSHFHQDAPQNYDNTVSALPIGSHPTYSSNSANAANYNATYMDSKENNAVNSLWVQQPPYNNSSSDINNSNPMVMQSQLINSQPLIIQQDCGTQDYDEMHPFFDTIDDRQSYIASKEAYESSSESSLKDTTQSVEHVAENELKSAAACFSLTSIN >CDO97877 pep chromosome:AUK_PRJEB4211_v1:4:1254866:1256614:-1 gene:GSCOC_T00021815001 transcript:CDO97877 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEDLTPFWVQSTSNRGRVRRFRRGLSSFFLSSGLLVSVLLITAVSFLVLVVPATISFSSQIFRPNNVKRSWDSLNLVLVLAALVFGFLSRNKNEDRNFEEYHDTTPTSTRTETRKSNPSTAQGWYNYSSIEAEKTHHSNPLQWHGYSDQTAYNSTSTTDNQGGLLRRTCSSYPDLLVASSRLTSGDDPWMCYDDMIIETPRYSRTGELHRRRSWKYTFDDSQMESKNFYVDKFAYPHPQERPSNTPATPPPSSPPPVPPAPSSPPPESPLLRPASPPLDNEKPKRVHQSVAHRRERRRKRRDSQMENNEPISEPATPPPPPPPPPPLPQFVDQKSGKSEKKRTGGNATKDFLNSLYHKKKKKRQRQKSVENFDALLNEPQMPPLHLQLPPQSPPPPPPPSVLQNLFSSKKSKGKTTHTVISVPIPQLPSRHKPTQKALQPVKVRSFDSEEGNSNSGGESPLVPIPPPPPPPPFFKSPAWKFVLQGDYVRVNSNLSSRSGSPDLDDVESDGTPTAAADGGDMTPFAASPLFCPSPDVDSKAESFISRFRAGLKLEKIDSMNKRHGVGLSNLGPGSGPTDI >CDO98647 pep chromosome:AUK_PRJEB4211_v1:4:7733835:7737283:-1 gene:GSCOC_T00022811001 transcript:CDO98647 gene_biotype:protein_coding transcript_biotype:protein_coding METAATFRSSLGIPSYYDVRSVVKGPDFVQFGSISRVTPASVKQHPLISHVKLGQSRVTLKSRKCTRIRSSMSSSSNSGGSTAPIAPLQLESPIGQFLSEILVSHPHLVPAAVEQQLEQLQTDRDAESQKEEPAASGTDLVLYRRIAEVKANERRKALEEILYALIVQKFMDASVSLIPSIGPSLDPSGQVDKWPSHEEKLERLHSHEAYEMIQNHLALILGNRVADSSSVAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMRILPRGSDDGVLSNTQEMVGQEDGPGTDVAANTFRTAQSHPEVSSWSAGGVSAGGFGHGMKSSRLRTYVMSFDGETLQRYATIRSKEAVSIIEKHTEALFGRPEIVITPEGTVDSSKDELIKISFGGLRRLVLEAVTFGSFLWDVESHVDSRYHFVAN >CDO98027 pep chromosome:AUK_PRJEB4211_v1:4:2343994:2347387:1 gene:GSCOC_T00021997001 transcript:CDO98027 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGVLMMCPMNSYLEQELDKRFKLFRYWNIPQTQQFLKENSNSIRAVVGNSMAGADAQLIESLPKLEIVSSFSVGLDKIDLNKCKEKGIRVTNTPDVLTEDVADLAIGLMLAVLRRLCECDRYVRKGLWKTGDFKLTTKFTGKSVGIIGLGRIGTAIAKRAEAFDCPISYYSRSEKADTNYKYYPSVIDLASNCQILVVACSLTPETRHIINREVIDALGPKGVLINIGRGPHVDEAELVSALVEGRLGGAGLDVFENEPEAPEELFGLDNVVLLPHVGSGTIETRTAMADLVLGNLEAHFLNKPLLTPVV >CDP16958 pep chromosome:AUK_PRJEB4211_v1:4:13838084:13838497:-1 gene:GSCOC_T00005327001 transcript:CDP16958 gene_biotype:protein_coding transcript_biotype:protein_coding MKYISASFYCIVLLLSTFAILQSSAKVHVHIQNRQGKNINLHCRSKDDDLGYHKIENGTEYSWSFNPNFWGTTLFYCAVLMDPDPVWYYFDAYDDRRDRYRCKAQCIWLIQIHHTLIGYNEKSGDWELFFFRSDRLL >CDO97731 pep chromosome:AUK_PRJEB4211_v1:4:113254:121591:1 gene:GSCOC_T00021611001 transcript:CDO97731 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESLSRVVRLGGEWLSSSSVFELASGLARLALDSSAISKLSSSAGPEVSFSIPDDLTIEETRASVLFQDGGVPQQNDVDYYSLAALDGISALLDHGSSALGPVADAVAALSCEALRADLSCFNLTDSGDGSSAKDDVAVASDFKVFFNGSKLVVNSNNPASATPAISRIPAVYGNFREVCRLLHYRTRVQLSCAAFRAGSAEAMSTALSSLALALYNLADTSSSRAKLLLDSLPSDELRSILGAQRSSSNIDSIKQLLSYSQAALIDKDYIRSVHQIYCLLEAVRKTVCWEATVAFVSLEGGGIIAAVTESKNPPLSAPTGADKKKGERRKKVLGKGSSALMQFIKESLLSGDGAANETNSISASTLFEKWAQDFLFFLDPRNSRFEILLEKLKDIVASNESRRLPKLPKGTRDFAKEQMTVREKAFSIIVDVFKRHGATALDTPAFELRETLMGKYGEDSKLIYDLSDQGGELCSLRYDLTVPFARYVAMNGLTSFKRYQIAKVYRRDNPSKGRFREFYQCDFDIAGQLEKMGPDFEVIKILTELLNELDIGDYEVKLNHRKLLDGMMAICGVPQEKFRTICSSIDKLDKQSFEQIKREMVNEKGLTEEVADAIGTFVKERGPPMELLCKLKRKGSEFLENIESHLALNELEILFKALGKAKCDSKVVFDLSLARGLDYYTGVIFEAVFKGSTQVGSIAAGGRYDNLVGMFGTKQVPAVGISLGIERVFVIMEQLQKDRNETMRPTETEVLVSILGDDLSLGAELASELWDAKVKAEYMLDKRLMKHIGRARDSRIPWMLIVGENELSQGVVKLKDVVAAKDYDIPRSRLVEELCRRLNK >CDO98623 pep chromosome:AUK_PRJEB4211_v1:4:7465456:7471949:1 gene:GSCOC_T00022781001 transcript:CDO98623 gene_biotype:protein_coding transcript_biotype:protein_coding METDNKAHQQSGFNFGFLTKKPYDPPSWASHLSPIPSHVYSLGHFPTPIHKWSLPNLPKDTEVWLKRDDLSGMQLSGNKVRKLEFLLADAVAKGADCVITIGGIQSNHCRATAVAAKYLNLDCFVILRTSKVLVDKDPGLTGNLLVERLVGAHIDLVTKEEYAKVGSVELTKILKEKLLREGRRPYVIPVGGSNSLGTWGYIEAIREIEHQLQHSKSGFDDIVVACGSGGTVAGLSIGSWLSNLKAKVHAFCVCDDPEYFYDYVQGLLDGLDAGVSSHDIVDIQNAKGLGYAMSTSEELKFVKEIAETTGVILDPVYSGKAAYHMMKDMAENPAMWEGRKILFIHTGGLLGLYDKVEQIAPLVGKWRQMDIHESVPRKEGTGKMF >CDP12378 pep chromosome:AUK_PRJEB4211_v1:4:19227402:19234112:1 gene:GSCOC_T00035881001 transcript:CDP12378 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVYDNWERLVRATLSREQLRLSGLRTPSDVSSASLSASSSFNFTSASTRVSSFKISSSLPLIGKSFTYDQILLATDYFSKSNFIKHGRSGDLFYGVLEGGPQIVVKKVDLSVSSYLVTELEFFGMVSHARFVPLLGHCFENGNNKFLVYKYMPNKDLSSYLCHRGVASDDSNANWQQPASLDWVTRLKIANGAAQGLCYLHNECVPPLVHSSAFPFSFIPFFLINVEARSILIDENFEARIGRLADVCTEKKERHQNRISRLLWLSKFRASEGGTAGSSNATCAYDVYCFGKVLLELVTGHPGFGDHNVSSINGRMDKVLCYINTYDKELFVNIVDPSLVMDQDLLTEVWVAAVVAKACLHPQHSKRPQMLHIVEALKDPKSLRFSTHVRSWLDGQLGTSEKTRVTEGMTGVGSHICMIKLLHLPPKFFMCKIIRPGQRTIISAFWPGTSQANTNAAFSIGDSKSEEVYPIGDISDLPNLRIYTYPDLLAATKSFRSDRVLGEGWFGRVYKGWIHDKSTSKGGSQSPVAIKKWNPESLQGIEQCMSEIWMFGKLSHPNLIKLHGYCWHNGALFAVFEYMQKGSFKNHLFGSESFTILTVFANSLASSKVLIYHAVICVVVFIIFLGGSSIQPLQWDVRLKILIGAGRALAFFHALKKPVIYRDFKASSILLDGSYDAKLSDFGLAKMGPSDGQSRVSTRVMGTYGYAAPEYIATGHLYVKSDVYGFGVVMAEVLTGLRALDVNRQLGKHNLVDWIKPHLSDKRKITSIMDSRLEGKYPIKAAMKVAQLTLRCLASEPKARPPMKEVVDELEHIASAK >CDO97984 pep chromosome:AUK_PRJEB4211_v1:4:2050494:2056778:1 gene:GSCOC_T00021940001 transcript:CDO97984 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYNSGGKSNDKGHAADDEGTLAPLPERVQVGGSPMYRIERKLGKGGFGQVYVGRRVSGGIANDRPGSGATEVALKFEHRNSKGCNYGPPYEWQVYNTLGGSHGVPRVHYKGRQGDYYVMVMDMLGPSLWDVWNNKSHSMSTEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPDEKKLFLVDLGLATKWRDSTTGLHVEYDQRPDVFRGTVRYASAHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMSTSADALCCFCPQPFKLFVEYVVNLKFDEEPNYAKCVSLFDGIVGPNPDIRPINTEGAQKLIYQVGHKRGRLTFEDEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADARLSQHIEKGNEDGLFISSVASCQNLWALIMDAGTGFTAQVYQLSPHFLHKEWIMEQWEKNYYISAVAGATNGSSLVVMSKGTQYLQQSYKVSESFPFKWINKKWREGFYVTSMATAGSRWGVIMSRGAGFSDQVVELDFLYPSEGVHRRWDSGYRITATAATWDQVAFVLSVPRRRPTDETQETLRTSAFPSVHVKEKWAKNLYIASVCYGRTVS >CDP12332 pep chromosome:AUK_PRJEB4211_v1:4:27457874:27459491:1 gene:GSCOC_T00035808001 transcript:CDP12332 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWKRLPRKVAAKVVSAGFGDFLNHLPVADRDRKLPVALVEQWWDSTNSFHLPFGEMTLTLLDFTCITGVAVGGLPIPWDYNVRENANYINEQLGWVSAFASAGAIRVTDILSFYKDKVIDENDDVQLAHLTRGFFLYMLGRTLLSNTAETIHLWCLPALEDVDRIGDYNWGRAGMATLYRFMSAVSRQWTKSLGGYSFVWKVWAYEIFQLSLYKLKQDERDVLPRMWRWRSCNRASRQSPSTIEHFRRSNIFAGQLTPLTRKTLVNWLPFPAMTLPSRYLKSKKLTATRLLLNGPMGRFYYLGERVIRQVYAGVCAKQPPHRPSDMYSTDTISENTLHDVLDGLSIASLYPDPPPYATYDEFVRSRLMKPMTSSTLPPSGKECVIHICDQLPLSEATTPSLKHPINYPPWSVLCIQTDGSLQQEGIHRVGGDVIGLPLPCAVGDVVWHSSPCISLDI >CDP12290 pep chromosome:AUK_PRJEB4211_v1:4:26760889:26766566:-1 gene:GSCOC_T00035748001 transcript:CDP12290 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHQVRMVGLPLMNLVLLRGMPILQQLHLEERLLRTSSDNWCIINDGTNDRNIVMGVSGKPAELLKISSVLQDKVAVIRRFTGGGTVIVDHGTVFVTFICNKDALPDVQPYPRPIMCWSSLLYQEVFQDVGHFSLRENDYVFGNRKFGGNAQSITKARWIHHTSFLWDYDVNNMAYLKLPTRAPEYRKARGHLDFLCRMKDYLPRLNFINRTVRALGNHFSLKSMKMEEIEPASNTIFKPTSRPLRKQELEEAALRLQSESVYQPA >CDO97906 pep chromosome:AUK_PRJEB4211_v1:4:1422971:1427290:1 gene:GSCOC_T00021849001 transcript:CDO97906 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSASNEASVSSVNRNDNGSGGSIYPPQQYFAPPNQTQVAQPQQAVKKKRNLPGNPDPDAEVLALSPKTLLATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVKKKVYVCPEASCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAIPGNPLLSSQAAGSSTAPRHVNNINPLQQQFGNQDNPHLFSLKKEQHTFNLRPEIPPWLACSPMPAGAGPGPGPPPVDLTSSIFPSPRLGPGFSECHQELSLHENPNPNLGPNLPPFHPTTSPHISATALLQKAAQMGAAMGSSKAGAGGAAPPAVMLNTRPHQAHVSAAAADSATNITTGNFGLNLSSREDLASGTFVNGLASYGNKAVDPAAGGPPPPTSFLQDMMMNSLSSSSATTGFEGSAFEDAFGGILNPKKTSNSIVGGGNDRMTRDFLGLRPLSHSDIFNIAGFSNCMNTTASNEHHQNASQKSWQG >CDP12733 pep chromosome:AUK_PRJEB4211_v1:4:12227209:12230387:-1 gene:GSCOC_T00037360001 transcript:CDP12733 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPKNFPILSYVMEKFPSMKRATATSTAGDDKYDVEQPAPPLPASEASQKPYFELTEQMPHLTDPKLISEMRLAVSDVAQTRSMLQALGERPDHESVDTAKAKLAEIEMNLAMQLEEIALSPRGEESPKDVEERKALEREKQIYKSVLSLDEMHEAYEKLLTEAEERLQKIYDAAVAGGDVADIEEEEEELVEEEVNEDVVTILKAAEGNEPVERVNLSGKRLKFLPEAFGRIKSLVVLDLSNNQLEAIPDSIAGLESLEELNVSSNLLEALPDSIGLLFKLKILDVSGNKLTALPDSICRCRSLVELNAGFNKLSYLPTNIGYELVNLRKLSVPLNKLRHFPSSIGEMKSLCFLDAHFNELQGLTPSIGRLTNLEILNLGSNFSDLKELPQTIGDISSLKELDLSNNQIHELPDTFGRLDNLMKLNVEQNPLVEPPKEILDEGVEAVRAYMVKRRLDRLLAEEQRSMFEENTEANSSILTRSASWLTRMVSNVTGNLSGYLGAAGNSNADPYLNQPR >CDO98408 pep chromosome:AUK_PRJEB4211_v1:4:5234058:5234770:1 gene:GSCOC_T00022490001 transcript:CDO98408 gene_biotype:protein_coding transcript_biotype:protein_coding MKASPFNTIGAVLMLLLLVEANVSEAVTCNPNELNPCAGAIGSSSPPSSQCCSKIKEQKPCLCQYVKNPTFKQFVASPSAQKVAKTCGVPIPKC >CDP16924 pep chromosome:AUK_PRJEB4211_v1:4:13334240:13349473:-1 gene:GSCOC_T00005276001 transcript:CDP16924 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKRQVFSVDLLERYAAKGRGVITCMTAGNDVIVLGTSKGWIIRHDFGVGDSSDIDLSAGRPGDQSIHRVFVDPGGSHCIATIVGPGGADTFYTHAKWTRPRLLSKLKGLIVNAVAWNRQLITEGKNSSFLLLLYGMEVILGTDNGQLHEIAVDEKDKREKYIKFLFELKELPEAFTGLQMETANVINGTRYYVMAVTPTRLYSYTGIGSLESVFASYVDRTVHFTELPGDIANSELHFFINQRRAVYFAWLSGAGIYHGGLNFGAQHSSPDGDQNFVENKALLSYSRLGEGTEAVKPSSMAVSEFHFLLLIGNKVKVVNRISEQIVEELYFDQASDAASRGIIGLCSDASAGLFYAYDQNSIFQVSVNDEGRDMWKVYLDLKEYAAALANCRDALQKDQVYLVQAEAAFSTKDFLRAASFYAKINYVLSFEEISLKFISMGEQDALRTFLLRKLDNLAKDDTCQITMISTWITELYLDKINRVLLEDEGASEKGALEYQSIIKEFRAFLSDSKDVLDEATTMKLLKSYGRVDELVFFANLKEQHEIVVHHYIQQGEAKKALQVLQKPNVPIDLQYKFAPDLIMLDAYETVESWMTTKDLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEYSVHRLQNEDPGVHNLLLSLYAKQEDESSLLRFLQCKFGKGRSSGPEFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEELRKKLWLMVAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNEQIENLKQEMNDATHGADNIRNDISALAQRYAVIDRDEECGVCRKKILNVGRDYQMSWGYTSAGSMAPFYVFPCGHAFHAQCLITHVTGCTSQMQAEYILDLQKRLTLLSNEPRKDSSGGLSEEEPLTSMTPLEKIRSQLDDAIASECPFCGDLMIREISLPFILPEEADVAASWEIKPHNPGMQKSLSLPAY >CDP12419 pep chromosome:AUK_PRJEB4211_v1:4:20237313:20240328:1 gene:GSCOC_T00035946001 transcript:CDP12419 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNENLLKLHKNLLTEPESCLLLGCKQSRAVTRSSESISAEDALISFALPSISEELVDLCGPAFRDFLSPFDCPELQFISTFSPEVGASALPFLGFM >CDO98468 pep chromosome:AUK_PRJEB4211_v1:4:5876065:5877626:-1 gene:GSCOC_T00022569001 transcript:CDO98468 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSSVVWSREEDIAFESAIAMHWTGDSKEQWDKIASMVPNKSIDELKQHYKTLVEDVEAIEGGLVPLPNYSGEEASSSTKDHQSFSAITATDRRSNFGYRSAFPGLGHDSSGQGGGKGGSRSEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVNNGDVSSHQAPITGQQTNTTPSATAAAALGPAMKHRGQQTMHGLGVYGAPVGHPVVAAAAAPGHMASAVGTPVLLPHGHHPPYVVPVAYPMAPPQPMHQ >CDO98053 pep chromosome:AUK_PRJEB4211_v1:4:2482477:2486164:1 gene:GSCOC_T00022027001 transcript:CDO98053 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDSLRPPPDFRGTSESEEQVMSEVHLGCPPGHSGPHISHFIISLPPSVRGGDEPIEDDYSGEKLSLARKEHDLDGDGDLILKRRTKPLRDYLVVAIRHNITSSIPRVGLQVWKAELVLADFVLHKMFTSKELDGIVAVELGAGTGLVGMLLARVARTVFITDHGEEVLDNCAKNVHLNAAIFHPRASVYVRELDWNCSRQPQVIEDLESKESYIWNKTEVEEFWKASFIVAADVIYSDDLTDALFSTLHRMMSKCPEKVLYLALEKRYNFSIDDLDVVANGYSHFQSYLREGDSDGDLESGSLHSFLGKRVDLAEIPQYVRDYERGNDVELWQIMYGERKQ >CDP14392 pep chromosome:AUK_PRJEB4211_v1:4:23166771:23169324:-1 gene:GSCOC_T00040774001 transcript:CDP14392 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGTVNDRQEKKNGKRLLSDTFYKVAYDSSSSFLALLQPDRTRPSSPCLLSSSAAGLLRRPQHPSSAATLSLLDTHHYLFICSDWKFRNCCCLGVSAKKLAHLLE >CDP14903 pep chromosome:AUK_PRJEB4211_v1:4:25949584:25951443:1 gene:GSCOC_T00042390001 transcript:CDP14903 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPPYCDKIKMQTKEVHLTEEEDTKAHAYAPKQGTGNWAAAMPKKSGARRCGKSCRIRWSGNQRPDPEQGSFTPEEEDLIIKLHAAIGSRWPIIAQQLPGRTDNDVKNVWNTKLKKKLSAIGIDPVTHRPFSQILADYGNIGGFPKARNRSGCLSRDIKNAFVLKSEQSRGLPERSSHFDSHFGTTISPLAVEPSKQHFMSNCNHISAARSQSLELLSQLQAITMVTEASTYTNTAAQIPAECYSSSASSSPESPPSATNQAAASPSFSWCDFLLEDAFVPTTYIEEKENEMKLPPSYDPKSKEMKLSVAAAEEEVDISAKTVEASSISCGSFVEAMLEGENGMLSDFHGLLEDPFFY >CDO98643 pep chromosome:AUK_PRJEB4211_v1:4:7710441:7711889:-1 gene:GSCOC_T00022807001 transcript:CDO98643 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDDGNFADKLHKIVVDYSKPEFKMEEALDRACVKLTTPMVVEVLHRLRYEEKTAFRFFTWAGHQEHYSHEPQAYNEMMDILSSTKYKVKQFRIVCDMLEYMKRNNKNSVPVEVLLNMLRQYTEKYLTHLQKFARKKKIRVKTQPEINAFNLLLDALCKCSLVEDAEAMFRRVKSKIKPNADTYNTLFFGWCRVRNPSRGMNILDEMIKMGHTPDSFTYIAAMDTFCRAGMLTEATELLEFMRTKGTTMSSPTAKTYSILIVALIQSDRMEECFKVVREMIDSGCLPDVSTYKEVIEGMFLGGKIEAAYKFLEEMGNGGFPPDIVTYNCFLKVLCDNKDSKEAIRLYENMINVGCVPSVQTFNMLILMFFRMGDIDGAFETWHEMDQRGCKRDIDSYCVMIEGLFDSNNMQDACFLLEEVVKRGMKLPFRTFDSFLKKLSAVGDLQAIHRLSEYMRKFYNPAMARRFALNQKRKSMRLRGK >CDO97826 pep chromosome:AUK_PRJEB4211_v1:4:798321:799628:1 gene:GSCOC_T00021739001 transcript:CDO97826 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHTRISCNTCRRNKRSIVNKNQIPHFKFLIIITFTTNYSNISSWPPGIDLKLSYWVQVKEFDLHSYSKIFENFTANVKILVWSSNDSVPFRFSIDSHGSTPFTQR >CDP12724 pep chromosome:AUK_PRJEB4211_v1:4:12082957:12086957:-1 gene:GSCOC_T00037346001 transcript:CDP12724 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHFPWEYDVVNLNCQILMLLPARNVFQKGLGVFRSSDYFHHHLYACGPGAFSFAVDFIAPSSVLFSSPGMIDIANSVARMHFMTQDE >CDP12272 pep chromosome:AUK_PRJEB4211_v1:4:26361393:26362736:-1 gene:GSCOC_T00035715001 transcript:CDP12272 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSVLVNGDDHQLDLPPGFRFHPTDEELITHYLSKKVVDNSFSAIAIGEVDMNKVEPWDLPWKAKMGEKEWYFFGVRDKKYPTGLRTNRATAKGYWKATGKDKEIFRGKSLVGMKKTLVFYKGRAPKGEKTNWVTHEFRLEGKFSLQNLPKTAKNEWVICRVFQKTAGGKKIHISGLLRSNSIETEFAPSLLPPLMDSPTYNGASSKPTSWPESGHVHCFSSPFSSAQNKSLQEDMLLSYFSNPAFAPSTSNPLDLGPVQGNYQFPGSIPMQDTSALRTLIESCGQNMLKQSFKTEQQERVSASQETGISTDMNTEISSVVSNLEMGRKSAVDQEPPSTSVGPQGLVDCLWYS >CDO97757 pep chromosome:AUK_PRJEB4211_v1:4:271647:274349:-1 gene:GSCOC_T00021644001 transcript:CDO97757 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRCCCCFGFATTNIPITTTTTTITTRSYRPQFVQHMIQLQQLPPHPSNPFRHFLQHSPASSSTARLLNATSLPCTSSSSSSSSPWDDKPYELLPNGRISYLDEQDIVSFLDPPKHLIPLDPSSFNPASYLWKKIEDIPEERRRRLLSLLNPRLISRAWEIAGTRYDDPKLAVKSASNLLSHADDHALPLEFWNCRTSGGPLAIAWMKYFKKALFYSKERTYGRFIGGSLLEGISSSFIPLYFTVIREIHEVVSTEQPCDLAYEFGDGLLDLSDYPRGFPKPAKHPWPFCDQVVVYVRHLGPGVLVGQAWQEGEALEQVPTKLCGEILMVKDNSGGM >CDO97806 pep chromosome:AUK_PRJEB4211_v1:4:629459:631481:1 gene:GSCOC_T00021714001 transcript:CDO97806 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVNRFWHKAGRTQRHTGASMGNSCINQLRFLIFLIASLAGAYQSNGFRYLSPKPRVPLFVFGDSIYDPGNNNYINTTPDFLANFLPYGETFFEFATGRFSDGRLIPDFIAKYAKLPLIPPYFQSSYRQFVYGVNFASGGGGALVETHEGKAIDLKTQLRYFKNVEKQLRRELGTEGTDRLLSNAVYMFSIGGNDLLAPNPIFSSFSTEEYVGIIVGNFTEVLEEVYKAGGRKFGFLSLLPLGCLPYVRAHNAEGNGETCVKDLTDLAKSYNAALAQKFKQLQKQLKGFVYSNFDFFNAVSQRLSNPSKYGFKEVKSGCCGSGAYRGNYTCGGKRGDAAYDLCDNPEEHFFFDSYHPSETAYHQFAGLMWNGPPSVTGPRSLKSLFEV >CDO98622 pep chromosome:AUK_PRJEB4211_v1:4:7463286:7464721:1 gene:GSCOC_T00022780001 transcript:CDO98622 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSSFMASPMIMFSAVGAAALGARQLIKSWQAFKAAPRVRRFYPGGFETTMTRREAALILGVRESAVVEKIKEAHRRVMVANHPDAGGSHYLASKINEAKEILMGRKKGASDSAF >CDO98123 pep chromosome:AUK_PRJEB4211_v1:4:3042814:3043790:1 gene:GSCOC_T00022121001 transcript:CDO98123 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDQTCRAGEAKGQAQEKAGEMLDSMGDKAQQGKDKASELGHSAAHDAAHEKKDQTGSETGAAGDKISGTAQATKEETSETAQAAKEKAAGAAQATKEKAAEMSEAAKETAEAGKERTGGILQQTGEQVKSMAQGAADAVKHTFGMAEDTDENKDKDHPTKRDDH >CDO98636 pep chromosome:AUK_PRJEB4211_v1:4:7647589:7648497:-1 gene:GSCOC_T00022798001 transcript:CDO98636 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPLQDQDNLHVELVGVSGQECEDQSDAGSDNIRKIQPSFGLISVPVLSEGKSWSCKLEIRWNRPKPVMLYVSLGYNPCSSETSSQKVHVHKNLEIEGKTALIINHRYMLPFRQDPLLPSMIKATGDFDLTPILPLKIGTVCLRWRRHSGDKEQPGPCTTEVLTKQRLPDVYVEQPPIIVSLECPAHAILGDPFTFPIRIHNRTELLQEIKYSLTDSQSFVLSGSHNDTIFVLPKSEHILSFKLVPLASGSQQLPRVSVTSVRYSAGFQPSIASSFVFVFPSKPQFRLSDTTDTRLGSVAV >CDO98331 pep chromosome:AUK_PRJEB4211_v1:4:4677989:4679045:-1 gene:GSCOC_T00022393001 transcript:CDO98331 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPCSDISNSVLCKSRAISLVGLSSFPLSEPKAGGLPYLSRDRVISQGILRRGTLPYIRRGLHGFVTKKVTTIPRSNISSNSSDGSGDDSSDQDKACLISPITSILPLRGNSILSVSCLIYL >CDP12403 pep chromosome:AUK_PRJEB4211_v1:4:19868695:19874233:1 gene:GSCOC_T00035922001 transcript:CDP12403 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLFSPTTEIKAISFLDSSKSNYNLNHLLKLQGGISLKRKDNGGTVGKKVQCSAQPPPPAWPGTALVEPGRKSWDGPKPLSVVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVRTFRPQLVAVRNESLVDELKEALADVEDKPEIIPGEEGVVEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDICLANKETLIAGGPFVLPLAHKHQVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVEKLKDVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLYGADYDDIEIVIHPQSIIHSMVETQDSSILAQLGWPDMRLPILYTMSWPDRIYCSEITWPRLDLCKLGSLTFKAPDNVKYPSMELAYAAGRAGGTMTGVLSAANEKAVEMFINEQIGYLDIFKVVELTCNKHQAELVSSPSLEEIVHYDLWARDYAATLQFSAGLRPALV >CDP14850 pep chromosome:AUK_PRJEB4211_v1:4:25131221:25133106:-1 gene:GSCOC_T00042319001 transcript:CDP14850 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKKSSLILAFALIALVYTIEAHIAEFDEVWQKRAEEAKKAARQAYHPNPENVTSHFNEEVHRSIDGHNSRRRDLHKYNGPCTATNPIDQCWRCQKNWRKNRMKLADCALGFGRHATGGKGGRIYKVTDPSDNDLVNPKPGTLRHALIQPEPLWVIFTKNMVIRLSQELIMTSNKTIDGRGVQVHIAYGAGFTLQFVHNIIIHNLHIHDIKSGNGGMIRDSVNHYGIRSRSDGDGISIYGSTNIWIDHISMSNCNDGLIDAVQASTAITISNGHFTHHNEAMLFGASDSYSDDSIMQITLAFNHFGQGIEQRMPRARWGFVHVVNNDYTHWLMYAIGGSQHPTILSQGNRFIAPENPYAKEVTKRDYAPESVWKDWVWKSQGDLMMNGAFFVQSGDPNHNFGSNADLIGPKPGEFANRLTRFAGALNCIAKRPC >CDO98378 pep chromosome:AUK_PRJEB4211_v1:4:4991820:4996286:1 gene:GSCOC_T00022450001 transcript:CDO98378 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDGRGPSIWDTFIKAPGREPNNATGEVTVDEYHRYKKDVDLMKRLNFDAYRFSISWSRIFPNGTGKVNWKGVAYYNRLIDYMIKQGITPYANLNHYDLPQELQDRYNGWLGREVAKDFADYAEFCFKTFGDRVKNWFTFNEPRVIAALGYDNGYFAPGRCSKAFGNCTAGNSATEPYIAAHNLILCHASAVQRYREKHQEQQKGKIGILLDFVWYEPHTYSKEDSDAAQRARDFHIGWFMHPLVYGEYPKNLQNIVADRLPKFTKEEVKIVKGSFDYVGVNQYTAYYMYDPHRGQQKDLGYQQDWNCGFAYDRNGVPIGPRAHSDWLYKVPWGLYKAVMYVKEKYQNPTIILAENGMDDPGNLTLPAGLKDTARISYYKAYLAQLRKTMDDGANVIGYFAWSLLDNFEWRLGYTSRFGIVYVDFKTLKRYPKMSARWFQKLLHRQP >CDP16932 pep chromosome:AUK_PRJEB4211_v1:4:13489725:13491573:-1 gene:GSCOC_T00005288001 transcript:CDP16932 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKLFLSYIILTVMMVDLCLIAQSREIESPQYTVVHSESDFEVRFYRDSVWMSAPAKETSFRKATKDGFHRLFQYIQGANLNFSRIYMTAPVLTSIVPGAGPLHASAYFVKLYLPLKFQASPPLPLPELDLAPDSWSSHCIAVRQFSGFARDSNIVKEAEKLAISLSRSQWANSTSHSEYAYSIAQYNSPFRIIGRKNEVWVDVAGSEANGCKSSLLASY >CDP14908 pep chromosome:AUK_PRJEB4211_v1:4:25978332:25984100:-1 gene:GSCOC_T00042396001 transcript:CDP14908 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13050) UniProtKB/Swiss-Prot;Acc:Q8L539] MTTTTSADHPLNLDAIFKQKKALRSKVRRDLKSMDPTLRSKEDDAVQNLVLEAPWFKSCQKLCAYISCSALREVDTSKLLAQILNYSSKDDYLQMGKTLYVPRVEDKNSHMRMLKISSMDDLIANSMNILEPAPMDAEGKEREDVMLADHPVDLLLLPGLAFDKSGRRLGRGGGYYDAFLTRYQGLVKERKWKQPFLVAVSYSVQIMDEGVVPVTPNDVFVDALVSPSGVIPISAAAKARCQ >CDP15058 pep chromosome:AUK_PRJEB4211_v1:4:9771127:9784511:1 gene:GSCOC_T00042603001 transcript:CDP15058 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUP155 [Source:Projected from Arabidopsis thaliana (AT1G14850) UniProtKB/TrEMBL;Acc:A0A178WJ50] MAWRNEIVMRDVFNAGLAISNCISRDVGSQLDLEEALEASRYASHPYTAQPREWPPLVEVVDTWELPPVLIERYNASGGEGTALCGIFPDIRRAWASVDNTLFIWRFDKWDGQCPEYSVDEQAICAVGLAKAKPGIFVEAIQYLLILATPAELILLGVCCSGRGDGSDPYAEVVLQPLHEYRIPSDGVTMTCITCTDWGRIFLSGRDGHVYEMQYTTGSGWQKRCRKVCVTAGLGSVISRWVVPNVFKFAAVDPIVEMVADNERHILYGRTEQMKIQVFSLGPGGDGPLKKVAEERNLISQKDPSYGGRQPVGSRLPSRSNKTSMVSISPLSILESKSLHLVAVLSDGRRMYLTTAPSGGNSGSLGGLGGLGSNLQRPSCLKVVATRPSPPLGVGSGLAFGAMALSGRSQNEDLSLKIESGHYSAGALVLSDSSPSTSSSLLIVNRDSSTQASSTGLVAGARSSRALRELVSSLPIEGRMLFVADILPHPDTAAIVQSLYLQLEFCGFDGTWESSEKASRKLWARGDLSTLHILPRRRIVVFSTMGMMEIVFNRPVDILRRLLESSSPRSLLEDFFNRYGAGEASAMCLMLAARIVCSETLISNIVAEKAADAFEDPRLVGIPQLEGSGALSNTRTPAGGFSMGQVVQEAEPVFSGAYEGLCLCSSRLLLPLWELPVFIAKSGTSSSDMVVICRLPVGAMQVLEDKIRSIEKFLSSRRNQRRGLYGSVAGLGDVTGSILIGTGSDMGVGDRSMVRNLFGSYSRNVETSEGGSSAKRQRLPYTSAELAAMEVRSMECIRQLLLRCSEALFLLQLLSQHHVTRLISNFDANTRQAVVQLTFHQLVCEEEGDKLATRLIASLMEYYTGPDGRGTVDDISGRLRDGCPSYFKESDYKFFLAVECLERAAATFDAEERENLAREAFNYLSKVPESADLRTVCKRFEDLRYYEAVVRLPLQKAQALDPAGDALNEQKDVRDFALAQREQCYEIIASALRALKGDNSPKEFGSPIKPVAQSALDQGSRKKYISQIIQLGVQSSDRVFHEYLYRTLIGLGLEDELLEYGGPDLVPFLQSAGREPSQEVHAVSAVTSSTSPLGQSRLSIALHQAKYLELLARYYVLKRQHILAAHVLVRLAERRSTEGGHAPTLEQRRQYLSNAVLQAKSATEVDNTSVSARGSLDNGLLDLLEGKLTVIQFQIKIKEELEAMASRLEASPSTVNSVSNDSQQEQSTFPNADLIRTISEKAKELSLDLKSITQLYNDYAVPFELWEACLEMLYFASYSGDADSSIVRETWARLIDQALSKGGIAEACAVLKRVGSRLYPGDGAVLPLDTLCLHLEKAALERVVSGVESVGDEDIARALLAACKGAVEPVLNTYEQLLSNGAILPSPNLRLRLLRSVLVVLREWAMSVFAHRMSTSATGASLILGGTFSFGQTTTINQGVRDKISSAANRYLTEVRRLPLPQSQTEAVSRGFRELEESLQSHFPFDRF >CDO98137 pep chromosome:AUK_PRJEB4211_v1:4:3178070:3182287:1 gene:GSCOC_T00022138001 transcript:CDO98137 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKPLLSIVVLLIVSLFLILFSSSSPFSGTPYSITSFSDRPEIWSLRRVVEWRPCKWWLQQPPSPLPAHSNGYIRVDCYGGLNQMRRDFCDGVGIARLLNATLVLPKFEVAAYWNESSGFADVFDVDYFIQEMNGYVKVVKDLPADIASKEPFRVDCSKRKGRFDYVESVLPALLEHRYISMTPAMSQRRDRYPLHGKATLCQACYTALRLTNSLEKKGLELLQAIPKPFLSLHLRFEPDMVAYSQCEYFGLSSTSLKAIEAARADRKPWAGEASRIWRNRGKCPLTPNETALILQALSVPTNTNIYLAAGDGLMELEGLTSVYTNAVRKSTLLSDEDFTSMHGNTKAALDYYVSINSDYYIATYFGNMDKMVAAIRAMKGLYKTLFLSRRAFAVFTSQGLRGERLMEALWKAHRDDFVMSRGSALSDCFCEFQL >CDO98001 pep chromosome:AUK_PRJEB4211_v1:4:2189241:2189780:1 gene:GSCOC_T00021963001 transcript:CDO98001 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGLNSGARLAASFSVSSHSLKSSNFFPAPTMARTCARFQGADKQHLNLNLSKGKIRAVGSSAPDSQSAETSTTSQEPPAAVNFAFVSSVLLPDGTPDVHFRKACGGQKLRDIMLDSNVELYGPYASPFHSPFLFLFLFLF >CDP14911 pep chromosome:AUK_PRJEB4211_v1:4:26017144:26037057:1 gene:GSCOC_T00042399001 transcript:CDP14911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MELQNTVTEALNALYHHPDDAVRMQADRWLQNFQRTIDAWQVADNLLHDTASNQETLIFCSQTLRSKVQRDFEELPSEAFRPLRDSLNTLLKTFHKGPPKVRTQISLAVAALAVHVPADDWGDGGIVNWIMDEINSHPEYLPSFLELLRVLPEEAFNYKISARPDRRRQFEKELASAMEVALSILTACLNFNEFKEQILEAFASWLRLRHRIPASMLASNPLVLTALSSLTSDVLSEASVNVISELIHYTAARYSDGVSSQLPLIQVIVPQVMNLKPQLRDPSKDEEDVKAIARLFADMGDSYVELIATGSDESMLIVHALLEVASHPEFDIASMTFNFWHNLQMILTDREFFISSGNEASIEAERSRRLQIFHPSYESLVALVIFKVQYPADYAELSREDQKDFKQTRYAVADVLIDGALVLGGEATLKILYMKLVEALSCCGKDSSTDWRPAEAALYCIRAISDFVSVVESEIMPQIMSLFPKLPHQPQLLQTVCLTIGAYSKWLDASSSGLSFLPSVIDILVSGMSISEDTAAAASLAFRHICDDCCKKLCGSLDGLFQIYQRAVLGESTFKVSAEDSLHLVEALSKVITELPSEHAKKALEALCLPAVAPLQAGFLYEIYEIISQGPLVLGQKTARELTVHIDRLANIFRHVNHPEAVADTIHRLWPLFKAIFDLRAWDMRTMESLCRACKNAVRTSKRFMGVTVGAILEEIQGLYKQHHQPCFLYLSSEVIKIFGSDPTCANYLKILIECLFSQTTCLLTRIQEFTSRPDIADDCFLLASRCIRYCPQLFFPSPIFPPLVDCSMVGMTIQHREASNSILNFLSDIFDISKSSQGEIYLPIRDNVIVPRGPSITRILVACLTGALPNSQVETVTYALLALSRAYGVKTLEWAKETVSLIPSTAVTELERSKFLQALSNAQLGKDVNDLKLPIEELSEVCRRNRTVQEIVQGALRPLELHIVTVS >CDO98194 pep chromosome:AUK_PRJEB4211_v1:4:3558922:3562555:-1 gene:GSCOC_T00022210001 transcript:CDO98194 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARPTFYVLLLIVPAFLLISSTCSASTTSGVERVQVRRFAGGANEVNHGVVSWGSKTRTKRSVLEGRNGENSSLVLAAERTRRKDPLDNLNYYTGGWNITNKHYFASAGFTAAPLFLVAAIWFVAIGLCMLLTCICFCCFGGRSYGYSRTAYALSLILLSLFTIAAIVGSVVLYTGQGRFHNTTTEILKYVVRQADSTVYNLMNVSDYLTAAKQAGVENVSLPSDVQNRIDQVDAKIKSAASTLKSETDKNRNRISDILDVVRKILIMVAAVMLAVALLGFLFSILGLQCLVYILVILGWILVAVTFILSGVFLALYNIAGDTCVAMDQWNKNPTAHTALDDILPCVDTTAAQETLSESKTVTFQLVGVVNGLIANVSNVNLPPAAGRLSYNQSGPLVPLLCNPFNPDKTARKCAAGEADLTNATQVWKSYVCRVSTNNVCTTVGRLTPSMYQQMSSAVNVSYGLYHYGPFLTDLLDCTFVRDTFNRIHDDHCDDLKRFSKWIHVGLALVSAAVALSLIFWLLYARERRHRKYTKLVDARSHQDSYESKGPR >CDP12308 pep chromosome:AUK_PRJEB4211_v1:4:27102601:27106799:-1 gene:GSCOC_T00035772001 transcript:CDP12308 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRQFSLSVLTNCKTLKSLKQIHAQVLKKGLDSDPFVAGKLLLHCSINLSDSLDYAHRLFTYTPNPDAFMYNTLIRGLGESETPQKSLSIFTHMLGYSNFPPDSFSFAFLLKGAANARCLRMGSQLHCQALVRGLDSHAFVGTTIVSMYAECGIVGFSRKMFDEMPEPNVVAWNAMLTAYFRCYDLKGAEGIFYLMPFRDLTSMNVMLAGYTKVGELELAKKLFLGMLIKDDVSWSTIIIGLAQSGSYDEALSYFRELRRLGLTPNEVSLTGVLSACAQSGALAFAITLHGFIEKSGMVWILSVNNALLDTYSKCGSLDMACLVFKRMPGKKSIVSWTSMVTGLAMQGYGEGAIKLFNEMKESGIKPDGITFIAILKACSHAGLIEQGYKIFSKMTEEYGIDPTIEHYGCVVDLYGRAGLLQKAYDFVIQMPIPPTAIIWRTLLGACSFFGDVVLAERVKERLVKADPDNSGDHVLLSNTYAVAGKQNDVVMIRESMAELKMKKIPGWSMIEVDKF >CDP16532 pep chromosome:AUK_PRJEB4211_v1:4:16828708:16833030:1 gene:GSCOC_T00018903001 transcript:CDP16532 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDKFTHKTNEALAGAHELAMNAGHAQFTPLHIAASLISDPNGIFRQAISNAGGGEEAANSSERVINQAMKKLPSQTPPPDEVPASTSLIKVIRRAQALQKSLGDTHLAVDQLILGLLEDSQIGDLLKEAGVSVARVKSEVEKLRGKVGKKVESASGDATFQALKTYGRDLVELAGKLDPVIGRDDEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLADVRLIALDMGALIAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVADTISILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDSLERKRIQLEVELHALEKEKDKASKARLVEVKRELDDLRDKLQPLMMKYNKEKERIDELRRLKQKRDELLYALQEAERRYDLARAADLRYGAIQEVEAAIARLEADTDEGGMLTETVGPDQIAEVVSRWTGIPITRLGQNEKERLIGLAERLHQRVVGQDQAVSAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDDKLMIRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEAVRRRPYSVILFDEVEKAHPTVFNTLLQVLDDGRLTDGQGRTVDFTNTVIIMTSNLGAEFLLRGLMGECTIEKAREMVLEEVRKHFKPELLNRLDEIVVFDPLSHEQLRKVCRLQLKDIASRLAERGIALGVTEAALDVILAESYDPVYGARPIRRWLEKKVVTELSKMLIKGEIDENSTVYIDVAYNGKELVYHVENNGGLVNAATGQKSDILIEIPNGPNRTGAAQAVKKMKIEEMDDDDEMDE >CDO97763 pep chromosome:AUK_PRJEB4211_v1:4:340160:340741:-1 gene:GSCOC_T00021654001 transcript:CDO97763 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKFPATVEPAVWWPQQAKESAHKTCLKSNGWNSKLEKEMRSIVEVIRRKDKADYLRLGGKALTLNKLLAISGPLLTGLAAISSAFMGSSSHTGFLAAMLGIVGGSLASIVNTLEHGGQVGMVFEMYRSNAGFFKLMEESIESNLMERRENGELFEMKVALQLGRRVSELRDLASSPKSKGEGAEEFASKLF >CDO97873 pep chromosome:AUK_PRJEB4211_v1:4:1236514:1237172:1 gene:GSCOC_T00021809001 transcript:CDO97873 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKPYQNIFVLVLFLVAFASNVVVMHGQTICKMSAQELMSCKPAVTPPEPSDPSSACCAALKHADVACLCSFKSSSWLPSLGIDPNLATQLPEKCKLPHPANC >CDP17737 pep chromosome:AUK_PRJEB4211_v1:4:23782146:23783547:1 gene:GSCOC_T00010515001 transcript:CDP17737 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPLLLNFFFFFFDELICLLLFTNLRVSIRGARNVLFPFYYVSYNHCVLVQELYIQLQFSILCIVSIKLFKSLFF >CDO98083 pep chromosome:AUK_PRJEB4211_v1:4:2692796:2697067:-1 gene:GSCOC_T00022061001 transcript:CDO98083 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MAAVNILWQPTNPGQLTAPSTSPSHSNFNSDIIHTDCYHNNSTNRTAIKSKWAAVPRRALTKVRAKFSPLPSQMLPESSISSGGKSSWIQDNSACGDNFVHNGHRQGPIHSRFPTAPAEVSSVKDLFDFICSGPLLDKFGLTTEKVAESIDKWLLYGSKLCRLFQLDELYMTEPQKVRIYHYYVPVFLWCEDEISQHMSTFNEGEDIPPLVIGFSAPQGCGKTTLVFALDYLFRITGRKTATLSIDDFYLTAESQAKLRESNSGNALLEFRGNAGSHDLSLSVETLTALSKLTKEGLKMKLPRYDKSAHNGRGDRADPSTWPEVEGPLTAVLFEGWMLGFKPVPAETVKAVDPQLETVNKNLEAYYDVWDRFVNSWIIIKIQDPNYVFRWRLQAEIAMRADGNPGMSDEEVMDFVSRYLPAYKAYLPTLYAEGPKGSDPKHVLVIEIDEGRNPILGC >CDO97905 pep chromosome:AUK_PRJEB4211_v1:4:1412273:1418485:1 gene:GSCOC_T00021848001 transcript:CDO97905 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFLGMTARPRTAPTSLASVTRSTRRISCVKNTSISFSRNGFSRFHHFLRASLHSTPPSPATSKAALQILPEQPEVVFVGTGTSEGIPRVSCLTNPTKTCPVCAQAAEPGNRNRRLNTSLLIRYPRPSGKCNILIDAGKFFYHSALRWFPTFGVRTIDAVIITHSHADAIGGLDDLRDWTNNVQPSIPIYVAMRDFEVMKKTHYYLVDTSVIVPGAAVSELQFNIIHEEPFIVHDLKFTPLPVWHGKNYRSLGFRFGNICYISDVSEIPEETYPLLEDCELLILDALRPDRSSSTHFGLPRALDEVRKIQPRRTLFTGMMHLMDHEKVNEDLRKLRETEGLDMQLSYDGLRVPVNL >CDO97922 pep chromosome:AUK_PRJEB4211_v1:4:1567670:1568861:-1 gene:GSCOC_T00021868001 transcript:CDO97922 gene_biotype:protein_coding transcript_biotype:protein_coding MERAFDLRTELENAASDVSNLFAKIEHKGKIEDGNRIFVQKFQPQLTQQLRILHKTVTASATQQEQQLKDMEEDMQSFVSTKTEATEELRSRLDLAGELDGNSQSTFGHLNSQVSGHSSSLTKFFNSIASEADKLLNDLQNSLHGQESKLIAFAQQQREAHQRAVTTRRSIAEITANFLKTLDAHVSQIGRIVEESQTVNDKQLSDLEKKFKECAANEERQLLERH >CDP15031 pep chromosome:AUK_PRJEB4211_v1:4:9497831:9499177:-1 gene:GSCOC_T00042567001 transcript:CDP15031 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNVEVISEELIKPSSPTPHACRDLKLSLLDQLMPSIYVPLIFFYQNRSSCSNNIDPAKRSQHLKQSLSEVLTKFYPFAGRTNQNLSVDCNDSGALFVESRVHAHLSQALIQSTTIEEPNQYLPFEPFYASSKNNLMLGIQISFFECGGMAVGACISHKLADAMSFVTFMNAWAATCRGQADEVVQPDFELAGRLFPPANIPLTSYDFMSLEEKESLVTKRFVFDEEKLDALKQLASSAASGSSVKDPTRIEAVSALMWKNLSSTTQAKVDHKTNFLAYHGVNLRPKMSLPGDRLVFGNLAIATTALLTMSDQDDHDKEYCYDLVGLLRTAIRKINGEYIKHVQSGVPYLNTLENNIKERLAKQPMISCKFTSWCRFPVYEVDYGWGKPIWVATKVPPMKNCVIFLDSSCGDGIEAWANVVEDEMAMIPDELLSLATADSTARIHNQ >CDO98487 pep chromosome:AUK_PRJEB4211_v1:4:6088862:6089479:1 gene:GSCOC_T00022600001 transcript:CDO98487 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFQSFSTCFLLVFIFTSLHFLTGISVEFDVGGNTGWVIPPSKNDDLYNDWASKNRFKVNDTLIFTYKKDSVMEVTKEEYEKCRSLHPMFFSNNGNTIYTLDRSGLFYFISGVSGHCERGLKMVIKVLDVESSPQSANQTANTSSPAKSAAATYFGTSMMMVILAVFGVIIVV >CDP21210 pep chromosome:AUK_PRJEB4211_v1:4:24669488:24669568:1 gene:GSCOC_T00006569001 transcript:CDP21210 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCERWIDGLQFSSLFWPPPKDAE >CDP12362 pep chromosome:AUK_PRJEB4211_v1:4:28116734:28119460:-1 gene:GSCOC_T00035856001 transcript:CDP12362 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASSMVYFFVLIGLTLLFSNNVYATDYDNYINVYELTNGKISIKVTNYGATLLSVTVPDRNGKLDDVVLGYPTIEGYKNDSTYFGGLIGRVANRIGGAKFTLNGVEYNLPANDHGNTLHGGTRGFSDVIWEVTSYEKNSHVSLHYHSYDGEQGFPGAVDVYVTYMIIGGNRLGLKMEATPLDKATPINLASHSYWNLAGHGSGDIFSHDIQLFASKITPVDDKLIPTGQIVPVQGTPYDFLQSRSIGSKFNELPSGYDINYVVDYVPNGHVHKVAVVQEPKSGRKMELWSNKPGVQFYTSNMLNDTLGKDGATYRRYGGLALETQGFPDSVNHPNFPSQIVNPGENYLHVMVYRFTAHH >CDO97999 pep chromosome:AUK_PRJEB4211_v1:4:2182169:2188355:-1 gene:GSCOC_T00021961001 transcript:CDO97999 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHLTNLRLLFSSANHHITTLPASSNLLSSSFPLFCQPKIQSLQLPRRIFPNTLRFFTTFSSYSRKPRRSSNRNSSSSPFSSTKQHRNSSTSNTRGRDNNFNKQKGGLSMELEKEAGSADNALESTFVYNKKRADGSEKKDLPRKALELKVRKLNPINTICYVQILGTGMDTHDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLSRVCSETAGGLPGLLLTLAGISEEGMSVNVWGPSDLKLLVDAMRAFIPNAAMVHTRSFGPASDTSALVTPAKDVFSDPVVLIDDEVVKLSAIILRPSQAAEGSSTKKPGSQEADEHLVEQLSSSISKPRAEPSTKPGDLSVIYICELPEIKGKFDPKKAAALGLRPGPKYRELQLGNSVKSDRQDIMVHPSDVLGPSIPGPIVLLVDCPTLSHFKDVSSVQSLSSYYAGISGNSSSRTVNCVIHLSPSYVTNTIEYQKWMSRFPEAQHIMAGHEMRNIEVPIIKSSARIAAQLNYLCPQFFPAPGIWSLQHLKHIASDLRASSEGPFSDLCESIPAQNLLKFHLRPITQLGLDRSGIPDSASQSEIVDELVSRIPEITEASKQVSQLWLQNGKNERMSEQAKELPTEEPWLHNNELPACLEGVTREDLEIVLLGTGSSQPSKYRNVSSILLNLFSKGSILFDCGEGTLGQLKRRFGVDRADEIIRDLRCIWISHIHGDHHTGLARILALRRDLLKGVPHEPLMVVGPWRLKRFLDAYQRLEDLDMQFLDCKHTSESSLAALDSNEDIKDADRIRSQDQKIDSTLFAKGSRMQSYFKRPGSPAENAMVYPLLKKLMKVLREGGLQALISFPVIHCPQAYGVMLKAADRTNGAGKTIPGWKIVYSGDTRPCPELVKASKSATILVHEATFEDGLIEEAIARNHSTTKEAVEVGASAGAYRVILTHFSQRYPKIPVFDESHLHNTCIAFDMMSVNLADLPLLPRILPYIKLLFRDEMAVDESDDMNDIAAVA >CDO98394 pep chromosome:AUK_PRJEB4211_v1:4:5119277:5121298:1 gene:GSCOC_T00022472001 transcript:CDO98394 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit delta [Source:Projected from Arabidopsis thaliana (AT3G18190) UniProtKB/Swiss-Prot;Acc:Q9LV21] MAAPAAVASAPRASSSKTDTFVDNKRKDDIRMANISAAQSVADAVRTSLGPKGMDKMISTANGEVIITNDGATILNKMEVLQPAAKFLVELSKSQDVVAGDGTTTVVVIAGALLKSCLSLLTSGIHPTIISDALHKASVKAVEVLTAMAVPVELSDRESLVKSASTALNSKVVSQYSTLLAPLAVDAVLSVVDPAKPDLVDLRDIKIVKKLGGTVDDTELVKGLVFDKKVSHAAGGPTRVENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDEIEFITKTLNCLPIANIEHFKAEKLGFAELVEEVSLGDGGKLVKITGIKDMGRTTSVLVRGSNQLVIDEAERSLHDALCVVRCLVNKKFLIAGGGAPEIELSRQLGAWAKVLQGMEGYCVRSFAEALEVIPYTLAENAGLNPIAIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAIALATECVRMILKIDDIVTVR >CDO98084 pep chromosome:AUK_PRJEB4211_v1:4:2698068:2702822:-1 gene:GSCOC_T00022062001 transcript:CDO98084 gene_biotype:protein_coding transcript_biotype:protein_coding MMKENLVKSAVGEPTGRITRARAAAYRQSGDMHSKELSKLQDEKLNLKRPALNERNNDAPPNPCNQPKRRAVLEDVTNVFCKNSRRKCLNATKIPRNRKEGRKSSIMVSKMVAPVAVEAQQAVVDSATSISQEIERTSLQSGKVACSLKLDKHSLCSSNELIRKNCGKDCQLIKQECSNLLRHESISKKETILENEMSAASTTPDFTDIDADHGDPQLCSLYASEIYRNLNVAEIIRRPDSLYMEGIQQDISQTMRGILVDWLVEVCDHYKLVPDTLYLTVYLVDMFLSQKYIARQRLQLLGITCMLIASKYEEICAPRVEELCFITDNTYTKTEVLELESEVLNNLGFQLSAPTAKTFLRRFLRAAHSSYECSSLVLEFLAKYLAELTLVHYGFLKFLPSVIAASAIFLARWTLKQSGHPWNPTMEYYTKYKASDLKTTVLALQCLQLDSHSCPSNAIRAKYQQDKFKCVAALRSPKLCDTVFQT >CDO98135 pep chromosome:AUK_PRJEB4211_v1:4:3164868:3167168:-1 gene:GSCOC_T00022136001 transcript:CDO98135 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNMANPLHLTSLNHISLICKSVGKSMDFYTSVLGFVPVRRPGSFNFDGAWLFSYGIGIHLLQAENPDDMPEKTVINPKDNHISFQCDSMAAVEKMLAEMEIKYARQRVEEGGIYVDQLFFHDPDGFMIEICNCDNLPVIPLAGEMIRSCSRVNLQMMQAQQHLPVVRP >CDO98239 pep chromosome:AUK_PRJEB4211_v1:4:3868721:3871193:-1 gene:GSCOC_T00022265001 transcript:CDO98239 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKERLLPPKPASAANLRDVSYRPSASGRPPFPGVDVLGLKKRGQGLRSWIRVDSSGNSQVIEVDKFSMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDNYVVQYVVELQRRLHAAGVSDVWQTEGSDSSRRGRSFDNMFGNTSPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMESSFYGDQSLLGYRSSDGVQSISAPVSPVVSPPDSRKLEKTLSIARSRHESVRSSESANESIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFSVPLFDNPNAFKWVLIITAVCGIIIFCSFLWFFKYKRLMPL >CDO98677 pep chromosome:AUK_PRJEB4211_v1:4:8145422:8145906:1 gene:GSCOC_T00022849001 transcript:CDO98677 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILIFCCILSLLFVINLAEVPNAGGFGDSKTRRVILGKRSNKVVCIRDCSRLYPNSTASCFKIRFGKCLCYCYVPDSPSSPH >CDO98114 pep chromosome:AUK_PRJEB4211_v1:4:2999434:3003559:1 gene:GSCOC_T00022111001 transcript:CDO98114 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLVSLQLKTLSIPRSVPKPHRFTAAGAVSSSPESFRPSVTLFSCQFSTESANVATKKTVEDVMPIATGHEREELEAELEGKDILAINYPVGPFGTKEAPAVIQSVYNKRIVGCPGGEGEDEHDVVWFWLEKDKAHECPVCSQYFVLEVVGHGGDPEGHSDDEHH >CDP15030 pep chromosome:AUK_PRJEB4211_v1:4:9485513:9486928:1 gene:GSCOC_T00042566001 transcript:CDP15030 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTTKFNRKPLQHVEVLTKKLVKPSSPTPNHLQNYKLSSFDQLAPRSLVHLVYFYENNDSCKGNYDEAGVVQRHEILQISLAETLSHFRPLAGRISDDGRRSVDCQDQGALYIEAKVNCQLNQFLNQAYEDVELLADFIPDGGLNGDGALLRVRVTFFLCGGFALACSISHTVADGFTGCTFFDEWAKMCGYGKDNNNICDIKCLNASVEFRPSRLEVVTAFIWRGLIRAAQKRHGYLRASMTAISVNLRGKTALGIEDNSFGNLFVAVPIKFIPDETKMELHHFVQLIMTTLQEARTVYTKASSADEIFLMVQKFQDQVQKELGDKEVDTRLSTSLCRFPLYGADFGWGKPSWIIFGNVGGRPEMFCLLDTKCGTGVEVRVNLNEVEMPIFEFDREIVSVTSLAAR >CDO98673 pep chromosome:AUK_PRJEB4211_v1:4:8065672:8066957:1 gene:GSCOC_T00022845001 transcript:CDO98673 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTHWFTYVHCEMKIGLGDVAEFEFINEFKFIRQLNDLHTDLARSPALAALGSHIRCQLCAVALLEKVHDWLFSAQEYVGWKLLAFCLIFDIKSFSTVQRN >CDP15097 pep chromosome:AUK_PRJEB4211_v1:4:10338471:10341489:-1 gene:GSCOC_T00042667001 transcript:CDP15097 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIQPARNKQMIRRDRGMFTASDDAAMMKQIQATHAPDGRELEVKPILQIIEALLHHVSPGIDGIVNGTHHEGADELEERTALVGMEGILDGLAYIVQKISCELECKCSGGGDMHATTMAILNMLSSYTWDAKGVLSLTAFAVNYGEFWLIAKLFATNPLAKSIAVLKQLPDIMEHSNVLKSRFDAISNLIKAMLDVTKCIVEFKELPAQYISDDTPAMAVAVAHVPASVYWTIRSMVACASLITSLLGMGYELIGSTTETWELTSLAHKLSSIHGHLKTQLANCFQHIAEKRHLEYFQMLVHLFEVPHLENLRILKALIYNKDDLLPLEIGTSKTRANVDVLRRKTVLLLISDLDLSQEELPVLTHIYTEARSRPEFQYEIVWLPIVERSVTWNEGYEQKFKQLQSAMPWYTLHHPSLLEPAVARYIKEVWHFEKKMMLVVLDPQAKVACPNALHMVWIWGNHAYPFTLTKEEQLWKEETWRLELLVDGIDPAILEWIIQDKFICLYGGADIGWIRSFTTAARKVANAAGISLEMVYVGKNNTKERVRKINSQITGENLSHCWSDPTYVWYFWTRVESMLYSKMQNQKTAQDDKIMQEVMTILSFDGSDEGWALISRGSAEMARAKSETMSQSLEEFTNWEDDAKEKGFVPALNDYLKRLHTPHHCNRLILPGTAGGIPELVVCSECGRQMEKYFMYRCCTD >CDO98322 pep chromosome:AUK_PRJEB4211_v1:4:4594094:4594246:-1 gene:GSCOC_T00022382001 transcript:CDO98322 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVKVFCVHTGRIFRSPGSGRGSIIRVVVGQRGRGEGEEKKKRKWWPE >CDO97990 pep chromosome:AUK_PRJEB4211_v1:4:2125016:2127940:-1 gene:GSCOC_T00021949001 transcript:CDO97990 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAKNAGEAVNIQLPEMKVAAEMGTMSGPLVAPRPSRSVHGKMDIAHVVLRLACLLTSVTALSLMATAKQASTLSLYGFNVPVRSNWSFSDSFEYLVGVSAAVAVHSLLQLLISGSRSLRKSPAIPSRNHAWLIFAGDQVFAFAMLSAGSAASGVTNLNRTGIRHSALPNFCKPLHAFCDRVAASIAFAFLSSLFLAISTVLDVVWLSKY >CDO97723 pep chromosome:AUK_PRJEB4211_v1:4:31392:36760:1 gene:GSCOC_T00021602001 transcript:CDO97723 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKMKKFIRSQKPSLEINARDKTLPQPEEPKDKNVDDLDKAVDVDTSSTEAEDDDDDFITNEVKRRLKELRRKSFMALIPEEASPEDGEDVDEEEGDTSSSEWRDAQAEGQQFWSIFDALHDTYSERMLFFDRLIAQQLHEVDSHVPSSPSPRSSLKRLRSPFKCLSLKTVKEPEGEMEYLQPQASDPYEDLETAYVGQTCLTWEALHCQYTQLSQKISCGLETSTSYNQSAQQFQQFEVLLQRFIENEPFEQGSRPEIYARMRNSLPKLLQVPKVQGSDQKSMDEESDLLVLAPNLITIIESSILTFHQFLKTDKKKSGGVRNVFGSQDQMVTPLQQIQSSLEKKALKLKEQRKRTKSWKKKAWPSIAEDVDLLLGTIDVKVLSRVVRMVKMSKGQLFWCEEKMKRIDCCGGKLERDPSPILFPC >CDP14393 pep chromosome:AUK_PRJEB4211_v1:4:23172293:23175357:1 gene:GSCOC_T00040775001 transcript:CDP14393 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTTVGSLKASTFWVSKKAKEEISSITQDLSTFSNTVEEKAKWVFNKLKGKPLKSLPDLLREFNLPPGLFPQNITCYEFDASKSKLIVYLPSPCEVCFKDSSVVRYATRIKATLSRGKLTGIEGMKTKVLVWVKVTSVNVEGYKSDKLWFAAGVKKSRPKDAYEMPRDAVKVEEF >CDP12385 pep chromosome:AUK_PRJEB4211_v1:4:19392678:19393616:-1 gene:GSCOC_T00035894001 transcript:CDP12385 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRAPDPIIILKVDTNCCDDCSGKLEKALLEINGVNQAIVDPQKKSVSIRGNANSCMLIEEIARMGKRAELMFYDKEPKVEGHHQKNVRFAQEKHPSTDDHHKQNVRQDDGHKHFCCDDHDDGGEENMPSWGSKNIFGSHSDYPRQTHFCPESSRHDTRNPNYSRWFREEPPPYDHPRWRMSPPPPSSYFYGPFRGRRPMSQFDHFPH >CDO98130 pep chromosome:AUK_PRJEB4211_v1:4:3084606:3084869:1 gene:GSCOC_T00022129001 transcript:CDO98130 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFFDSNNVCRRLEALVWNPGMWEPASQPLSKLKSKKKSEASSTQTTLFSDTNAQSAEGSPFMAFKFILGVALVSVIIGIIIGKRY >CDP19374 pep chromosome:AUK_PRJEB4211_v1:4:24563936:24570012:1 gene:GSCOC_T00002596001 transcript:CDP19374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase, chloroplast precursor (EC 5.1.3.1) (Pentose-5-phosphate 3-epimerase) (PPE) (RPE) (R5P3E) [Source: Projected from Oryza sativa (Os03g0169100)] MATTVSSLGSSALAQSQITGLAVGLRLQKPSLSNPNLPTFTRRRSRTVVKATSRVDKFSKTDIIVSPSILSANFSKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPITDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLTQIKGLGAKAGVVLNPATPLTTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRMCVEKGVNPWIEVDGGVGPKNAYKVIEAGANALVAGSAVFGAPDYAEAIKGIKTSKRPVAVAV >CDP12744 pep chromosome:AUK_PRJEB4211_v1:4:12423313:12429578:1 gene:GSCOC_T00037376001 transcript:CDP12744 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 30 [Source:Projected from Arabidopsis thaliana (AT5G63120) UniProtKB/Swiss-Prot;Acc:Q8W4R3] MFNPYDSRYTDAGSYRNRRSDIMGAAPPIVPPPMMGSGGLGPSYDRGGPPPRYGGPMGAPPPVVDGGRGVGSGGGYGGLGDRRGDFRARGFDSGRSGGRSGGSGRGGSDAGRGGGMGFRGGHGGGRGGFDGGRGGGSSGRGGRHGSRPRDDLDNLALPKQEFGNLVPFEKNFYVESPSVRAMTEQEVAVYRARREMTVDGHDVPKPIRMFHEANFPDYCLEVIARLGFVEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYMLPALVHVSAQPRLAQGDGPIVLVLAPTRELAVQIQEEAMKFGSRIRSTCIYGGAPKGPQIRDLKRGVEIVIATPGRLIDMLEAQHTNLKRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPREVEALARQFLRNPYKVIIGSPELKANQSIRQIIEIVTDVEKYNRLIRLLKEVMDGSRILIFVETKKGCDQVTRQLRMDGWPALSIHGDKSQDERDWVLADFKSGRNPIMIATDVAARGLDVKDIKCVVNYDFPSSLEDYVHRIGRTGRAGATGTAFTFFTHANAKFARDLIKILRDAGQIIPPDLSAMARSTGSTMGGNNFRSRGRGGFGNRGISGSNTIPLGAKKPW >CDO98444 pep chromosome:AUK_PRJEB4211_v1:4:5613483:5621278:1 gene:GSCOC_T00022535001 transcript:CDO98444 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFVPYVGGVEHANVLLPPLEGLCSVEETCVRDKAVESLCRIGSQMREADLLEFFIPLVKRLAAGEWFTARVSSCGLFHIAYPSASETLRNELRTIYSQLCQDDMPMVRRAAATNLGKFAATVEPAHLKTDIMSMFEDLTQDDQDSVRLLAVEDCAALGKHLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEATRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAVQHVLPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVFSIRDAAATNVKRLAEEFGPEWAMQHIIPQVLDMINDPHYLYRMTILHAISLLAPVMGSEVTCSKLLPVIVETAKDRVPNIKFNVAKVLQSLVPIVDQPVVEKTIRPCLVELSEDPDVDVRYFANQGLQAIEQVMMSS >CDO98672 pep chromosome:AUK_PRJEB4211_v1:4:8063569:8064966:1 gene:GSCOC_T00022844001 transcript:CDO98672 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRKADDENSSSEDTSSSSSSEEEDSSSSGSGSESSSSCEEEEGEGVQNNGNDSSERQKVVIISDEEEEVQNNDDESSKKEKLRELLEPLSKDQILGFLKEEALKDPSILSRIVEKAESDPTHRKIFIHGLAWDATEDQLLQVFEPFGEVEELKLITDKVTGRAKGYAFLLFKTRAAAKSALNNPQKKIGNRTVSCQLAVLGPAAGSAPAGQAAEVSKRKLFVANVGPNINVERLRAFFGKFGEIEDGPLGLDPSTNKPRGYAIFVYKSVDGLNKALEQPAKLFEGSQLNCKKFVEGFNNNNNSKNGGSKGSNQQQNQGGGNHGLGINPGYLGSGLSAGGMFMQQNPGIGLVGNPMLAAALNQPGLAVAAMAGIGGNFGLNNLNPGMIGNYGPLAALLGLGGLQGAETGQSSVGANAAGVAVGTGSAQPQSDIGSSGMTLPS >CDO98374 pep chromosome:AUK_PRJEB4211_v1:4:4966474:4968807:-1 gene:GSCOC_T00022445001 transcript:CDO98374 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKVLIPPKVISVLSLFMVLSIIGAAEARPPPVTEATLHQIAASLQMYVDELPQIPKLLGYTPSYGAPKPGKLTIGMYKTSWKFHRDFPPTTVFAYGTSAATATVPGPQIEAIQGVPTYVTWLNYLPDHHILPWDPTIATAIPKNGGVPTVVHLHGGIHPPQSDGNALAWFTANFRENGPKWTQSTYMYPNVQHAGNLWYHDHALGLTRVNLLAGLIAPFVIKDPALEAKLNLPSGPEFDRHLMIFDRSFYMDGSLYMNYTGDNPTIHPQWQPEYFGDAMIVNGKAWPCLKVQPRKYRFRIINASNARYLSLSLTNGLAFIIVGSDTSYLPSPVTSSNILIAPAEIFDVVVDFSLAKASEIMLTNDAPYPYPNGTSPDQLTSKVMKFIIKPEAPAPYDKSSVPQGLKTYATSSITEATTKRYIVMYEYQSSTGNPTHLLINGKRLVDPATETPKSGSTEVWEVINLTGDNHPLHLHLAEFQAIKVQQLVDLAGFATCMKVKNDAIACNVTSHATGSLLDIPAYEKTWKNVVKIEPGYQTTVVVKFNLVENDTPYPFDATVEPNYLYHCHILDHEDNEMIRPLKLVK >CDP16951 pep chromosome:AUK_PRJEB4211_v1:4:13668290:13673101:1 gene:GSCOC_T00005318001 transcript:CDP16951 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSSTSSAVPGHGDMKSNKRDYSTAILERKKSPNRLIVDEATNDDNSVVTMHPSKMEELQLFRGDTILIKGKKRKNTVCIVLVDEQCEEEKIRMNKVVRANLRVRLSDVVSVHQCPDIKYGKRVHILPFDDSVEGLTGNLFDAYLKPYFLEAYRPVRKGDCFQVRGMRSVEFKVVETDPGEYCVVAPDTEIFCEGEPVRREDEEKLDEVGYDDVGGVRKQMAQIRELVELPLRHPKLFKAIGVKPPKGILLYGPAGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAENNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLALMDGLKSRSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKKMKLSEDVDLERVAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAELLNSMSVTNDHFQTALGASNPSALRETVVEVPNVSWDDIGGLDNVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKGIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSHIGDAGGAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRLQIFKACLRKSPVSKDASLSALARHTHGFSGADITEICQRACKYAIRENIEKDLEKERKKRDNPEAMEEDDTDEVSEIKPAHFEESMKFARRSVSDADIRKYQSFAQTLQQSRGLGTEFKFAERPAAATATGASDPFSNANAADDDDLYS >CDO98185 pep chromosome:AUK_PRJEB4211_v1:4:3487858:3498256:1 gene:GSCOC_T00022198001 transcript:CDO98185 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDQQVDEDNIHRGFSGRYCKSGSSKWLGSSFSRSLSDVKQGIGKSPSLMELCTYKICEAIHNYGSFSMLPRDVSQQIFDELVYSQRLNDVILQAFRDCALQDLNLGEYPGFNDSWMDMIASQGSSLLSVDFSGSDVTDAGLSHLKDCTGLQALNFNYCDKISDNGMAHISGLSNMTTLSFRRNNMITAQGMSALSGLVNLVKLDLERCPKIHGGLVHLKGLTKLESLNINCCNCITDTDMKPLQGLANLKALQISSSKVTDHGVNFLKALHKLELLNMEGCPVTAACLESLSALGALLYLNLSRCNLADDGCDKFSRLQALKVLNLGFNEISDAILVYLKGLTNLESLNLDSCSIRDEGLVNLAGLSRLKCLELSDTEVGSSGLRHLSGLGNLESLNLSFTVVTDGGLRKLSGLSCLRSLNLDVRQITDTGLAALTSLTGLTHLDLFGARITDSGTNYLKCFRNLRSLEICGGGLTDAGVKNIKDLTSLALLNLSQNSHLTDKSLEAISGLTQLVSLNVSNSRVTSAGLQHLKPLKNLKSLTLESCKVTANDLKKLQATHLPNLVNFRPE >CDP18083 pep chromosome:AUK_PRJEB4211_v1:4:14015490:14015660:-1 gene:GSCOC_T00008247001 transcript:CDP18083 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMEILMGKTIILEVDSSDTIDNVKAKIQDKEGHPPETSSSSSSSASSWRTAIT >CDO98063 pep chromosome:AUK_PRJEB4211_v1:4:2539489:2544884:1 gene:GSCOC_T00022039001 transcript:CDO98063 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDFGNESGVVAVARQRGIDVVLNDESKRETPAVVCFGDKQRFLGTAGAASSMMNPKNTISQMKRLIGRPFSDPELQRDLKALPFTVTEGPDGFPLIHARYLGELRTFTPTQVLGMVFSDLKSIAQKNLNAAVVDCCIGIPVYFTDLQRRAVVDAATIAGLHPLRLIHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFKKGQLKILAHSFDRCLGGRDFDEVLFQHFAAKFKDEYKIDVFQNARACLRLRAACEKLKKMLSANPEAPLNIECLMDEKDVRGFIKREEFEQISIPILERVKKPLEMALAEAGLGVESIHAVEVVGSGSRVPAMIKILTDFFGKEPRRTMNASECVAKGAALQCAILSPTFKVREFQVNESFPFAIALSWKGSAPDAQNGAADNQQSTIVFPKGNPIPSVKALTFYRSGTFTVDVHYADVSELQAPAKISTYTIGPFQATKGERAKLKVKVRLNLHGIVSVESATLLEEEEVEVPVTKEPAKETAKMETDEVPSDAAPPSSTETDVNMQDTKGAAEAPGAENGVPDSGDKPVQMETDSKAEAPKKKVKKTNIPVSELVYGGLAAADVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDRYHEFVMDPERGQFAAKLQETEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEFMERGSVIDQLIYCIGSYREAAMSNDPKFDHIDIPEKQKVLNECVEAEAWLREKKQQQDALPKYANPVLLSADIRRKAEALDRSCRPIMTKPKPAKPAPETATTPPPSQGTQAQPQGGESPNPHSGQNSHANDGAGAGNEVPPESTEPMETDKSDTAPGAA >CDO98044 pep chromosome:AUK_PRJEB4211_v1:4:2435088:2437021:1 gene:GSCOC_T00022018001 transcript:CDO98044 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRYRSESATADASSWPLYPRLDLLLRGNAPSHPPQLPPPPQLPPPPPPPPPVASNPPHLLLLEQPQQSLHPPPPPPAVAHANCPQNSHDSNGFDRVAKEDGVGPKLSDQESDKNATDTDSSTPAMYSDKEKLKSKNIKTRIEKKKQRRESCAIAESIRLLAEVVVRSEQARMDTMRELERMRAESEAKRGEMDLKRTEIIANTQLEIAKLFAAGNGNGNGNGNGKGVDSSLRIGRS >CDO98467 pep chromosome:AUK_PRJEB4211_v1:4:5856257:5858842:-1 gene:GSCOC_T00022567001 transcript:CDO98467 gene_biotype:protein_coding transcript_biotype:protein_coding MTATGNSTTTRSQEAMPYDLRLTTYDLRLTRDHSYCLYIRLLSAMFVRKMFACIYLQNYTSPMGLFFNAILISGLALSLPFLSSSKRTNSLSTGSSLSSQDVLISKPHGNFTAGFFRVGENAYCFSIWFTELYDHGNYIIVWMANRDRPVNGKHSRLSLLKSGNLVLADAGQFNVWTSSTQSNSFLQLQLHDNGNLVLSNIDGGNLWQSFDCPTNTLLPGQSLTQNSVLISSRSLTNYSSGFYKLYFDDDNVLSVLYDGPQRAGISWPDPWKRSWDNGRSTYNNSKVATLDSWGRFQSSDQFDFITVDYGPGIQRRLTIDFDGNLRVYSLDMASRNWKVTWQSSLQPCKVHGICGENSLCTYAHETGRKCTCAPGYKIKSQKDWAYGCEPDFQLPCNDSNASGFLLLQNVEFYGYDIGFFSNSTLDNCQNLCLNYCSCKGFQFKFDNDNGYYNCFPKTNLFNGYRSIGFDSPIYLRLPQSMLTSFDQKPLQQTNLKCTADSFLWCTLAVGAFEIICFFTYLFKTRRGSSARKQGYTQVATGFRKFTFAELKKASRNFSAEIGRGGGGIVYKGVLTDDRVAAIKCLNEANQGEAEFLAELSTIGKLNHMNLIEIWGYCVEGKHRLLVYEYMEHGSLAKNLHSGRLDWKKRYDIALGIAKGLSYLHEECLEWVLHCDVKPQNILLDSNYQPKVADFGLSKLLNRGGTNKSTFSGIRGTRGYMAPEWVFNLPITSKVDVYSYGIVVLELLTGRSPVEGRSMEESTSAMEPRRLVTWVKEKMHAANGRASQTAIGKIVDPAVSAEFDVARAEILVQVALQCLEEDKDARPTMSRVVDTLLHQESDEY >CDO98606 pep chromosome:AUK_PRJEB4211_v1:4:7320060:7324738:-1 gene:GSCOC_T00022762001 transcript:CDO98606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G73370) UniProtKB/TrEMBL;Acc:W8Q3K7] MAFAVVDGLMPDALRQSRYHMKRCFARFTSMGTRLMKHQHLMEEMESVIVDRAERAKVLEVSLGEILSSTQEAAVVPPYVAFAVRYGSGCFDYVKVNAEDLSANNITATEYLRLKEMIYDENWSKDENALELDFRAFDFGIPRLALTSSVGKGISYISKFMASKFIGDPESAKPLVEYLLTLNHQGENLMINDTLNTVTKLRTALIVAEVFLSSMAKDTPYQNFEQRLKEFGFEKGWGDTAERVKETMRMLSEILQAPDPLNVESLFSRLPVIFNVVIFSIHGYFGQSDVLGLPDTGGQVVYILDQVKALEEELLSRIKQQGLNVRPRILVVTRLIPDAQGTKCNQEIEPIINAKHSHIVRVPFQTEKGDAAEKVLELLEGKPDLIIGNYTDGNLVASLMARELGVTLGTIAHALEKTKYEDSDIKWKEFDQKHHFSCQFTADLIAMNAADFVVTSTFQEIAGSKTRPGQYESHTAFTMPGLYRVVSGIDVFDPKFNIAAPGADQSVYFPFSEKKKRFTSFHPAIEELLYSKDNNDEHIGVLADRKKPIIFSMSRIDIVKNITGLTEWYGKNKRLRDLVNLVIVGGCFDPSKSKDREEMEEIKKMHTLIEKYQLKDQMRWIAAQTDRNRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATIQGGPAEIIVDGVSGFHIDPYNGDETSNKIADFFAKCKKHSGHWNRMSEEGLRRIYECYTWNIYAKKVLNIGSTYGFWRQFKKEQKNAKLRYIDLFYNLQFKKMAQDMAIKSEESQQIPPTETAQPEQPKEETAPKQPESAPEALKEVLPEPRHVKLIPLFYYIPCNLKHPERLKTMHKTRWLLHVTHSN >CDP14919 pep chromosome:AUK_PRJEB4211_v1:4:26105487:26108564:-1 gene:GSCOC_T00042408001 transcript:CDP14919 gene_biotype:protein_coding transcript_biotype:protein_coding description:TORTIFOLIA1-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G62580) UniProtKB/Swiss-Prot;Acc:Q6NPR6] MSQSMAAVKQNQAAAAAKRDLKHRVLTYLHKLSDRDTYASATSELENIAKTLSPDNLPPFISSITATDSTDKSTVRKQCLHLISLLALHHSDALSPHLSKLLSSIIRRLRDSDSSIRPACVSAATSLASHLTRPSFSSLSKPFLEALFTEQDLNAQIGAAMCLSAVLESIPQPPDAVLLRKLLVRLQKLVKREGFRAKAAVLGFMGSVIESGGAPAGMMLSNLLACLVQFLSSEDWAARKAVAETLLKLALAEREALPEFKAASLKTFEANRFDKVKVVRETMNQLVEAWKEVPDETSADLEYHSSTMECQNASHGQYTPASKTPCTVTSGAPQVRKNLLLCNGSSFTTARKRIPVNENEKKTGPAMFRKLDRKKPYELIVECGATRNAPGKAMSDDDPTNRDESSGEKVGERNRLAKPDVKRTLFNKSASRVVPCHEEISEGTVVVSNETGITLRNQKDYEDLSLIRKQLVQIEKQQSNLLDLLQRFMGSSQSGMRSLETRVRGLELALDEISLDLAVSTGRMSNAASAGGMCCKLPGAEYLSSKLRKRAESRPAASMLSIANKSEDSGVFKLDNRRSRYEGGHGIIMNPLAEIPIDSRSIPGVSSNRIPENAHLVV >CDP15037 pep chromosome:AUK_PRJEB4211_v1:4:9590568:9593145:-1 gene:GSCOC_T00042576001 transcript:CDP15037 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIANGVPRTQRQKGFQSEGPNWVLIAGGALLSTLSIRLGYKLKQVLDMKPPDNTSNSLKGSGKFTERKKSGSCSLHPNAYSFHQDGNACCNCLSGSVNVMEIKQQRNGQVLSEPEMALPLVKVSSSEFSKENGVIWASSPDRLELPQKPFHHSNSSDSPCVSEAGSDIFSNREVIQKLRQQLKRRDDMIIEMQDQIVELQNSLSTQLTHSTQLQALLDAANRDLFDSEREIQRLRKVIADHCVGQDNCGDKLSSAPVWPAELRNGHLNEYSEVEGHLDSLEKDRNGGKIEMLRREVNELREVIDGKDYLLQNYKEQKSELSMKIKELQQRLDSQLPNIL >CDO98453 pep chromosome:AUK_PRJEB4211_v1:4:5691802:5695351:-1 gene:GSCOC_T00022547001 transcript:CDO98453 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEREQERSGLEIAPLDFISRLPDSVIHHILSYLRARDVTSTAILSKTWNRTWSTYPYLVFHFFYDNSVTERQQFLCDVHIKKRKHDFLILAGNSICRRLQLDFCIQRFQLYIDFPDMELLTPNMDQWIGIAVDRTAPELAVCIDSLSGSDRYFYSVPQSVLLASSLKVLSLCGCRFESGLDIKLPHLQKLSLSHSCFTDKFLFHQILSGCPVIEYVKVSFCKWMDTLLSVSSLSHLKYFEFLYCDGIDNVQMDVSDLHTFSFAPSLGNWPRSINLATCKALKELKLSGAGDTANFWHQALTFQIQALEVLEFRSCHGLGSIRISSQRLKRLAFRDCRHFSGAEIDTPNLECFECCNCENPFIPIKASDDIKIHLRFSLSGKTVDWVVKLNQFLSKLRFFQDLKFIVYHRSKNMIIHEKLSEIRFSSLCHLMRPKCICISSKSYKDLVDELFPSVCPKSLFAMYCSTKVIEILSQKMKDLRKDSTLLHKLVGFEVILSKDAKHPSDPATEYFIQAHSTACFEIATVILNWKYNVYKHSVTL >CDP15080 pep chromosome:AUK_PRJEB4211_v1:4:10142564:10148481:-1 gene:GSCOC_T00042643001 transcript:CDP15080 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHSVDVPISRALVALRRVRSLRDPSTNSLSKFSPLVENLNWETNSNNAITLGFENKTKEFVNVETGMFDIENRRLDDERDRHGNGQQLYYSRKSNAELVSHEDSCQDGNKGSDPFRNMHVEGSTIGQPSLEMLCANKSLSERYCSNHRDKGLELACVASSTDCLEGVGSCNEPNEGSIHDEMLYRNRYSKKYQNRKQSRSCRSAAGDVLSRVGSPSLSMSDALLGGSSCGISLNGDEDADALDSRQCGCGIGHCWSRPPRLRESNHHLDAEDQPFLPAGAGEAHTSEWRRGCNHMNNGVTLYSESPRSLSQKFRPKSFSDLVGQNLVARSLLSSISNGRISSFYLFHGPRGTGKTSAAKIFAAALNCLSPDTAKPCGHCRECFLFFSGKGSNVKEVDSLKVNKTQRIRAIIKNAENSVSSSPFKVLIIDECHLLREDTWATFLNNLEDISCRVVFIMVTPDLHKLPRSAVSRSQRYHFPKIKEADVAGRLGKICLEEGFDFDQDALDYIATKSNGSLRDAEMMLEQLSLIGKKITMTLVYELMGVVSDDELFDLLHLALSSNTPETVKRARELMRSRIDPLHLVSQLANIIMDGLAGKCQEEASEIPRRLFGRSTSEADMQQLSHALKILSETEKQLRMSKNQTTWLTVALLQLSSVGSSLDSNESRLCIKTMQPRDGDFCSTSSTTESLKHLVTCARDSSESCKMGMQGREQTLESVWKTAAGICESSSLKSFLQKRGKLLSINLRQGLAVAELGFYHSKYVSKAEKKWKVIAGALQNALGYNVEIRINLILDSAGKEHAKVKKGYFSFSSCSRRLHRRSQSTTECESDPSEKLDSISTKPLTVDKYVETGSSESGSHNSHACCIGKELVRTIRNTDGNALSIALMTPKHQLGADKYRNCGCQDLFAPEQGKQPGCFPRTLRFQKANASNTSETIFWRTCLENNPASAVPHPVTQTHFCPSDPVVSCCRSVNLNNSCRNHVRLGS >CDP19375 pep chromosome:AUK_PRJEB4211_v1:4:24572363:24578539:1 gene:GSCOC_T00002597001 transcript:CDP19375 gene_biotype:protein_coding transcript_biotype:protein_coding METESWATFSETSDSEGCVDDLNDDENSYAYGDIPKLQFRKDVSKARWIYDLEMAEVVERKGKMWTTTGIVRNGKIYCCIEETLFLAEIGALHLLDENDTPLPLKDIYAKMQEKKHGCSWESFEAYKHLKALGYVIGRHGIPWTLKTAKVDSSAQGSTKMNNIVDGESRGSFIITEMFRCLDINEVQPVFDVYPPNSNFKKSSPGTPCFVLCFTSGHPPSKQEIEELGRCCGGCPLKFCNVDHGRVSFFSFNRVELPVLP >CDP14856 pep chromosome:AUK_PRJEB4211_v1:4:25146987:25150367:-1 gene:GSCOC_T00042325001 transcript:CDP14856 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAMKERFGKFQDVLEPGCHCMPWFLGSQVAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRAIVEKANDAFYRLSNTRTQIQAYVFDVIRASVPKLNLDDAFEQKNEIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGEAEAKYLSGMGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIRDGLLQASAEHL >CDO97729 pep chromosome:AUK_PRJEB4211_v1:4:90890:93305:1 gene:GSCOC_T00021609001 transcript:CDO97729 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVFAKSIWSYVCRMSDALRIYSPQLNSSLSATMLTQKFPPGMEGVDDTSSAASTICCQLASDCYTSNISRKPANKLIKNGLILLGGAVCLSGGHSDLGAKIAMAYILTKLTKHGALSRLRKAGKH >CDO98387 pep chromosome:AUK_PRJEB4211_v1:4:5070562:5072070:1 gene:GSCOC_T00022463001 transcript:CDO98387 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEAESDAMVGEHSVSEDPSSQSAMQFGEYKDSLVATISQHLDLKHHCTSSAAYRIADLGCFVGPHTLDAMRTITEAVKDKYKAGGGQSSGTPEFFVYFNDRVTNDFNALFAKFPEDRQYFAAGVPGSFHGRLFPKASLDFVYCSKAVHWLSKVPEEELTDPNSPAYNPDRISYINAPTAVCDAYLGQFTKEMESLLSARAQELVHGGLMVLVIPGRANGTQYPSWSQVFMALEPILLDLAKEGMVSKNKVDLFNVPMYFPSPEELKNIIQKAEGFEIVELSTFTRISFPVFPTEVLRGAYGGLLTKHFGTEVAEQAFDRYEKEIPTLRLRNPAEGNGLFIYVTIKFNKS >CDP12412 pep chromosome:AUK_PRJEB4211_v1:4:20091599:20093719:-1 gene:GSCOC_T00035937001 transcript:CDP12412 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMESNLQQSRFLAVPLKTKDEYPDGFMALAPENSRVDQEEDMISSEPNNADDQDMVSDCESSTTNEEGLGMFGDCFIKVDEDDKQHEFIKQRFVSSLTERGLTHPQIEAIHKNACSSWTARARFMSFSIFSRALQKKTEGHPNVKYAWYGASKDEIRNIFLHGFGNTLNDGIYGRGIYLYPSDSLLESIQSSVVDEDGLRHLVLCRVVLGRMEIVHPNQSHPSSEEFDSGVDNLLSPRKYIVWSTHMNNQIFPEYVVSFRLASNLKGCQRISPPLKMPSSPWMPFPTLITALAKFLPPNSIKAIQKHHSDHREKKITRQELIQRVRRIAGDDLLVAVIKSFRGKV >CDO97995 pep chromosome:AUK_PRJEB4211_v1:4:2158558:2159313:-1 gene:GSCOC_T00021957001 transcript:CDO97995 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSEPPSSCSSSLSSSSSSSSSSGSTQSNPSAELVKVSKEEEEEDRPPLKRQRDNSGNKHPVYRGVRMRSWGKWVSEIREPRKKSRIWLGTYSTAEMAARAHDVAALSIKGNSAILNFPQLVESLPRPVSLAPRDVQAAAAKAAAMAELSSLCSSSSSSLSSSVSDSISSPSTTTMTTSSEELDEIIELPSLEESFDSSELTLSDSVVDGWLFPPWWVPDAEFYGYLLGQAAVGDCELLKPTSFGDIEMG >CDP12391 pep chromosome:AUK_PRJEB4211_v1:4:19610309:19612060:1 gene:GSCOC_T00035904001 transcript:CDP12391 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRAELKALLYGVKLALAQGYFTLHLESNALVLVQIVQGRARCPWSLQRDLQELLQARSFFKEVSHCFRDANKPDRLSNVGVDSGVLQCMSCFVICLDRSALCLLIRLDKPIGTFRFAWPCMRSLAFAVNPGSLPVVKMLAFFFLVSFLSRNIACTINDYFDKDFDAQVERTKGRPLASGTITGFQALCFLGIQLQLCYGVFLLVNELRFCSSTKFFIS >CDO98055 pep chromosome:AUK_PRJEB4211_v1:4:2490288:2491530:-1 gene:GSCOC_T00022029001 transcript:CDO98055 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVYVSRAQLPLSSLWFLFALLGKTKRGELSIFPKRFVVLSHCLHMMPRQKLASGSDNTKKTDLWVPGSGRNLESYILKDQETRYRQRYLDLMLNLEIRQIFKIRAKIISYIRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMKLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTSFHYFHSSF >CDP12684 pep chromosome:AUK_PRJEB4211_v1:4:11099015:11100727:-1 gene:GSCOC_T00037273001 transcript:CDP12684 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRNFLSFLIKCIVFLLFFLLLSTELCNAARELSSRGNRKGKWQLLLKNAGVVAMHMALTHYDTVVILDQIGSQQSGYRLKRRLNGTKCDHRNRDSEDWSCYAHSVEYDIMRNKIRPLHINSDTWCSSGSILSDGTIIQTGGYGGGSRRIRYFKPCDHNGRCDWKQNKHSLSDMRWYASNLLLPDKDRVIVVGGRRIFTYEFVPKLSSREKAYDLPFLHKTYERRREGNNLYPFLHLSSDGNLFIFANRDSILFNYKRRKVVKTFPRIPKDGGRSYPSTGSSVILPLDHENGFQKVEVMICGGAAPGAYGAADEGKFLKGLSSCGRMVITGNRHKWKMENMPGPRVMSDMLILPTGHILIINGAKRGCAGWENAASPALEPYLYKPQKRQGRRFTVLKAAKIPRMYHSSAILLPDGRVLVAGSNPNRRYEFKNVKYPTELRLQAFVPDHMDQKFDHRRAHNLSISTSKGEENIGYGREFGVHFWLRGKISSKDVIFSVYAVPFTTHSLSMNQRMLRLKCKKMLKDENGLVNAIVEAPPSANVAPAGYYLLTVVNGGIPSKSQWIRFTHK >CDO97968 pep chromosome:AUK_PRJEB4211_v1:4:1880626:1887675:1 gene:GSCOC_T00021921001 transcript:CDO97968 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDGSNGSMSPSPPQRQTRESAPKQYGVTKPLSQSGPSEADKLRTKELEKFLDDSGLYESAVEAVKREEVLTRLKQIVKDWVKEITRLRGYTDQMVEDANALILTFGSYRLGVHGPGADIDTLCVGPSYVMREDFFYVLHDILAEMEEVGELQPVPDAHVPVMKFKFDGISIDLLYASIDLLVVPDDLDISDVSVLHNVDEATGRSLNGCRVADHILKLVPNVKNFRTALRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCEIEDNELGFSVWDPRKNHWDRGHHMPIITPAYPCMNSSYNVSASTLRVMMEQFQFGNKICEEIELNKAQWSALFEPYLFFESYKNYLQVDIIAADVDDLRAWKGWVESRLRQLTLMIERDTYGKLQCHPYPHDYVDSSKQRAHCAFFMGLQRKPGEVIQEGQQFDIRGTVDEFRHQITMYNFWKPGMEIYVYHVRRKQIPSYVFPEGYKRSRPSRLTNQQLGDKSSEENGEIYRSGSTERCLNRKRELEGSENNQVSPEKRQSIRPQRRDSMSPDLLGVKNGIALNECSSTVLVRGMEGAEANGLCLSGSTSELLSDNLTNGGTGIQRNERQQVEQQKEVSEELNKLNGDTLLVENGNHDNNHGSRDGFKLQESSWVDSHKSDTKLLVNNHGENSAQLYGDELQELEPNAAHHEVVLKSRDAVSSESVQKTVMRHVHQFLLLDSFMFICFLGHFCLYAAVCRLFYGHFVAG >CDO98097 pep chromosome:AUK_PRJEB4211_v1:4:2819016:2820031:1 gene:GSCOC_T00022084001 transcript:CDO98097 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNAFPNLKLNWDLKLRICSYITPPSFNALLNSHNLIKEINVSSSILLCGSFGKVFRKVTAWSSWFWRQSWVRCELGSAVGWTAELRSELRVLLRGN >CDO97943 pep chromosome:AUK_PRJEB4211_v1:4:1738817:1740660:1 gene:GSCOC_T00021892001 transcript:CDO97943 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRAALAARASKAIAELAGISSNPFGPFLDIFFEFLGIQLFQRFHPRINGNLYEGEAAIIASMLCSILTVLDHAFFFDLTSSNSFFRGSSCCSNRILFHSCYWEWNGSSTSLFSKHAFTVCLYRLLPAASKSKAVCYCWVMPDLMRVATNKLARLFSK >CDP16943 pep chromosome:AUK_PRJEB4211_v1:4:13612940:13613533:-1 gene:GSCOC_T00005307001 transcript:CDP16943 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKTTQLIAILWWVVAMTALPILAHGSIDQSPEAVKKWFKELRHAKEKLTELHFYVHDRVTAESPTSVLVAQANATSKSPTMFGATYVFDDPMTLGPEPSSLIIGHAQGISSSASKEDDASQIVIMNLVFNDGKFNGSTLSVLGDYPFFQKYKEMPIAGGSGAFRLARGLVTAIIYAYNDTTQNEIINFHVLVLHY >CDP12326 pep chromosome:AUK_PRJEB4211_v1:4:27333222:27334507:1 gene:GSCOC_T00035797001 transcript:CDP12326 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAIPTIDLSPFLNNEDDDNCKKKVKDIISQACSEYGFFQVVNHGVPLNLLSRAMELSKTYFAFPQEEKLKCSPKCEAPLPAGYNKAPEFSPEKKEYMIMFPPNSGFNTLPSNPPELKDVMEEMFSYFSKAGELIESLINVCLGLPQNFLKEYNHDRSWDFMLALHYFAATETENNGTSEHEDGNCLTLVVQDESGGLEVYKNGEWIPVIPEPGKIVVNIGDSIQVLTNNKFKSATHRVLRPKGRSRHSFAFFYTLQGDKWVEPLPQFTEEIGETAKFRGFFYKDYQALRTRSKLHPQSRPEDVIRIAHYAIPTS >CDP15041 pep chromosome:AUK_PRJEB4211_v1:4:9611507:9614287:-1 gene:GSCOC_T00042581001 transcript:CDP15041 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSFTPLSTTFPSKIIPPRLLKSITTNTLSQAPQTVRQNDTTTSTNTPQHKLPPKSAYIHLPFCRKRCHYCDFPIIALGSSSAPEEDPRILNYIQTLCREIKATSLNSNPNPSLETVFFGGGTPSLVPPKLVSLVLETLSSKFGVSLEAEMSMEMDPGTFDRGKLKELMELRVNRVSLGVQAFQDGLLKACGRAHGVKEIYEAIDIVKACGVENWSLDLISSLPHQTPEMWEESLKLTIQAHPTHVSVYDLQVEKDTKFGVLYTPGEFPLPSEDLSAEFYRMASRMLTDAGYNHYEISSYCRSGYMCKHNYTYWKNEPFYGFGLGSASYVNGIRFSRPRKLKEFMHYVELLEDGGVNCEDDSTDAKDLAMDVIMLSLRTARGLDLKSFAKAFGCELVLSLCKVYQPYVESGHIVFLDDKLKTITVDEYSSLLSDDRKMSEVPAFLRLSDPDGFLLSNELISHAFGVVGS >CDO98476 pep chromosome:AUK_PRJEB4211_v1:4:6000704:6001652:1 gene:GSCOC_T00022586001 transcript:CDO98476 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTPPCTPSPTFATTRSVSLIRSINSFWAKCTRQASKATKKFATNSPKSPLAKPKQLLVTLSNKAINFKHKKKVDEEFEGEIDFGDGGLWQRNILMGDKCQPLDFSGVIYYDSNGKKLSELPMKSPRASPLPSYLQKQ >CDO98159 pep chromosome:AUK_PRJEB4211_v1:4:3293198:3295012:-1 gene:GSCOC_T00022164001 transcript:CDO98159 gene_biotype:protein_coding transcript_biotype:protein_coding MIPASSPPFDLGFVVTLPLNRLLASRPGLNNFLAGLNTVFVGMQTAYILWTWLVEGRPRATISALFMFTCRGVLGYVTQLPVPEDFLGSGVDFPVGNVSFFLFYSGHVAASVIASVDMKRMQRWEMAWAFDALNVLQVVRLLSTRGHYTIDLVVGVGAGILFDSLAGKYLEKRTVGITAGGGYSALYAM >CDP12330 pep chromosome:AUK_PRJEB4211_v1:4:27421488:27422217:-1 gene:GSCOC_T00035805001 transcript:CDP12330 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIRNQRDYTDQIIKGIILVILMVRTDTSSMTIEWALSLLLNHPEVLEKTRAKLDAQVGIDRLVDEHDLSNLSYFHNIISETLRLYPAAPMLVPYESSDDCKIGGYNIPRGTILLVNAWAPSKLIPFGMGRRSYPGSGLAQRVVGLALGSLIQSFDWKRIGEEEIYLAEGTGMSMSKAKPLEKMCFRN >CDP16900 pep chromosome:AUK_PRJEB4211_v1:4:12977059:12979827:-1 gene:GSCOC_T00005244001 transcript:CDP16900 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVSLVIDKLSNLLIQQTIQQIVFLKNVPGQIERLKTLLIDIQCFLKFADEQQDDNPMIGNWVSKLRDAAYESEDVIETFIVKMQALKKKGFLKNLSCFPKRLLCLCKVGKNIESIQKKMLDIEKSRLTFGIDQILGEGTSTRGEELRKLLRSSPFTEDKDLVGLEEKTNSLVAQLLVQDSSRRVISIVGMAGVGKTTLARKVYNHVDVIGYFNCRAWVYVSQKCSAQEVLVGIIKQVEKQTKESLELLEGMQEADLERTVQEKLQDKCYLVVLDDIWEEEAWDSLAAAFPNVNNGSRLVMTSRYEKVPQHADDLSKPYKLEILGEEDGWQLFLKKAHAHSLNSESKLSPELVRIGREIVEKCGGLPLAISVIGRHLRGKRTLESEWKSVLDTLTSHWSRGRKGVSAVLASSYNDLPPDLKTCFLSFAIFPEDFVIPTRKLFHMWIAEGLIHQKGEEVLEDVAADRLDELIYRNLVQEVAVTANGMVKSCRVHDLLRDLAVEKAKEVMFLKIFEESSSYPSSKCRHLVVNSCCERLNYPGEFEHSTPPLRSLIFFNLAEVEHEFNLSFVVFKLLRVLDLQNMNISCLPDEIGELSLLTYLCLRYTRIERLPLSLGCLQNLQTLDIYTFTSAVEFPNVLWMLKNLRHLYVRETTKRVPLKFDTLKNLQTLCDVYLDTLIGNKITLLTSMRKLGVWIERSSRIDELFSSIAKLENLVWLVLKRYGEEGFPSLIGLSHLNYVKRLKISGRLTELPSPHNFPPRLSHLSLRATRLAEDPMPTLEKLEHLSILKLKNAYAGKELVISENQFPNLTVLQLEHLPNLIEIKIGRGAIPQLRCLRISNCYFVEMLPEELRFMEALEKVEVEDMPKRFITRLHGMDSYKVSHVPNIIVTGTLDPTMLGGKLVARRFADAFVTRGKRTS >CDP15062 pep chromosome:AUK_PRJEB4211_v1:4:9832284:9834164:-1 gene:GSCOC_T00042610001 transcript:CDP15062 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTPKWVSIGPIHHNRESLWLTKEKKRQFREPFPFLDERDEELRRKMGNWEARVRRCYSEAFQGISSGEFVDMLLTDGCFIVALFCRNYKWSKEGVDATDNPIFSTRWMLPEIGRDLLMIENQLPLFVLKEIFNATKLKPEGTSFEEVALEFFKSFHIGKGAMVIKKHHTEGHHKHLLDLFHSLYLPPKSSDSGAAQKRRKNKKPLMGRTSVRGKNWVPNATVLKSSGVRFYAKEGNSLGIQFRRGTLSIPGISIIESSIIILKNLLAYEQSSRGIEPLFTSLVLFFSSMASVPDDIKLLREASIILHEPGDDQMAIEVLKLLSKQLECDVTDFSMKQQVEDIRAFCGSKKAKFWKPIGRICPARFILLCLSIVLAVTVGRYD >CDP16957 pep chromosome:AUK_PRJEB4211_v1:4:13815489:13821199:-1 gene:GSCOC_T00005325001 transcript:CDP16957 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINEFQQEEGEENSTSSKFEWDENSQLYYHSSTGFYFNPQEGWYYSSRDGLYYKFEDGNYVLLNSDLNPVEQCKASNNYERTDSDKFDVDNQCMPVDGHKDGEGLAERLGTVSDECTTDDFQCVNNHLEGPPPPSEWLEDTLIDLYLSGYPNQAASTASDITMDSMTNDIDALDMTASGQNDAYELEEGEWIPDDLGSIDLSRNITDEGASWEEDNWQAQYGQVTEPYEELALDIQMVDLWDWKMIRETRTSKGGKHSIAKLVGRLVKSSSKLHPSVPSGGIRLKTAPIREAHRDLIRVTSGQIYRLKRPSSQYLASVLTYDSSNPTRDWGFPPLSLNSEIQQLPRIVGPGAFEEASSPSEHPHVLKKAKQQYAYRDRAAERRTLHGGFGVGPGQKKAPNDADSAPSSPTSACPEEAAAESLNISFGAGSYARRLLENMGWKEGETLGKSTKGLVEPLQAIGNKGNAGLGWDDSRKKFLYSGSG >CDO98364 pep chromosome:AUK_PRJEB4211_v1:4:4883087:4884164:1 gene:GSCOC_T00022431001 transcript:CDO98364 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNGKFYYNKKLPLDPFGQSFWPKIASFSGLYFFIIIFYLYISNCYNNFSKKKIAIQTRH >CDO98445 pep chromosome:AUK_PRJEB4211_v1:4:5623467:5628951:-1 gene:GSCOC_T00022536001 transcript:CDO98445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MEETEESPRLKGIVIITLPPADNPSLGKTITAFTLTDESQFQPPSNHHQNQIEPPSQPSQSQEDSQSTHAQIPFSLKRFLFYIPIPLFGLVFMSLIALSYWVSFSQETLYELREIDDDQKSNTIIFPLFPKGGIGGSLQGEFEIKLGRFVGSNSKIGKIRLSDGLSQRKSLKSMIAESKIDSTAVLPLKGNIYPDGLYYTYVLVGTPPRPYFLDMDTGSDLTWIQCDAPCTSCAKGAHPFYKPAANTIIRSDDSYCAEVQINQRTNCATCHQCDYEIEYADHSSSIGVLARDKFHMRNSNGSIVSSNFVFGCAYDQQGLLLNSLIKTDGIIGLSRGKISLPSQLANQGNVRNVVAHCLAAEAGGGGYMFLGNDFVPYQQIAWVPMLNIPFITSYHTALTKITYGGKGLSSGGINEEVVLFDSGSSYTYFPKRAYNELVAELEGTFGESLVQDASDNTLPVCWHIKFPVSSVADIRHIVKPLGLHFRSKWWIKSTKLQIPPEGYLVINNKGNICLGILDGSEVQHGSSLILGDISLRGQLFVYDNVNQKIGWIKSDCSRPKRFERLPFS >CDP18093 pep chromosome:AUK_PRJEB4211_v1:4:14483607:14485378:1 gene:GSCOC_T00008270001 transcript:CDP18093 gene_biotype:protein_coding transcript_biotype:protein_coding MYSWYCLKDAVLQSVHRSMYLCMNICMFVCMYEVEEKMRGQSPRMFEDFVRPFQINLAEDGPWPHNDHVRYQPLDIYPAPLHRC >CDO97947 pep chromosome:AUK_PRJEB4211_v1:4:1755752:1756865:-1 gene:GSCOC_T00021896001 transcript:CDO97947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin-related lectin 19 [Source:Projected from Arabidopsis thaliana (AT1G73040) UniProtKB/Swiss-Prot;Acc:Q9SSM3] MVSDAIPKKKKVVTHPPLPLSPSLYQFIFRFCSIFCSISILSQPIFIGLLYSSGTHDRSLASSINPSHFPGSTNMEGESNQSGEKKKRIAVGPWGGNGGSAWDDGSYNGVREITLVHARCIDSMRVVYDKNGKPFVGEKHGGVGGSKTSEIKLQFPDEFLTSVSGFVAPVVHGGSPVIRALTFKSNKRTFGPYGVEEGAPFSLPIEGGQIVGFKGRSGWYLDSLGCHLSRVQTTRVLQKVQQRLKRLTSSVSLAPKESEDAGFKATKATAQGGSYY >CDO98461 pep chromosome:AUK_PRJEB4211_v1:4:5798229:5803085:1 gene:GSCOC_T00022561001 transcript:CDO98461 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLRAAPSSSFLLTCIKGPANSKSSSYSRRWYNPVRRKSSLLIPDTDRQWVTADNNRTHLLSEERFTVVSYNILGDRNAFKHWDLYRNVPSIYLKWDYRKRVICEELIGLNPDIICLQEVDKYFDVLNLMEKAGYLGSYKRRTGDYADGCAMFWKADKFQLIEGESIEFKQYGLRDNVAQVSVFEMRKAKSRRILVGNIHVLYNPRRGDVKLGQIRFLLSRASILSKKWGNTPVVLAGDYNSTPQSAIYTFFSSSELDIMLHDRGDLSGQKNCRPTQALGIRREQGSLFLWMDRFLHSSWTNEEIKSATGGTDSRVVRNPLKLRSSYAMVKGSAETRSSNGEPLATSYHSKFLGTVDYLWFSDGIVPTKVMDTLPINVLKNIGGLPCQKFGSDHLPLASEFAFIRGSKDENETSNFLIS >CDO97951 pep chromosome:AUK_PRJEB4211_v1:4:1775515:1780401:-1 gene:GSCOC_T00021901001 transcript:CDO97951 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGKDEKKQMDPLFPRLHINDAEGIGPRAPPRNKMALCEQVNAPSQRFSSGSMSLRTLSSRTRTNSDASPLLNHVSLFCSSPKCSHMAERLKSNSSAGMSLNTKYSELQILSSGNFQSFSARKPLKMTAEYTLFRPCDFFNSITSFAKKDGNKDDIRIPYSDQREKTFNCSSVGFKMQKEKVNSSSLGFSGKPESTFERQEMEMRRNDAKSREHPRNQAEVSFKVSEVSDGNFEKLPDQFTEENILVDTAPSVVAVYGTCGSGRRAVEPINHAKTNDLVNDISTSHITRAQAHQECRNLPTGTDIDDNISKNPERHSRKRSFSSLESLSCSSPPTADNNRIPRRLESVNERPKGNHCASRVGNDARHIAISDNSVMDSKSGLHVSPDDVVGMIGPKFFWSARRTIAHQQRIFALQIFELHRLIKVQRLIAGSPEMFFEDSVIFTKPSIDRSSEKKFQLQSFPELPSLFLKPKVDALNPKPSCLDYVAEEAHGKLPPPAYETENRYDTPKSPLKPYSGVTPMTLAIEAKFGPWCFPAPPGNQWLIPVKSPSEGLVYKPYAGPCPPTLGCIAPIYGGCSTMCLTRMDAEHVNCTAYGIPTSLGRSCCFQPHALPVMNTENSSSAAEQTSPFVTARSSRPDNHRVATYDINYIIPYRSLDAITSHRTGIMTDSTRIMQVSKSCSDFPGSTASNLDERVQADELSLFPMTPTVQRSKHPMQNNNNEQQIQVIKVVPHNPKSASESAARIFRSIQEEKKQQE >CDO97845 pep chromosome:AUK_PRJEB4211_v1:4:974383:980951:-1 gene:GSCOC_T00021765001 transcript:CDO97845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MDDLEKAILISFDESGSVDSGLKSQAVAFCQQIKESPSLCSICIERLCFSKLVQVQFWCLQCLHGALQVRYSSMSLEEKSFIRKSVFSMACYETIDDKNSVRVLEGPAFIKNKLAQVVVSLIYFEYPLIWASVFIDYLPNLRKGPVVIDMFCRVLNALDDELISMDYPRSSDEVAVAGRIKDAMRQQCIQQIVRVWYDILSMYKASDPDLCTSALDCIRRYVTWIDIGLIANDVFLQLLFELMLSNGLSDQVRGASASCVIAVVSKRMDPKSKLNLLQSLHMSRVFGLVATEDNDSDLVSRVASLLTGYATEVLECSKRLNSEDGKGISVEILNEVMPSVFYVMQNCEVDATFSILQFLSGYVGTMKNLSLLTNAQLLHVGQMLEVIRVHMCFDPVYRNNLDIWDKIGREEEDRMMEFRKDLLVLLRSIGRVAPDVTQVFIRNSIADAVASPDERNVEEVEAALSLFLALGESLTDEMIRTGSGLLRELVLMLLSTRFPCHSNRLVALVYLETITRYLKFVQENTQYIPLVLGAFLDERGIHHPNINVGRRASYLFMRVVKLLKAKLLPYIETILQSLQDKVAQFTSMGFASTELSSCEDGSHIFEAIGLLIGMEEVPLGKQSDYLSALLTPLCHQVEEALLNAKVRNEEESPTKIAIIQQIIMAINALSKGFSERLVTASRPGIGAMFKQTLDVLLQILVVFPKIEPLRSKVTSFIHRMVDTLGSSVFPYLPKALEQLLAESEPKELLGFMLLLNQLICKFNTAVGDIMDEVYPAIAGRVFNILPREPLSLGPGGNNEEIRELQELQRTFYTLLHVIATHDLSSVFLSPKSRVYLDPMMQLLLSTSCGHKDILVRKACVQIFIRLIKDWSARPYGEEKVPGFKKFVIEAFAINCCLYSVLDKSFEFRDANTLTLFGEIVLAQKVMYEKFGNDFLVHFVSKGFPAAHCPQDLAQQYCQKLQGNDIKALKSFYQTVIENLRVQQNGSLVFR >CDP16953 pep chromosome:AUK_PRJEB4211_v1:4:13693838:13698305:1 gene:GSCOC_T00005320001 transcript:CDP16953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein SPC25 homolog [Source:Projected from Arabidopsis thaliana (AT3G48210) UniProtKB/Swiss-Prot;Acc:Q93VK9] MAEVRLVCDREIPIQQQRIDSSHLSFTKFLHSAKSKEQETLELQEKLRKLKGELREAEDGLVKALAVKTRKEAKRMATMDSISATKARLEELKGIVEDQRARKDEYAAIISRQTDALTELEETCTRNCGHIEEIEEAISWYNKVLGFRIECGHGVKFIFTNIDVKNPSQHYSFTMRHENDVYSLLDCDPEVNDTKELINELNQSNGLFKFVRTMREKFQEAATCGRTPQISSYQQDQSTISMSGPVSLVSTDSRSESPAKRKELQPLDFNRHSRRVNASKGGRQAILSPGSASSLRRSPRFKVFHMNMNVLLDLVFFL >CDP16952 pep chromosome:AUK_PRJEB4211_v1:4:13678234:13683643:-1 gene:GSCOC_T00005319001 transcript:CDP16952 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNIWLLFFLFISVFHLAKQAFAVNQQGEALLSWKRSLNGSIQALSNWDSTDGTPCGWFGITCNFNKEVTEVSLQNMDLFGNVPNNFSSLLSLNKLVLFGTNLSGSIPKEIGSLQELRLLVLSENALTGGLPGEIFHLPNLEELHLNSNRLEGSIPDEIGNLTSLTSLTLFDNQLSGTIPRSIGNLKKLEVIRAGGNKNLQGSIPEEIGNCTNLVMLGLAETSISGFLPSSLGLLKNLQTIAIYTSLLSGQIPPELGDCTALQSIYLYENSLTGSIPTRLGNLPNLQNLLLWQNNLVGTIPPELGNCKQLVVIDASLNSLTGSIPMTFGNLSLLQELQLSVNQISGQIPSELGNCTALTLIELDNNQITGTIPSEFGNLSNLTLLFLWANRLNGDIPSSLSSCHNLEAIDLSQNSLTGTIPKGIFELQNLNKLLLLSNNLSSSIPPEIGKCWSLIRFRASDNQLTGSIPPDIGKLKNLNFLDLGSNRLTGVIPPEISGCQNLTFLDLHSNSIGGNLPENLTRLVSLQFVDFSNNLMEGFLSPSLGSLNSLTKLVLGKNKFSGQIPSQLGSCLKLQLLDLSSNGFSGEIPASLGKIPALEIALNLSWNILSGNIPSEFAALGKLGVLDISHNQLTGDLHFLADLQNLVVLNISYNNFSGRVPDTPFFSKLPLSVLAGNQELCFSGNQCSANKGGAARRSKGARVAMVVLLCTACVLLLAALYIILGNKKRGLLGHECHVDSDGDDVEMGPPWEVTVYQKLDLSMNDVLKSLVPSNIIGRGHSGIVYQVILPSGLSMAVKRFRASDKSSASAFSSEIATLARIRHRNIVRLLGWAANRKTKLLFYDYLPNGTLGTLLHEGRGSGAGGLLIEWEALFKIGLGVAEGLAYLHHDCRPPILHRDVKAHNILLGDRYEPCLADFGLARLVEDENRSFSTHPQFAGSYGYFAPEYGCMLKITEKSDVFSYGVVLLEIITGKKPVDPSFPAEHQHLIQWVRDHLKSKKDPVDIIDQKLQGHPDTQIQEMLQALGIALLCTSNRAEDRPTMKDVVALLKEIRQDHDPSVAAAAGEIQKPANKSGRSPGEVSLCNSSTTSSSVTPAQLLQLQGSSNCSFGYSSSSTSYNSRNQ >CDO97904 pep chromosome:AUK_PRJEB4211_v1:4:1407049:1410416:-1 gene:GSCOC_T00021847001 transcript:CDO97904 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYLWLMCLCWMVVLGHGILEAEASHGVYRNLASLQPASPSQTYRTSYHFQPPKNWMNDPNGPTVYKGLYHLFYQYNPLGPDWGNIVWAHSTSKDLINWNPHKAAIFPSQKGDVNGCWSGSTTMLRGENPAILYTGIDPKSQQVQNLAVPRNLSDPYLIEWVKSPYNPLMTPTPENKIDSSSFRDPTTAWLGPDGRWRVIVGNKLNRRGKALLYRSKDFVRWTKAQHPLYSIQGTGMWECPDFYPVSSSPIGLDTSTIGEGVKHVLKVSLDDTKHDQYAIGTYVHSKDVFVPNAGAAEKFSGLRYDYGKFYASKTFYDSLKKRRILWGWINESLSREDYIAQGWSGVQAIPRLIWLDKSGKQLVQWPISEIETLRQKKVGYPLTLLKSGSTLEVQGIKAAQADVDVSFQVASQLEQADALDPSWTDPQLLCSQKGASVRGGAGPFGLKVLASKDLQEYTAVFFRIFKARNKYVVLMCSDQSRSSLNEKPDKTTYGAFLDVDPLHEELSLRSLIDHSIVESFGGKGKACITSRVYPTKALGNEARLYVFNYGKANVAISSMNAWTMKNASINRKN >CDO98216 pep chromosome:AUK_PRJEB4211_v1:4:3706077:3706427:1 gene:GSCOC_T00022236001 transcript:CDO98216 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGDDWLALDKLYHVLFGFCATIIFTLLASRTRYAFIRNRSTWVGSVLALMAGASKEVADEMGYFKSAGASAKDAVADLFGTLIAVFALWLTESFNIPAGLGSDYSDQVKRVEMV >CDO98411 pep chromosome:AUK_PRJEB4211_v1:4:5246302:5251630:1 gene:GSCOC_T00022493001 transcript:CDO98411 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGESEEFGQLEIDASVRQVCANGICMQTTVVEAKLDEGNIQEAESALREGLSLNFEEARALLGRLEYQRGNVEGALRVFDGIDLQAAIQRMQPQAEKPPSKKGRSRSDSVHAGSQHAASLVLEAVYLKAKSLQKLGRVNEAAQECKSVLDAVEKIFCDGIPDVLVDSKLQETVSHAVELLPELWKQAGSNSEAMSAYRRALLSQWNLDNDCCARIQKAFAVFLLYSGVEFGPPSLAVQIDGSYVPRNNLEEAILLFMILMRKFYIGKIKWDPSIIEHLTFALSLCGQTSVLAKQLEEVMPGVIHRTDRWKTLALCHSGAGQNDTALNLLRKSLHKHEDPDDIFSLLLAAKICSEDTFLAAEGVEYAQRAIANSSGLNEHLKGVGFRVLGLCLGKQAKVSSSDFERSRLQSEALRSLASATALEKENTDLILELGVQYAEHRNLNAALRYARRYIDATGGSVLGGWRLLALVLSAQQRYSEAVVVIDAALDETAKWDQGPLLRMKAKLQISQSLHTDAIETYRYLLALVQAQRKSYGPLRVFPQVEDDKVNEYDVWHGLANLYSSLSHWKDAEICLGKARALVEFSADTNFYILSFVTVSGLMLERRGELHEALSAYTNALLLEPSYVPCKILLGSLLCKMGLKMLPLARTLLSDALRIEPTNRTAWYHLGLVHRDDGRMADAVDCFQAASMLEESDPVESFTSIL >CDP12715 pep chromosome:AUK_PRJEB4211_v1:4:11643165:11643560:-1 gene:GSCOC_T00037320001 transcript:CDP12715 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLGKTVSACWRPLSQYVHDPSIWYKDLEKHFCGEFSFAAAQANRVTEDHGQVETGKNVTFVGVYDGHGSHEAARFISDNLFYHLISEWQYLFQLFPFVFEDIL >CDO97894 pep chromosome:AUK_PRJEB4211_v1:4:1340374:1342217:1 gene:GSCOC_T00021833001 transcript:CDO97894 gene_biotype:protein_coding transcript_biotype:protein_coding MIPEATNLLHAMLVMKELDAALARLQKGDNISPTKCRPEEIVDTVEKLRWRILDVTSLMRSSVTFASLEQPETAVSRWSRAKIRVAKLVKGLCMDEKAQKLVLKYWLEAIDPCHRYGGNLHLYYVEWLKSQSPQPFFYWLDFGDGREVSLDQCPRISLQDQCIQYLGPKEREAYEVIIEGGKLVYVRSGCPVDTPEDTKWIFVLSTRRKLYVGEKKKGLFQHSSFFAGGATIAAGRLISCNGTLQAIWSYSGHYRPPEENFMEMIRFLEGHHVDLSHVKTFPLDDDVPPPPPPPPPRNAPPEERRDSETVRTPPRKNPSITNNPSDANNL >CDP14387 pep chromosome:AUK_PRJEB4211_v1:4:23107912:23111225:1 gene:GSCOC_T00040766001 transcript:CDP14387 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQAKVVPESVLKKQKRNEEWALAKKQELDAAKKKNLANRKLIYNRAKQYAKEYEEQQKELIKLKREARLKGGFYVNPEAKLLFIVRIRGINAMHPRTKKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVAYGYPNLKTVKELIYKRGYGKVNKQRIALTDNSIIEQTLGKHGIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >CDO98180 pep chromosome:AUK_PRJEB4211_v1:4:3465988:3470164:1 gene:GSCOC_T00022191001 transcript:CDO98180 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSTLTQYDIEEVQRHCNNLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASLAQKVEVIFKVYDSDCNGKVTFNDMIQVLQDLAGSFMSDQQREEVLGQVLHEAGYTKESVLLLDDFIKVFDHANLKMEVEVPVD >CDP12291 pep chromosome:AUK_PRJEB4211_v1:4:26777556:26778191:-1 gene:GSCOC_T00035750001 transcript:CDP12291 gene_biotype:protein_coding transcript_biotype:protein_coding METINSISTLEFISMVTKDENPLSIVIFTLTCKILIWMARLQHLCLCFRFLFQSYSQSLISTFEGCWSSFAGKRRNVDEAGVASFLKPCINEDVGNEGLIKGDIETVMENLGISWDSSANELRGRLGLGEISTLFEEIEPSLEEVKEAFDVFDVNKDGYVDAEDLDKTLCALGYTEFSELECQRMIIGFSNNEDKRIDLRQFVKVIELSFC >CDP15000 pep chromosome:AUK_PRJEB4211_v1:4:9106001:9107001:1 gene:GSCOC_T00042525001 transcript:CDP15000 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNQKELQLLPADSQTTPSSSNASAWPSSESSIKLRSTTDPFEGPSLDLQLSISFRTIRTGATAKDYTNNDNLMMKSDPSGRVEALKWQAAEEIRLAAMEKAYAERVRELSRREMELAQTEFARARNMWERARGEVEKAEKLKERATRQMDSTCMEITCQSCRQKFRPS >CDO98641 pep chromosome:AUK_PRJEB4211_v1:4:7689833:7696954:-1 gene:GSCOC_T00022805001 transcript:CDO98641 gene_biotype:protein_coding transcript_biotype:protein_coding MILNNDTFFFCFDITDVVTEFIEGLVETYPGLQYLDGFPEVKVVLRADISGSTHDKVAVISGGGSGHEPAQAGFVGEGMLTAAICGDVFASPPADSILAGIRAVTGPMGCLLIVTNYTGDRLNFGLAAEQAKSEGYKVEMVIVGDDCALPPPRGIAGRRGLAGTVLVHKVAGAAAASGLSLSAVAAEAKRASEFIGTMGVALSVCTLPGQVTSDRLGPGKMELGLGVHGEPGAAVADLQPVDVIVSHVLKQILSLETNYVPITRGSRVVLLINGLGGTPVMELMIAAGKVVPMLQLEHGLAVERVYTGSFMTSLDMAGFSITVMKANEEILNRLDAPTRAPCWPVGVVGNRPPAKIPVPLPLSLSTKSDETLSRPEQLSS >CDO97822 pep chromosome:AUK_PRJEB4211_v1:4:767907:775070:-1 gene:GSCOC_T00021735001 transcript:CDO97822 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Spt20 family (InterPro:IPR021950); Ha. [Source:Projected from Arabidopsis thaliana (AT1G72390) TAIR;Acc:AT1G72390] MGISFKVSKNGRRFRPKPLPLRPDSSSVPAPSDGADDVISVRTSKDANHIVGKTESASMSTPKPAVDFSERDNDTSGTSETEVSFTLSLFPDGYSIGNLPQGESGHQLSAEVPKYLHPYDRASESLFSAIESGQLPGDILDDIPCKFTNGMLVCEVRDYRKCLSEAGVTVPSASVSPIINRVCLRMSLENVVKDIQSISDSGWTYGDLMEVESRIVKALQPKLCLDPTPKFDRLCENRTSTPIKLNLSLSSMRRERLKRIPEVTVTSNKSIHGKKICIERVPEGSRFGDSGTALQQPIHDNLQIQNNGPNSMLALRSNSFGPNASVPSSPLVSQQSKYQMGVGSPRYVQDHRSGAVSNASGGSLPGQDMIINYADNMSSGAAIGRRDNQETQSNSNKRSRLTAIGAHGNQQQIVGSQMESFHGSDSHWKNTLLQQQSRIQYATSGMQKYPQQIFEGGLNQEAGAAPFSQGMRYGLKEEPVETERWDKPELGQTRNEMHMLESELNQTDSPQSRLQQRVPQQLVRSSFAQTPWNNLSQPLESNSRKEDPYHKRKVVQSPRVSAGGIPQSPLSSKSGEFSSGSVGPQVGAAVTSGYILSQKEKPGITSVSPIGCTTSLTSSANDSMQRQHQGQIAAKRRSNSLPKTPAMSGVGSPASVNNMSMPINASSPVGTPPLADPVMIDRFSKIDTVTARFQLNCKKSKVDEYPMRKTNVFPAQQLLALLSNDSSNENFKDESCKMPLSTSLAGGNMNVCKTRVLNFMLTERIVQGNGYSIVPKARTRLIMSEKPNDGTVAIHIGEIEDAQYLAAEDYLPTLPNTRTADLLAAQFCSLMIREGYLVEDLVQPKPIPTTSASSNQPSAPGVLPNNPAEMQQYPAGVSGPPSNDSSRPSNSGALSLNPSNNLQAPRMLAPANVQGVHISQGLLPGTSMPSRPQQPDPLPTLQQQQLQSQHQLMQQQQLQRSPLMLAANPMLNTMGQNSNMQLGNHMANKPSPLQLQMLQHQQQQLQPQQQQQQQQQQQQQMQRKMMMGLGTIGMGNMANNMVGLGGLGMAGVRGVGGAGISAPMPSIAGMGNLAQNPMNLSPASTISNTISQQLRSGALTPAQAALMQTKIRMAQNRY >CDO98420 pep chromosome:AUK_PRJEB4211_v1:4:5310467:5317611:1 gene:GSCOC_T00022502001 transcript:CDO98420 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKGNYRVDYEDDYDAYEDYEYYDNENDYDDGYNAKENGVVPETKEIQEAAKIGIWRCPICTYDNEDDMSACEICGVLRNPLVKGHNKSSSGPAPYKFDGPSPDDLVSSGMRSSTLGSKANFKTSSSPDVSRKEKELKIEVEREISIEGHDSSSASTSKKKDVSRKEKELKIEVEREISIEGHDSSSASTSKKKGPLLKIEGKPQIISGDMMKMSMSSKSGSAKNANRARALASAPFKPEKWMLPDQIEDKLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEFHKYEREAKQQGKGSFAYAWALDESAEERERGITMTVAVAFFDTKKYHIVLLDSPGHKDFVPNMISGATQADAAILVIDASVGAFEAGMDASGGQTREHTQLIRSFGADQIIVAVNKMDAVEYSRERFDIIKQKLGVFLRSCSFKESSILWIPMSAMENQNLVDVPSDTRLSWYQGLCLLDAIDSLQPPVRDYSKPLLLPICDVIKSQSQGQLSVVGKVENGALRSGYKVLVMPSGEIATVRSLERDNHVCNIARAGDNVTVSLQGVDPNRVTAGGVLCHPDFPVAVSKHFELKIVVLDVTTPILIGSQLEFHIHHTKEVARVVKILSLLDPKTGKVSKKSPRCLLSKQNAVIEVALEEEVCVEEYSRCKALGRVSLRASGRTIALGVVTKIVQNEQ >CDP12713 pep chromosome:AUK_PRJEB4211_v1:4:11560012:11565390:1 gene:GSCOC_T00037316001 transcript:CDP12713 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIHGKIRLIGRLTINNFKNVDLKRAVWDSAVTSSSTHLGSNPLWLQLKEFGTETGFLMSFKNNGMWKGEKSWTGPAPCLSQLVRLVPTNRCFSTIGNSVESAPQDASILDKEAVEEVKSKRGIPEIKPGYMVQLKVEVPENKRRVSVIKGIVIARRNSGISTTFRLRRLVAGVGVESLYQLYSPNIKEIKVLDKKKVRRAKLYYLRDKMNALKK >CDP14831 pep chromosome:AUK_PRJEB4211_v1:4:24831982:24834109:-1 gene:GSCOC_T00042297001 transcript:CDP14831 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFWNQTVALTRKNLIYHRRHLRSNLRLILFPVILFLSVGWLRRYLAKKNVFGEHYNAKRATEGTPLLPIPAAEFRAVKTNQIPQSNDLPDKSCRINGSCPATILITGNNREFGESVAGNMFSNSSCGLNNTADCVFVIFSLFCSFGYLFLPVSDFSPTISPKYHNLFFAFILFLTECLQGLLAWRKNYMEINDELYDGQYKNGEINEILAAYDFRDSDMKHFDVHLWYNTTPTSSEKPPNEVPVGSTLNMVWNAYLQSLLGPSVRMIFEFIGEMPRASTYVT >CDP19451 pep chromosome:AUK_PRJEB4211_v1:4:17161851:17167233:1 gene:GSCOC_T00012381001 transcript:CDP19451 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTSYLSGYYYTREQNVDASGSLWQQFNNGFFCRSGRDYNILSQRPMTDQYLAYNKEILRQTMLKQESLFMYQVRELHRLYGRQRELMDEIKMMELSKDHLRMQTSSSNSFVSGMLCDTSKKALQLPGWLLSNPRDDKPSAHGMENFQRPSIFVSRKSIHTGSDVYLEENHLKELEVSSCGRNKYGKRMLNLELPPEEYMDSDGGEELEKEKSSEVSPVWLDPSVRFVDSSPKSNLIIRKGASSNFNSSCSYTSCLLDLNAPLPSDEPVTISTSLVDSNISSTGIKQQDPDLSGKPRSKTPDLMSIASDVLEGKASIEANSGLPVQSAGKLPLPCNDKTILGSKSAKKEQDFNINELRMVSSCVTTASQLVQFSDQTNTGASASNSSWKTSYTEVPIAIQALPCFSSIQFSSKTSRSSSGSSVIKEKYSNGNAALSSNLGCITSHMSCNSNNQPTAEYLAGFVEDPVHQTPVDMDLNTMPYPSISRSQFCAFTAIDSNSNLSEENDIQNGEMVDLPAIHMSCDSSHDSEKSPKDDETCPANDCGKEMSQLKTCMDLRFSNTDQKYSQVLTETTAVGTDLQAPISPDNKEHSPPREESEDNQSENHEGTVKELDRIAAEVILSIMFSGKKQSLNASMSQLLEVSADCLGWFAGIACTVAGSAENESEDHLTDAPVGNCSDLAPKVLEKLEAMTFKSTGIKVEDHCHMTIDQKNKLIGDPLLTSEGQSRKARGWKDFQSQDLPCASSLCSHDATENLRPIKKLRAAARTAHENVKVRKKVGRVRCARGRRHSKRFPLNAISGKACSLSKQNPCQSEQSFLQSCSFGWGRKHRRQKHRRARTYFDPGFT >CDP12296 pep chromosome:AUK_PRJEB4211_v1:4:26861073:26866930:1 gene:GSCOC_T00035758001 transcript:CDP12296 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGHESNDSISIQNDFLGDVHRPLANFHPDIWENQFLLYSPDFDKARWASMKGQVEQLKEKVRTMLQETASNPLEQLQFIDAIQRLGIEYQFEEEISQALQKLHEKHQSWEDNDHFYTAALYFRILRQEGFRVSADIFKKFLDAEGKFGEGLVNDVQGMLALYEAAHLMLQSDDTLDHALAFTSNHLQSLPCKLSDPLAELVNHALMQPYWRGIPRLEARNYLSIYEKGPLHNTSLLKLAKLDFNMLQSLHKEELQEISLWWKELDFGRKLPFARDRMVEGYFWIIGVYFEPQYALARKIMSKVIAIASIIDDIYDAYGTYDELEIFTEAIERWNVDCMKQLPDYMKICYQALLDVFEEIEEEMANQGRSYRTYYAKEALKLLACCYFAEAKWLHQGYIPTVEEYKQIAVPSCGYITLAMISFVGMGDVVTKAAFEWAMNDPDIIRASSIVCRLRADIVGHKFEREREHIASAVECYMKQHGMTEQQACEELYKQIEDAWKLMNQQLLKPSPTAGPAAPEFVPSKAVLFRVLNLTRFAEVTHMHNDDYTHVGEAMQSYIKSLFIEPVSM >CDP18324 pep chromosome:AUK_PRJEB4211_v1:4:21623597:21625653:1 gene:GSCOC_T00004361001 transcript:CDP18324 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKMFNAHLASQPSFQQRDNHFSQGLRVLAIDDNVVCLKVLAFELQKCGYQVTATTKAAEAIEMLRKNKDSYDIVITDVMRSDMDVFKLLEIIGLEMDIPVIMTSANNDLEVIEKGVMHGARDYLVKPVGLEILKNIWQHVIRKTTYNPLPAQRIEANRAIRIPAQQIEANMASRVPAQKMEADRAIRRSARVLHRKNHTVEDGQAVQSHDAPPLLEHKKARVTWTPELHAKFVAAVQKLGQEGVIYSIRFRSLVQIILLMSIRQTVPKKVLELMNEPHLTRGNVASHLQVSLAFVLIP >CDO98136 pep chromosome:AUK_PRJEB4211_v1:4:3173303:3175515:1 gene:GSCOC_T00022137001 transcript:CDO98136 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITDGVNNININNSNNDLQKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVSVAEILKNNGLAVEKRIVTSTVEIKDDSRGRPVQKAKIEIVLGKSAKFDELMAASQEERENEGKVGQSGNVEEQS >CDO98561 pep chromosome:AUK_PRJEB4211_v1:4:6888550:6889677:1 gene:GSCOC_T00022700001 transcript:CDO98561 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKTLFKLLLLLLAFSLVFSRNLKATSWDGDSPSVVQELHKQHLSRALLEENESSFLEGRMDIESLDYSGAGANNHHDPKPPGSL >CDO98488 pep chromosome:AUK_PRJEB4211_v1:4:6102455:6107449:1 gene:GSCOC_T00022602001 transcript:CDO98488 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTRSKAVFNQVQDDPNQGQTTRARNDQEESQMANQMRNPHHGLKEKMKALTLLYEQQKQASNALKNPALKHEEPRFSTHPSIDLLSSGKREDNGPKESKEPRPISHVMRENVMPSSTVTRNFVMPQPLGAEEAKENVAVGHGGDQIVGLSCPRKTNVSSTVARKLSMGSSVMPFSEPPRGNQKVNEQEVETITERQGSVGGGNGSRILVFVRLRPMGKREREAGSRCCVRIVNKRDVYLTEFATENDYLRLKRLRGRHFTFDASFPDSTTQQEVYFTTTAELVEAVLQGKNGSVFCYGATGAGKTYTMLGTVENPGVMVLAIKDLFNKIRQRSFDGNHLVHLSYLEVYNETVRDLLSPGRPLVLREDKNGIVAAGLTQYRAYSTDEVMALLQQGNQNRTTEPTRANETSSRSHAILQVMIEYKVKDAANNIVSRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLSFGETQNTLHWADRAKEIRTKACDANEEIQVPDSETDQAKLLLELQRENRDLRMQLARQQQKLLAVQAQTLAASSSPTLSTASSLLSPAPSSALPHEKRKQRPSFLAGTCFTPESKKKGADETVRYLRKTVKELEAEIGRMKKDHALEIKQKDDFILELSRKGAKLAGAGGEGVRRIVTRASLRPKKAPEGELKSPSHRFTSPQPTAKKRSFWDITTANSPSVATLNGRKTRSYISSECAAAPSMLLQLAYPCFWSMFLLGPHLINYIIQPGFARQRPATVKR >CDP12766 pep chromosome:AUK_PRJEB4211_v1:4:12679466:12682643:1 gene:GSCOC_T00037408001 transcript:CDP12766 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGIGDHLQHVMGFSESPSLLQSKLESNINLRIDRKSMLRKLIQDNVACWRMVPRSQANWLLLFGTSIQTFYVLSNLCCGSYSYTRY >CDP14916 pep chromosome:AUK_PRJEB4211_v1:4:26061672:26073827:-1 gene:GSCOC_T00042405001 transcript:CDP14916 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILRRLRWVITGLNKSAPKKLHITDVRPLPLLTPSQQKQTQLLDLSSLEPKAKESEQPMLEAVHEIAIYIHRFHNLDLFQQGWYQIKITMRWEDDEYSSLGTPSRVVQYEAPDMGCDDICGIWRINDTDHSFSTQPFRIRYARQDVFLSMMVAFTLPLSKHEGLSTSAVLLKFELMYAPVLEDSSNLLASLDACPAAVHEFRLPPKSLLGLHTYCPVHFDAFHSVLVDITVHISLLKGGLLPSSLQVPSGSLGREVAGEKNDTSKQVLLIKAFVTARDLLIEELQNLSKAINQTVDIPDFTSKLDDNEFLGCFASRDEENTDLVVSGKDSSEYNNGFQKGNIDTQSFRTLDSLSNDELLRSFHLLGNQTFHLWSTFSKFHRANKIKILEHLQDQWAIDRRAEWSIWMVHSKVEMPHQYISSAVDDSSYHGFHGRAPVLRKISEDPAQTAAMRAELHRRSIAQMRINSRSIQDLHIFGDPSRIPIMLVERTVNAPLRSTSGNSYFSRADQKNKVSPLSEVGSKAMDKLSGVSSRQSGRVLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKVEFLMSEVNEEKTSGDFREMGQRLAQEVVSFIKKKMDKVSRSGGLRSIKLSFVGHSIGNIILRTALTESVMEPYLRFLYTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDPDLQNTFLYRLCKQKTLENFRNIILLSSPQDGYVPYHSARIEMCQASSGDNSKKGKVFLEMLNDCLDQIRAALSEPRVFMRCDVNFDISLHGRSLNTIIGRAAHIEFLESDIFARFLMWSFPELFR >CDO97742 pep chromosome:AUK_PRJEB4211_v1:4:184911:186771:1 gene:GSCOC_T00021623001 transcript:CDO97742 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRNAYREMKGLKVKEVPAKLKPYFTVDYLKNAVQRGLDNYHAKYIQTDSVDPVYHVCIGGMIFSYLVALPEERRHLEHKQHAADHH >CDO98573 pep chromosome:AUK_PRJEB4211_v1:4:6974716:6977981:-1 gene:GSCOC_T00022716001 transcript:CDO98573 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDILMQVLSKLSTKDVHMLKCVAKEWHNLILDRSFICLQLKKTEAVSGFFFQARFHWCDEDINNISYIPLETRKTQVHSTVLDFLPEEVVILASCNGLLCCRSSYPHYPPLMYVCNPLNKQWMIVNSVHYSKSASVALVFDPFQLHKEDASTNFKIVTVSETESEDEQSKFAFQIYSSETGKWRQSREICHCNQKLFKKNGIFADGSSYWLMDGDKILMFNPETELSWLLDVPLPITEFRSIPEICIGESKGKLQCVLLSEYGLQLWALDDFLTSQWNLTCSVSLDKLEEENSQFLYQIAEKLATRDTFCWIKVFAFKDGILFLSVSSHFYSYDFATRKMKKLCAVSDLGPNSNEFPVVVPYTMSLVPLGPV >CDO98590 pep chromosome:AUK_PRJEB4211_v1:4:7184109:7185834:1 gene:GSCOC_T00022745001 transcript:CDO98590 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFTMLRIFLLSFSYLAMFLNVMASAYFSELFEPIWAPDHITTQGDHVRLNLDSATGCGFMSKNKYLFGKISAQIKLVQGDSAGTVTAYYLTADGPNHDELDFEFLGNVSGEPYLVQTNLFVNGTGDREQRHSLWFDPTTDFHNYTFFWNHHFIIFQVDQVPIRVFEKKEEMGVQFPKAQAMGIHGSLWNGDDWATEGGRVKTNWSHSPFVVTFGSFEVDACAVSSGIDDAVAKCGKPGKFWWDKSSNKKLDKNQRRQLKMVQDKYLVYDYCKDTARFTQMPRECQN >CDO98297 pep chromosome:AUK_PRJEB4211_v1:4:4305862:4307478:-1 gene:GSCOC_T00022344001 transcript:CDO98297 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLPAKTIPSPSSLAPTGNAGLRRPADAFALKSSFLAPSLHLLLPPHQGSGAPATAPKFSMRVASKQAYICRDCGYIYNDRTPFEKLPDNFFCPVCGAPKRRFKPYVPKVAKNANESDVRKARKAQIQRDEAIGRALPIGIAVGIAALGGLYLYLNNTF >CDO97797 pep chromosome:AUK_PRJEB4211_v1:4:566609:570204:1 gene:GSCOC_T00021703001 transcript:CDO97797 gene_biotype:protein_coding transcript_biotype:protein_coding MWYRSASFILDQQQNDGVAKPESRLLSPTAPPLSMAETPQQIPKISAYYQTRAAHHGVVTSDWLAQAQAAVGRQADEAVSDDSLSLKSGESPKAFSVIDEFNNWRKQPELAEAVAAIRALASVIRSSEANTMMELEIELKKASDSLKSWDTTSISLTAGCDLFMRYVTRTSALEYEDFNSAKSRLLERAEKFGEISYKARRIIAMLSQDFIFDGCTILVHGFSRVVLEVLRTAAQNRKLFRIFCTEGRPDRTGLRLSNELAKLDVPVKLLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAKSMSKPVYVAAESYKFARLYPLDQKDMVPALRPIDFGVPIPSKVEVETSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >CDO98319 pep chromosome:AUK_PRJEB4211_v1:4:4576586:4581616:1 gene:GSCOC_T00022377001 transcript:CDO98319 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAVTPKPNPTNNTFSNPNFYPNFTSPKTQSLKFSSSSSSSNVPINLFYSFSPRRRRLSPVIARATAKTPDYYSVLSVSKNASLQDIKAAYRKLARKYHPDVNKKPGAEEKFKEISAAYEVLSDDEKRSAYDRFGEAGLRGDFVGSTSGSQGVDPFEIFSEYFGESSSFFRGSGEPGGFNFSFRSKSRQDLDIRYDLYMSFEESIFGSQREIEVPSLETCNDCSGTGAKTSNSIKICNACGGRGGVAKTQQTPFGIMSQVSTCAKCGGVGKIITDHCLTCGGNGRIQSKRRINIVIPPGIDNGATMQVQGEGNIDNKRGIAGDLFIVLHIEEKHGIQRDGLNLYSKVKVDFTEAILGTVVKVKTVEGVRDLHIPPGIQPGDTIKMRSMGVPHINKPSVRGDHCFAVNIQIPKDISDAERSLVEELALLRQTSRDSISSSEIPGGDDHDQHTKPALDHRGKSMAYLWKSIKDFLGKKQSGKRFASVGMEAPVSWRVTSPLPRCSLMIYSPAIFIMTLVFTLVGRTAYCKLFRQKPKTKSTSPHPERTQGQR >CDP15002 pep chromosome:AUK_PRJEB4211_v1:4:9116313:9121735:-1 gene:GSCOC_T00042527001 transcript:CDP15002 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQIPEKLKSFFNNKWAVFVASMWVQSCSGIGYLFGSISPVIKSTMGYNQRQVSMLGVAKDLGDAIGFIAGSLCEILPIWSVLLIGVVQNFIGYGLVGLIVVGALPALPLWVLCILIFVGTNGETYFNTGALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYAMMNFPNQASLIFMVAVCPTVIISALMFIVRPVGGHKQFRQSDNSSFLFTYSICLVLAAYLLTVLVLEDLVSLNQTLIIILTVILIVLILLPIAIPIMLVFFSQPRPTTEESLLLDPQKQEAGKMGQDENEVIFSEVEDEKASDVDSLPASERHKRIAHLQAKLFQAAAEGAVRVKRRKGPRRGEDFTLLQALVKADFWLIFISLVLASGSGLTVIDNLGQMCQSLGYSNTHIFVSMISIFNFLGRIAGGYFSENIIRHYAYPRPVAMAVVQVIMAIALFFYAMGWPGAIYVVSVLIGLGYGAHWAIVPAAVSELFGLKSFGSLYNFLTLASPAGSLIFSGVIASGIYDYQAKKQHQMLDPIGRVEEAESLTCNGTICYSITCGIMSGLCAISVVLSLIVVHRTKRVYTQLYANPQT >CDP15007 pep chromosome:AUK_PRJEB4211_v1:4:9167750:9171638:-1 gene:GSCOC_T00042534001 transcript:CDP15007 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLYESASGYSLFLAGGLDEIGQNTDAVRSSVTDFNRFGKVVQLAAFSPFESALEALKQCNSISEGQMADELRNFLEINLPKVKEGKKAKFILGVSEPKLGSHIHEETKIPCQSNEFVLELLRGVRLHFDKYIKNLKTGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYLYAKVAKFVDDKSKLAEDSLPGLTEIVGDEDKAKEIIEAAKASMGQDLSPVDLINVKQFAQRVIDLAEYRKTLYDYLVAKMNDIAPNLAALIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCFLEKNTNAFGEKLREQVEERLDFYDKGVAPRKNIDVMKAALENSEKKELEMDVDDAPDEPSVKKSKQKKSKAQGAEDGEAMEEDKTPAVTNGDAFDEPETEKKKKKREKRKLEEESEKDRATEDANGDNGLTAEDGTAKKKKKKKSRDEDGETLTGSSEGKKKKKKSKSKDNE >CDO97801 pep chromosome:AUK_PRJEB4211_v1:4:586264:587675:1 gene:GSCOC_T00021707001 transcript:CDO97801 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKKSKSLRPVNSDMEVDNNERENKEHGWSWEKEFQSKEEEDYFSISFFDDHDDGQYSWHTGGGHRYYRQKAISYSRITMLREHEIDDDDDDASPKAPDVKQKGICNSYPLKLKPRFSAPFNIWTSRTEKGESSWSECALCGDDKPTGEMQRSSDCGHLFCEDCMRVYVGGKIQEYTNINKKIKCPKENCNKQLGIQQFRQYIPDEVYDRIVEARRESNVLASPEIMKCPLLFCSAKFVDDNRGFKIKACPGCWGIFCVECGVKWHMGKSCEEYQAEVRADAIAQARAGFDAVAHDLMQYSSLIREHLSLPAIFFFR >CDP12328 pep chromosome:AUK_PRJEB4211_v1:4:27347818:27352986:-1 gene:GSCOC_T00035799001 transcript:CDP12328 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTPHLSSASKEQTTKEELNIQFQTPKSSSSSPFSAVVNIRLWGPAAQRNLRNQWSKLASLWQDWQSCSSATRSQATTLVNSYLSQKYMDAMDLGILSGMLSIRKKASSKLFKQQEIQHNKLLASYKDMVGVVTQMVNTSRSMRCYLKGTTNSPLAQFSLSSENENDTGDGGGAPVFTFWPISLFEKVAQDLVQIFVSELNLKRLLVMELLSLGDERIPDLSSLCWSDELYPGEFDDLHTCSLYSHGASKPVLPSLGKGEVESFQPRHQQDRNVLQIYLTTLIAEVNVERSRVDEIFASTGAEMHVTLS >CDO98371 pep chromosome:AUK_PRJEB4211_v1:4:4932744:4936342:-1 gene:GSCOC_T00022441001 transcript:CDO98371 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRQLQSAISCPFRARKLSSSSINVQIKQCNNRNLSKPVRKKSIKKQKAEHRVNSNSDIVKCNIAITKYMRNGQFDAALQLFNSMARKTSVTWNTMISGYLSNDQFELAKKVFDKMPQRDLVSWNVMISGYIKNKNLGAAKMLFDQMPERDVVSWNAILSGYAQNGYVDEARRIFYEMPVRNEISWNGILAAYVQNGRLEEANRLFESKGSWPVVSWNCLMGGYLKKRRYVEARRVFDTMPVRDKVSWNTMISCYAQNGEMQAARKLFDESPVRDVFTWTAMVSGYVQNGMLDEARRVFDKMPEKNAVSWNAMIAGYVQCKRVDLARELFEAMPCRNISSWNTMITCYAQNGDVSRARSLFDRMPHRDCISWAAIIGGYAQNGDSEEALCMFVEMKRDGERMNRSSFTCVLSTCADIASLELGKQIHGRVVKARFESGCYVGNALLAMYCKCGCIEEAYDVFKEIEEKDLISWNTMINGYARHGFGFEALQLFEAMERAGIRPDDVTMVGVLSACSHTGLIDTGTAYFDSMTQDYGIIANSKHYTCMIDLLGRAGRLDDAQKLMKDMPFEADAATWGALLGASRMHGNAALGEKAAEMIFALEPWNAGMYVLLSNLYASSGRWPDVGKMRLKMRDTGVKKVPGYSWVEVQNKIHTFSVGDSTHPESHRIYAFLEELDLRMKHEGYVSSTKLVLHDVEEEEKEHMLRYHSEKLAVAFAILNIPTGRPIRVIKNLRVCEDCHTAIKYISKIVGRLIIVRDSNRFHHFGGGVCTCGDYW >CDO97893 pep chromosome:AUK_PRJEB4211_v1:4:1336025:1338155:-1 gene:GSCOC_T00021832001 transcript:CDO97893 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSTKGFSSSSPDKKHVKSPDLIERAKEEIEAVFHAGKSPRHHKETHGTSDDIDDKTPVDEVKGPSVFHRVKEEIEAVVEAVHPKK >CDO97780 pep chromosome:AUK_PRJEB4211_v1:4:456994:457361:-1 gene:GSCOC_T00021680001 transcript:CDO97780 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQKGGWRGEDYYSHLRLRIVSCPITAKYYCYLSCPANIRSTAGGARRFVQHHIFQIPCLELLIRVTSSKDFSFTLHA >CDO98337 pep chromosome:AUK_PRJEB4211_v1:4:4714363:4715206:-1 gene:GSCOC_T00022400001 transcript:CDO98337 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRLQKRLAASILKCGRGKVWLDPNETNDISTANSRMAIRMLIKDGFIIKRPRKVHSRSRAREGKEAKREGRHTGYGKRKGTREARLATKVLWMRRTRILRRLLQRYRECNRIDRHMYHDMYLKVKGNEFKNKRVLMENIHKFKVKKIRETSLYNQLRARKAHSKSAAMDQKQ >CDP12390 pep chromosome:AUK_PRJEB4211_v1:4:19599538:19607909:1 gene:GSCOC_T00035903001 transcript:CDP12390 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQTLSRTNPISNLNHQLRPSIYLPKSSIKPVKSNSKISHYTLENSAIFNRSLIKSVRLLGRGFNLANQAPQVSASDKTIEKAEEEKAVTSSWIEAVFPETARPYAYLVRLDNPTGTFLFAWPCLWSLAFTANPGSLPDMKMLAFFFLVSFMSRNIACTINDYFDKDFDAQVERTKGRPLASGAITGFQALCFLGIQVLLGYGIFLPVNELSRILWVSSLPLIFTYPLMKRITYWPQAHLGLTANWGALYSWAAVKGSLDPAIVFPVLVACFFWTLEVDTIYAHQDKEDDVKVGVKSTALLLGDSTKLWTTGFGVASIASLALAGFNAHIGWPFFVLLAAASGQIAWQIWDVDLSTGKGKLK >CDO97981 pep chromosome:AUK_PRJEB4211_v1:4:2025603:2035443:-1 gene:GSCOC_T00021937001 transcript:CDO97981 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKDRGAAGELRRGLSRNASVNRSSKRRMSSRRGWNVAEDVFGSARMRTSSNALEDEESLKWAALEKLPTYNRLRTTVLKSFIENENDDELGKKSVLQEVDVRKLDTNQRQEFIDRLFKVAEEDNGKFLEKLRNRLDRVGIILPTVEVRFDQLTVGAECYVGDRALPTLPNVARNIAESAFSCLGFRLAERAKINILKDVSGIIKPSRQVYWMTLLLGPPSSGKTTLLLALAGRLDPRLKVKGYISYNGHDLKEFVPQKTAAYISQNDVHIAEMTVKETLDFSARCQGVGSRYELLTELERRERDAGIRPEAEVDLFLKATAVEGAESSLITDYTLRILGLDICRDTIIGDEMQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCVQQIVHSTEATVLMSLLQPAPETYDLFDDIILLSEGKIVYQGPRVHVLEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWADRSKPYRYISVAEFANMFKRLHVGQLLENELSVPYDKARSHKAALVFKKYSVSKKELLKANFDKEWMLIKRNSFLYIFKTFQHTIVSLIASTVFLRTKMHTRNEADGAVYVGVLGFSLVANMFNGIAELALTMKRLPVFYKQRDLLFHPPWAFTLPSFLLALPISSLESLVWTVVTYNVAGLAPEASRFFKQLLLVFLIQQMAAGLFRFIAAICRTMTIANTGGSLALLFVTLLSGFVLPKDKIPDWWGWGYWVSPLTYGFNAITVNEMFAPRWMNKLASDNQTSLGVEILKNFGVSAQRSWYWIGTAALLGFIVLLNILYTFALTYLNPLGKPEAIISKEQAREMEDNQEDLKEETRLKVNKSKKGMVLPFTPLTMTFDSVNYFVDMPREMREQGVTEEKLQLLSSVTGVFRPCVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKIQETFARVSGYCEQTDIHSPQLTVRESLIFSAFLRLPREVSKEEKMIFVDEVMGLVELENLKDAIVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGEVIYAGPIGRHSQKMIEYFEAIPGVQKITEKYNPATWMLNVSSVATEVRHGIDFAEIYKSSVLYAQNKALVKELSVPPQGANDLYFPTQYCQSTWSQFKSCLWKQQITYWRSPDYNLVRIVFSLAAALILGTIFWRVGSKRESNADLLTIIGAMFIAVLFIGINNCSTVQPVVAIERTVVYRERAAGMYSAIPYAMAQVIAEIPYIFLQTSYYSLIVYAMVGFEWTAAKFFWFYFVTFFSFLYFTYYGMMTVSISPNHQVASIIAAAFYGIFNIFAGFYIPRPKIPKWWIWYYWICPVSWTLYGLILSQYGDVEDTIRVPGMSPDPKIKDYIRDHFGYESDFMGPAAAVLIGFCVLFAFVYAFCIKTLNFQNR >CDO98288 pep chromosome:AUK_PRJEB4211_v1:4:4242508:4250421:1 gene:GSCOC_T00022334001 transcript:CDO98288 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVFTWRYGGGRVFLCGSFNGWTERIQMINVEGCATVFQRILDLQPGYYQYKYLVDDVWQVDEEQHCVRDGFGMINNVAYVEETEILCPSFSAQSLHQNQGTPSGGSLHKPVFQLPINEIDVLRYRLSLHLSSSTAYDLMPDSGKVIALDVGAAVKQAFHLMYEEGVAVLPLWDEQNTKIVGMLTASDFISILLQLDRNRAILTDEEIEEHTISAWKDIKFRHHREVSGTSQPLCRTALIQAGPDESLKDVALRILHNKISAVPILSSSEDGSCPNLLFIACLSGILKHICRHFRRHLEFLPLLQQPVGNLPLGTWAREIGKSGDRLLLTLRASEPLSSALNLLIQACVSSVPIVDDNGILVDVYCRSDITSLANSNGYTRIQLDQTIISQALEIADVTSQSRYQTCTRFDSLYRVMELLSDQAVRRVIVIEASSRQVEGIITLRDIFSYFLT >CDP18022 pep chromosome:AUK_PRJEB4211_v1:4:10821685:10822944:-1 gene:GSCOC_T00008926001 transcript:CDP18022 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMHFASSFFCYTTFLAISLILVPCLAVSLTLQSDIQVLRNIREAVDPNTVSSTSFLSTWNFDTDPCESSGPHFLGVLCTTPEDNSSSRIAVINLEGDGLDCFLTPTIGNLTELTTLNLRNNNFRGPIPNTIAKLRKITKLLLSQNFFSGGLPEGLSEMKRLEHFDLSQNRLSGTIPPKISALRSLLHLQLSGNQFSGKLPDFSGLWQLTTLDLSSNLFYGTLPQFPTSLRTLLLSHNMISGNVSSIGRLPHLKTLDLSDNRLSGKIEPDILTSPKVSSINVSANLFSDIEVVFMNQPSQLQLLDAHGNHLRGHLPLHLITYQNLRAIYLGHNLFSGWIPKEYGAKLYSWKTLFLEYNFLQGTLPQEFMNNLERIRGSLAHNCLRCPKNVSFCHGGQRAPSECTGQKGEGPWLTKLG >CDO98316 pep chromosome:AUK_PRJEB4211_v1:4:4543564:4558798:-1 gene:GSCOC_T00022372001 transcript:CDO98316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal processing peptidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42390) UniProtKB/Swiss-Prot;Acc:Q9FIH8] MQTNSAIFNAKPVLFAPVPIIKSVNTTDHNPSSSLASSPSSLLFPAHNQNWAHRKKATITSRSDKHRWSRTHLISPKNAFKRFPCAPTSLLDGSKCISCFHYPHQKRVGGHRFRTGAFFDKSASHILKQNVDNSLSGKVKQLNVPRATLGPEEPHAASTTWPDGVLEKQGLDLYDPELERSEFERFLSSELPSHPKLHRGQLRNGLRYLILPNKVPPSRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTRTKDSDDELLPVVLDALNEIAFHPKFLASRVEKERRAILSELQMMNTIEYRVDCQLLQYLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYVVGDIDNIPKTVQHIESVFGQTGVESETVVPPTPSTFGAMASLLVPKLTVGLAGSLAHDKSSGSVEQSKIFRRERHAVRPPVQHNWSLPGSNDALKPPQIFQHELLQNFSINMFCKIPVNKVQSFGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQSAIKVAVQEVRRLKKFGVTKGELARYLDALLKDSEQLAAMIDNVSSVDNLDFIMESDALGHRVMDQRQGHESLVAVAGTITLEEVNAVGAKVLEFISDFGKPSAPLPAAIVACVPMKVHMDGLGETDFKIIPTEITAAIEAGLKEPVEAEPELEVPKELITTKQLQELMLLRRPSFLSVGPDVNQTKVYDAETGIMQRRLSNGIPVNYKISKSEAKCGVMRLIVGGGRAAEHLEKKGAVIVGVRTLSEGGRVGSFSREQVELFCVNHLINCSLESTEEFICMEFRFTLRDNGMRAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMLNGDERFVEPTPHSLQNLTLECVQDAVMSQFVCDNMEVSIVGDFSEDEIESCILDYLGTVEATKGLERAQSYRPITFRPPAADLQHQQVFLKDTDERACAYIAGPAPNRWGFTFEGQDLFEAIDDGIPTREGANEQLKLEDQLMVSQRSGEDLQQGFRRHPLFFAITMGILAEVINSRLFTTVRDSLGLTYDVSFELNLFDRLNLGWYVISVTSTPGKVHKAVDACKNVLRGLHTNRITPRELDRAKRTLLMRHEAEIKSNAYWLGLLAHLQATSVPRKDITCIKDLTSFYETANIEDIYLAYEQLKIDENSLFSCIGVAGTQAGEGVSDPLQEEDSVEGLQTVIPLGRGSSTMTRPTT >CDO98299 pep chromosome:AUK_PRJEB4211_v1:4:4321161:4323908:1 gene:GSCOC_T00022347001 transcript:CDO98299 gene_biotype:protein_coding transcript_biotype:protein_coding METGGKNSLIVEKREGEASSINPPTQQKMREADINGTVDEQGGDQSNEVEKNKVCLVRAVVENQDPSCKEVDDLTIRRFLRARNLDVEKASDMLLKCLKWRRMFVPSGHISSSEVPNEIAQNKMFVQGIDKKGRPIAVLIGARHFQNEIGGLDEFKRFVVFTLDRLGSRMPPGQEKFLVIADLQGWGYCNSDLRAYLGALSILQDYYPERLGKLLIIHVPYIFRAVWKAFYPFIDKNTKKKIILVKDKRLKATLLEDIDESQLPETYGGKLRLLPIHEDAQPTT >CDO97773 pep chromosome:AUK_PRJEB4211_v1:4:411923:420467:-1 gene:GSCOC_T00021672001 transcript:CDO97773 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGHVSALSLTRQTSVINVRSPHSAWKCGLCFGSGQMTSLSFGGGDSMGDKLKVQVANSVVVRSRAEDAGPLKVACIDYPRPELENAVNYLEAAYLSSTFRTSPHPNKPLEVVIAGAGLAGLSTAKYLADAGHKPIVLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNMQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPAPLNGIWAILKNNDMLTWPEKVKFAIGLLPAILGGQSYVEAQDGITVKDWMRKQGIPDRVTDEVFFAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVEHIESRGGRVHLNSRIQKIELNDAGSVENFLLSNGTVIRGDAYVFATPVDILKLLLPEDWKEMPYFRKLEKLVGVPVINVHIWFDRKLRNTYDHLLFSRSPLLSVYADMSVTCKEYYSPNQSMLELVFAPAEEWISRSDEEIIDATMKELAKLFPDEIAADQSKAKLLKYHIVKTPRSVYKTVPGTEPSRPLQRSPVQGFYLAGDYTKQKYLASMEGAVLSGKLCAQAIVQDSELLLAGIEKRVPEASTA >CDO98681 pep chromosome:AUK_PRJEB4211_v1:4:8220716:8221398:-1 gene:GSCOC_T00022858001 transcript:CDO98681 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLLLCCILSLLFVMNLAEEVPNAGGLEDSKVTLKHLGLRSSVAQCVRDCHARWPNSTARCPFLHGHRCACLCYVP >CDP14996 pep chromosome:AUK_PRJEB4211_v1:4:9083025:9085556:-1 gene:GSCOC_T00042521001 transcript:CDP14996 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQVNSFPSVPLKDELDIVIPTIRSLDFLEVWRPFFEPYHLIIVQDGDPTKVIKVPDGFDYDLYNRNDINRILGPKASCISFKDGGCRCFGFLVSKKKYIYTVDDDCFVAKDPNGKDINTVEQHLLNLKTPSTPFFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTIPKGAFYPMCAMNLAFDRELIGPAMYFGLMGDGQPISRYDDMLAGWCSKLICDHLNLGVKTGLPYLWHSKASNPFVNLKKEYKGLFWQEEIIPFFQTLTLPKECDTAAKCYVELAKLIKEKLNHIDPYIVRLADAMITWIDAWEDFNPPAEAVPAVANAKKDAVPPKKSK >CDO97977 pep chromosome:AUK_PRJEB4211_v1:4:1988202:1990893:1 gene:GSCOC_T00021931001 transcript:CDO97977 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPTRGGGGGSGGCAGGGVKLFGVRLTDGSIMKKSASMGNLSHYHSSSSAAASPNRSSPSSDPFHDPVHLPDGYLSDDPNDASCSANRRAERKKGVPWTEEEHRLFLLGLQKLGKGDWRGISRNFVTSRTPTQVASHAQKYFIRQSNANRRKRRSSLFDMVPDMSTDPLAPPEEQFVLPPQVVDSSKEQLASPHPLAIGDDNEKSLPSLDLSLKQEYEPMEATLSEVVEETEENVKSPPEIPSLFPSFFPAFIPISYPLWPSNIAPQEEGRGAEASHHQILKPIPVIPKEPVNVDELVGMSQLSLGGTSSGLVESSRLSLKLTGEPSRQSAFHASTPIKGSGITKDENSSFQAV >CDO98362 pep chromosome:AUK_PRJEB4211_v1:4:4872654:4875420:-1 gene:GSCOC_T00022429001 transcript:CDO98362 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLSFILSTFQQARIVMLLMFRFYVKVYLPFLEDSSMLLALILSALEMFSLPRFSLKKAEWMAPEVLRNEPSDEKCDVYSFGVILWELCTMQQPWGGMNPMQVVGAVGFQHRRLDIPEDIDPAIAAIIHRCWQTDPKLRPSFAEIMAALKPLQKPVIGSQVPRPSVPPVSRVQEKGQPSGIPEYSPGEKS >CDO97957 pep chromosome:AUK_PRJEB4211_v1:4:1812109:1814569:1 gene:GSCOC_T00021909001 transcript:CDO97957 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKTKEAMAFLFASLAILAFAISSNAQPLVPALITFGDSAVDVGNNNYLPTLFKADYPPYGRDFINHQPTGRFCNGKLATDITGKYTDTLGFTTYPPAYLSPQASGKNLLIGANFASAASGYDDKTAYLSHAIPLSQQLQYFKEYRSKLEQVAGTSQAASITGSALYIVGAGSSDFLQNYYLNPLLNKVYTPDQYSSYLVGIFSNFVKNLYGLGARRIGVTSLPPLGCLPAARTLFGFHQPGCVANLNTDAQQFNKKINSAAAQLQKQFPDLKLAVFDIFKPLYDVVKSPSNYGFNEATRGCCGTGTVETTIFLCNPKSPGTCSNATQYVFWDSVHPSQAANQILADGLIAQGISLIG >CDO98616 pep chromosome:AUK_PRJEB4211_v1:4:7410388:7412546:-1 gene:GSCOC_T00022773001 transcript:CDO98616 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMMLYKYYMIHELVETRVYFTALVLFLL >CDO98619 pep chromosome:AUK_PRJEB4211_v1:4:7430453:7445287:-1 gene:GSCOC_T00022777001 transcript:CDO98619 gene_biotype:protein_coding transcript_biotype:protein_coding MAASERDVTHLLSATDSQVDEQDGSQFCRLTYRSASLSIPMDSTEFNDSENSLVGRSVYLENERKSSYLQMSGPLYISSGDGIVLGPMQSAVAQQPTEAPVVRYPPLNGRERKVWPDKSYASKNDHLIKSGQLGMCDDPYCTTCPSYYHLKGQQKKSKSSDMLDYKFHNMIYGDAKGWAKKVSSFLGPFIPPIMNPHAKVVQQWNQFFFVSCLFAVFLDPLFFFLLYVNQDNKCILLNWPMTTTIVALRSMTDFLYLIHVLLQFRLAYVAPESRVVGAGDLVDHPKKIALHYLSGYFLIDLFVVLPLPQIIILAVLPNSIGSSGANYAKNLLRAAILAQYIPRLYRVLPLLAGQSSTGFIFESAWANFVINLLMFMLSSHVVGSCWYLFGLQRVNQCLRDACHDSGIARCMDFIDCGHGNDRSWFASDPTWDLWKNNANATACFGGGNFSFGIYAQSVDLTTTENVITRYIYSLFWGFQQISTLAGNQVPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQSLGRRKLEMSLRRRDVEQWMSHRRLPEELRRKVLEAERFSWASTRGVNEETLLENLPEDLQIDIRRHLCNFVKKVRIFTLVEEPILDAICERLKQKIYIKGSKVLHRGGLVDKMVFIVRGKIENIGEDGYQVPLSEGDVIGEELLTWCLEQSSVSKDGKKLRFPGPNMISNREVRCLTNVEAFVLRSADLQEVTTLFSRFLRNPRVQGAIRYQSPYWRGLAARRIQVGWRYWRKRLGRGGSSSPEHDHYSAYHHSPGY >CDO97738 pep chromosome:AUK_PRJEB4211_v1:4:160879:162899:1 gene:GSCOC_T00021618001 transcript:CDO97738 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTTTQPCFSDPSSSSSSPPTPPTPLPVSVGPGHHKYNCFSSSPTPTPPFSPPLSTHSSFESRLLLHTNPSDPLLQPAAAAPLAFSLDYQAHTGHDSKSSCLKDLLEWLVLKCCRHCSLCL >CDO97804 pep chromosome:AUK_PRJEB4211_v1:4:615077:618760:1 gene:GSCOC_T00021711001 transcript:CDO97804 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRLGCFFILQIPVAVLLVSDGIAAAAGSEYRGKPQKKPGGGGPGGTAAIALFFFGDSYFDAGNNNYINTTTLDQANFWPYGESYFSFPTGRFSNGRLISDFIAEYAKLPLIPPFLQPGNQEYRNGVNFASAGAGALDETFRGAVIGLRTQLRYYTKVKAWLRHELGDVESNMILSRAVYLFSIGTNDYTSTFLTNSTMLANSGSPSNYVGMVIGNLTSVVKAIYDRGGRKFGFLNLGSLGCLPGLRILQSQTKGDGCFEEASRLANLHNRALYNFLSKMEDQLQGFRYSICDFHGALRHRMDHPSRFGFEEGRAACCGAGRYKGIYSCGGKRPMVKDFELCENPKRYVFWDSYHLTERVYRQMASEMWNGSRRRGRPYSLKLKDLLRC >CDP20644 pep chromosome:AUK_PRJEB4211_v1:4:28172125:28185453:-1 gene:GSCOC_T00013576001 transcript:CDP20644 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLASILNFLNVFRPLLNIKVEFSAEEFETALITPNNTLGEIHIPLLKAIPPITRMALGHNTWVTVLCRKLRDWWLWVAEGELPIVASHGAEVEAYNALDPAVRVVILKALCDIRVEQEDIRNHIDNSVKHGVHISAFRKERVGGDSHGISYWYEDDAMVGHRLYREIRKVEVKKGKGRNIPPIPNSSYQWETVATNFDEFQDVSEKLFSSKNRTEASLGKKLKNDMLPEIEKVHKRKEKLLKKQHRQALMLDNMMNMDGLAAGRSLRDRKPVTYTFDDYDRSINEAIKITKKQQASSPEPTATRREAGVRVEALTNGRWGGPSQPFNALSPKSPDYDGFYDDNRADQTDRSNRRRQRPQRYSAQEFVEAVSDNEADFDSDDDIVGEVIYDDEYLRRRKQRRKISSSSEGDDEYHWDDENPEEEEEEEEEEEDDDDDSLSASEESDGPRKFKKLPGRTRRETKLRSVDELQLGLRRSKRATRNRINYRQYELSESENESMKPGKSNVSEHSNDSEDAEEFSMVSQDSEENNTDNQEIKADQPVKDEEPETVKKEDNDMLEKANSPRQDEGEGVRKRRFLDLNELAPGSGFDDGPNSMMKDEDTDDF >CDO98631 pep chromosome:AUK_PRJEB4211_v1:4:7612249:7613852:1 gene:GSCOC_T00022791001 transcript:CDO98631 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEIVINSVLVLRVITLLALAASVVLLVLNNCKLSDGAKIFFADLHAYWYAVAVAGVGFIYTLIQLPFAVYHVSTEKRWIRNGCLPEFDFYGDKVISFLLASGVGVGFGVTFELKRYFDVVSVVAVALGANAAEVDEERSKASHFLDRENIATGILLVGFVTMAITSILTSIMKSASTKGGGGFFR >CDP14892 pep chromosome:AUK_PRJEB4211_v1:4:25791230:25794385:1 gene:GSCOC_T00042378001 transcript:CDP14892 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCKIDARIMRVIAAMQQLEAKLEPASAFDYRAILVPLVKSYMRAHLEDLAEKDATEKSDAAREAFLAELALDSKKGSSGGSDNARHMHEKTKDKKKSNDFQKAKDSKANSGSELHMLSSETTKEISYPVAHEGEDIQAEIVNAGNGDTLEQEEEEVRRRIELEAEERKLEETLEYQRRIENEAKQKHLAEQHKRTVGINPEKVAAIAHSDTYLKQQEDDHDVNVQWKYRKKEPMVQKNGFSNAMEGFLEDGIEGVGQKAGLPNGGSLEDGLLPSDRRSGRRHRRQKCAARLNQPVLSEKENLELKPLDEAHDDATKTLRQLQAEEDDEERFQGQMNDASEVLGVIFDCLHRLFTSTMCTSDAESLDSNCMDSWDCTNGACVAHSLFGMDIFERMNCYNCGLESRHLKYTSFFNNINASALRTMKVYYV >CDP12294 pep chromosome:AUK_PRJEB4211_v1:4:26823179:26825596:-1 gene:GSCOC_T00035756001 transcript:CDP12294 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIMEMKDPEIKLFGKKIALLENGKRILVVVPAAGEDSSSVSGGENSVGSDSDLSMDSRKAEDEKDQEKRETASDKDCPSGKLCSSEPRVKDPIAEELPILKSSSDSDGNSNNYSTDEDSPVKQPPKAENDQSDATDSQQKTLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKSCQRYWTAGGTMRNVPVGAGRRKNKNSASHCRHITISEALQAARIDTPNGFHHLNFKPNGTVLSFGSDSPLCDSMASVLNLAEKKSPNGSQNGFYKLDHGISVSLKGTENGDDCSSGSSVTTSNSTVDGAKNGLQEPVMQQINGFPSPVSCLPGVPWAFPWSSTVPLPAICPPGFPMPFYPTPFWNCGVPGAWSIPWLPPVFPTGNQKNSGSGPNSPTLGKHSRNGELIKQNNPEGKDSQEQRASEGSILIPKTLRIDDPDEAAKSSIWTTLGINYDSISRGGLFKALQPKGDEKKHLTTASPVLQANPAALSRSVTFQESA >CDO98162 pep chromosome:AUK_PRJEB4211_v1:4:3318591:3323388:1 gene:GSCOC_T00022168001 transcript:CDO98162 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSIFCCVKGSDRKDQRKKQAWRIFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKAEMEFAVEVEILARVRHENLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSAECLLDWNRRMNIAIGSAEGIAYLHHHATPHIIHRDVKASNVLLDSDFQARVADFGFAKFIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELASGKKPIEKLNATTKRTITDWALPLACESKFGELADPKLKGNYVEEELKRVVFVALICAQNRPEKRPTMLEVVDLLKGDSEEKFVALENDEMFKNTRPADYNDGLSGAEDSSDFISEEKDVKQEGEKVKEQKEEIEKVDG >CDO98008 pep chromosome:AUK_PRJEB4211_v1:4:2217042:2220249:-1 gene:GSCOC_T00021972001 transcript:CDO98008 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQEQYYIGLSEASSVERNSDKISSSSSSSSNICPEDEGNNALNLKETELRLGLPGSQSPERKAGHGVSLFGKDLEEKTSGFKSCVSGAKRGFSDAIDGSGKWDLRMKVSSDVSFGKTEVLFSPGGGKDGASKNINTLQSCLPGLPGKEVGAPPAAKPVEEKNTSSAKDHGSSPASKAQVVGWPPIRSFRKNTLATNLSKNSDAEAKSGPSCLYVKVSMDGAPYLRKVDLKTYCNYAELSSALEKMFSCFTIGQCISNGLSEQEGLNERGLKDLLHDSEHVLTYEDKDGDWMLVGDVPWEMFICSCKRLRIMRGSEAIGLAPRAMQKFKNQS >CDP12353 pep chromosome:AUK_PRJEB4211_v1:4:27933976:27935474:-1 gene:GSCOC_T00035842001 transcript:CDP12353 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFRYSFASLFSRFLVLRFLTLSAIILEGPSFLVSRDLPSACEEESKWVFNTYSSIENSKDSKRKHLEEETGVIKPSKPLAFQGQSMDQEDDQGHHGYYTDTSSLILKLGQDLSINCLLRCSRADYGTIASLNHSFRSLIRSGDLYKSRRQLGIVEHWVYVSSSLLEWKAFDPLRRRWMSLPKMISNECFLFSDKESLAVGTELLVFGKEIESQVIYKYSILTNTWSSGVRTNIPRWLFGSASLGEIAIVAGGCDSKGNLLSSAELYNSTTESWLTLPRMHKPRKLCSGVFIDGKFYVIGGVGIGNPSASDGASLKVLTCGEVYDLRTGTWFEIPDMYPQCAREGTNDSPATARAPPLLAVVKNELYAAYCDEKEVWKYHKQRNVWITIGRLPEQATSMNGWGLAFRACGNQLMVIGGPRALNGGYIEINAWEPGEGPLEWTLLGTKHSGSFVYNCAVMGC >CDO98658 pep chromosome:AUK_PRJEB4211_v1:4:7899795:7903113:1 gene:GSCOC_T00022826001 transcript:CDO98658 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEMFQGASLIPSFLYSPSSLSSRGLIDGESSFLMSSASFSPSNVSSAAAGNKEIVKREFVIPAPNEPAEKIKMFSPAYYAACGVAGAISTGPTHSAVTPIDLVKCNMQIDPAKYKGITSGFSVLLKEQGVRGLSRGWVPTLLGYSAQGACKYGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEFIAVVALCPFEAVKVRVQTQPGFARGISDGLPKFIKAEGPLGLYKGLVPLWGRQIPYTMMKFGSFETIVELVYKYAVPTPKEQCSKPFQLGVSFAGGYVAGIFCALVSHPADNLVSFLNNAKGATVADAVKNLGLWGLFTRGLPLRIFMIGTLTGGQWGLYDSCKVALGLPTTGGAAPPAPAAKA >CDO98240 pep chromosome:AUK_PRJEB4211_v1:4:3875516:3877730:-1 gene:GSCOC_T00022267001 transcript:CDO98240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80920) UniProtKB/Swiss-Prot;Acc:Q9SAG8] MATFVGSASSSSSWIQMKNSAKKGKNNGKDNTKFRVSCVSSSIADPYKTLRIHPSASESEVRKAFRQLALQYHPDVCKGSNCGVQFHEINEAYDIVMSNLRGESTQSQMEAYDDGIDDSFRGMNDPDWDMWEEWMGWEGAGIRDYTSHINPYI >CDP15099 pep chromosome:AUK_PRJEB4211_v1:4:10362038:10365606:1 gene:GSCOC_T00042669001 transcript:CDP15099 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASSPSSLACYYSFSSVIACGCRYRAPLKHSFLAFTRRFHSLHPHHRYCRRLPPHSLAILAANTTAYSSRSRRLPKTHFFTGNSLFSRMERFWTGGGIDKNRAMVEHLQSYGVVRSKKVAEVMGTIDRALFVPDGTPPYVDTPMQIGYNATISAPHMHAMCLELLENHLQPGMHALDIGSGTGYLTACFAIMVGPQGHAVGVEHIPELAAESIKNIQKSAAAPLLKEGSLSIHAGDGRLGWPEHAPYDAIHVGAAAPEIPQALIDQLKPGGRMVIPVGNIFQDLKVVDKDMDGSISVHSETSVRYVPLTSREAQLRGY >CDO97800 pep chromosome:AUK_PRJEB4211_v1:4:576606:585768:1 gene:GSCOC_T00021706001 transcript:CDO97800 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVPGAPKYTCNLLAVLCGRTTDNEQKQEATIQKTKYPFPDLLSSGRLEVQTLKNPTVDEFRKVVDSWQPNILYLQGEQFANNEVGSLVWGGVDLNTAEAITGLFGSMLPTTVYLEVPNGEKLADALYSKGIPYVIYWKHVFSCYAACHFRHALFSVVQSSSCHSWDAFQLAHASFRLYCVQNNLVLPDNSQKMTVKLGPHLLGEPPKINVPPPEAVTGEDEESSSSLPAIKIYDDDINLRFLVCGDACSLDATILGSLEDGLNALLNIEMRGSKLHNRVSALPPPLQAGAFSRGVVTMRCDISTSSSAHISLLVSGSAETCFDDQLLENHIKSEVIDKNQLVHALPTGEENKQPLSEPRRSVSIACGASVFEACMKVPTWASQVLRQLAPDVSYRSLVALGIASIQGLAVASFESDDAERLLFFSTRQGKDGSFNSLTISNLPTWLRSPAPSRKRLETSQATNGNSSNGLATGVGTAAKKEYLEDKKSVVKNGVSVPLVPSRQKLKVAALRPIPHIRHQKMLPFSGIPEVEGHEGTQVKPNLPPVAPTKHSGVGVTPVSNRKSVSSSYQAKQIISLNPLPLKKHGCGRSPIHVCSEEEFLKDVMQFLILRGHTRLIPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTITNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGVCGEWAHFGCDRRQGLGAFKDYAKTDGLEYICPQCSVSTFKKKMQKTVNGY >CDP15072 pep chromosome:AUK_PRJEB4211_v1:4:10035701:10040590:1 gene:GSCOC_T00042628001 transcript:CDP15072 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MAATTTISHSSLITLYSNSQLNTSSVLKNTFLSSTSLLYSSSSTISINSCNTKICGGVTLKSRNGRGGIRGGRLVCLAASSSSAPSVNLPKALMFDCDGVLVDTEKDGHRISFNDTFAEKELGVTWDVDLYGELLKIGGGKERMTAYFNKVGWPEKAPKSEEERKDFIASLHKRKTELFMALIEKKLLPLRPGVAKLIDQALDNGVKVAVCSTSNEKAVTAIVSFLLGPKRAEQIQIYAGDVVPRKKPDPAIYLLASSTLGVDPSSCVVIEDSAIGLAAAKAAGMKCIVTKSGYTAEEDFLNADAVFDCIGDPPEERFDLLFCGSLLEKQYVS >CDO98003 pep chromosome:AUK_PRJEB4211_v1:4:2193273:2194443:-1 gene:GSCOC_T00021966001 transcript:CDO98003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAFGRFDDSFSVGSLKAYLAEFISTLIFVFAGVGSAMAYSKLTSDAALDPSGLVAVAVCHGFALFVAVAVAANISGGHVNPAVTFGLALGGQITILTGLLYWIAQLLGAIVASYLLKVVTGGLAIPIHSVAAGVGAVQAVVMEIITTFALVYTVYATAADPKRGSLGVIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGNFSGNWIYWVGPLIGGGLAGVIYGCVFMQHDHAPLVSVL >CDP14910 pep chromosome:AUK_PRJEB4211_v1:4:25988603:26011227:-1 gene:GSCOC_T00042398001 transcript:CDP14910 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSSIAFVPIYFLLTLLLFIPFLLFLSYCSSITTKNPERFSSETSLGLKIRPGYKTYESYIQRQLNKTLNLKLREIWRTRDWDRKIQVFTRFFGELKQKKLLSNDSKALSIGARMDGKNQTLLILYVILRFSVLNCRYKKVAYLDTDVGQTEFTPPGLLSLTVIDKVTPDLTIPCLKTPERCFFFGDISSKRDPKTYLTYIFALYDHYCKEYCMFDKIEHHHAGVPLVINTPGWVKGIGYDILVDMLKYISPTHVVKICISTESKNLPVGVFWLDEDDSTSTTIIEVNSARQDSFKRSVLVQKDARLMRDLRVMAYFRQCFPSQLNITTIKELANALAAQPPFEISVSSIKIKHLHCQVPKTEIFYSLNATIVGLAISSESGENLSPCIGLGIVRGIDTFRNILYLITPVPPDSLEKVDLLLQGFIQIPTCLLQVQGCVSPYMSANVLPSD >CDO98654 pep chromosome:AUK_PRJEB4211_v1:4:7787533:7788565:1 gene:GSCOC_T00022818001 transcript:CDO98654 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKDRRQLNLRLPLPEHSERRPRFPLPLPPSSLSTTATTNTTTTASTTTTITAADIEKVQVLGHGNGGTVYKVRHKRTSATYALKVVHGDSDPVNRRQILSEISILRRTDSPYVVKCHGVLEKWGGDIAILMEFMDKGTLESLVKSGVLFSEQMVAKIAYQVVQGLEHLHARKIIHRDLKPSNLLVNEQMQVKIADFGVSKIMCRTLDPCNSYVGTCAYMSPERFDPDTYGNDGTYNGYASDIWSLGLTLLELYMGHFPYLPEGQRPDWATLMCAICFGEPPSLPEYVSKEFRSFIGCCLQKDSSKRWTATQLLSHPFLQNIKG >CDP15050 pep chromosome:AUK_PRJEB4211_v1:4:9689416:9691326:-1 gene:GSCOC_T00042592001 transcript:CDP15050 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFNLLICAISFLSLETIDVEGYLGMNWGRLATQRMIPSMVVDLLMQNKINYVKLYSASDNVLEAFSATDMGLEVTMPNLYTQKLKNQVDVIDWVVQIIVRHPNVHFMYVCIGTQPLSITFSNQIYPEAIQVLDWTQRALNLINKSEIKATMSHYTDVLIPVKKPSEGAFRANLEPNMTRSCQILQSNNAPIGLDVFPLLSVNDLFNGDTEFAFFENNSTLVFKDGDKTYSNVFEVMYDMFDVALEKVGCPNMTIIVDAIGWPTDGIKDGNIPNAQRFHQGLAKFVASKKGTPRRPGPIDVYIHNLSDENRINKTTGSFRRHWGIYKFDGQPKFNFDLQGLGRDVKLVPAVGITQMPKRWCIVNGDVGNDIDNTTRQNFLDACNSTVDCTAMEAGASCSNLTETQKLSYVFNMGFQTTAQDVYNKSCYYNGYGKIVSDDPSTPFCTFPVEILAAEIPNFNGYARSLAHALKPSSISLMIAIMQTLFICFILMCTCDYNGFGAI >CDP18318 pep chromosome:AUK_PRJEB4211_v1:4:21576523:21576798:1 gene:GSCOC_T00004352001 transcript:CDP18318 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLEKCLHWPSCFLDMKQRLNIMIDLASALDYLHNGYSIPVVHCDLKPSNVLLDQDMIAHASDFGIAKLLSQEDGITHTATIATFGYV >CDO98076 pep chromosome:AUK_PRJEB4211_v1:4:2637623:2638693:-1 gene:GSCOC_T00022052001 transcript:CDO98076 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPGLPDDVGLECLVRVPYDHFSSVASVCKSWKLQIQQPEFMRRRKAAGCTSTVIVMAQAHFDPTRKSGSVKLPATPVYRLTLCEPENGYWTELPPVPGYTEGLPMFCQLVGVGLNLVVMGGWNPDTWEVSNAVFVYSFSTASWRRGADMPGCRRSFFACASDLKRTVFVAGGHDDEKNALSSALAYDVAKDKWVQLPDMAMERDESKGAFQGGKFHVIGGYHTNMQGRFTTSAESFDLSTCQWDPVQNDFLDIATCPRTCVGGAGDGRLLMCRDADVAILQNSKWQAVTQLPADIRNVSYVTAWQGNLLLIGSPRFSEPHKIYMLDLKSYTWTKVETRDGFSGHVQSGCCLQM >CDP18308 pep chromosome:AUK_PRJEB4211_v1:4:21231138:21239563:1 gene:GSCOC_T00004335001 transcript:CDP18308 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVTSKQAVSVTPAFDHSGVLRENGAGGIGGGAFGSGRSRVGSGGLGLGLEMDLKKVKKRGSTESGGGGSELGESGRASSNGGTGTGTGTGTGSESVSFRLGNLQKYVEGEQVAAGWPAWLSAVAGEAIHGWVPLRAESFEKLEKIGQGTYSSVFRARDLETGKIVALKKVRFDNFEPESVRFMAREIMILRRLDHPNVIKLEGLITSRLSCSMYLVFEYMEHDIAGLLSSPDIKFSEAQVKCYLKQLLSGLEHCHSRGVMHRDIKGANLLVNNDGVLKIADFGLANFCNFGQKQPLTSRVVTLWYRPPELLLGSTDYGSSVDLWSVGCVFAELLVGKPILQGRTEVEQLHKIFKLCGSPPDDYWKKSKLPHATLFKPQHPYESSLWETLKDLPKAAVILIETLLSVEPYKRGTASAALAAEYFKTKPYACDPSSLPKYPPSKEIDAKHREEARRKKPGGRARGPEATKRLTRKQNGFSKLAPEENLPVQPQGGHKISGYNASNCKEGDIVIGLEPPKPSNELKEEASHVKHASQGDVPFSGPLQVSGSSGFAWAKRRIDDSVRSRSRSSSRSLIFEPSGAVQLRNNLESKRNENSEALNGHGTNFKGHDSYERLNHVMVKDWSQLEHPDSFDASDGYHSQELSLALYQKEDLAIKRISLVYQDQGDKVEFSGPLLSQSQRVDELLEKHERQIRQAVRRSWFQRVKKQGK >CDP17002 pep chromosome:AUK_PRJEB4211_v1:4:15384263:15385789:1 gene:GSCOC_T00011367001 transcript:CDP17002 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAIDNASLLIQHPNGRVDKLYLPVTASEIMKMNPGHYVALLLTTTICPATSSTTTTDNTKATKHSSNTDFKSNSPPVRITRIKLLRPTDSLVLGHVYRLITTQEVMKGLWAKKYAKMRKQQSESSEKKVNLTAKEISDFEAAVRKYEMERSSQTKHERHRTKTSQSASTIAAKSRAWQPSLQSISEAAS >CDP16901 pep chromosome:AUK_PRJEB4211_v1:4:13051839:13052849:1 gene:GSCOC_T00005246001 transcript:CDP16901 gene_biotype:protein_coding transcript_biotype:protein_coding MGETHLGFPLMLSFVIIFLGFSPSIHSQSSDASVMQDLKKALNPPSSLGWDDPDPCQWKNVECSKNDNRVNRIQIGNQGLTGSLPDSLSKLNAPQFLELQNNHLTGPLPSLNGFGSLQGIFAGML >CDP12705 pep chromosome:AUK_PRJEB4211_v1:4:11475538:11477394:-1 gene:GSCOC_T00037304001 transcript:CDP12705 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFQLIFCFCCLILMIRTAVSQHSYDSSNCTSDIQAPGSNYQCSPNSPSCETFIVYRAQKDYQTLNSIASLFSSSIAEILSYNNFSQVDQNLLQPGYEIVIPVTCFCSEDKFSRAVYQHNASRSDSLSAIACGTFEGLMTAASLRDYNQDSQGDNQSISSIQVPIKCACPNTSDIENGINYLVTYPILEHDNTSLISLKFDIPQKMLADANRLVPFHAIFPQTTLLVPLTYKPFLNPAAIVSSQDEGSSPGVLVPGISETETKSKNLFAILGGVMFAASFCIAMIYGTVCFIWRKNGQDTVKDLSRRAPRWSNFGSEFLDDMSKLKHSFTYFSMEELMVATENFSEGSLLAAGVYTGKIGDSCMVIKQISSREVANNIICILTKINHLNVVKLEGFYDGTLPYLVFELAENGSLRECLSHSNVGKQLTWNIRTQVAFDLAEGLHYLHYCTKPTYVHRNINNRNVLLTADWRAKISGFGSAKPLDVNNEKGKDYSKESAIVGREGYLAPEYLKYGQASTKVDVYAFGVVLIELLSGKEATTNGELLKNFLKSAANKELHQGSPGYLEMLEQFMDPLLEKDYPVDDALYLACLAKACMEEDPLSRPTMNDVLMVLSRIL >CDO98663 pep chromosome:AUK_PRJEB4211_v1:4:7950141:7957384:1 gene:GSCOC_T00022832001 transcript:CDO98663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48820) UniProtKB/Swiss-Prot;Acc:Q8RY00] MKLLRFAFAIALASGLAAILIYFNGLIYINGVSFQNAAIHLSDKDVEALRNLRTTFQKCVSANGLGLEALSGRGYCDVTLRFPSDTLPKWKDPKTGELEGLSFEFNLCEAVATWEQVRNSTTILTREFIDALPNGWKEYAWRRINKGILLNQCANRTLCEEKLSLVLPERPPYLPRQFGSCAVIGNSGDLLKTKFGKEIDGYDAVVRENGAPIQNFTEYVGTKSTFRLLNRGSAKALDKVAELYERGKEVLIIKTTIHDIMNKMIREVPILNPIYLMLGASFGSAAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLQGRAYYQMMECLGLIRIHSPMRADPNRAVKWVPDRRLILAARIASEKLLRRVGAGSGDPLSGCSIIKKQSSGKATRDLSIRSAVIEHQKYAKATTMYPLEHNPGHGLLCTVPRD >CDO98493 pep chromosome:AUK_PRJEB4211_v1:4:6138285:6142222:1 gene:GSCOC_T00022608001 transcript:CDO98493 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRVEFEFYVFLLQHFLLMKNDGSNWNITSLLLFICFGGEALKANILHQIEKANDLLASSNESLSLIIDGKALTYALDIDVKDFFLELAISCSTVICCRSTPKQKALVTRLVKLKTRKTTLAIGDGANDVGMLQEADIGVGISGFEGMQAVMSSDIAIGQFRYLERLLLVHGHWCYRRISLMICYFFYKNIAFGFTLFFYQAYASFSGQTVYNEWCLSLYNVLFTSLPAIALGVFDQDIPARLCLKFPVLYQQGVQNVLFSWFRIIGWASNAIFSSICIFLICILGLEDQAFRRSGEVVGLEILGATMYTSFMVFIEACAPSPSFWLVLPLVLFVALLPYFTYTAIQMHFFPMSHQMIQLMYAQSDDTEFV >CDP14927 pep chromosome:AUK_PRJEB4211_v1:4:26148217:26151593:1 gene:GSCOC_T00042421001 transcript:CDP14927 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVNRWLRPEVYPLFAAVGVAIGICGFSLVRNICINPEVRVAKQDRAAGVLENFAEGEKYAEHALRKYVRNRKPEIMPSINSFFTEPEKN >CDO97895 pep chromosome:AUK_PRJEB4211_v1:4:1343827:1346294:1 gene:GSCOC_T00021834001 transcript:CDO97895 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAKWIKSLISLKKTQSCDHEKGSGKSKKWKLWRTTSGGLSVMSRGVKRGGRTPESEESESSSCGYESAMAAAVATVARAPHIDFSVLRQEWAAIRIQTVFRAFLAKRALRALKALVRLQAIFRGRQVRKQAAVTLRCMQALVRVQARVRANCIQTSLDGQSLKNCVSENEDQADMIKQAENGWCDSRGTVEEVRTKLQMKQEGAVKRERAIAYALAQRQSRRKPYSNRALSGDSMNKHNSGLSWLERWMATKPWESRLMEEFHTSSLDMTPISSKYDRDSVGSFSNSLENDSVKIRRNKVSTRISARPSTDDKLIRTSSDPSSGCLYDESTTSTSSLSTSETPGSNEAPVDGYGIKLSFMNATESIKAKQRASPYQSRSMQMRSADNLQFYRKPSSFSGSINRRSADSDLHSVQLCKDLYPPVQLGKYNRMRS >CDO98032 pep chromosome:AUK_PRJEB4211_v1:4:2367033:2370558:-1 gene:GSCOC_T00022002001 transcript:CDO98032 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSQMKWKNLRPIAGVAIVYLVAAVVVSAEHIPEQVISENNGTAKVYASNYLWQNGVMNYRHVWPEMKFSWQIVVGTVIGFFAAALGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMITGAAGATVYYNIRLRHPTLDLPIIDYDLALLFQPMLVLGISIGVAFNVIFADWMVTILLIILFIGTSTKAFLKGVETWKKETIMKQEAAKFLASGGTSGEPVSYKLLPGGPSGSISIKQNVIEKSEVSIIDNIRWKEFCILIGVWGSILFLQITKEEYTKTCSTTYWILNLLQIPIAIGASAYEAIGLYKGKKVIASRGQAGADWSIYQLVFCCLCGILAGIVGGLLGLGGGFILGPLFLELGVPPQVSSATATFAMTFSSSMSVIQYYLLRRFPVPYAVYFVSVATVAALVGQHVVRKMISILGRASLIIFILAFTIFVSAISLGKYHTFVIFMAS >CDO98498 pep chromosome:AUK_PRJEB4211_v1:4:6166723:6169446:1 gene:GSCOC_T00022616001 transcript:CDO98498 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQVVILLLDKIADYLIEGAAGLWPKDLKLRDQIEWVEGELRRMQCFIKDVDSRQDADERVKNWVADLREVAYDTDDILDSFVYSLVQGQQRGVLVTFLKRYFLSFNELVLCRKLNDQIKRIRIRLQEISDRKSTYGIGNIGIGTEGAGFAASRLQERRRSSVHVCEDIVGLVEDVKIIESQLIHGESRRCVVSVVGMAGIGKTTLAKRVYLKSDLKEHVDCCAFVYVSQNFRAREILQELGKKLMGNVGGDFGRASNEELREIISSFLESKRYLIVLDDLWNFADWDDLKAAFPEEKNGSRILLTTRIKDVALYADSKSTPHELCLMNDEDCWKLFSKMVQLDWESSASLPPWAEELGKQMLRRCGGLPLAIVVLGGLLSRKDATFNEWQKVFQSMHWQLRQEPMQYGDVLALSYRDLPHYLKSCFLYFGLFPEDFEISARRLMLLWVAEGFVLPRGQQPLEDVAEDYLEELIGRNMVQVAKRKSNGRIKACRIHDLLRDLSISIAKEEHFLDFIHGDVNADSVTTRCRRLGIHSGEISITENTPKVRSLLCFDSVESNFKPRKVKLLRVLDLEGAYLTQLDSEIGNLIHLRYLSLRETWLKRFPSTIGHLEKLQTLDLRSTLISPIPLAIWKLLNLRFLYFNELKEMVVDPPKDAALTHLQTLQGLCITQKSRIENGLDKLTNLRELELHGELYAQEVALAKWILNSKNLECLKLHANPVTAFLVDAHTKIQDFDRTRLSIPKSTMFADHFFLSKLHLDGYIKKLYDVEHFPPNLEELSLKDSYLMEDPMPKLEKLQNLRVLKLKQCAYVGKELVCSSGGFPQLHLLKLSFLTLQTWRIEEGSLCNLKQLEIVECKQLKILPRGLHPLTSLKDLRLGYMPHEFALKARDRAGENWYRIHRVPPL >CDP15065 pep chromosome:AUK_PRJEB4211_v1:4:9879853:9882332:1 gene:GSCOC_T00042614001 transcript:CDP15065 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPHLLALSGLLALFLLYKQWRPRVNPNPRSKAVSAPEAAGGWPFIGHLLQLSPNVPIARNLGAMADKYGPVFSLRLGMRQTLVVSSWEAVKECFTTNDKAFSYRPPSSFNEYVGYNYAAIGFAPYGPYWREIRKIVMLELLSNRRLERLKHVRISEIENNIKVLFDGWKSSSNDPPAKVNMGKWFEDLFLNIMVRKISGTRYTDSEVGSKRNAQFRRVVKEFVHFLGQFVVSDVIPFPLLKWIDMQGHLKSIKRVSKELDSIIQIWIDEHNERRMKISEQGDEQQDFIDALLSVTKDEYLFGHSRETVIKATLMSLVIAAFETTSIHLTWTLSLLLNNKHVMRQAQEEIDSNVGKERWAEESDINNLVYLQAIVKESIRLYPPAPLSLPRQAMEDCNVSGYRISMGTQLFVNVWKLQRDPRIWSEPDQFLPERFLNGEVDFSGKNFEFSFTPFGSGRRSCPGIPLATQVTHLTLARLLQGFDLTTPSDLPVDMTEDTSISMGKATPLEVVILPRLPNHDLYG >CDO98548 pep chromosome:AUK_PRJEB4211_v1:4:6632486:6634189:-1 gene:GSCOC_T00022684001 transcript:CDO98548 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTITQLVRWRVRHLAACLYACRLPLIDEPDCSTSTDEVPEKKMVFDPIGESRRSETSRQKSRRKNRDQERASPENPKQKSSSKRSEDRRGESSMSSFAEEEYIVFCFTDDGEIQMVKEKRSSGASHGPINPAKRRSRRVNDTKLPSLAMLQETLPSGMNIVRVILNKKRLQAEEEGSNDVEVECIPDEIKEINHYGPHECRTLSSMLSVAESSDSNQSDASTGSFAFPILGRDWMGSPVHMPKPEDVHLQKHKARVVRLNCCRF >CDO98485 pep chromosome:AUK_PRJEB4211_v1:4:6080573:6086065:-1 gene:GSCOC_T00022598001 transcript:CDO98485 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKRIEAILERLFPESPTTATTKSKPNNSDNNHHNNNGSSASTTTSSADGVRWLKGKKRPNVSSALGILGPKSRLNPTEEMQVSSGSSGSVQAPSCRPWDRDDLFRRLSTFKSMTWFAKPQTISAVNCARRGWINVDMDTLACESCGARLLFSTPSSWTQQQVEKAALVFSLKLDTGHKLLCPWVDNICDEKLAEFPPKPTAVLVDDYKKRCSALLQLSALPIISPSAIDYIRSPQLEQFFGGPSGAEKNKSADASRSLINNPPSASSASYYQAQKLISLFGWEFHSLPYTVDCKVGKEDSSNNVNLANQPHLASDKLNLSVIRSSAKGVLEADNDSQASERVLYEPNSVVFECRLCGASIGLWAFSSVPQPIEFLRLVGYTEVDGQNDTPQSKNSAPGTSFSSNEGRNEFAASTSSNEKTCTLNLTIAGGPPPAKQNYRATISLPLIGRNLRARFSTNYMVGKDQEMALVEGNTSQQANDQVGEPVSNNEKQLENMEVVGGGLGSADMLVKNVGSAETVDSVVEDCNRSQIGEFSGCNVKNNVGMVVETATCKNDNLPGDKESNNAVESGGQAGDSNVPHTSLGRNPEQESLHNSMEFDPIRQHKLFCPWIASIGNSTPGWKQTLSALQRHKEFSESFAENPPSSLIEVDDPIASIRKLFSSPPVKRTKHTHAS >CDO97820 pep chromosome:AUK_PRJEB4211_v1:4:752263:757437:1 gene:GSCOC_T00021733001 transcript:CDO97820 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEQQNYNGESDHSDGYDSDDSEEDPDFDILEESRSSFSGLSIRRKSNLGIDKEMIKYAEEEGDPDVTEVVVPGLDEKDQKGYETIQKVVGAGQLEKLKVDQCKVYLRKHGLRLTGNKETLIQRIREHLDILNGGGEKKYPASSFLVNCKGAIMQILRLGLYLLFRFNIASRSASGPPCGTRLVAGRIVKESYGAAKQQHTFTIEVLWSKGEKSLPPLHPLLIKGRNLYRLTTMRQRWEDEEERKRILSEKHARGAVARSNREARVLERDMRKVLKSDRVLKKEHGFRKQEENRKQMQCSLSIPLNNNIQPEQQPEDMQDTCQSQYFKKKECWELRSFKDKTSARQEDPGYVQMRSKICTDANVPLEGNPSRRPFTSMNCNLPGHPSWMNTHEDQNKFKNSMTSVYGAVKNARHANHRSVINHPRAPAGCEISSTNSLSSSTKSHVYAKNENAQQKDFYHRNCGMNNPLKSSEQMRAYVRVDNFDASRNIVQGHLKEKKQLCRYYAQGRCYYGYKCKYLHERYSGKLVVQSGLIGTDSNSGEAVILLAGEWLTFHQLVNTFLSLCIFVACLVSEKKWQSNLQ >CDO98203 pep chromosome:AUK_PRJEB4211_v1:4:3628110:3629390:-1 gene:GSCOC_T00022223001 transcript:CDO98203 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNVLVVTLIALVFVNSSFAEYSRNHPKDNHTKSSQQEEDQELRSARRRNRVGSRRRSSGGSRRGSSGGRRSGGSSNCDPLYSYLFGSCGQWPFSRSSGNNPFLPRPTPSPRPTPPRPPIVQPSPPPLPPPIVQPSPPLPPLIPLPPPLLPSPPPAVLPPPLIPSPPPISLPPPVVPSPPPVQPSPPPVSPSPSPPPPAIPSSPPPSPSPPPPSPSPPPPLPPPPLVPSPSPPPPDLPVIFPPPPLVPSPPPPELPQPPVFPWLSPPDTNPDSPTFPVVSPPPLIPDLPPDIFTPAPPLAPILSPPPDFFLPPQNGPDIIFTPPAPTLIPSYTPPELPFTFTPSPPADDGGVFQSPTFPLPQAPDVFFAPPLLPDIPESPFQPLPFVFVPPSPDAVPVVQEQSPPLPFIPPFEDPPQPDSPPFND >CDP12388 pep chromosome:AUK_PRJEB4211_v1:4:19571754:19574832:1 gene:GSCOC_T00035901001 transcript:CDP12388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein A2 [Source:Projected from Arabidopsis thaliana (AT3G58810) UniProtKB/TrEMBL;Acc:Q3EAH9] MDAQVSEDGHVIEIDADRPAVEKGFVASKVCEGAPCGLSDAQTSSERSVSMKKLWIAVVLCIVFMSVEVVGGVKANSLAILTDAAHLLSDVAAFAISLFSIWASGWEANPRQSYGFFRIEILGTLVSIQMIWLLAGILVYEAIARLIHDTGEVQGFLMFLVSAFGLVVNIIMALVLGHDHGHHGHSHAHDHGHHGHGHAHSHGHHGHEGHSDDDGEKENEQVNDHMRTHGVSTAGHRHHHEGHSEHPHAHESDHTEPLLEKSCSESEHKSNSGHKKKQWNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLVFSVIVLGTTIKMLRNILEVLMESTPREIDATRIEKGLCEMDEVVAIHELHIWAITVGKVLLACHVKIKPDADADLVLDKVVVYIRREYNISHVTIQIEREFN >CDO98381 pep chromosome:AUK_PRJEB4211_v1:4:5017898:5028294:1 gene:GSCOC_T00022453001 transcript:CDO98381 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNDDEEEESSGSDADDGFDEDMEALRRACLLTGTNVDDLENPCSPSPAVTATTSGAAATTGSDSDADDAEDDLELVRNIQKRFAIVTDNALEPLTLEPLYSIQPSANEDDDFETLRAIQRRFFAYSEGGLGESTEVVSHKPENSNLQEESPSYLDKINNATKDIEACSKVNAGTQPSDFSEWHDSDSVNAAILPVKGSSFPKAAEAFVDAIKKNRSCQKLIRSKLLHIETRIEELKKLKERVKILKDFQATCRKRVGQALSQKKDARVQLISVPKLSANVQLSQKKSSPMQYGPAENSQVANYREVLEKFPVSVIRNKWSKEEREKLSNGVKQQFQKVLLQRSVDLLSDGDGSFDDSDNLDSIVASIRDLDITPDKMRQFLPKVNWDELASMYLPGRSGAECQARWLNCEDPLINQNSWTSTEDKNLLHVVQQKGLSNWIDIAVSMGTNRTPFQCLARYQRSLNASIIKREWTEEEDNQLRAAVEAFGESNWQVVASAMEGRIGTQCSNRWMKSLHPARQRVGKWTPEEDKRLKVAVMLFGPKTWKKIARFVPGRTQVQCRERWVNCLDPSLNRNDWTQEEDSKLKAAIEEHGYCWSKVAACVPPRTDSQCRRRWKVLLPHEVPWLQAAKKMQRAALISNFVDRESERPGLLPSDFVPLPEITCTSESERINLSGHQNWGLSDLGSEEVLASGTEVERFTSDSISRRKRQRRKSRRTNSIASSEEHLSYCPDTMHSSETMHSNDLETLGGFNHCQNRSSQKSKGMASGYKCTSKKRARRTHPKRDGCSDSVDRISSSNNVNSLIMTGGEETRELVRDDGSGTQCKQDFDQHPSYSRCNKSLEENGGCNDTEGHQLSFDNPNLLLIENGEEAVEVNRSDGVATLGQKASKLHPRRSGHNESPDGIPGISFPNTAEFDVVHDTVVNKQRSETKSTPEKSESTNAVDHHSSSLLNSTVKKDLGTSGVIGSKRGKRTRNRDETSVVEETENDDMTLAEFCNKRLAAENTGDDDMTLAICITVLIFLESKKFRVTPGFFYLSFHYIFSIFRLSPPKNPAPHSRSSSLKMSQDQLILRGTMRAHTDWVTAIATPIDNTDMIVTSSRDKSIILWSLTKEDKTYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLQSGTTARRFVGHTKDVLSVAFSIDNRQIVSASRDKTIKLWNTLGECKYTIQDADGHTDWVSCVRFSPNNLQPTIVSGSWDRTVKIWNLSNCKMRATLAGHTGYVNTAAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLDAGSIIHALCFSPNRYWLCAATEASIKIWDLESKSIVVDLRVDLKQESEMAAEGTTVQTTGAKNKVIYCTSLNWSADGSTLFSGYTDGVIRVWGIMRY >CDP12395 pep chromosome:AUK_PRJEB4211_v1:4:19713665:19719053:1 gene:GSCOC_T00035910001 transcript:CDP12395 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRFSRVYGSLHRHGRLAAAATLSDHSSACKAFPQHPSIPSFSNSLTPFDPTNSTYKHFICTRFYPENQTLVQLLHYSTLANPKKENDDKHSGKNNGLEVLSWIDLYLPKKIRPYAHLARLDKPIGTWLLAWPCMWSITMAAESGSLPDVKMMALFGCGALLLRGAGCTINDLLDRDIDTKVERTRLRPVASGALTPFQGLCFLGFQLLLGLGILLQLNNFSQILGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIRASLDPAVVLPLYASGVFWTLVYDTIYAHQDKDDDLKVGVKSTALRFGDSTKEWLTGFGLACIGSLALSGLNAEIAGWPYYAFLAAASSHLAWQIRTVDLSCRADCNKKFVSNKWFGAIVYSGILFGRLFS >CDO98458 pep chromosome:AUK_PRJEB4211_v1:4:5772361:5776334:-1 gene:GSCOC_T00022556001 transcript:CDO98458 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVTLFSFQLVVVAVLTSFASGRATSLVVDSGGGSTTVAPVHDGYVLQKAVATSPIGGEFLTDCLMKSLESKGLTTVDLDFPNTTESYKLYCQRVIASDIKECVCRAPDTPYDDSSYSNIPMTSYELPDGQ >CDO98181 pep chromosome:AUK_PRJEB4211_v1:4:3471074:3471885:1 gene:GSCOC_T00022192001 transcript:CDO98181 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRSVTAQSLELRVLNRNHACEISSDTTCSGKRNHERKMRVNSKLVKQLDERLGILEDEGEILKGEFMRSMQERAELIDEVRNHFQDMHYYFPLKSQECRDISSQGALTIEPLKKERSGLLQVLCQESNPSLLTRP >CDO98270 pep chromosome:AUK_PRJEB4211_v1:4:4110964:4120766:-1 gene:GSCOC_T00022309001 transcript:CDO98270 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASRGAQTVNSMYFKPTLRKAFHRKSNPPDTAKLNGEEMKRKKKKSSVVGNNHDGNWWVPDDRTGIFYPKGQEKVMEDEPRILILPHLQYGLPGEQNNYIAPPFDWFHFLSLLISSLARSNPSTSPLSMAVKTKQEEIIFRSRLPDIYIPKHLPLHTYCFEDLPKFRSQACLINGATDEIYTFEQVELTARRVASGLNKVGIQQGDTIMILLPNSPEFVFAFLGASFRGAISTMANPYFTSAEVIKQAKASNAKLIITQGCYVEKVRDYACENGVKVVCIDSAPEGCLHFSELTEADEREMPDVEISPEDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNFYIHNQVMMCVLPLFHIYSLNSILLCGLRAGTTILIMQKFDIIPFLELIQKYKVTTGPFVPPIVLAIAKSPEVDKYDLSSVKTVMSGAAPLGKELEDAVRTKFPKAKLGQGYGMTEAGPVLAMCSAFAKDPFEVKSGGCGSVVRNAEMKIVDPETGSSLPRNQPGEICIRGDQIMKGYLDDPEATKATIDEDGWLHTGDVGYIDEDDELFIVDRLKELIKYKGFQVAPAELEALLLAHSDISDAAVVPMKDDAAGEVPVAFVVKSKESNITEDEIKEYIKKQVIFYKRINRVFFVDAIPKSPSGKILRKDLRARLAAGVPK >CDP12421 pep chromosome:AUK_PRJEB4211_v1:4:20261459:20264615:-1 gene:GSCOC_T00035950001 transcript:CDP12421 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGDKKPYQHKRALLFVDNSGADIILGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMINIQDGSIDSSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKEADLVILEGMGRSLHTNFNAKFRCDALKLAMVKNQRLAEKLIKGSIYDCVCRFEPAS >CDP12281 pep chromosome:AUK_PRJEB4211_v1:4:26533839:26536938:1 gene:GSCOC_T00035729001 transcript:CDP12281 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEGLGLVDSISIQSDFPRDVHRPVAKFHPDIWGNQFLVYSPDSDKATWASKKEQLEQLKERVRTELHATASNPSQQLQLIDAIQRLGIAYHFEEEIGQALQKMHEKHQNWEGNDHIYTAALCFRILRQEGFRISSEIFKKFLNAEGKFGECLVNDVPGMLALYEAAHLRTHKDNILDDALAFTCNHLQSCKLSSPVAELVSHALMQPYWRGLPRLEAKHYIDVYEKFPSHNTLLTLAKLDFNMLQSQHKEELQEISLWWKELDFARKLPFARDRIVEGYFWIVGVYFEPQYALARKIMSKVFAIASIIDDIYDAYGTYEEIQIFTEAIERWNVGCMKQLPDYMKICYRALLDLFEEIEEEVAKKGSSYRTYYAKEALKWLVRAYFAEAKWLHQGYIPTVEEYMRIGLTSSGFPTLSIISFLGMGDIVTKESFDWTSNDPDILRAASIICRLRDDIVGHKFEQERPHIASAVECYMKQHGVTEQQASEELYRQIEDSWKLVNQQLLKPSTTGFDAAEFVPPRAVLLRVVNLARVIDVAYKHNDEYTHVGEVMRSYVTSMFINPVPV >CDO98007 pep chromosome:AUK_PRJEB4211_v1:4:2213027:2216143:-1 gene:GSCOC_T00021971001 transcript:CDO98007 gene_biotype:protein_coding transcript_biotype:protein_coding MLERALSVSTRRAAQVNDEVEGDEESGADESKTRKHASTAAMRLTNYLTRTGHLWPILILGLVVIVICSIFVHTRDLVCLKAASSDHISRLRFFGFDDLESDFGSLGVPWCRSKQGKTVEWTMKDLLKGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFIAQWLKPELMIESGAFKGHSTWVLRQAMPDTPIVSLSPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVDWGRVMKKHKIKDLTRVLVFFDDHQNELKRLKQALKAGFRHLVFEDNYDTGTGDHYSFRQICDQSYIRGGGHSCFRDGDEARIRFRRKKFWEKAVDIDELCGPGEAWWGVRGQMRDDFNHSNKAITYSQHFQNSRFVESVLDIYWELPPVAGPSLTHQTRYDPARVPSPIVEDGKYGLFRRLGLGRLEASVFNGYTQMVYLQISEQDS >CDP14865 pep chromosome:AUK_PRJEB4211_v1:4:25279545:25279754:-1 gene:GSCOC_T00042336001 transcript:CDP14865 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSLQKKKLEGKVAIITGGASGIGEATTRLLCTHGAKIVIGDIEDEKGQKVAESIGSNLCSYIHCEL >CDO98235 pep chromosome:AUK_PRJEB4211_v1:4:3842324:3848869:-1 gene:GSCOC_T00022261001 transcript:CDO98235 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNYKAQFMGGKKEKFVRLDDLDSNLSFKADMPGKTRIGFSLEGLTGASRSSNKPSKSFRVGMKRGSEGIITLGRSLKSGVSRAVFPEDLKVSGRKIFDPQDKSLLFWNKLLVCSCTLAVSIDPLFLYLPVFRSKEMCLHIDNSLAHTTTTLRTLVDAFYLIRMVLQFRTAYIAPSSRVFGRGELVIDPKQIAKRYTHRYFIVDLLSVLPLPQIVVWRFLHRAKGSDVLATKQALVFIVFLQYIPRFLRFLPLMSELKKTAGVFAESAWAGAVYYLLWFLLSSHIVGAFWYLLAVERRDACWEEACQDSDMCKANANLLYCASERPSNSNWENVTQTVLGSRCAINDTYSAFDYGIYANALTSGVVESEYFISKYFYCLWWGLQNLSTLGQGLETSTYPGEVIFSIAIAIFGLILFALLIGNMQTYLQSMTVRLEEMRIKRRDSEQWMHHRVLPPELRERVRRYDQYKWMETRGVDEESLVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLCTENTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRGLLKQGDFCGEELLTWALDPKAGSNLPPSTRTVKALTEVEAFALIADEVKYITSQFRRIHSRQVQHTFRFYSQHRRRCRI >CDP18941 pep chromosome:AUK_PRJEB4211_v1:4:14815839:14817233:-1 gene:GSCOC_T00003268001 transcript:CDP18941 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSSPHFVIFPFLAQGHTIPLIYLARILWQHHLPVTIFTTPTNSPLVRSTLQDAAICVVELAFPENIEGIPYGVENTDKLPSMSLFHQFANATKLMQPHFEKALEDLQPVGCIISDAFLGWTQDSAAKMGIPRIGFYGMSSFASTLSAIIAIERPHALTTSIDEPFSIPSFPKLSLTRNDFMPPFGDLEPKGPWVEFMIEQSTAMAKSYGVIVNSFYELEPAYTDYWNECIGPKAWCVGPFSAAKPPAILAKESEKPAWRLWLDEKLMSGEPVLYVAFGTQAEISPEQLLEIANGLEKSKANFLWVIRSKTLEILDGFEEKVKDRGIIVKEWVDQMEIIRHKSVQGFLSHCGWNSVTEAICAGVPILAMPMMAEQHLNARLMTEVVGVGLRIVPCNGSVRGFVKSEEVEKKVRALMEGTKGQEIRKKMKEVEEAACGAMKEGGSSWKSLEQLIHGIRNYRKK >CDP15040 pep chromosome:AUK_PRJEB4211_v1:4:9605725:9610380:-1 gene:GSCOC_T00042580001 transcript:CDP15040 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRQIHIVNLDPAAESFDYPVAMDIRELISLEDVMEELGLGPNGGLVYCMEHLEDNLDDWLTEELDNYLDDDYLVFDCPGQIELFSHVPVLKNFVEHLKRKNFNVCAVYLLDSQFITDVTKFISGCMASLSAMVQLELPHVNILSKMDLVTNKRDIENYLNPEPQNLLPELNQRMAPRFQKLNKSLIELVDQYSMVSFLPLDLSKESSIQYILSQIDNCIQYGEDADVKIKDFDPEDDD >CDP14866 pep chromosome:AUK_PRJEB4211_v1:4:25344559:25345371:-1 gene:GSCOC_T00042339001 transcript:CDP14866 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPASTSATKKLEGKVAIVTGGASGIGEATAHLFAENGVKAVVVADIQDDKGRLVTESIGSHQCSYFHCDVSDENQVKALVEWTVQTYGQLDIMFSNAGIVSPSDQTVLNLDFSQFDRLFDINARGMAVCVKHAARVMVEQGVKGNIVCTTSVAASRGGVIRTDYIMAKHAVLGLVRCGSQQLGVHGIRVNSVSPSAIATPLTSNCVRRTRGKDIGKVYGPLTSLKGIALTVRHVAEAVLFLVSQDSAFITGHDLSVDGGLISLPGPNN >CDO98383 pep chromosome:AUK_PRJEB4211_v1:4:5040587:5044413:1 gene:GSCOC_T00022457001 transcript:CDO98383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MRRSSKKPSDFLKDLPPLPKLNFEDHPMLAREYERVRAGKPPVQMDTSRYGLEIPLANKRNDETAWKQALQKAQRLLQHQVIRLENLEQMSKHGPEVWKIYNKKLEALVSRAQLQAVELNEKIETVNRERKYHQQNTAYELNALSSQWRELCIKNIEIQAARAEIENQLELMKKEAGERGLNLDASMENGFITHAE >CDO97828 pep chromosome:AUK_PRJEB4211_v1:4:809307:811581:1 gene:GSCOC_T00021741001 transcript:CDO97828 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKKVWLSSNKFTGAIPVSLWHLRHLIELHLEGNQFSGTIPALEQPTLMSLNLSNNNLNGEIPQGLSRFNASSFRGNPGLCGEKLGNPCGAITVPSSDNNDDSRMISYGVLIASGVMLLLMCSGIYVLRRKQETESDVMADEDLDGSVGVRISSANKKELSASQKSTGSSRRGSNRIGDLVMVNNELGEFGLADLMKASAEVLGNGTLGSTYMAVMSNDLAVAVKRIKEMNKIGRDGFDADIRRLGRLKHKNILTPLAYHFRKDEKLLVYEYIPGGSLLYHLHADRGPSHAELNWPTRLKIIQGIAQGLGYLHTELSSFEVPHGNLRSNNVLLTLEYEPIVADYGYCSLISGQARESLLAYKSPEVVQYQQVSPKCDVYCLGVLILELITGKFPSQYSNSGTGGTDVVQWAKSAIAEGREAELYDPEIASSSDSRSEMEELLHVGAACTENNPEQRLDVREAIRRIEDIQIAGYQSARSFNVLPSLRDGCAESADASQSQQQNAQRVCADQCRNSINVEDRPGHRTTTDSFAFDTVSSPFEA >CDO98077 pep chromosome:AUK_PRJEB4211_v1:4:2644096:2650313:-1 gene:GSCOC_T00022053001 transcript:CDO98077 gene_biotype:protein_coding transcript_biotype:protein_coding MATESLMVSESVDPLQSLFGPSLFPRTIVRAPTDPAMPSDPKDLDSVHHLMKSVALSSPEMLLDAGKAIVDRGPELLNSEFEKFAKSIGIDKEALRPRGNEKPQERRPGLGRPRPRFSLKPNTNKPSVTLEPSWDIDRLQDPEEFFSAFEKAENAKREIQRQEGGIMDDSDKYDSSTRNRPRRPGILGKSVSYKHRYSSVLLESGDKPISSQGTGGLDLLGAPSNVADTETQETDVAVSTGSITMTENRVNGILDELISRTSQDLDADEALSLLQERFKIKPIDLDKTCVPVFQDIGRTDFMALGEKVPNVRKTLSNISNLVKPLSGETTVNCEKAAEISISPIASPPPPKSPFASISLLKKRCTYSNSLRDPFSPFSVDLLERRNPAGSQTDLADKGSGSALLESSSECNTSRGAETLLENSNERNTSRGAESNDSADGTDKQIEKDGDKYESAGRSVKTNSHGHDSTPAGIDAGLHMHASVEVEGIRSEAAIPARPDANMDKSPVMINMHGSQPLSDQLNTAAIEDNIVVIPSTTAEINAKKNSENLPAKEQGRTKRPWREIHELKALARRKSIQEAGTSFESGVRRSKRIKTRPLEYWKGERFLYGRVNESVKLIGLKYISPAKGDGQLKVKSYVPNEILEVAARL >CDO98028 pep chromosome:AUK_PRJEB4211_v1:4:2351484:2353702:1 gene:GSCOC_T00021998001 transcript:CDO98028 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGVLMTCPMSSYLEEQLQKRFRLLKLWDSQMKADFLKQNSHLISAVVGNTVLGADSELIDSLPNLEIVSSYSVGLDKIDLVKCKERGIRVTNTPDVLTDDVADLAIGLALATLRKISVADGFLRNGLWKNSDFGLTTKFSGKSVGIIGLGRIGSAIAKRAKAFGCPIGYHSRSRKPNANYKYYSSVIDLAANCQILVVACALTDETRHIVNREVIDALGPKGILINIGRGPHVDEPELVSALVEGRLGGAGLDVYENEPEIPEKLFQLQNVVLLSHVGSDTVETCKAMADLVLANLEAHFLGKPLLTPVV >CDO98580 pep chromosome:AUK_PRJEB4211_v1:4:7043515:7046142:-1 gene:GSCOC_T00022727001 transcript:CDO98580 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAIVSFAVKQLGDFLTQEIVDRKNLRTRVEWLRNELGYMQSFIQDAEEKQSDDHRMQQWISEITDIAHNTVAILKDCNLKVGAPKSGFFNSLQTYACLCSREANLHNISQQLESLKQRVLDISRKRETYGIRNLSNSAGEGANRGPNSRSIIKRLRRATSYVDQDRLFVGFEDVTRTLLAELFKMECRRSVISIYGMGGLGKTTVARELYNSSKVMENFRYRAWVCVSQEYSTSDLLRTLIKSFRKRCFGDELKIIEKMDEEDLERYLREILKGHKYLAVVDDVWHKEAWESLKRAFPDDKNGSRVIITTRKKDVATRVDDRSFVHELRFLTPDESWDLFCKKISHGDGYNNWCSAGMLDLGGQMVHKCGGLPLAIVVLGGLLCHKERLQEWHEVKEHIWRHLKNDSLEISFLLSLSYDDLSGQLKHCFLYLGSFLEDSLIDVEKLKWLWMAEGFITPREAKMEEVADHYLNELVNRSMIQIADKMWDKIAYCRIHDLLRDLAVQKAIEVNFFDIYDPRKYSTANSSGRRQVVHTQIRNYFSLVSPSSKMQSLVIFNPDGEEPKGEHFRSLCVSFTNLHVLYLENCHFNFATDDGQLPYDIGSLIHLKFLGIVDTNFRSLPRSLGKLRSLETLCAATTDLAFPPEISELTNLRHLVALCKGPVNISSLTNLETLKFVKYQDWLKLDTTNLVNLRELVVQKIDGQGSLDSIGKLRNLATLTLTCSAVSWAFPPLKPLSSCKHLLRLWLSGPIKNVGRLKWLPRSIMILTLQHSILEQDPMPLLETFPNLQSLELIAAYIGHSFCCTAKGFPELELLRFRSLYGLEWHMEEGAMPMLKGVGIYKCPGLKCPERINRVRKLKETEKRLLPYERTWA >CDP14990 pep chromosome:AUK_PRJEB4211_v1:4:8967430:8970299:-1 gene:GSCOC_T00042513001 transcript:CDP14990 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSRVNSTELEQFLSKEKEKAMANEIVAKLTHICWDKCITSTPGSKFSSSETTCLTNCAQRYMDMSMIIMKRFQSMH >CDO97782 pep chromosome:AUK_PRJEB4211_v1:4:462569:467100:-1 gene:GSCOC_T00021684001 transcript:CDO97782 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLDIATARSCLGNTPYIFRSPNILHHEFVYRNQKGLLAFPWKFVCVPEKVINYNLGRLHVCRGRLVIKAVATLEPTRLAKRKDEFSLRVDVDSGSSAVPHGIEQQSSSDHSTEPNDREKLRRMRISKANKGNTPWNKGRKHSPETLQRIRERTRLAMQDPKVKMKLINLGHAQSEETRVKIGVGVRIGWEKRRKRLMLQETCLYEWQNLLAEASRRGVLGEAELQWNSYKILDKKLEQEWVQSIEERKRKPKPKGSKRAPKSLEQRRKISESISAKWADPEYRNRVCSGLAKYHGITEGVERRPRRKPSVDGQTRKRSPSQRIVDSDDSSKPEPKSHIQRVMLKRSSVAPYKDPLASSKLEMLKKIRAQRAAAESKKTEAVARARLLIAEAEKAAKALEVAAEKSPVAQASLVETRKLIAEAIQSISSIDEGQEELQNDGGSISLAAPEFVGPAKLEMSENVENPNQIVKRKVNGAQTSRSSNRDLIGFKFENTALQDLGDASSNTDMMGFSFEEPALGGLVNGTADVQKLRQTRLEDYNVASVLLDSAGRVKSSSCTDRMVDPALSGSPQREDNPLLNGVKSSESGNEEKLSKSASVTKKWVRGRLVEVAEES >CDP16422 pep chromosome:AUK_PRJEB4211_v1:4:17489131:17495176:-1 gene:GSCOC_T00018298001 transcript:CDP16422 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVWQTEESSKKPGSEEGNCSSYEELAVLRSRLEDLKDMVNQKFNSIRNLLEQGFEEMNQRIDSLIDSPKAERKKRARTRSQVWQTEESSKLGSEEGSCSGYEGLAVLYSKRLEELEDVVNQKFNSIRNLLEQGFEEMNQSILGIDQNIDEHEVAVEKLLVSSTSNLEKIIQDTKQRCMKLKFNSNISVPIRTGEQIKGEGGSNLQLSLIDNCTGAVVDFGREASAKVEIVALKGDDKGDAWTAEQFQSKIARDRRGKQSVLAGNLQLELNKGIVSLSDVMFKSSRHYNGGTFKLGAWVVDTFDGSPVKEAKTNSFKVHDFRIKHNQKHYPPSPSDEVWRLNNIWKNGATHKRLKREKVNYVKDFLTGLSKDPQELKRLVRLPEPKWEDTVRHAQTCKPDNIVGQVPAPAPGDACNMLVASAHQDKGIPFDGNIMPSMDSPGVLTGLDVSDFPIVDSMEFIFDHPLNIPGQVTDSVMCDIGSMTPAFYQDEHLQIVDSSSLGPSTDLHTAVSGHPPPRGQSGWKNATHCPEMVFFYNKVGRKKI >CDO97769 pep chromosome:AUK_PRJEB4211_v1:4:378336:380318:1 gene:GSCOC_T00021664001 transcript:CDO97769 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIQPSPPAASSAATPPSSSSSSSPASNRNRSRRRPDLTLPLPQRDPKLAVPLPLPPTSAPSSFSTSSSCFSPPLNFSQLERINRIGSGSGGTVYKVLHRPTSKLYALKVIYGYLDDSVRLQICREIEILRDVDNPNVVRCHDMNDHNGEIQVLLEYMDKGSLEGIHIPHEPSLSDLTRQILSGLYYLHKRKIVHRDIKPSNLLINSKRTVKIADFGVSRILAQTMDPCNSSVGTIAYMSPERINTDLNHGKYDGYAGDIWSLGVSILEFYLGRFPFAVGRQGDWATLMCAICMSDPPEVPQMASREFRDFIASCLQRDPAKRWTAAQLLRHPFILQYSSPSSSLASASSANNQTQVLHQTHQLLPPPRPHFSSS >CDP14357 pep chromosome:AUK_PRJEB4211_v1:4:21845351:21847373:1 gene:GSCOC_T00040698001 transcript:CDP14357 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLIKLILLVISALVGFASSQDLSITYNGFRSANLSLDGIAEVTPNGLLKLTNATNQQQGHAFFPSPVIFKNSAKSPAFSFSTTFIFAIVSECPTLSGHGIAFVVAPTRGLPGDLPSQYLGLFNGTNTGNGTNHVFAVELDTIQSIEFNDIKDSHVGIDINGLNPTQAKPAGYYSNDNGVFQNLNLISGKAMQVWVDYDGTTKHISVTLGPIHASKPSKPLLSLTSDLSSVLHETMYIGFSSSTGSVLTTHYLLGWSFKMNGVAQGLDLSQLPKLPQVGPKKKSKLLTIGLPIFLIASLSIAISGVIYHVRIKKKFAEVLEDWEREYGPHRYKYKDLYIATKGFRDKGLLGIGGYCRRKDELLLVYEYMPNGSLDKFLYEQPEYTLNWSQRFRVIRGVASGLYYLHEGWEQIVIHRDVKASNVLLDSELNGRLGDFGLARLYDHGTDPQTTRFCGRRPIEPHSPTEDDILVDRVFSCWNKGQILEAVDPNMGLDYVKEEVELVMKLGLLCSQSEPTARPSMRQVVLYLDSALALPNLHLLGISATGLSFASQEGFSDFNLSYPSSMGKPFSHASSSAAESLLSGGR >CDP12392 pep chromosome:AUK_PRJEB4211_v1:4:19647880:19649217:1 gene:GSCOC_T00035905001 transcript:CDP12392 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRLLWISSLRLIFTCPLMKIITHWPQAQLGLTMNWGALYSWAAVKGSLDPAIVLPLLGL >CDP12389 pep chromosome:AUK_PRJEB4211_v1:4:19583669:19591177:-1 gene:GSCOC_T00035902001 transcript:CDP12389 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MSPPVPSKILTDDVSLLMVLIDTNPHFWSSIKPHFSFSKFLSHVLAFLNSILLLNQLNQVVVIATGINACGYVFDSSSGSVSQRAESLLPKLEEFAENDESLSERDDDSGNGVGSSLLSGSLSMALCYIQRVFRSGPLHPQPRILCLHGSPDGPGQYVAIMNSIFSAQRSMVPIDSCVVGIQHSAFLQQASYITGGVYLKPQQLDGLFQYLATVFATDLHSRNFLRLPKPVGVDFRASCFCHKNTIDMGFICSVCLSIFCKHQKKCSTCGSTFGQAKTHDPSASQQR >CDO97935 pep chromosome:AUK_PRJEB4211_v1:4:1683419:1685085:1 gene:GSCOC_T00021884001 transcript:CDO97935 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIDKCWRTDRNWRSHRHQLATCSVGYAGKMMNNIGRDVINYKVTDPSDDALNPKPGTLRYAMTHVKGKVWITFKRDMNVTLQKPLLVSSFTAIDGRGVNVHISGGACLVLQRANNVIIHGLRIHNCVAQPAGPVVGPDAKIVHLGPVDGDAIRMLSSSKIWIDHNTLYDCPDGLIDVTRGSTGVTISNNWFRSQNKVMLLGHDDGFRRDKDMKVTVAFNHFGPRCQQRMPRVRFGYAHVVNNLYLGWGAYAIGGSMDPTIKSQANLFIAPKDGNKEVTWRQHNGNGMSWNFLSVEDVFENGASFSQSRSGGGVAVRPNYSAEQIFPIEAAREVRALTKSAGALKCPRVSRC >CDO98171 pep chromosome:AUK_PRJEB4211_v1:4:3384059:3388496:1 gene:GSCOC_T00022177001 transcript:CDO98171 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIDNNGTPNSDAQPNKRRRKKSVVWEHFTVENIDADCTRAFCKQCKKSFAYITGSKLAGTSHLKRHIALGICPVSKLNKEKNQSSPYIPNPKTNGSADAVDRKRKRRRATSGLTAISFDQESCSHEIAKMIIKHDYPLHIVENPGFVRFARALHPQYNSVNINMIEAHVVNIYLREKQNLLSLLAGAPGRISLSLDLWTSDKTVGYAILTGQFVDCDWNLHRRILSVITLPFPDSESAFNHAVAACFTDWCFENKLFTLTLNQSFSSETIRANLRGLLSIKNSVIVNGQLIIGSCYAHALGSTAQDALWSMRNTLEKVRRIVKYVITSEVHREKFAEVKQKLQVPSTKSLVLDDQTNWNTTYEMLLAASELKEVFCFLDISDTQNQIIPSMDEWREVETLCTYLKLLHDAASILTAEVNPTSNTFFHEVWKIQLELMHAARSPDLFTRNLTKPLKDRFDRYWKDCNLVLAVAVVMDPRFKMKLVEFSFSRIYENEAETWIKLVDEGLHELYLDYVLESLPPPTFLDEASESIIKAEISQDDCLLSSADGLSDFDIYISEIMSSNQMKSELDQYLEESLLPRVQDFDALGWWKLNRLKYPTLSRMASDVLSIPVSTVAPDSVFDTAERKMDSFRSTLSPTTLEALVCSKDWLKYESPDTSLDMQTAIVSVER >CDP16533 pep chromosome:AUK_PRJEB4211_v1:4:16887640:16893526:1 gene:GSCOC_T00018904001 transcript:CDP16533 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTYGPLMHLRLGFVHVVVAASASVAAQFLKTHDANFSSRPPNSGAKHMAYNYQDLVFAPYGPRWRLLRKICSVHLFSAKALDDFHLVREEEVAILTRTLLASVGKDSVNLGQLLNVCTTNALGRVMLGRRVFSDGDPKADEFKSMVVEMMVLAGVFNLGDFVPALDWLDLQGVAVKMKKLHARFDTFLNAILEEHKINGSSRNEERVDLLSTLISLKDTADGEGGTLSDTEIKALLLNLFVAGTDTSSSTVEWAISELIHNPKLLAQAQEELDTVVGSNRLVTEADLPRLTFLQAIVKETFRLHPSTPLSLPRIAAENCEINGYFIPKGSTLLVNVWAIARDPDMWADPLEFRPGRFLPGGEKPNVDVRGNDFEVIPFGAGRRICAGMSLGLRMVQLLTASLIHGFDWDLPNGQVAEKLNMEEAYGLTLQRASPLMVHPRPRLKPHSYRP >CDP12365 pep chromosome:AUK_PRJEB4211_v1:4:28148627:28156315:1 gene:GSCOC_T00035860001 transcript:CDP12365 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSGMALLSQQFKALFIKNLLVSWRSKGATFVQLFSSLFFMFLIFVIQKAIESRYSSSTSFTDVFDPTPLVFPPIPPCEEKYFVKLPCFDFVWSGNDSRRIREIVDRILVNNPGRPIPSTKIQSFRTRSEVDNWLLNNPMQCSGALHFWERNSTMISYGIQTNSTFIGKRGSFEDPTFKFQIPLQLAAEREIGRSLIRDPKFSWTVSLKEFAHPGNKIFGAVSTAGPIFFLAISMFGFVFQISALIAEKELKLRQAMTMMGLYDSAYWLSWFAWEGILTLLSALFTVLFGMMFQFDFFLLNSFAVLFVLFYLFQLNMIGFAFMLSAFISKASSSTTVGFSIVTVFGFPYTKKFSNTFRIIWSIFPPNVFAQGLQLLSDATSTPQDHGVSWNRRAKCTPNDTECLITINDIYVWLVSTFSVWLILAIYFDNILPNVSGVRKSVLYFLMPGYWMGRGGNKAEEGGICSCRDSIPPLESFTLDDEDVLEEENIVKQKMDESVLDASVVVQIRGLVKIFPGRTKISCCKCERTSPYHALKGMWVNIAKDQLFCLLGPNGAGKTTAINCLTGITPVTSGDALIYGFSIRSSTGMSNIRRMIGVCPQFDILWDALSGQEHLHLFASIKGLPPASIKLVTQKSLAEVKLTEAASIRASSYSGGMKRRLSVAIALIGEPKLVILDEPTTGMDPISRRHVWDIIEAAKRGRAIVLTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSKFGTGFIATISLLGSTDETSAAKDNSTIAQYEVVKQFFKHHLDVLPKDENKSFLTFVIPHDKERLLTNFFAGLEDRKEEFGIADIQLGLATLEEVFLNIAKKAELESAAAEGSFQTVTLNSGLSVQIPMGARFIGIPGTESTEYPNGIMVEIFWEQDDCGALCISHHSQEMPIPSHVQLRASSTALRRNFSGRRKQVDGFVIDPIQIFDTDT >CDO98665 pep chromosome:AUK_PRJEB4211_v1:4:7962095:7966936:-1 gene:GSCOC_T00022835001 transcript:CDO98665 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYLTALTTYFSYGLLFVFGQFRDFFRKIVDWWLGSNLQGYAPICLGLEDFYTRRLYLRIQDCFGRPIASPPDSWVDLVERVSKDNNKTLQRTAKISRCLNLGSYNYLGFAAADEYCTPRVIESLKRFSPSTCSTRVDGGTTTLHNELEEVVANFVGKPAAIVFGMGYVTNSAVLPALIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNMPDHLEKVLREQIAEGQPRTHRPWKKIMVLVEGIYSMEGELCKLPEIVAICKKYRAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDILMGTFTKSFGSCGGYIAGSKELIQYMKYTCPAHLYATSISPPAAQQIISAIRVILGEDGTSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPVMLYNPAKIPAFSRECLKNQVAVVTVAFPATPLLLARARICISASHTREDLIKALEVFSKVGDLIGIKYFPAEPDKQQQDEGRVKLE >CDO98418 pep chromosome:AUK_PRJEB4211_v1:4:5302835:5304489:-1 gene:GSCOC_T00022500001 transcript:CDO98418 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSELFWRASMVPMVEEFAYEYAPKVAFMFLAKGPIPLAPLWERFFHGHNGSYSIYVHSDPSYIGEEPEDSVFHRRRVPSKPVQWGTASMIDAERRLLANALLDFTNERFVLLSETCIPLFNFTTIYNYLIRSNHSFIGSADDPRKSGRGRYNKAMSPAISISQWRKGSQWFEVNRKVAIRIISDRKYYPIFAEHCSPPCYNDEHYIPTLVNILLPEENSNRSVTWVDWSREGPHPGRFDWRNVNAEFMNQVRFGTTNCTYNGKNSSSCFLFARKFVPNSLKRLLELSPLLFG >CDP20645 pep chromosome:AUK_PRJEB4211_v1:4:28185546:28186106:1 gene:GSCOC_T00013577001 transcript:CDP20645 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLLLLLHFLPQQPGCQSLLPQSCLLLLLLCLGRSSLSSGRSLHPGGRALRTRPGGPLSPKYNTITITVIVVTSITGIIVVTGVGSCRRVNNCIIVVRRWALVTLGFFAVILGNFVVLWGMDRRRGGGLRVGGHGYDRGGGGEVGIGEGISYGGVTWEIMDLH >CDP14363 pep chromosome:AUK_PRJEB4211_v1:4:22052551:22054572:1 gene:GSCOC_T00040711001 transcript:CDP14363 gene_biotype:protein_coding transcript_biotype:protein_coding MFILIKLILLAVSALAGFASSQDLSITYNGFRSSDLSRDGIAEVTPNGLLKLTDALVQQQGHAFFPNPVSFKDSANSSAFSFSTTFIFAVVSEYPTLSGHGMAFMIAPTRGLPGALPSHHLGLFNETNNGNETNHVFAVELDTIQSEEFHDINNNHVGIDINGLNSTLAEPAGYYSDGSGVFQNLTLISGKAMQVWVEYDGKEKHISVTLAPIYADKPNKPLLSLSYDLSPILNKNMYIGFSSSTGSVPTSHCLLGWSFKMNGVAQWLDLSQLPKLPRVGPKKTSKVLTIGLPIILTIALPIAISGIVYQVRIKKKFAEVLEDWEHDYGPHRFKYKDLYIATKRFRDRELLGRGGFGKVYRGVLPSSKLEVAVKRISHDSRQGMKEFVAEIVSIGRLRHRNLVPLLGYCRRKDELLLVYEYMPNGSLDRFLYQQPRCTLNWNQRYRVIRGVASGLFYLHEGWEQIVIHRDVKASNVLLDSELNGRLGDFGLAKLYDHGTDPQTTRVVGTLGYLAPEHTRTGKATTRTDVYAFGAFLLEVVCGRRPIEPHPPTEDAILVDWVFSCWNKGQFLEAVDPNMGLDYVKEEVELVMKLGLLCSQSEPTARPTMRQVVLYLDSALALPDLRSLGISATGLSFASQEGFSDFKLSYPSSMDKPFSHASSSAAESLLSGGR >CDO98597 pep chromosome:AUK_PRJEB4211_v1:4:7243740:7246356:-1 gene:GSCOC_T00022752001 transcript:CDO98597 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYTLSISKCSSFTVSSILLLLSMKFLEYTTAFTLGNETDRASLLAFKTEITEDSLGALASWNNSLHICKWAGVACGLKHQRVTSLNLQGLNFNFLGGHISANLSQCSNLTALVLDHSYFVGQIPYELGYLVTSGNSILETISQKLRDLAALGLSDNNLYGEFPPALYNMIYLAANFFTGQIPYSFSNASNLLQLELLGNQFTGQVPFEFWIFLSLVQNASTCSTVLYSLNYANF >CDP19378 pep chromosome:AUK_PRJEB4211_v1:4:24590673:24592861:1 gene:GSCOC_T00002602001 transcript:CDP19378 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEKSLPAVQINTRALQQHLFSLLQNCKTIKHLSQIHTQVIINGFSQTNFILVNLLSFYITSGNLKSASQVFEQVQSPSTNVWNQIIRGHGRSEKPCKSVELFNLMGKSEALPDGYSYSYVINGCTKGGLLSEGRMVHGKVWKHGFCSNVFVQTNLLNLYSSCGGEDGVSNAQYVFDEMGKRSIVTWNSLLSGFFRCGDVDGARRIFDEMPERNVVSWTTMIDGCLGNGRCGQALALFHQMRRAQVEPDQVTLVVLLSACAELGDLNLGRWIHRYSFEILSDGKQPKLLSLNNALIHMYASCGVTNDAYRVFKEMPQKTTVSWTSMITGFAKQGYAKEALKLFHEMDRLRESNVKPDEMTFLGVLSACSHTGHVDQGWRYFCSMSQTWGVEPRVEHYGCMVDVLSRAGLLDEAVELVRTMPMKPNDVVWGALLGGCRIYKNVELASHVDKMLDMELEPDRAAGYCMLLSDVYSTARRWQEAHTVKQKIVEMGVRKPSGRSWVQINGVLHDFVVNDRAHKHSHLIYDMLGLIRKEMNLHGY >CDO97832 pep chromosome:AUK_PRJEB4211_v1:4:832749:835593:-1 gene:GSCOC_T00021747001 transcript:CDO97832 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKLNGGQANKKPNAVPAANQTKFEEPGKEKFSGWSNGLLAIGTFGNRDRREDEEIQRAAHTAETDSHEILQEDHEQCSSPDDLTEFTPEEVGQLQKELTRLLKRKPTSTAATKATPLEGSEVAADLPLDRFLNCPSSLEVDRTVSNRFSVNSDYRDEEDIDRTIRVIIGRCKEVCMENKKAAIGKKSISFLLKKMFVCRSGFAPAPSLRDTLQESRMEKLLRTMLSKKMYPQNASRASSMKRILEDRHTQKGEKEDETQDKIQEVCKWVKTDSEFIVLDLD >CDP18310 pep chromosome:AUK_PRJEB4211_v1:4:21332115:21333122:-1 gene:GSCOC_T00004340001 transcript:CDP18310 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCPRYPMANTVRIMRRSIYTFLQKYQFFTTTAAFLAFPFAALVLLSEASIPSSSLLQVIQKRLQSLFNAAGFPRSSEFFFILNLKLSQTIATSFVVVPFTLTFLLFAKAFIIQFFCNHKPTAEPKFASFLSLYSPLFLTQICNMLVIISANATCFCLLFFAFNCLDGLGLLNPKMLLLFSATGAVLYSIILANTLIICNLGLILSGIENTGGYIAILKACVLIRGRSATALSLALPINMALAAIEALFQYRVVRAYHHAESPVSSIVFEGMFIAYLYSVLLVLDTVVGCVLYRSCKASIQIDQENGYSHSYLVEFEDEDVNYFAKSKALDDLA >CDO97917 pep chromosome:AUK_PRJEB4211_v1:4:1523595:1527170:-1 gene:GSCOC_T00021863001 transcript:CDO97917 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVSVLFIVTLSLFSFSIFTVSRKSTHPIPSILRKKQTQQCSSLHKNDEDRNRCSDDKAQVGGKRAIGSVSTGPSILRGLLPPDSPKWDEPFKDEGPDNKNGSVLDGGRVGDEDEEEEEEEETKKKRKKRAKKKRPDPSSACGGAGEHDRKKDNKKEELVCLYPFTTSSSAVQRKLKQNYDQLAKSHESNALTLAQVGQFVNCLVEAKKELKHRSEVIQRKFTITKALLLKADRSSFDRLHQQIYKLELEQRRLEEDAVVYNWLQQQLKVSSAYKKLLEIGARMEAEDKHREQVERTDDEFADISFEELLAQEKKDAFWQRNGKSRSCSISGQRFC >CDO97903 pep chromosome:AUK_PRJEB4211_v1:4:1402353:1406924:1 gene:GSCOC_T00021846001 transcript:CDO97903 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNTGKGQLDVSDLGSALPAAAAALSEEDRAGLVNALKDKLQHLAGEHSDIMETLSPKVRKRVEFLRELQSQHDELESKFFEERAALEAKYQKLYEPLYTKRYQIVNGVLEVEGVGDASKGDEGDKGTEEKGVPNFWLTAMKTNEILAEEISERDEEALKYLKDIKWCRIDSPKGFKLEFFFDANPFFKNTVLTKTYHMIDDDEPILEKAIGTEIEWCPGKCLTQKVLKKKPRKGSKNVKPITKTENCESFFNFFNPPQVPEDDDDIDEDTAEELQNSMEQDYDIGSTIRDKIIPHAVSWFTGEAVHGDDFEDMDDDEDDEDDEEEDDEDEEVDEEDDEDKVDSKNRKKSTRSKPSVRSLGQEGHQTERPPECKQQ >CDP18017 pep chromosome:AUK_PRJEB4211_v1:4:10646513:10649602:-1 gene:GSCOC_T00008916001 transcript:CDP18017 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSDLVLNKTNSNKLDYLYEVSVEPKVVDPTSHPVINPYSVYGKQSFSPPGRELGGAVTHQPKGVKEYIQASKVDQHPILATKKEQLLLFIFQLIFLPHGKNRDTLIFILEPSEYHSPSMEEKASNSGSSSFTRHKIYKVSVCLHSNC >CDP15088 pep chromosome:AUK_PRJEB4211_v1:4:10264195:10266985:-1 gene:GSCOC_T00042654001 transcript:CDP15088 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRIHSIMPEPEDDIVVAKEAIKQAIRALRKRHLVEEAAHAPAFLALSRPILSQGSEWKTKAENLELELQQCYKAQARLSEQLVVEVAESRESKTLVQEKEALITDLENELAQLREECSRLNALLAEKTEALELIMTEHNDLKTQLEAATVRADDLEAENKMLIDRWMLQKMQEAEHLNEANATFEDMMNKTKASSIEQLARRQVDGVVRLREEDEYCMESTVPSICKQQISAHDGGCDAIMFEHNSTKLISGGQDRVVNMWDTTTGALVRTFHGCVGSVIDLSITHDNRTIIAASVSNNLVAWDVNSGRARHTLTGHVEKVCAVDVSKVSSRHVVSSAYDRHVDGCLRLWDIQTGKLLSEVAAHSLAVTSLSLSHNGNLILTSGRDNMHNLFDMRSLEICGTFRANGSRVASNWSRSCLSSDDNYIAAGSADGSVLIWSTSNGSVVSTLKKHKGSVVCCTWSGFGKPLATSDKNGSICIWA >CDO98489 pep chromosome:AUK_PRJEB4211_v1:4:6115819:6116637:-1 gene:GSCOC_T00022604001 transcript:CDO98489 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNIQDPASKRQFHWTTKVSSEDEEVSTKSCSKAIEEVRGEKDKVPVDSNSSKNLLEQVKKEDNKQVQESAATSANATRKKLQAIAVSRLRSVLTAFGRHRAHFQQGLGTRVVGTLFGNRRGHVHFAFQKDPNSQPVFLIELATPITGLVREMASGLARIALECDKEEKKSTRLLEEPRWRTYCNGKRCGFAARRDCGPKEWNILKAVEPISMGAGVLPGNADDAAESDGELMYMRAKFERVVGSRDSEAFYMMNPDSNGAPELSIYLLRV >CDP21211 pep chromosome:AUK_PRJEB4211_v1:4:24672000:24675526:1 gene:GSCOC_T00006570001 transcript:CDP21211 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFPSSHPKAKGVASDSASEAAGLLKWKASFQNQNNSLLASWNLQSISGKNSSNLPCAWAGISCINGSVNRLNLSEYSIKGSLYDFPFSTLPNLEYLDLSLNQIFGSIPREIGNLSKLIYLDFSVNDLSHEIPPEIGNLRNLTHLALGRNQLSGPIPDGIGTLHNLIELYLNNNTLIGGNQLNGSIPVSIGNLSNLNQLYLGANQFSGTIPEELGNLKKLVVLEMDQNQLSGPLPDLLCQNGTLQNITVSENMLTGPIPRSLKNCSSLIRARFNGNHFHGNLSEMFGIYPFLDFIDLSNNAFYGELSGNWGKCKILTTLMVAKNNITGGIPPEIGTLTQLQALDLSSNYLSGEIPRGVGKLASMLNLYLHDNQLTGSIPQELGMLTKLLYLDLSTNSLNGSIPEHLGDFRYLFHMNLSSNIFTQKIPFQIGKLTQLSELDLSRNFFTGEIPSEFQSLQNLGTLDLSHNNLSGVIPKALVKLPGLLHINISFNNLEGPIPSGRAFMNLTIEEVQGNKGLCGNITGLPACGSSPLIKKHVKDKQKKLLVTILCPLLGSFLLLCAFYGGLRLHDQWRKSSGTEDMDMKKGNFFSVCSYDGKALYKEIVMATEEFNDIFCIGKGGYGSVYRAELPSGDVIAVKKLHHVPEMAMHRSFLNEIKALTEIKHRNIVKLFGFCSNSRHSFLVYEYLERGSLAKILSMEEEAMELDWQKRLKIIKGIAHALSYMHHDCSPAIVHRDLSSNNILLDPEYEAHISDFGTSKFLKNDSSNWSSLAGTYGYVAPEFAYTMKVTEKCDVYSFGVLTMEVIKGKHPGDLIAYLMSSKPKNIELKDLLDQRLLYPSQEIERSLKSVLKLVRACLHVDPQFRPTMLFITRLLSTGASYE >CDO98492 pep chromosome:AUK_PRJEB4211_v1:4:6134111:6138208:1 gene:GSCOC_T00022607001 transcript:CDO98492 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAKRKKQSFSRISNISRQESLEHSLIGGPGFSRLVFCNDPGRPEAVPLSYGSNYVRTTKYTAVTFLPRALFEQFRRVANMFFLFSAILSFTPLSPTSALSTVVPFAFVVGITMVKELVEDLRRKKQDIEVNNRLVKVYSSHGSFQYVKWMDLRVGDVVKVEKDEFFPADLVLLSSSYEDPICYVETTNLDGETNLKLKQALQATSYLNEDSAFKDFRGIIRCEDPNANLYSFLKNTDYIYGVVIFTGHDTKVVQNSVTPPSKRSKIEKQTDKLVYLLFAVLVLMSFTGSVVFGIATREDLQHGRMKRWYLRPDETTIYYNPKRAPIAATLQFFTALLLYSYLIPISLYVSVEIVKVLQSCLINKDLHMYYEEGDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMDFVKCSIAGTSYGYGVTEVERALARKKTSSLAQEKSDEDFHEFYTETNPLVKGFNFKDERITNGRWVNEPYSDVILKFFRLLAICHTAIPDVNEENGTVTYEAESPDEAAFVVAAREVGFEFCERSQASISINELDPITGKKVKRIFELLKVIEFSSSRKRMSVIIRDEEGNLLLLCKGADSIMFQRLASNGREFEEHTRLHINEYANAGLRTMVLAYRQLEEGEYQRFNEEFTEAKNMIIADQEKLAEAVAETIEKDLILLGATAVEDKLQIGVPECIDKLARAGIKIWVLTGDKMETAINIGFACSLLRQGMKHIIINSETAEFDALEKSLNESEASEASLTPLRMHLIGVQWTIQ >CDP12373 pep chromosome:AUK_PRJEB4211_v1:4:19177478:19177630:-1 gene:GSCOC_T00035876001 transcript:CDP12373 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDGDQKLHIFWDKRISQEVSGDALGDEFKGYVC >CDO98480 pep chromosome:AUK_PRJEB4211_v1:4:6019418:6024194:-1 gene:GSCOC_T00022591001 transcript:CDO98480 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGVSNAFKTLKEMEPKSLAIMDGSIIPSIDPTDTGLSSSEKGNAILAGKPRKKTMTSVYLKYFETAADGKSRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKVEDLSNPTTYPNPVTVVKKPQPQVKTAQVELDHLNWLLTKWLIVASLPPSTMEEKWLANSFKFLNPSMQLWSGERFRAVLHEVFRSMQEDVRVIVDQVSSKVSITLDFWTSYEQILYMSVTCQWIDENWSFQRVLLDVCHIPCPCGGTEIYDTLLKVLKFYNVDNRVLSCTHNNSENALLACHTLKDDMDGQKMGPFFYNPCAAHTLSSIINDGLRTTKSIISKIREFVLELNSSLQMSEDFLQFTTAYQEGNWKFPLDASARWSGNYQMLDIARKAGKSMETIIRKYEELLGSRMLLSSPEKNAVNIMHAYLEPFYKTINNICTTKVLTIGLVLFFMDHILEMIAACRDSRHNPDWLKAAAEQMAIKARNYNDQICNVFTYMTAILDPRIKVELIPDSINLENYLEEARTHFIRNYSTSHFQSVTSSYAAQELEDGGTVSFAEEIARKKRRASMSSATDELTQYLSEPPAPISTDVLEWWKVNSSRYPRLSVMARDFLAVQATAIAPEDLFCSKGDEIDRLRFSASHDSTQSLQCIRSWLQGGMRLKYKSTEVDCERLMELAAASAAENCSTASDKKQK >CDO98414 pep chromosome:AUK_PRJEB4211_v1:4:5271559:5274828:-1 gene:GSCOC_T00022496001 transcript:CDO98414 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSQLIITIFFILSVISFCYTAKGDQFKNCSLLYNCGTLKGIGYPFWGGDRPNYCGRRGFELFCANDQYTHIWFDLVAYRVLGIDPLARKMTVARLDLWDDICPVSVNRIKDSTLSKLNPGSDRRFRNIHIFYGCTSEVIAKVQIQSNLSCSIFGENSGVFFAGEFVSSAPGCMTSMVVSIQFAAYIDLWDRKITLQQALKQGVDVEYDTLEACSSCQASGGKCGSDSTYEFICICQDQSHPKVCPKHGKGRWLKRMIGAIMAGIGILSCSVICYCYYKKYSKKTALFLFSRKTDDKELEAFLEEHGSLVPKRYSYTDIKKMTHYFKEKLGHGGYGEVYRGNLFDKRPVAIKVLSMTKGNGEEFITEVVSISKTSHVNVVNLVGFCLDGRKRALVYEFMPNGSLEKYIHHDSKSYLGLERLHEIAIGIARGLEYLHGGCNTRILHLDIKPHNILLDEEYCPKISDFGLAKLCARKESVVSMSGARGTIGYIAPEVFSRNFGGVSYKSDVYSYGMMILEMAGGRKNAINVQLSNSSEAYFPDWLYDRVLIDEDLKLHGHTMTKEENDIARKMILVGLWCIQTNPSHRPKMSKVIDMLEGSLMSLEVPPKPFFSSPSRSEGGSLEMTLLPQTILPTSSSSPADSGTR >CDP12354 pep chromosome:AUK_PRJEB4211_v1:4:27964962:27966329:-1 gene:GSCOC_T00035844001 transcript:CDP12354 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIINSEKMSNKYLRSFLRGFLCFSKRKIKNIELHFNLVKTIRKKILRNSNVEKNLSINVEVERKLAHNSSGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTICPSPQVSIAVVQPYNSVLSTHSLLEHTDVSMLLDNEAIYDICRRSLDIERPTYINLNRLLFALVKCGITLHVVSSPFIYLAGIFGNVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMHSSYAPVISAEKAHHEQLSVAEITNSAFEPWFKDSVESSLERGFPIRYRDEMTLR >CDO98649 pep chromosome:AUK_PRJEB4211_v1:4:7750274:7753121:1 gene:GSCOC_T00022813001 transcript:CDO98649 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDEAIAKSSEDQNDDGVSESKAVPVEDKESINDENVEKFLDSMDDYLILVDSLSSILRQGWLELASARHSMGASRISASSYDMKYHSAATTLQLQHETASSDVGQPHFVLRKWESLDSPKKDPSDSPTRDPCEAKLEEDKWVQSVSSGLRIRTKGTSESSESREKKAENTGSPLSVDGHAQKERLKALSMFGALAPPKLRAAQLSFETALETLADIANVRASLLRAYEQVQKEMESPIQ >CDP12429 pep chromosome:AUK_PRJEB4211_v1:4:20624530:20626197:-1 gene:GSCOC_T00035968001 transcript:CDP12429 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVISLVIGRIVDLQKKNSVFLKDVERQVERLGNDLEWMRCFLKDAEQRQDEDARIRNWVSLVRAAAYDAEDVIEIFASKVEFFTKDKGLITKLTYYPLKIVNLYKIGKEIESLRMRLKVIADSREEHGINNLGEGITTHGEELQRIRRSSPFSEDKDIVGFEKITKFLVAELMKEDRNRRVVSIVGMGGAGKTTLAKKVYNHADVRARFNCRAWVCVSSSYDHKEVLRSIIKQLNTISKELLEVLEKMEEQDLEQRLYQDLQDKCYLVVLDDVWKEEAWDCLARAFPDVNTSSRLLLTSRNRGVPLHADALSIPYELKTLGQEDSWQLFLRKALGHGDNAGCPPDLEEVGREIARRCGGLPLAITVIGGLLLTEKRLKSEWEKVLNSFNTNLSRSQSGVSAILELSYADLPANLKFCFLYLGLFPEDYVISVRKLIHMWVAEGIMQKRYAENLEETAAYDVEQPFGRNMVQVAEMTVDERIKSCRVHDLLRELAIRKVEGENFFQIHDTRDDEISAKSRYLAVHILPRDKNYFRTSTPPLRSLLFFNVHGYG >CDP15095 pep chromosome:AUK_PRJEB4211_v1:4:10318837:10326748:1 gene:GSCOC_T00042663001 transcript:CDP15095 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIPAASAMEWSIDLEKGLRSKNPGKCIEAILEIGPRLEWWNRESRISVAEYKIFHLIPGEDKLFANAILLRLADAFRVGDKRIKTCIVKVFREELKSRRRRSGRKVEDGILSKKKLENYLEILRRVKVVFDEGDVEERALALYLFGCWADFAKDSADVRYVILSSVVSDDVLEVKAALFAAGRFCELANDFASVLMEMLTNRLTSCETSMALKLVGGRALANMCCSLSLANRAYETGLKLLLDSSEENFSAVMLVSLTKLASCWPLLIPQQVTTMLCFHIELLFSYLAKYRATHIEVTTLTCLQFLFARGVCPCPASRDMVQNFFDMLNQSKFPPAVLRQVLKVLHKMLSYYLPTLPSSEMFMVFSTFLKFIENVNQSSIMSERLVAARVLVNILCKFLGRPKLEHDETAFTLAYQVISFIMDRISELKGLLDGDQANEELEREVTSLLRSIFYLVENHSDISGLVLDRVGIFLEHLVSTLCRDVSIEKDNFLNYELSECGEEYKTANILKLVFSLTRIIVTCLENPEVEHAETAKVLNSLKLLIDYVCKSTPIGSSTYTIYLLLLHFHSACKCIWRQINPIVYLDDNYTPFYAGSFLHDNTFIAEFGKKMFGGRDNWSSYKAGKRAALQGLWSNAAFIFERLTKMAVSNSSYVWLKTLNLFSHSESQIEYFGIINVKDSLSEAGEEITPKNNLYNCVEILVRAYDGVHLAEKTLKMSAPGLSFTFQRWFLALRAKFLEILVDLSTLLGAVSFLEDSSSCGPEKMTVLVPSMIPLERYRFLVDSLASVSSQLKKLADEFDLLATSFVGMDRKSMRILLCLSAGCSLLAFSTGLFLSIPYLHVSENSVTKCLDGSEGHHRGVLIQDLFERLSLVDSETSKHLWMLLKVYGKSESCFLSKSGNQAAGLYYEASSIVKLFKYAVSEIVGLQNEARIFCSDETKSQISSHGLKLLLSIIWKWMQIPFRCPDYFFQVRDNVFSELFSMNENGENFDGMSVSLGSHLSLNLCLKLKNMPPSLHLQLSKLYCILSCTVPSPHGKNIEKSWLNSEEWDTDDILALNHKLMRYATGSSTPNAMQDRTNAGSRWTEEYVCFRLNERGQGFSTCLLDVSAFPPGNYKIKWHSCWIDDEGSYGSLLPMNAGPNFAIENPSNSRTMQTEVS >CDO97809 pep chromosome:AUK_PRJEB4211_v1:4:662014:662995:-1 gene:GSCOC_T00021718001 transcript:CDO97809 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVEAINHLFNFPETLDKFMLNSSSRAGEGAGSVANDSRGGVGSLPAVDILDSPKAYVFYVDVPGLSKSDIQVTLEDENTLVIRSNGKRKREDGEEEGCKYIRLERSAPQKLSRKFRLPDNANASAISANCENGVLTVAVEKLPPPPKSKTVQVAIS >CDP12409 pep chromosome:AUK_PRJEB4211_v1:4:20024095:20026970:-1 gene:GSCOC_T00035931001 transcript:CDP12409 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTQRGRDTDKPEIIPGEEGVVEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDICLANKETLIAGGPFVLPLAHKHQVKILPADSEHSAIFQCIQGLPEDTEQPFFFLLNSAKFTSKAFHINLGSHIFLLRIFVVSFLTDHSHAWNNEKTPPPVFSLSFCLCLKFKIDKVADALKHPNWNMGKKITVDSISIFSLQGLEVIEAHYLFGADYDNIEIVIHPQSIIHSMVETQV >CDO97944 pep chromosome:AUK_PRJEB4211_v1:4:1740710:1742852:-1 gene:GSCOC_T00021893001 transcript:CDO97944 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGQLIWEIVKKNSSFLVKEFGNGTASVKFTKEPNNLCNLHSYKHSGLANKKTVTIQRGGKDQSVLLATTKTKKQNKPAALYNKSVMKKEFRRMAKAVTNQVADNYYRPDLKKAALARLSVVHRSLKVARSGVKKRNRQA >CDO98266 pep chromosome:AUK_PRJEB4211_v1:4:4073257:4075923:1 gene:GSCOC_T00022300001 transcript:CDO98266 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPQQHSRINLAELKAQIVRKLGPEGSKQYFYYLNRLLSLKISKVEFNKLCVRILGRENIPLHNQFIRSILKNACSAKVPPPSHDIDIVKSITAVGSKETPNDGYLQNGSHSAAAQASNLQGLPNGDMLPLSPRKARTGSRDRRAGDRRSALGPNGKTNFSSPQIVIKDSGDFSVILENGISHPPDLQGSVQHHQGLMQQVENAQEASAVHPVKLSATKGPQDGLVSLHSKDQIEASDRGAAKEMHARSQLQAPLGVPFLPVSVGGAHRALPIASSSKFVSTFNSGVLLDNINLRERMQQIAAAQGLEGVSTDCANLLNNGLDIYLKGLISSCVNLVAARSGHEPKTNKKHQSPMKLVNGVRPGHLYQMQNSGRPLEVVPEHRPHCPISLQDFRVAMELNPQQLGEDWPLLLERICTHAFEE >CDP14907 pep chromosome:AUK_PRJEB4211_v1:4:25975498:25976651:1 gene:GSCOC_T00042395001 transcript:CDP14907 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMASYNVIHLVIWLTFLSLVQLPMRSHCADPGPLQDFCVADLNSPVLVNGFPCKNPANVTSNDFFFDGLQKLGTVFDALNVNLTEVDVFAFPALNTLGMSMNRVQFHPGGENPPHIHPRATELSLVTEGKLLVGWVSTAYVLNWKILTAGQVFVIPPGLVHFQLNVGKGNALFYAFFNSQNPGISKLAPALFASTPLIPDPVLTTAFNVNKTIIDLIKSRASVLIP >CDO97960 pep chromosome:AUK_PRJEB4211_v1:4:1819851:1823453:-1 gene:GSCOC_T00021912001 transcript:CDO97960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 6 [Source:Projected from Arabidopsis thaliana (AT3G16380) UniProtKB/Swiss-Prot;Acc:O04319] MATAAAVSMDHAPPPGPSTTLQRASLYVGDLHPDVIEADLIQVFRTMGALDSVRVCRDRVSRKSLCYAYVNFLDTSDASRALASLNHRKLRGKSMRIMWCQRDPLARKNGVANLYVKNLDPSVNNAHLEGLFGKYGTILSCKVAEENGKSKGFGFVQFDSEDAATTALNALNDTMFEGKKLCVCKFIRKSERNDASEKTFTNLYFKNLDENVTVDFLKEKFSEHGTVCNIVIMKDDEGKSRGFGFVKFSLHEEAKKAVECLNGALLGSKNLYVGRAQKKAEREQILKQAHEFMLDNNYAKSKASNLFVKNLSLSFDDLELEELFSAYGKVTSAKVMYNEDGVSRGFGFVCFSGPEEAKRALESLNGTTVRGMVLYVALAHCRKEHLTQLQSIDTKQSFHTPHWNIHVPQYYPLYYNVPPVPIPHFRPFQPRLYQTFCRSLSGFFPFDDQGLQGKFRTHVSKFDCLVLSLSKFHC >CDO98302 pep chromosome:AUK_PRJEB4211_v1:4:4337797:4343319:-1 gene:GSCOC_T00022350001 transcript:CDO98302 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAREAHNREAFRQAVVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKANVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGNCYRADHLLKDFCKEKLEKEPNLSDEKKAEFRHVLAVLDDLSAEELGAKLKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGTLPGYMRPETAQGIFVNFKDLYYYNGSKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKAHPKFSEVAKLEFFMFPREDQVSGRSARRIPIGEAVAQGIVNNETLGYFIGRVYLFLTYLGIDRDRLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHTDKSGVPLVAHEKLPEPREVEKLVIAPVKKELGLAFKGNQRMVVEALEAMAEKEALELKATLESKGEAEFHVCTLDRVVTIKSNMVTISKEIKKEHQKVFTPSVIEPSFGIGRIIYCLYEHSFYTRPSKDGDEQLNVFRFPPLVAPIKCTVFPLVQNQQYEEVAKNIARSLTAAGISYKIDITGTSIGKRYARTDELGVPFAITVDSTSSVTVRERDSKQQIRVNVDEVASVIKEVTEGQSTWADALWKYPTHSS >CDP15071 pep chromosome:AUK_PRJEB4211_v1:4:10026163:10035100:1 gene:GSCOC_T00042627001 transcript:CDP15071 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLANTWRMTVNEKKFIETALHSDLRIDGRRPFDYRELTVKFGREDGSSEVQLGLTHVMGFVSSQLVQPYRDRPNEGTLSVYTEFSPMADPSFEAGRPTESAVELGRVIDRGLRESRAVDTESLCVVSGKFVWSIRIDLHILDNGGNLVDAANIAALAALLTFRRPECSLGGEDGQEVIVHPPEVREPLPLIIHHLPIAVTFAFIGDENTVVIDPTHFEEGVMGGRLTATLNANGDVCAIQKAGGDGVMQSVIMQCLRIASVKAADITAKIRKAVDSYNTERALSKIRRQPASVAVQVTEPNQIEVKEFLSKHKEKLMLKSEEGGASHSDDMEIEAQSSEQDKNRRRDSTSKSFVGGPLSWDPYSKGVDFEELQASIASRVKVVPVEKLEKSRSDEPCKGESPKLLADSSTSLLSDEKAATGLQIEKEKTLKDAVKPKHKRKKKASTKSDAS >CDP12697 pep chromosome:AUK_PRJEB4211_v1:4:11294998:11299002:-1 gene:GSCOC_T00037294001 transcript:CDP12697 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHFGSKPVLVVSSSDAASQIMKTHDLVFSNRPKSSVKDRLFYGSKDVAFTPYGEYWRQAKSICVLHLLSNKRVQSYQHVREEETSLMIEKISQMCSSSPVNLTEIFVTLTNDIICRVALGRKYSEEEKGRKIMENLRIFVELMGVFDVGDYIPWLAWVNRFNGLDLKVEKFVKLIDEFLEGVIEEHINKRKGEAENDYSVEARCLDFVDILIEVNKESTIGFALGPDDMKAIILDVFAGGTDTTQTVMEWAMSELLKKPITLQKLQAEVREVTQGKPEITRDDLEKMRYLKAVIKETLRFHVPVPLLVPRESTRDIKIMGCDIAAGTLVLVNASAIARDPMLWENPEEFQPERFLNSNIDFRGFNFELIPFGSGRRVCPGINFAISVNELALAKLVNKFNFALPDGMKPEDLDMTEASGITVHRKHPLHAIATPYLC >CDO98153 pep chromosome:AUK_PRJEB4211_v1:4:3262069:3264602:1 gene:GSCOC_T00022158001 transcript:CDO98153 gene_biotype:protein_coding transcript_biotype:protein_coding MEWYSAFFRPFKIMGFYSFGLCFLLLFNVFLSNVLMVHGFTGTYGVNYGRIADNLPPPATVAILLRAAKIKNIRIYDADHEVLKAFSGSGIEIIVGVGNENLKDMSVYPDNAVSWVKENVEPFLPGTSITGIAVGNEILGATDVELWEVLVPAVKNVYRALEQLHLSDKIEVSSPHSEAVFATTFPPSAGAFKESILPYMRPLLQFFSQIGSPFYINAYPFLAYINDPSHININYALAQRNPGIYDAKTNLHYDNMFEAQIDAAYAALEKVGHSKMPVVVSETGWASRGDANEPGATIKNAQTYNKNLRKRLLKKKGTPYRPKIPVRAYIFALFNEDLKPGPTSERNFGLFKPDGSISYNIGFKGLAPSSAHSLKDHRLGGFSLIHLIYATILVFHFI >CDP17742 pep chromosome:AUK_PRJEB4211_v1:4:23997314:24000901:1 gene:GSCOC_T00010525001 transcript:CDP17742 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVFDGYERQYCELSANLSKKCTTATILDGEQKKQKISEVKAGLDDADALIRKMDLEARSLPPSVKASLLAKLREYKTDLNNLKTEVKRISSANSNQAARDELLESGMADAMMVAADQRGRLMMSTERLNKSSDRIRESRKVMLETEDLGVSILQDLHQQRQSLLHAHNTLHGVDDNISRSKKILTNMSRRMSRNKWIIGSIIAALVIAIILILYFKLAH >CDP12333 pep chromosome:AUK_PRJEB4211_v1:4:27467487:27470505:1 gene:GSCOC_T00035809001 transcript:CDP12333 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVFPTVDLSPFFNGENDENGKKKAKETISKACSEYGFFLIVNHGVPQEFLSKAMQLSKTYFKYPDEEKLKCSPKFGVPLPAGYIKQPHNVPDKNEFIIMFPPDSSFNVLPSNPPEFKESLEKMFICFSKLGELMESIINECLGLPTNFLKEYNHDRSWDLMAAIHYFAAVGAEDIGQSEHEDGSCISFVVQDDVSGLEVQYNGEWIPVIPEEGKIVVNVADVIQVLTNNKFKSGMHRVASPKGRSRNSFAFFYNLPGEKWVEPLPQFTKEIGEEPKYRGFKNQDYQALRVRNKTHPPARPEDVIRITHYAIPTSGNQKFA >CDO97870 pep chromosome:AUK_PRJEB4211_v1:4:1189894:1191900:1 gene:GSCOC_T00021805001 transcript:CDO97870 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPPLLTREPYPHSHLVPAGNVGRSGHQNDRSRAVNGHDLSSINCAIQITNYVSIFIWCVILIKFYNKIIHYTNKVTQCHCQGSDLPYVFSCSKYMQIIIQEKFVIARKT >CDO98082 pep chromosome:AUK_PRJEB4211_v1:4:2688414:2690400:1 gene:GSCOC_T00022059001 transcript:CDO98082 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDCDFLYLGDIKELTDLIDDRFAIMCVQHDYAPKETTKMDGAVQTVYPRKNWSSMVLYNCSHPKNRILTPEVVNTESGAFLHRFQWLEDDEIGEIPFVWNFLVGHNRVVEGDSSTFPKAIHYTLGGPWFEAWKDCEFGDLWLKELEEYKKAKEKKVDS >CDO98655 pep chromosome:AUK_PRJEB4211_v1:4:7878932:7882748:1 gene:GSCOC_T00022823001 transcript:CDO98655 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSGLTQQISNRNSVVELPMTHRPRLHNSIKKSCRVGFRVMASENNASTSELDLSVTVNGLKMPNPFVIGSGPPGTNLAVMRKAFDEGWGAVIAKTVSLDAAKVINVTPRYAKLRAGANGSTRGEIIGWENIELISDRPLEIMLSEFKQLKKECPDRILIASIMEEYDKAAWHELIDRVEQTGVDAIEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINEKATVPVWAKMTPNITDITQPARVALRTGCEGVSAINTIMSVMGINLDTLRPEPCVEGYSTPGGYSSKAVHPIALAKVMSIAQMMRSEFGDKDCSLSGIGGVEAGGDAAEFILLGADTVQVCTGVMMHGYGIVKKLCSELKDFMKKHNFSSIDDFKGLSLEYFTTHTDLVKRQQEAIRQRKAIRKGLASDKDWTGDGFVQETESMVSN >CDP17743 pep chromosome:AUK_PRJEB4211_v1:4:24001289:24007303:-1 gene:GSCOC_T00010526001 transcript:CDP17743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR1 [Source:Projected from Arabidopsis thaliana (AT5G61380) UniProtKB/Swiss-Prot;Acc:Q9LKL2] MEKGDIGKSGDGFIDRSKVRILLCDNDSKSSKEVSTLLCKCSYQVTSVRSPRQVIDALNAEGPDIDIILSEVDLPMSKGLKLLKYIMRDKELRRIPVIMMSAQDEVSIVVKCLKFGAADYLVKPLRTNELLNLWTHMWRRRRTLGLAEKNILNYDFDQVVSDPSDANTNSTTLFSDDTDDKSRKSVNPEACASIHQEEEQNPSSADAPVETVIIVTSDCLPDVPGMSDRRTGQIASFPKKSELKIGESSAFFTYVKSSLPKSNCQDAAPLHENVCQHLTLEENLNAQGGHINTDSQVTITNDALQNRSNGEGCPSNDSIHDSFSVERSCTPPLSLEFLQQRNLNEFSQVPTHPRNEPHHDVSGFHPHSAYPYYISGVMNQVIVPPSPMYQKNLPDVHSHSPMLPQYGHIPQCSPHVPGMASFPYYQVGICLQTGQIPTTHPWPSYGSSSSAEGNASKIDRREAALMKFRQKRKERCFDKKIRYVNRKRLAERRPRVRGQFVRKINGVTVDLNGQPASADDEDDEEEDEDEEDQVTNLDSSPDDDALVCQR >CDP16528 pep chromosome:AUK_PRJEB4211_v1:4:16528783:16529417:1 gene:GSCOC_T00018894001 transcript:CDP16528 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKADKEKSVIDYDTKAYCRQSLIGGNYGLLDTTTFVPNPDYYSALLWHQLMGRNDLATNFTCTKKIHAYISAHEK >CDO98060 pep chromosome:AUK_PRJEB4211_v1:4:2516837:2517387:1 gene:GSCOC_T00022035001 transcript:CDO98060 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGARCSSGNGEVCCCCCWSRSFSSRNYGRFVSHIIRPSSGSKAPIWRQLWTKMKKEKKRSFYRSTSTRFAYDPHTYSQNFDQGLTWADPDDISRSFSARFAVPSRIFDDKDVLDA >CDP12772 pep chromosome:AUK_PRJEB4211_v1:4:12798499:12799285:-1 gene:GSCOC_T00037417001 transcript:CDP12772 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLRRSPSNSTFLFSSLLPSPFCYGRIDGEKISFCPRIELLLFSLIFFPHVLESCTHGEIFVAL >CDO98575 pep chromosome:AUK_PRJEB4211_v1:4:6996516:6998707:1 gene:GSCOC_T00022720001 transcript:CDO98575 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGLLSRASRIKSKLQSALEATVVEVEDVSYQHAGHAAVKDSGASETHFNVKIVSSKFDGQNLVKRHRKYSQRSWSEQLIIQQLLNKGSISTGDCMQCGWI >CDP18940 pep chromosome:AUK_PRJEB4211_v1:4:14778946:14780349:-1 gene:GSCOC_T00003266001 transcript:CDP18940 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISSPHFVVFPFMAQGHTIPLLYLARILRQHDVPVTVFTTPANSPSVRATLHDAGISIIELPFPADIDGIPQGVENTDKLPSMSLIFQFANATKLMQPQFEKALQDIKPVGCIISDAFLGWTQDTAAKLGIPRIGFYGMSSFATTLYFILGQERPHALTTSPDEPFSIPNFPKLSLTRNDFDPPFNELNPKGPWVDFMMEQNIAMAKSYSVIINSFYELEPSYTEYWNRCIGPKAWCLGPFAAAKPQVLLANESAKPNWMLWLDKKLQNGDSVLYVAFGTQAEVSQEQLLEIADGLEKSKANFLWVIRSKRLEISEGYEEKVKDRGMIVKEWVDQMEILRHKSIKGFLSHCGWNSVTEAICNGVPILAMPFMAEQHLNARLVSEEVGVGLRIMPSNGSVRGFVKAEEVEKRVKELMEGTKGEGVRKKMKEVGEAACGAIREGGSSWETLEHVIADVSNYHKISFF >CDO98640 pep chromosome:AUK_PRJEB4211_v1:4:7675293:7686693:-1 gene:GSCOC_T00022803001 transcript:CDO98640 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQGKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPEVKVVLRADISGSTHDKVAVISGGGSGHEPAHAGFVGEGMLTAAICGDVFASPPVDSILAGIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKVEMVIVGDDCALPPPRGIAGRRGLAGTVLVHKVAGAAAASGLSLSAVAAEAKRASELIGTMGVALSVCTLPGQVTSDRLGHGKMELGLGIHGEPGAAVADLQPVDVIVSHVLKQILSLETNYVPITRGSRVVLMINGLGGTPVMELMIATGKAVPRLQLEHGLAVERVYTGSFMTSLDMAGFSITVMKANEEILNRLDAPTKAPCWPVGADGNRPPAKIPVPLPPSLSTKSDETLSRPEQLSSQGYLLEVAIEAGATAVINLSDSLNEWDSKVGDGDCGSTMFRGATAIMEDMKKYYPLNDPAETVNEIGSSIRRVMGGTSGILYDIFCKAAYAQLKAKGKSVITPMHWAGALEAAIAAVSKYGGASAGYRTMLDALIPASSVLKERLAAGDNPVDAFVLSAEAATAGAVSTKDMQAQAGRSTYVSADNLASVPDPGAMAAASWYAAAAAAAKSKSQAS >CDO98233 pep chromosome:AUK_PRJEB4211_v1:4:3814293:3835214:-1 gene:GSCOC_T00022259001 transcript:CDO98233 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKHICPDSPYVWDGKGVSECFTVTVLVFGANVATLVAIAVVGVTKRRVKENIKINLPFKVLLYVLPVIGACTAFFDMVLLLRSLRQGYAVVFHRWLFSCSQIAVWVTVLLFSTRGYWFVFCNQVLSLWWIVKPFLLIPHLQTVFSSQGAFRCLRESSLALLDIMFGILINIMRIKWASYRGRSNSMEEPLLPCKIDVKEGHPKFPGLMCNFWHLITFKTIDTLMACGVERQLDSNDLLNLPDNLNPSSCHQILQQCWEAQQRKNSSHPSLLKAICCAYGWPYFHLGFLKVINDCLGFVGPVLLNKLIRFLQQGSDHYHGYIFAISLGLASILKSFLDTQYTFHLSKLKLKLRSSIMTIIYHKCLHVRLAERSKFSEGEIQTFMSVDADRTVNLCNSFHDIWSLPLQIGIALYLLYVQVKFAFLSGIAITILLIPVNKWIAQLIAKATRSMMEQKDERIRRTAELFTYIRTLKMYSWELIFASWLMKTRALEVKYLSTRKYLDAWCVFFWATTPTLFSLFTFGLYTLMGHQLDAATVFTCLALFNNLISPLNSFPWVINGLIDAFISSRRLSKYLSSSECELGMEKKGYPSGNPENMAVIICDACSTWSSSDEKDLSLILDNVTLQIPKGYLVAVIGEVGSGKSSVLNLILGEMRLVIGSIHLNGSTTYVPQIPWILSGTIRDNILFGRNYNSTRYSDVLHACTLDVDISLMIGGDMACIGEKGINLSGGQRARLALARALYCASDIYMLDDVLSAVDAHVACSILHNAILGPLMNLQTRILCTHNIQAIYAADMVVEMDKGRVKWVGTPSDLKVSSYLAFPSIDNCSISSEVQVGERSSISVEAEGGVEVDNSYNLEGVQGTIDAETRKEGRVELLVYKNYAEFAGWFITILTCLSALLMQFSRNGNDLWLSYWVDTTGSSQKDYSTTFYLGMLCMFCLVNSTLTLVRAFSFAFGGIHAAIQMHDRLLNKLINASISFFDQTPSGRILNRFSSDLYTIDDSLPFIFNILLANFVGLLGIAIILSYVQVIFLLVLLPFWYIYSKLQFYYRSTSRELRRLDSVSRSPIYASFTETLDGASTIRAFSSEDFFFLRFIEHITVYQRTSYSEVTASLWLSLRLQLLAAFIVSFVAVMSVVGSQRLLPITLGTPGLVGLALSYAAPIVSLLGSFLTSFTETEKEMVSVERVLQYMDIPQEVVRDEQLYLNWPSQGEIQFQNVTLRYMPSLPPALRGVSFIITGGTQVGVIGRTGAGKSSILNALFRLNPISGGCILVDGINIAEISLRDLRSHLAVVPQSPFLFEGSLRDNLDPLHISDDRMIWNILEKCHVKQEVEAGGGLDMHVKESGISFSVGQRQLLCLARALLKSSQVLCLDECTANIDTQTSAKLQNAIASECRGLTVITIAHRISTVMNMDNILILDQGILVEQGNPNSLLNDDLSRFSSFAKASKM >CDO98516 pep chromosome:AUK_PRJEB4211_v1:4:6314145:6322843:1 gene:GSCOC_T00022638001 transcript:CDO98516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease SPPA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73990) UniProtKB/Swiss-Prot;Acc:Q9C9C0] MSKYLLLHTAHLTSIHLRASVSSFFSPKTAPSSVVSISTSRSLYDSLDLHRSFSIKAFDTKNDNARAAEAEIDGENGDLKGIVSDYNDDKYPSGEFQFRDYRAWQSFVVKCKMLFAFPWERVRKGSVLTMKLRGQITDQLKSRFSSGLSLPQICENLVKAAYDPRISGVYLHIEPLNCGWGKIEEIRRHILDFKKSGKFIVGYAPACGEKEYYIGCACEELYAPPSAYFALFGLAVQASFLGGVLENIGIEPQVQRIGKYKSAGDQLTRKNISEENREMLTTLLDNIYIHWLDKVSVARGKKQEDLQNFIDEGVYQVERLKEEGLITDIKYDDEVRAMLKERLKLPKDKSLPMVDYRKYSGVRRWTLGLTGYKDQIAVIRASGSISRVRGPFSTRGTGIIAEDIIEKIQTVRESKKYKAVIIRIDSPGGDALASDLMWREIRLLADSKPVVASMADVAASGGYYMAMGTGIIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEIISRGRYAELTAAEQRPFRPDEAELFAKSAQNAYKQFRDKAAFSRSMHVDKMEEVAQGRVWTGNDAASRGLIDAIGGLSRAVAIAKQKANIPQDQEVTLVELVKPSPSLPEILTGIGNSLVGADRILKQLLDELAVSDGIQARMDGIMFQKLEGADYSSPILTMLKDYLGSF >CDP12384 pep chromosome:AUK_PRJEB4211_v1:4:19382130:19384387:-1 gene:GSCOC_T00035890001 transcript:CDP12384 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLYKIDKEIKSLKISHGSKSQSRPEPIPKSYRPNVISGGSETLQRLRRTSLFNEDKDIVGFKKITQSLVAELLKEDQNRCVVSIVGIGGAGKTTLAKKVYNHADVRTRFNCRAWVCISSSYNHKETLRAIIKQLNPITNQLLEMLERMQEQDLEQKLHQGLHDKHYLVALDDIWKEEADVAKHADALSHPHELETLGQLFLRKALGHGANVGCPLDFEEVGQKIARRCAGPPLAITVIGALLLGKKKLESEWEKVLNNINTYLSRSKSGVSAILELSYADLPLNLKCCFLYLSLFPEDLMQKRDAENLEETAAYYVEQLFSRNMVQVAETAVDERIKRNMVRVAETAVDERIKSCRVHDLLRELAISKAKEENFFQIHEIRDGEILAESSTSIENLPHSLRCLRNLQTLDTHVYWFDKLEVPNFIWKLDSLRHLYAYKMTCNESLKIEGLRNLLTLSGIHFDHIMHNNMTTLTSLRKLGIVVDYRSDRDKLCLHLSKVGSLRTLHLQTQWGGPQSLAGLNF >CDO98295 pep chromosome:AUK_PRJEB4211_v1:4:4288447:4290371:1 gene:GSCOC_T00022342001 transcript:CDO98295 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLSPNKFIFYYFLTTLLSESSLCFSLIASESTDPIPVPWPEQFHSILFVNNSEGSLQKVDLWYDWPGGRNFNIIQYQLGKLLYDLEWDNGTSYIYTLDSNKECRVLHFPVGILRPNWLDGATYLGQKYMDGFLCNVWEKVDFIWYYEDVVTKRPVYWAFFTGTVAHVMTFEVGSVLEDPEWQAPVYCFTEAVEETKPVLDTLGGPVSRANLMKGGKLMSM >CDP12401 pep chromosome:AUK_PRJEB4211_v1:4:19791949:19793904:-1 gene:GSCOC_T00035917001 transcript:CDP12401 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSIFYSVAFLPHLLPIDPYSKFTQVNLPPGSIGPESVALDRFNQGPYVGVLDGTILKYKGPNIGFVFLAYTSRNRTRELCDVTTDPNLGPICGWPFGFSFNVVTGFLYVVDVFLGLSKVGTEGGNATLIANSAGGVPFQFLNGVDVDQFTGDVYFTDASQTIDIRNITSGNYVPDSTGRLLKYSPITGEVKVLAGGFSLPGGPVISVDRKFVLFAEFSNRRIMKYWLTGNKANTVEVLLYLPGNPIKIKKAPEPGEFWVAVDIIAQQPSSVTPLGYKFNSTGGILLIKEFEGQYNNIRVNVVQEYIGGKLYIGSREAKFVGIYSKW >CDP18943 pep chromosome:AUK_PRJEB4211_v1:4:14852166:14852654:-1 gene:GSCOC_T00003271001 transcript:CDP18943 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEIVISVTLLLVGIAVLVIIHVCIVSRAFRRNTTTNGVGGAVVHRNARRSPSMSEDEIKKLPSFDYNISIEEEREDSNSNSSSRSRSTLECAVCLENFKEGEKCRLLPKCNHCFHADCIDSWLAKTAACPVCRTDAAISPKIESQHRNIDDQMVLGVELT >CDP14868 pep chromosome:AUK_PRJEB4211_v1:4:25359364:25363659:-1 gene:GSCOC_T00042341001 transcript:CDP14868 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVELSITMKHNFPHLHWIFLKLVAFIFILVKRMTVAYHGCDCKNQRYILPHNNMSTPTLQLKKLEGKVAIITGGASGIGEATALLFSTHGARVVIADVQDEKGQKVVESIGSNTCSYVHCDVADEQQVLAMVEWTLKTHGQLDIMFANAGIVSQSDQTVLDLDFSQLHHLFAINHAVLGLMRCAGKQLGEHGIRVNSVSPSAAVTPMVWNQFKITAEEAEEVCQLSSLKGKALKANNVADAVLFLASDDSSCITGQDLLVDGGSR >CDP12336 pep chromosome:AUK_PRJEB4211_v1:4:27527683:27531006:1 gene:GSCOC_T00035813001 transcript:CDP12336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MSMSSEESPESTLEPVSRVVQTPTTKCQSGRKHQGKVPKRIHKAEREKMKREHLNDLFLSLANALEVSEETNGKASVLSEAIRFVKDMLAQIESLRSENAALSSESQYMTMEKEELQDETNSLESQIAELQNQVRERVGEAELDLNATPGGQFDGSTPHQVDDCFRFPALGPAIQQPQNVKPVYVIPLCSDPSVFQQPGNAETASSPLTTVSKPQARYPTPADTWTSQLLEKHPDLGGGDEHCGGRSC >CDP16959 pep chromosome:AUK_PRJEB4211_v1:4:13859477:13859890:-1 gene:GSCOC_T00005328001 transcript:CDP16959 gene_biotype:protein_coding transcript_biotype:protein_coding MKYISASFYCIVLLLSTFAILRSSANVHVHIQNRHGQNINLHCKSKDDDLGYHNIQNGTEFSWSFQPNFWGTTLFYCAVLMDPDPVWYHFDAYDDRRDRYRCQSECSWAILQDHSLLGYNQKTGNWERFFFRSDRLL >CDO97919 pep chromosome:AUK_PRJEB4211_v1:4:1548327:1553513:1 gene:GSCOC_T00021865001 transcript:CDO97919 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDSRYADPGSYRDRRSDSGFGGSSNYRSTTTTTSRRHNDVADSPRKSELDGLTPFEKNFYVEAPSVAAMSEGEVEEYRRRREITVEGRDVPYPVKSFQDVGFPDYVMQEIERAGFSEPTPIQAQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPFLAPGDGPIVLVLAPTRELAVQIQQEATKFGASSRIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESHHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLFNAYKVIIGSADLKANHAIRQNVDIVSESQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKNPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTVANARFAKDLINILQEAGQKVSPELASMGRGAPPPPAHGSFRDRGRGGRPWS >CDO97869 pep chromosome:AUK_PRJEB4211_v1:4:1188792:1189841:-1 gene:GSCOC_T00021804001 transcript:CDO97869 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDAAEEEEESSQEVKIPADIDWKMLDKSKFFFLGAAFFSGVSATLYPIVVLKTRQQVAQSQVSTIRIAFWIVRHEGFRSLYRGFGTSLMGTIPARALYMAVLEITKSNVGTTAIKVGFPDSTAAAMANAAAGLSAALAAQMVWTPIDVVSQRLMVQGGNRHKFSNSLSSTKYLNGIDAFRKILNSDGLRGLYRGFGISILTYAPSNAVWWASYSVAQRLVWDGIGFYLCKKEDDMFENGVSALRPDCKTVIAVQGVSAFMAGGVSAVITMPLDTIKTRLQVLDGDENGRKGPTIGHTFRNLVREGGLMACYRGLWPRWASMSMSATTMITTYEFLKRLSAKNQEGLT >CDP12286 pep chromosome:AUK_PRJEB4211_v1:4:26654675:26660417:-1 gene:GSCOC_T00035739001 transcript:CDP12286 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLRHIVVLRLFEYFNEFKPTICFYIFCILLQMSSKPNSCYQVCLVALLMMNCQRPDWLEGAIAAKSDFQAQDDDVLLSSQPKSGTTWLKALVVSIMDNYAAHTTNNINDAMNKTNKYDLLTIIQIFTPAKASASPIPCPTVRGRPRLYQTHVPYGMLSKSIKTSGCKIVYITRDLKDVMVSLWHFCNANNQSTEEPYPMEDAFESFCKGVHTFGPFHDHLLGYWRECLARPDKILFLRYEDLKKNPSGEVSKLASFLGCPFASKDEADRTIYRCSLERLKTLQVNMEGIKNHFTPEMKEHFNEITRKKFRGSGLDMYYS >CDO97878 pep chromosome:AUK_PRJEB4211_v1:4:1258117:1259436:-1 gene:GSCOC_T00021817001 transcript:CDO97878 gene_biotype:protein_coding transcript_biotype:protein_coding MDTELLKDAKQHQNGDYALTGDIEHAQLGMFDKPLPCFGCGVGWFSLLLGFFCPLFWYYATILYFGNYYHKDPRERAGLAANAIAALIFTVVVLIVVAIILF >CDO98029 pep chromosome:AUK_PRJEB4211_v1:4:2353960:2355394:-1 gene:GSCOC_T00021999001 transcript:CDO98029 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKALIQDTDMPVKMQIQAMASASQALDLFDVVDCKSIATHIKKDFDRRYGSGWQCVVGSNFGCFFTHTKGTFIYFTLETLNFLIFKGANSPSSSP >CDO98627 pep chromosome:AUK_PRJEB4211_v1:4:7514492:7516430:-1 gene:GSCOC_T00022785001 transcript:CDO98627 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGHWRPAEDEKLRELVEKYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEELLLASHRIHGNRWAIIARLFPGRTDNAVKNHWHVIMARRCRERSKMYAKRAAQASRTDQQKPESPKQDMQASSNQIKCSFSPILFENNYSQRLHQYPLTFSTCSPYLKELLSQKHIHDMNMSRDKDKNEPVEFYDFLQVNADSNRSEVIDNVARKEDEEVEQESIMEHDHQAKTGVPLIDFFSAGGDQYSEIRSEGCNYNHSEKVYY >CDP18934 pep chromosome:AUK_PRJEB4211_v1:4:14653093:14657707:1 gene:GSCOC_T00003257001 transcript:CDP18934 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIIFSLVLITKFSIKLRSVLSLFDACKAKSAVLALDSRTFVHERVYNEFITILLPHQQDRLAMCDFQDSTCSGKMIDSKQFEKILQYVRSGIDGNATLECGGERVGSKGYYVQPTVFSNDDMLTAKDEIFGPCYMSCPVRDLDEVIRRANATEYGLAAGVFTKNINTANTLSRGLRAGTVWINCYNIFDAAIPYGGCKMSCHGREKGIYSLKNHLQAKAVVTPLENPAWLQIFWSLFDNSCLISRLNA >CDP14379 pep chromosome:AUK_PRJEB4211_v1:4:22641959:22646894:1 gene:GSCOC_T00040743001 transcript:CDP14379 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYMSRLRNLRVLTNFIVGKDSGSMIEELGKFPKLRGRLFISKLENVCSGRDASMANLKGKKHLDELTLEWNGAINDSQAVRDVLDNLQPHSSIKHLKIIGYGGTTFPDWLGNSSLSHLESLSLSNCENCFSLPALGQLQSLQSLEIVGMSYIFDLVENFYGDVSATKPFPSLKKLRVEKLPEWERWHIPEGEVFDRLKELSIIDCPKLIGELPQQLSLLQILEISGCDNLVCPNGRLSVFNGEIRQKFSSLRQLKISALKNLKELPLHLNQLSRLTVDDCGSLLPSHVSRLPASLTSLEYKGCCNLELESSSGEGGGALHWLTLKNCNSVKVKAEWLASFSMLRYVQIVNCKSVEMLSVPAAPAPAPGIGNQSGMTTTTTTSSTSSVMTSLQYLYISGCDDLMSFRAPSLTQLDIRDCEKLTSLPQRMESLLPSLRDLYLSDCPEIECFPEGGLPSTLQSLKIFGCEKLVSRRREWGLEKLPSLTESVISGPCDEVESFPEEDWLLPCTLQYLHLNYLQNLKVLNYSALRHLTSLQNLGFNVCPRLQSLPEEGMPASLTELRFSNCPLLKPRLEWEKGQDWPKVAHIPCVKVDGQLIP >CDO97747 pep chromosome:AUK_PRJEB4211_v1:4:204071:210534:-1 gene:GSCOC_T00021632001 transcript:CDO97747 gene_biotype:protein_coding transcript_biotype:protein_coding MHMETASLSLLSSSSVEALSTRASLHHNHNNKLFSDHTPSPSSFPSFYPVRSRTTTDNKIIAAVPGSSQINSCFLTTTSSSSSSSSSSFFSSCASGRSPCLPALARKPSRQDQGHHEEDASSGNPNAFHLLPHDSLSPPLPLPPQGNSEHQQTSEENLDTTSHVMTPLVASHGSNTGGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSTGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSSLSNARVTIFGDVYPLPEDQQEWAHKQYIAKHQQGPSQQWGNFYYFRMETISDIYFIGGFGTVAWVDVKEYEALQPDKIAVDGGEQNLKELNAIFSKPLKELLCQEVEVDDAALISIDSKGTDIRVRQGAQFNIQRISFEEGHSAETLEEAKAALWKLINKGRLYNLHK >CDO97737 pep chromosome:AUK_PRJEB4211_v1:4:155867:160803:-1 gene:GSCOC_T00021617001 transcript:CDO97737 gene_biotype:protein_coding transcript_biotype:protein_coding MGESMAHSPLVTYVSVLSLLTLCPPFVILLWYTMVHADGSVLQTANYLRDHGIQGLLQIWPKPTTTAWKIIAFYAAFEAALQLFLPGKRVEGPTSPSGNRPVYKANGLQAYAVTLVTYLGLWWFGIFNPVIVYDHLGEIFSALIFGSLIFCLFLYIKGHVAPSSTDSGSSGNIIIDFYWGMELYPRIGKNFDIKVFTNCRFGMMSWAVLSLTYCIKQYETYGRVADSMLVNTTLMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGVQLALYILVAGILCIYINYDCDRQRQEFRRTNGKCLVWGKAPSKIVASYTTTSSETKTSLLLTSGWWGLSRHFHYVPEILAAFFWTVPALFSHFLPYFYVVFLTILLFDRAKRDDDRCRSKYGKYWKLYCEKVPYRIVPGLY >CDO97885 pep chromosome:AUK_PRJEB4211_v1:4:1285019:1293558:-1 gene:GSCOC_T00021824001 transcript:CDO97885 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQNDITETSVIRLLFFSRRSRDPKRSQVGRQAQKLPNNRRREAVKVAISRVQRAIGTGCYYIMSCASHVLRHSRKIHNTSNLVRHDNLSLVRWFSNEARLSADKGDDILKFQKAGFASPKERFRGTAGFSNRCRTIDPPMISVIGTQKANSIRTGRKLDVSMAGILFNTRSSWSPLPMGRCFSTEAGLPSHQEVGMPSLSPTMTEGNIARWLKKEGDRISPGEVLCEVETDKATVEMECMEEGYLAKILKGDGASGIKVGEVIAITVEEEEDVSKFKDYKPAESVSAAPVKEPSTPSPPKDEAAREPVTATEQKVSKPSAAPAAEGRIFASPLARKIAEEHNVPIADIKGTGPDGVIVKADIEDYLASRGKEAPAPKAATATATSLDYADIPLSQIRKVTASRLLLSKQTIPHYYLTVDTCVDKLMELRSQLNSLQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTNDYIRQYHNVNINVAVQTDNGLYVPVIRDADKKGLSKIADEVKYLAQKAKDNSLKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQAGILAVGSAERRVVPDSGPEQFKFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >CDP16923 pep chromosome:AUK_PRJEB4211_v1:4:13333837:13334124:1 gene:GSCOC_T00005275001 transcript:CDP16923 gene_biotype:protein_coding transcript_biotype:protein_coding MHANTRARRRSLSNLTRAFSRHGQENLNSEHNEFYCNLNAKLLHLAWHPNKDLIACAAGSSVFMHYLW >CDO98629 pep chromosome:AUK_PRJEB4211_v1:4:7530963:7535873:-1 gene:GSCOC_T00022788001 transcript:CDO98629 gene_biotype:protein_coding transcript_biotype:protein_coding MVITAAVTDSKEQLYYTFASRYVRAPLPRFKMPENSMPKEAAYQIVNDELMLDGNPRLNLASFVTTWMEPECDKLMMDSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPVGDDETAIGVGTVGSSEAIMLAGLAFKRKWQAKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLKEGYYVMDPKEAVEMVDENTICVAAILGSTLTGEFENVKLLNELLTEKNKETGWNTPIHVDAASGGFIAPFLFPDLEWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRSKEDLPEELVFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGYEGYRNIMVNCMENAKVLKEGLEKTGRFDIVSKDVGVPLVAFSLKDSSRYTVFQVAECLRRFGWIVPAYTMPANAERIAVLRVVIREDFNFSLAERLVSDIEKVLKELDALPPRVSTKIDHKELEALPPRVSTKIDHVTAVANETEGIKPDNHHLREIASYWRRISNKKASGVC >CDO97867 pep chromosome:AUK_PRJEB4211_v1:4:1172500:1179102:1 gene:GSCOC_T00021802001 transcript:CDO97867 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSITTAATTSSIFTKSQRMQDLLKGDDLNNARMMAPCVDDSQSWWTSTNSQGQQSSLSRNLTFKAASPVECFQGNKQLGFHFQDQESSSTQSTGQSCPEVASMENEKYRKNITSVQPGWCGLHAKPEEDPSKSSVLFQDYIFPCSSIDYRQHATYPFNYTDPYNHGLVAAYGPHSVVHSQMMGMTPIRVPLPLDAAQDEPIFVNAKQFKAIMRRRESRAKQEAQNKLSKNRKPYLHESRHRHALNRARGSGGRFLNTKELQESKAVAKTNDKETSGSLRLQLNMETTEPEVCQTGNKKDGISITACSDITSASNGDDALQRQDFRFSGYRPHVGSFVASGGSGRTGGVQQFLSIR >CDP16523 pep chromosome:AUK_PRJEB4211_v1:4:16240469:16245429:-1 gene:GSCOC_T00018886001 transcript:CDP16523 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKPQLPQSNSENFKQMDHIGHVLIVSYPLQGHAAPLIKLANTICKFGVKVTFLTTEFTLAKMGSSLLISEQDGKLITFASVPDGLEPDDDRKDPAKLAASIYKVMPGYLEDFVMKTNDSKNGYDKFTGFIVDTPLTSMLKVPKKLGIKCATYWCSSPGCLALGLNLEKLIQAKVIDANDGTPLISEKIPLLPDMPPMSPTEFTWYFQRNLDAQKSMFQFVQMFISHMVSESDLILCNWFSELDPSASSFNSNILSVGPILADGQTAGSFVSEDSSCLSWLDKQSPGSVVYVAFGSTSRFSQEQINEIALGLELMDRPFLWVAWSGLTVNGASLTFPDGFTGRVAHRGKIVEWAPQERVLAHPSVACFISHCGWGSIMESVSMGVPFLCWPYFGDQLYSQTCICEAWKIGIWLRAGESGIISRNEIKEKVDMLLLETMVVLTIEMHRQPRILAVPRPVQGQVASLLKLSRGSQVRASRLHLLATNSYTENHGFDSC >CDP12341 pep chromosome:AUK_PRJEB4211_v1:4:27576240:27578726:1 gene:GSCOC_T00035819001 transcript:CDP12341 gene_biotype:protein_coding transcript_biotype:protein_coding MANIYVKIDAWIWYFVFYVVSSYKRCQSQVVNHYIDDGVAELVQGVLFIDEVDMLDMECFSYLNRALESFLSPMVIFPANRGICNVRY >CDO97962 pep chromosome:AUK_PRJEB4211_v1:4:1829349:1838805:-1 gene:GSCOC_T00021915001 transcript:CDO97962 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MQVADMRALRKVFSSRNHLCNLSSRKSSSHSSFVSFKPLQNPALSRQFHSSRLLKSSDEPISSIDASTAWTAGSNFSSNDLPSTSNTPYNDPNVEAFYDPVSGRDAGGTRVYGEIIGTGGKKKGKSKTVWVCSECGYSSGQWWGYCQSCQKAGTMKQFSPGVESNGTGKVSGFEFSENVARAWLPQNSADTVPIRLADVNRGVSPLDWRIPLSGLFGAEVGRVLGGGLVPGSLVLVGGDPGIGKSTLLLQTAAIIAEGHAMGGTAPVVYVSGEESIEQIGNRADRMKIGATELFLYSSTDIKDILDKARPLSPRALVIDSIQTVYLQGVTGSAGSISQVRECTSALLRFAKTTNVPVLLAGHVTKSGDIAGPRVLEHIVDVVLYMEGEKCSSHRLLRSVKNRFGTTDELGVFEMSHSGLQAVSNPSEMFLSEEYSDSEILAGLAVAVIMDGSRAFLIEIQALCIAGSPIARQVNGVQASRADMIISVLMKQAGLKLQENGIFLNVVSGVSLSETAGDLSVAAAICSSFLEFPIPRGVAFIGEIGLGGELRMVPGMEKRASTLAKLGYKNCVVPELAEKFLSTLDLEGMQILACRDLKEMINTVFSKRLRQS >CDP12369 pep chromosome:AUK_PRJEB4211_v1:4:18857622:18862293:1 gene:GSCOC_T00035868001 transcript:CDP12369 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKELARTIIGVIGNVISFGMFVSPTPTFVNIWKAKSVQHFKPDPYLATILNCAMWVFYGLPIVKKDSILVSTINGVGLVIEAIFVTLFIIHSNWQKRRKIFIFLAGEAAILAIVIVVALTALHGSKRSLFVGVLCTILNIGMYLSPLTVMSRVIKTKSVKYMPFWLSLANFVNGAVWFSYAFLRFDPWLVIPNGLGTVGGLIQLILYATYYGSTNWDEDGDENGKAKEVQLSSEA >CDP12364 pep chromosome:AUK_PRJEB4211_v1:4:28139762:28146155:1 gene:GSCOC_T00035859001 transcript:CDP12364 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSGFPLLIQQFKALFKKNFLLSWRSKRSTFVSLFSSLFFIFLLFCIQKAIDARYSGNTSFKNVFDPKPLAGLAIPPCEDKFYAKLPCYDFLWSGNDSQRIAGIVQKIMDNNPGRPIPSTKVQSFKTRSEVDEWLLNHPMHCSGALHFMEINSSVISYGIQTNSTPLAKRGNYEDPTFKFQIPFQIAVEREIARSLVGDQKFSWQVSFKEYAHPAITIFSALGTAGPTFFFAIAMFGFVFQVNALVTEKELKLRQTMTMMGLYDTAYWLSWVAWEGIITLLSSLLTVLFGMMFQFDFFLNNSFGVVFLLFFLFQFNMIGFAFLLSAFISKTSSSTTYAYYIFVVGTITQAVTVFGFPYSHNYQNYFRIIWSFFPPNLLSEGLQLLSDATATPQDPGISWSGRSKCAPNDTECVISINDIYIWLIATFFLWLIAAIYFDNIMPNLNGVRKASFYFLSPRYWTGKGGNRVEEGGVCSCTRSNLPAENIIPDDQDVLEEENLVKQQAAEGLLDSNVAVQLRGLAKTFPAVRRNICCCNCKSSSAYHALKGLWLNFPKDQLFCLLGPNGAGKTTAINCLTGITPVTSGDALVYENSIRSSTGMSNIRKMIGVCPQFDILWDALSGKEHLHLFATIKGLTPSSIKSVVERSLAEVKLTEAARMRASSYSGGMKRRLSVAIALIGEPKLVVLDEPTTGMDPVTRRYVWDIIEDAKRGRAIILTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSKFGTGFVASISFSGATYGTPNNREDNVTATQVEAVKQFFKDHLDVVPKEESRSFLTFVIQHDKEKLLKNFFAELQEREREFGIADIQLGLTTLEEVFLNIAKQAELETAIAEERYETLTLNSGRSIQVPVGARFIGIPGTETAENPGGTMVEVYWNQDDTGGLCISGHSTESPIPPHVEARPSAVTSRRRILGRPGQVYGFLIDPDQINHVNS >CDO97827 pep chromosome:AUK_PRJEB4211_v1:4:799797:801661:-1 gene:GSCOC_T00021740001 transcript:CDO97827 gene_biotype:protein_coding transcript_biotype:protein_coding MSASHNSFVSSKASGKGKALEKSNFAHTCNLLSQYVKERGGLRDLNLAGISCKPEAIAKPEEPETSTSTLNLLNSLEIPEQPSSEQSKPVDPSSRFAPLVTSNTPEDASRNVSPREEMAGEPKRAQMTIFYAGDVIVFDDLPAEKAEEIMLLASSGGSGNANASGRFSTPNNSVEKLCSAGAVASGSKAPADPVCTAAQESPKQPQPEANTSELPIARRSSLHRFLAKRKDRAGARAPYQLHNNNNNNNDRPESSSKDDQEQLELKL >CDO98598 pep chromosome:AUK_PRJEB4211_v1:4:7247357:7251592:-1 gene:GSCOC_T00022753001 transcript:CDO98598 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTAVQTSLFKPKNGGVTTSTTTTVIKHRFISFLIWQSILSTISLLLVKTLLLYPFRSKNSPSILHSLFSFLAFHVSLLLFSTNLFIISSPHRHKPGSPLDLLLTSVRLIFVQTDNPSLSPEARQAVKFSLSFALFLVVSALSGFVALISICWSSGAFHQTMPWRVVVVMLGFRGFLIGLPYGVYYLYKQRWVLQFPIVQRPLFFSFKMGLPSAFGQALKLSTAAYFFSSVVLFFLPDEYGSNFAIGKYIAQQIIFYIGTFLVFLCWELSHHLHQILHTKRFIFAPPKGSAAAETNPSEYLLAALEESTPKSLLQYLAYLDLCMVCERNVDTWRRAAFFEETGETYRRVISVCLRPLEQLALNLGAGLESSLGEKSAYLSDQLRSPTDRLGDSRLHESFNDFQLCAWCARIVASLTASSRKEDRFGVAQLSGNNTAVISTLLSALLVVETFMGKKTNIQSSHHLMGAAGIKWAQMGTASRDSAVGLSEKRRGSPIYMKAYAMADILRTSIYCIVSAFDDEMLDSAKAGHLEKDWISSSKVLYGTRELLSQKLRLFLDFRAC >CDO98423 pep chromosome:AUK_PRJEB4211_v1:4:5347339:5353267:-1 gene:GSCOC_T00022508001 transcript:CDO98423 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH-like ubiquitin thioesterase 1 [Source:Projected from Arabidopsis thaliana (AT1G48790) UniProtKB/Swiss-Prot;Acc:Q8VYB5] MMTRSSSSSSTAAVRINIASSARKLDVNNRIALGFYYRIADNVLKQANIFREEDNIIDLYIMLLRYSSLVSETIPCHRDYRAALQSDKIYLKKKLMNALAELEKLKPVVQRKLEDINQQQRGYQPQLNLSLVNHPGKQQNLNSYAMTKTSPYVARQYNHQAPSTQQWSLTKPVDEQFRQIRLSIPRPKEETLSRHSVFGPNGLNGQWQPPPSDTGVRYPSNIDFSPVEIPRTFADISRESNGQKPVHDGTSIKKHDNNLESEVSKLKPLDLESKDDKKDQVEEPDSLISFETMESPVQTKIIRQPSPPPVLAEIQDLIPSTSPAVVDRDCGLTSNADGVICAEAPLELHISTALMDSFMRLAKSNTDKNLETCGVLAGSLKNRRFSVTALIIPKQESTSDSCQTTNEEEIFEVQDKQSLFPLGWIHTHPTQSCFMSSIDVHTQYSYQIMLPEAIAIVMAPKDGLRTHGIFRLTTPGGMNVIRQCPRRGFHAHDPPSDGSPIYKQCTDVYMNPKQKFDVIDLR >CDP16412 pep chromosome:AUK_PRJEB4211_v1:4:17708751:17709585:1 gene:GSCOC_T00018280001 transcript:CDP16412 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVHLLVLMKRKEVYLVAYSLRKLNRCEMFACFQVFLFFFIYTGAFSHIIDRGSHAINFILLYTVFNLRQTILEISMASSILAYKFRAPFAWITSPLCSN >CDP14840 pep chromosome:AUK_PRJEB4211_v1:4:24956787:24958868:1 gene:GSCOC_T00042306001 transcript:CDP14840 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWWLIRMLDSEWWLIRMLDSEWDIKVGWKIRSAFLLSLIPPSADTVAVASFCCYPLPQQPSFFCFKYPPAAIGTVVLIQKDIDLNSLMVNFSIWLARVEQAV >CDP12755 pep chromosome:AUK_PRJEB4211_v1:4:12536207:12539395:1 gene:GSCOC_T00037393001 transcript:CDP12755 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGEIQPEQQQQTLALKLQFQIDYSVFFNYTTTSSYQNSRSLLQKEKNNNYNQVDERLIPHTKKRGRSKGGAWLSSSSSSTASLFLFRYTCHSPLVLLISLAGRIQEEHFISKLHFSWLQLSCASGSRVVLASYKDSVGQASFHTLIIQKFAMRFVNSLESQSFIESLKVKLSSAVCFLLN >CDP14389 pep chromosome:AUK_PRJEB4211_v1:4:23134809:23138397:-1 gene:GSCOC_T00040769001 transcript:CDP14389 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDESDHLFYVALLSFLVLITGKLVASDSLDTDKQVLLNLKSFLEDKNPVNRGSYNLWNSAGTSPCTWPGISCDSHGNRVIGINLSGNNIAGGLFGNFSELTQLSYLDLSMNTIGGAIPDDLGRCQNLKSLNLSHNLFDGEINLTLLKSLQVLDLAVNRIDGDIRSAFPENCTSLVVANVSANAFTGDVGNMFVGCSNLKYLDLSTNNLTGSLWSGFDRLKELTLYENKFTGTVPSSFLTGNCSLQILDLFHNQFVGLFPKEISNCKDLVILNLNENKFSGLIATEIGSISGLQELHMGRNNFSKDIPESLVGLSNLTFLDLSGNGFGGDIQDIFGEFKQVRFLVLHGNSYTGGLYTSGILGLSNIYRLDLSYNSLSGSLPIEVSQIMSLRYLILAYNQFTGQIPSEYGNFQAIQFLDLSFNMLNGSIPSSLGKLSSLLWLTLADNQLSGEIPPELGNCSSLLWLNLANNQLSGTIPPQLTTIGANPMPTFLFNRQNTKITAGFGECLPMRRWIPADYAPFSFVFNLLNRKNCRNLWDKLHTGYGLFQVCVPGSNVRTSDISGYLQLASNQLSGEVPPDIGNMRNFSMLHLGFNQFYGKLPSEIALMGLVVLNITRNNFSGDIPTEIGNIKCMQNLDLSYNNFSGTFPASFNKLSDLSKFNISYNPYIAGVIPETGQLATFEKWSFLGDPHLRLPPFIDNSTGGGQGTKSESAKKPKKLGAFLAFLALLLAFLLCGVMTLIVCLMIKSPTDLPGYLLEESKGMHELVSTSSSSSPWLSDRVMVIRLDKTAFTHADILQATSNFSNDRIIGRGGSGIVYRGVLPNGTEVAIKKLQREGVEGEREFQAEMEALSGNGFGWPHPNLVKLYGWCLDGSEKLLVYEYMEGGTLEDLIIDRTRFTWKRRIEVAVDVAHALVYLHHECYPCIVHRDVKASNVLLDKNGKARVTDFGLARVINGGSHVSTMVAGTIGYVAPEYGQIWHATTKGDVYSYGVLVMELATGRRAVDGGEECLLEWARRVMGDGRQGFTRSLIPVSLLVSGLEKGAEEMCELLRIGIRCTTETPQARPNMKEVLAMLLQISGRGSRRHQSYGSSSSSG >CDP15087 pep chromosome:AUK_PRJEB4211_v1:4:10256834:10262338:1 gene:GSCOC_T00042652001 transcript:CDP15087 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEPENKKKKTRNPRTSQGSLRLVLKHADLLDTLLMILGTIGCFADGSSVAANMLVLGSLMNSYGRAASAFNPDDINKYALELFYVAVLVGSGAFLEGFCWARTAERQTSRLRTRYLQAVLRQDVGFFDKVDGTSMTSQVVLSISTDTLTIQGVISEKIPNFITNMAMFTTAQLAALYLSWRLAVIGIPALLMLIIPGLVYGKLLSSVGQKIQEAYAVAGGIAEQAFSSIRTVFSYVGEQQTTSRFSSALEPTLNLGIKQGLLKGMAIGSIGLIFAVWALEGWYGSILVIEKGLKGGDCFTAGVCIIFGGVALGSSIVNIKYFAEANIAAATIFQMIERIPSIDSTDPKGETINDVKGELEFKDTDFAYPTRPDNLVLRKFNLKVIPRQTVGLVGGSGSGKSTVINLLERFYDPLGGEVLLDGINIKTLQLKWLRKQMALVSQEPILFATSIKENILFGKAEASEEEIIQAAKAANAHNFITQLPEGYDTLVGELGIQMSGGQKQRISIARALLVNPRILLLDEATSSLDSHSEKAVQNALNQVSQGRTTLIVAHRLSTLRNADAIAVIRSGQVVDFGSHDELVQNKYGPYSLMVQQQKKLMNDEIICTPKEAEQKDQEVMDQEYSPPTYLQLLKMTTPEWSSTLLGSLGALLYGFVHPLHSFCLGALISNLTKRVREATMAKILTFETQWFEQEGNSTGALCSRLAKDATLVRSLVADRLAFLIQSISGVTLAVILSLVLAWRIALSQNRSNELASEAVGNHRIITAFYSQDKVMTLFQKAQVGPKKESRKQSWYAGLGLFTSQFLGATNMALLFWYGVELKEVDFFYPTRPKQLILNNLSLTVEAGEVVALVGQSGSGKSTIIRMIERFYDPSKGSVEIDGIDIKLYNLRALRSHIAWVGQEPCIFAGTIHENIAYGTQGATEAEVVEAATLANAHEFISSMQDGYGTYCGERGAQLSGGQKQRIAIARAILKKPRIFLLDEATSALDVKSENLVQDAFDKTMTGRTCLIVAHRLSTIQKSNKISVVDNGRIIEEGSHDDLLAKGESGAYHTLVKLQHQATAK >CDO97871 pep chromosome:AUK_PRJEB4211_v1:4:1192074:1225755:-1 gene:GSCOC_T00021806001 transcript:CDO97871 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGSFHLHFELERFLSRCPRLASIPEFDYLLKKADKVTEEEVVKAVGEIFLHPNYTIPLVGCFRPIVHKIVERTVSLLHLVPHLRSNSDDSMVEFNEDNVLREDEDLDNTEFMHVVDVYARSGKGLNLHELACLAFCRALDMVPFLLRLVLDYFQFAPPPFERIKLTKYSFKELAMGGSQLLNVVRASYRLLLAAPGVFTTFWDWSSFLDIVQLLADTEHEDEGLKRNLQDLRWCSVQILSMVLKIRDRASSDFGLRSGEAFLCLLRWQEFCQDIALERAGWYLGISAGRQLDAMTDNLSPDLKNFSNSLPVFASEFHDFLPANWSMSNVTWRNAKPFVLTDALKKSFEMVALAVSQRWPVLLYGPAGSGKTALINKLAQEQGSQVLSIHMDEQMDGKTLLGSYVCTEQPGEFRWQPGSLTQAVCNGFWVVVEDVDKAPPDVQSILLPLLEGASSFITGHGEAIRVHEGFRLFATVTSSKLDISSITEGKNAVAALWHRVMVGAPGESDLLNIVSGWYPELDPLAGKLVETFAKMNHLAGFQFGTTAFSGSHGRFSLRDLLKWCRRVAGLGLTFLGDGLSSFACSSIYKEAVDIFAAFATSTENRLALMKEIAKLWTVPVVETLYPVNKPMIQDLRIDLRIGRITLNRMQMGLDHDKKPFVEIRSSVHVLERIACSVRFNEPVLLVGETGAGKTTLVQNLAARIGQKLTVLNLSQQSDVADLLGGFRPIDAQSVLIPLYKEFENLFVGTFPSKDNGEFLARLRKFIVDKNWKMLVNGFQKGVRKIVEIGRCGSGNKRKRPLGEEVLRGWENFSLKLERAYAQISGSGGMIFSFVEGAFVTALKNGGWILLDEVNLAPPETLQRIIGVLEEEKGSLCLAERGDIDYIPRHPNFRIFACMNPATDAGKRDLPFSLRGRFTEYFVDDVLEDDDLVLFISQYMDDVHSNSALVSKIVQFYKAARKESDERLQDGANQKPQYSLRSLYRALEYTRKARRKFGFQKALYDGFCMFFLSLLDEPSAKLMNQLILSCVLGGKVPQHVPFDAYLMVSGNSCSDPLLESYVLTKSVKEHLSNLARAIFVGKYPVLLQGPTSSGKTSLVQYLAAITGHEFVRINNHEHTDLQEYLGSYITDSNAKLVFHEGALVKAIRNGQWIVLDELNLAPSDVLEALNRLLDDNRELYIPELREVVRAHPGFMLFATQNPPNIYGGRKMLSRAFRNRFVEIHVDEIPEDELSTILEKRCKIPASYAKKMVDVMKELQLYRQSSKVFAGKQGYITPRDLFRWANRFRVFGSSYEDLARDGYYLLAERLRDEKERRVVQEVLERHLPFNLAQNEMYNLDALDGEKIVWTRSMCRLYFLVERCYKLREPVLLVGETGGGKTTVCQLLSVLLQSKLHILNCHQYTETSDFLGGFYPVRERSRIATEFKALCHELICSKAFVSCSGEVEISSDINQASLTLDKISLIINGYREGRFSHPHVTLDELEYIEKINAGLAELHNKWQTIFIWQDGPLVEAMKNGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGCNLETITADPNFFVLATMNPGGDYGKKELSPALRNRFTEIWVPSVSELNELRSIGLERISNPQLTWIVDVMLNFWEWFNHLETGRMLTVRDFLSWVAFVNMREESLLHADALLHGVFLVLLDGISLGTNLSKLEAVDLRKKCLSFLLKQLEEFNCSLNHPNLSSLEYYGWADPGNSADTPVPENHSMQCDNRFGIYPFYIGIGNGVFLLRSKVTNIKSSWNIKIFSYCSKLYNCVELAYKSIVKLLKLYRHDGIHVALQECNILLYSGNDNCQVRGFEFLAPTTRRNALRVLRALQLAKPVLLEGSPGVGKTSLVVAIGKFSGHKVVRINLSEQTDIMDLLGSDLPVESEEGMQFAWSDGILLQALKEGSWVLLDELNLAPQSGLNAILDHRAEVFIPELGATFKCPASFRVFACQNPSYQGGGRKGLPKSFLNRFTKVYIDELIEDDYISICTSLYPSIPQSILWKLVLFNKQLYENTMLYHKFAQDGSPWEFNLRDVIRSCELIQGAHENFQSDCFLNTIYVQRMRTPTDRLEVIKLYEQVFGLKPCINPFPRVQINPQYLVIGNISIQRNPYHACGFFNGELKILPGFLSSLEAITHCIGHQWLCILVGPPSSGKTSLIRLLAELTGHVLNELNLSSATDTSELLGCFEQHNALCNYRTIIAVVERYMSEYSSLQLESSTGEFIRQKDLYSEWLAFLSSIDYDPTRSSASANIEAWRTKCFDSVPLLIEIIENLKFEVEKKKLQLSWSCRDLDRTLMAIKKLQESSLRRQYSAKFEWVTGVLIKAIENGEWIVLENANLCNPTVLDRINSLVEQSGSITVNECGTVDGNPVVLHPHPQFRMFLTVNPSFGEVSRAMRNRGVEIYLMKPFWLHEGKCNSYIDEAELRDAKRFIIFSGITFSKLVDMMAKAHSYAKNEGARFDVHITYLELARWVQLFKRLITSGNQPIWSLQVSWEHTYLSSLGEVEGKDVVTHAISSYLSERNLSRIDKFQDSLICLPGGWPSPLNTRDFVLYSKETCVRRNCMYLEFLGVQASYSFCFSTCSEEQAVIASGSERIYLMDVRRLYYMMFPNASMDMVLNQRVQKQFNLDLARKMLFFAANWTIEQAVESDIELYICWFSWFGSHVEPFCTFFSSFVDTLKEELKHPVWDRIFHCRRELLSRNSVNLEAFPIPILSVDLVGLSASNDEVKSYAGFLMNSIKCVGLVRLTYEQWRLERQHVHSQRTKYFEPLLLALQVVEKQVLNLLVVSQSFDVLFQLYNGLLENHMLFWNGIISSHFESILISWRSLLKSISRMHEFFPEGVDNFLKEIRNVDPAFSDSLSSQKSLLWVHGGHPYMAPSEDVYQKQCQLISLCEMVWPRKKQFWDQTGSDIPAEAVLYFNSELRFLAMQGVSMSAYIIGNAEEHFHIVQHLEETYQLLLRRLEFEKCKLNANVKTSEDASWQANLSNCCSFPPNLLCRRRSGLDSWLDELPIRDDTSFYHDMVLLSELARIVILDVKEQHQALSNLTGHMERALNFSLNFSSRSPMDLIPHQKILWTVDAWFSVPAVNAKLASFVLEMWFRWHRFLWMHYPALADDSARHYANGILLPSRLSRTLKSETVERILQNVFSVGDYPLYCLKLRVASRDLWQGGPSAVDIKDLLLSNAQSLFEQIIYSHRKSFSTDNFTKIKYFFSLALAKTITLDDIDNVVSLLASSNHSIFTSLLGALIQPVLSVLYTPCAHNSDYVLGCAWLRIGGLRYHLLTLCNDPDPAVKYSIKYSQLMEKIDSLELEIEVRRECVLLAGSFQLRKCDDYREQLLENLRADQKSMQRRMIFRSDPGKYKKLKHELDEFRNDTASVSWINNILSMHVEQIIDQIQNWQEKASSFIERLSEEYSAYGDVIEPVQVAIYEMKLGLSLVLSTALAKKILERIGQQDMEFVLSTVYSFMRFPRTFSSKAVKIQNWQKKLTSCKIELPSNMGALDLKLLENLITSSRDFNSERVISVIYFRIAIYKNVLVRITQFISEVHLLDNASFRLLDKIFGEIASCWMDMKLQLKEKEHDEAQQFKFRPRAIKVENIIEIDLSTLQSSVASDSFTEWQELFAGEESTEKNRLDEVHESLEEEWNILEESVLNDIVDIHNQLFGSVDLCRNPGIVKVADEQKLSAFIDSYSLGLRMIKGLEGIISSNFDSKLIPEHILRICLEKESLFITPHKPGRSYNFYKDPNPSMMAKMVEPLAQLKQRILVLLHEWDGHPAFQKIVDAIDMVLSIPLSTPVAKVLSGLQFLLNKVWTLQATVAKIPLSDYLKPIFAMVSSLQKLEFESWPALLDDVHVQFEVNAGRLWFPLYSVLQRHYSAVDNDYDGKTIQSLDDFIHMSSIGEFKRRLQLLLAFHGQLHNGQCQGSYSSLFQVKLVKILYNTFGFYVQFLPKILEHIGANRRTIETELKDHQKLCRWEHTEDYLSIENSRRARQKLRKIVDKYTDLLNQPVALFLIQEVARNGVSQPMQGPQLSPVYSYDSNRKLLDVICNQTKFRDEDSQKKAKLLLQASHLVRKVEVDISNVSLEDIREVTSLIKNILPSQLLLEKGNQILDTIWTVCSSVIHCGDLWKDENKHFGKRRAFSDLLKLLESCGLSKHRSTFMEDQFNDKKFRYWLLQPSYDVQHLLMQGGASYGDVNIAASSNLKSLSSGSLESEWKTANKFYFSGLASMHVVEQICLNFHKDFTLEQVKRSCSFLDHLIAIQQDQRAAAYHFSEELKDLRECLWPLANLFPDSFLGPASCEWSFPENQNVIFNCMWQQKQLLDNLSTMISEVQLLVKKVEHNHLNSCSNVKDTAKQIFCIIEKFVPELQSSKGLLDLHLLGDNRAKATCDALLLPYGVTKHMEQLVNDNFKWIRTFKDNLSAFSREEREGATVKAILLGHFEEVFEKASFMAEQYSSDLKARKQSENVSEDANLHIENTIGVGFLDPFKEIYRSISDAFHVMLSQKNGPAIGEESPSNISEWKSFFEADTQRLKLDFICDKLVQITNNAGEQLNHCSKTNTCSLFQAHLRILYSLLNVILAFGDGLLHDFLNMHRMVSVMTYVLAEIFASLFAKGFGIPEDQVKESECETRQDASGTGMGEGAGLNDVSDQINNEDQLLGTSEKNCEGQDGLSDPPSRSDKGIEMEHDFDTDVLSVNEEPMDDYGGSGDEQLESAMGETGADSEIAKEKPWEKSDDENPMGMEEKYESGPPVEDYETNDRELRAKQDPVSVDEAGENNPEEFDKKDVENGEEAAPDGKEDAMMDKDNAYSDPSGLKIDEPNQDFDEDEANGTELMEDHVMEELQDPADSENEEEKDVEMDGTLDEKGSNNLTDSQESDHENDTMGSGEPKEPLQMGTSEQMNDDISTLQSANKPNAESSAVGLGDVLPEAKWSDASDVQDDLAPIRGLPDSSAIELPVTDTSNGSKLGNSHFDAPMPLREDSIQKTKPNPLRSVGDALDGWKERVKVSMDLEENVNDTADFNEENANEYGYTAEFEKGTAQTLGPATNDQIDKNMSGKDLEKDTETKGADHGIDMEFENQPSDRQHMLSSALNHGNDLERQSESWDLGKHPEESSGLHGNHDEDTRLSQSLVSINRSYLTEDINQLSKLSVSDDELGKANFLEEISSDVRHNAATVWRRYELLTTRLSQELAEQLRLVMEPTLASKLQGDYKTGKRINMKKVIPYIASHYRKDKIWLRRTRPNKRNYQVVIAVDDSRSMQESRCGDVAIEALVTVCRAMSQLEVGNLAVASFGKKGNIRLLHDFDQPFTGEAGIKMISSLTFSQENTIADEPVVDLLKYLNNMLDAAVANARLPSGHNPLQQLVLIIADGRFHEKENLKRCVRDILSRKRMVAFLLLDSPEESIMDLMEATFQGGNVKFSKYLDSFPFPYYVVLKNIEALPRTLADLLRQWFELMQYSRD >CDO98034 pep chromosome:AUK_PRJEB4211_v1:4:2374275:2378245:-1 gene:GSCOC_T00022007001 transcript:CDO98034 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFEDRLYTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFENLNNWREEFLLQASPSDPENFPFIVLGNKVDIDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGYNVEAAFQCIAKNALKNEPEEELYLPDTIDVAGGQQQRSTGCEC >CDO98399 pep chromosome:AUK_PRJEB4211_v1:4:5183546:5185131:-1 gene:GSCOC_T00022479001 transcript:CDO98399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid phosphate phosphatase 4 [Source:Projected from Arabidopsis thaliana (AT3G18220) UniProtKB/Swiss-Prot;Acc:Q0WNG6] MPGIQFGGHTLKSHGTKVARVHLHDWIILLLLVLIDAGLNLIEPFHRYVGPEMMTDLKYPLKIPDTIPFWAVPIFAIIVPCTIFLIYYLIRRDVYDLHHATLGILFSILVTAVITDSIKDAVGRPRPDFFWRCFPDGVPVFKKGIGDVLCSGDQSIIKEGYKSFPSGHTSWSFAGLGFLSWYLCGKIKAFDQRGHVAKLCLVILPLLVAALIGISRVDDYWHHWTDVFAGALIGSVVCSLCYLQFFPFPHGINGEFKQLARGSGKVIFPEYELNPFS >CDO98650 pep chromosome:AUK_PRJEB4211_v1:4:7757342:7763694:1 gene:GSCOC_T00022814001 transcript:CDO98650 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELKNQESSPASSAELSESDATTGASTNKWEELLRKMLPAGAPVPDEDHLDYSITVEYQGPPPCLPSSTCISIPKPLKFSSSFKTHNSLLISRKNSNLVSSIARNRDSSDEKSRSSFSSSSVPGNFLFSSCDTKVDDGKSVDFSANFDTNDRIDKGKIGAKVKRCSRCGEGGWLILIREKREVCMVCGAEYCRHCVLKAMGSMPEGRKCVGCIGKRIDEANREKLGRCSRLLASICSPLEISHIMKAEKECSANQIRSEQVVVNGRPLKEEELVEVMGCAHPPCDLRPGRYWYDNDSGLWGKDGEKPVSFISANLKVGGKLLNNASNGNTKVYINGREITKPELRILKLANVQCPRGTHFWLYEDGSYEEEGQKNIRGNIWEKATTRLICSLLSLPVPFGNARWAKEDQTTFSGRPVGKYLEPGKVHKLLLLGLKGSGTSTIFKQMKFIYGNQFSAQELQDIKLLIQSNVYRYLSVLLEGRERFEDEALLAEKAQGLTAEESLHSSAGGILPDRQRKSVYSIEKRLRNFSDWLLDTVARGDLDTFFPAAAREYAPIADEVWKDPAIQETYKRREELHCLPDVAKYFLDRAIEISSNEYEPSEEDILNAEGVIPNNGLALFEFSSDDHSTMSETGNDNSEVHPPSSKYQLIRISSKGLLDSSKWLEMFEGFRALVYCVSLSDYDQMVAHDTCPFSNKLLASRYLFESLARHPSFEDIPFVLLLNKYDAFEEKINLVPLSVCEWFSDFSPSKPHHNSQSLAHQAYYYIAVKFKLLYTSITGKKLFVRQTNGRDSESVHDAFKYIREIIKWDEEARNVYGIYENNSFYSPERSSSPNIRGG >CDP14360 pep chromosome:AUK_PRJEB4211_v1:4:21981380:21983980:-1 gene:GSCOC_T00040708001 transcript:CDP14360 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEHIREITTKIYIFQKPRANPLTKLLTQGLVSYDGDKWAKHRKLINPAFNVEKLKQMVPSFYASASEMLGKWEEIVSTNGSCELDVWPDLQALTSDAISRTAFGSNYKEGKRIFELQREQSEHFLKARESIYIPGWRFLPTKRNRRMKQIAKDVQESIREIINARLKAMKEGEACADDLLGILLESNSKEIDNHGNKDFGMTIKEVIDECKLFYFAGQETTSVLLVWTMILLSRYPNWQARAREEVLQHFGTNKPDFEGLNHLKLVTMILHEVLRLYPPAATIARRAAVEIQLGDLNLPAQVLLSLPTILLHHDPEIWGNDVEEFKPERFADGVSNATKGQVAFFPFGWGPRICIGQNFTMVEAKLAVAMVLQRFSFELSPSYAHAPREVITIQPQYGAHLILHKL >CDO97896 pep chromosome:AUK_PRJEB4211_v1:4:1361712:1363372:1 gene:GSCOC_T00021837001 transcript:CDO97896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB26 [Source:Projected from Arabidopsis thaliana (AT3G13890) UniProtKB/Swiss-Prot;Acc:Q9SPG3] MGHHSCCNKQKVKRGLWSPEEDEKLVNYISTYGHGCWSTVPRRAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEASLIIELHRVLGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLVSHHGLPDLCNFPPNLSSAFAPGASVESFFSVNANPNLIPGAHFDNLYIPTMASTLQGFDHNIHNDIIGVDEMNNFPNLVPVPTVTTPMIDSPICSCDPVWPAGNPQSDNHHLDPPLASAALFNASNVQLIHPNMIIQSYNDVDDVLMAHGTPKICEILEEGDQYNLPSLSTDTISQEVDPIAASLSGFLPSASDPCAQIEPGNRQLLESIESLVSMMPPSSSSSSSSSLSLSQCLQYYHAANAYEP >CDP12708 pep chromosome:AUK_PRJEB4211_v1:4:11500589:11501788:-1 gene:GSCOC_T00037307001 transcript:CDP12708 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGFLVALLVLSCLAASSNGVTFSSLQRTLIVRASPTQGQVLKAGEDKITVTWSYNNTLAPGTDSTYKTIKVKLCYAPISQVDRAWRKTVDDLAKDKTCQFKIVAKPYSASNSTITWTIERDTPTATYFLRAYAFNSAEEEVAFGQTTDAHKTTNLFQVEAISGRHASLDIAAICFSSFSVLSLFGFFFIEKRKGKASRQK >CDO98062 pep chromosome:AUK_PRJEB4211_v1:4:2524079:2534732:-1 gene:GSCOC_T00022038001 transcript:CDO98062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPTYNLKGINVDFPYEAYDCQLVYMEKVIQALQTKSNALLESPTGTGKTLCLLCATLAWRKSLGGFSRGRSERSSQLSSQDPDESSRESQSSKLPTIIYTSRTHSQLRQVIQELKSTNYRPKMVVLGSREQLCIHEKVRSLHGKAQTNACHALCKKRKKHYCAHFSRVSEFLRSNPGLGDEPVDIEDLVNIGRSCGPCPYYLSRELHKVVDILFTPYNYLIDRGYRKTLNIEWQNSVLIFDEAHNLEGLCADAASFDLPSSLLSACISEAKSCVDLSISRRDKSNDKSCKPDNFAILRALLLKLEKRIAEVPIDSKELGFTKPGPYIYELLADLNVTTKTATMLIDIIDEAVLLLEEGQKTKGTVCRLESMGDIFRLIFRDNYSHANNYRVHVQEVEGSTLDAFKEYLAGIPFVVYNHSSHKPRNAFQKIISALILFCLLYLHKQFKSADAYVCKTSRILSWWCFNPGIAMEEFSRFGVRTIVLTSGTLSPMDSFAEELKLEFPIRLENPHVITENQIWAGVVPAGPSGYAFNSSYRSRDSLEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCIGCWKTMGHTNLSNSSTIWERICKYKLPVVEPRQSSLFPMSIEDYMTKLKDKSASGAVFFAVCRGKVSEGLDFADHAGRAVVITGIPFATRTDPKVRLKREYLDEHAQLQQLGQKNSQALTGEEWYSQQASRAVNQAVGRVIRHRHDYGAIIFCDERFSNSNRQSQMSLWMQPHVKCYSKFGDVVFTLTRFFRDGGICGPTKLELTRNSCQGNVESSQPLDKLHSDKLLTTDTSCTVVSVSSPKKRGTSLSHLTEVVPANRSSLTSHKLNIGLKLSSNANLLSHLSTNMQSSFKDAIGSTNYDLSGTKSGQCIAPCSLKKPRLVIRGHEQTESFEKSDEPSCSRENSHATSYSTGFLKNEKLQWFNDRSKQNAQDSRRLLESEVKVKNMTCTSIDPKDKEKNSASTASNDEERKGSAFLIQVQEKLTDAEYKEFVSFMKALKSKAMKIGHVLQSIARLFSLPDRLPLLHGFKDYIPAKYHSMYDHHVQANQNVAGL >CDO98588 pep chromosome:AUK_PRJEB4211_v1:4:7134824:7135595:-1 gene:GSCOC_T00022742001 transcript:CDO98588 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYAMDGIFSEKSDVFSFGVMILEIISGKKNTSFCDSDRHLNLIGHVWDLWTEGRISEIIDSCLDERIPRSEALQYVRVGLLCVQENAADRPTMLDVASMLLNGSMVLDSPKRPAFSEIMSLNKAKLRGNPEFCSVNAITVTDKEGR >CDP12420 pep chromosome:AUK_PRJEB4211_v1:4:20256247:20256744:-1 gene:GSCOC_T00035948001 transcript:CDP12420 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFRRICCFCFLLPHFIVPSDAIDTLALNETLADGKTIISSGGTFELGFYSPDTSSKNRYLGIWYKQVSPVVVVWIANRDVPVNGTNGLLKVTDQAKLTIFNGEGTTIWSTNSTRLVQKPVAQLLDSGNLVVKDAADANPENYLWQSFDHPTDTIIYCLGLCFL >CDP14841 pep chromosome:AUK_PRJEB4211_v1:4:24959521:24961850:1 gene:GSCOC_T00042307001 transcript:CDP14841 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDILVVVCTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRRVREIVEQSWTAD >CDO98065 pep chromosome:AUK_PRJEB4211_v1:4:2549498:2551492:-1 gene:GSCOC_T00022041001 transcript:CDO98065 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTEDDARYPPNTYGMSQGYGSSNRQKLPVRNAGQNVINQYVEDDDVDDEEEEVEDEEEDDDNEENGVQRVERDEFDDDNEDDDDDDYGDMQRHPKKRKLKSLLSSYEFAPRVPATSAAPVPVPKPSFGGRNAVADWSEHETFILLDAWGDKFLQHGRKSLRSEEWQEVAEKVSQQSKIDRTDTQCRNRLDTLKKKYKKEKAKLGETVNFVSKWVYFQKMDMLLSSPPQQAGLSCGVDSGEYVFMNPGEYLNRSNGLDEMRDSPGISESAEAEEDDSEGLPPKRTKTVRDRGNGASFKLLADSIEKFSEIYEKIENSKRQQILELEKMRMDFHRELELQKRQILERAQAEIAKIRQGDDEDNDVSAENISR >CDO98237 pep chromosome:AUK_PRJEB4211_v1:4:3860482:3865413:-1 gene:GSCOC_T00022263001 transcript:CDO98237 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVLGNHTKFGTAWSLIHDLHKNSVDTKEALLIMIDRYAATNQFDKAVKTFETMEKFCLLPDQDAFFTFLNILCKHGNIEEAEEFMFLNKKFFPLVTEGFNIILNGWCNIAVDIVEAKRVWREMSKCCILPNGMSYTHLISCFAKVRNLFDSLRLCDEMKKRGFQPGIEVYNSLAYVLASEDCLKESLTILNKMKEAGLYPDSTTYNNIIIPLCGDMKLEEARMVLAMMIEDNVSPTIDTYHAFLTGASLEGAFEVLNHMRKAGLGPNGNTFLQILGKFFELKQPESALKVWLEMRHYEILPDLAHYRVLVEGLAKCGLLVKAREFYNERRSGGFARDPKLEKLFKEPRKDRHLEGDRRRTIKHIEEGKSSFHGRNIAMQNRRAASTLLHLITTTAPLLLLLLLTTRVSATDHIVGANQGWNPGINYTLWSNNQTFYVGDLISFRYQKTQYNVFEVNETGYDNCTIEGAVGNWSSGKDFIPLNKAKRYYFICGTGGCFNGMKVSVIVHPLPSPPKPAIAASHASTKSAAAAAAAARGIYSMLVLMGLFPFIWIGL >CDP16919 pep chromosome:AUK_PRJEB4211_v1:4:13277359:13278787:-1 gene:GSCOC_T00005271001 transcript:CDP16919 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQTLQHQQVPPLMEFFLQIPLLIRNFIIYSLEMANIIVVFPNKRIVETVLCFSIFLFCYLPTAFCSGFLQKLYLPVPGPESYAFDSAGRGPYASLADGRVFRYLGPAVGFRCIHFFKSRKPRRFCDGTNRTDISQICGRPTGLGFYYKTGELFLGDADLGLVVIPSGGGPGIQLASSAEGVRFGFPDGLEVDQATGIVYFTDASSRYNLSQINNIVSNRDATGRLLKYDPRTKNVTVLLRGLSGAAGVAISEDGSYLLVTQFVIGQVSKYWLKGPLANTAEVLVNMTGMPDKIKRNTKGEYWIAVTVTSKNSTQLQGQRIDGDGNSLETLTFSPDFDSSLITEVQEYKGALYLASLYVGYVGVYR >CDO98023 pep chromosome:AUK_PRJEB4211_v1:4:2329187:2329684:-1 gene:GSCOC_T00021991001 transcript:CDO98023 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S17, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G79850) UniProtKB/Swiss-Prot;Acc:P16180] MSATSAILQLPLSQFKSLTLSTPFLHGSTPISLLSKPSSSLSNARTSPLTVLPPIRAMKSMQGRVVCATSDKTVAVEVTRLAPHPKYKRRVRKKKKYQAHDPLNQFKVGDFVQLEKGRPISKTKTFLAVPVVGRNVPKAKELVPQELGLKLESDQQNQIEGSVGT >CDO98174 pep chromosome:AUK_PRJEB4211_v1:4:3404959:3410462:1 gene:GSCOC_T00022184001 transcript:CDO98174 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLGSPPPPTRLNFQASSSCSTSSSSSRFNSTAGHVHGFWTSRSKRKPRFPKIFAKASEKEDNDKPAFNPFGFVTDNPSSKSAIQLPESPAEDGNVGQMLSRIEDKGREYGSYIKSGGLRWFVRETGSAASRRGTIVFLHGAPTQSYSYRNVMSQMADSGFHCFAPDWIGFGFSDKPQPGYGFDYTETEFHDGFDKLLDVLGVTSPFFLVVQGFLVGSYGLTWALKNQNKISKLAILNTPLTVSSPIPGLFKQLRIPFLGEFTCQNAIMAERFIEAGSAYVLKLEKAEVYRLPYLSSSGPGFALLEAAKKVNFNELLGEISCGLASGRWDKPILVAWGISDKYLPQSVAEEFQKGNPEVVKLELIEGAGHMPQEDWPEKVVDALRIFF >CDO98504 pep chromosome:AUK_PRJEB4211_v1:4:6195645:6199367:-1 gene:GSCOC_T00022623001 transcript:CDO98504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT1G18490) UniProtKB/Swiss-Prot;Acc:Q1G3U6] MTKKTSSSSSSSSSSIQALYDLCKRTFTSSGNLPPSSKATQNLCSLLDTIGPADVGLRDENQEDDRGHGLLGLNLFNRVDRWAQPITYVDIHEGDSFTMCVFCFPTSSVIPLHDHPGMTVFSKVLYGSLHVKAYDWVEPALVQKGKQAGHPTVRLAKLAVDKVLTAPCSTSVLYPKSGGNLHCFTAVTPCAVLDILAPPYLEAAGRKCTYYHDYPYSTFSADGDEVQNGKEEDYAWLAEINTPDDLYMRSGTYTGPAIQTE >CDP18094 pep chromosome:AUK_PRJEB4211_v1:4:14485457:14487355:1 gene:GSCOC_T00008271001 transcript:CDP18094 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKDKASSSSYVLNVPREDTPLVAPNGYHLSSHSKTFANVFIAIVGASVLGLPYIFKRTGWAIGVLMLSSVAFLTYHYMMLLVHTQIRLESMVALLVPNFADFFSLVGSSVCIVLGFVLPALFHLIVHKEELGWQGLALEVAIVALGLALPIEVQRRHFLFLFSFFVGNSLTDGWMDIQMRTGFVLPALFHLIVHKEELGWQGLALDVAIVALDLVYAVPGTWTSMIEIMAAKA >CDP14836 pep chromosome:AUK_PRJEB4211_v1:4:24881582:24882454:1 gene:GSCOC_T00042302001 transcript:CDP14836 gene_biotype:protein_coding transcript_biotype:protein_coding MCQGQSPQVQSGAVKHRLWDPLFFTLWNALSAKEHLHLFASIKGLPTATRKSEVKHLLATVDIDKIASVRAGSYSGGTRCRLSLAIALIGDPKLLILDEPTTGMDPVTRRHI >CDO97860 pep chromosome:AUK_PRJEB4211_v1:4:1078190:1081982:1 gene:GSCOC_T00021791001 transcript:CDO97860 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSIVQKRFKFPENSVELYAERVNNRGLCAVAQAESLRYKLLGGLAVRRACYGVLRFVMEQGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLAWDPKGKVGPVTPLPDLVTIHQPKEEDEYPRPLAAVTDIDVGAA >CDP12747 pep chromosome:AUK_PRJEB4211_v1:4:12462091:12464154:1 gene:GSCOC_T00037380001 transcript:CDP12747 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEEISIQEDLSLKIKLSNRLVLSAKEAESFKSECSELALHTTQLIEHLLSLARRDTTATFYDRPIRRVTAELSKILPRAIRLARRCKHKKSAKNVLRHVFSVSVSADIEKVSAQIKSSIADLKWLVSLHDCTSGSVNLSLPPMACNDPILAWVWSYIAVLHMGSVKERTDAAQELAAIALINDRNKKIIIEENGIAPLLKLLKESVTCESQIAAASALFNLGNSPERVWLIANDHGISIIVKLLLEMPPMSVQVVLVNLVWRMADLDDGVREEFGRENVVRPLVVLLGMDVVLDELVKKEEPRRTTSMHSLVLMNRQITGNGSNGVYGNSKSLNYGGNRWEKEREKVVESPEVKLKLKESCAMALWKLVKGNLSNSKKIMETKALLVLAKIIEKEKGELQFNCLMTVMELAAVAECDGDFRRMAFKPNSPAAKAILDQLLRMIIENADTQLLIPAIKAIGCLARTFPARETRIIEPLVSLLGNVDKDVVREVAIALVKFVCPDNFNHVEHSKAVVEFNGVSKLVTLVKTNYGSQLYGLLLLCYLAMHVGNSKAFEQVQVLSVLDGDAHDTLAQYPDLSELFAKARHHLTLFKSEA >CDP12282 pep chromosome:AUK_PRJEB4211_v1:4:26575942:26580763:1 gene:GSCOC_T00035731001 transcript:CDP12282 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGHELDYSISIQNDFPHRPLANFHPNIWGNQFLVYSPDSDKQLEQLKEDVRTEIRATASNPAQQLQLIDAIQRLGVEYHFQEEINHALRKMHGKHQNWENIDHIYTAALYFRILRQEGFRVSSDIFKKFVDDEGKFGEGLVNDVPDILALYEATHLRLHGDDILDHALAFSSNHLQSISNKLNSPLDELVSHALMQPNWRGLPRLEARNYISIYGKDHSPNITLLKLAKLDFNMLQSLHKEELHELSLWWKEVDFARKLPFARDRIVEGYFWIVGVYFEPQYGLARKILSKVIAMATVIDDVYDAYGTYKELEIFTEAIERFDLIFVNQRSHYMKICYQTLLDVFAEIEQEMAKKGRSYQSYYAKEAMKMLVHAYFVEAKWLHQGYIPTIEEYMKNGVPSSGYPTLTIISFLGMGDIVKKEAFDWALNVPEIVRVASIIARLRDDIVGYKFEQKREHIASAVECYMT >CDP15035 pep chromosome:AUK_PRJEB4211_v1:4:9523955:9533103:-1 gene:GSCOC_T00042573001 transcript:CDP15035 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLDLKDFQEKLSIKLRPWQRSFEFWARTIDIYTGYKVFQLKVCFEKDVKKQEAMWERQHELAADKIYNMCADLGGFFLKVAQIVGKPDLAPAAWVRRLVTLCDQAPATPYNVIKAELEKELSQSVDELFDTFDANPLGSASIAQVHRVRLRGDKNDVVVKVQHPGVQHLMMTDIHNLQAFALFIQKTDIKFDLYSITKEMEKQIGYEFNFLREADAMERIRNFLYANNKKSPVSVPRVVRNLVTRKVLVMECINGIPIMKLGDEIAKRGINPAGKVAAAAKQNILKSLTLAYGQMILKSGFFHADPHPGNILICRGSEAMFQDHPSASVALLDYGQVKDLPDQLRLGYANLILAIADKDPLRAIESFRELGIITLTKCEDEQNEMLKLATTMFDTKLPPGVMMLQPFSEDSSIKRISVEAFPEELFSVLRTVHLLRGLSVGMGINYSCAEQWRPIAEEALCRAGRLPDKNSRRGRRRGYLRRIFRRQ >CDO98144 pep chromosome:AUK_PRJEB4211_v1:4:3211920:3213472:-1 gene:GSCOC_T00022147001 transcript:CDO98144 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQMNQTANTDHGSSSNLSSSSSSAALSAVLGAKLRKCCKSPSPSLTCLRLDTENSHIGVWQKRAGARPDSNWVMTVDLRRKASDRSDTSTNNVNDHQQCPSFKRAIPPETTTTTTTNTTTTTSSSSQGDSLDEEQRIALQMIEELLNRN >CDO98506 pep chromosome:AUK_PRJEB4211_v1:4:6210374:6211313:-1 gene:GSCOC_T00022625001 transcript:CDO98506 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPISFNLLGDGPYAGTLDGRILKYQKLTNSFMDFATATPTSVNKTPICGFPTGSSFDYTGRLFSCDITTGVTVVGPFGGLAKALLFPLKTECLSFSFLAWMLQENQNLYFADASGVFNFTTGAEAIRTGDSTGRLLEYNPKTKKVTTLLANLGGALGVATAAGASYVLVSEFIAKRIKRYWLKGEKAGTSEIIVVVLLDQIF >CDP17741 pep chromosome:AUK_PRJEB4211_v1:4:23847584:23851250:1 gene:GSCOC_T00010522001 transcript:CDP17741 gene_biotype:protein_coding transcript_biotype:protein_coding MWNISSSRLRFCTRTWMAWVHCELRDVLAQVIGSSASKPEEVKILPGGKVKKKEKQEIIIEKVTRNKRKCITTVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIAYDIVDFITETWPDVISFLN >CDP14829 pep chromosome:AUK_PRJEB4211_v1:4:24828154:24829030:-1 gene:GSCOC_T00042295001 transcript:CDP14829 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAICSYLKVEHLLNEPGTSYPIISYRLQKTCPARDGNPEKQAVKGLFLVVARGECFGLLGPNGAGKTSFISMMTGLTKPSSGTAYVGGLKLKTQMGEIHSSMGVCPQENLLWDTLTGREHLNFYG >CDP12402 pep chromosome:AUK_PRJEB4211_v1:4:19850124:19858212:1 gene:GSCOC_T00035920001 transcript:CDP12402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PEX14 [Source:Projected from Arabidopsis thaliana (AT5G62810) UniProtKB/Swiss-Prot;Acc:Q9FXT6] MASQSDSTPNSVDDKAQNPASQPSESTVNQGTVAKADVPNERATPSPFVNSEPIREEQVQNAVKFLSHPKVRGSPVMYRRSFLERKGLTKEEIDEAFRRVPDPTPSATASQPVVANQDGQLNSSSAIQQQVPTQTLQRAQAPSTGNISRLGLYQFHWSHVLYAIGFLAVSGAGTAVLLKKTIIPRLKSWIRKVVLKEEEGEPLVEKGNAKPNLAEEAAAAAKAAAAAAADVARTSQEMLMSKTEEKRYFSELTNLLDVQIREMKTMSNAVQKLQDKGESSIPGRIATREEDNHRVSVVNSRQPYSNGKVDNRIDSVISSSPSPSVEPSAAPHPKSYMEIMAMVQRGERPSNIREINDQPPNPSQPVPNPRLVPKPKPWEVGQSQSSSGSGFQYQESSNGFTSGSQTIQLNADGSVPWWQQKNARITEIESDDEQNIRSSVASEKPVQRSWVPPQPPPVAMAEAAAAIRQPKKPLLQKEQLTDDQLLGRASEVTDELQRITKISEAGGLEANGGNPANNASEIQREEEQAYTET >CDP12345 pep chromosome:AUK_PRJEB4211_v1:4:27601711:27602698:1 gene:GSCOC_T00035824001 transcript:CDP12345 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFQATSKLSLIENQRKQQQQQQQPSSLASNLKRNKILIKTFFSLIILLAFITGAMIINLIHGHNKSQEKLKLDQQTESLRGFCSATRFPDHCLNSVILLPDNSPDDIFIASLDLAIERVVNFTVLTRALILQSSSDAATESRNESALFRCSSLIDDSLNQLNRSLTASGESSLFGLRVDSGEMEELVREKIKEVSSRVNQAANGLWRCFAILGEDNSTAVIELRSGVYDGIMHVSNIFDFLESRDRIVDDIKARRGGHEYYIPPRDDLEYIIIFSPQYFVLIFLLWLLLRIY >CDO98679 pep chromosome:AUK_PRJEB4211_v1:4:8158904:8165232:-1 gene:GSCOC_T00022854001 transcript:CDO98679 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKETLEEKQPGKEEKEEEEEEDPDAAVKNGGEGVKEAAAGEEKLPDKEVEEEGGKDEMEVDKKEEKEEKVEQEDGEEEKVEVEEEEEDKKEKKKVKKSAKKVKEKVSPATPAIERPTRERKTVERYTETSAARSASPKPIAIEKAMIFYSFSTPLKDIPNVAYKLSKRKADDTLQMLHYILFGKKGRAQTLKKNIGMFSGFVWTENEEEKQRAKVKEKLDKCVKDRLFVFCDILNIRLNKAVAKKANLSAKLLEFLESPHATTEVLLADQEQKGKRRKVKASTSKTSGSSDAATGKSAKKQKQDSEVGEKRKRSSTEDEEDDAFEHSDSKQESLGDEDSDAVVKAESDNEQSKSDEDVEEDHEEPKQKPSEKSASKKSVKKESGGNNREKSKAVKKESPAKSPKTSGKSAKKTVSSGSKKVATESDSKEKSKVSSSKKQKVEKDSEKVRDASGKEKATSIKQSSNSSTKGSGKDQGKSKSDKKAKAEPSNEEMHKVVVAILKEVDFNTATLSDILRQLGTHFGVDLMHRKSEVKAIITEVINNMTDEEDEEEDEEAEAGSNHEKDEDDDNDA >CDO98042 pep chromosome:AUK_PRJEB4211_v1:4:2426928:2428554:1 gene:GSCOC_T00022016001 transcript:CDO98042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal 5'-phosphate synthase-like subunit PDX1.2 [Source:Projected from Arabidopsis thaliana (AT3G16050) UniProtKB/Swiss-Prot;Acc:Q9ZNR6] MADDGAVTVYGGSAITDATAAKKNPFSIKVGMVQMLRGGAIVEVSSMDQAKIAESAGACCVLVSEPNTSGISRMPDPSLIKEIKGSIAIPIVARARVGHFVEAQILEAVGVDYIDESEVLAIADEDHFINKHNFRAPFVCGCRDLGEALRRVREGAALIRTQGDLRGSGNIVETVGNVRKVMGDIRVLNNMDDDEVFTFSKKIQAPYDIVAQAKQMGRLPVVHFAAGGIVTPADAALMMQLGCDGVFLGSDVFDCPDPYKRVRAIVQAVRNYNDPVILAEASSALEDTLAGLNLSENRVERFGGGGGSY >CDO98143 pep chromosome:AUK_PRJEB4211_v1:4:3202841:3205733:-1 gene:GSCOC_T00022146001 transcript:CDO98143 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVLIANSEGNILVERFNGVPAEERLHWRSFLVKLGADNLKGVKNEELLVASHKSVYIVYTVLGDVSIFVVGKDEYDELALSEAIFVITSAIKDVCGKAPTERLFLDKYGKICLCLDEIVWKGLLENTDRDRIKRLIRLKPPTDF >CDP16915 pep chromosome:AUK_PRJEB4211_v1:4:13221600:13230013:1 gene:GSCOC_T00005265001 transcript:CDP16915 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEPQISLDCNSCLHPENHVKEGIPGSTISLQDKNASTLPVKRPTRQWAAWTHQEEESFFSALRQNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPELCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKLFVETLETQLLKDRKKNVRKRASQGENSSITAPTGLPNQGKVSCHESRVVKDVLIDSQNIPKPGIGKGSSIYRHLNIGINRGNYKSGPSALKTARYQRKAGATSKAAYKRWEKAAIAGVSLVADAAEHLERTATDREVEHVEDAHVQNAFDYCGKVMPSILNNMQSSMKLKLQLFPIDEATRRALEMDKHNPYLELTLSTRKKISSVLEHLNRKWGQSSIASGELMLLPYFVQQANQVSCPKWAKDSVLSASDVYAQIGNPPVFRLRYGWFPHADPGSATSLASLSCPTQHHTETSIVNEQIVDTTPKSSPPTYQEAENLLGIHEGLLSAAKMTTLTPSSRLPNHTIGCAGIGPDVNTVKSSNHTAAHVLNRRESTTDTVVVQEENLDDLRPHKTVALSAGEWADSLTNISIGDLLTEASHNGEVNCIDSTELRNSHCLQQIPFSCDSFDAAIAAHIYKHQSRSALQPPLHTNTSSIWDGEETCDAFVFQKNSVFSEEFQNASRISPPETSREIASTSSAASGKAQESDPEEPLPDDPMHGDIVDKCHADQHSFDDSQRDLNGLTDIYWPDSLGALDLDISSCRYRSDDLILSDSLGGLNRLIASSLDAFQSCSFFGSDKKESASAVEAGEIASDLKISTQV >CDP15073 pep chromosome:AUK_PRJEB4211_v1:4:10080521:10088369:-1 gene:GSCOC_T00042630001 transcript:CDP15073 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDAEAMEAEGKQFKRLGKCRSKSKLDYPLDCGADADADQSGQTMSSLREEKVSSLRTGLVHVARKMPKNAHAHFVLGLMYQRMGQAEKAVVAYEKAAEILIRSEEEIDRPELLSLVRIHHAQCILLGSLEECKSDKELEPKELDDIILKLRESMDSDIRQAPVWNTLGLVLLKTGRFQSAISVFSTLLAVEPNNLDCLGNLGIAYFQSGNMELSEKCLQDLILKDQNHPSAFINYAALLLCRYGSLISGAGAGANDGGASEEQVTAANVAKECLLAAVKSDPRAAHLWSNLSNAYFLMGDHRSASKCLEKAVKLEPNCLATRYAVGVHRIKDAERSQNPSEQLSWAGNEMASILREGESSVIEPPIAWAGLAMVHKAQHEIAAGFDIENDDLLEIKERATDSLKQAIAQDPDDAVQWHQVGLHGLCTQQFKTSQTYFKAAVARLKECSYAWSNLGISLQLSDDSPHAEEAYKRALSLATSQQAHTVLSNLGNLYRQLRQYERAKAMLTKSLELQPGYAPAYNNLGLVFVAERRWEEARYCFNKAFQTDPLLDAAKSNMIKAANMCRVYSTLNSSLS >CDO98120 pep chromosome:AUK_PRJEB4211_v1:4:3033918:3035527:1 gene:GSCOC_T00022118001 transcript:CDO98120 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTAVKMTEEVWLTCLTHALSTETEEIMGLLLGDIQPSKNGSVTALIWCALPQPRSDRRKDRVETNPEQLTAASAHAEISFYIIMYIT >CDO97728 pep chromosome:AUK_PRJEB4211_v1:4:87422:90752:1 gene:GSCOC_T00021608001 transcript:CDO97728 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDGGLRPSWNPYTMGVILIILATQFVRWCSARFRFTVAPPNLNPKTNANVAVSPSSAALSQPRTSSIVSDSDLKDLLHDLDEKLHEIEEWEPVIDRRNHFFSYTAKSCKPKDGPLKYLSITVFENCSSELLRNFYMDNNYRKTWDKTLMEHEQLQVDESNGTEIGRTIKKFPFLTPREYVSAWRVWEDKYGAFYCLSKGCEHALAPRQKKYVRVMFLRSGWRIRKGTYF >CDP12693 pep chromosome:AUK_PRJEB4211_v1:4:11199037:11200889:-1 gene:GSCOC_T00037287001 transcript:CDP12693 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLGTHPHRSLQKLAQKHGPMMMLQLGSVKTLVVSSAEAAQEIMKTHDLNFLNRPESEINRKLLYDFKNVSVAPYGEYWRQMKSISVLQLLSNTRVQSIRYIREEETDLLLTKIRGGSVAAPSSGVNLSEMFMALTSDVVSRAAFGRKYSEGVSGRKFRKLMSEFVSVLGGFDFGTFLPWLGWVDRVNGLTARVERIAKEMDEFLEGVIEEHLNGDRKNNESSEQKSREDFADVLLGIRNNNVAGIPIDRDSIKALLLDIFSGGTDTTYTVLEWAMTELLKHPKAMKDLQTEVRGIVSDRLEIDDDDLEKMKYLKAVIKETLRLHPPIPLLVPRKASNDAKIMDYDISAGTMIITNAFAIGRDPSLWEEPDEFKPERFLSSCIDFTGHDFHLIPFGAGRRGCPGISFAMATNEHVLANLLLKFDWDLPDGAKGSDLDMTECTGLTIHRKIPLLAVATPV >CDP16936 pep chromosome:AUK_PRJEB4211_v1:4:13530018:13531558:-1 gene:GSCOC_T00005298001 transcript:CDP16936 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKLMHSAATAELVEMLLKSTEFQGYAFDGAKVKKREKETGNLEKRCCLYFGLPNRSSFLLLNFVQLN >CDO98529 pep chromosome:AUK_PRJEB4211_v1:4:6485297:6486235:-1 gene:GSCOC_T00022658001 transcript:CDO98529 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPSSTPLPLQKKEKKRDAPSFISTTVSLQPPMSTVGENNSGWSLSGTTTLATGGRWSLSGATALVTGGTHGIGRAIVVELAQLGATVHTCARKEADLNERLQEWSSMGFKVSGSVCDASSREQRTQLIEKVTSIFGGKLNILVNNVGTSKGKPSEKFTSEEYDMMMSTNLESCFHFSQLAYPLLKASGMGNIVFISSVAGLVSIQGLSVYAAAKGAMNQLTKNLACEWAKDNIRVNCVAPGVIRTQLSQAVLNSDEKWKKFKSRIPMNRVGEPEEISSLVAFVCLPASSYVTGQVIAVDGGLTVNGVQWD >CDP16911 pep chromosome:AUK_PRJEB4211_v1:4:13187753:13190690:-1 gene:GSCOC_T00005260001 transcript:CDP16911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor ABORTED MICROSPORES [Source:Projected from Arabidopsis thaliana (AT2G16910) UniProtKB/Swiss-Prot;Acc:Q9ZVX2] MGLWCCVLCFILISCSFLLVSSLCCSVKSSSAKDNLSKTKQSTWTGNMNLVQNFTERLRPILGLKSWDYFAIWRLAEDQRSLELVDCCCAGTENIHSGEELFPLSPSLPCRDVIYQHPRTKACDLLAQLPSSIPLDSGCGMYGETLMSNQARWLNFSPNVDSSLSGNSMGTRVLTPVPGGLVEFYVAKQVPEDQEIIEFITAQCNISLEQQSIFIHADTIHSSFSANVDGLHAVEGSDGKASCKIFSSPVNPSTGKQTLELPSDVSMDQIHLNHSPLNIAQAFSYISDNAGSKNDTMFYEGTHELNTFTSSMENGIQDMEAALQKHMMSQSGNMLMQMMEPLPNKEDQGNENDSYKQENGPSNSVSDSDPNDDEDDAKYRRRTEKGQSKNLMAERKRRKKLNDRLYALRALVPKISKLDRASILGDAIEYVKELQKQVKDLQDELEENSDDEDPRNSGITNNPNILPPNVFQGNGMNFGVYKSEHETTSNGYHKRISGNGGTDLSSQEPENIDDKVQQMEPQVEVTQLDGNEFFVKVFCEHKPGGFVRLMEALNSIGLEVNNVNATRHTCLVSYIFKVERKDSEMLQADHVRESLLELTRNPSRGWSDMAKASENEDKMDLYQLHHHHHHHSRLHNHQINSHHLQHLHN >CDO97901 pep chromosome:AUK_PRJEB4211_v1:4:1388449:1392181:-1 gene:GSCOC_T00021844001 transcript:CDO97901 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDYVAAEQNFSAYGVDEQQSHHRQGGGEDEYEEDNHGEDYYSERGGGGGGIGGGGGELEPLDNIDDSTTAGHDLKHSVDDSSAGKLFVGGIAWETSEESFSRYFSKYGELTDSVIMMDKISGRPRGFGFVTFADPEVANKVLEEEHIIDGRTVEVKRTVPREDMQGRGGVSRTKKIFIGGIPLTLTEDELREYFSAYGKVVEHQIMLDHKTGRSRGFGFVTFETEDAVEKIFTDGQMHELGGKQVEIKKAEPKRSGYDHSSDSRMRRGGSNSNKSYGGGYSGGADGFGGGYGGKMGRGYGGYGGYGGYGGYGNYAGNYGGGAGFYAGYGGFGYGFGFGGPMYGGAGYGASSYGAPGGYGGAAAGYGSGRGYGSGYDGGKSYGGSGGSGSAAGYGGPKGYGGGGGSGSGGSKGYGNGGASGRFHPYRK >CDP15003 pep chromosome:AUK_PRJEB4211_v1:4:9129621:9132231:-1 gene:GSCOC_T00042530001 transcript:CDP15003 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKEALAVCSDKNISIGITIWDLENGDRLLHIPTCSSPPHGLISLRNQYLVASQVQRTGSVSGGVIFSWPLSKHQATLRSYLLESTGPLSCTRDGTFLACGATSGNIHVWEVTSGRLLKNWSAHHTSICCMVLSSDDSFLISGSADGSIAVWSMISLLAEGNCDCLTSIVSMSKDHTGAITGLLPASTNSSSNFISSSLDGTCKVWNMLSGNLIKTKAFPLPITAIVLDLLEEILFCGSENGAIFLNKFDAGLLNDPFSSPCGEQIVLNGHQ >CDP15075 pep chromosome:AUK_PRJEB4211_v1:4:10108884:10109624:-1 gene:GSCOC_T00042636001 transcript:CDP15075 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQNIHGGNLNNGDDMFVKVMTDEQMEILGKQIAAYATICEQLEDLHRALISQNDPSHFMLRNPYLTPLMTTSSLHRIPRRQRWNPTAQQLQILERVFDQGNETPSKEKVKDITAELAKHGQISESNVYNWFQNRRARMKKKQVVVENKKTEPETQMVTESSLEEGEKLKPSEPMIEGQNYCVQKVSAGNSIFSFDEQGMEMQQPLFAIEGFHRGMSPDKAKIHYLLEDMDVNPPGCYDPYIHAE >CDO98068 pep chromosome:AUK_PRJEB4211_v1:4:2565266:2568997:1 gene:GSCOC_T00022044001 transcript:CDO98068 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAVVWDPWLIVAQIVCLQCLYYLTLGLFLAILVGTRVSRMSLVYFFDYATVTASTVTGWCVIASFILSSLAGAGFLVYLVERAKKCLDFSATLYIIHIFICIIYGGWPSSITWWVVNVTGLAVMALLGEYLCIRRELREIPITRYRSNV >CDP15009 pep chromosome:AUK_PRJEB4211_v1:4:9183493:9189556:-1 gene:GSCOC_T00042536001 transcript:CDP15009 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit gamma-1 [Source:Projected from Arabidopsis thaliana (AT3G48530) UniProtKB/Swiss-Prot;Acc:Q8LBB2] MMIEEGSSTPRSPEAKVGMQVEDLWDVQEPQLSPTEKLNACFESIPVSDFPPAPSSQVVEINSDSSLAEVVKLLAQHKILSAPVVDVKAPEDASWIDRYIGIVEFAGIVVSILHQSEKMDGSAALAFELFSESENGIGPAVAAAANGMSSPRYRSLHPESPTATSGDFFETLTSSDFYKNTKVGDISGSFRWAPFLALQKSNSFLTMLLLLSKYRMKSVPVVDPGEQKIDNIITQSAVIHMLEECAGLHWFESWGSKKLSELGLPLMKPSHIIKVYEDEPVLQAFKLMRQKGVGGIPVVASNGRKAIGNISIRDIQFLLLAPEIYKEFRSIMAKNFLTAVRSYLEEHQKDSPLLKNMVTCTRDSTLKDVIMKLDSMKIHRIYVVDGLGNLEGVITLRDIISKLVHEPRGYFGDFFDGVLPLPANSRV >CDP16401 pep chromosome:AUK_PRJEB4211_v1:4:18069615:18072416:-1 gene:GSCOC_T00018263001 transcript:CDP16401 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYHQTCNSSNNLLSHHGSFGQEEPTSLQTQPSLRSIPSLTSELQLQQHQFPTAHHQCIATLKGQSSYTSSLFLAGKFLLTGSSDKEIRLWRRNDLKPLNQKLTGNVVTAGQGAVKSLVVSADKLFSAHQDHKIRVWKIYSDKAEKQKLTHLATLPKLSDHVLNCLNPKNHVQVRRHKKCIWVQHVDTVSALALSTDESHLYSVSWDRTLKIWRTTDFKCLESVANAHDDAINAVALSTHGHVYTGSADKKIKVWRKGLGQKKHSLVATLETHKSGVNALALSADGSVLYSGACDRSILVWEKDDGSWMLAVGALKGHTKSILCLAVVSNLVCSGSADKTVRIWTGVERSYSCLAVLEGHTGPVKCLTMAKDHSNTSDAASSFLLYSSSLDCDTKIWQILTVYSV >CDO98574 pep chromosome:AUK_PRJEB4211_v1:4:6979618:6983204:-1 gene:GSCOC_T00022718001 transcript:CDO98574 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDTSWVGRKPLRRIGGMSDALSIAADLGFSVAPPPSQEEIQTLSTSEKSDDLVKVLRELTAVQRKIADLQVELQGRKEDKNVAHLTHVSEMEKKIETLSRITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASIADFQWTQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSAMRDSFATLQKLRVGHSSSSAMAPNPDSSQRIPGDSDCVTPPWRTESRLEDLASRSPAKSEVDRGEDDDENSEVGENSQVDGISHRRLSWPPSIKQNGLQS >CDO98335 pep chromosome:AUK_PRJEB4211_v1:4:4703740:4710436:1 gene:GSCOC_T00022398001 transcript:CDO98335 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWIICPFFLEGGRYTINDIHYVADSDRLIPAGETEFARDAAFGYKSSHLREWVEEKTGGRIPARSVASISIQLLRKGGPDAVCEHLCNLEKGSTCIVNAASDRDMAVFAAGMIQAEVKGKRFLCRTAASFVSARLGIIRKAPVLPNDLGINGERSGGLIVVGSYVPKTTKQVEELKSQCGHFLKSIEISVEKVAMRSTKERQDEITRTVEIADIYLRSCKDTLILTSRKLITGKTPSESLEINSKASSALVEIVQRITTRPRYVLAKGGITSSDLATKALGARCAKIAGQALPGVPLWQLGPESKHPEVPYIVFPGNVGDSNALAEVVKQWASVRISSTKELLHNAERGGYAIGAFNVYNLEGVQAVVAAAEDEGSPAILQIHPSALKQGGTPLVACCISAAEQATVPITVHFDHGSSKQELLGALELGFHSVMVDGSDLPFKENISYTKSIAEVAHTKNMVVEAELGRLSGTEDDLTVEDYEARLTDVTQANEFIDTTGIDALAVCIGNVHGKYPESGPNLRLDLLKDLYGLCSKKGVYVVLHGASGLPKELIEECIKLGVRKFNVNTEVRKAYMDSLNSSKKDLIQVMTSAKEAMKAVVAEKMRLFGSAGKAW >CDO98110 pep chromosome:AUK_PRJEB4211_v1:4:2960651:2965654:1 gene:GSCOC_T00022106001 transcript:CDO98110 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVSVPTTYSCSKDHQKIYQDWFNLADSDGDGRLTGNDATKIFAMSNLSRPELKQVWALADSKKQGFLGLTEFITAMQLIALAQKGREINSALLKNKGNLNLPVMEGLAALQAVSTSFHFSIVKKNAGTAELQCSPLVKLSKRKSAKKSLSSVAPVTSVTDGLKRLYNEKLRPLEVTYHFNDFGSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKYLLGRSYPGAHIGPELTTDRFIAVMSGPDERSIPGNTIAVHAEMPFTGLTTFGGAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQQTQRSYDFTGVISWFAAKCDMILLLFDPHKLEISDEFKHTHVVLNKADQVDTQQLMRVYGALMWSLGKILNTPEVVRVYIGSFNDKPVNEEAAGPIGKDFFEKEQENLLMDLMDIPKKASDRRINELVKRARAAKIHAYIMSHLKKEMPSLMGKAKTQQRLIDNLEDVFSKVQREFHLPAGDFPRVEHFREVLKSGYKIDDFEKTKPKLIQAVDDMLSCDIPELLKNFRNPYE >CDO98229 pep chromosome:AUK_PRJEB4211_v1:4:3794844:3797969:1 gene:GSCOC_T00022255001 transcript:CDO98229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15980) UniProtKB/Swiss-Prot;Acc:Q9S9N6] MAATSLLPKSISQFLINPPSIPSTSLINATFVNNSADLIFCTKNSCKIVTNAKKNPWLDPFDDGVDPEMEYGSLFSEGKQEEDPRPPDNPDNPYGFLKFPMGYNVEIASLGLKIRGDVRRCCCVISGGVYENLLFFPAIQLLKDRYPGVQIDIMASDRGKQTYELNKNVRWANVYDPDDDFPEPAEYTDILGVLKNRYYDMILSTKLAGIGHAAFLFMSTARDRVSYVYPNVNAAGAGLFLSETFKPDSANLSEGGYHMYHQMVDWLGRPGRKVPRHPVPPLKVLISRKLKEVVEAKYQKAGVQKGKYIVIHGIKADSKANMQSRGDADSLLPIEVWSQITNAINGLRPVFVIPHERERENVEDVVGYDASMVFITTPGQLAALINDSAGVIATNTAAIQLANAREKPSIGLFSSEDKGRLFVPNAEAKKCSIISSKTGRLIDIDVEAVKSAVEIFTVPLALASV >CDO98360 pep chromosome:AUK_PRJEB4211_v1:4:4854759:4856563:1 gene:GSCOC_T00022427001 transcript:CDO98360 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGMSETRVLKPNSSNNLIGFILPIPIGGQTTHSKAQQITPNATVSYWLPMPNLQSSSINCSTGDTTS >CDP14834 pep chromosome:AUK_PRJEB4211_v1:4:24857855:24858911:1 gene:GSCOC_T00042300001 transcript:CDP14834 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCNKNFILAWRNRRSTFPQLFSSFFFIAFVFALRKTNKYTESRPNFCAKVRDPKPITNPPITACEDKLIINVPCFDFVWSGSGNQRLESIVNGIMTNNPGLTIPESMVKSFRTKDELDKWLLDNPMRCLGALHLFETNAKKIRYGICGGANPPEPRVSSNMVICQFRYNGRQFARGRHVPTGWACGPRLLNLSESTCGALRGIPL >CDO98342 pep chromosome:AUK_PRJEB4211_v1:4:4732474:4736585:-1 gene:GSCOC_T00022405001 transcript:CDO98342 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRDDYRFLQLIDAIASINQKVNLIGVVIETSIPKQSKGTDCFCTIRIVDESKPGPGIAINFFAENMEKLPQVMAAGDIIQLSHVVMKMHGSEVYAVFNKKFSSFALFEGKHGRSFVPYQFSATYHAREQDKKFILELRKWLIEHPNETGSRDLPSLKEIREGEHFNLACKILHLCEVKKDEWILFVWDGTDTPPALVEPKFEDEKEDPLPLQLEPFPLPRDVLCTFPAVGTVLRVIIRRGNEKLDLNVLKSGRWVKFVNLKCESHAALWCAFIMPFTKICYLPDDDDIILQRQRSYDERISSKWGRMPLSCFPWPSDLTETDHPDVPFVTLMHVLTNPEVTSKFRCVVRVVAVFPWRVEDFYSPVGAYRIRLTLEDATARIHAFLYADDAVNFFGGYYPVDVMTRKRNTLLGIPESDDGREMKDGFRNPPWMQCCLKSYYVDKGDPWGSRNYRMFATRLLN >CDP16905 pep chromosome:AUK_PRJEB4211_v1:4:13107336:13114239:1 gene:GSCOC_T00005251001 transcript:CDP16905 gene_biotype:protein_coding transcript_biotype:protein_coding MQSINFNITTDFNAVRKSTWPRPSRLSNGLGYGHGDFQRKQRLNCRHDNDYSSRSCRIVACASESQSPGGSNPGSVRGSGPGPGSSQSSFLSPGQTYALLKQQMEVAAKSEDYEEAARLRDSLKTFEDQEPVLRLKRLLKEAIDNERFEDAARYHDELKEIAPHSLLNCSSDATTSGIRVQVRSVYIEGRSQPSKGLYFFAYRIRITNNSDRPVQLLRRHWIITDANGRTEHVWGIGVIGEQPVILPNTGFEYSSACPLGTSSGRMEGDFEMKHVDKVGSRTFNVAIAPFSLSTFEDGGDSF >CDO97771 pep chromosome:AUK_PRJEB4211_v1:4:397090:400425:1 gene:GSCOC_T00021669001 transcript:CDO97771 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDDKETCRQSGLTPPPPPHHHRHHRAAMLLSSSVPSSSPSSHLTTVNPFGSLFSDVLLSLLVITLSCFATATHASCNRLDRDSLLSFSTNIASPSSPLNWTISVDCCIWEGVLCDKSGRVAGLRLASRGLVGTISPSLANLSSLSQLNLSRNLLSGPLPNGFFVSLNHLQAIDLSYNRLSGHLPPSDKFPTTIQQVDFSSNKFNGTVQFTFLQEAINLASFNISNNSFSGSIPSFICSISPSIRLLDCSFNHFSGSIPQDVKYCSNLETLRAGFNSLSGPLPLAIYSLLTLQEISLPGNKLNGSINQDIARLNKLRIFELYANEITGTIPPEIGMLSNLENLLLHINKLHGTLPPSVTNCTRLKLLNLRVNLLVGDLSKFDFSKLTQLVTIDLGNNFFNGSLPVSLFSCRSLTAVRLATNHLTGEIPPQIHALQSLSFLSISNNTLTNVTSAIRILTGCKNLSTLILSKNFYNESLPGDDGLVDSEGFQNLQILGLGGCQFSGQVPSWLTKLQKLEVLDLSVNNLTGLVPSWLGNLTDLFYLDLSQNLLSGNFPAELTGLPRLVRQQGADQVDQSYLELPVFVQPENVSSLQYNQVSNLPPAIYLNGNNLSGNIPIEIGQLKHIHVLDLSHNNFSGSIPNTISYLTNLEKLDLSKNHFSGEIPASLGNLHFLSSFSVANNNLQGPIPAGGQFDTFPNASFEGNSGLCGRFLRPCSNQSPTTNPSATRKSPKRKIIIGLILGICFGIGFTVSVVAFWIFSKRRILPKGDAEKTDLDTLSYNSNSGLSTEFGKDTSIVVLFPDNTKDVKDLTVSELLKATDNFNQANIVGCGGFGLVYKATLTNGTQLAIKKLSGDTGLMEREFKAEVEALSTAQHENLVALQGYCVHDGFRLLIYSYMENGSLDYWLHEKPDGAAQLDWPTRLKIAQGASCGLAYMHQICEPHIVHRDIKSSNILLDENFKAHVADFGLSRLILPYRTHVTTELVGTLGYIPPEYSQSWMATLRGDVYSFGVVILELLTGKRPMEIFKPKVSRELVGWVQQMRNDGKQDEIFDPVLHGKGFEEDMLQVLDVACMCVNQNPVKRPTITEVVDWLHDIGSKRQASKEGQLYK >CDP12750 pep chromosome:AUK_PRJEB4211_v1:4:12499327:12500581:1 gene:GSCOC_T00037384001 transcript:CDP12750 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTINLSLPSQTFSSPQIHPFLSKPSLQFPLQNPPLILKPHLRIQSQKSHITNPQTKQPIHDNSYPDDDGVPIEHVKMLVKFKSRHNYIRILEVSRKADHPLAGSRLLLLDAPGNIHSISYLFKSLTNAYFDVFATIPPIIPPGPIGILGFGAGSAARILLEMYPQVVIHGWELDPSVISVGRQYFGLEKLEKDHQDRLFVYIGNALNASLRGGFSGILVDLFSKGCVIPELQAPQTWEKLKGCLREGGRIMVNVGGSCVEPDDIRKDGKVIMEETLEAMNKAFPGEVFVLNLGNRKDDSTVALTGKLPNLVEWKKAVPRPLKFYIEIWKAYKA >CDO98346 pep chromosome:AUK_PRJEB4211_v1:4:4770729:4772663:1 gene:GSCOC_T00022412001 transcript:CDO98346 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLGLLRIRVKRGVNLAVRDVRTSDPYVVVKMGKQKLKTRVINKDVNPEWNEDLTLSVSDPNIPVKLTVYDHDMFSKDDKMGEAEFDIKAFIEALKMKLNGLPNGTIVSRVIPLRTNCLSEESCVIWKDGKITQDMCLRLRNVECGEVEIQLQWIDLPGKGL >CDO98595 pep chromosome:AUK_PRJEB4211_v1:4:7233769:7236022:-1 gene:GSCOC_T00022750001 transcript:CDO98595 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKNELLELSSAEKGLCSSKSLGSEKLELTVDQVIEEYVGSFGFSQLLHVFLVSLAWIFDAQSTLVTIFADAQPDGWRCISSSSSSSCRLTNISSGDAASVCGLKPGTWEWMGGNTSSTIAEWGLICDRKFLAAMPASFYFLGSLVGASVYGYLADAFLGRKRAVLISCLLTSVTIFLTSLSPNIWLYSLLRFANGFSRSGIGICSLVLTTEVVGVKWRGQVGQYGFFFFAVGFVSIPLIAYPCRNSWRSLYKIISFLPLVYSIFVLLPFVSESPRWLLVRGRRKEALDVLRRYARLNGRKIPPNLCISEPSVATQETSKGEEVLQERTLWNTKWAAKRMALVMMTGFGIGFVYFGVQLNVENLNFNLYFAVAANAMMEISAVFIGGVLLSVAKRRLIFSQLAFIAGLSSILCILFSGGKQGILDKSGGSWPEFALEAIEFMAASTAFNVLFVYTVELFPTNVRSFAVSVLRQAMVLGASIAPLLVAVGRYSPALAFLIFGALSIFSGLMILWLPETRNSPLYETLEQQEQEGQLNSAPNHLETELSK >CDO98491 pep chromosome:AUK_PRJEB4211_v1:4:6121154:6133152:1 gene:GSCOC_T00022606001 transcript:CDO98491 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEEDFVFYGTPIEREEEITSRKKKAVAEASGQLRTAVPWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGSKEGWTPQSFTSSRKSRAEFKQQSVQDFLDEDEKDELEGRSLGTSMQFDTFGFTAAELARKQAEKEQEKRPSAIPGPVPDEVLVPASESIGIKLLLKMGWRRGRSIKESSANSLYDLRREARKAFLALSAGDTAGKSASSELVDNDVEDVTDPSADDGTVFSRSTPVYVLNPKQDSHGLGYDPFKHAPEFRERKRSRMLGTKETLHRKPFPVGDSLFGFKSGRVAPGFGIGALEDYDAEDEDVYASGYDFEESYVEETEEPSKPMNENIKLLRNKEDGLLPGFKAASNSDYQLERFGPPVIPKDFVPHHKFTAPLDVGNKITEETPPEVPPPDDNNLKLMIDGMATLVARCGKLFEDLSRQKNQSNPLFAFLFGGNGQDYYTRKLWEERQKHNDGKKWQLDGKIFQNVKKMTAENRGKILGEKPLERSLEDTAVTAASTDTVNLQFNLADTFTKPVSFGEDPEVVKPFQDDPAKQARFEQFLKEKYRGGLRSMDVGGSSQMSEAARARERLEFEAAAEAIEKGKQGKEINTPSQLFAGVLPTAGLQFTSGEPEQAKASKEELIKEKRYPRREEFQWRPSPILCKRFDLIDPYMGKPPPAPRPRSKMDTLIFMPDPVVAAKVEENVMLGNDQSSLSKVGSEEKGREIVDEEIKVEVNVENVEKPVDLYKAIFSDDEDDDEDDSNPIQAEDPQKNVEVVNKTLNRLIAGDFLESLGKELGLEVPPDLPYTENRECGTASSKEVIIVDREEKDTAGVGSNRSSVGYAVTGSFMTAEWQERRI >CDP12360 pep chromosome:AUK_PRJEB4211_v1:4:28088297:28090594:1 gene:GSCOC_T00035853001 transcript:CDP12360 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVKKLTPQGFQGHKEWLSEVNHLGRLHHANLVKLIGFCLKGENRLLVYEYMPKHSLENHLFKRCRQPLSWETRVKIAVGAARGLYFLHNSEPPIIYRDFKASNILLDSSFQYFLQFPEFNAKLSDFGLAKHGPTGDQTHVTTRVMGTEGYAAPEYLRTDCSILSIEFHFIVPVTPEFSCCYSLFVTLTGRLNVKCDVYSFGVVLFELLTGRIAVDYRRAKEEQNLVKWVRPHLRDKRTIARIMDSRLEGQYPRKGAYVAATIALYCVNPEHKYRPPMAEVLEYLEHLQSPKFQKLPTSSDSMQQMQSSSISRSPPPALHLSPPNWSPVNRSPRGSCRPLPLKSPHRSRFSTFLES >CDO97998 pep chromosome:AUK_PRJEB4211_v1:4:2175745:2181693:1 gene:GSCOC_T00021960001 transcript:CDO97998 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPLRPALFHKDHSLISAIPKSRAPCQSKVVNTKDQENHGPARTSISHGFQFPCCRSTIQTASQKLIPPGVLSSMGSAPESDHLITDDEPFVDRANNHEMEFTRVNCLVWVLHESARGFSLAIQALELARTGPELAMAWRGVDVHAWHKNMAYQVAVFALLKAAIEVDLFLCHKCSNNLSPVHEILSVKANLLGEHIERELNTRNPRLLHWFRTVELPRIAGLFIPLFQKWSMEYAGSGVAGIILAISCCAAIRKLDPGRICRPLFCISIEDALVKLMNLSHSLVSLDKLHHLASEAGFEEDFLLHFGRKVLPCKNTEDIEFWIGLVQKKLSAAFYRESVSTHRHMFHNKVQESSLATFGLFAYLGRETRLYLSGMGIKDLDKQTKDFLSYLECGSLVIYPELSTLSEYQLFMEVVTDEIGWLHFYPAVGFEWCQDRRRSRQRMIQAEKEIVLYKVLTACYDVISEFAHYSHSRKQPMDSNLLEFLLHCQSLLATCMEDYWAAYDEIGEPQHLVERSVSEPKYLMAEHSSKDWIKRGKDQYGPTVNNEAIGSAVENEITVGKSGSASPIKPSDENFLQKSSRKVISASANVWMGTQLLFIDIIDVVGLLKKQLRGCKMTEREKKKIKKTLVDFATLVPVIILMLLPVSAVGHAAMLAAIKKYMPCLIPSPYTSERLGLVKQIKRIKKMELQRRSSIQDASTRVVV >CDO97948 pep chromosome:AUK_PRJEB4211_v1:4:1757717:1762581:1 gene:GSCOC_T00021897001 transcript:CDO97948 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIRRKIHENPELGYEEFETSKLVREELDKMGIPYKYPVAVTGVVGFVGSGEPPFVALRADMDALAMQEMLEWEHKSKNPGKMHACGHDAHVAMLLGAAKILQEHRKILKGTVVLVFQPAEEGGGGAKKMIDAGVIENVKAIFGLHVKPDLPVGEVESRPGPLLAGSGFFEAVISGKGGHAAIPQHSIDPIVAASNVIVSLQHLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFSKESLMQLRQRIEEVIVGQAAVQRCNATVNFLSTEKPFFPPTVNNKDLHNHFLKVASDMVGTANVKEMQPLMGSEDFSFFQEVIPGYFIFIGVKDEKNTKPASVHSPFFKINEDALPLGAALHASLAIRFLLESNAETPLLNQKLRDEL >CDP16921 pep chromosome:AUK_PRJEB4211_v1:4:13299706:13303664:-1 gene:GSCOC_T00005273001 transcript:CDP16921 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRNSSTFAVVFLMFFFWRNVAVNASRGGVGPELITWSQSHHEPFVEVDLNGKGNFTSVQAAIDKVRTDNINRFKIYIHPGIYREKVTIPKYKDYITLIGVDDGQSKTVTTWNDSVTVIVEASYVVATNITFENTGVSPPGNTRNKEVAALKITGDKNFYYKVRLLGNQGTVLVDNGKHYFLESFFFRKLGSATSLYEESTINSIAKGKGVIAVNNRDNLDTTGGFAFLGSNVTGTGKLLLSTASGKYSPVVYSYTNLDNVIDPRGWSDGNDPAKQKTLIFGEYKNTGKGADRSHRVAWAKYFTPSYALPFLSRDFINGNEWLDLD >CDO98188 pep chromosome:AUK_PRJEB4211_v1:4:3528632:3529474:-1 gene:GSCOC_T00022202001 transcript:CDO98188 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSNDCSLPSQRLLGKVALVTGGATGIGESIVHLFHKHGAKVCIADIQDERGQQLCSNLGDYLNVCYFHCDVTVEDDVIRAVDFTVDKFGSLDIMVNNAGVSGPPCSDIRNFELSVFESVFNVNVKGVFLGMKHAARVMIPLKKGSIVSLCSVASAMGGVGPHAYVGSKHAVSGLTKNVAAELGKHNIRVNCVSPYAVATSLALAHLPEDERTEAAMDGFRSFVGRHANLQGVELTTHDVANAVLFLASDEARYISGDNLMVDGGFSCVNHLLRVFR >CDP14366 pep chromosome:AUK_PRJEB4211_v1:4:22170957:22172520:-1 gene:GSCOC_T00040719001 transcript:CDP14366 gene_biotype:protein_coding transcript_biotype:protein_coding MACPSKMDMISQNHRNLPDLQKTGQWQKSQVSLNLAVPRRCPSIEQLDACLALLWGLTVSTTIRAS >CDP15001 pep chromosome:AUK_PRJEB4211_v1:4:9110971:9113571:-1 gene:GSCOC_T00042526001 transcript:CDP15001 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKDEAIKAKGMAEQKLAENDILGAKRFASKAQSLFPRLEGLSQFLQTLNIYAAAERKVNGELDWYKILGVHPLADAETIKKQYRKLALALHPDKNKAVGADGAFKILSEAWSLLSDKVKRAAYDEKQNIRALYQKGAGQNPPGGVKEYGNENFTNTSSTVSKPSVPVNTQTDSAPPTATFWTQCTRCLIKYQYLAEHRNCILVCYKCLQPFMAAEMPSLPVNNNPTSTPRSQYPQEQGSHPGNNASSDSGRNFPPIPKTEPLGFPGVGLNNKANVPQGSSFKFGGSGSMSTPATAAAQPATVPQDSSFKFGGSRSMSTLATTAAAQPTFTFQQMGENLKRGREEAVTGKVHKEFLKRDPSKKSDSGSPSYNVSSSGKADKTAKKRRVDQHKARVAGKDVKTRARGVSGRGNSRGNQMAKSGAEKVDNLPKSLRELSQSEIREMLMVKARTEIRKKLQQWNVAAVQKSSDTTASMSTPKPDALGSLPSASITGSNTEAAAIVTMDVPDPEFYDFDKDRVEKSFTKNQVWASYDNEDGMPRFYAFIHKVLSRKPFEVQISWLHSKSSSEFGPQNWVGRGFAKTCGVFRIGKYEVNRALNSFSHRVSWNKGAKGVIQIVPKKGDVWALYRNWSSDWDELTPNDVIRQYDMVEVLQDYNEEQGVAVAPLVKTAGFRSVFHRHLDKNKIYKIPREEMFRFSHQVASYLLTGQEAQAAPKDCRELDTAAMPLELLQVLKEAKEGEVGKIADEVTATGNVDATPSTEDVKHVEPQPILVYSRRSRGSR >CDP15008 pep chromosome:AUK_PRJEB4211_v1:4:9175498:9181836:1 gene:GSCOC_T00042535001 transcript:CDP15008 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSQGGGSSSRRSLSLSSQMRKKAVGAGFDNGGSESPHRKSLSSSRSMGLTGERTVKRLRLSKALTVPDTTSINDACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIARELNLEETPVSKVMTRNPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGASVSGSNTFIETIRERMFRPSLSTIIPENSKVVTVEPTDSVLLATKKMLELRTNSAIITVENKPRGILTSKDILMRVIAQDLPADSTLVEKVMTPNPECATVDTPIVDALHTMHDGKFLHLPVVDRDGIVVAVVDVLHITHAAVATVGNTAGVTTEAANTMMQKFWDSAMALAPDDEEETRSEGSLKMASDGADTGRSLPYPSSSMPSTFAFKIQDRKGRMHRFNCDTRSLTDLITSIIQRVGDDIDRKNLPQILYEDEDHDKVVLASDSDLVAAVDHARLAGWKGLRLHLDYSGRPGHRKGSGSVSMDYAHEDAWASAYSAVAAGAALVAGLGVLAFFRRSGK >CDO98361 pep chromosome:AUK_PRJEB4211_v1:4:4860872:4861955:-1 gene:GSCOC_T00022428001 transcript:CDO98361 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVHSTLLENVQRGIVMFEATLSGANSPSQKSEKLYLLAVGCYRSGEYSRSLQLVEKCLEVSSLLPLVKLLRTFWFCSRVVLLSY >CDP12680 pep chromosome:AUK_PRJEB4211_v1:4:11071870:11073309:-1 gene:GSCOC_T00037268001 transcript:CDP12680 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSGLLKLGTLVIFVSMLIMSFSHGGQAQISCDTVDNDLLPCLSFVLNGGKVAPACCSGIKTLLSLAKTQTDRQSVCSCLKSVAQSATNGQLKNAAQIPHLCGVNVPFQISRNIDCSKVK >CDO98358 pep chromosome:AUK_PRJEB4211_v1:4:4847197:4849361:1 gene:GSCOC_T00022425001 transcript:CDO98358 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFGSPPFPSHPISRTNRLCSSPQAPPPQQPTQPTASQKLSATSAEPPSAATVKVQGEKASKPAIPARVESTDWIASTLTRRFGLGAGLAWVGFLAVGVISEQIKTRLEVSQEAADTRDVEKEEEVILPNGIRYYDLRVGGGASPRPGDLVVLDVKAKLQDSDEVLIDTFGGDRKPLALVMGSRPYSKGICDGVENVLRTMKAGGKRRVIIPPNLCFGEEGADLGSGVQIPPSATLEYIIEIDKVSIAPA >CDP15079 pep chromosome:AUK_PRJEB4211_v1:4:10133296:10136471:1 gene:GSCOC_T00042641001 transcript:CDP15079 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMIHSSNFLLPNPAAADNINGLTSPPRKYALIILNQRLPRITPLLWSHAQLRVCADGGANRLFDELPKLFPQDDAVAVRQKYKPDVIKGDMDSVRNEVVDFYSNLGTAIIDASYDQDTTDLHKCVIYIHGLSDPQKSNLCILVVGALGGRFDHEIGNINVLYHFSSMRIILLNDDCLIQLLPGTHHHEIHINPSIEGPHCGLAPFGMPSQSTTTTGLQWDMRNTEMKFGGLISTSNTAKGEIVTVCSDSDLIWTISIKKA >CDO98201 pep chromosome:AUK_PRJEB4211_v1:4:3609182:3614808:-1 gene:GSCOC_T00022221001 transcript:CDO98201 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEWVKVATVDDTAVAELLVMLKQVKQPQPSPPPLPAKPALSLEWSVRQRRSKAVVVQAKNQAPRASPTTPLSWSGATSLSGGCVGGGCGSGGCSVDGGPEEESSRPYPLVRPSKRSGISRSKVIGTSENATNKRSRKKKTLAELKEEEDMLQKERRQLKRELATIRLNLEKERARNENFKRIKLELQAPPVVESGPTVKSDEAILDQHVQKMACVDPVPALLPAIIARNEEEGPVQPSAEVSCCEGNKELTASKFVLPDLNIPFEDNSSTDALCGVC >CDO97974 pep chromosome:AUK_PRJEB4211_v1:4:1937054:1949437:1 gene:GSCOC_T00021928001 transcript:CDO97974 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMS19 nucleotide excision repair protein homolog [Source:Projected from Arabidopsis thaliana (AT5G48120) UniProtKB/Swiss-Prot;Acc:Q0WVF8] MAKPTEYVKHIESYVDSSSSPSQQAASVDALAILLRNDLLTLEALVRELDLYLTTTDSIIRSRGILLLGELLTQLELKPLSDAAIHSLIGFLTERLEDWRALRGALVGCLALLRRKTDVGVVTENDAEAVMKSYMQYLQVQSMGQHDRKLCFELLECLLERYPNAVQPLGNELFYSICEGIDEEKDPQCLILAFHIVEVAAKLFPDPSGPFASYAADIFEILGRYFPIHFTHPKSEDIGVSRDELSRALLLAFAATPLFEPFAIPLLLDKLSSSLPSAKVESFKYLGYCAPMYGSDRMAKHGGALWSSVKDVLYTSPESALSVESESDGGMIFEESDIMVEALILLEGLMQQNSDLLLDMILGDEDINNFICSFLKPWDIHDTPLQLRQQLHSVGRLLAVSAKSSMASCNRVFEKFFPQMMEALGCSVGNHSDESFATEDRALSSRFNYGTLYLCVELLDACRCLVLGFKESTSFPDFIHEKWCCMLHGFCRSLINIFFSNLEAVSGNAQSSFAYTGVKGLQLLATFPRSFAPVSLLLFENILLKLVSAITSNFDKKFSWGLELKALVEIGLYIEGYQESEKAATFARIVVDKFVSWISSDEPAMPLSLKMQAICETGMTGISNMLRIVQGMEKAISAKFTQAYVDGNFESVELVIKVLECYSARVLPWFEMNGGSEEVAWNLAAIIWDKIDNSSSVNLTVQNYELLGAAMTAMKQAVRRCSQESQEKIVNRAFRVLSASTLFPLKDSPFATSLSNSEDSYLNHHVDRVSCRDEWIISLYASVVIALRPQTHVQNLKMVLQLFIMALTKGHIPSAQALGSLVNKLPSKTNERHLSQEYGVEEAIDVILTSSIWNFCQSNTLRKCSLFGGGNEIHNTKCLAGLNHTSVHISAIVGLAWIGKGLIMRGHEGIKDITMTFLGVLLENTNNGDFPESCDPIEGKEQEVIPLMKSAADAFHILLSDSEDCLNRNYHSVIRPLYKQRFYNSVMPLLLSSTRQSNSIITRSMLFRSFAHVISETPLSAMISEANKLIPLLLDSLSTLTEDVMHKDVIYNVILVLSAILMDKNGQVAVLENAQAIINQLIGLAAYPHMMVIRETAIQCLGAMSELPYARIYPSRTKVLQAISKALDDPKRAVRQEAVRCRQAWASIASRSLHF >CDP15013 pep chromosome:AUK_PRJEB4211_v1:4:9220954:9236786:1 gene:GSCOC_T00042541001 transcript:CDP15013 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRSGSLPSNTSNKRSSSSSDNNPPSPKRPKGESSNAKASEKSTAENSKELCSTDPPGFTGGCPPATACGGAEVVSHPTRVDPSATVPAASLATPVAEGTTPVILDKTRNSFSSWKQHPGYEMPAPWCRLLSQYQQNATVSVNRTPFLIGPSKNANLLIRDQNVRCTIRLSKRAGSSVALLESRGSKGSVQVNGKTIKKNTSCVLSSGDEVVFGDTGNHAYIFQQLPCELVGKTPSSDLQSNVGKLLHVERRAGDASIVAGASILASLSSLRQDISRLKTTSQASGKSYLGNDLASSPNANEDELDGLEVDSATNVRGDNATESGANNAIIEAGNILDDREWTRDSMPASTSGMSLRCAVFREDIHAGIIDGRDVEVSFDDFPYYLSESTKNVLIAATYIQLKHREQIKYTSELPTVNPRILLSGPAGSEIYQEMLAKALARYYGAKLLIFDSHLFLGGLSFKEAELLKEGYNVDKICNIVKKLSGTSDLTKGIMASSGEVDTTLGLESQLKSETDNSPTLAGTSKNPSFRIGDKVRFIGSTSGSLYSSPTRGPVFGTRGKVMLPFEDNPLSKIGVRFDKPVTDGVDLGGLCDMGHGFFCNANELRLEATGSEDLDRLLIITLFEAVSSESRNSPFILFMKDAEKSMVGNSESYSTFKAKLEKLPNNVVVIGSHTHTDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDNFGRLHDRGKDVPKATKLLTKLFPNKVTINMPQDEALQASWKHQLERDAETLKMKANLNNLRTVLTRTGLDCDGLETLCIKDQTLTIESAEKIVGWALSHHLMQNTQNDSESRLAFSTESIQHGIGILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSPDVDLDAVANMTDGYSGSDLKNLCVAAAHRPIREILEKEKKEHAAALAEGKPPPALSSSGDIRPLNMEDFKFAHERVCASVSSESINMNELLQWNELYGEGGSRRKKSLSYFM >CDO98618 pep chromosome:AUK_PRJEB4211_v1:4:7414366:7427298:-1 gene:GSCOC_T00022775001 transcript:CDO98618 gene_biotype:protein_coding transcript_biotype:protein_coding MAASERDVTPMLSSMDSPVDEQYDSQLRRFTSGTRSASISIPMNSVDYYDSENSFVGYTGPLRNEKQTPYGQMSGPLYVGHKNSNVFRRTQGLLGNKTTETAVERYPSLNGVDQNVRSDKDHAGKNDHLLKSGQLGMCHDPYCTTCPSYYRVKGPQAKSKSSESLEQKFHNMLRGDAKGWAKKISSFICSYIPPVMNPHAKVVQRWNKFFAISCLFAIFLDPLFFFLLHVQQDNKCIVLNWPMTTTMVVFRSMTDLIYLIHMLLQFRLAYVAPESRVVGAGDLVDHPKKIAIHYLSGYFVIDFFVVLPLPQIIILLILPASLASSGANYAKNLLRVVILVQYIPRLFRFLPLLAGQSPSGFVFETAWANFVINLLIFVLASHVVGSCWYLFGLQRVNQCLRDACHDSGVQGCMNFIDCGHGNDYSKFTSDPTSLDHWNHWKSNDNATGCFSGDNFSFGIYEQAVNLTTKESIVTRYTYALFWGFQQISTLAGNLIPSYFVWEVLFTMAIIGMGLLLFALLIGNMQNFLQSLGRRKLEMSLRRRDVEQWMSHRRLPVELRRQVREAERYNWAATRGVNEEMLMENLPEDLQRAIRRHLFEFVKKVRIFTLMEEPILDAICERLRQKTYIKGSKILYRGGLVDKMVFIVRGKIVNIGEDGSSVPLSEGDVSGEELLTWCLEHSSVNKDGRKLRIPGPNTVSNREVTCLTNVEAFILRAADLQEVTTMFSRFLRNPRVQGAIRHQSPYWRGLAVRRIQVAWRYWKKRQSRADSFSPEHYHTQDTDH >CDP12723 pep chromosome:AUK_PRJEB4211_v1:4:12067628:12068422:-1 gene:GSCOC_T00037343001 transcript:CDP12723 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVGKISSRWSLSGMTALVTGGTRGIGCAIVEELAGLGAKVHTFSRKESELNERLQEWSSKGFKVTGSVCDASSREQRTQLIGEVSSIFNGKLNILVNNVGTGVFRQAEAFTAEEYNLIMSTNLDSGYHFSQLAYPLLKASGIGNIVFMSSVAGLVHVHHLSVYSMTKGAMNQLTKNLACEWAKDNIRVNSVAPWYIRTSLAEEKLSDKEYEERVESRTPMRRTGEPEEVSSLVAFLCLPAASYITGQVIAVDGGMTVYGFE >CDP14999 pep chromosome:AUK_PRJEB4211_v1:4:9099108:9099855:-1 gene:GSCOC_T00042524001 transcript:CDP14999 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVCCICSPTFVKICKGKSVAEFKPDPYVMTLLKCAMWVFYGLPFVKSHSILVSTINGIGFVTEAMYVTLFFVHSDWRKRVRMIYNLHVHYFYLHDFSIKRALIVDVGLTLLNGLFIYLFFWVQSNVIKTESVKYLPFYLSLANLLNIGTVWVCYASLKFDYNVVASNAAIFFSFLEIDCLNSGLFSQIYLLTSSL >CDP14380 pep chromosome:AUK_PRJEB4211_v1:4:22700545:22701051:1 gene:GSCOC_T00040748001 transcript:CDP14380 gene_biotype:protein_coding transcript_biotype:protein_coding MISEGCTSKNVCVIGAGPSGLVAARELRKEGHGVVVLEQNHDIGGQWHYHPNIEDEDPLGKCPILNVHSSIYASLRVISPRETMGFMDFPFRVREGRDNRRFPGHKEVLMYLRDFCEGFGLKDTIRFSIMVDYLGMLNHEECNDDGLKWIVKALRRRRLVMMMMIRRW >CDO97770 pep chromosome:AUK_PRJEB4211_v1:4:388621:394941:1 gene:GSCOC_T00021667001 transcript:CDO97770 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTEDKVDLDSDEEIPFSSSHSAIKMQSTDCETSRITSPRHLSHRWVLRKMNHISPLRSIYVVLIKAKINMLLPFGPLAILLHYLTGKQGWVFFFSLVGITPLAERLGYATEQLAFYTGSTVGGLLNATFGNATEMIISMYALKNGMIRVVQQSLLGSVLSNMLLVLGCAFFCGGIVHRQKDQEFNKATALVNSGLLLMAVMGLLFPAVLHFTHTELHSGKSELALSRFSSCIMLVAYASYLFFQLRSHRNLYDIIDSEQDNNAEDSDEAPEINQWEAIGWLAILTLWISILSGYLVDAIEGASDALNLPMAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWFMGQRMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPSNDDN >CDO98204 pep chromosome:AUK_PRJEB4211_v1:4:3636452:3640863:1 gene:GSCOC_T00022224001 transcript:CDO98204 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLILVVPVLIFSSPLLSFPVDVKPNPPVSDPVLPTEKEPPAFPTSSPGVEAQSPGEAAVRAVHHQDINKKILIALVVSATLLGGILLLLSCFWFYRLKKLKSSDTKANQNSNAAKGVSLGPILDKITSSKAFGKKGSIALIEYPLLVAATSNFNEDNILGEGGLGCVYKAQFSDNFHAAVKRLHGKWQDSEREFENEVDLLSKIKHQNIVSILGYCIHGETRFLVYELMQNGSLESHLHGPSHGSTLTWHLRMKIALDVARGLEYLHERCNPPVIHRDLKSANILLDSTYNAKLSDFGLAISGGNPNKNSIKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVILLELLIGRRPVEKITEAQCQSIVTWAMPQLTDRSKLPKIVDPAIRNKMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSFIPLVPVELGGSLKVA >CDP12381 pep chromosome:AUK_PRJEB4211_v1:4:19324837:19335016:1 gene:GSCOC_T00035886001 transcript:CDP12381 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVYDNWERLVRATLRREQLRQSAFRTPSDVSSASSSAPSSAPSPINFASPSAQLVSSFKTSSSLPLVGKSFTYHQILQATDYLSSSNFIKHGRSGDLFHGVLEGGLQVVVKKVDLSMSSSGEKESYLLAELEFLGKVSHARFVPFLGHCFEKANDKFLVYKCMPNKDLSSSLCSRGIVSDGTNPDSQKSTSLDWVTRLKIAVGVAEGLYYLHHECVPALVHRNVEARSILVDENFEARLGRLSEVCTEKKEKYQNMISRLLQLQKSSEGGTSGATNAMCAYDVYCFGKVLLELVTGNPGLGDGYDSRIKEWMENVLPYITTYDKELLLNIMDPCLVVDEHVLIEVWAVAVVAKACLCPKPLERPQMPCILRALEDPMSVRLSTYETLRIDAQLGLVCSALVSTRMPEGVSGLGTSQANANRTSSNNNIVVSNSRSSAAGGTEETNPHGVIWDLPNLRIYSPSELKAATNNFRSDRVLGESEFGRVYKGWLHEKSTSKTGSQSLVAVQKLKAESLQGFVEWKTEICMLGTLSHPNLIKLLGYCWKGKDMLLVYEYMQKGSFDNHLFGRGSSIQPLQWDARLKILIGAARALAFLHAAKKQVIYRVFKASNILLDASYNAKLSNFGLAKIGPLNGQLNVTIQAGRTLGYAAPEYIRTGQLCVKSDVYGFGVVLAEVLTGLRALDTCRPKGKHYLFNWIKSQLFDKTKIEGVMDSRLEGKYPIKAAVGMAKLAQNCLAFDPKARPSMKQVVDALEHIAIC >CDP14864 pep chromosome:AUK_PRJEB4211_v1:4:25273141:25277583:-1 gene:GSCOC_T00042334001 transcript:CDP14864 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQNYLPKPPAPVSSSAPPLNSQLIVHFCSNIYSCKSNEKQTKLCNLVTSLGLHSHDGKRFTSVRRKGELRIVASSSSSSSNVPAPFWDSWAPQKGSQAPSLSDIFWPSAGAFAAMAILGKIDQILAPKGISMTIAPLGAVCAVLFATPSAPGARKYNLFMAQIGCAAIGVIAFTVFGPGWLARSSGLAAAIAFMICTRAVHPPAAGLPLLFIDAPKLHRLNFWYALFPGAAACILLCLIQEMVCYLKDNFKF >CDO97839 pep chromosome:AUK_PRJEB4211_v1:4:874101:875783:1 gene:GSCOC_T00021758001 transcript:CDO97839 gene_biotype:protein_coding transcript_biotype:protein_coding MNSITVMAASNSNSRDLSSPTEPVYQKIHLGLGYDGTKSPDASSPDQTPAEANEAMEIAVDRLKNDFQDILHLQVKGVAAALTDPSSTTDSTCSFSLCLSALEESSYSGYHGPSPKEIAHLRSIAQKMNAKGHLDKLVRVYVTERKSFMYAHFRKLWGEKSGICDVRRLEWGVLEAKIMRWIRAVHCCLRGILPFEKQLSNHVFRGIGNDAIGESCFFAIVTDYVAELLDFADALSSCRPSPEKLQEILALYRSFSCFRSDINSVFESEAGQTIRVRTDCILSRLGGEVVSPALSDFEETLLRELCNYPIPGGAIHRSTEYVMGYVTSLVSESKETLTELITSKPSTTIGNLMISDLDVKELEGRAPLAAHFLWIIIVLHLNLERRSKCYQDSLLANLFMMNNVHYIVQQIRRSAALTEMIGDNYINKLTENVQGSMTNYVSGTWDVLVYCLRHEGLNGSWGFTVGSGVSRKALKLRFKNFNTLFKETHRTQMMWEVPDLELRTKLHQSILDKLIPPYRNFLGEFRSLIDRGKQPGKYIMYSAEQLEAKVLGLFSYSSYL >CDO97858 pep chromosome:AUK_PRJEB4211_v1:4:1066725:1068493:1 gene:GSCOC_T00021789001 transcript:CDO97858 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDIFSGIGIGAQVDGKVVQTFQKSFLQVQNILDQNRLLINEINQNHESKIPDHLTRNVGLIRELNNNIRRVVDLYADLSNSFAKTMEVSSEDSAGTTKSDGRGGQKRFKSS >CDP16939 pep chromosome:AUK_PRJEB4211_v1:4:13552326:13554767:-1 gene:GSCOC_T00005302001 transcript:CDP16939 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNSRSSIESSCNGIVRRMVSLPRSILGGVSRAMGQGMDFIRIGGRRHHPHQHHHLPLATDFPFEQYPSSDPPVNGSFNQPLQNFQQPPMVQEEWAFLASLEQEYGTNHPFFYVCRFMDALKMAQEEHKFIFLYLHSPEHQFTPAFCRETLGSELVVQFLDANFISWGALANRGEGFHLASTLRASNFPFCAVVAPASAGNLAVLRQIEGPVSPAELVEILQRTMEEQGLAFDSARANEEEKRRADRKLREEQDVAYVTALQRDQEKEKLRSFASEQRVSKAASASNSSNQEKTKPVPLKQQNNQIKDGPRAGGAMHKGNAQETKILIRFPNGERREHSFLSTDKVQAIFRHINSLGLPGVGGNYRLISNFPRKVFSVDQMGMTLTEAGLHPKASLFLELL >CDP15064 pep chromosome:AUK_PRJEB4211_v1:4:9861014:9862045:-1 gene:GSCOC_T00042613001 transcript:CDP15064 gene_biotype:protein_coding transcript_biotype:protein_coding METTFYRTLASFDRIPTHHALRKLAQNHGALMHLQLGEICSVVVSSPRLAKEIMKTHDLAFANRTQFVAGEILVYNCLDMVLCEYGDFWRQMRKYACTLELRSAKNVRSFESIRQDEVWRPHTRVLYDKYLENIIEQHINKLEGTKAATGQLGHEELVDVLLRIQASCKYQVPITKDNIKAVILDMLVGVIDNPFTTIEWAVSEMIRNPGVMAKAKSETREAFRGEKEIKKIEETDMISNTSSIMYILSVLDK >CDO97879 pep chromosome:AUK_PRJEB4211_v1:4:1259672:1261480:-1 gene:GSCOC_T00021818001 transcript:CDO97879 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTARTPDPVGRFIHSPFKVSFYPPSMTSRPVPIFLFSSFLLFFRSFCSSVSCDCPQPIFHLSNIFLSGVTEKTEQFEYWIRELISFNIWIKVFHFVLSVHFSFISFVNAHGSNLLSVKIFQQIQNLSFVSRYFLLCLCSSP >CDP18937 pep chromosome:AUK_PRJEB4211_v1:4:14677540:14684045:1 gene:GSCOC_T00003260001 transcript:CDP18937 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEQPKAATEDAKIDLFEDDDEFEEFEIDQEWEDKEEGKEVTQQWEDDWDDDDVNDDFSLQLRRELESNNEKN >CDP12339 pep chromosome:AUK_PRJEB4211_v1:4:27551253:27553480:-1 gene:GSCOC_T00035817001 transcript:CDP12339 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKPFSSSSILLLTAILIFVGSLGTVHSGHEPRHPISQRKWSHEQFPIYGASGPESLAFDRHGGGPYTGVSDGRIIKWDRNQSRWINFATTTPFRDGCDGSADHANTEARCGRPLGLSFDHKTGDLYIADAYMGLLAVGSKGGLATPVAQEVDGFPFKFLNDLVIDQDSGAIYFTDTSTRFERREFANVMFSGDNSGRLLKYDPRNNEVTVLLHNLMFPNGVALSKDGDFLLVAETTNSRILRYWLEPSSKLGKVEVFANLPGRPDNIKRNQNGEFWVAANSEKGGLFNWILSRPRLSENGDTLETIESSEGETLKYISDVDEESGSLWVGSVVRPFAIKLNASN >CDP16935 pep chromosome:AUK_PRJEB4211_v1:4:13521278:13526832:1 gene:GSCOC_T00005296001 transcript:CDP16935 gene_biotype:protein_coding transcript_biotype:protein_coding description:modifier of snc1 [Source:Projected from Arabidopsis thaliana (AT4G24680) TAIR;Acc:AT4G24680] MTVLGKVSVPKPLNLPSQRLENHGLDPNVEIVPKGSLGWGSRPSSSASNPWGSTTQSSNADGSVSSPSQLSGRPSSAGSGSRPSTAGSDRTYERTANAWGPSSRPSSASGVLASNQTSTSLRPQSAETRPSSSQLSRFAETVSDSTGLRAPSGTAERVGVASSENDRFSLSTGDFPTLNSSRDGSAKNSEPRDQGSHSRPSSASGTQRKEKTEESQAGQDITSGTVNAWDRDGPRSADDGMQPSQVKWHGEPQQYVNSNIPPPQFDAWRGPPMNAPAGVWYRGPPAGPPYGAPVAPGGFPIEPFPYYCPQIPPPALPNSQPVPLPGAGPRGHHPKNGELYRPQMPEAFVRPGMPFRPGFYPGPMHFEGYYGPPMGYCNSNEREVPFKGMGGPSAYNRHSTPSAPDPGHSRARAGRTGPAGKMLSEHVETAHSGDASGQYKVLLKQHDEGNGKGDGENLERRPTFDNSSHPKKGVLSGVSLRREWGAEPEPDSEEEMCAMRTEGENSCSHKVKDQGAHDPDTFKVQSFENVCSAVVDNNQKHQSVTAAPSPGMSQPSPGTERGLTVTATARDSTLMQKIEGLNVKVRASDGRYDGPQNSSQAVNPKGNDMIKAGIMGPGSHEMLPSVGDRSSHPAFAPRRAYDHMHGKGSDNGKGRFRSLDGGGWQKKPVAAEPASIPAAEPASIPAADIISIDVHETKVQPVVAAVEDPTGKNEGEMATEIFDSTDSQAQRAKMRELAKQRALQLQKEEEERIREQKAKAFAKLEELNRRTQGGKPLKNEKALVGMCQPELQEQQTYSGSSLDDAKSQAVTKVISSVSGGVTQSSLSTVPSGDESATSSSNLPKAVPIEPVVLDGQSLPLKQEAHSADANDRKTSAQMNEGGASRHKRNSFKPKQNATQEKKISQQPEAISAAEGPKNETGITSNEVNVVSQDDTLYSGESNFPRNPNIVSESSAQQRRKGNRSGKKHKLDDAPSMPILPSTAPNESNPVEAYTEKEDFKASQSDLDSSVVQEVITTVDGAESSKQHSSLQGDEAYGRLSNHRKPQHSRRFARNQQSNRFTDKSHGNDVVIWAPVKSQSKAEPASEMSQQNAQECGISAKCDNQVQSNIKSKRAEMERYVPKPVAKELAQQNSVQQPVSYSTEMSTSDEFSERIESGLASSGSLHPGSSATCNVASTAECREVDSRLNKQVKAHGAWRQRGSTEAPQNASPTSSSNSSKSTRTSVRQNQSVKPNLNSAKVEGNVSRDSSASDGQNVNRQRKEALIEG >CDP16916 pep chromosome:AUK_PRJEB4211_v1:4:13239954:13241345:-1 gene:GSCOC_T00005267001 transcript:CDP16916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C1 [Source:Projected from Arabidopsis thaliana (AT5G63030) UniProtKB/Swiss-Prot;Acc:Q8L8T2] MALSKVKQIVSSHPVVVFSKTFCGYCNRVKQLLDQLGATHTVVELDEETDGTEMQSALAVWTGQRTVPNVFIAGKHIGGCDTVTGKHEMGELVPLLNEAGAIPKNSAQLQI >CDP18316 pep chromosome:AUK_PRJEB4211_v1:4:21512426:21516426:1 gene:GSCOC_T00004346001 transcript:CDP18316 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLRLLGLTYNKLSGRHASSMGYGLIKLKGLSLYGNEFDGVIPASISNASKLTTLELGENRFSVGNLSTSLEKFYALRLSGNQLTGTIPVGLKYLQKLQALDFSGNQLSGPIPGCLCKLNSLYEVYLERNRFHGSIPSCLSNVSSLRGIFFDGNFLNSSIPASFWNLTDLLKLNLSYNSLIGSLPQEIGNLKAVIQLDLSANHLCCSIPKSLGHLSSLEVLDLSKNNLSGLIPESLEALSYLYHINLSFNHLRGEIPSSGPFKNFTYESFIFDDDLCGAQRFHVPPCSSREIPSSGPFKNFTYESFIFNDDLCGAQRFHVPPCSSPWIHKSESKESISHVRHSIRISYYELVQATNGYHESNLLGKGSFGAVYKVLRNGCALNNHCLDLLQRLSIMIDVASALEYPHFGYTTPVVHCDLKPSNILLDENMVAYVSDFGMAKFLDEGNSVLHTRTLATLGYLARGLSNYVPLYGLEGQVSTRVDVYSFGIILIETFSRMKPNDEMFKDDLNLKDEHFNEKLECLSMIFKLALRCCTDCPHDRTNMKDAVAALQKIRRKLESSPRISA >CDO98071 pep chromosome:AUK_PRJEB4211_v1:4:2594311:2595480:1 gene:GSCOC_T00022047001 transcript:CDO98071 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKVLIMLSFSSLFSSFPDWQCLHHVISSSQDTWFLLNSISLRFSMAYLKEETKGLGVFLAGGVIFQAQ >CDO97744 pep chromosome:AUK_PRJEB4211_v1:4:193593:196939:1 gene:GSCOC_T00021627001 transcript:CDO97744 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPVTNAPSRTGSFAGAASHSGPINPNTAARASYSTSGPVSSAGASGSASMKKSNSGPLSKHGEPIKKSSGPQSGGVTPLARQNSGPLAPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLDSMGSVKVHGPSVANNPAVTTLSQEDDYSFKRNFPKPILWAMILLFIMGFIAGGFILGAVHNAILLIVVVVLFAIVAALFLWNSCWGKRAIIGFIANYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFTWGLRSSERHVVDFYISDFQSGLRALVKTGYGARVTPYVQESVVVEVNPLNKELSPDFIRWLGDRNLSSDNRVMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPPEPFTTGCQWSKCIFPASLEGVVLSCEDASKIDVIPV >CDO98093 pep chromosome:AUK_PRJEB4211_v1:4:2783035:2790364:-1 gene:GSCOC_T00022073001 transcript:CDO98093 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGPSGSLRGSLRANSSALWRNTGLEVFSRSSRDEDDEEALKWASLEKLPTFDRLRKGLLFGSRGEVNEVDVENFGFQERKTLLERLVKVAEEDNEKFLMKLRNRIDRVGIDLPTIEVRFEHLKVDAEAYVGSSALPTFLNFATNMIEGVLNNLHILPSRKKNIKILRDVSGIIKPSRLTLLLGPPGSGKTTLLMALAGKLDPDLKSSGRITYNGHGMNEFVPQRTAAYVSQHDLHIGEMTVRETLAFSARCQGVGSRYEMLAELSRREKAANIKPDPDIDIYMKSAATEGQEATVVTDYVLRILGLEICADTLVGDEMLRGISGGQKKRVTTGEMLVGPTNALFMDEISTGLDSSTTFQIVNSLRQYVHIMKGTAFISLLQPAPETYDLFDDIVLLSDGLIVYQGPRENVVEFFQSMGFRCPDRKGVADFLQEVTSKKDQRQYWARRDEPYRYIAATEFAESFQSFHVGRTLSNELATPFDKSKSHPAALTTQTYGVNNKEIFKANSARELLLIKRNSFIYIFKLSQLIIMGLIGMTVFFRTKMPRRDVTDGGIYLGALFFVVVQVMFNGMAELAMTILKLPVFFKQRDFLFFPAWSYALPTWILKIPITFLEVAVWVVMTYYVIGFDPSPARFFKHYLILVAINQVASALFRFIGAASRNMIVANTFGTFALMLLFALGGFVLSRDQVKKWWLWGYWSSPLMYAQNAILVNEFTGKHWRQIVPNTTETLGTQVLKSKGFFPQSYWYWIGLGACGGFILLLNFFYTLALTYLQPLGKPQAVLPEESENTENSGQRDGSVDAITESNENQRRGMILPFEPHSITFDDIRYSVDMPQAMKDQGAVEDKLMLLKGISGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNVTISGYPKNQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLPAEVDAKTRMMFVDEVMELVELTSLRDGLVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGQEIYVGPLGHNSIELIKYFEAIHGVSKIKDGYNPATWMLEVTASAQELMLGVDFAEIYKNSDLYRRNKALIHELDSPRPGTKDLYFPTKYSQAFPVQCMACFWKQRISYWRNPPYTAVRFLFTSFIALSFGTIFWKLGKRKDTTQDLFNAMGSMYAAVLFLGVQNSSAVQPVVAIERTVFYRERAAGMYSALPYAFAQVGIEILYILKQAVFYSVITYAMIGFEWTASKFLWYLFLMYFTLLYFTFYGMMVVSVTPNHNISAVVASAFYAGWNLFSGFLIPRPRIPIWWRWYYWGCPVAWTLYGLFVSQWGDLSDRLEDNGELIKDYLRRYFGFKHDFLPVVAGVVVGLPVVFAFIFAYGIKTFNFQRR >CDO98147 pep chromosome:AUK_PRJEB4211_v1:4:3233253:3234927:1 gene:GSCOC_T00022150001 transcript:CDO98147 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNSGCLGSATEPKLDLTIHDSLQQQKPLAEIHSRISKASISESFWTNSNCDMDVSAVQSQGSVSSVSSSLTNSHGAGSSNASYEFVNHGKFIFVPCKIILSYVVFSFTCATVTKLLQMVLVNF >CDO98058 pep chromosome:AUK_PRJEB4211_v1:4:2507785:2510405:-1 gene:GSCOC_T00022033001 transcript:CDO98058 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGNKIIHAKMVLVGDMGTGKTSLVLRFVKGQFFQHQEPTVGAAFFTQILSLPEATLKFDIWDTAGQERYHSLAPMYYRGAAAAIVVYDITSMDTFLRAKKWVEELKRQGNPNLVMALVANKQDLEPNREVNFEEGEQFSEENGMFFTETSAKTALNINELFYEMAKRLAKAAPLQPTGIRLQSNTRSRRAFFCCSR >CDP16529 pep chromosome:AUK_PRJEB4211_v1:4:16635661:16652411:1 gene:GSCOC_T00018897001 transcript:CDP16529 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEQKRHQMMQNLFGEQSEEEEEEEVESEHESNRQPGYASEEGDGGLEPEGEGEVEGHGEAEIESEAELQDIDHDRGESEGEREQSSQEVEAGDHREESGEKDSESDEKVQYDQRVVTSRRRGDVISESERSEDNTYADNEDEEVNQARNLRSPSQERDEAHISLSAPEIRDVFGDSDDEEPVEFGVQNQIEDEANRFPMEEDEEDYDKELKPEDMLADEEGHYESEEEHPEAKPREKPVGPPLELEIPLRPPPALPEKMNMIKVSNIMGIDPKPFDPKTYIEEDHFVTDESGSKRRIRLENNIVRWRRVTNPVGTTSIESNARFVRWSDGSLQLLIGNEVLDISEQDAQHDQAHLFLRHGKGILQSQGRILKKMRFMPSSLSSNSHRLLTALVDSRHKKVYRVKNCITDIDPEREKEQKEKAESQTIRANELLNRKKEKVNRKYTQTVRRERQLSPGFLEDALEEEEDPDYYESRRSAARRRFEEDLEMEAQAEKRIINAKKACHLDCGHKDVARKPSMSTTKSSRQPVDFSESEKEESEYETEEEEDERSAAHGRAEDIEQDYEDEEEHDEEEEEANEVSEEEPEEPRRKSKEPGTGLKRKDIESDEDSPPRKAATHRRMAIVYDSDDE >CDP15032 pep chromosome:AUK_PRJEB4211_v1:4:9504032:9505330:-1 gene:GSCOC_T00042568001 transcript:CDP15032 gene_biotype:protein_coding transcript_biotype:protein_coding MKINIVTTQLIKPSSPTPAERRDYKLSFIECQIPHFYIPLILYYSAQKTSNVKQSQIFKWLKTSLSETLTHFYPMAGRIKGQTLIDCNDQGILYAEAEVDGHLSDLLKNPEIQILDHLSPCKSSGRITDERELLAIQVNFFKCGGLAIGICHSHRIADGWSICSFIKAWAATAAKAWGLSNNMVLEPVFNSAPLFPLRKTPDFEPDPETPPLQTPVEKFVTKRFVFDAPVIELLKSKAMARWPGAKPTRVQVVSAYIWKSCMAANGVEENGTSVISHPVNLRKRMIPPLPDTSFGNIFQMAHAVTSGAAAKDWIGLVEKIREAFGKINQSYGQKLLGENGCEVAENNFNEVGRFLVRKDVHGVRFSSWCGFPIFEADFGWGNPIWVSSTSFSCKNHVFLFDSRSPGGIEAWIVMAEKEVAKFEQEVDLLTMN >CDO98507 pep chromosome:AUK_PRJEB4211_v1:4:6213800:6215397:-1 gene:GSCOC_T00022626001 transcript:CDO98507 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSIFVIVSFLPSIIALDPYSKFIQLNLPSGATGPESVALDSRNEGPYCAISDGRVLKYIDSNTGFVDFAYTSPKRTKQLCDGTTDANLGPTCGRPLGFSFDNLINVLYIVDAFLGLFKVGPDGGLATLLANSAGGVKLNFLTGIDVNPITRDVYITDASLTYDLRNITQPNLVTDSSGRLIKYNPRKNEVTVLQEGLSVPIGPAVSFDGSFVLFSEYSAKRVVKYWLLGPKANTSEVLRDLPGNPSKVKRASNVGEFWVAVDINVQQPRFTTPYGYKIDSFGNLLFVKDLEDHYYNVPVSVVQEYFGTTLLVGSRGENFVGIYKKTLF >CDO97925 pep chromosome:AUK_PRJEB4211_v1:4:1581951:1584999:-1 gene:GSCOC_T00021873001 transcript:CDO97925 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHRFFHGTLEATICHATPRKPSFRFSCVANEKPAYVTIKIGSKKVAETTHEHDRIWNQTFQILCAHPSDTIITIALKTRCSILGKIKILANQLLDGASLINGFFPLCGENGKPNLKLKLQYILWFKPAEYESSWEKVLANDAYHGLKNATFPQRSNCNVILYQDAHHCASFQPPSALSQTPKKLWEDVYKAIEGAKHLVYIAGWSLNPKIILVRDPNTDLPHARGVKLGELLKRKAEEGVAVRILLWDDETSLPIIKNQGVMKTHDEDSLAYFKHTKVICKLCPRLHDKFPTVFSHHQKTITVDTRVQQSSTNREILSFIGGLDLCDGRYDTQDHSLFKTLNTEAHCYDFYQTSISGASLHKGGPREPWHDTHACIAGQAALDVLTNFEQRWTKQFEPSLLVPLSSIPELSNQHSTSISSERDWNVQVFRSIDHVSAIPLPRNMSTERSIHEAYVEAIRRADRFIYIENQYFLGGCHLWERDQQCGCRNLIPVEIALKVANKIKAKERFAVYIVIPMWPEGAPESESVHDILHWLRETMKMMYKLIAEALEESGEPGHPRDYLNFFCLANRENEVKGEFVPPYSPHPETHYWNAQKQRRFMVYVHSKLMIVDDTYMLIGSANVNQRSMDGKRDTEIAIGCHRSKSSEERMDRGDIHAFRVSLWYEHTGQAEEVFQDPRSLECVQRIRSIGEQMWEVYSADEVVDMKRTHLLTYPVNVTKEGCVQDLAEGNYHFPDTRTPIKGKRSKFLSPVFTT >CDO98306 pep chromosome:AUK_PRJEB4211_v1:4:4366620:4376323:-1 gene:GSCOC_T00022357001 transcript:CDO98306 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDVAGGQSAVGGTASFQANSSNAPNDAVDAFLNSRGYKGLYSQIELSLSATNLRDRDILSKSDPMAVIYTKQKDGLLQEFGRTEVLLNSLSPKWIKKFTITYQFEIVQHLVFHVYDVDTQFHNIDVKMLRLEEQQFLGEANCTLSEIITRSNKSLTLDLVDNPGSSGSAIPKKLGQITLLAEESFASKTTTELVLRCSDLESKDLFSKSDPFLIISKVTESAVAVPICKTEVLKNDHSPRWKPVFLSIQQAGSKDSPLIIECFNFNGNGKHDLLGKVQKSLAELENLHSAGTGSNLFLPAAFGQSHPNKVLKSQLFVDKFSEKIQHTFLDYLAGGYELNFMVAIDFTASNGNPRLPDSLHYIDPSGRPNAYQKAILEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSSNYCEVEGIQGILAAYISALYNVSLAGPTLFGPVVTAAAHIASQGVTSDRRKYFVLLIITDGVITDLQETIDAFVKASDLPLSVLIVGVGGADFKEMEILDADKERLESSTGRVASRDIVQFVPFRDVQSREISVVQSLLAELPSQFLTYVRSRNLYPIS >CDO97789 pep chromosome:AUK_PRJEB4211_v1:4:513636:515463:1 gene:GSCOC_T00021693001 transcript:CDO97789 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSFKAYGKVDSLEKQALKRRNRRKMIILLLSLVVLVALIIGIVVGTPNHKKNKNGDDALSSTPNSAQSIKAVCSVATYPKSCYSSLSPFGISNSTIDPEKLFHLSLQVVHDSLEKLSTLPQEWINNTDDPEVKKALGVCQTVFDEAVDAVNESILSLNVIDSNKVFSVKRIHDLKTWLSTSLTDLETCSDALEEVNATILEDVRTSMKNSTEFASNSLAIVSNLTGRSSGFPHWVRAADRRLLQTSKDPQSNLVVAQDGSGDYRTINEAVARIPINSKTRFVIYVKAGVYKEKVILDKPLWNVMMYGDGKTETIVTFDDNYVDGIRTFDTPTFADVGSCLLSAVTGKNFIAKNMGFKNTAGPEKEQAVAVRSGSDQSVFYQCSFDGFQDTLYSHTNRQFYSKCDIIGTVDFIFGNAAVVFQDCNIMPRQPLPDQFVTITAQGKKDPNQNTGISIQSCVVTRLDNVTVPVFLGRPWKNYSTTIIMQTKIGGLLSPGGWMEWFRNVEPPSTIFYAEYQNTGAGASVANRVKWAGYRPTLTPKQASKFAIGPFLDGQDWIPASQVTFT >CDP14888 pep chromosome:AUK_PRJEB4211_v1:4:25753147:25759608:1 gene:GSCOC_T00042372001 transcript:CDP14888 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKQLGTLSQSARSFILSGSRCSAADGSSCTCSEDETCVSGRPHRKHELPQRAISSPVVSRPSVAVGSLISKDAGKTADNQKLDVKHPVSQPQVTPVSSSIKRENCVNYADFDGTGVMHPSPPIADQFVKAGIVAVGFLSDLVSYKISMTDGGGILGSPQNSVVEQAKPIHVRASNVKTVRREKVHPQSHPHTTAGSSATNNSNASKGRADKSTSVKSTGNLSNNGMGNYVEANGIALESCDRKRSMPQRSKTYPSHFVPNVHNGEKKIVKDMTEGFARSVRETKVLRGVAPIAREFSGSGHVVDNVSHMLQQMKWGPVTEESLRKLNCSLDAFQANKILKQLQDYTVALEFFYWLKKQPGFKHDGHTYTTMVGILGRARQFGAINKLLDQMVMEGCQPNVVTYNRLIHSYGRANYLNEAINVFNKMQKAGCQPDRVTYCTLIDIHAKAGYLDVAMDMYQRMQEFGLSPDTFTYSVIINCLGKAGHLADAHKLFCEMVNQGCVPNLVTYNIMIALHAKARNYQNALQLYRDMQNAGFEPDKVTYSIVMEVLGHCGYLEEAEAVFAEMKRKNWVPDEPVYGLLVDVWGKVGNAEKAWEWYRAMLNAGLRPNVPTCNSLLSAFLRVHRLSDAYNLLQSMLSLGLNPSLQTYTLLLSCCTEAKTSFDMSFCCQLMAVTCHPAHMFLLSMPAAGPDGQNVRDHASNFLDFMHSEDRESKRGLVDAVVDFLHKTGLKEEAGSVWEVAAQKNVYPDAVREKSSCYWLINLHVMSDGTAVTALSRTLAWFRRQMLASGICPSRIDIVTGWGRRSRVTGSSLVRQAVQELLNVFSFPFVTENGNSGCFVGCGEPLSRWLVQSYVERMHLL >CDP14857 pep chromosome:AUK_PRJEB4211_v1:4:25153279:25159751:-1 gene:GSCOC_T00042326001 transcript:CDP14857 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFIPILFAAFVLPSSVVAQSFVYNVLDYGAVGDGYNDDTNAFKHAWEAACTSTPDSSSSAPTMQIPSNTSFFIQPVIFRGPCRSPIINVEISGELLAPVNPTDWRCIGGYCGMWIHFKSLRGLRLYGGGRIHGRGEKWWQKLALEISDSDDVQITSLNFKDNPKMHLVLNNIRSVSVSTIHIDAPADSKNTDGIHITGSTDVTIDSCKIGTGDDCVSIVNGSANVRVTNIFCGPGHGISIGSLGKHGAEDKVENIYVSDVVFRNTENGARIKSWQQGGKGYARNIIYERIMLQDADNPIIIDQFYCDHEKCKTQESAVQIHDITFRQVIGTSKNKVAVKLDCSETVPCNNIILDDIYILSSEDQSTTTSDCKNAHGTIQGRMAPNPSCLTQV >CDP12720 pep chromosome:AUK_PRJEB4211_v1:4:12035203:12040799:-1 gene:GSCOC_T00037337001 transcript:CDP12720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OSIGBa0116M22.9 protein [Source: Projected from Oryza sativa (Os04g0479000)] MSLPKILPALKISLSISPSQFLALPPLGFILLREKPQDSFFDFSNFLEFQSPHVETKDKTSRNKSKKMSSAPMDISIPDAAAELGHDVVDQQNGNVLEPPLSLPPPLPSPQPPPAIADDKIPVSVEVCLKPTSTARIEDVRAAVERMLEHRNMSYVDGPIPVPLDDSFLIENVQRISVCDTDEWLDNRDVLLFWQVKPVVHVFQLSEEGPGEDLNGDGQLSSFNEWMLPAKEFDGMWESLIYESGLKQRLLRYAASALLFTEKGVDPFLVSWNRIILLHGPPGTGKTSLCKALAQKLSVRFSIRYPQCQLVEVNAHSLFSKWFSESGKLVAKLFSKIQEMVEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKTSPNVIILTTSNITAAIDVAFVDRADIKAYVGPPTLQARYEILRSSLQELLRTGIILSNPDMQDGHNVILSNFTGLKEKLNSTVLPESQSSLSLCRKLLEAAEACEGLSGRSLRKLPFLAHAALANPYSCDPFRFLTTLTETAGRESAEMPD >CDO97831 pep chromosome:AUK_PRJEB4211_v1:4:827756:831825:-1 gene:GSCOC_T00021746001 transcript:CDO97831 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPEDIYLSTSLASYLDKKLLVLLRDGRKLMGTLRSFDQFANAVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLDKEELPPHMTRVSSTDIKRAQKAEREATDLKGSMRKRMEFLDLD >CDO98091 pep chromosome:AUK_PRJEB4211_v1:4:2748632:2750455:-1 gene:GSCOC_T00022069001 transcript:CDO98091 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTRQIQLPVLDFTGETLNPSSTRWPSTREEVVRALEEYGCFIANYDKVSLELHQAIFLASQELFELPTETKVLNTSDSPSHGYIAHRRIPLLEALGIENATTVDGVQRFTNVLWPNGNNHFSETALSYSKLVAELNHVVMRMVAETYGVEKDCESLLGSIYYLLRLIKYRAPREDESNVGLFPHADQTFMSILHQAQVNGLEIMTKNGDWMLIDSLSPSSFIVMAGDVCMAWTNGRIEPPLHRVTMSGSEERYSLSLFAFMRDVMVQVPEKLVDDEHPLQYKPFDPFKYLLFCVTEEGQKSKCQIKSYCGV >CDO97898 pep chromosome:AUK_PRJEB4211_v1:4:1369413:1369636:1 gene:GSCOC_T00021839001 transcript:CDO97898 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKIQTIQVNNTKPNKLRVRSFEPDCLQLHCATTITIQ >CDP17003 pep chromosome:AUK_PRJEB4211_v1:4:15388732:15390594:-1 gene:GSCOC_T00011369001 transcript:CDP17003 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYVTAKSLSCLLKRRPPLSQLSQIHAKIITNSLSGKAALVDSLIHCYLNINNLDGARALFNHYPLPSPPTLLWNLMIRAYSKLQNSTEPFNLFNQMITFEHSPFRVFPDKYTFNFVITSCSHQMSIVYGEIVHGMIMKNGYISDLYVGNSVVNLYAVFGKMSDACKVFDEMSQRDVFSWTSLVCGYAKNGEMTKASQAFLEMPVRNDVSWAVLISGFVGCRRYLEALKYFSEMLCDDECKVKPNEAVLVCALSACAHLGALDQGKWIHAYIDKKNIFVGSNISTALIDMYAKCGRIDLSIRVFDEISVPDVHNFTSLITGLSIHGLGRDALHVFNKMLTKNVKPNEVTILGVLNGCSHSGLVDEGSSVFANMESNFGIEPKIEHYGCYIDLLGRAGYLENAFRVAKSMHVNPDIVIWRALLSACRVHRDVDLGERIINHIKEHDTYGQSRGEVLLSNLYASLGRWETVTQIRKLIGHRENQLEIGHSWIEVNGVVHEFRVADKLHIQIAEIREKLHEILERASLVGYVANTTQVSFDLSEEEKVQAVAWHSEKLAIAFGLMTTVPGTSIRIVKNLRTCEDCHSALKAISKAYGREIIVRDRSRFHHFREGICSCKDYW >CDP14991 pep chromosome:AUK_PRJEB4211_v1:4:8975540:8977319:1 gene:GSCOC_T00042515001 transcript:CDP14991 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLKFVKDGVIRLPPGFRFQPTDEEIVFQYLLRKTFSCPLPASIIPEINVCKHDPWDLPGDLEQDRYFFSNKEAKYPNGNRTNRATDTGYWKATGVDKQIVSSRRKPLLGLRKTLVFHKGKSPHGSRTDWIMHEYRLILTGKTACCSQQTAKSSQSSSVQLGNWVLCHIFLKKGSTKAVDETVQVCKNYKNIAEQNVRMDQHRFENFTIGNLSEVASTSSSSSFFSDPSVLTEVSSSQCDYEETSSPIV >CDO98109 pep chromosome:AUK_PRJEB4211_v1:4:2954967:2957080:1 gene:GSCOC_T00022105001 transcript:CDO98109 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSRVIDPHSIPHWEIWGFVEYIESGQKQGDMLLVVPEGRYAVRLGDEASIKTKVKVEKDLFYSLSFSAARTCAQDEVLNLSVSPNKEPNDWGMLPMQTMYSSDGWDSYSWGFLADSDVIEISIHNPGREKDATCGPLIDSVALKALRRPLKTRGNLLKNGNFEEGPYIFPNTTWGALIPPNIEDDHSPLPGWIIESLKAVKYVDSEHFSVPEGKRAVELIAGRESAIAQIVKTIPGWWYDLVFSVGDAKNGCEGSMLIEASAGKVTLQVPYQSSGKGKFIRATHRFRAVSRRTRVRFLSINYHMRSDNTGTLCGPVIDDVRLYSLRKLRT >CDO97830 pep chromosome:AUK_PRJEB4211_v1:4:821942:826070:-1 gene:GSCOC_T00021745001 transcript:CDO97830 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRSIKAFIFIAALFCAVSLLPGEASVHRYPGEKFGIKGNAFIVHGGSEGIYSSLPGFNASSKPDSFIRFEKIVFRRPKEFSNFSSWPIHAVIFEVDDRETIGGSAYGGQRAVCCTADLAKLGVCKQGDVIYRPSTINPGWPQVFDVRFQADELDAALQPSSIQITKTGMYNLYFIHCDLNLKEVTVEGKTIWKNPSGYLPGRMAPLMNFYGFLSLAFVVLGLFWFSQYARFWREVLTLQNCITLVITLGMFEMALWYFDYAKFNEAGIRPIGITVWAVTFGAVKRTVSRLIILMVSMGYGVVRPTLGGLTSKVLMLGGTFFIASEVLELVENVGAISDLSGKARLFFVLPVAVLDAFFILWIFTSLSATLNKLQARRMLAKLDIYRKFTNALAVAVIVSVGWICYELYFKSSDVYNEHWQNAWIIPAFWQVLSFSLLCVICALWAPSQNSMRYAYSDDGGDEFDKDDSLTLIKPSLIPSKDVRSAPEVRPAHGDNGLSNGDLEEDKTE >CDP16406 pep chromosome:AUK_PRJEB4211_v1:4:17881840:17890114:-1 gene:GSCOC_T00018269001 transcript:CDP16406 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCKILTWVILFLLIFPSFFQISHSELDSYSLLAAKRKRMSEKVRKMFYHAYDNYMTYAFPHDELKPLTKTFTDSLSELGNLKLEYLPEQYKGSALSLIESLSSLVVLGNQTEFEKGVFWLSENLTFDVDARINLFECNIRVLGGLVSAHILATDSTKNRLVQGTYKNQLLDLAENLGRRFLPAFDTPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSRLTGDPRFESAALRALRKLWSMRSSLNLLGTTLDVVTGEWIEHSSGIGAGVDSFYEYLLKAHILFGRDEFWRMFQAAYIAVQRYFRHGPWYHEADMRTGKSTYWQLTSLQAFWPGLQVLVGDIPAANLSHREFYYVWKKYGVLPERYLLDHMMLHPTEKYYPLRPEFAESTFYLYQATKDPWYMEVGELIVDSLNLYARVEGGFASIRDVTSMELEDHQHSFFLSETCKYLYLLYDDSFLGDRNYIFTTEGHPLPVLSSWREKLPEAYIPSNWTYVKSEEQVKRASAMSMRVCPATALECGQGQPRVESACHIPDSRADHRCFNDDDCGVDSSNCRRRSCSIAGYCGQWLFI >CDP12344 pep chromosome:AUK_PRJEB4211_v1:4:27597795:27598794:1 gene:GSCOC_T00035823001 transcript:CDP12344 gene_biotype:protein_coding transcript_biotype:protein_coding MESANNRLLEDQYSRKKRKITIIISLIISFTIIISSIISVLTILKHKVESQSLSNAPPSKAIRATCSLTPSRRLCFKQIWKLQQSQASSKINPSQIFALSLSASFDELTILNSQRQRVISKVNASSASVFPSLQECESLISDSLRLVNMSVTKIGIVPDGNIFKETKTVEDLMEWTSAAKESLERCRDELENDNEGLVSGKFYLVRMKMQMLVTRKYLENSLVILAKMDTILDMFYHPLQSILSNFMLSASGFDFGMVFFASQYLLLVFLFCLLVRLY >CDP15093 pep chromosome:AUK_PRJEB4211_v1:4:10300031:10301278:1 gene:GSCOC_T00042660001 transcript:CDP15093 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHSWFETSLPALPKPESLTSSRTSSSSSITIPDQMQYSSAIYEETSFSSLQSDVSQDSSHNSRQKMINSPSVTHSCFGNFQTLAPQISFLAVHGNTLYAASLNEINVFDLTNYCLVDNLSCSGMAKSIAFVENKIFTSHQDCKIRVWQIASSSKKHQLISTLPTVKDRLRRCVLPKNYVQVRRHKQKLWIEHADTISGLAVNDGLIYSVSWDKSFKIWRISDLSCLESVKAHSDAINAIVVCANGLIYTASSDGTIKIWQRNDDGGKKHKLVTTLVKHKAGINALAINEDGSVLFSGGFDENILVWKKDYTADDHMVLSHSLKGHTGAILCLTIVDDLLISGSSDRTVRIWKRSREYGYCCIRVLEGHLKPIKALVAISGRNGDGVISIFSGSLDGQIKVWKVTTNSTSCTSP >CDO98248 pep chromosome:AUK_PRJEB4211_v1:4:3948888:3955174:1 gene:GSCOC_T00022277001 transcript:CDO98248 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFVNRWRDSVHLLSDFANVIVRLVDITLLTCLSTLKLMMGFDKPNDEQYPPMPLQGDGGCMFASREGGTVDCGQNFPSCTGSADFSFPYVSLANSAWNDMHATHHSYPHDLRNGSHWMTGLMGLDNLQSQDNLNLHSLGGSLRNVDVQNTQANVNFLPTGSKAAGDYQMNVLGGEAEGSTVNWSQISESSLNLGCGASLSHQNTSFLESGVRNDVKVNAFHPRQVDGSFLTLGIGGNPETRAKSKFDSREVANKLLEAVGPQSSSSQIQPATSLSSFQTYPGCSPSTVSKLGDWTTSKNVDAIVGMRSTPHLSPQMPEAFKQYDFFENNAKNTGFVDESIGRNSALESYKFFQGDPSPSSLPFNSTYACVPQPGYTKQSELGSEAFESTWVSAQPARDLLWNSHSIEPGNISSSFGSHCSRLRDVSLPQDYLGISVLPSEGCGTQAVGSGDQCASGQPDGHVSSPYKVNSAQHFRSTNYPKNTSLLIAEGSANKPAIRAPFPRRLGVQIDDPAATQSATGSVLSENMGAQTRSNEHQSQISGPVQLAKNFLGPISGHRQDRLAAKFNVQSPSLSTGQPQRAVPVRFPKDLMRVDHTTGQVVPQKIGRTSHVHGVIGQPSLKRRANETPSVPSWGQRRRFFTPSYHSAMSTPAIPPLPSTSAHIKWTDSESPPRPTGHRCMLCKRDLSFRPEGPIYQPINPPAVAVLPCGHTFHDQCLQNITPEDQSKDPPCIPCAIGEKQA >CDO98391 pep chromosome:AUK_PRJEB4211_v1:4:5092438:5095719:1 gene:GSCOC_T00022468001 transcript:CDO98391 gene_biotype:protein_coding transcript_biotype:protein_coding MYDPIFAKSFSKYEQKRFGFWAILVCTIMAVSIFLELKPGFHPLAILGNAMNLQLSIDAAQDKLAMKDEDISLPFGIHAVEQAKEGEQTDMLRVNDMGTSSPSATEAVESDPTNTSIVKDIDTNPPLATQAKKVETPSEELGEPACNFLGPLSDYCEIKGDIRIEANSSTVFIVSPQTTIAAKNKSWSTRPYARKGNGGAMISVKKWTIKLVSHNEDNIPRCSINHSIPSILFSTGGFSGNPFHDFSDLLVPIYSTSQEFGGEVQFLATDHQHWWISKYQMLFSRLSRHEIIAIDKEKEIHCYSRMVAGLKSYKEFIIDSSKFPHGLSMNHFRQFLRSTYSLERTRAIKLRKGGGQKPRLMLISRGRTRKLTNEGEITRMARKLGYEVIVAEAGLSTNLTSFAQLVNSCDVLMGVHGAGLTNMVFLPDKAILVQIIPLGGIDGLARVDFGIPSKDMNIRYLEYKIEATESSLIEQYPLDHAVFRDPSSFHKQGWGAIRSVYLDKQNVKIDLHRFKSTLVKALKLLRRH >CDO98452 pep chromosome:AUK_PRJEB4211_v1:4:5681545:5683023:-1 gene:GSCOC_T00022544001 transcript:CDO98452 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKTPSTFNALFFFLFFILILFSICHSSLVYSLPQEQEENQHFQVLNVAASIHKTLQLFSKATPPSPTITAAASAANSSVFSVSLHPRISVVKPHHQNYSALTVSRLAYDSARVNSINYKLQLPISQTVHQRLIQPEDLQSPVTSGESQGTFEYLARVGLGRPVKEFFMSIDTGSDVSWLQCQPCDSCYQQSDPIFNPSGSVSNVAVGCGHDNEGLFSGSAGLIGLGVGSLSFPSQIKATSFSYCLVDMDSHSSSTLEFNSVPPSGSIIIPMVYNPKFDIYFYVDLVGISVAGEKLPIQPSVFQVGSDGTGGVMVDSGTVVTRLVTRAYESLRDTFAKHAKNLHPTSGYSLFDTCYDLSSIPDEVEVPTVSFHFSGGKTWSLKPKNCLIPVDSRGKFCFAFAPSDSISIIGNIQQQETRISYDLAKKLIGVSPDQC >CDO98047 pep chromosome:AUK_PRJEB4211_v1:4:2443247:2446398:-1 gene:GSCOC_T00022021001 transcript:CDO98047 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRKINLVTNFLCCCFLLNHSCYSEIINDTILQGEELRDWQQLVSASNFCRLQFFSPGSSRTRYLGIFLNQSPDDLRPVWIANRDNPIPDASGSLKIAPDGRLNIYSSGGSAIALSSAPSSAGGNVSVTLLDNGNLVLRELYFNGSFKQTLWQSFDYPTNTLLPGMKIGINLRTGHRWSLVSPVSQDQVPASGPFILGIDQNGTGQLMIWWREKVVWNSGIWLDGHFACANPDYINFTFVSDGDEKSFVYTSNTHNRSMTTYKLEPTCWITEEGLQVDLIGCYTIDDYNLSLFDCKATCVENCSCFAYASITDNETGCEFWSQSMHFMTTSGRQVFIRSESLKGWFLNVKFSLNTDDYSSTKWWPWLLVALGVLLAFGTLCWMLLRKYKARGTKKSLLDELGGRNMTPETNDGKANKDEIPKSLSKDLLLFSFDSITAATNNFSITSKLGEGGFGPVYKGKLEDGQEVAIKRLSKNSGQGVVEFKNEILLIAKLQHRNLARLLGCCLQADEKILVYEYMVNRSLDFLLFDSSKKELLKWSIRLNIIEGIAQGLLYLHKYSRLRVIHRDLKAGNILLDDSMNPKISDFGLARIFGMQESEAKTKRIVGTYGYMSPEYAIKGIVSMKTDVFSFGVLLLEIVSGRKNNSCYHSEHPLNLVGMAWELWKEGRALELMDPMLNGSCPENEVTRCIQVGLLCVQDRAIDRPSMSDVVSMLSNEAVQLPPPKQPAFFIETVPGDAEKDKEAICSLNGVSISATEPR >CDP12379 pep chromosome:AUK_PRJEB4211_v1:4:19244663:19250855:1 gene:GSCOC_T00035883001 transcript:CDP12379 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVYDNWERLVSATIRREELRLSALRTPSDVSSASLSSSSSFNFASSSTKVSSFNNSSRLPAVGKSFTYDQILLATDYFSKSNFIKHGRSGDLFHGVLEGGLQVVVKKVDLSVGSYLVNELEILGKVSHARFVPFLGHCFENGNNTFLVYKYMLNKDLSSCLSNRGIAPDGIKVDCQKSTSLSWATRLKIATGAAQGLCYLHHECLPPLVHRNFEARSILIDESFEARIGRLTEVSTEKKEKNQNRISRLLRLSKASEGGDAGSLNATYAYDVYCFGKVLLELVTGYPGFGDHNDLSINAGMEDILPYISTYDKELFVNIVDPSLEVDEDQLIELWAVAIIAKACLNPKPSKRPVMPRILEALEDPKSVMFSTCESPWPDGVLSTVAKTRATEGMTGVGTSQGSANTAFWDINLNNLRVYTFRELVDATKNFRSDSVLGEGGFGRVYKGLIDDKSTSKGGARSVIAVKKMNPESLQGFAEWQTEIRMLGTLSHPNLIKLLGYCWEDEKLLLVYEYMPRGSFGNHLFGRGSSVQPLQWELRLKILIGAARALAFLHALKKQVIYRDFKASDILLDGYYNAKLSDFGFAKMGPSDGQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVFGFGVVMAEVLTGLRALDLNRQQGKHNLVDWIMLHLSDKRKITSIMDSRLEGKYPIKAAVKMAQLTLRCLAPEPKARPPMKEVVDELEHIASAK >CDO98090 pep chromosome:AUK_PRJEB4211_v1:4:2742092:2743612:-1 gene:GSCOC_T00022068001 transcript:CDO98090 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTLHKLPTIDFSKEDLKPGTTAWIKIRKEVMSALEEYGCFVASYEKIPQLQDAVFGALEELFDLPSQIKMQNKSSKPMHGYVGQIPVVPLYESMGIDDANTSEGMQAFTYAMWPNGNEGFSEKLLAYTKLAAELEEIVVRMIFESYGVEKYYDNHLQSVGYLSRVMKYREAKENETKLGFVSHTDKSFMSTIHQNQVNGLEIKAKDGEYFSVDLSRSSVVVMAGDAIMAWSNNRIKSPHHQVIMEGKGPRYSIAQFSFMDGTVQTPEELVDDEHPLQFKPFDHLEYLSFFSKEENRRLECALKTYCGV >CDP14879 pep chromosome:AUK_PRJEB4211_v1:4:25548307:25553775:1 gene:GSCOC_T00042355001 transcript:CDP14879 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHEQKATRPEPKHRGIKAMPFVIGNETFEKLGTIGTSSNLLIYLTSVFHMKTITAANIINIFNGTCNFGTLVGAFLCDTYFGRYNMLGFASVSSFLGMLMLTLTAAIHNLHPPACGDGSICANPTLWQMAFLLGGFALLVIGASGIRPCNLAFGADQFNPKTEFGRRGINSFFNWYYLTYTFAMMVSLTIIVYVQSNMSWSIGLGIPTFLMFLSCALFFLGTRIYVIVLPEGSPLVSVARVVVAAIRKRKLELPEEPKQSLFRSFSTASSINARLAYTDQFRFLNKAAIITSEDQINPDGSAANPWRLCDIQQVEEVKCLLRVIPVWIAGIIYFVSVVQQQNYAVFQALQADRRFGKSKFKIPAASYIVFAMLSLTIWIPIWDRIVVPWLRKRTGHEGGLTILQRMGIGMALSILTMVVSGLVENKRRATALTKPTLGIEPRKGAISSMSGFWLTPQLVFSGLTEAFTIVGENEFFYQQCPENMRSIAMAFVFVGIAGSSYLSSLLSSIVQSITSRSNGESWLAEDLNMGKLDYFYYFIAVLELLNLIYFLVCAKYYKYKETDTTPEVAMEKVVQSEEKAVV >CDO97891 pep chromosome:AUK_PRJEB4211_v1:4:1326279:1329860:-1 gene:GSCOC_T00021830001 transcript:CDO97891 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFSISREACPTIKNILLLDSEGKRVAVKYFCDDWPTNAAKLAFEKSIFTKTQKTNARTEAEIAMLESNIVVYKFVQDLHFFVTGGDEENELILATVLQGFYDAVALLLRNNVDQREALENLDLILLCLDEIVDGGIVLETDGSIIAGKVATHTMDDGSPLAEQTISQALATAREHLTRSLLR >CDO98441 pep chromosome:AUK_PRJEB4211_v1:4:5598349:5599384:-1 gene:GSCOC_T00022531001 transcript:CDO98441 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHLMLPCVTASLVLLFVPCFLPIVQPQTIGNSQTGPTVSECVPRLLSLAPCEAFVQGTSASPPQTCCYNLRHVYNQELSCLCLMVNDSSISAFPINRTLALQLPVLCNLQGGLSACSGIAVPFPPSSPTSQVSFGTKTNATVAASPMITVSPTTGILGSIPHSVANLNAINHLVVALIAEMLLLGMTYTLEAWNIMFLTF >CDP12337 pep chromosome:AUK_PRJEB4211_v1:4:27533790:27537306:1 gene:GSCOC_T00035814001 transcript:CDP12337 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGSGAGSLLKVLANNFDVLAWPVVSLVYPLYASIRAIETKSPVDDQQWLTYWILYSMITLFELTFAKIIEWIPIWSYAKLILTCWLVMPYFNGAAYVYEHFVRPTFVNRQPVNIWYVPRKKDVFSKPDDILTAAEKYIQEHGTEEFEKMIHRTDKNTTYSSNDYNNYSYYDDDYRY >CDP20575 pep chromosome:AUK_PRJEB4211_v1:4:8919066:8919467:-1 gene:GSCOC_T00000098001 transcript:CDP20575 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEQKIPEIVVLNSGHKMAVAGLGCAAHPLPPLEQLVTTFIDAMEIGYRHFDTAACYGTEEALGRAVAKALEIGLIKSRDELFITYKLWCTDADHDLVLPALKRTLGYFPHLLQFERSSFCIFWLNLILPK >CDO98092 pep chromosome:AUK_PRJEB4211_v1:4:2754667:2761703:-1 gene:GSCOC_T00022071001 transcript:CDO98092 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGPSGSLRGSLRANSSALWRNTGLEVFSRSSRDEDDEDALKWASLEKLPTFDRLRKGLLFGSRGEVNEVDVENFGFQERKTLIERLIKVAEEDNEKFLMKLRNRIDRVGIDLPTIEVRYEHLNVEAEAYVGSSALPTFLNFATNMIEGVLNNLHILPSRKKNIKILRDVSGIIKPSRLTLLLGPPGSGKTTLLMALAGKLDPDLKSSGRITYNGHGMNEFVPQRTAAYVSQHDLHIGEMTVRETLAFSARCQGVGSRYEMLAELSRREKAANIKPDPDIDIYMKSAATEGQEATVVTDYVLRILGLEICADTLVGDEMLRGISGGQKKRVTTGEMLVGPTNALFMDEISTGLDSSTTFQIVNSLRQYVHIMKGTAFISLLQPAPETYDLFDDIVLLSDGLIVYQGPRENVLEFFESMGFRCPDRKGVADFLQEVTSKKDQRQYWARRDEPYRYIAATEFAESFQSFHVGRTLSNELATPFDKSKSHPAALTTQTYGVNNKEIFKANSARELLLIKRNSFIYIFKLSQLIIMGLIGMTVFFRTKMPRRDVADGGIYLGALFFVVVQVMFNGMAELAMTILKLPVFFKQRDFRFFPAWSYALPTWILKIPITFLEVAVWVGLTYFVIGFDPSPARFFKHYLILVAINQVASALFRFIGAASRNMIVANTFGTFALMLLFALGGFVLSRDQVKKWWLWGYWSSPLMYAQNAILVNEFTGKHWRQIVPNTTETLGTQVLKSKGFFPQSYWYWIGLGACGGFILLLNFFYTLALTYLQPLGKPQAVLPEESENTENSGQRDGSVDAITESNENQRRGMILPFEPHSITFDDIRYSVDMPQAMKDQGAVEDKLMLLKGISGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNVTISGYPKNQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLPAEVDAKTRMMFVDEVMELVELNSLRDGLVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGQEIYVGPLGHNSIELIKYFEAIDGVSKIKDGYNPATWMLEVTASAQELMLGADFAEIYKNSDLYRRNKALIHELDSPRPGTKDLYFPTKYSQAFPVQCMACFWKQRISYWRNPPYTAVRFLFTSFIALSFGTIFWKLGKRKETSQDLINAMGSMYAAVLFLGVQNSSAVQPVVAIERTVFYRERAAGMYSALPYAFAQVGIEILYILKQAVFYSLITYAMIGFEWTAAKFFWYLFFMYFTLLYFTFYGMMVVSVTPNHNISAVVASAFYAGWNLFSGFLIPRPRIPIWWRWYYWGCPVAWSLYGLFVSQWGDLSDRLEDNGELIKDHLRSYFGYKHDFLPAVAGVVVGLPVIFALIFAYGIKTFNFQRR >CDO98225 pep chromosome:AUK_PRJEB4211_v1:4:3771092:3772438:-1 gene:GSCOC_T00022250001 transcript:CDO98225 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQSVKSLAETYGLISGNRNIIFGKYEMGRLLGQGTFAKVYYGRNLNTSESVAIKVINKDHVREDGMMEQIKREISVMRLVRHPNVVEIKEVMATKRKIFFIMEYVRGGELFEKIAKGKLKEEVARKYFQQLISAVDFCHSRGVSHRDLKPENLLLDDDGNLKISDFGLSALPEHLRNDGMLHTQCGTPAYVAPEVLRKQGYDGAKADIWSCGVILYALLAGCLPFRDENVVKMYRKVFKAQFEIPPWFSSDARRLVTKLLVADPGKRISIPAIMRVPWFLKGFTRPTAFTIQEPGQDNLDQKDDVNTEANLVKTKSSPPFYNAFEFISSMSSGFDLSSLFENKRKSGSLFTSKSSASVIMGKLESLAKKLNYKIVGAKEFALKMQGVSEGRKGKLTVKAEVFEVAPEVAVVEFSKSAGDTLEYKKFCDEDVRPALKDIVWSWQGET >CDP12701 pep chromosome:AUK_PRJEB4211_v1:4:11366093:11366220:-1 gene:GSCOC_T00037300001 transcript:CDP12701 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIFLDSGLQLISARLQFACFIGGSLFSC >CDO98430 pep chromosome:AUK_PRJEB4211_v1:4:5497277:5499474:1 gene:GSCOC_T00022516001 transcript:CDO98430 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYVGVGGDSFFNSSSSSSMAAACPNGVVCPKPRRLVNNDPINVRPSRIVHTNNQQMESCESRAGSELLDIILAKGNYGAGAEKPNFQVASSPPFFNGSPPVRASNPLIQDEQFGIGKMSPLSSPPMGSSASPPSRKNSGGGSSGRVKCGNQPAPVRIEGFNCRGISAVMA >CDO98014 pep chromosome:AUK_PRJEB4211_v1:4:2268528:2271861:-1 gene:GSCOC_T00021979001 transcript:CDO98014 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G16170) TAIR;Acc:AT3G16170] MSSLNFYQHVHGLFNALLAPLYAGSVVEFMPKFSVRGIWQRWRESYPRKETKVDDAITVFTGVPTMYTRLIQGYEAMDPELQAISALAAGQLRLMMCGSSALPLPIMQQWEAITGHRLLERYGMTEFVMAISNPLRGVRKGGTVGKPLPGVQVKILAEDGSDDDKSGVGELCVKSPSLFKGYWKLPTVTEESFIDGGFFKTGDAVRVDEDGYYIILGRTNADIMKAGGYKLSALEIEAVLLEHPVISECCILGLPDRDYGEAVCAIVVPDAEVKRKMEEELKPALSLEELSTWAKEKLAPYKLPTRLLLWESLPRNAMGKVNKKDLQRKLAADVQ >CDP19586 pep chromosome:AUK_PRJEB4211_v1:4:13915450:13917123:-1 gene:GSCOC_T00012779001 transcript:CDP19586 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISLVVERTGDLLVQKIVFLKGVRGHVERLQNDLVRMRCFLKDADQRQDEDARIRNWVSEIRAAAYDAEDIIEIFASKLEFFTKDKGLVTKLTYYPLKIVNLYKIGKEIESLRMRLNDIADSREEYGINNLGEGMTTHGEELQRIRRSSPFSEEKDVVGFGEITKSLVAELLKEDKNRRVVSIVGMGGAGKTTLAKKVYNHADVRARFDCRAWVCVSSSYNHKETLRTIIKQLYPMTNELLDMLEKMQEQDLEQRLYQDLQDKCCLVVLDDVWKEEAWDCLAKAFPDVNTSSSRLLLTSRNRDVAQHADARSKPHELKTLGEEDSWQLFLGKALDHGANVGCPRDLEEVGREIVRRCAGLPLAITVVGGLLLGKKELKIEWEKVLNSFNTNLSKSQSGVSAILELSYADLPPNLKFCFLYLGLFPEDSVISVPKLIHMWVAEGIMQKRDAKNLEETAAYDVEQLFSRNMVQVAEMTVDERIKSCRLHDLLRDLAIRKAEDENFFQIHGTRDDKISAKPRYLAVHSLSLDKNYFGTSTSPVSAFFQCPLLQEKH >CDP12397 pep chromosome:AUK_PRJEB4211_v1:4:19760582:19763696:1 gene:GSCOC_T00035912001 transcript:CDP12397 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISLVIKRTSDLLVQNVVFLKGVRRQVDSLKNDLVRMRCFLKDADQRQDEDERIRNWVSEIRAAAYDAEDIIEIFANKVEFFTKNKGLVTKLTYYPLKIVNRYKIGKEIESLRMRLKEIADSREEYGIKNLGEGMTAHGEELQRIRRSFPLSEDKDVVGFGEITKSLVAELLKEDKNRRLVSIVGMGGAGKTTLAKKVYNHADVRARFNCRAWVCVSSSYDHKETLRAIIKQLNPITNELLDMLEKMQELDLEERLYQDLQDKCYLVVLDDVWKEAAWDCLARAFPDVNTSSRVVLTSRNRDVAQHADALSKPHELKTLGQKDSWQLFLKKALGHGANAGCPPDLEEVGREITRRCDGLPLAITVIGGLLLAKKKLKSEWETVLNNFNTHLSGGQSGVSAILELSYADLPANLKFCFLYLGLFPEDSVISVRKLIHMWVAEGIMQKRDAVNLEETAAYDDVERLCSRNMVQAAEMTVDERIKSCRVHDLLRELAIRKAEDENFFQIHDTRDNEISAKSRYLAVHVLPLDKNYFGSSTPPLRSLLCFNIRRGYGKDISLSSKSFRKLRILDFENVWMGYNLLKGIGEVRLLRYLKVKGTFIGSLPHSFGRLRNLQTLDITFNRMRVSNFLWKLESLRHLYARYMECDVPLKIEGLRNLQTLSGIRFDDIMHNNMITLTSLQKLGIWVDERSEIDKLCMHLSEVGSLKTLHLYCADISLWPSLAGLSKLHHVTELKLSGSGLRMLDFPPNLSRLSLKDTFLWNDPMPVLEKLGQLSFLKMKDAYKGPQLVISRQGFHQLKFLELNRLHDLHEIKVEEGALPQLQRLRIRDCPKLEQLPEELKHMSTP >CDO98139 pep chromosome:AUK_PRJEB4211_v1:4:3186681:3190300:-1 gene:GSCOC_T00022142001 transcript:CDO98139 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPHLARRFGTATHIVAAAITCTESVNSVREKPVSNVVREPIELDEPALVKLKKERDPEKLFNLFKANARNKLVVENRFAFEDTVSRLAGAGRFDYIENLLEHQKILPQGRREGFIIRIIMLYGKAGMFKQAVNTFFDMHLYGCQRTVKAFNAALKVLTLSRDLEAIESFFREAPLECGVKLDVYSVNIVIKAVCEMGILDRAHLIMLEMEKLGIRPDVVTYTTLISAFYKFNQRHIGNGLWNLMILKGCLPNLATFNVRIQFLVNKGRSWDANKLVGLMHHLGLKPDEVTYNLLIKGFCRAGFLDMAKRIYSHLPDRGIQPNVKIYQTMIHYLCEANDFDLAYTMSKKSMEKNWFPSIDTILKLLEGLRKTGKIDKAKFVISLAKKRTPPFSAHQMNTMQSMLTRKYGNIQTETKL >CDO97931 pep chromosome:AUK_PRJEB4211_v1:4:1632316:1634518:-1 gene:GSCOC_T00021880001 transcript:CDO97931 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIVVVFDFDKTIIDLDSDNWVLDELGFTDLFNQLLPTMPWNSLMDNMMGELHANGKTIQDIEEVLKRVPIHPRIVPAIKSAHALGCDLRIVSDANLFFIETILNHLGIRGCFSEINTNPGYVDENGRLRILPYHDFHSASHGCDRCPPNMCKGMVMERIQASIAKEGKKRFIYLGDGIGDFCPSLKLKEGDYMMPRKNFPVWDLICKNRMLLKAEIHEWNDGEDLERLLLQLINSIFAAEESLSQLLSTDCKFQTIPMSVHQALPQALSVPQ >CDO98392 pep chromosome:AUK_PRJEB4211_v1:4:5099420:5101342:1 gene:GSCOC_T00022469001 transcript:CDO98392 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNTMLARSFSWHEQRKLGSWAFVGSLVIAATIFTVFKPYMSSNPPVLNLHLQLSTGASLNMLMRSKEAAVEPRQSYFDTKEVKPMCNLTRPRSDFCEMNGDIRIHGNSSKIFIASTPTQMPVGKEIWNIKPYARKEDGTAMGRVRRITIQPAQVLEGLPDCSRNYNIPAVVFSVGGYAGNAFHDFSDVLIPLYLTSMEFNGEVQFLITDHHSWWTDKYQPLLQKLSKYDFIDIDQESRVLCFPRVIVGLKATNKELGIDSSESSYSMTGFRQILRSAYSLKRERVEKFRNDKKLGKRPRLLLISRSQTRRLINTRQIAKMARTIGFNVVIKETGSNVSLVSELVNSVDVMVGVHGAGLTNMVFLPEKAVVIQIIPLGDMEWIARTFYQEPERDMNLWYLEYKISPNESSLIQQYPHDHEIFKDPGAISKIGWRSFRSVFLDKQDVDLDLNRFKEVLLRALEFLHG >CDO98535 pep chromosome:AUK_PRJEB4211_v1:4:6513699:6515141:-1 gene:GSCOC_T00022667001 transcript:CDO98535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol 3-O-glucosyltransferase UGT89B1 [Source:Projected from Arabidopsis thaliana (AT1G73880) UniProtKB/Swiss-Prot;Acc:Q9C9B0] MSQEKAHILIFPYPAQGHMLPLLDLAHQLSIRGLDITILVTPKNLPLVNPLLCRGPSIQTLVLPFPSHPSIPPGVENVKDLPVGGFRTMMYTLRNLHNPLKEWFKNHVSPPTAIIFDMFLGSANRLADELSIPGYVFSPSGGLALSVIYSLWRHMPKRKDPSSDEENIPFPVPNCPSYPWWQLSPVYRSFADNGTDLISKVIKENFDGDMASFGLVINSVSELEGVYFDHLKDFLGHPRVWSVGPVLPPSGDASGPAERGGASSISPGEILTWLDQIEKDHSVIYVCFGSQAVLTNEQMEALTLGLEKSGAKFILSVKGATQGHDESEKYGLIPPGFEERAAGRGLVIKGWAPQVLILRHSAVGAFLTHCGWNSVLEGIGAGVPMLAWPMGADQFSNATLIVNELEIGVRACEGDENVPDSDELAGVLAKAICGFQEERKRAKELQKAAFDAVQEGGSSFKNLDSLALHFSEEASKRRSS >CDO97814 pep chromosome:AUK_PRJEB4211_v1:4:697881:710170:1 gene:GSCOC_T00021724001 transcript:CDO97814 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDTPLDYVAFQLSPKRSRCELVVSSGGNTEKLASGLVKPFVANLRVAEEQVAMSVHSIKLEIERQQNAEVWFTKGTLERFVRFVSTPEILELANTFDTEMSQLESARRIYSQGTGQQLSGSGGLGSGAAAAADATKKELLRAIDVRLLAVQQDLTTACARATAAGFNPDTVLDLQMFADYFGALRLNEACGKFISLCERRPDLILTWKAGGDDPAIRSSYGSDMSVDDEPTSPDSLRFGSRQPPRHEQQHSGQQQETDASQKYQHPNLATTLKPSFSLRKSGEASTEPEERSKQNDPLATEKEKKKEMSQPSRRLSVQDRINLFENKQKENSGGKPAVGKSIEIKRLSSDVSSSASAAAVEKAVLRRWSGASDMSIDLSGEKRDTESPLCTPSSSEIVEERQSAVSSDKSGEASEGGKSNSTLGVIGVTAWKDQTRGKTQSRSFLNRAEDSRLDDLANSEPKFRSLPSGKAEEGRSDNQPKFKGPEKRDDLVKTEGQVLSEAQVAGHKDKGTSQPQFGYFAGKGIELSDQKEVGIRDDSLAQTYSRAPQRPVGKYAPQEGGSGSRIRDAFAAQHKGVAGKVSSSQLRFESCLETEDIQKKELASAEKNSGVTAIKLEGTGSERMKFDKQITASELIKKTQGRKDDSVPVYRSNMASFHSKVATENQDGFDSFSTPPPEHVRVRQSKGNQELNDELKMKANELEKLFAEHKLRAPGDQSNTTWRTRPIDRQNDSPAKPCRKSSADTDTTHLSHDGTLSEPAESSKNLAKFSDKFSELNFPDGSRGKFYERYMQKRDAKLREDWSSNRAEKEAKLKAMQDSLERSKSEMKAKFSGSSDRQDSVFSARRRAERLRSFNTRSIMRREQQQLDFGHSDDEGASDFPEKKLYREDGSFTETSIVDGLPKSKKSLPTKSLSSSTPRMTAAPVPRSATRASSISGRRKMQSENPLAQSVPSFSDLRKENTKPSFTASRTTRPQLRNYTRSKSANEDTSFVKEEKSRRSQSLRKSLVNSAECKEPSPLNSEGISLTTQNFYKDENEQNSSFKYSKTSESKSFLKKVSGMDLGARTTFALQKTKMASDITNDEDDFDDLAFEAEDSADLVKDEEEEFETAVTKHQSEPELDQESLKLNFGSENGIVRSFAQVDSSLVAELAAAVPSGFHPSENVQDSPGESPVSWNSRTHHSFAYSHEMSDVDASVDSPVGSPASWNSHSLSQTETDAARMRKKWGAAQKPMLVGNSSNNQSRKDMTRGFKRLLKFGRKSRGAETLVDWISATTSEGDDDTEDGRDTANRSSEDLRKSRMGSSQGHPSDDSFNESEFFNEQVQSLRSSIPAPPPNFKLREDHVSGSSIKAPRSFFSLSSFRSKGSESKPR >CDP14878 pep chromosome:AUK_PRJEB4211_v1:4:25530894:25541371:1 gene:GSCOC_T00042353001 transcript:CDP14878 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTENQATSNGPNYRGIKAMPFVIGNETFEKLGTIGTSSNLLVYLTSVFNLKSITATNIINVFNGTCNFGTLLGAFLSDTYFGRYKTLGFASVSSFLGMLVLTLTAAISKLHPPQCGSGGEEAGRCFGPTPWQMAFLFSGFGLLVVGASGIRPCNLPFGADQFNPNTESGKRGISSFFNWYYCTYTFAVMISLTTIVYVQSNVSWSLGLAIPTILMFLSCAVFFAGTRIYVTVIPMGSPLTSISQVIVAAFKKRQVELPKQPWISLFNHVPSNSINSRIAYTKQFRFLNKAAVITSNDSIKADGSTADPWKLCSIQQVEEVKCVVRLIPMWAVGLIYYVSVVQQQNYAVFQALQSDRRLGKSNFHIPAASYIIFAMLAITIWLPIYDRILVPWLGRLTGKEDGLTLLQKVGIGLIISVFALLISGFIEDRRRIIALTEPTLGSVPGKGAISSMSAMWLIPQFALSGLSEAFALIGQNELFYKQFPENMRCFASAFLFVGFAGSSYLSSFFSSMIHRTTEWLGDDLNKGRLDYFYYLIAALEMFNFVYFLICAQWYKYKGSDSNPGEMTLEMNHNNQHEQIPALRAMKNHGQKQKDTKHEPNYQGIKAMPFVVVQLHVLKRIFLILILEYVVSKNIDDFLLMTLVVQGMLMLTLTAAVSELHPPACGEGSRCAGPSPGQIIFLISCLLLLVVGASGIRPCNLAFGADQFNPNTESGRRGITSFFNWYYLTYTFAMMVSLTIIVYIQSNVSWSIGFAIPAFLMFLSCAFFFLGTRIYVCVLPEGSPMSSVAQVVVAAIKKRKLKQPDDPKVSLFNSFSTASSINSRLPYTDQFRFLDKAAIITPEDEINSDGSPANPWRLCNVQQVERVKCILRVIPIWVACIVYFISVVQIQNYVVFEALQADRRFGTSEFKIPAASYIVVAMLALTIWVPIYDRLIVPWLRRVAGKEDGLTILQRIGIGFAFSVVTMIVSGLVESKRRSIALSKPTIGFEPKKGAISSMSGLWLSLPLVLSGISEGFAIIGENEFFYKQFPESMRSIGMAFIFVGTAVASYLSSLISSIIQSITGRSHGESWLAEDLNKGRLDYYYYLIGILQFLNLIYFLVCARWYKYKEAEDVSEVAVVKLQSEEKEIV >CDO98311 pep chromosome:AUK_PRJEB4211_v1:4:4497869:4505601:-1 gene:GSCOC_T00022365001 transcript:CDO98311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase PHS1 [Source:Projected from Arabidopsis thaliana (AT5G23720) UniProtKB/Swiss-Prot;Acc:Q75QN6] MGSEKIENLHHFQKEEEDKELDPESEEPEAPLPLTVTSRVLYMLGDITAGPAYRFAQWLELVRKRSGKYRSSGFPHRQHRADIMPLSSGESKSDVRSSPPEQATEVSLWERLGKAAMLDIESSSFSWNMLSSLHHTEHGSGTTEQSEDEMNKAVEVTVNSGGVVFFALFNQPENDESSFKEAAAVIKIASSRMATQSERLGYEFAKWLGVRTPQARVIHHCSSEWLQIKEAAEKAKDAAISEGDEAGEITCSELLEALELSRCLLLMNYIHGSPLLESSNAFESREAAEKIAAALGRVLMLDLVIRNEDRLPCRSLRWRGNSANLLLADKIATANMDALEVAFDSAINRYRPKVIRALQKERRATSVDSRLTPPNSVLVSQSSDISDITESPISSNVGIKIQTSTEITGPHFHIVAIDSGVPRRPPAGKRANDQENYPKLVELLINSPEYTSNLLYELSAGRIGCPPEDSDAMTGSSLTDPTSVIHAFRSGFRAALRDLQGFHIFLLTLHQKLDTLLRTFINITNKASGDLDQEDFMVPQSPSQAGGVGFHLPSPSSKERSASESNSDLNEYESHNTASKSSGCRDSPDSAPPHLRDGWHGKSSKGGGEPIHSLRLTSKLRDFHKFAKVDVELNKELEQWNEMLRNDTIKLCQENNFNTGFFEGSDTNCVVDAYELKVRLEHILERISLISDAANTEKPSPISGSLYIGGALAARSLYTLQHLGITHILCLCANEIGQSDSQFPELFEYKNFSVCDNEDTLINDIFEEAHDFIDHVEQTGGKVLVHCFEGKSRSATLVLAYLMLRKNFTLLEAWNALKRVHRRAQPNDGFAKVLLDLDLKLHGKVSMEWQQRKPTMKVCPICGKNAGLSSSSLKLHLQKSHKKLSSGSVDSAMTMEIQKALDALKISRGGSVSPTERQSRSMIDELEH >CDP17006 pep chromosome:AUK_PRJEB4211_v1:4:15624906:15627843:-1 gene:GSCOC_T00011374001 transcript:CDP17006 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAGTTQKCKTCEKTVYLVDQLQADSKVYHKSCFRCHHCRGTLKLSNYSSFEGVLYCKPHFDQLFKMTGSLDKSFEGAPKTARADRVANQGQTNSKVSSMFAGTQDKCVACKKTVYPLEKVAVDGTSYHRACFRCSHGGCMISPSNYIAHDHKLYCRHHHSQLFKKKGNFSQLEEHEQVELATENGKA >CDO98264 pep chromosome:AUK_PRJEB4211_v1:4:4056418:4058921:-1 gene:GSCOC_T00022297001 transcript:CDO98264 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVELGKAVPPAYKNKDAAIHLSTLPSSSTSYTPMGSYSGLDEAEQRLLVVDVNENEHGSGNESSDAKIQIRELSKVSDKSVPILNKVNVDIPRGMIMGVIGPSGSGKSTFLRALNRLWEPPSKTVFLDGQDIGDLDVLALRRRVGMLFQLPALFEGTVADNIRYGPQLKGKKLTDNEVYKLLTLADLDSTFFGKSGGELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNIEDVLVKLKKEKGMTIVMVSHSIKQIQRIADVVCLLVGGEIVEILKPDKLSEAKHPMALRFLELSN >CDP14839 pep chromosome:AUK_PRJEB4211_v1:4:24952405:24955439:1 gene:GSCOC_T00042305001 transcript:CDP14839 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGSKARGYSEILLQLIFPYKVKCYPASEATMFAPQMYFSDVRLLLLLV >CDO98012 pep chromosome:AUK_PRJEB4211_v1:4:2250850:2255186:1 gene:GSCOC_T00021977001 transcript:CDO98012 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEMKNHSVISPSESKVEKETGNPSSGIGDENDTDMERLTARSRKGGLITIPFIIANEGFEKVASYGLLPNMILYMMNVYHLGFAKANYILFLWSAATNFTPIIGAFLADSYMGRCLTISLGCIVSLLGMMLLWLTAMIPGAKPPTCSPPLPCKSATPAQLFFLIASFGLMSIGAGGIRSASMAFGADQLDKRDNAGNQRALESYFGLYYAATTLSVLVALTGIVYIQDHMGWKVGFGVPVILMFLSVLIFFLASPIYIKKAATTSLFTGFAQVVVAAYRNRKLALPPPESGYYHHEKGSSYMVPSGKLRFLSKACVLQNPEDVTPSGAASNPWNLCTVQQVEELKALIRAIPIWTTGIMMSINISQSAFPLLQANTMDRHLTSSFEIPAGSFGVFMIITITVWLILYDRVLLPLASKIRGKPVRVGTKFRMGAGLFMTAMAMAVSAIVEHIRRRKAIDQGFLNNPRGLVNMSAMWLVPQNVVAGLAEALNAIGQFEFYYTEFPKSMSSVAASLFGLGMAVGSLLASVLLSAVDKCTKREGKESWVSNNINKGRFDYYYWLLAIMSFINLLYYILCSWLYEPCADQVKKGEVEEKEKELEQRSLRPDSPFRTDATA >CDO98214 pep chromosome:AUK_PRJEB4211_v1:4:3690157:3691131:-1 gene:GSCOC_T00022234001 transcript:CDO98214 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKTTRKEACDLSHETSASSSSSSTIASLSDTNSLACPNNSSSMDSPKLEEVQHQNHKQEDDDEDVKTPHLALDLSLASAKDLKPELNLIDCLHNSMGVSSQINHPSSTSASTDAINPLGVNNNSASNNQEPERRVFSCNYCQRKFCSSQALGGHQNAHKRERTIAKRGNRIGGSTGGASSSSAAAAFGHDSLLQRYPSMASLPLHGSFNNNRSLGIQAHSMIHKPAYFASNHVGFSPMYGQAGWSRKPLDQQPAIGRLAPENYHMGSSSIGGAARFDTNINQKFSTPVVEGIGVSYKWDSGPPPNLKTSQEELKKLDLSLKL >CDP14896 pep chromosome:AUK_PRJEB4211_v1:4:25830447:25835535:1 gene:GSCOC_T00042383001 transcript:CDP14896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT3G48150) UniProtKB/Swiss-Prot;Acc:Q9STS3] MASKETCRQELRTAIRQLSDRCLYSASRWAAEQLVGIEQDPAKYTPSHTRFQRGSSSIRRRFRTDGAGDAASAFNSTPVAGVSFISTPPASEEENDAVESDFYLLAKSYFDCREYRRAAHVLREQTSKKAVFLRCYALYLAGEKRKEEETMELEGPLGKSDAVNKELISLERELSGLRKCGTIDSFCLFLYGLVLKEKGNEGLARVVLVESVNNYPWNWSAWSELQSLCTTVEILNGLHLVNHWMKDFFLANAYQELRLHNESLAKYEYIQGTFSFSNYVQAQIAKAQYSLREFEQVEVIFEELLRNDPYRVEDMDMYSNVLYAKECFSALSYLAHRVFLTDKYRPESCCIIGNYYSLKGQHEKSVMYFRRALKLNKNYLSAWTLMGHEYVEMKNTPAAVDAYRRAVDINPCDYRAWYGLGQTYEMMGMPFYALHYFKKSVFLQPNDSRLWIAMAQCYKSEELHMPEEAIKCFKRAVNCNDREAIALHQLAKLHRERGRFEEAAFYYKKDLERMEAEEREGPNMVEALSFLARYCKDQKRFEEAEVYCTRLLDYTGPEKEEAKSLLRGIRSAKDVELFPP >CDO98292 pep chromosome:AUK_PRJEB4211_v1:4:4271252:4275332:-1 gene:GSCOC_T00022339001 transcript:CDO98292 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSKCQFIFLATLLLVDTIQSFLMSETDENEVKTLVYLSPKFELGPGSVINKYYYDIDFPRGHIAVKSFDAEVIDEAGNSIPLYETYLHHWVAVNYYQRRGVETPKYHSRVGFQKSDYISAGNNGVCAQVLSQFFGLGSETRKTSNNVPDPYGIEFGNPAVIPAGYDEKWLLNVHAIDTRGAENRLGCTECRCDLYNVTVDEYGHNLDPSYVGGLRCCYDETRCRLKEGFQGVKRSLYLKYTVTYVDWHSSIGAVRVYIFDVTDRWKKSDGISSRHDCLIEYDVESCPAGVTKGGCVHTKSVSIILPAGGNVIYGVAHQHTGGVGSTLHGEDGRVICSSLPIYGEGKEPGNEAGYIVGMSTCYPQPGSVKISNGETLTVKSYYSSEQGHTGVMGLFYILVADSDSSAKLNSSQHAPVGIHKRVLTLDFIVGMALLGIAVLAAVIVAYQRRNQRGDNYEPITM >CDO97761 pep chromosome:AUK_PRJEB4211_v1:4:325849:329605:-1 gene:GSCOC_T00021651001 transcript:CDO97761 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPVKSSTKLLYLHLIIINFWVVLLNGQNATKVDVGVILDLDTLVGKISKTSMLMALEDHRSNNVQDNTTIRIVAHLRDSKSDSVEAASAAIDLLKNVQVEAILGPQTSAQADFIIDLGNKAKVPVISSAASPSLSPKESPFFVRAAHCSSSQAKAIAEIIKTFGWRRAVLVYEDSRYGSGIAPFLTDAMLESNTIVSYRSVISPAASDDQILEELYKLITMQTRVFVVHLLPSLASRLFLKANEVGMMSQGYAWIITEALTSLLDTVKPAVVDSMQGVLGLKPHVPRSSKLDIFTKRWRKRFREENPEIDRFELNIYGLWAYDTVIALAKATEKAVNMAQPQSKKKAVINGKNLSDLDMIGTSGMGAELIESVRNIRFNGLSGDFHIIEGQLQPSAFEIVNVIGKGERKIGFWTETYGISDKLKPNEVQLVHESSKDNIGIIIWPGESNIVPKGWEMPTGHEKKLRVGVPVKNGLPEFVKVEKDPLTNAVIATGFCVDVFKEVMMSLPYAASYDFIPFETPDGDSAGDYNDLVYQIYLENYDAVVGDVTILANRSRFVDFTLPYTESGVSTIVRIKDDERKNAWIFMKPLTMDLWLTTGAFFIFTGFVVWVLEHRINEEFRGPPGKQVGMIFWFSFSTLVFAHKEKVMSNLSRFVVIIWVFVVLVLTSSYTASLTSMLTVQQLQPTITDLFDLIKNGEYIGYQTGSFVTELLKSKKFDASQFRNYNTFEEYDEALRKGSRNGGVDGIVDELPYIRLFLAKYCRKYTMVGPTFKTAGFGFAFPKGSPLVPDVSRAVLNVTEGDKMKRILKEWFGEETDCSEQYGAVATSDSLTLDSFKGLFLIAGLSSSLALAIFLLIFFYENRGVLVSNGSVVQKLSAMAKIFDEERKDLSRAAKKQGTGAEAAVVNVVSLGSNHEFAPSPAISFFHHHHQHHEEEGVCSHDEGFSIATEPASPIHDAIVIVTETAEER >CDP16404 pep chromosome:AUK_PRJEB4211_v1:4:18004460:18005561:-1 gene:GSCOC_T00018266001 transcript:CDP16404 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISKLEGITSIALDPSKSTVTVIGEADPVCIIKQVRKFSRTAQLTSIGPPKEEKKDDKKDALPSLPKTCQRCDVWYVVSDDYFGHCNIL >CDO98662 pep chromosome:AUK_PRJEB4211_v1:4:7946351:7949828:-1 gene:GSCOC_T00022831001 transcript:CDO98662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19940) UniProtKB/Swiss-Prot;Acc:Q941D3] MATACALTLTPASAAVIRAPRLQLVRSNKSLKVLPLNQRGAGRIHASLSTAAPLSSAKPDDLVASILSKVMQTDRGVLLTRNEHDKVAEVARDLQQYCVDEPVKCPLIFGEWDVVYCSNPTSPGGGYRSAIGRLFFKTKEMIQVVEAPDIVRNKVSFSLLGLVDGEVSLEGKLNVLDEKWIQVVFDAPELKVGGLEFRYGGQSEVKLEITYIDEKIRLGKGSRGSLFVFQRRK >CDP11316 pep chromosome:AUK_PRJEB4211_v1:5:13864043:13876787:-1 gene:GSCOC_T00033498001 transcript:CDP11316 gene_biotype:protein_coding transcript_biotype:protein_coding MASPADNSGGEDIEHGGGVAVEMTATAATESLSPSSSPMEDAISISDEHITPLLNQSQRPRVNIFSVSHSRRRPVKEQITRLAETETSPFVQFTVWIWDGSRYSGMLCMALSSIIYCIMEVLSDVFTAQSIPLFEMAFTRCTVILILSFVWLKRSRQPIFGTSSVRKLLVLSAFMGYLSLLSFIYCIQRVPLSQAIMLNFTTPIVAAVAARVILHEKLKIAEIGGLACSFFGVLFIFRPVLNIQGSTEAGEANISYVKGSNHLYAVLVGLVSSTVGGVSYCFIRAGAKAADQPLLTVFAFGLFATPAAAICAFIFEGFVLPGFYTLLLMVILGSLAFLAEITVARALQLEKTSKVVNILYLQAASTQLLGMSLSRIVPTFGRLVGCTLILISACCTMYVGPEKEVD >CDP06157 pep chromosome:AUK_PRJEB4211_v1:5:24117473:24136526:1 gene:GSCOC_T00021553001 transcript:CDP06157 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTRNDEGEGGGPRRSYTRLPTYLDQNDGDRNVADSELVPSSLAVIAPTLRVANEIENDNPGIAFHCRFRAFERAHRMDPSSTGRGVRQFKTYLLRKLERDEEQGRGPETDPRNVLRYYKSYYEQNIREGQYTKRPEEMAKMCQVATVLYDVIKAMLPSHRIDPEIHRYAEDVEQKREQYEHYNILPLYAVGIKPAVMELPEINAAHRALQNVVNLPPIRMPENKYKSVNDILEWLYLVFGFQKGNVANQREHLILLLANMDIRDRVDDHYELLGDDSVQRLLDKIFKNYQSWCKYLHWPSNLIFPDGSNKQQLQLLYIGLYLLIWGEASNIRFMPECLCYIFHNMAKEVQGILFDDTHAVTGGAYQVASRSEEHFLQEVITPLYDVLRKEVRRNRNGKASHSVWRNYDDLNEYFWSGKCLKLDWPLDKKSHFFVHSDDKQPENVSGGHHVGARKRKPKTNFVEVRTFWHLYRSFDRLWIFFILALQAMIIVAWHGDGSLAGIFDADVIRSVLSIFITAAVLNFLRATLDIVLSLRAWRSLKCNQIFRYLLKFAVAAFWVVVMPVAYSRSVENPTGLVRFFSNLGGSWLYQSLYNYCVAIYLIPNILAAVLFLVPYLRRTLERSNKYVIILLMWWAQPKLYVGRGMHEDMFSLLKYTFFWIMLVISKLAFSYYVEILPLVEPTKVIMDLRVGNYEWHEFFPHGNYNIGVVIAIWAPIILVYLMDTQIWYAIFYTIIGGIYGVFSHLGEIRTLGMLRSRFEAIPSAFIDCLVPSSEEEIKQRKQETSLDRKSVSKFSQVWNEFILSMRMEDLITNRERDLLLVPYVASDISVIQWPPFLLASKIPIALDMAKDYKGKDDIDLFNKIASDDFMRSAVTECYQTLGYILESLFRESEDKQIINWIRHEVHESMKGGRFLSEFQMSGLPFLNDKLERLLFLLTTDHEDRELYKSQVVNVVQDIVEIITHDIMYKGHEIVSRGPPGNQNERKEQRFENMNFELTQHRDWREKAVRLHLLLTVKESAINVPTNLEARRRITFFANSLFMNMPSAPKVRNMLSFSILTPYYREDVFYSEEELNQENEDGISILFYLQKIYPDEWRNFEERLNDSKFGYTERDRPELIRQWVSYRGQTLSRTVRGMMYHREALELQCFLDDANENEIFASYRAVVRHESQRKLKRRSQALADLKFTYVVSCQIYGAQKKSSESRDRSCYVNILNLMLAYPSLRVAYIDEVEDTIAGKSVKHYYSVLVKGGNKLDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEELLKIHHGQRTPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKIINLSEDIFAGYNSTLRGGYVTHHEYIQVGKGRDVGMNQISQFEAKVANGNGEQTLSRDVYRLGRGFDFFRMLSFYFTTVGFYLSSMVTVLTVYIFLYGRLYMVLSGLERRILEDPTVRQSKGLEEALATQSVFQLGLLLVLPMVMEIGLERGFRNALGDFIIMQLQLSSVFFTFHLGTKAHYFGRAILHGGSKYRATGRGFVVFHAKFAENYRMYSRSHFVKGLELLILLIVYEVYGKSYRNSTLYLFVTFSMWFVVGSWLFAPFVFNPSGFDWVKTVDDWTDWKRWMGNRGGIAISPDKSWEAWWDGEQEPLKSTNIRGRVIEIILALRFFIYQYGIVYHLDISHGSTSFLVYGLSWFVMATVLLALKMVSVGRRKFGTDFQLMFRILKALLFLGFVSVMTVLFVVCGLSIRDLFAAILAFTPTGWAILLIAQAIRPCLKGIGFWESVMELARAYECIMGLVLFAPVAVLSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDRALST >CDP16076 pep chromosome:AUK_PRJEB4211_v1:5:928999:930648:1 gene:GSCOC_T00017089001 transcript:CDP16076 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPDVISWTALITGYVKCGMIDEARDLFDRVDVKKNVVTWTAMINGFVKTRRIMEAERLFNEMPEKNVVSWNTMIDGYVKCGRLDRALGLFERMDERNVVSWNIVIAGLIQYGRIEEAREIFNRMPERNLISWTSMVAGLSRNGRVDEAKLLFERMPERNVVSWNAMITGYAQNSRLNEAFDLFERMPVKDVTSWNTMTTGFIQNGDLAMANRLFNEIPEKNVVSWTVMINAHVQGGQSEEALRIFTDMLAHGGVRPNEGTFVSVLVACGDLASLAEGRQIHQLISKTVYQKCDFIISALISMYSKCGDLLTARKVFNDGIRGQRDLVSWNGIIAAYAHHGHSKEVIKLFHEMQNMGLNPNDVTYVGLLTACSHAGLVEEGFRYLDMLVNDRSIKLREDHYTCFVDLCVRAGRFKEASNFIKQLPSKASQYAWGSFLAACNVHGDAVIGKLAAQKLLEAEPENAGSFALLSNIYAGSGRWREAAELRMIMKDRGLKKQPGCSWIQVGNGIHLFVVGDKSHSETEQVYTLIGNLHSKMKKDGTISEILV >CDP15980 pep chromosome:AUK_PRJEB4211_v1:5:23299409:23302406:-1 gene:GSCOC_T00016913001 transcript:CDP15980 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSAYSSIAVLSSCFVLLTLAWKLFNWIWLKPKKLEKLLKQQGFKGNPYRLLFGDLKDISNSAREARSKPISLSDDIIPRIIPEFHKLVQKYGTKTYIWYGPEPAIFIQDPELLREAAQAITVFHKPDAGQFTRLLTPGLASYNGDKWAKHRKLINPVFNGEKLKTMLPAFQTSASEMMRKWEEIVLPKGSCELDIWPYLQRVTCDAISRTAFGSNYEEARKIFELQGEQLEHCVKAVWSMFIPGWRFLPTKRNRRMRQIEKEVDDSIRRIVNSRLKAMRAGESCDDDFLGRLLESNSQEIHKHGSKGVGMSTQEVVEECKLFYFAGQETTSVLLLWTMILLSRYQNWQKRAREEVLQLFGTNKPDYDGLNRLKVVTMILYEVLRLYPPLPVNSRRTAEEARLGNLSIPAGILILKHIALIHHDPELWGDDVKEFKPERFSDGVSNAAKGQAAFFPFGWGPRICTGQNFSMLEAKIVMVMILQRFSFEFSPSYSHAPYSLITLKPQHGAHLILQKL >CDP16479 pep chromosome:AUK_PRJEB4211_v1:5:7658370:7659049:1 gene:GSCOC_T00018420001 transcript:CDP16479 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGVGASRVCDLFKKAKESAPCIVFVDEIDAKWMVLKETLALIAATDRADILDSALLRPRRFDRQVTVDVPDIRGRTEILKVHASNKKFDSDVSLEVIAMRTAGFSGADLANLSNEAAILAGRRGKAAISSKEINDSIDRIVAGMKGTIMTDGKSKSLVAYHCQACLY >CDP16226 pep chromosome:AUK_PRJEB4211_v1:5:15837393:15838196:-1 gene:GSCOC_T00017349001 transcript:CDP16226 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEMFKLLILILALCPSAIDSSFQYFNMVEQWPGGYCQFHRCRRVPWPNDFTIHGLWPANHTGTVENCKKTGFAPIQDENKFKQLDSIWPDLDQPRPEYDTVGSRVLAQSFWGHEWKKHGTCSENMYNQTQYFDLAIKLKNRYNLLSILEQGGLSRGHSHELSDVNSTIWRTTHGTPDLKCLNDARVHRNVPVLQEIGICYRPSKNRSGQVSFSVINCPHSRTRTCYRGLGNGKIVFP >CDP11908 pep chromosome:AUK_PRJEB4211_v1:5:20761548:20770617:1 gene:GSCOC_T00035212001 transcript:CDP11908 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNFEPHSFLKEFHIPAYILAPGSEAEKLGDVPSCPVLVFINSKSGGQLGGDLLLTYRSLLNNHQVFDLGGEAPDAVLRRLYLHLETLKLNGDEFAPKIEERLKIIVAGGDGTAGWLLGVVSDLKLSKPPPIATVPLGTGNNLPFAFGWGKKNPGTDRDSVLAFLDQVRKAKEMMIDSWHILMRMKAPTEGSFDPIAPLELPHSLHAFQRVSSSDELNMDGYHTFQGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFFASLVHPSSRNIAQLAKVKIMKKHGEWQDLTIPPSIRSIVCLNLPSFSGGLNPWGTPNKNKRRDRDLTPPYVDDGLIEVVGFRDAWHGLVLLAPNGHGRRLAQAHRIKFEFHKGAAEHTFMRIDGEPWKQPLPVDDDKVVVEISNLRQVKILATHGCRSRSVHDPSSPTTPHGQDDGERDSDEEESVGEEWKKFGAADTFKIPDEVDISHLS >CDP13701 pep chromosome:AUK_PRJEB4211_v1:5:28127590:28130276:1 gene:GSCOC_T00038732001 transcript:CDP13701 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKDRQKNTGSPKVVVGEIDTSAPFQSVKDAVNLFGEGAFSGEKPATRKAKAYSAERVLAKETQLHLVQKELNKLKDQLKNAESTRAQALSDLEKAKRTVEGLTAKLNTVGESKNLAIKATEVAKNHMDKMEEAERCNSNGVDGSRRENLESAREHYMAVSAELDATKQDLRKIRQDYDASGEAKAAAIKQVAEAEVAAKANMEKAGELTKEIAVLQESIEQVKLASMQSQDEEAKLYADKDVLKQSYKTRLEESANKLNALKKEIDPELTRNLETQLAETVLQIEQLRKEMDSTKASDLDSVKMVTTELDDAKESLQKVAEEESSMRSLVEKLKLELENVKKEHSELKEKEADTESIAGNLHVKLRKTKSELEAALGEKSKIEGASDDMLSTLEQLSSENEIARNEAEEMKKQAEELKKESEATRILLEEAEERLKVGLQDAEEAKVAEASALDKIKVMSERTNAARASTSETGAQITISRDEFESLSKKVEESDKLAEMKVAAAIAQVEAVKASENEALKKLEATQKEIDEIKAATQEALKRAEMAEAAKKAVEGELRRWHEREQKKAAEAASRILAETDMSFTASPNNYRIQKQNPPEKRMELRKLDKAKTSVSVSRKVLMPSITGIFHRKRNQVEGGSPSYLPGEEPV >CDP13557 pep chromosome:AUK_PRJEB4211_v1:5:29085348:29090867:1 gene:GSCOC_T00038546001 transcript:CDP13557 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTTVAAVGGRRAMVQRSLSLKTAPTAPTLLHQMIASNSPKDVAKVVLKKGKTQLFRDGCPMVYSGAVDRIIGRPPPKTGDVVLVADGTQKPIGWGFYNSASMFCVRLMQLEEEASRDPGCALNVEKLLDTRICAAVELRKSLGLPSAHTNAYRLINSEGDRLSGLIIDVFGDLAVIASSAAWVEKYKHYIQACIIRSTKINHISWRPSLEYLKEEGLSLSDLTEGGLSAPPERIEVLENGISYLISLNGQKTGFYADQRENRQFLSTISEGQQVLDICCYTGGFALNAAAGGALNVTGVDTSLPALELAKKNIILNDMDPARISFLKQDATEFMKDALSRNDSWDIVILDPPKLAPRRKVLHSASGMYRNLNSLAMRLTKKNGLLMTCSCSGAMTQSGMFLRVLQASLFQNFLWQERFGCPPCFLYFISRSQQ >CDP13664 pep chromosome:AUK_PRJEB4211_v1:5:28330452:28333602:1 gene:GSCOC_T00038688001 transcript:CDP13664 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSAYRVETTSRLAQWRIDNLASCTYRKSDPFKIGKWNWHLALEKNRTLFIKLFPEISNLTRENPPIASFIIRVVSSVGDRKTLVHPEIVDRQLKNTDDFVWAVEVPLTGKFIIDVEFLDLKATSPNGGEICSIWAEGFQQKQSNATALASLGRMLSEGIHTDIVIHASDGSIGAHRAVLAARSPVFRSMFSHDLREKELSTINISDMSIEACQAFLSYIYGNIRTEEFMTHRLALIRAADKYDISDLKEACHESLLEDIDTKNVLERLQSASLYELPKLKKSCMRYLVKFGKIFEMRGEFDAFLQCADRELISEIFHEVLAAWKGF >CDP17456 pep chromosome:AUK_PRJEB4211_v1:5:2726614:2728323:-1 gene:GSCOC_T00000936001 transcript:CDP17456 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKCGGLPLALHVLGGILKDKDSLREWKIVNANIGSYLSTGKGNEEGSAGGAIARVCYNTLPYYLKPCFLYLGTFLEDEYIDAKELYLLWIAEGMVLSQHRRNGDIIQCFREVFD >CDP05931 pep chromosome:AUK_PRJEB4211_v1:5:25906558:25909619:-1 gene:GSCOC_T00021253001 transcript:CDP05931 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGINPLLKSLQQKADLQYKLFTRRYGQQIIDILDFPVKLVLSPFTLAYDIAGSAPRGFGIPEFISKLSYSAIFVVATVGTYDIAMELGKKVLCQRNCWTCNGWNALQCTMCKGSGKVQYQVRSYTLKSGEKATAESIANAIAENRAELTHLPSTMDLHVPLPTKDCPNCDGSGVMKCPECKNKLQIRISADDIMEPPWKAYNIMRKMNYPYEASAMPSAFLNNKSVAFHSFCCSC >CDP13738 pep chromosome:AUK_PRJEB4211_v1:5:27882643:27886157:1 gene:GSCOC_T00038786001 transcript:CDP13738 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDTKQAELGTNWERSFHLYETVIAGDEKSKRIQATFKLGRMSKHAPENILACAIPVLVELLGSPFDNQTPSIHGASAYCLKCIACLGEGRLAVIIGQCGAIPSLFSLLQASESNLQMVILKCLRNMVTFSDSNRPAVVRNGGLEIVLNMLNASPDGLKRPLLEILSALSLLREVRRVLLNSGGLRFLIESAKCGSMASRTRAAHGIGLLGLVKRARRTLVNFGAAAALLDLIQNGDTSAKLVAANALGVISSHVDYIRPVAQAGAIPVYAEILEGCEPLGKEIAEDVFCILAVVEENAAPIFQHLVRILRGSNDEAKAAAADITRHLASYKQLVSVVQDSGAIPALVELLRSGNGDVKEKVSGAIAQFSYNKSGRVALADSGVIPLLIQMLEDESQELRENAAEALVNFSEDPSLGDRVSCVLDSPLFQDTQDRLMQIRASDARLDSSLRLLSIEHLTLDPSLS >CDP05863 pep chromosome:AUK_PRJEB4211_v1:5:26421603:26424717:1 gene:GSCOC_T00021166001 transcript:CDP05863 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPLLRDFEAAGKLSWGSAVLASLYRALCRATKSNVDGVCGPLVLLQLWAWERIPLIRPERLAPRQQPPFFVGDGDQPLPAGPYGARWDVGFKLEKVGMHVLVVYRDMLDCMKDDQFVWQPYADIITSLPDCCLSGRDIWQTVSPLICFDVVELHYPNRVLRQFGQHQGIPAECDTIKELHSVDRRGRQNTDWVQHHKQYADMWADRHARVVCESPVDGPMDYSDPYMIWYRRITRLLIGNPANRTDSGYQGVGGVIELMAQSLQRIYYRCSDAIDQGGEVSRFDILREIQDLCKHALTGAHEDRRLTLRPDLAQPAPIAATIVGPSQVKRRRPRRGGKMGLSIGDRLAFNSADISSPYASTPSSSLAPPYHSPTHSHEIFTPDAEPYVALSASAAPEVAHEDFSSAEHLVTLAIPVESKVEPDTSLAEPVDTMNVATPHVSRHDSSSIPPVLNTTQPNILELDNMVGAIATEPSTEMFVTLNSTVEDDLIMSCLENSQRPKLKKRKAKS >CDP05962 pep chromosome:AUK_PRJEB4211_v1:5:25693852:25696436:-1 gene:GSCOC_T00021291001 transcript:CDP05962 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPVSPDSSFFYRSRMKDQEIPCGLAARTSVSAGMEAIDDSSCDSSTCKHQEMAEEEPGDLLDKSWFFGNLLDRKTTRMCRWYSDPCPSSGLADEEILVGKSYEETFSSLNKLPQGDELTPSGLMRAPSLPEESTYHAGGGTYPARRGSKSRLEKSSNNLFRAPSLPTSLGREETQDEESDFSMSRLIRQASLNQSHLLPPRRVPIPKGLTQSSSTPRLRPRRKPDSESCKTEIPEGVMIRRQHPLNQATLSRSSSDLIVELQGLKDLSINFDDKDLGPSLQEKKQQQFCLNEGKLRKSYTADQAWQPENPASPMGKKSAEDMKAQIRFWARAVASNVRQEC >CDP16478 pep chromosome:AUK_PRJEB4211_v1:5:7656165:7657571:1 gene:GSCOC_T00018417001 transcript:CDP16478 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFSLIEIILSVTATDCWSSLAPHLANVTSCCNAMESYMSRLQEQSFINNLQAENCASLPVMKLQGANISSSMYNLYHIKLKDFSPQVYHLYSPESGCLLPSLPSDVTFNGSFGIGFICDLNDNIVASWPVVLLFLLLPAIKLAHVLHNIIMSSAAYGLLS >CDP16221 pep chromosome:AUK_PRJEB4211_v1:5:15978057:15978476:-1 gene:GSCOC_T00017337001 transcript:CDP16221 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSGICFNPLKTHLPKPLPHSKNSVFPSKTSPQLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKEPVEPLTLEEVADRCHASEDIEMIFKIIAHMAANDRAIIAEGSCGSPRSIKVVLGECNVDELYA >CDP13674 pep chromosome:AUK_PRJEB4211_v1:5:28264082:28265116:-1 gene:GSCOC_T00038700001 transcript:CDP13674 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFPVETASSLDPEQLEQRRLTETVANETIENFVTCIHRARLAGLSRDIIVSWSKSLMGHSLHVLVENPSEENHSTCKIDLKTWQFWGKKGLKSFKVGERRVDVFWDSRTAKFSSSPEPVSDYYVALVSEKELVLLLGDQKTEAYKRTRSKPSPMDAALVHKKESVFAKRCFCTRTMLGKGKREHHIIIESALSGPYDPEMWISVDGVESLRIPNLHWRFRGNETLLVDDVPVQIFWDVHDWLYNSNESGPGTFIFMQGTLECEFDNDYGGRNLDHDAPSGENCDLRPEELSCTEFCHFLYAWMTE >CDP16481 pep chromosome:AUK_PRJEB4211_v1:5:7678792:7679172:1 gene:GSCOC_T00018424001 transcript:CDP16481 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNHPKLQQPSAAAARVQVQESSYSGGGGSSTGSGSYYSTMASCNSAGGGSQSKGKMWVDESDRQDDELLAVWAIKSRQQIWLKLHKRLSNLKRFLVMLKMIVYPIWFSRPFIIIPQIFHPSLDL >CDP05785 pep chromosome:AUK_PRJEB4211_v1:5:26990621:26991801:-1 gene:GSCOC_T00021069001 transcript:CDP05785 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVTSRNKALSSSNNEKTTEDSPEESSWTFYLQDFQCDHHHDHDNTGSVSYNSCESCPSLVSDAFSSAARIAPDDIEAAVFASARSNCKNLSFKRRKAKASAVLNDHDLEDTASSPANSPKVSSINQLNHTRNDKDNINVSEANVCRKNSTAEEAGSAAHEEKNNQSELKKRGLCLVPVSTLTNYFG >CDP11906 pep chromosome:AUK_PRJEB4211_v1:5:20748593:20752141:1 gene:GSCOC_T00035208001 transcript:CDP11906 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSAPAIGIDLGTTYSCVAVWEHDRVEIIANDQGNRTTPSYVAFTDTERFVGDAAKNQAAINPVNTIFDSKRLIGRKYTDPSVEYDLKLWPFKEKQFAPEEISAMILTKMKEVAEAYLGLPVKNAVITVPAYFNDSQRQATRDAGAISGLNVLRIIVEPTAAAIAYGLDKELLINTGGQKNVLIFDLGGGTFDVSLLTIEKSMVDVKAVGGDTHLGGEDFDNRMVNHFVQAALRRLRSACERAKRILSSIHQTSIEIDALFEGIDFQSTITRPRFEELNMDLFRQCMEPVESCLRDAKMDKHSVQDIVLVGGSTRIPKVQQLLQDFFNGKTLCKSINPDEAVAYGAAVQAAILDGRGNQKALDIAIMDVTPLSLGFECKGKVMTVVIPRNTTIPTKKETTCTTAYDNLTHVLFLVYEGERARSTENNLLGRFTLGGIPPAPRAVPVINVCFDLDANGILNVSAEDKNTGQKSRITISFDKGRLSREEIEKMVQAAEKYKFEDEEHKKKSKNISSSLASADMKKIEDAIEDAMQWLDGNQLGETDEYEDKMKELESITERLMITKKD >CDP05924 pep chromosome:AUK_PRJEB4211_v1:5:25965826:25968288:-1 gene:GSCOC_T00021245001 transcript:CDP05924 gene_biotype:protein_coding transcript_biotype:protein_coding MCTARVLDCPLQSFTGHLNVKNFVGLSVSDGYIATGSETNEVFVYHKALPMPALSYKFNITDPLSGDEVDDSAQFISSVCWRGQSSSTLVAANSTGNIKLLEMV >CDP05913 pep chromosome:AUK_PRJEB4211_v1:5:26037642:26041885:1 gene:GSCOC_T00021231001 transcript:CDP05913 gene_biotype:protein_coding transcript_biotype:protein_coding METAETFLYETLSPLSLTTNTDEPPPTSSPPCSSSNPDQAEPYVVFRNEISLSSTQSSTTETAAPEYFSLDVIDVVENVIDTPIPTPEASPAATPVKEPERERRLEGNWFRANCRFKSPMLQLHKEILDFCDFLSPTPEEQASRNEAIDAVFDVIRYIWPNCKPEVFGSFKTGLYLPTSDIDVVILDSKISRPQIGLQALSRALSQRGIAKKIQVIAKARVPIIKFVEKRSGFAFDISFDVQNGPKAADFIKDAVAKWPPLRPLCLILKIFLQQRELNEVYSGGVGSYALLTMLIAMLQNYNYRQASPEHNLGVLLVNFFDMYGRKLNTADVGVSCNGEGTFFLKSRKGFSIKGKPFVISIQDPQVI >CDP13591 pep chromosome:AUK_PRJEB4211_v1:5:28848933:28860144:-1 gene:GSCOC_T00038593001 transcript:CDP13591 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MKNSEDEQKEEFLREFGDDYGYKSAPKNIDQLRATEFKRLAGVVYLDHAGATLYSEAQLESVFKDLNSNLYANPHSQSSCSLNTSDRVGEARTQVLDYFNASSREYSVIFTSGATAALKLVGESFPWSSQSCFMYSMENHNSVLGIREYALNQGAAALAVDVEQTADYRNSRGTNSAIRLFRHHELRRSDRGLSKEDPTGNVYNLFAFPSECNFSGTRFNLDLVKLIKEDSHNALEGSTYSRGCWMVLIDAAKGGATEPPDLSKHKADFVVISFYKIFGYPTGLGALIVRNETAKLLKKTYFSGGTVAASVADSDFVKRRAGVEEIFEDGTISYLSIASILHGFRILNTLTMSAISRHTTSLATFVRKTLSAMKHENGTHVCTLYGANSSKVLFEGMGPIVSFNLRRPDGSWFGYREVEKLASLAGIQLRTGCFCNPGACAKYLGLSHSDLLSNFEAGHVCWDDHDVLCGKPTGAVRASFGYMSTFEDASNFVNFIKASFVSLPSRTPGVNTLRGTTISPAIEGIGRANPRLKSISIYPIKSCLGFSVSSWPLTTTGRLLHDREWLLRSTSGEILTQKKVPDMCHITTLIDLDLGILFVESPRCKEKLQIKLKSDFPVGKDEVNIHPQRYEVEVYHDEIDSWFSDAVGRPCTLLRCSGQQGYACSNRNRISRLCKDLETKMNFVNEAQFLLVSEESVNDLNARLRSNVQHHFDGELIQVSTSRFRPNLVVCGGEAYAEDGWRSLRIGKTEFISLGGCNRCQMINLSFQGGKVQRSNEPLATLAEYRRTKGKITFGILLRHDDSTGKHSDAWLHVGEEIRASLA >CDP13717 pep chromosome:AUK_PRJEB4211_v1:5:28020773:28022008:1 gene:GSCOC_T00038756001 transcript:CDP13717 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTPAAMVAAAAVVFSLALILLPSPTEAHNITKILAEFPEFSTFNHFLTTTHLANDINNRETITVCAVDNAGMADLLGKHLSIFALKNVLSLHVLLDYFGAKKLHDITNGTALAATMYQATGSAPGSSGFVNITDLKGGKVGFGAVDNGGIDATFVKSVKEIPYNISVIQISKILPSPDAEAPTPGPSQMNITGIMSAHGCKVFAETLLASPAEQTFDSSVDGGLTIFCPGDAAMKSFLPKFKNLTADGKQSLLEFHGVPIYEPESSLKSNNGPINTLATDGAKKFGLVVQNDGQQVTLKTPLVTARITSTIFDEQPLAIFELDKVLLPRELFKGSLAPTPAPAPAPGPVADAPEPSKKHKSPPAPPAAGSPADGPAADQTAADVNGAVRFDGGRFAAVCFSLWLIILLL >CDP11772 pep chromosome:AUK_PRJEB4211_v1:5:18823553:18824777:1 gene:GSCOC_T00035002001 transcript:CDP11772 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSTIRSYPDIGDRAFGAKGRALVSILMHAELYLVATGFLILEGDNLSYLFPKAGFELGGYSIDARRSFVIMVGLIILPTVWLNNMSVLSYVSAGGVAASLVLLCSILWIGEFDGIGFHGKGSFVHWNGIPTAVSLYAFCYCAHPVFPTLYTSMRDQKQFSKVLVVCFFLSTLIYGLMAISGCLMFVQKLSYTPL >CDP13632 pep chromosome:AUK_PRJEB4211_v1:5:28567621:28572842:-1 gene:GSCOC_T00038647001 transcript:CDP13632 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDHVAIIGDWMPRSPSPRALFSTLLGDDVSLRTVAEPTSENKAGFSFPGPVEHSASASSDQKDGSQGGAAVDQTGKFSSMSEQRMSSRGGLMERMAARAGFNAPRLNTESIRPPDLSQSLEVRSPYLTIPPGLSPTSLLDSPVFLTNSLVQPSPTTGKLGFLMSGSSRNATVTEFPDKSKENYFQNVNTSSFAFRPILESAPPLFFGASNRITSTNLPQQPFPSIEVSVQSGNTLPHRSVEHAKSAALNQPADFSRPSAAKDTVHNDILSEPSSFQNVGGGSEHSPPLDEQQEDDADQRGGGDQNISGAPAEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSQEGHITEIIYKGAHNHPKPPPNRRSALGSSNALSDLQVENSEQVAMGADGNPGWTTMQKGNFDWRHDNLEETSSAALGPEYGNGTTSLQAQNGSQLESGDGVDGSSTFSNDEDDEDRGTHGSVSLGYDEGDESESKRRKIESYASDMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGISGTLPAQAGAAVQSHVHRPEPSQLHNSMAQFERPPLGSFALPGRPPLGPTHSFGFGMNQQGLPNMTLAGLGPPNQGKIPALPVHPYLGQPRPMNEMGHLLPKGEPKMEPMSDPGLNLSNGSSVYHMSRLPLGR >CDP14721 pep chromosome:AUK_PRJEB4211_v1:5:21327820:21330276:-1 gene:GSCOC_T00042144001 transcript:CDP14721 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVALGCVSVILNKILPLAADEISRAWGVKKDLQKLAKKVEMMEALIFDAKCKQSTSKAAQLWLKRLQSIARDAEIVLDDFGYEVLRHKVENRKRDKVRNFFSSSNPISFRLEMANKIKNVSASLEEAYKEANQIGLHPAQLPMASADHKVDRSTDPFVDESETVGREAEVSEVVSMLISSDCKKDLPVISIVGMGGQGKTTLAQLVLKNECVVKHFDKTIWVCVSDDFKVERLLNEMLESLEGKSAETTNTEALVRMLQENLKGKSYLLVLDDIWNDDPLKWNDMRRRFSAIGGAPGSKILATTRSDEVASAMQTSGVHHLDILSDDHSWMLFEKLAFADGGATKTQDLVDIGRRILKKCGGVPLAIKVIGGLLYSKKNASEWLTIERSEIWNESTNIANGVISVLKLSYENLPSWSVKQCFASCSIFPKDADMEKENLIQIWMAQGLINDAKGGGGHLQMEDIGSEYFNVLLRSSLLQAGYKNSINEIVFCRMHDLVHDLSLQVSNNRFFNTEDGMEVLKNIKGIPPNLQTLYYRGGDSSMLEDILERSRYLGVLKVDCWDVTHLPNAVGNMKHLRHLDISETGISALPDSVTKLYNLMTLKVCYLEEIPKKFSNLINLRHFQFSRGEAICLFPGIGRLANLRTLPHFRVSQEKGCQLEESEHLRNLRGELRIFGLENVSSFESAAKAKLSEKSSIQGLRLSWDGTNEDCDDNNINSVLEGLQPHPDLKSLAINGFKGSRLPLWMVLLRNLVHLRLEELGKCEQVPPLGHLPCLESLEMVSLGVMVVCSKTSWKGLDT >CDP06004 pep chromosome:AUK_PRJEB4211_v1:5:25387104:25392241:1 gene:GSCOC_T00021345001 transcript:CDP06004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(6)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G16900) UniProtKB/Swiss-Prot;Acc:Q9FZ49] MSSTTNIIHDCDEVFNYWEPLHYLLYKSGFQTWEYSSQFALRSYLYIIFHKLIASPASWWFGEEKVRVFYAVRIFLGLISTATDAALVVALSRKYGKRLASYALAMLCLTSGCFFASTSFLPSSFSMYAMSLSSALFLFEKPAMAVAIAATGVILGWPFSILAFLPITIYSLVKRFKEAFLSGLISSLALLVLSLVFDYYYYGKWTSSVLNLLVYNVLGGGESHLYGTEGPLYYLKNGFNNFNFCFLLALLFLVVLPVARKKYQPDLLVVISPIYIWIGFMSLQSHKEERFLYPIYPLICVAASAVIESFPDFFRDKHDPRNPSVLVKVAKFLRPVILGLILCVSHSRTFSLIHGYSAPLEIYKHFEHHDDVGTGSVVCVGSEWHRFPSSFFIPDYVAQVQWIDDGFRGLLPFPFNSTLGGTSAAPPYFNNKNKASDGQYLQDLEKCTFLVELQLQRPVLSRGSDLSKWEVVAALPYLDREMSPPLHRSFFIPHLWLQKNVFGLYKLLKRIPK >CDP11792 pep chromosome:AUK_PRJEB4211_v1:5:19102829:19106546:-1 gene:GSCOC_T00035028001 transcript:CDP11792 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQNCASSLNTIWIWKRIVWSILKRIAMEIKIHLPWKKVTKSKTFSTHLERAENARLKVENDKLRAENVKCKEVLSRACCLTCDVAQATADVSLDDNQLRVENSKLKEKIANLTGLIARYVGNKHCADNTSALSSAIPSSSTEPQWVASLRGGQQDITQEFVLDEALFKSLARPIGIDKPKAIEVVISAMEELIRMAEIEFPLWVSTADNRSYSLNEDAYYNLFPTAIGLKPVGFKVEASKGSDVVLMNHMNLVEVFMDMNKWLAVFASIISRALVIEVLSAGSEPGNFDGTLQMMTAEYQILTPTVPTRESIFLRYCKQLGEGTWGIVDVSFDNLLCLDPLVKCRRRPSGCIIQEMPNSCSKITWVEHVHVQDEGVHYLGKPFVESGLAFGAQRWVSVLARQCERLASALVTNFSPNDINDTVLISPEGRKNILRLSERMVLRFCAGVTSSTAHAWLNLSESGNDQIRIMTKRRENDLGEPSGIVLSATTSFWLPVSHKFVFDFLRDESTRTQWDILFNCEDIIEIVHISNGNEVGNCISLLRGTNSNLGSLLMLQECGTHQTGSYIVYAPIDNGTVDLLLDGASSDHVTLLPSGFTIYPTARDAGSLLTIAFQILVNPVPNANIPATSISSISNLIEYTSHKIRAALALRGA >CDP06055 pep chromosome:AUK_PRJEB4211_v1:5:24994919:24998718:-1 gene:GSCOC_T00021407001 transcript:CDP06055 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVETPAKKTKRLTSVVWNHFERVRKGEICYAVCVHCKKKLSGSSNSGTTHLRNHLLRCLKRSNYDVSQILAAKRKRKDTAVSLTNFTYDEVPRKDEIITPIAYRIDPEPRKEEPSQAVSLASAKFDQERSRLDLARMIMLHGYPLAMVEHVGFKIFAKNLQPLFDVASNSATELDCMTIYGKEKQKVYELVHNLHSRISLAIDMWFSSENARYMSLTAYYVDGDWKLQKKILNFITLDPSHTDDILSEVVIKCLTEWAVERKLFSMTFDDCFAYDDMVFRIKDWLSQNKPLLKNGELFDVRCAAQVLKSIVQDVMEALRDVTDKIRESIRHVRSSQTTLGKFNEFSQQIGISTDRHLVVDCPMQWNSTYLMLEAAVEYKGAFSLLQENDPSYMTALSETEWEWASAVTGYMKLFLEVTNVFVGCKYPTANMYFPELCDIHIQLIEWCKSPDDFLSSIAAKMKSKFDKYWKKCSLAVAIAAILDPRFKMKLVEYYYPQIYGSDAVGHIKEVSNGIRGLFSEYAVSSSSVDQDSALPDGSLPSTINGTRDRLRGFDKFLHETSISQSMISDLDKYLEEPVFPRNNDFNILNWWKVHTPRYPILSMMARDVLGLPVATLGRDLVFSNRGRVLDHHRSSLNPDTREALVCGQDWLRVESEENQVSLSHPAVSLFIEAKLSNDDQAS >CDP06037 pep chromosome:AUK_PRJEB4211_v1:5:25132831:25134404:1 gene:GSCOC_T00021387001 transcript:CDP06037 gene_biotype:protein_coding transcript_biotype:protein_coding MICMISCDLSSTMQIIMAVMGVALVRPYGCCIDLKHSLQYDISLSHSFPCCLTLLEAWHILMNNLRLKIAHRDIMATNVLLEKDLNAKISDFGLAKLMKSYDLYYKLFQQRLMAHEYAMKGYLTDKADVYRFGVVAQEIFIGKRTQTLDWFRYSMWQRDVLQERGNLLELVDPSLGSSYNTDEALRMLNLAL >CDP05780 pep chromosome:AUK_PRJEB4211_v1:5:27015691:27018600:1 gene:GSCOC_T00021064001 transcript:CDP05780 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQMRPAKMLKLLESGQRIPVMDGSEQQDVHAALNDEEGKDRISSLPDCILHYILSFLPTKEAVATGILARRWKDLWTAVPILDFDDSKLYSSHVNYWYPAEITRFMNFVERVLLLRDVSNIERFRLSCRVCFSASRVHAWISAAIRHNVKELDLCFFVDEPFLLPHRVFNCGSLSILNVEMNSIIQLPNSISCPGLRILHLGLVTFPDDISTQRLLSSCPVLEELAILDCDWINMRHISISIPSLRKLIIDDLPTFDSRAYCWGCEIKIDAGSLIFFKYSGYLSNDIHLIEVSSSVKALLHIPMVQEAQNPLMYSRLIKLFLQLKNVSSLGISGCTIESLFFREKMPNDLPEFQKLTRLELSMQLGVHCGGALMKFLLHLPNLESLNISKATLWHL >CDP20966 pep chromosome:AUK_PRJEB4211_v1:5:18772370:18774487:-1 gene:GSCOC_T00003013001 transcript:CDP20966 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFWGKALAEKTGEKVIEKTVEFALENCTGRSHMDNVQSLKRNWQELSCKAFDVEQEVNREEMSGKKKRKSEVDNWLKDVKKLSPEIDALETKGSSWRLPLKEDPVGKLQFQVKDLVDQSRHFDGLVLDTCDNIGEPCLPIKLFGVKFDEALKRIWPCLVTDDISSIGIYGMGGVGKTTLARHIEYHLLEKNNYRVLWVTVSQDFSVTSLQDKIANVLGINLSSRDEEDARARVLRDAFRKMLKLIVLILDDVWEEFCLDRVGIPLHPNKCRLILTTRSLEVCNRIQCQRKFDLQTLDTDEAWDLFKYKLGSEPLLQGDLESIAKSIVEECDGLPLGIITVAGSMRGVRDICEWRNALEQLKTCSIGYHEMERDVFRILEWSFNRLNECQRNCFLYCSLYPEDSKLKIKELIDLFIWAELMQERNSWSQEFDQGHTILNKLIKVCLLEETRDYEGDDCVKMHDLVRDMALRITDGKSNLQMNGDVPRFLVKSIGKGNSKVTLEPKKWTEDLHAVSFHSFSYPQLEIKVPPAWSPNCPKLSTLLLSDVSIEEIPDSFFRHMCGLKVLNLSRCEGITELPNSVSDLVNLTALILKDCRRLRSVPPLGKLKQLRDLDLSDTKIEDLPEGWESLVNLERLDLNQCPNLKRLPLFLSTINGSPSLPSTLHKIRGDKEWWESLEWDNPSAKNALDPLFSTLWWDNWRPLV >CDP15919 pep chromosome:AUK_PRJEB4211_v1:5:22884410:22888404:-1 gene:GSCOC_T00016835001 transcript:CDP15919 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALSCVGVILNKILPLAADEISRVWGVEKDLQKLAKKVEMMEALIFDAKCKQSTSKAVQLWLKRLRSIARDAEIVLDDFGYEVLRQKVENRKRDKVRNFFSSSNPISFRTGMANKIKNVSASLKEAYKEANQIGLHSQLPMTSADHKQDRSTDPFVDESQTVGREAEVSEIVSMLISSDYEKDLPVISIVGMGGQGKTTLAQMVLKNDRVVKHFDNTIWVCVSDDFKVERLLNEMLQSLEGKSADTTNTEALVRKLQENLKGKSYLLVLDDIWNENREKWNGMRRRLLAIGDDHSWMLLEKLAFADGGARKTQDLVDIGRRILKKCGGVPLAIKVIGGLLYSKKDASEWLKLEKSEIWNESTNTEGGVMSVLKLSYENLPSLSVKQCFASCSIFPKDTDMEKESLIQIWMAQGLINDAKGGGHLQMEDDIGSDCFNVLLRSSLLQAGYKNSINGIRNCRMHDLVHDLSLRVSNNYILERSKYLSVLKVDCWDVTHLPNAVGNMKHLRHLDISRTGIAALPDSITKLYNLMTLKVSRLEEIPKKCLFPGIGQLANLRTLPYFVVSQDKGCQLEELEHLRNLRGELKIFGLENVSSFESAAKAKLSEKSSIQSLTLEWDDTNEDCDDDNINSVMEGLQPRPDLKSLAINGFKGSRFPSWMVAKDHVTVLLRNLVHLRLEELGKFEQVPSLGDLPCLESLEMVSLHNVKRIGAEFYEAKPVTLFPKLSSFALCDMKSLEEWSDAMVPSDSSSSIKVFPSLRYLEIERLPKLAFLPDMENLTSVTGLRIWRCRNASLDMNNPQSLRTLHISGCDKLNPSLSNNLEKFTSLEWLTIHSRDPGSWPIMALHSLANLRELELGGGFSDDLDHFPWPHSTTNLVSLERLELRGWPKITSLPDQIQHLSNLRTLNIGKFEGLEVLPEWMGSLRNLRQLVIINCSNLRQLPSAEAMRHLTNLFHLHINICPLLAERCTKGSGAEWPKIAHIPFVGIYPS >CDP15905 pep chromosome:AUK_PRJEB4211_v1:5:22753072:22755512:1 gene:GSCOC_T00016815001 transcript:CDP15905 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAGGGGDGQGEIIQVQGGHILRATGRKDRHSKVYTSKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKNAIDKLAELPHNHPNEISLMAAPSTDSNPGSASGCQGFEQRSESSSLYAIQRHQLHDNPNGNSGVIPPEVDTQSIADTMKTFFPVSPGNSLMNMQSYQHDRMSRQPSIQTEDLGLSLHSLQDQNLNHRSYTEQMLFSGSNSTMNIGFIFNPHSMPVPQQLIFSQNSAFSQREPLQSNYSHLFHAWNERQMPSVDHHNAQAISQSSMYNIHFGSDFQVPARIRGDEEPGVVSLQPSSVSPSSQH >CDP06093 pep chromosome:AUK_PRJEB4211_v1:5:24679424:24681160:1 gene:GSCOC_T00021467001 transcript:CDP06093 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSTPLQSSTAYSSTSTLSSCPFSTKPSQFYLSAKRNYRQFTVSCKNNESHEQVDNLDRRDVLLGLGGLYGASNLIINPFAMAAPIAAPEISKCGPPADLPPGAVVTDNCCPPVPGKVIDYKLPPPPKVNRFRPAAHLVKKDYIEKLNKAVELMKALPADDPRNFTQQANVHCAYCNGAYVQPGSDQEISVHYSWLFFPFHRWYLYFYERILGKLIGDPSFGLPFWNWDNIGGMTIPSIFMDQSSALYNENRNQSHLPPTVVDLGYNGTDRDATCTERIENNLAIMYRQMVSNATTGRDFFGKEYRAGDQPNAFAGAGSIEASPHIPLHRWVGDPRQPNGEDLGNFYSAGRDVLFYSHHANVDRMWTIWQQLGGKRKEVPDPDWLNSSFIFYDENAQPVRVKVRDSFSNDRMGYIYEKVDIPWLKNKPVPRVRKSRVAFTSGAPPADKVFPGPLNKIVKVLVKRPKLSRSKRQKEEEEERLVVYGIEFSMDKYVKFDVFINDEDDNPNDFAKSEYVGSFANLPHKVKSGMKAKTTQTFELTEILEDLDVEDDDALLVTLVPNTALTIDGIKIEVAT >CDP06080 pep chromosome:AUK_PRJEB4211_v1:5:24798451:24799662:1 gene:GSCOC_T00021441001 transcript:CDP06080 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSLLVKNAKFRLAIFKSSLFYQSLLQRSNFLTSSHPFSPSQESHIPNLANHKDWLSPNETIKIFQSLKDPNFTLPLFNQISQRKDYNPNEALYSTVINKLALAKDFDAIEALMEKIKLERKCRLSEEFFVNVMKIYGNLGGRINSAIKTLFDMPSYKSWPTVKSFNFVLNLLVSAKQFEVIHEVYMGASRLGIEIDACSLNIMIKGLCQCGKIDAAFAVLDEFPKQNFRPSVRTFSTIMHGLCDRGRPDDAFGLLDRMERDGIEPDAITFNILISGLKKSGRVEEGITLYDRMMIKGCDPNPGTYQEVLYCLLDAKRFVEAKDFMCRMIQKGVNPSFESYKLVIQGFCNENLVGDVEWALKQMTRQGFVPKMGMWKRIVQCLVSGRIEPTIFPYEEIVGS >CDP17440 pep chromosome:AUK_PRJEB4211_v1:5:2296633:2303727:1 gene:GSCOC_T00000909001 transcript:CDP17440 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSYATQAELLLRNYMHADSYALCTSIIGSICACKMVYDLSQVISAAYLKSYSRLSKLKKIEWNNRAISTFHAIFITTMSLYFVFWSDLYSNDRFAPAITLRHSKLSTFPLGVSIGYFLSDLGMIIWLYPSLGGLEYVAHHLLSMLSVIYAMVTGEGQIYTYMVLISEATTPSINLRWYLDAAGMKTSRAYLINGVVMFLAWVVARMLLFLYLFYHIWVHYDKVMQMQTFGHCLVLGVPSVLIVLNSVWFWKILMGLKKTLAKRH >CDP11365 pep chromosome:AUK_PRJEB4211_v1:5:15266961:15273467:1 gene:GSCOC_T00033582001 transcript:CDP11365 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLSFHTIEYCCSTSNDTDPWNYLDSYCGNITYNPNSPSGSIYRANLNFLLYNLSSHASRTDNNGFYNFSTGDDPSNKVYGLFLCRGDVHTDVCKECVADAHTRLLHECPNQTAAIVWYDECLVRFSDQTIFSKADLGENVTRRNPFDVPGPDWDKFKMVLINLLHNAADEAANHTMGKKFAVQEGNYSTDQKRLYTLTQCTPDLSPYDCKSCLREAIMDVPACCSKKQGGRVLYPSCNLRYEVSSFYDNVSSASPNSPGGPPPNSTEGNQNLVEYVTVRFPHQHILLITCIIICNLWKILSGKGRSPPRAAFSIAVPLIGVAVVLFVMALVFLKRRLRKSYVAMAPETSAESLQYSLTEIQIATNNFSVDNKIGEGGFGRVYKGVLGNGQEVAVKRLSRSSVQGAEEFKNEIVVVAKLQHRNLVRLLGFCLEGEEKILIYEFVANKSLDYFLFGGFNLDILIQICGVDQYEGNTNRIAGTVGYMAPEYTRWGQFSLKSDVFSFGVVILEIVTGKKSSDFHQSRDSEDLLSYAWNHWRRGQTLALWDSSVGDSYARNEVIQCIQVGLLCVEEDASKRPKMASVVSMLNPGSVSLPTPHRPAVFRSNGSESRVDELKVDQSNTQRISAPSSVNDASITEPYPR >CDP11897 pep chromosome:AUK_PRJEB4211_v1:5:20622327:20626392:1 gene:GSCOC_T00035183001 transcript:CDP11897 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCWLLGNGCLFSWNSMLTIEDYYVYLFPSYHPSRVLTLVYQPFALGTLAVLAYNEAKIDTRKRNLFGYSLFFVSTLLVLVLDLATSGRGGIGTFIGICVVSGAFGIADAHVQGGMVGDLSFMLPEFIQSFLAGLAASGALTSALRLITKAAFENSKDGLRKGAILFFAVSTFFELLCVLLYAFVFPKLPIVKYYRSKAASEGSKTVSADLAAGGIHRQPEQVGQDPKQPERLSNKQLLVQNIDYALDMFLIYVLTLSIFPGFLSEDTGSHSLGSWYALVLIAMYNVWDLIGRCIPLWKRIKLESRRGLTTAILSRFLLIPAFYFTAKYGDQGWMITLTSFLGLSNGYLTGPEQNALGNILVLFLLGGISAGVTLDWLWLIGKGW >CDP16368 pep chromosome:AUK_PRJEB4211_v1:5:2882280:2884713:1 gene:GSCOC_T00018203001 transcript:CDP16368 gene_biotype:protein_coding transcript_biotype:protein_coding MQYIKYFKNIYNKSFLYTLLSYRILSYLLTCFFFAGLSFAYQLLYLLDATGFYSLGLHVLGIHVCRATGQELMDTSSRISKIRSHKRERLRGPPWLKVHFLSSMYAVLDYAQICLVDAVFFFKMMEWWYQSAEERMLLVACICFGSSPTSGLHASAVIVDQTRCAVSETKRDHTLISSDVLIQSEVILGKEKIDAPIIFKGIGHWLNPKNDFVLKVLSASSEADYANLNSKLSSPPSLCRSGI >CDP06129 pep chromosome:AUK_PRJEB4211_v1:5:24360075:24361517:-1 gene:GSCOC_T00021520001 transcript:CDP06129 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHVHTHHHQLSVPQILHHPFKNFRAVSALKLKESPNVGLKSRRRRTLPLFFDSSKYNSVSASLSPLDLTEDNIKQVLADARLGQLFDDSVGMTGQAELADLDGPYVKISLRGRFWHKRSTVLARLGNYLKQRIPEILEVDIEDEKQLDDSPENF >CDP16373 pep chromosome:AUK_PRJEB4211_v1:5:2959261:2961235:1 gene:GSCOC_T00018210001 transcript:CDP16373 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLEKFLIFAVLVTVLPSLVLGDCTCDAEEEENDRSLALKYKLGAIASIFVASAVGVYFPVLGKKIRALSPENNFFFVIKAFAAGVILATGFIHVLPDAFESLTSPCLPESPWGDFPFTGFVAMVAAIGTLMVDVQATSHYNKKSSGNNGTVLALGEGDDHGENGKPSNGEIAKSSAGLPLHTHATHGHAHGAISMEGDSISTQLRYRVITQVLELGIIVHSVIIGLALGASDSPKTIRPLLAALSFHQLFEGLGLGGCITQAKFKAPAIAVMALFFSLTTPVGIAIGIGVANVYNENSPKALIVQGVLNAASAGILIYMALVDLLATDFMNPKMQNNGWLQIGANVSLLLGAGCMSLLAKWA >CDP11894 pep chromosome:AUK_PRJEB4211_v1:5:20566244:20570629:-1 gene:GSCOC_T00035179001 transcript:CDP11894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex iron-sulfur subunit, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G03280) UniProtKB/Swiss-Prot;Acc:Q9ZR03] MASSTLSPVPPSQLCSSKSSMYCPSQSLFLKPMMMRSGKLGWGKDKRMKVSCMATSVPADDRVPDMGKRELMNLLLLGAVSLPTAIMVVPYAAFFVPPGLGGASGGTVAKDALGNDVLVDVWLKNHGPGDRTLTQGLKGDPTYLVVEKDRTLATYGINAVCTHLGCVVPWNPAENKFICPCHGSQYNNQGMVVRGPAPLSLALAHADIDEGKVVFVPWVETDFRTGEDPWWA >CDP14715 pep chromosome:AUK_PRJEB4211_v1:5:21238910:21242720:1 gene:GSCOC_T00042137001 transcript:CDP14715 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLMLSCCKVYVSESRNKAALEAIERAAKLFPEAPIINKFEDEIYNRVGYTLVSKLGSKPSSDPLKGAVFAMVEAAFQSIDLGAHSGSHPRLGVVDHICFHPLESTSLEQVAGTANALAADVGSNLKVPTFLYGAAHREGRSLASIRRELGYFKPDSSGNQWTGGPKSEILQLKPDHGPDFAVEAKGIIVIGATRWVDNYNVPVFCNDIAAVRRIAKRLSGRGGGLPSVQSMALTHGDGVIEVACNLLEPSKVGGDQVQLEVEKLAAEEKLNVGKGYYTDLSQEKIIESYMKVCKSLD >CDP13589 pep chromosome:AUK_PRJEB4211_v1:5:28873414:28875387:-1 gene:GSCOC_T00038590001 transcript:CDP13589 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFDSDISCSSTPSSSSSSLSSIAAALSYPTAEPLGPSDTTHDPALVGPTSYATLSGSLFGISGNEGLSSGAANYCHQHDRAYDFGDQECGAPASNFWPLYPRAMTSDNWEIQGGVAQRMEEATLKVSRYSAEERKDRILRYLKKRSQRNFNKTIKYACRKTLADKRVRVRGRFARNNECCEDDQTLVLVKTDSNLQQADSCYNIPADDQIMKSYDEEWLQEAIASLMCYRIAPDNGLELPDKYLMN >CDP11855 pep chromosome:AUK_PRJEB4211_v1:5:20149955:20154210:1 gene:GSCOC_T00035123001 transcript:CDP11855 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIYDNWERLVRATLRREDLLLSALRTPSDISTVSESSSFNFSDPSHSASSFNISSLLVGDSFTYHQNLQATDYISGTNLIKHRGSNVGLMGALGNAVAQELVKDLALATQHESRYALQFPTRFRILEEELKIMQVFISEASKLKENRETVRTVLPVLRELTYEADDLVLDCKIRDDYQKMKGTSGFSLYPSEIYFRYETGKKLTEINSQIKRMREYLKPYYTLGQRTNSENGNDTKRRSTVPVIDQSEVVGLKEDVAKVRGWILGQNEPLLHLAIVGLGGLGKTTLAKMIYRDVNLTRRFQEKIWVSVSQPVNEDEIMKSILKQLNADGSRSPKGYMLSTIHGLLSEKTYLIVLDDVWSTDDGWWERISGGLPMKEGHNSCIIITSRIKTVVKNMGVQDAQIHQPRFLNDEESWKLFCKVARLSEVGERNTKLVEEGKEIVKKCGGFPLAIKTVGGLLSSEERSSRRIHETFHEKLTNIGDNFSKGNPHVIASLQLSYDELPAFLKPCILCFSIYPEDYEVDADQLIRWWVGEGFVSGNNTETATESALKCLSELISRCLVEVAQQRNYDGSVYTCKVHNVVRDLTIKIAREEDFCSFDANGNHIADVGCRRLGVTEETKLQTLHGNSKLRALLLTKTDYIGFNRNIELAKVKSLRVLDLSRVKLDRIRVEDLWRWITSQKRLAYLSLRHVAKLLEIPNSIGKLWGLQVLILGECKDLKKLPTSIINLPRLIILDVGNCPSLRCLPQGFSRLSNLQELYGFKIAGTGNAAGSHLSELYALTELRVLHIDLTEDSTIEDQELDALELLEKLKLLSINAGDCKDEDILSKLNKLSPPSSIEELYLKHYLGETTPAWINPRSLQQLQYLSVENSGIEKMNQEFWGDEEYKWDVKGLCLKFCQRLEVSWEELQAVMPQIRHLEVSQCNLLASFPCDVEAVGFWHKDTKEKNVSENVFSQED >CDP14820 pep chromosome:AUK_PRJEB4211_v1:5:22272862:22278353:-1 gene:GSCOC_T00042283001 transcript:CDP14820 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEDPNGNNTSEAYMIDEAYEFRAPKFYDFIDGETQEDMKKAELWFETACSYAPSPFMPRIKAGRSVQLASICDFNEGENLHKVLTPTPQSSESTATCSTAKESTLELESQIRASDVKNVNPNELKEEPTRSLTSAVCAVELDGKESSVSAYMYLITVGGEVSTPAPPTNSGRNKSQKTDSKKQQTARKIASMLKNPPALKSKYQSQQSQSRSSKPASVRKDTNVKSTVETPNFAQENQAVKRQKLEGGKSRQILNVKLQNLPHKTRNGLVSSSSNLCLSTAKTSKENRKLYVREPAIPFVSMAEMMKKFQSNTREISLPPRSSSSLSHDSAARTLQRKPKLTLTRPKEPEFETAQRARPVRVKSSAELEEEMMAKVPKFKARPLNKKILETPTLPKLPRTTPQLPEFKEFHLETMARANQNAETSTVASIESSQAFESYLRKPHLTAPKSPLLQTSLRARPPKIKSSDELEEEEVEKVPKFKARPLNRKIFESKGELGMFCNTKRQVTMPQEFHFATDERIPPPTNVADMFDKLSINSERQQDKPIPRNTTPNPFHLHTEERGAEKEKKLVSELLQKQWEEEWARIPKALPYPYTTDYPVIPPKPEPKPCTRPEPFELESLVRHEEEIQREMEERQQRETEEAQMRIFKAQPILREDPIPVPEKARKPLTEVQTFNLHVDHRAVDRAEFDKKVKEKEMMYKRYREEAESERLMEEEKALKQLRRTLVPHARPVPNFEHPFLPQKSSKQVTKPKSPRLNILKRKEKRRMVCPVPATASSLPTQMR >CDP17450 pep chromosome:AUK_PRJEB4211_v1:5:2540848:2545724:1 gene:GSCOC_T00000924001 transcript:CDP17450 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAENSIGDGNAAALSSTEMSGSRSFAFAIVARRKEGKQTQNLTEMKPSKDDKMGLELSLSLPNVSWLPIGSTPDGEKEQQPSRVDFDFLESVIAKPVVVAAKMFEEMSEREIACLKESARELICDPGQIYMLSVIRQLLPKRSVISLELLLQKCHRTQLQILVAIKTGQAELLEFDFPVFFSDLAEIYVELRCRNLKCQIHLGENKCNCKFCAEKNGFCKDCMCMLCSKFDMESTTCSWVACDKCLHWYHVDCGMQESCFRNVLGASGNGAEMNLFCIACGHTSEMFGFAFKKCGKEFTSEALSRELNYFRRIFSTSNTFRGKRLHEIATLMLSFLEDRANVQEVEHQTAGSFNVEKIWNLFVYCCEVLGRTLTIDKLLDIFKNHGPEWQQLQKTQLKEPEELTLKTSGKEKDDFNWTGLLSAPSDPDSVLLERARKLLRGNNGSSRVQCDGLAGMMQIFDNDKHGNNWRSLSSSSSSPIYPPWGAYTKSKKRHLL >CDP15947 pep chromosome:AUK_PRJEB4211_v1:5:23101136:23104025:1 gene:GSCOC_T00016870001 transcript:CDP15947 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVNQEQRTGASILRLFFHDCFVNGCDGGILLDDTATFKGEKTARPNNESVRRFEVIDTIKSNVEAACKATVSCADILALAARDGVVLLGGPSWTVPLGRRDARTASFSGANSQIPPPTSSLSALISRFATKGLSARDMTALSGGHTIGQARCTSFRPHIYNDTDIDPAFAAKRKANCPLSGGDNNLAPLDLQTPTKFENNYYKNLLVRRGLLHSDQELFNGGSQDALVRTYSSSEATFRSDFVAAMIKMGNISPLTGTQGEIRRNCRVVN >CDP15744 pep chromosome:AUK_PRJEB4211_v1:5:5502916:5504321:-1 gene:GSCOC_T00015781001 transcript:CDP15744 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTDHSFSRDGPLSINYSDQVKEIAYALEHTEYQFLWSLRTPPPKGKFEYPGEYENLEEVLPEGFLQRAAGVGKVIGWAPQAAVLSHPAVGGFVSHCGWNSTLESVWYGVPMATWPLYAEQQIDLCNSSFLISIIKMKIFVGKREN >CDP11783 pep chromosome:AUK_PRJEB4211_v1:5:19022670:19023723:1 gene:GSCOC_T00035017001 transcript:CDP11783 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTRRLNKECEEPTFGVGSIGKVRVLMMQELDSVSSKRRPTNATVPVSVYCCEKRRRSVQVKKTKSDQRNSTSTGCKSSNLSSDTRISSGISSRKKVHNQSPSAQSIPMLESEDISLERTPARGCQERRKTRIVEIVDLKCGNSGKGWSSPITDQLRRLSFSKLSD >CDP05738 pep chromosome:AUK_PRJEB4211_v1:5:27290742:27291647:1 gene:GSCOC_T00021009001 transcript:CDP05738 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVAAAKVRRAQEAVINSRPFAEALVEVLYDINEQLQLDDIDVPLTNIRPVKKVALVVMTGDRGLCGGFNNVMLKKAEARIKELRNLGLDYYLISVGKKGNAYFHRRDGLFVGRFVESGGFPTSKEAQVIADDVFSLFVSEEVDKVELLYTKFVSLIKSDPVIHTLLPLSAKGEVYDVNGKCVDVGKDEFFRLTTKEGRLTVERDRPIGKKREFLPNLEFEQDPAQILDALMPLYLNSQILRALQESFASELAARMNAMSNATENALELKKNLSITYNRERQAKITGEILEIVAGAEALI >CDP05897 pep chromosome:AUK_PRJEB4211_v1:5:26157076:26158455:1 gene:GSCOC_T00021213001 transcript:CDP05897 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHNPNYATSLYHFIPSPLFLGKQIAPNAFQTTPKLHILDLSGNAGCLPEHPELSSMPELQELYLRRMQISVFPAEIMSLKQLRTLDLSQNSLQHIPQGVKDMTSLTQLDLSDNNISALPPELGMLEPSLQVLKLDGNPLRSIRRTILDRGTKAILKYLKERVAED >CDP15937 pep chromosome:AUK_PRJEB4211_v1:5:22998701:23002267:-1 gene:GSCOC_T00016856001 transcript:CDP15937 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEDDAGLPPTSTNTKPTTPTSPSPSPPPPPSSSADIFPPHPPPRPSTTTKATSFPIREDCWSEDATHTLIEAWGSHYLDLNRGNLRQKHWQEVADAVNADHAHTKKLHRTDIQCKNRIDTLKKKYKLEKAKFLQSNGRVVSTWPFFASLDSLIGDSFIKANAPPSGTPPFPVLPPLPSAVPVGPRSKRPAPAQAMGEEAVFRRNFSAMAAAAAAVAEDDEDEEESDTSSAAAVGLGSGGMRRKKRRGAAGKVAAEGYRKLAEAIRGFADIYERVEEAKQRQMVELEKQRMQFAKDLEIQRMKLFMESQVQLEKLKRSKRNSQSGDGYL >CDP16381 pep chromosome:AUK_PRJEB4211_v1:5:3150424:3169566:1 gene:GSCOC_T00018222001 transcript:CDP16381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MAGILKPPGAFTVTPHKVSICILIQVYAPPTQISVPFPFSSVSHHNRLGLFLFALSKSCDGIFEPKLDELIGQLKELGDFLNDWLIDHLTRRLSSLASPDDLFNFFNDLRGILGGSESHVMDDDQIMLDPSSNLGLFVRRCLLSFNLLPFEGVCHLLTNIGAYCKESFSSCPYELSHIEDCANEAESSMEYENMELESLVFDKVSKEFEAQKKANDSFAFHNHAPKAIIGFIEESDISSGSKVKEFDRLREGSSCIPSSSYSQRIIDPQVGSFLRTNWQIQGNLLDQADAIERHGSSFSLNAFESILKQLQKLAPELHRVHFLRYLNSLHHDDYPVALENLHRYFDYSAGTEGIDFGPPSSGCNSFGRYEVALLCLGMMHFHFGHPKQALEVLTEAVRVSQQHSDDACLAYTLAAICNLLSEVGISRMTGIIGSACSSVVNMRTSLSIQQQLFVLLRRSLKRAEGLKLKRLVASNHIAMAKFELTHVQRPLSYFGPKGPMKLRTCPINVCKELRLSSRLIHEFCDESSIMNTDGLLCTMWLKNLKKPIGSVIFSQENESRRNLDTFQFCSQPNSLPGSVVQLLGTSALVRATAWEIYGSASLARISTLAFAACFADSSSSADAALAYAKLIQHLAVFKGHKEAFAALKIAEEKFLCVSKSRVHLVKLQLLHERALHRGDLKFAQRICNEFGALASSVSGVDMELKTEASLRHARTLLAANQFNQAAAVTNSLFGMCYKYNMQVENATVLLLLAEIHKRSGNAALGIPYALASLSFCQSFNLDLLKASATLTLADLWLSLGSNHSKRALALLHSAFPMILGHGGLELSARAYITETKCYLADPSFSVSEDPEVVLEPLKRASEALELLEYHELAVEAFYLLAIVYDKLGYLEEREKAAALFKVHILALENPEEKEDSLSTML >CDP14781 pep chromosome:AUK_PRJEB4211_v1:5:21857960:21858583:-1 gene:GSCOC_T00042237001 transcript:CDP14781 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIKHLGYDKDGSFTMKIQAKTILEDIHLGDSIAVNGTCLTVTDFDTQLAEFTIGLAPETLRKTSLGELQHGSSVNLERALSPSTRMGGHFVQGHVDGTGVIVRREPEGDSLWVKVKAGKDLLKYIVPKGFIAVDGTSLTVVDVFDEEDCFNFMLVAYTQQKVVIPLKKVGQKVNLEVDILGKYVERLLSSGFVDSIRSSRPVEVH >CDP18740 pep chromosome:AUK_PRJEB4211_v1:5:23636892:23640370:1 gene:GSCOC_T00002673001 transcript:CDP18740 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEKKNELNEKIGELNEKIGELNEKIGGGWRFSRCILRKPKEKKEDLNERIGALLREAGIIICKLALSRVKGGDNTDGKKLEDVAEEYMMDLIGRNLVMVSERSSMGGVKASCIHDLLLEFCKSKAKETGFLRVLRGYDEIYTFNEPRYPPRLSICSSAEDFHKSKLFCPQLGSLLFFRQNAGDDFPLADVSFLFCIYKHLTVLNLEHIVLIHKELPTEVESLCRLRYLALRADAMRFVPLSISNLSSMETFYLQSLVEVSLPYTIWDMKKLRHVRVGGRLGARVLPSDNVLENSSTLPDLDTLCYLRLPFNQEGEAMLRRIPNIRRLKIVAADVGEEACFNMSQLDTLESLNILSNHFQGPRNHFELSFPKNLKKLLLSGLNLPWSKISLIEQLPNLEVLKLLCDSLRGRRWELTEGGFPKLRVLTLSDVNVVEWTETDTDSDDYFPCLEELKLVGELKLEQVPSCLERISTLEMIKVYIWGKGDYVGSVISLPGKDSEGHQLVQYEQGYHVVIGKLGKNGWKECDCSQRQLEGA >CDP05972 pep chromosome:AUK_PRJEB4211_v1:5:25624889:25631142:1 gene:GSCOC_T00021306001 transcript:CDP05972 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKPTKKLPKKTKKGAKNHNPRRGIKPWDHDDDIPSMKSKNLRGIKGAIRAVTNPSYCLEMGIGNLNRDGESLRYHHRKKLWLLLKKLMRMQNWAEASGVLSVLLRGTAKEKSISRNRIKYFATLELLQRIKGDNINKRRMQAVYDLWMMRLGPMRMRPAEDRFAALKEYILFCLTRGDTEDAHTAAVCLMQERGFDSDAVSNLVVGLTFLQLWYSSIPKEMQLGHLSKYATPMQLEISGGIISMSLKNSEEHNAIESQATNVPSKCASNISVGNYKGCSQNDDVDQNGEVSMNFEDNMGGQSPGQFQAQGFYMHSVERSGDEESTSPFQGDDVPRTSIFYSHGLPPWLLPLELPHSAENLENFIYMHRNSLNDYYKNALKYFRAALYSEPPVYEAFHPLIQMLLVGDQVQEALNEVEKFSCYPETALQLRLKTSLLEYFNDGNYGKLPTHFEDILKKDPTCSYSLARLVNMHRIGYYGTEKLVEMIAWHLDAVLAGYVPWKEFASCFLELSQTVGDQVSACFDSNEAGKKESFSCNVIQIPAIFTNPESQCTWRLRCRWWEKRHYSRKILESEIAAGDLQLITYKAAAACHLYGREFRYVVKASEQLGREKNIESLSILRMHDRNSVGFYSSIGKRSL >CDP06053 pep chromosome:AUK_PRJEB4211_v1:5:25003603:25005874:-1 gene:GSCOC_T00021405001 transcript:CDP06053 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGQAVSTTCGHLLCPEDASKILSNDAACPICDQVLSKSLMKSVDINPSDEWTNMVMAGISPQILMKSAYRSVMFYIGQKELEMQFKMNRIVAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFAEKSRSKRKLDEMYDQLRSEYESTKRSAIQPAPNFYPRAEHDLFSNPANVMDNRDPIRKGPREDIWPPARQNSSNSGPFDISSGSPARQSGIPVDAGNKRAGVRPVFGAGGGAGASNPSMTLRNLILSPIKRPQLSRNRPQLFT >CDP11317 pep chromosome:AUK_PRJEB4211_v1:5:13878718:13879139:-1 gene:GSCOC_T00033499001 transcript:CDP11317 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIKQLSWGGYLLFLMHWLLLSPTFSLDITSVMNGPIVSIVNLVLKEIPFSRNLSLVHLLVVHLSEA >CDP13720 pep chromosome:AUK_PRJEB4211_v1:5:28000818:28003076:-1 gene:GSCOC_T00038760001 transcript:CDP13720 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEGGGGFGGDPNDHHFHRNEAISAVADEGFLGEEDDDYEDLYNDVNVGENFLQSIRKTEDFGVRKEEGLSEKRVVQMAGNPASQALPLPPPPQRALDGGGVGGGGGGGGEERNFERDEVGSTAVRAPSGRVVDGYQQQPQQPQQQQQQNMVFRGPGAGAGGGPTGTSSGGGGLRVELGQPSSNKRAGELEEQTVSNSVVNQGGMVHQQPPPHHHAPSGGSLAGPVGNMGSVGNMGGIEGTVRPGGANVNGAGGNGYGNVGGTVGGGGGAGAGGGQGTILFVGDLHWWTTDAELESELSKYGPVKEVKFFDEKASGKSKGYCQVEFYDPAAATACKEGMNGHLFNGRPCVVAFASPYTVKRMGEAQVNRNQQMAQSTVTQGRRGTGDQPGKPGGSNIATGGNYQGGGENNRAYGRGNWGRGNAQGMGNRGAMNPMRSRGGGMGGRGMMGNGGNGFGQGIGATPPLMHPQTMMGQGFDPGFGGPMGRMGSYGGFPGAPAPPFSGILSSFPPVGSVGLPGVAPHVNPAFFGRGMPMNTMGMMPTAGVDGPNMGMWSDPNMGGWAGEDHGGRAGESSYGEDAVSDHQYGEVSHDRGAWPNAIKEKDRGSERDWSGSSERRYRDEREPGYDRDIPREKDAGHENEWSERRPRDERDVGRDRDRERDRDRERSRDRERDRDRDRDRERDRDRHRDDRDRYADHHRYRERDLEYDDEWDRGRSSRTHSKSRISHEEEQRSRSRDAEYGKRRRLTSE >CDP13563 pep chromosome:AUK_PRJEB4211_v1:5:29050422:29055057:1 gene:GSCOC_T00038554001 transcript:CDP13563 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSSLVRSTPSPVLQASRFGSSYHQPQVFGAIGANVRFQSSIHGNRILAQSSSLQKCSTTARSIQPIKATATEIPPTISKSSSGGKTKVGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGAFKGSLRVLDDTTLEINGKQIKVCSKRDPADIPWGEHGADYVVESSGVFTTVGKASAHKRGGAKKVVISAPSADAPMFVVGVNESTYKPSMDVVSNASCTTNCLAPLAKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVKAAIKYASEGPLKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSSSLMKLVAWYDNEWGYSNRVLDLIEHMALVAASN >CDP19577 pep chromosome:AUK_PRJEB4211_v1:5:18689838:18693714:-1 gene:GSCOC_T00007004001 transcript:CDP19577 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAINVKQQKHFVLVHGACHGAWCWYKLKPLLESAGQRVTAIDLSAAGINPKRLDEVYTLEDYSLPLLELMASIPPAEKVVLVGHSYGGFNLALAMENYPEKISTAIFVTAFMPDILHPRSYPLEQCLAGQSPEMDSLDREVSTYGTQEKARKSLLFGPLYLSARLYQLCSVEDLELAKLLVRPTLHLLEDLAKEKPFSAEKYGSVKRAYIVCKEDKVLTSDFQRSLIENIEVTEVKEIKDADHMVMLSKPQELCQNLLDIAEKCT >CDP16236 pep chromosome:AUK_PRJEB4211_v1:5:15542605:15546976:-1 gene:GSCOC_T00017362001 transcript:CDP16236 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASKGSKLGIGSPIVVVEAPKMIKTAASVPCLRVNAGLVKPGDVGRIVSRKPKDVWAVRLSIGTYLIDGKYFKPLEELAD >CDP14703 pep chromosome:AUK_PRJEB4211_v1:5:21104109:21106112:-1 gene:GSCOC_T00042121001 transcript:CDP14703 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQSRHGFSSVTINFSNILEKFTVNFVHFQVVVPLLLREIAAEFSSSLCRSSVDLNMRLLQNNSISGHIPLEMVPVVSQGL >CDP13564 pep chromosome:AUK_PRJEB4211_v1:5:29047023:29049421:-1 gene:GSCOC_T00038556001 transcript:CDP13564 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSFIYWDDCVDPEDLEAMWMDPAVQAEWLNAGETRGSKVHLSRDPDGQPYLTQTEIKAVAGIIVRRHFVSQIDSSVSQSMAMGEAAQDNASMLVIACVI >CDP13647 pep chromosome:AUK_PRJEB4211_v1:5:28463900:28470660:1 gene:GSCOC_T00038664001 transcript:CDP13647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol/phosphatidylcholine transfer protein SFH13 [Source:Projected from Arabidopsis thaliana (AT1G55690) UniProtKB/Swiss-Prot;Acc:Q501H5] MSGLEGMETYDETRERRSDFENSEDERRRFKIGTLKKKAINASNKFTHSLKKRGKRKVDCRVPSVSIEDVRDATEEAAVYELRQKLLDRDMLPAQHDDYYTLLRFLKARDFNTEKTIQMWEEMLKWRKEYGTDTILEDFEFEELEEVLQYYPQGYHGVDREGRPVYIERLGQAHPAKLMRITTIDRYLKYHVQEFEKAIYEKFPACSIAAKRRICSTTTILDVQGLGAKNFSRTAANLLASMTKIDNNYYPETLHRMFIVNAGPGFKKVLEPKSLGKLLEAIDSSQLPDFLGGSCSCPVEGGCLRSNMGPWNDLDIMKLVYNVETKFVRQITRVSGDDQKVDLYTQTFPLKGRSSYTSVVESGLDVDDSCSPTRKSSFTFPRLAPLHEEARTSESPVYYSCDDLFSEVDTHGGNEQEIDNSQYQSPAIYNAGRRAILEGTLVIHWFETIQEKVVKRSLRFMSRTIIQIIFKLFEAIRNVPFDHSRRQTNIYPSNALEEQPENHSSVSAQAIGEGYQVLPCVQRLQRLEKLLEELNNKRHEIPMEKEQMLQQSMDRIKCVEMDLEKTKRVLHATVVKQLEITELLESIRESKFRQRKFFC >CDP10752 pep chromosome:AUK_PRJEB4211_v1:5:16862125:16864143:1 gene:GSCOC_T00031571001 transcript:CDP10752 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIEHKNVSVNGINMHIAELGEGPLVLFLHGFPELWYSWRHQILFLASHGYRAVAPDLRGYGDTTGAPVNDSSKFSSLHIVGDLIALTQAIAPDQEKVFVVGHDWGAFIAWHLCMFRPDKVRALVNLSVAFIPRNPSCSLVESLRSAYGNDYYMCRFQEPGEIEAEFAQIGVKNFIKKMLTYRTPGPLFFPQGKGFGDSPDTPVVLPSWLTDEDVDYFVSKFEKTGFTGGVNYYRALNNVTWELTAPWTGAQVKVPAKFVIGELDLTYHMPGVQEYIHKGGFKRDVPLLEEVVVVKDAAHFINQERPDEVSKHIHDFIKQF >CDP13638 pep chromosome:AUK_PRJEB4211_v1:5:28521145:28524153:1 gene:GSCOC_T00038653001 transcript:CDP13638 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESKMFVQPPKEIPPLDTKSLTIKIANSSKKTSNGILSNIVSSTQDSLSQSLLANSLSSSPYNSPSLVSPSSSAFVSALQSPYISPRATLFHNSPTEDPTPAATLAHPSPPLSSYSDSQSDDIPSTSYTPPPERYDFSSDPDNTKLKIVTCVPVPGPDNAPRVSFSFPVPRISFAKSSVSPASNVKLRSCDVYIGFHGQNPNLVRFCKWLKSELELQGIACFVADRASYAENQSHEIADRVICSVTFGVVVLTRQSLLNHLSLEEIRFFSQKKNLIPLLFDIDINEIISIFNRHADNKECKQALDGLMKAHELRVEANEGNWRNCVSKAAGILRTRLGRKSVIEKEIEGFDEFPFPRNRCFVGREKEILEIETAFFGCGDNSEQEGMVTTLKGGTTRKSDDLADDESEFDTSRRGKYIDLEVGNFKEPNLESWVEPAVARNSLKRPKYKKSRSGKYKSCGCSIVCINGSPGVGKTELALEFAYRYSQRYKMVFWIGGEARYFRQNILNISLNLGLDVSADPEKERGRMRSFDEQETEAFKRVKRDLFRDMPYLLIIDNLESEKEWWEGKDLHDLIPANTGGTHVIITTRLSRVMNFDQMQIQPLPLADAMLLIGGRQKKEYPAAEVEILGKFDEKLRRSSFGLWLVGSLLSELAISPATLFEAVNQVQVEEATYSNLSIADQQFCRTNPFLMKVLGFCAAVLQQPTDSTNLLASRMLQVGAWFATAPISANLLAVAAKHMPASKNRLKKWSTSMKLTFGCCSGCCLANQGWTGEEESAYLLVKMGLARKAKRQPGYWIQFHPITQIYARRIKDGLVAAKATVQGVRKFGDTLLNLEHLWASAFIVFGFKSEPPLVQLKATDMVLFIRRTALPLALRAFTIFSRCNSALELLKVCTNVLEEVEKSFVSQIQDWCHGSLCWKKRLQPNQRVDEYVWKEVTLLKATLLETRAKLLLRGGHFDSGEELCRTCISIRTVMLGHSHAHTLAAQKTLAKLVRMRSKT >CDP13639 pep chromosome:AUK_PRJEB4211_v1:5:28512932:28516975:-1 gene:GSCOC_T00038654001 transcript:CDP13639 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESENSALESVEDNEKLVNSDALKLRSNGNGVFDDNDNGNEVAEVSVKSPPGVIAKSSASLPSPSLSPSPSPSPGGTKGYGLKKWRRIKRDVIKDGLSNLDGSKLLKRGLPNSAANPNRATQSSGGGLKQYSEGSVSSTNATARSPGNVVDLFAAIGDSGIGNVLGAGTAESENSEDRSSKSSTAASAPIPKMMYEMPAGKGFASHINRMRNLSGKNLGNSGQRVQAGKVRTETSKKLRGDVVKIEKTNSHSSMESDSRSSNFVFMQGINFATSNGRQSGRSRNNDGENSDEAQGCEWQPSEELPGDSSRKNGGEFHDNFQEDFAADSSWGIKEERSDNNGPLSDQDSLFESILTLQSAEEALEKEIQKLREIGKEESTLDDLVKDASTEQLQFGDFGENFLDSSESEVLSLKQRLDLMETKLDGATALLKVKEAKIVELQESILGGRSPKVGTGCALELHQESYRDINIELEGLFKQKIEAEVEYLVISRTVQNLRVAVVDQITTLQEQRKDQARVLNRLGDAEVKAAMLKGEAVKLENYCEDIMAADETIKLKMSICKYASCFFIQLMLLLIILMFLILQFSPSHSEVVPT >CDP05839 pep chromosome:AUK_PRJEB4211_v1:5:26593394:26594466:-1 gene:GSCOC_T00021135001 transcript:CDP05839 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDELYDFEDYFPSMIQRLGSEGFMGELCSGFYLLMDVTKGLITFESLKKNTLVLGLHDLGDDEIVCMLAEGDMDGDGALNQMEFCILMFRLSPGLMDGSKRWVEEMDM >CDP16219 pep chromosome:AUK_PRJEB4211_v1:5:15998565:16001645:1 gene:GSCOC_T00017334001 transcript:CDP16219 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTLLRAISSMVIAYLVYYVLKWLNPKCKAGAGLPPGSMGLPLIGETLHLFFPSNSLDVHMFFKNRIQKHGPLFKTNIAGQPVVVSSDPKVNHCPFLQEGKPVQFCFILNHSGEESIKEKLLSQLEELIYRNLGSWSKHDSVELKRAFSMMVCKFTSRQLFGRDAERLRQSTAEKLTNFIQVLMSFPLNIPGTRFHKCLEEKIEVTSTLREIVKEKQASHDDKMHEGDILSQFVKDMKTEKFAQEDFVVKILFSTLFATTETNSSIISLALKLLSENPSAIEQLQVEHEEILRNRPKSSSSVTWDEYKSMTFTLQDHIINSLFPSLLFILNYFRKQLKVEALYSLLGYTIPAGWGIMIASSAQHLNTGVFRDPLAFNPWRWKVLLQFLPFYFVTTMNFMPFGRGVRQCAGAEFSKALLSIFFIIYWSPYYRWKPVKASNITRTPLLNFGDGIHIKASKK >CDP14799 pep chromosome:AUK_PRJEB4211_v1:5:22073304:22074222:1 gene:GSCOC_T00042258001 transcript:CDP14799 gene_biotype:protein_coding transcript_biotype:protein_coding MERFHPSTSNSKPEKRIKEKNRRNHFKNLYSQLYSLLPSDISKEVLPVPDKIGEVINYIKSMERKLENYKQMKEKLLGSKRRYSSTKSSELTNVKVHDMGPDTDMILISGLKEPASFYGIIHLLQEEGFEVVNANFSNDGNSMLQVVHEKGGISTSSTGTIEIAKRERLKELIYGYSHGEVESTVDSWDFEVEPDLLASGFLGPLPEGEFCFLQQH >CDP05805 pep chromosome:AUK_PRJEB4211_v1:5:26856326:26856937:1 gene:GSCOC_T00021095001 transcript:CDP05805 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKRKGTRVKILIFWSNQSLKEAANEVFDSQNGYILVENSDLSSVYNEETRTLSSTDVAVFLAPDASQLAVLKTITDDLYPKPVVLFNPGWAFEEESDFGELSSFVGSFEVVYSFMGLEVRGILSKRKGVIFKRVRDGVLSGERWNVFVEENGEMKVVSSFKARPSITEVETVLYNLMAINSPITKSAKFLKNLMSHATGKK >CDP11328 pep chromosome:AUK_PRJEB4211_v1:5:14097081:14104240:1 gene:GSCOC_T00033514001 transcript:CDP11328 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYYIKGTNKVVRPGDCVLMRPSDPDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGVEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCSDCSSDDDAKRSLNSFPVSPSIEKPKRRKR >CDP06057 pep chromosome:AUK_PRJEB4211_v1:5:24972828:24980177:-1 gene:GSCOC_T00021410001 transcript:CDP06057 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEREKRHRHNFAHVTTHTAQEWAETFVSELNDTVIEAQQRIRKVPPPLNVTDAVKRYLQSNNRLLILGFNATLTESVDTPGRRGGDQIKEMELKLHPEVKGPLTALCSDPKTTVVVLSGSDRSVLDENFGEYNMWLAAENGMFLRSTKGEWMTTMPEHLHMDWVDSVKHVFEYFTERTPRSHYELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVAQGSRSVEVRAVGVTKGAAIDRILGEIVHTKAITTPIDYVLCIGHFLQKDEDVYTFFEPELPSDAMSIPKIKVTDAMKFPVERRPAPKLLTGRNSSKSSQHKSQRPVLNSGGGRRSSPETTSWNVLDLKKENYFSCSVGRTRTNARYVLNTSAEVVAFVKDLAETSS >CDP13750 pep chromosome:AUK_PRJEB4211_v1:5:27826789:27831384:-1 gene:GSCOC_T00038798001 transcript:CDP13750 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEDRLKEYFRAFGEVVEAVIMRDRNTGRARGFGFVVFADPAVAERVVMEKHVIDGRTVEAKKAVPRDDKHIINRNSSSIQGSPGPGRTKKIFVGGLASTVNESDFKKYFEQFGNITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPSRSPLVGYNYGFNRANNFLNSYAQGYNLSSLGGYGVRMDSRFNPVASGRTGFSQFGSPAYGMGVNLDPALSPGFGGGSSFSNNLGYGRVLNPYFGGNSSRYSTPIGYNTSSSRGDSFLSSSSRNLWGNGGLNNSANTASTGSYLGSGSGGFGVFGVNGANWGSSVSAPVGGNSSNYGTGNAGFRGGENSYGLGSGGIGRSSGTGVATASSFAGSSDVYEGSYENLYRSGSMYGDATWQSASSEVDGTGSFGYGLGNSSDVTPKDSEGYVGGYTIANRQSNRGIAA >CDP10797 pep chromosome:AUK_PRJEB4211_v1:5:18142560:18145746:1 gene:GSCOC_T00031669001 transcript:CDP10797 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSSDLLKKIQLIETTVAQRCPEPSLFDYPKTNGLGFIDFLLENLMELTSTEAGSITFMNHPIQAVQEELVCLRSLLGRIVELRSEDEELQAIRDCAIEVAYKIEFLVDSLMVGDDLDSSSMSFHSIVEEIKIIKAKALQICDNDRLHGKVKKVSKRLFQMPTQLNKPIINDVVVGLEYEAASIINRLTRGSLQLQIVSIVGMPGLGKTTLARKVYNSSSVMSYFYKRAWCTVSQVYHKRNLLLEILSCIESKLPGNVFEISEEVLAHEVKRRLLRNKYLIVLDDVWDIEAFNGLEASFPDNGNGSRVILTSRRQDVAPQDKLDQEPHSLRQLTPDESWDLLKAKLYPGQDLAPPELCEIRQKVVEMCQGLPLTVVILAGILSSMDRHDWKEVVEGLSSRNVSSTEQCTATLELSYKHLPDNLKACFLYFGAFPEDYEHNTKRLISLWVAEGFVQKTQLKRSEDVANDYLMELISRSLVIVSKPRSIDGVKACRIHDLLYEFCVTKAKGEKLLQLVRRYDELSAFTMPCYLRRLCIDSKPEHFDNLRLFSSAIRCLLLFHHGGVDRERSFDLRFIFSIIKLVKVLDLSQIQLRPTFPRELELLVHLRYLAILGNGSPIPASICNLSNLETLIWENFSFHSSVSLPDTIWNLKKLRHLQLKDKMSKNYHFRFPDDNLDNSSELCDLDILSCLSLNPRKNINKLLRKFPNIRKLRSSLYLNHGYEYHVAIECLSQLESLDLSCVVYGGDRYQLDIQFPLIIKKLTLSYFRLPWSKMSAIGNLPNLEVLKLLDRSFEGEIWEMEVEKFPKVKFLKLDSLDIVKWTASSEYEYEDQYYFPRLQKLVLESCDALQEIPSCVGNSSTLEIIEVSKCPNCTSSLEEIQEEQRSNGYTDLMILIS >CDP14709 pep chromosome:AUK_PRJEB4211_v1:5:21168668:21171675:-1 gene:GSCOC_T00042128001 transcript:CDP14709 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLPEELLIEILTRVPVKSLICFTLVCKSWYGLITSPNFITTHLTKIHTEKIPNNPSLLLIRRYTKDDKKEHYTINVDDEEDGHENGGGNGQFAKKSVELDFPFKSLIGYFRIVGTCNGLICLSDDLFGTTKPIILWNPSVRKSVTSPAPTINPPLPYMFVLGFGADSSQDYKVVRIVYHRDGQFDFLLPPEVEVYSLSMGFWRRLINVGIKVCIADFLWSQAFVNGAVHWIAYHPRGSDNDSGSFGSLILGFDMDNEVFNEIMLPDDLAHELATNLCISVYKGSLAVIKYPRWEDNGSCSVWVMEDYGVWESWTQLYAIDLVQGMEKVVGFRKNGDVFVAKESKGLVLYNPKTGFTEDLGIWGSTRSFYIYNFEETLILFERQNAVVEEEEEFGDARAFQENGGIDLDEEPRRNEDYFDAQETITDGLANFYVSGQGRY >CDP05852 pep chromosome:AUK_PRJEB4211_v1:5:26493526:26495152:-1 gene:GSCOC_T00021155001 transcript:CDP05852 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSSTVVVRATPFLGQPKNANPLREVVSMGNGKYTMGNELWYGPDRLKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKYLKVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGYRINGLEGVGEGNDLYPGGQYFDPLGLADDPATFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >CDP05873 pep chromosome:AUK_PRJEB4211_v1:5:26349071:26351121:1 gene:GSCOC_T00021183001 transcript:CDP05873 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSTGSQQPQLPPGFRFHPTDEELVVHYLKKKAASAPLPVSIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLVDNKTSIKPPGCDIGNKKGSLRLDDWVLCRIYKKNNTPRPMDHDRDDFNDMMPSIPPSLPPSMSMSSQQKILGLKASNFGALLENEQSAFEVPGMLTSNHVIPAKRALPALYWNDEGNPISPSNKRFLADSGDGNIGRTNNDENSSIATLLSQLPQTTSLHQQAMLGSLGDGVFRQPYQVTGMNWYS >CDP06179 pep chromosome:AUK_PRJEB4211_v1:5:23937433:23940037:-1 gene:GSCOC_T00021584001 transcript:CDP06179 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGNVLFPLAFLAAAGGAAVQIAIKFLILRGKAFPLLEKHEEDFIQKVDYAVTNEDKITQLPDDLLSAILLHLDLMEVVRLRILSRRWKNVCKLGGELRLDCLDMFRVNQSHDKCSRQDQFRFLKAVDNCLQLCSCQTITCLEISCCFLKRFTSDFIRWMQSIATLDIQKLHLRFLCSSSPLCEHVKSSTIDLFPISFQLLSKAATLKHLHLCACVLQPRFTSQFNSL >CDP05706 pep chromosome:AUK_PRJEB4211_v1:5:10954690:10957866:-1 gene:GSCOC_T00020934001 transcript:CDP05706 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYSTTKPKFCRWAGPSSLLAFSGVVSGCPASPFLQQHLQQGQRLLKYFQRNAAQTKNMCWQIMKIEGYCEEFVDLVFDHLVQNEKLGKAFMAKGQRLRLIFLERFKKYWGVECSTYYVMAARIVYCHEFI >CDP15921 pep chromosome:AUK_PRJEB4211_v1:5:22898405:22900115:-1 gene:GSCOC_T00016839001 transcript:CDP15921 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNSFNGFPENYGYQGTVKNGSGSSSSLILDNEKGELVRALVRPGQKGVNAEKALVALRNHSEAERRRRERINGHLTSLRSLIPGTSKMDKAALLAEVINHVKELRKKATEATKGMLVPTDTDEVRVEEHQADAAGEASYTIRAFLCCDYKHELFSDLRQALETLPVKTVSAEIATLGSRMVNVFEMTGCDQQNLENSEGRELLVNSVRQALRSVLDKFYASEEFLSRNALSNKRRRVSLFDASSSSSLENFW >CDP13736 pep chromosome:AUK_PRJEB4211_v1:5:27893523:27896683:-1 gene:GSCOC_T00038783001 transcript:CDP13736 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFDMIENVLEIKDTHVREVMTPLVDVVAIDASATLVDFHDLWVTHQYSRVPVFEQRIDNIVGIAYAMDLLDFVQKGDLLESSVVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTIGLVTLEDVVEEIVGEIFDENDSSEEIQKKTGYIVMRAQGIYDVDANTSIDHLSEDLNIKMPEGHQYETVSGFVCEAFGYIPRTGETIKVVLERENEEENDEYEEAESDRTDQHEKSQIFKLEILAGNARKVSAVRFERVNQDDELETKEVTRLVPKIMKKKWGADDDTDKADDGVLVKEIVDHNLSDDTVNAEHVDSHDHQIKQ >CDP05866 pep chromosome:AUK_PRJEB4211_v1:5:26407106:26411850:1 gene:GSCOC_T00021171001 transcript:CDP05866 gene_biotype:protein_coding transcript_biotype:protein_coding MMMALSIAAAVASPAHHPKQTLKPLKPLKPILGLPLRMSSRLSVPKLHLSDHPFVPEVERAVDSLHSEFRAVDNLVARNTARVLKAFQNVRVGSHHFSSSTGYGHEEAGGREALDQVFAEIFGAESAIVRAQFFSGTHAITCALFAFLRPGDELLAVAGAPYDTLEEVIGIRDSHGVGSLKDFGIEYREVPLKEDGSLDWDALKLAVKSKTKCALIQRSCGYSWRHSLSVTEIGRAIDIIKVQNPNCLVMVDNCYGEFVENIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKKWVEAAAARLSAPGLGVDCGSTPGDIMRTFFQGLYLSPQMVGEAVKGSFLIAEVMTDKGYRVQPLSRIPRHDTVQAVQLGSRELLLAFCEAVQRSSPVGSFTRPVAGASPGYASEVIFADGTFVDGSTSELSCDGPLREPFCVFCQGGTHWTQWGLVLGEVLKLL >CDP14816 pep chromosome:AUK_PRJEB4211_v1:5:22247200:22247597:-1 gene:GSCOC_T00042278001 transcript:CDP14816 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKRPSPGGGYVYQPKTHLKRYMQVDLWKNLFMKLLNTSPTEDHKSLLRNLRHSFQDYMCSNPQLIKKLKQLLVKQKNSLCSA >CDP10758 pep chromosome:AUK_PRJEB4211_v1:5:17036716:17038551:1 gene:GSCOC_T00031582001 transcript:CDP10758 gene_biotype:protein_coding transcript_biotype:protein_coding MEITFPALLVSLLLFFLLWKLTGLFIKSSKSTDSPRKLPGPWKLPLIGSMHHLAGSLPHHALRDLAEKFGPIMHLQMGEISTVVISAPQEAKEVLKVHDISFADRPEFLSSKILGYDNLDIAFSPYGDYWKQMRKICLLELLSPKSVRSFGTLREDEASKVIRSIKSSNSPVNITDKAFTFTNDIVCRAAFGKSFAHQDRLITLINGAILASGGFDIADLFPSLKFLHSLSGLKPTLLKLHHEIDQMLENIISERKQKRANQSIPVGSQSEVEDLVDVLLRLKESGDFNIPISTDSVKAVIWDIFAAGTETSAATIDYAMAELIRNAGVMENVQAELRQVLKGRETVQETDLKELNYLKAVIKETLRLHPPLPLILPRECREPCKIAGYDIPVKTKVMINAWAIHRHPEVWPSPERFKPERFLDSGSDSIGMNFEYIPFGGGRRICPGVSFGSAGVELLLAKLLYHFDWSLPNGTISPQMPDMTEAFGATARRKSSLILVATYHDPSA >CDP05714 pep chromosome:AUK_PRJEB4211_v1:5:10766101:10769557:-1 gene:GSCOC_T00020946001 transcript:CDP05714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase 31 [Source:Projected from Arabidopsis thaliana (AT3G29090) UniProtKB/Swiss-Prot;Acc:Q9LVQ0] MGSRVLTVAQDGSGDFKTVQEAIGAVPLGNTCRTVIRVSPGVYKQPVYIPKTKNFITLAGFRPEITVLTWHNTASSISHHEGSRVIGTGTFGCGSTIVEGEDFIAENITFENSAPKGSGQAVALRVTADRCAFYNCRFLGWQDTLYLHYGKQYLKDCYIEGSVDFIFGNSTALLEHCHIHCKSAGFITAQSRKSSQETTGYIFLRCVITGNGETAYTCLGRPWGPFGRVVYAYTYMDACIKHCGWDNWGKTENERCACFYEYRCFGPGSCSSKRVTWARDLLEEEAEQFIMHSFIDPDPERPWLAQRMALRIPYSA >CDP14705 pep chromosome:AUK_PRJEB4211_v1:5:21136965:21139074:-1 gene:GSCOC_T00042124001 transcript:CDP14705 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKNDDEDEGTATCSRFEKLKKSEDQQDLFSGLPDEILLHILSFLPLEDAVKTVLIRRFGNLWRSIRILDFDQCLNHSCYNGPYCNQKLMNLIHQGVKFNESRTLEKLRLKFAFHKGYDSILEDQWLKSTANEIDSLVRFAVSKKVKVLDLDLLGCGFIELVEDYSVPDVVFRNDHLMELRLAACNIELQGEISLKSVKILSLKDIELNDNMMEKILLGCPSLEDLTLIGCYGLTNLNCSNNPNLKKLNLVLHLGKTLTISWNAALSVENPKCLEGGAKQLNLPSAIDASLFIGSRFRWERKKHNEVKKQLQKSSFCSTCTPSSSSCILA >CDP11859 pep chromosome:AUK_PRJEB4211_v1:5:20187410:20191423:1 gene:GSCOC_T00035130001 transcript:CDP11859 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQSLLRAIPTCSFLSLSSSLPSTLQRLYPSPFKLFEFPASKFSCIGYYYSSLPLPLDHNFDNNNNLYYTFEPEAYYTSLLSKSTHKSFLNQIHAQLFTFGLQNNGYIITKFIHVGSNIGEIIYARKIFDEFSDPYVFLWNAIIRGYSSHNMFHEAIDMYNNMQEAYVSPDCFTFPHVLKACSGLSAVKFGQAVHAQAFRHGLESDVFVQNGLVTLYTKCRKIELARIIFDRLVERNIVSWTSIISGCAQNGQPIEALRIFCEMRKLNVEPDWIVLVSVLRAYSDAEDLEQGKCVHSLVMKMGLEYEPDLLIALTAMYSKCGQIMVAKLLFDLMEVQDVILWNVMISGFAKNGHASKAVELFQKMLMRNIRPDSVTVQSTILACAHVGSLDQARWMDNYVYNSKFRDDICVKTALIDMYAKCGSVELARKVFDQSIHRDVVLWSAMIVGYGLHGRAREAIDLFNTMKSAGVHPNDVTFIGLLTACNHSGLVKEGWELFHSMRLYAIEPRHQHYACVVDLLGRSGHLEKAYNFIQNMPIEPAVSIWGALLSACKIHRHVKLGEYAAEKLFSLDPLNTGHYVQLSNLYASTRMWEGVAKVRVLMKEKGLTKDLGYSMIEVNGKLQAFRMGDKSHPRSEEIYKELEILERKLMEAGFVPDAESALHDLDTEDKQVSLCNHSERLAIAYGLISSPPGTTLRITKNLRACVNCHSATKLITKLVNREIVVRDANRFHHFKDGFCSCGDFW >CDP13585 pep chromosome:AUK_PRJEB4211_v1:5:28903856:28911820:-1 gene:GSCOC_T00038584001 transcript:CDP13585 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKQKQKIVLPPELPPEVPDDEIQVSDEDLQFVDQNRDYAGFVSNLDTKSITKHVTRVADVKDDALESMYERRLRKKSADKDKEEDEVEVDPVDALPVKTLDGKLYYRTVPSAAKKSETEATAASEDNGTATPMVKLTKAEKRAKQKKLRKEAKKQVKETAQSDEVRQAPQSEVLDEVKKELTAEEANEKKKYRLAELGTALLVDPESNIKSLREMLQIAKDGDHSIVILGLKSLLAVFKDILPGYRIRLPTEKEQQMLVSKAVKKTRLYESTLLTAYKAFVQKLIALEEQAVYKRVAMRCICTLLEAVPHFNFRDNLLVAVVKGISSQDDVVRKLCCATVKSLFEHEGKHGGEATVEAVKMIAELVKVHNCQLHPDSIDVFMSLSFDEDLGKPESSEVDNKTKMKNSKKKRKLVEPNKLPASENKRSRQEIISKTREEVKADYKAASFAQDVAERRRMQSDTLSAVFQTFFRILKHAMQSLASSMGESFSLPLLAPCLNGIGKFSHLIDLDFMADLMNYLRKLAGAGSQKNDSHHLTVSERLQCCIVAFKVMKNNLEALNVDLQEFFVQLYGLILEYRPGRDKGEVLAEALKIMLCDDRQHDTQRAAAFVKRLATFSLSFGSAESMAALVTLRHLLHKNAKCRNLLENDAGGGSVSGGIAKYNPYATDPGLSGALASVLWELNLLTKHYHPAVSTMASSISTMNTVGNQVSHSNISPQQAFVQLSHEHESFIPASNTKKTSNKRKKGCSLSFPISSASKDELMTLADENAVRNKLSEHFLILDNIVENERLRTELYCTNMSLKLCEQYRKQKKRIR >CDP13754 pep chromosome:AUK_PRJEB4211_v1:5:27798575:27800901:-1 gene:GSCOC_T00038802001 transcript:CDP13754 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPANSSTSDSDSDSEIETPPNPPPNPTQNSDLNVTSDALISNPSSPPVVCLLRFAGDSAAGAFMGSIFGFGSGLIKRKGFKGSLGEAGSSAKTFAVLSGVHSLVVCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFIIEGLNKQQPALALSSNNKVTIRSGQPLALPPLAFPLPNELKESFSFFCQSIKKRHTPRNSKSTAESG >CDP15891 pep chromosome:AUK_PRJEB4211_v1:5:22654711:22656761:1 gene:GSCOC_T00016796001 transcript:CDP15891 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSRLEIQANKAASLHSDIMSIYYEKINAADDFEGRETALETKESQQIQQKLKAVKKEI >CDP05964 pep chromosome:AUK_PRJEB4211_v1:5:25682369:25683250:1 gene:GSCOC_T00021293001 transcript:CDP05964 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPWRKEFLDVILVPASLFIMFGYHHFLLYRYLRYPSTTTIGYENHNRRVWVERMMEIEAKDRPALSVIANTMSAASALSSISLVLSSLIGAWLGSSNRTVFTSNLIYGATSPSVISIKYVALLVCFLVAFGSFVQTSRCLVNASFLMTMPNADVPMSHIEKEMITGSIFYEFGMRVLYLATTFLLWVFGPIPMFVSSVTMVGLLLRLDANRTVLYHYPRIGQDVSEVTKAVEHHERRPQGRK >CDP11904 pep chromosome:AUK_PRJEB4211_v1:5:20737274:20737914:-1 gene:GSCOC_T00035206001 transcript:CDP11904 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLHFQVRDATGNQLLGSPWRIILGDNNPFSFLLQPLNGIPCIPFSAGQPQDEQLLEVILPLLKHLSQQKDVRPVLYERFHMPEGFQRHGIPASWWGNYEGIVKN >CDP05901 pep chromosome:AUK_PRJEB4211_v1:5:26117292:26125867:-1 gene:GSCOC_T00021218001 transcript:CDP05901 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAQESRSLALTPTWSVASVLTIFVAVSLLVERSIHRLCNWLRKTNRKPLLAAVEKMKEELMLLGFISLLLTATASTISNICIPSKFYDSIFAPCSRNEIDEEMEESNLKHRKLLAAFLRPHSYRRNHEPFVSYEGLEQLHRFIFLMAITHISYSCLTMLLAIVKIHTWREWEDEARRVHHHTLTEITRAMTMRRQSTFVRAHTSNPLTRNGLVVWVICFFRQFGRSVVRADYLTLRKGFLMNHNLTSNYDFHSYMVRSMEEEFQRIVGVSGPLWGFVVAFMLFNVKGSNLYFWIASIPIALVLLVGTKLQHIIATLALESAGISVFHPQGRLKPRDELFWFKKPQLLLSLIHFILFQNAFELASFFWFWWQFGYNSCFIKNHMLVYLRLILGFAGQFLCSYSTLPLYALVTQMGSNYKAALIPQRIRETIHGWGKEARRKRRLGIFTDDSTIRTETSTVASLDEDDQQLLDSPRPAPVATEIELQPPTIIITDHSPTPNENSSRIGTPLLRPSATVSSTLSPRLMPEMVTRSNSMPTRKDERE >CDP11332 pep chromosome:AUK_PRJEB4211_v1:5:14136055:14136503:-1 gene:GSCOC_T00033520001 transcript:CDP11332 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPQLTHLGTGSLCPGEIVAQGEQEPDYVSAFAACKSLVCLSGFREINAHYLPAIVPVCANLTSLNLSYATISTEQLKSFIYHCHKLQTLWVLDSVCDEGLQAVAATYKDLHEPVQVSFGRD >CDP16049 pep chromosome:AUK_PRJEB4211_v1:5:415892:419517:-1 gene:GSCOC_T00017052001 transcript:CDP16049 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIFYHFLQGLFLLYFVSASSAMTTTNITTDQDALLALRAHITSQEPHQILLKNWFVSSPVCQWVGVTCGSRHRRVIALDLSNMSLSGIIPPQLGNMSFLVSLNMSRNNFHGELPHEFARLRRLRVLDLDVNNLSGGFPEWFGSIHQLRLLSLNNNSFTGLISPSLANVSKLETLSLSFNYLQGNIPTEIFKISSLELIFFQGNSLSGSVPDEMCRHLQRLKWIDLSRNKLNGQIPSSIYNCSQLQLLCLSLNHFTGFIPRGIGTLKALERLYLTHNSLQGAIPQEISKLCNLEELHLLVNNLTGFIPMQVFNLSQIRIFTLARNKLFGNLPRMGFPNLEELYLAENNFWGPIPDSISNCSKLKIIEFAYNSFAGSIPNSFGDLRLLEILSLGANNLTSDYSSSSSELSWINSLANCKHLKVLIASENPLNGFLPNSVGNLSTSLEQLSAYNCHLRGSIPDEIGNLSGLTILSLYSNKLSGMLPITMKYLENLQGIDLHDNKLSKTCLNYLCVLKNLGGVNFGENQISGSIPECVGNVTTLRYLDLYSNVLSSSLPTTIWNLKDLLELDLSSNSLSGTLPPEIRNLKAAILIDLSINEISGSIPSSIGDLVSLQNLSLAYNRLQGSIPESIGTTLSLEWLDLSHNYLTGVIPMSLSNLRYLVHFNVSYNNLSGEIPSKGPFTNFTGESFISNEALCGAPRFHVPTCPGISGGRLRTKKLRRTISVALGAFISVALAIFLGFIYLRRAKKEQVASAGVLSSVATQERISYYKLLQATDGYDESNQLGTGSFGSVYKGTLDDGRIVAVKVFKLQQEGAFNSFDAECEVLRSLRHRNLTKVISSCSNEDFKALVLEFMPNGSLEKWLYSHNYFLEIKQRLDILIDVACALQYLHYGLSTPVVHCDVKPSNVLLDQDMVAHVTDFGVAKLLGHEDSFTYTNTLATLGYLAPEYGLQGQVSSKCDVYSFGIMIMEVFTRKSPNDKMFGENLSLKSWVSDSMPDGLVCVVDANLLKPNHEKLDCISSIMKVALNCTKESPRERSNMHDVLADLKKIKTLLLPCSN >CDP13576 pep chromosome:AUK_PRJEB4211_v1:5:28975879:28979943:-1 gene:GSCOC_T00038573001 transcript:CDP13576 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKSVGSLKEADLKGKKVFVRVDLNVPLDDNFNITDDTRIRAAVPTINYLIGCSAKIILASHLGRPKGVTPKYSLKPLVPRLSELLGVEVIIANDCIGEEVEKLVASLPEGGVLLLENVRFHKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAQYLKPAVAGFLMQKELDYLVGAVANPKKPYAAIVGGAKVSTKIGVIESLLAKVDILLLGGGMIFTFYKAQGHSVGSSLVEEDRLDLALSLIEKAKAKGVPLLLPTDVVAADKFAPDANSKVVPASGIPDGWMGLDIGPDAIKSFSEALDATKTIIWNGPMGVFEYDKFATGTEAIAKKLAELSGNGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKSLPGVLALDDA >CDP05748 pep chromosome:AUK_PRJEB4211_v1:5:27248201:27253009:1 gene:GSCOC_T00021020001 transcript:CDP05748 gene_biotype:protein_coding transcript_biotype:protein_coding MDENYLSSCFFHTGELVSVKLIRNKQSGQSEGYGFLEFRSRSAAENVLFTYNGTLMPNVEQHYRLNWATLGAGERRTDDSPDYTIFVGDLAADVTDYLLQETFKAVYSSVKGAKVVIDRNTGRSKGYGFVKFGDESEQLRAMTEMNGVICLTRPMRIGPAANKKPAGVQQYQKAPNQNTQGNEGESDPNNTTIFVGGLDPSVTDENLRQVFGRYGEVVHVKIPAGKRCGFVQFTNRACAEQALESLNGTQLGLQSIRLSWGRSPSNKQSDQPPWNGGCYGYTQGYDAYAYAPPPQDPNVYYGGYPGYTNYQQPQQEVMQTSLVLVLLC >CDP14743 pep chromosome:AUK_PRJEB4211_v1:5:21513302:21514250:1 gene:GSCOC_T00042185001 transcript:CDP14743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MASPTLLTPNTSTPKPLLTPTIKSKLTITTTTNAATHRRRDFLSLAAGIFAPALILPLSSTPGAWATEDAEYVKETNEVINKVRTTITMDKNDPNIATAVADLREASNTWVAKYRREKALLGRSSFRDIYSALNAVSGHYISFGPTAPIPTKRKQRILEEVDTAEKALSRGR >CDP15948 pep chromosome:AUK_PRJEB4211_v1:5:23113609:23119151:1 gene:GSCOC_T00016873001 transcript:CDP15948 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPQQHQQQHLLHNPPPPPDHPHHHLHHHHDQGAGAAAAALKLASQSRRSDMDSDKEMSAAVVEGNGAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRLMNHPNVISLKHCFFSTTTRDELFLNLVMEYVPETLYKVLRHYSNSNERTMPLIYVKLYTYQIFRGLAYIHSVPGVCHRDVKPQNVLVDPLNHQVKLCDFGSAKVLIRGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLTSRLLQYSPSLRCTALEACAHPFFDDLRDPHVRLPNNRPLPTLFDFKQELSGASPELINRLIPEHVRRQTTGHCPHPSGT >CDP16080 pep chromosome:AUK_PRJEB4211_v1:5:964039:966732:1 gene:GSCOC_T00017094001 transcript:CDP16080 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWTFPYLNAAIVGLLAFSFFIVHFFFKKDAKKHGLVPPEAGGAWPIIGHLHLLGRAPEKLPHITLAALADKYGPAFTIRLGVHKTLIISNRELAKEIFTTHDLAAAGRPNYLAGKLLNYDNAALGFAPYGAYWREIRKLTATELLSTKRLELLRHLRVSETEISTRNLYNLWNSSSGSGPVLVEMKQWFADLTLNVILRMVVGKRFFGAVDVSKEEEARLCQNVMREFFHLTGLFVLADSVPYLRWLDWGGYEKKMRVNAQEMDQLANRWLKEHRQKKQSGEATSDHRDFMDVMLSLKEAAAIAAVGGYDADTITKATCTILISGGSDTTLVALIWTLSLIMNNPQVLKKAQEELDLQVGKGRRVSESDISNLVYLQAIIKEALRLYPPEPLGGARELREDCIVGGYHIPKGTRVILNIRKIQRDPNVWHDDPLEFRPERFLTTHKHIDAKGYNFELIPFGGGRRICPGINFGLQILHLVLPNLLHAFELSTPSNEPVDMTESAGLSNMKATPLQLLVAPRLPHHLY >CDP10765 pep chromosome:AUK_PRJEB4211_v1:5:17296264:17299788:-1 gene:GSCOC_T00031596001 transcript:CDP10765 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSALASIQISLVLLLAIFVCSEANRLDPYYCCRGKETNITVYLQVFTGGPNTTSVAVAGAPGKPRTPSNFGTIIVNDFNITQGISNNSPTIGRAQGIEIASSRDGSRSLGIFSLIFSNFKYNGSTLEFQGAGYNLQVGSPAREDPIVGGTKTFRFARGYAFFQTVLRRPARNNTVIRGDITVISCPGGGKF >CDP15884 pep chromosome:AUK_PRJEB4211_v1:5:22549886:22551244:-1 gene:GSCOC_T00016789001 transcript:CDP15884 gene_biotype:protein_coding transcript_biotype:protein_coding MERVENLTELLAAQHHVGNQMLNLRKSASLKCAIELGIPDAINQHGEPITLSELVSALPINPSKANHIYRLMRFLSNAGFFVLQDQGYALTAAGPMANDSTLIVQVMMTQCKFVFDGLTSLADVGGGTGAVARAIAQNFPNLKCVVCDLPHVIAGQEGTENLDFVAGDMLEKVPAADAILLKWILHDWSDEDCVKILKNCKEAIPGREKGGKVIIIDMILESQMKDDESVETQVGVNMQMLMGYGAKERSEKEWAKLFQDAGFSDYKALPLLGVCCLIEVYP >CDP11829 pep chromosome:AUK_PRJEB4211_v1:5:19667891:19671658:1 gene:GSCOC_T00035090001 transcript:CDP11829 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCLTSISAVFKDLQFLKNSCPKSPEWMVELFRLTDLEAHLRICRTFLLCVRKWGDDGDAVLGALVVTMKDAISKQGRLIFNTYNFTVGYTYRIRDLGVVPSGLRSFHPDIKEWYLVSSDRSSRQSSNSQVKKDDLMEIMDSLRENLNDIVYSMHLYNSFREQAEALEEMLTFLKNFICFVTLHGVEDMQLGPLLSQVEFVAVNAASLSFAWFCKESMSIKDDTSDLLQKIIYAEPQVHKTCVQALIASKLSRQSYAETDEHVLRGFINSLIFYLWEIIKTRACLMISLKDQLRLLFEGLISFRTILKENPDKFDEKMRYLIRLVLCDAGLVAFSLSLSAEKDGVLKDMDLVSYQDFLERLKVIKATVAETCPETSSSNFPRTNELGFIAFLQNYMMELTSSEAGSVALVNYPIQTIQEELIFLHPFLEKIVELRHEDEELQAFWDRVVEVAYKAEFLIDSLLVGDVLDSSSISFDSIVEELKIIKAVAMKIFESNRLDLKVKEVTKSLNHMRPQSSKPIISDVVVGLEDEATLIINRLTRGSSQLQIIPIVGMPGLGKTTLAKKVYNDSSVMSHFYARAWCTVSQTYYKKNLLLQILTSIHTKLHDKFVEMSEEDLAAEVRRGLLRTKYLIVLDDIWDTEAWNALEASFPDNRNGSRVIMTSRNRDLAAPRGELDEGPHFLRPLTPDESWDLLSKRLFPGKDLPPPELCELRMQIVEMCQGLPLTIVILAGILANEDQYSWKRVVEGLNSSMLSSTEQCTAALELSYNNLPDYLKPCFLYFGAFPEDHEHTTERLNWLWVAEGFAQKTQFKSAEDVANDHMMALINRSLVMVSKQRSIGGVKTCRVHDLLYEFCVRKGRQEKFVQLVSGYDELYTISVPHNLRRLCINSNPGHFCKSRLFAPTIRSLLFFNNHESYQPTVTDIPFLVAIKLVKVLDLSQLNLGSTFPRELELLVHLRYLAVVGDLESIASSMSNLLNLETLILETFDSAVSLPDSIWNLKKLRHLVLKGDCLDEYCELQLPTYNLENAEHLCDLNTLSRVMLPSWDTIDKMFRKFPNIHKLKCSFYEANDSRDSADKVLALDFLSGLESLTLKFINHTGVQCQFEFQFPLTIRKLTLSGFLFPWSKISEIQNLPNLAVLKLLDGAFQGKIWNMEEEEEGFPKVSFLKIASLDIVNWTASEYMDCFPTLRKLVLADCHFLEEIPSGLGSSTLETIEASDCPFSASFIQPLQEEQMDMGNTDLKIHISSSKMNY >CDP16375 pep chromosome:AUK_PRJEB4211_v1:5:3069102:3073596:1 gene:GSCOC_T00018214001 transcript:CDP16375 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNRYVTEKNNLDLVLSKKYKMLKSIGGGRRSITGIPSPKIDTIMIHFVLLISRQGKVRLTKWYSPYSQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYKRYASLYFCMCIDEEDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKKTVARLIAAQDALVEAAKEQASSLSTIIAQATK >CDP13619 pep chromosome:AUK_PRJEB4211_v1:5:28670345:28674238:1 gene:GSCOC_T00038625001 transcript:CDP13619 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFSTPDRETAEDGRELLFDHGAPYFTVTNPEVVGLVQDWESRGFVAPWNEKFGSFDCTSKKFLVSNEEESNQKYVGIPGMNSICRALSSEPGVRSCFGLGVGKVEWLEYEDLWSLTSLDGQDLGQFNGVVTSDKNMFSSRFTTATGRKPPLDLSMVPEIALKVKEIPVVSCFALMLAFERPLQSIPVKGFSFKNSENLSWAFCDSSKPGRSIASERWVLHSTAQYAENIIARTGLQRPTNAILANVAEELVQEFKGTGLDVSQLFFKKAHRWGSAFPAKSIAAEERCLWNGNRRLAVCGDFCVSPNVEGAILSGIAAAAKFTEL >CDP16071 pep chromosome:AUK_PRJEB4211_v1:5:836891:838081:-1 gene:GSCOC_T00017082001 transcript:CDP16071 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKPQNLESSPVCCAVALIPPFLFWYFVSHPTGQSRHPAPAPAPAPHSPQPPFSPPSAILHSHSTDRSLHSHPTPQPPFSPPASILLIFSFPLSVNFFPVPHLQPQPHPPDKSSPLNQATEFLPWPVARLILATVTDSPYVAATRSHLGRARGLYHTPIHCILLEGVSSPAHSTALPRRWQQQSKLT >CDP15916 pep chromosome:AUK_PRJEB4211_v1:5:22866806:22870079:-1 gene:GSCOC_T00016829001 transcript:CDP15916 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALSCVGVILNKILPLAADEISRVWGVKKDLQKLAKKVEMMEALIFDAKCKQSTSKAVQLWLKRLRSIARDAEIVLDDFGYEVLRQKVENRKRDKVRNFFSSSNPISFRTGMANKIKNVSASLKEAYKEANQIGLHSQLPMTSADHKQDRSTDPFVDESQTVGREAEVSEIVSMLISSDYEKDLPVISIVGMGGQGKTTLAQMVLKNDRVVKHFDNTIWVCVSDDFKVERLLNEMLQSLEGKSADTTNTEALVRKLQENLKGKSYLLVLDDIWNENREKWDGMRRRLLAIGGAPGSKILATTRSDEVASAMQTTGLHHLQILSDNHSWMLFEKLAFADGGARKTQDLVDIGRRILKKCGGVPLAIKVIGGLLYSKKNVSEWLTIEKSEIWNESTNTEGGVMSVLKLSYENLPSLSVKQCFASCSIFPKDADMRKESLIQIWMAQGLINDAKGGGGHLQMEDIGSDCFNVLLRSSLLQAGYKNSINGIRSCRMHDLVHDLSLRVSNNCFLNTENDMVNIEGIPPNLQTLYYIGDDGIMVEDILERSRYLSVLKVDCRAVTHLPNAVGDMKNLRHLDMSQTAITALPDSITKLYNLMTLKVDCLKEIPKKFSNLINLRHLEFFQDNLDGSRCLFPGIGQLANLRTLPYFVVSQDKGCQLEELEHLRNLRGELKIFGLENVSSFESAAKAKLSEKSSIQSLTLEWDDTNEDCDDDNINSVMEGLQPRPDLKSLAINGFKGSRFPSCMVAKDHVTVLLRNLVHLRLGELGKFEQVPSLGDLPCLESLEMVSLHNVKRIGAEFYDGKPVTLFPKLQHFVLGNMKRLEEWSDAMVPSDSSSSIKVFPSLRYLEIEGLPKLAVLPDMENLTSLVLLACIRNLNSLTSLESLCLDDCPALLDASLDMKNPQSLRELTISGCDKLNPSLSNNLENEWPKIAHIPFVGIYPS >CDP05831 pep chromosome:AUK_PRJEB4211_v1:5:26649200:26652853:1 gene:GSCOC_T00021125001 transcript:CDP05831 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLSAYSTTSDDEDESMARARPEFPAAKRAKPDSPNPKPITTPSYRQSLSSNLPTEAEAPIPGRYISKRERAALASGGLVSDPSPPSLPLISPELGTLSVSELPHSVLSKLRHPIKGRANLGQTPEAITITLHGHTKPVNTVQWSRSHAHLLASAGMDHTICIWNVWSGEQKRARILNFHKAAVKDVKWSEQGLYVLSCGYDCSSRLIDVERGREAQVFKEDQVVGVVKFHPDNSNIFLSGGSKGLLKLWDVRMGRVVHEYTRSLGPVLDVEFSADGKQLISSSDESRSNLSENSIIVWDVSRQVPLSNQVYVEAYTCPCIRYHPKDPYFIAQSNGNYIAIFSSKSPFKLDKYRRYESHGVFGFPIKCNFSLGGDMVASGSSDGCIYFYDSTTSGLVRKIKAHEQACIDVAFHPAIPTVVATCSWNGEICIFD >CDP13678 pep chromosome:AUK_PRJEB4211_v1:5:28241307:28243944:1 gene:GSCOC_T00038705001 transcript:CDP13678 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAYQTRIRFPIALASPSCLVFKQPRVVLSENLGEKVTSIGFKKVPRRSILQLMVFAPIFATANTILAAPMQEMNEPDVVRTLKLPSGVRIQEIVEGKGREASEGDLVEINYVCRRSNGYFVHSTVDQFSGESAPVILSLDDKQVNSSRFRSICEFLVKIVKGLKEVLIGMKAGGKRRALIPPSVGYISENLAPVPEEFGPRRSLLSHAKEPLIFEVQLLKVL >CDP14704 pep chromosome:AUK_PRJEB4211_v1:5:21106561:21108165:1 gene:GSCOC_T00042122001 transcript:CDP14704 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTTIFPQFSFLIFFSILSTASADIHRHFVSCFIQNSKHPIDISKIVSTPKSSSYQSTLHLHAQNLRFALPKTPKPVAIINPENESHIQTAIYCSKKHGLQMRILSGGHDFEGRSYVADVPFFILNMFNFRSISIDAKSQTAWVGAAATLGETYYAITQFNSSLAFPAGYCPTVAFGGHVSGGGHGPLVRKYGLAGDNVIDARIIDASGRVLDRESMGEDLFWAIRGGTGASFGVILAYKIKLVEVPKKFTAFSLTRTLQQNATKLVHQWQSVAPKLPADLLISLQLTAVKSSRTGKSTVAATFVSAFQGGVDELLYIMQENFPELGLVRGDCTELSWVEYIAFHFGLPKESTYDMLLGKITALPKDYFKAKSDLVQRPIPEEGLERIWDLMKKMESPPGRMEWIPFGARMDEIPESEIPFPHRAGNLFLVFKTTSVEWNSASVELMQERIAWMRKLHAVFGEYVAKNPRGAYVNYKDLDLGVNNKGKTRVEKARVWAAPYFKNNFDRLVQVKTKGDPYNFFKNEQSIPISQ >CDP14734 pep chromosome:AUK_PRJEB4211_v1:5:21441116:21442258:1 gene:GSCOC_T00042169001 transcript:CDP14734 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLSTQKSSKDGDKCGGASPPKPLRSPPRAEEETVKEVLVLSETPIPKPSVPDVVDKKNDRCKPPENQELEIQIQSTAEIKPAKESTVVIKPAEDIISEASEQSELCSFTGSFSTTTTATAMDKRDDGEEVNQKSPVRVRRKRTNAGDIAGARERSVRSPARRPLPSPEKKPVVSSRPVQGRAMASHRRNVGGGMGPPNGLRRDAGEGSARRSRSPVTRGQVGPRQNVRYRSPGLSENGRAGGRSPARALDNVVKVEKSSDDVVLPENNNTERRNDDVSGEAGESLENPLVSLECFIFL >CDP13722 pep chromosome:AUK_PRJEB4211_v1:5:27983547:27991720:1 gene:GSCOC_T00038763001 transcript:CDP13722 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAVPEVAEATANGEAAPEEKSGTGAEKVEKEEVTEMEEDKKDDENVEDQKMDVDKEGEETEEKKEEFEGEEKEGKVEEGNEENKGEEVEEDAGTKVNEENEEEKVEAEVDGLKEEKENLEDVKEDRESVKEQKGSKKRSKRKPVSGEKDKSKKKEVEKKKKEEPKTPAAPAIERPVRERKSVERLVAAIDNEGAKEFRIEKGHGTALKDIPNVAYKLARKKSDDTFKLLHTILFGRRGKATQIKSNISRFSGFVWHDNEEKQKIKVKEKLDKCVKEKLLEICDVLDIPVVKTNTRKEDIVVKLIEFLEAPHATSDELLADKELSSKGKKRKRVSKGSGSATGSTPSKSSAKSRKKTDTASEKGEKKDVSESEDESEEVEEEEEEQEEADEEENANGVPEKSDDELSDQAESDKEHESEANSEEEKGKDKHGSKKAPTKEDSAGSSKSKRVSTPKKSSPPSKSTPSKTPPSRSKVTQKTISSPKVSSKKKTMEVDAKERTSTAKKSASKESSGKKVDKGREKPKEEKLKPSDAALRKAICEILKEVDFNTATFTDILKQLAKKFNTDLTPRKSSIKLMIQDELTKLADEADDEEDEGDAEKDERQPSSQDVVA >CDP15862 pep chromosome:AUK_PRJEB4211_v1:5:22363420:22365529:1 gene:GSCOC_T00016758001 transcript:CDP15862 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKPNSTVATTTGAKRKPVFVKVEDLKPGTNGHTLTVKVVSAQAVVNKGPNAGGGGRSSAAPLGSRPRPRIAECLVGDETGTIIFTARNEQIDIMKPGTTLILRNAKIDMFKGSMRLAVDKWGRVEVTEPANFVAKEDNNLSLVEYELVNVVEE >CDP11866 pep chromosome:AUK_PRJEB4211_v1:5:20228391:20230769:-1 gene:GSCOC_T00035138001 transcript:CDP11866 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKVSSTNHQESCLDDKNQQVLQFIEDVTSNAKEVQRRVLNEILSRNAGVEYLQRHGFNGQIDYETFKSTFPVVTYDDLKPDVDRIANGDTSPILCSQPISECLTSSGTSGGERKLMPTIEEELGRRSFLYSLLMPVMNQFVLDLDKGKGMYFLFVKSEAKTPGGLLARPVLTSYYKSSHFRDRPYDPYASYTSPNEAILCSDSYQSMYSQMLCGLCQKDEVLRVGAVFASGFIRAIRFLQNHWPLLCNDIRTGTLNPEIIDPSVREAVVKILKPNPQLAEVLEAECRKESWKGIIPRIWPNTKYIDVIVTGTMSQYIDTLNFYGNNLPLVCTMYASSECYFGVNLNPLCMPSEVVYTLIPTMAYFEFLPVTSENEPVPLNPNKHHKLVDLVDVQLGQDYELVITTYAGLYRYHVGDILRVAGFKNKAPQFTFICRKNVALSIDSDKTDEVELHNAVTKAANSHLLQFDASLIEYTSYADTSTIPGHYVLYWEIGFNSAKSIPESVFEDCCLTVEESLNSVYRQGRVSDNSIGPLEIKVVKNGTFDKVMDFAISNGASINQYKAPRCVKYAPIVEILNSGVVFNYFSPKCPKWSPGHKQWCTN >CDP11315 pep chromosome:AUK_PRJEB4211_v1:5:13844176:13851957:-1 gene:GSCOC_T00033497001 transcript:CDP11315 gene_biotype:protein_coding transcript_biotype:protein_coding MAADISHPPMEQLQDLEYCIDSNPPWPETILLAFQNYILVLGTSVMIPTALVPLMGGSDGDKARVIQTLLFVAGINTLLQALFGTRLPAIVGGSFAYVIPVIYIISDSSLQRIEEPHARFIQTMRAIQGALIVAASIQIILGYSQVWGLFSRFFSPLGMAPVVGLVGLGLFQRGFPVLGNCVEIGLPMLLLVIGLSQYLKHAKPLRDYPIFERFPVLICVTIIWIYSIILTASGAYRGKPAKTQYSCRTDKADLISTAPWFKFPYPLQWGPPTFAAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSVLGKFGAVFASIPFPIYAALYCVLFGLVGSVGLSFLQFTNMNSMRNLFITGLSLFLGISIPQFFGEYWGTNRHGLVQTNAGWFNAFLNTIFASPPTVALIIAVFLDNTLDVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >CDP10787 pep chromosome:AUK_PRJEB4211_v1:5:17962475:17967029:-1 gene:GSCOC_T00031655001 transcript:CDP10787 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRLSAMVVAVVLLMKCINGEDPYQFFTWNVTYGDIYPLGVKQQGILINGQFPGPQITSVTNENLIVSVFNSLNEPFLLSWNGVQQRRNSWQDGVYGTNCPIPPGKNFTYVLQVKDQIGSFFYFPSLAFQKAAGGYGSIRIYSRSVIPVPFPPPAAEYTILAGDWFKQNHTDLRAILDGGHDLPFPDGILINGRGSNGYTFTVDQGKTYRFRISNVGLVTSLNFRIQGHKMLLVEVEGTHTLQNTYDSFDLHLGQSCSVLVTADQPARDYYIVFSTRFTSQVLTATSLLHYSNSAVSVVGPPPGGPTTEIDWSLNQARSIRQNLTASGPRPNPQGSYHYGMVNTTRTIRLANSAPVINGKKRYAVNSVSFVPADTPLKLADYYNIQGVFSLGSMPDNPTGSGGYLQTSVMAADFRAFFEVVFENSEDTVQSWHIDGHIFFVVGMDGGQWSPASRSNYNLRDGISRCTVQVYPRSWTALYMPLDNVGMWNVRSENWARQYLGQQFYLRVYSPANSLRDEYPIPKNALLCGRASGRKTRPF >CDP06024 pep chromosome:AUK_PRJEB4211_v1:5:25257433:25258883:-1 gene:GSCOC_T00021370001 transcript:CDP06024 gene_biotype:protein_coding transcript_biotype:protein_coding MATDKAVAADKYRSFLHEEGQNFEWRHGGPPIYDSVNKVFEEGRTKEWPKGSLEEVVQNAVKSWEMELSHKTRIQDFRTINPDKFKLIVNGKEGLSGEETLKLGSYNALLKSSMPEEFKYYKAEEESFESSHDAFRSAFPRGFAWEVISVYSGPPVVTFKFRHWGYFEGPFKGHAPTGEMVQFYGLAVMKVDESLRAEDVEVYYDPAELFAGLLKRSDVSDSANISASSGHGCPFHN >CDP15739 pep chromosome:AUK_PRJEB4211_v1:5:5390209:5391604:1 gene:GSCOC_T00015776001 transcript:CDP15739 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVRHWTSTAHLQELKRLRGIVLYQVDVHNMNEHPYLKYMKFDVILFNFPHAGHYRWLRETDSILIRMHQDLIAAYFKTAKEMVQEEGEIHVTVRDDYPYNRWKVEKLAECAGLQLKDKVEFRQENYPGYHNKRGGNINCNKKFPLKACYTYKFTVKVSALENSDGSEVYESARSDFTSIITTVEDLQI >CDP13630 pep chromosome:AUK_PRJEB4211_v1:5:28593292:28593792:1 gene:GSCOC_T00038643001 transcript:CDP13630 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATAEACDTNAALLASGDLRVLQPVFQIYGQCRAFSGPIVTLKVFEDNVLVRETLETRGEGRVLVIDGGGSMRCALVGGNLGQLAQNMGWAGIVVNGCIRDVDEINSCDVGIRALASHPQKSNKKGIGEKHVPVVVAGTLIRNGEWLYADSDGILVSKTELSV >CDP14754 pep chromosome:AUK_PRJEB4211_v1:5:21674935:21677761:1 gene:GSCOC_T00042201001 transcript:CDP14754 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELTDNAGDASDHHELVEKRRKSTRKFVLACAAFASINNVLIGYDVGVMSGAILFIKEDLKTTEVQEEIFLGILSIISILGILAGGRISDAVGRKPAMGLAAFVFQAGAVIMTVSPTFEVLMIGRILAGIGIGFGVMIAPVYIAEISPAFARGSLTSFPEIFINLGILLGYVSNYAFSGLPAHLNWRIMLAVGILPAVFIAFALCIIPESPRWLVMQNRIQEARAILLKTNDNDTEVDERLSEIQLAAGITDAEKHQSKAVWRELLSPSPALRRMMITGFGIQCFQQITGIDATIYYSPKIFKAAGIDGNSNLLAATVAVGVTKTAFILVAIVLIDKVGRKPLLYVSTIGMTLCLFTLGTSLSIPGEGSVGIALAILSVSLGGVCSRVCSGLIAMSFLSVSHAITTAGIFLVFSLLSAISVAFVYTMVPETKGKSLEQIELLFQNNICGGFGNKNFISLKFLGDKHKVSARTA >CDP05902 pep chromosome:AUK_PRJEB4211_v1:5:26107405:26114262:-1 gene:GSCOC_T00021219001 transcript:CDP05902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MEPEELPSTLSYYDNDKRNLEQLLETFGSVVSLDDIASAYCQSEEELQSANASSVCPSDNSVEMAQDSKSKPRKSPACMGIVSSVIGKQYVMPKTSTNGSCKPKPLILNSDDIPVSEVWDEKDFLNSTERSGTMSHDIEEFLFKMLGEGFSLNKSVIQDIVGQCGYDVTKSMDKLLDLSASTLGKSDDVLKASSEKPKQGYRNMVSLLPEDQIPCTSFESLKYTPDTGNVEHLADRRNRHDLEKEVLGTLFTVRPRPEPEPKKYHPMKEVRRSSLHHFIVEEPLKETVIEDEPVIRTKQVSENSNEENEDDYDVLRKAVMEYWTTMKEYYKAAADAFAINDHEKAHKLMEEGHFFMKKAREADEKSAEKLIESNDEEEMFVDLNEFEPKDALRFLKIQLTSLSGIASYKYLKVLVGSNEQNAKSGPRKRLITKLLERENIKWSEEGDGWVIVIQLDVIDPKKLSFGRK >CDP06132 pep chromosome:AUK_PRJEB4211_v1:5:24348824:24354810:1 gene:GSCOC_T00021523001 transcript:CDP06132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11120) UniProtKB/Swiss-Prot;Acc:Q5XF75] MAFVRGLKRPIEIFCKRMNTPVHSGLGYSAMACKGAYFADFRENKCPFGCNCANAFCSHCISARRYSTEVSPSEQMNLIKQLRERTSAPIKEVKSALVDSNWDIGKWWKYAESAQKELRKRGIVLASKKASRTAAEGLLALAQNDRKAAVIELNCETDFVARNEIFQYLALSLAKVALLVDGTRQPSGALHVGPELFEELKINFHHPKLSGERTVQNAITEVAAMMGENVKLRRGFAMSAPSYGILSTYLHTSPQPGVGRIAGLLSLEVEDQNASVDALQHVGSELAMHVVAAKPLFLTKADVSTEAMESEREILKSQAESTGKPQMAVEKMVEGRLRKYFEEVVLMEQKFVVNDTLNVKTLLNNLSKEVGSPVKVGSFLRVEVGEGLQRLESSNTGEPLAQAA >CDP06153 pep chromosome:AUK_PRJEB4211_v1:5:24162401:24163721:-1 gene:GSCOC_T00021548001 transcript:CDP06153 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQEKGILSVLLLTAGAIMSFTNFENAFNNDHQRLGLALYGLVWLQMLVGIIRPHRGSNARSGWFFVHWLLGTAVSVLGIINIYTGLQAYGKKTSRSARIWTILFTVEICIIALLYLFQEKWEYIQKQGVILGNEPVQPTEQEISPTHKQKETAEEPC >CDP05860 pep chromosome:AUK_PRJEB4211_v1:5:26436103:26437773:-1 gene:GSCOC_T00021163001 transcript:CDP05860 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWDLINSTTEAVKRNAPAPAAVIDACKASYGYSSAVVGNIDNAVRVNGMQALNDYMPSEETRSRISLFASKFTQNAARHALREGYKLIPGGKAVAEIISETMNDVKSENLSTQKMMAVTQVTGSGGGGKVSAGRNLLDRVEMQSRGLEMGSANKGNFAAESTANQTPEDVLRIFMMKEFMGKRFADDLILPQIMHGIKTK >CDP06161 pep chromosome:AUK_PRJEB4211_v1:5:24083601:24086432:-1 gene:GSCOC_T00021559001 transcript:CDP06161 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHRNDGYHYGTNSFYHDYMGTGGSTSTFPFFGGGNSLMYNQPSTSQNLAGSSSAYDPTSYMSFTDCLQGTAADYNNLSGAFDMSCNSLPDQSVCPVDNSSSDKNTTSLGEAAAGAATTSAENPRTPVSSLSCSSNETGVEEESSKSNTTDLQTKGSEDRDDKSKKLNKPKKKGEKKPREPRFAFLTKSDIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSQKCIVKKRVERSFQDSSIVITTYEGQHNHHCPATLRGNAAAMLSPSFLSSNSASLPTFPPEFITHMLPSTSRNYQNPPYYQNFNPQDQQFQLPHDYTNFFQDMNPSFMHKPEP >CDP11849 pep chromosome:AUK_PRJEB4211_v1:5:20034971:20036347:-1 gene:GSCOC_T00035116001 transcript:CDP11849 gene_biotype:protein_coding transcript_biotype:protein_coding MARNCPVFSLFSKLFLLVFINLCLYSLIEGKTGGFSTHLIHRDSPKSPLYNPSNSHFERLHEAFHRSSARAEYFKKRISHSRSNNRFSRSSSNPFQSTTIPADGEYLMKVSIGTPPVDLLAIADTGSDMTWIECKPCNGCFNQHFPLFDPNKTKSYRHLLCNSSLCWGPGVTCDPKNKCGYSELYADGSSSDGDLSTETFTFESSSGRKLKIPNVVFGCTHKTGGGFGETASGIVGLGGGALSIIRQWTESIGGKFSYCVVPRDSNSSSTITFGSNAALSGNGVVSTPLIRQFPDTYYYVNLIGFSVANMRIPYKEISNLDNSFPNDTVTGNIFIDSGTMLTYVPLEFYQKLESDIIKTARGTRVTDPSGFYGLCYKVEKRLQIPKIVARFAGADILLPPNGTFLEVYEGVVCLAIVPVDPADNLAIFGNLLQVNHLIEYDLVNNKVSFLPIDCTKYK >CDP11314 pep chromosome:AUK_PRJEB4211_v1:5:13797641:13799849:-1 gene:GSCOC_T00033496001 transcript:CDP11314 gene_biotype:protein_coding transcript_biotype:protein_coding MIICRSKWSLRLIVLKRNNHRHAIIIGITLQGVGVLLDELFRTGTSSIVSYKKCIGKRRTLGLTRVGSHRVVQISAGFIIFFYILGWYFKLLRIVNYLSVPQNFSTY >CDP13596 pep chromosome:AUK_PRJEB4211_v1:5:28818424:28822010:1 gene:GSCOC_T00038600001 transcript:CDP13596 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEERKAEAKPRKHKGKHDKPKPWDDESIDHWKIDKFDPSWNETGMLEVSSFSTLFPQYREKYLQECWPIVKGALKEFGVACELNLVEGCMTVSTTRKTRDPYIIIKARDLIKLLSRSVPAPQAIKILNDEMQCDIIKIGNLVRNKERFVKRRQHLVGPNSSTLKALEILTGCYILVQGNTVAAMGSFKGLKQVRRIVEDCILNKMHPLYHIKILMLKCELAKDPALANENWDRFLPTFKKKNVKQKKVKSKEKKPYTPFPPSQQSSKIDLQLESGEYFLTDKKKLAKKWQEKQEKQAEKTAENKRRREEAFIPPEEVKKHGSHSLNADRHDDVSAIALSLKKKVKEFGKKKSAEKIDPQAYIAAVGKLSAEKKI >CDP11900 pep chromosome:AUK_PRJEB4211_v1:5:20657717:20659153:-1 gene:GSCOC_T00035195001 transcript:CDP11900 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDAVTVLKHSLVSPPSSAAATEMSLPLTFLDMPWLHFSPIQRLVLYEVPQLSRAHFIEHIIPKLEHSLSLTLQHFLPLAGNLIVPSNSNSGTPEIRYKNGSSLALIIAECTTTDFNYLTANHSRNCCDFHPLIPELKPSNQDDSGSTAWTTPVLALQVTLFPHCGMSVGISNHHTVGDAGSVFRFMKTWAALSSKFFEDNIDRDDAAATLVSYSPPCYDRTMIKDTKGLGSIFWDQGVLLIKLFQNESSTDTTAKPMTKKVRRSFVISRNNIEKLKRLALQKRPQLVHLSSFTVICAHVWTCLVKCRGPSGEYVDDEEVEYFCCTADCRGRMDPPLPSNYFGNCQTVIRKNEKNGKLIGEEGFPIAVESIGEGIHQRLKNNDSLFDDADTWLPGIAGINLDRVVSVAGSPRYNYYNLDFGWGKPKKFEFISIETSGAISLGGSRESDGDIEVGLSLSKPRMDAFTVIFNDRLNSL >CDP15719 pep chromosome:AUK_PRJEB4211_v1:5:4310460:4310849:-1 gene:GSCOC_T00015731001 transcript:CDP15719 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCRFISSFVLVSLLLLPTLVSRSFPTKLDAKPSPGYREALVYWNGDQCQISAPRDSREMGVCRPFLVHVAMTLDSVYFRGFVATVNLILRHASCPENIFFHFIVDPASSAAVSSPTPDEFARILRSI >CDP13769 pep chromosome:AUK_PRJEB4211_v1:5:27683696:27685354:-1 gene:GSCOC_T00038821001 transcript:CDP13769 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAKIKVVGVGGGGNNAVNRMIGSGLQGVDFYAINTDAQALLQSAAGNPIQIGELLTRGLGTGGNPLLGEQAAEESKEAIANALKGSDMVFITAGMGGGTGSGAAPVVAEISKEAGYLTVGVVTYPFSFEGRKRSLQAGATCIFYYNSNGVI >CDP13554 pep chromosome:AUK_PRJEB4211_v1:5:29113234:29116194:1 gene:GSCOC_T00038543001 transcript:CDP13554 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTKKPASAMNSHERPMSCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFSDHPIKDGERASTLELQRSSSASSSGMIGRSMNEMQMEVQRRLHEQLEVQRHLQLRIEAQGKYMQTILEKACQTLAGENMASAAAAGSYNKGGNQAGGGVVDIKEFGPPLSFPSLQDLNIYGSGEQLELQQGGMVVDRSPSLDGFMSNNNDTQLCHLGKKRPTPPSPYGGGILSLERSNVDVVIDSLSDSTCSNMYETKPLISGSCGSDGGMVLGGTDQKNASSKLERPSPRRASTTATTPPPSSSSDHRMNALCMASLTFVYYMNTPVVSLLVVIKLINWSFICLYLGSR >CDP15936 pep chromosome:AUK_PRJEB4211_v1:5:22989759:22990380:1 gene:GSCOC_T00016855001 transcript:CDP15936 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSH10 [Source:Projected from Arabidopsis thaliana (AT2G42610) UniProtKB/TrEMBL;Acc:A0A178VLX9] MAGEGSSSSRSLSDQSAAAPSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNSSHVLEFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPESNPFASGAIRVYLREVKECQAKARGIPYKKKKKKTSPSGGKGDDESSSSLPFS >CDP13670 pep chromosome:AUK_PRJEB4211_v1:5:28283855:28293476:-1 gene:GSCOC_T00038696001 transcript:CDP13670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G44730) UniProtKB/TrEMBL;Acc:A0A1I9LSV1] MPQESNSTGSMFFSPGKNLRGLKGLIYNSSSDDAVHAEEIINDRELAQRKAGEAAARRYQAAAWLREMDQGASEVLPKEPTEQEFCLALRNGLILCNVLNKVNPGAVHKVVENRVIDVQFTEGAAQSAIQYFENTRNFLVAVGEMKLLTFEASDLEKGGSSGKVVDCILCLKGYYEWKQAGGIGVWRYGGTVKIVSFPKGSPSSFVSSESADESLDDSESSQFEQLLEYLHLSSEVSLEETNAANALTSLFEHFGLALLQAYLSEISGVEDLPLNSMVIDILLRKVVKDFSSMLLAKSNQVGLILKKILNDDGIPRSKSEVLEMILKYIGQRSSLASSNLSKFCICGRKREDIAQTNVSPVGNVEVLDVQQRQLEELKSFSRETKKEFQLFQKVHVEELKRLEHHIKGLEVAASSYHKVLEENRMLYNQVQDLKGTIRVYCRVRPFLPGQSDGQSTVDYIGDNGDIMIVNPHKQGKEARRIFTFNKVFGTNATQQQIYMDTQPLVRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLTAEETWGVNYRALRDLFHISKERMEFIEYEVGVQMIEIYNEQVRDLLLDMSMRIFSCCLTLTISTLDVRNNSQLNGLNVPDACLIPVKCTQDVLDLMRIGQQNRAVGATALNERSSRSHSILTVHVRGKELVSGSTLKGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISSLAQKTSHIPYRNSKLTQVLQDSLGGHAKTLMFVHINPMVNAIGETVSTLKFAERVASIDLGAARSNKESGEIREFKDEISNLKLTLEKKDAELQQLRNGASIRGAISPLRMPKSNVTASMKPENNQRTIDDTRSSEVRSCSSGKQRRSRFPAKFTDKDIVPKIPFLAEERSVGFNKARSPSPPVRRSVSTDRSAVIRSRIKPETLDNPPVMRLPFPARVPTNKSMVAVPSIVPSTDSYTRSYPASQEPPVKQDNISETLHSLQRIVSRKVNVEHDDQEQFKQALNVRQGGIRKTKPESKVKSKHQNITKNQKSDIGVTLLTNVDNGRMMEEAQKSEFLEIENEHGDERVGSPVYGNTMRLKKLQRNFSRNSQNVEPRELIQPTESVYAGKHENKISNSTIQNLKEASNSSTSEFRRSRSTPRGKFFVVP >CDP20737 pep chromosome:AUK_PRJEB4211_v1:5:2033008:2036590:1 gene:GSCOC_T00000794001 transcript:CDP20737 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIVPTIIDVLADVLVKQLGEKVNLVMGVEEEVANISSKLATIEKVLHDAERRRLKDRSVGIWLEKLEDITYQMDDVLDEWNFKIHKAKNARTQPTLRNKVPQKIKKINGQLELTLKEADQFKFISTGGIPDSQDFKRIMTTSIIDESEVYGRAADQDALRNQVLSESSSQGRDGVQVISVVGAGGSGKTTLAQLLFNDDRVRVKNHFELTKWVCVSDPFDERRIARAILESPGKSSPDSSELEPLLQQLKETFSGKRFLLVLDDVWTKEDSKWKPFQYSLKDGAPGSVILVTTRSLEVAAAVGTTHTHHMALLSDSDCWLIMQRIAFGGRSEEWSKKKESIGQKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLEEATMDLFPHFYLSYNELSPEQKSCFSYCAVFPKDHEIYVMELILLWIAQGYVRPRRRGERLELVGLEYFNNLAMRSFFQEVRKESDFLFHEYMVCKMHDIVHDFAQFLTKSECHALGGAGRNSSSERARHLTILEGTKQEMYLFNFGTSELNQIPQGLGKLTSLCSLTRFIVRSNSDDLAILKDLNQLEILHIEIEGEVDFGNAELGKKVNMREMYLLFSSGAHFTETPSCIESIELPPNLQQLVLDKYPGTQLPSWLVTKPLVNNLTKLIINEAYNISSLPALWKLSSLEELGLIGVRKLECLGKEFFGITKAVHANSLAFRNLRKLRFHNFYNWIRWEDLSEDDEEVALSIMPRLEELDFWGCGKLEILPHCILGRISSLKKLDIGRCSKLRDHYSDKTRDDWKQISHIPQVHISN >CDP11806 pep chromosome:AUK_PRJEB4211_v1:5:19384059:19392145:1 gene:GSCOC_T00035056001 transcript:CDP11806 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKLYKTKLCVLYQKGHCHRQTCSFAHGSTELRRSFNGRRDNRVSDLRDRLDRRRSPPHRYSPGRDAQGKHASHGDSPRGREGMRKRRKKQHIDGESEFSGSLRLFEGTEDKMKEPRRASFEPKDLLDEQLRKAQSEFKILEDHKRELENYLEERNLEANRITSKIQDLEMQLSQEKEESERVASKIKKFIKAYNRQMRLEDELKRHASALIYLLLLNTLAVSQTQVQKLCDQLSLDLSRSGAMEEDPGIKNRSYEGSGNDVSPKVELQKNISPSNKRPRVHSEVDDMSNQVISRKDQGLVMGKVRLEKMSRWNPQSKNYKKAEISSTGKNASRPLADEYKSKKAKTSSADISVFDKSKVSESGLALPSTSMAAHAIDDVADIVETEEKFEGTGNLITDEKEAAFKIPPSPLPPPPPPPIPQNAHVQYQGDDENVDIDGTEEETVEVDVV >CDP05957 pep chromosome:AUK_PRJEB4211_v1:5:25718781:25722116:-1 gene:GSCOC_T00021285001 transcript:CDP05957 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase MMS21 [Source:Projected from Arabidopsis thaliana (AT3G15150) UniProtKB/Swiss-Prot;Acc:Q8GYH7] MASISAPHRSNAGGYSRMKSAASKLYNDNQSLIAEMRRAFNMMKEIAVDLEKDKKSDLVKELENGVAELLEASDDCMHLSTAILSVGNEYRPRQELTDFKKLLDDEITKSKATSSSTSQNHQFLRQFREAVWNVHHSGIPMPGEEQEDIVMTSTESNILNKTCPVTGKPVTELADPVRSMDCKHVYDKNAIMYHMRSMKSNCPCPVAGCPKELQVHRLICDPLLLVEIEEMRTMSKGAAQPGVIEDFTG >CDP06125 pep chromosome:AUK_PRJEB4211_v1:5:24387409:24391716:-1 gene:GSCOC_T00021514001 transcript:CDP06125 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCRINRKIVLTTASLQRIYEKTCVAFTYSYECSETEIVGDGRIRGDITGVFTTSVAEKVWLTSQAVGDIAFAFTYNIILLEIEIAQTVRVEVEDDIMVALEVVLLVEVVLLVVVVAVVVAGEEAAVVK >CDP13711 pep chromosome:AUK_PRJEB4211_v1:5:28058799:28065214:-1 gene:GSCOC_T00038748001 transcript:CDP13711 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQQHPHPRNYVANWSKIEFSMLPQAPSVPVLNSSQLLSASALIGTTTKKVMLAKKTRQFNSATTSTRKTGPFNPTSKTQKLNMEVSPHRAVSAVRLMRIELGGAFADLLNEQGKGSGDNEMRYVERTLGFRTRDLDDRDLRLVTDIVGGTIRWRRYLDHLILSLCHDEDTFRGMEPLLLQILRIGFYEIVKLEMPPYAVVDENVRLAKVALRPGAGNLVNGILRKLVLLKESNTLPSPKVEGDDRQQARALATIYSHPVWMVRRWIKFLGHEEAIRLMIWNNRDPTFNLRANISKGFRRADLIEQLEKLKVPHDISPHLDDFVRIKTGMQTVIQAGLLKDGFCSVQDESAGLVVSIVNPQPGESILDCCAAPGGKTLFLASCLYGKGMVTAVDINKGRLRILKETAKKHQVQNVVTAVHADLRTFAENNHVMYDKVLLDAPCSGLGVLSKRADLRWNRRLEDMEQLKALQDELLDSASVLVKPGGLLIYSTCSIDLEENEQRVASFLLRHPEFVVDTADGYVPHEFVAENGFYHCNPVKHSLDGAFAARLIRSY >CDP05939 pep chromosome:AUK_PRJEB4211_v1:5:25853065:25855337:-1 gene:GSCOC_T00021262001 transcript:CDP05939 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDEGPRKRGSRTAAAAAEGAGGGGGGGVAVAPPPGCRAENCKADLTDSKSYHKRHKVCEYHAKAEVVVVEGLKQRFCQQCSRFHDLSEFDEAKRSCRRRLAGHNERRRKHFTESRGEGSGRRGSNPGTKKDQCRQVDERGRTPIVLPENHQKLHIH >CDP13627 pep chromosome:AUK_PRJEB4211_v1:5:28606906:28615476:1 gene:GSCOC_T00038640001 transcript:CDP13627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) UniProtKB/Swiss-Prot;Acc:Q9FMA3] MAMRELVSGGAACATSGSSSSSNPLGSLANALLGSSSKTQQERLREIPTSTAIPSDGSASVGTGEPLSGLPGSELDHPLQPNAQGSEFLRGFRSADQHGLADVWDDIQRPQVNFPPEHVLRQPDTNGPPQRVLSSFLHSFVNSSHGGIPFCPATLPVLGLSAGDKQCIRDRSSIMARHFFADKSEDFINAQVNALLSSLEIDNDIRAPGPAFKRYQELEKYWHETHGMRPGPNPADGWVAEFAQHPVGHGDPSTWVQSFEQEHGANGWASEFEHEHAQLASMDHIRGATMPHLAAMEQTRMLANTLAQNNDPKFQNSKFFQFVSKMSRGEITVEDNQIKPATLSAPGDWATEYQQQYSGGQTWADQFLSKGPNGWVDEFASERGHVDDGWVNEFSKLHVNDWAEEFGRQVGEGTFGDSSADNWASAYDEYLNEQTALKQQSESSRGVYVFSDLNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGIAHAENDDDQQAIAAMMRAQEVDPTNLEVLLALGVSHTNELEQAAALKYLYSWLRHHPKYGTVVPPNQPDSLYYGDVATYFSDAARMSPDDADVHIVLGVLYNLSREYDKAIESFQTALKLKPRDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEESIRYYVRALAMNPKADNAWQYLRISLSCASRNDMLEACDSRNLDFLQKEFPL >CDP14420 pep chromosome:AUK_PRJEB4211_v1:5:5895030:5897863:1 gene:GSCOC_T00040840001 transcript:CDP14420 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLAKISERLPDGFLNQLEENVNPMKSVKTEVKNISEKLTTIGGLMSDAERRKLKEEEVRIWLQKLDGIACEIDDLLDEWNHEIQKLWKSSPNVSELDSLLQYLRSTLSRKKFLLVLDSVCIQDEIKWLKIRDSLKDGVSGSGILVITRSERAQLMGTTYMHHLKQMPDSDCWEILSRRAFQGRSKEIFGKVEQIGKGVAKKCNGSPRAAKLVGSLLQSSFKDTVQDWQNILDNQIWELEEVSVDVELFPALYLTYHELSPELKCCIRYCVVFQKNLKIDVEGLIRLWMAQSYILNSSEANEIQMEEMGREYFTLLVARCFFQEQEKDILDRKVVSCKIHDIVHDLTKHLAKNECSSRFLAEIPSEIGDLIHLRYLNLSHNHFGELPETVCNLFYLETLDINSREDLMKLPQKIESLTHLRHLLNFNTFRLYEFPQGLEKMTWLRTLTNVKISTVYFNWSSLKNLNQLQEFMHIQIFDMYSMPCSGLDIDERESLIPPPNLQKVTIRKYPGYLFPNWMETALNNLRVLHIVDVLSLPALGKLPAALEELKFVELQSLAYIGREFLGLPEDIDSLGESNVVAFPRLKILVFSHLPNWQTWQDIEPGEEDAVLVLPGLQHLALFGCEEFCFLPHRMLRMSSSLQSVTIR >CDP16229 pep chromosome:AUK_PRJEB4211_v1:5:15652968:15653646:-1 gene:GSCOC_T00017352001 transcript:CDP16229 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVAVVSALCFLALASLAHAQEAFTVKGRVYCDPCRVEFQTSLSKSIEGAEVELQCRVRENGTVTVSQKATTDANGNYELSAQGDHEEEICEVVSVSSPSQECNVPFAENKARVLLTQNNGVQGTDRYANALGYKTTKTNPDCKAILQEMGYIPDENQI >CDP14717 pep chromosome:AUK_PRJEB4211_v1:5:21250780:21253695:-1 gene:GSCOC_T00042139001 transcript:CDP14717 gene_biotype:protein_coding transcript_biotype:protein_coding MRILNARETDIRQMVTIERVRNGMEPKAEVKLWLENVDQIKDSVNKVKEDSADDRRCLIGCFPNYYFRMKLGNMVEEQIHKVNELLEQGKFSEGAVVGMLPERGKTLPTTMLMGETAKRSSRRIWHYLMDESIRTIGIFGMGGVGKTTIMIEINNRLVREDVYFDTVIWVTASREPNLPKLQNDIAKSIGLCFDSDDDGMTRASKLWNALRGPKKFLLIIDDLWEAFSHQEVGIPCQEFSNSFKLVITTRSLSVCRGMETMREVEVELLSSEEAWDLFKHKVGEEVVSSLSIEAVAKEIAKECGGLPLAIATVGRALRKEYNVRQWRIALRELQNSTIRIDGMENQVLSRLRFSYERLKDDTTRSCFLFCAVYPKDHHVNVEELIRYWIYEGLLGNLGDMETKMQQGYILVDELKNACMLESICQHGSIDEHVKMHDLIRDMAIALTGANQIYMVRARHSICKPPLHEEWHPDLERVSLMRNDLSSLDCEPRCPKLSTLLLQYNSLSKGINPSFFNHMQNLQVLDLSYTGILRLPDSFSNLENLRALLLCSCWNLHYVPTLSKLKELRVLDLSYSSIEHMPHGMEMLANLRRLDLSHCRANDFQSSFLSNYRMLENLLLIGLWQSLELGKVFVDQLTSCINLSLFEANFRTVEDFNYYTMSGHWSQVESFKFCIGYPESSMHFGRNSVALIGAHMFHREIPALLPGRIHELVLLACSGINHLPTSISFASSQLQICKLQHCDDMEWIITSGWSTFPTLESLEIEGLGKLHTFCMGIPQEGTLANLKVLHVTQCNDLKTVLSFELVQNLKSLEEIVIENCERIEEIIGDERGGEDVTQVDNAEIILPRLQKLKLSSLPRLTSICWNRVMICDSLSIIEVHKCPELTALPFFVEIRQPLIQSLKQIKGSRRWREDLTKSHPDCIGLLYSIFKVTQSSAAYDIFEPQNSAANEIFEEDDGRNIGESASSSFGPR >CDP16371 pep chromosome:AUK_PRJEB4211_v1:5:2912876:2913407:-1 gene:GSCOC_T00018208001 transcript:CDP16371 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIGKISRSLAAKTALAIRYDALGDNQDNTMGLENRLKLEARLRSLEGRELNRAAGSAKGKPKIEVYDKDRKTGAGGLITPGKTYNPAADAVLGPTELSSEKEKNEQEKRKDEEAGDDTPMNGV >CDP05720 pep chromosome:AUK_PRJEB4211_v1:5:10016557:10017447:-1 gene:GSCOC_T00020971001 transcript:CDP05720 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFFRSSSQLWITGGSCDSDLNVIEAGWHVSFQRKLYGDKRTSFFIYWTRNNFRSTGCYNLLCPDFAQTRNEYTMIFMSCSLILLGWFSI >CDP13764 pep chromosome:AUK_PRJEB4211_v1:5:27716825:27728850:-1 gene:GSCOC_T00038813001 transcript:CDP13764 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDEDGISPSDVSNYYFQYDNETISFAELPLKWNDDESLEGNKKEIDLHGQTDNGLQTIFEQVIAWKFDISSREPEILVLTRKKNWIKLLKPRKCYEKTIRKILITVHCLSFVKGKPETSAKVLRDHLTKKFRSFDGSPSEDDVMTNLITETVKRDEILARAKVFNDFLEDKSRKKKIFEEVGTTARSSFIVNDVPDENGEDGSDDEDEDFFEHVCAICDNGGNILCCDGKCLRSFHATVDAGAESNCESLGFSDKEVKAMANQTFLCKNCQYKQQLCFVCGKLGSSDKSAGAEVFQCVTGTCGYFYHPRCVAKALKFKMGDKTKDLEHEIAAGKTFVCPIHTCFACKEPEPEDKTDSQLQFAVCRRCPTAYHRKCLPRDIAFEDDEDQGIEQRAWEGLMPNKILIYCTKHEIKEELETPIRNHIKFPDIEGEKRKQDAESLGKAKLVQKKTALHKKREIASDAAASKNSIADKVKVFPRSSMAVKQLHSTKTNGGRLSIPESSKKRKLANVSMTESKRRPPRKDGRSIGGDSKAFLGEQLYEQYYNKDSGLATSVGGYTSDRKQQIKAARSVSKEADDSLMLDADSQERILSFVREAESKITLDDVIKEHKPPSTHGSSLKNVIQSITLGKVEGSIEALHAALQKLEEGGSLEDAKAVCEPGLLNQMVKWKSKLRVYLAPFLYGTRYTSFGRHFTKVEKLQAIVDKLHSYVADGDTVVDFCCGANDFSCLMKLKMDEMGKSCNFKNYDIKQAKNDFNFEKKDWMTVQKSDLPSGSKLIMGLNPPFGVKASLANKFIDKALEFKPKLLILIAPQETLRLDEKKNPYDLVWEDDKLLAGKAFYLPGSIDVNDKQMEDWNVNTPLLYLWSRPDWTVRHKKIARLQAHLPCAEKMMRLEDESSIPDCHMEPRDLHSGRKSC >CDP13733 pep chromosome:AUK_PRJEB4211_v1:5:27907899:27909364:-1 gene:GSCOC_T00038778001 transcript:CDP13733 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDFLRSPTNILKAIFFNPSKTPKIQALAMAACIHTSRAENSRTSSLSCDPNGSQIDDRVCNYIKYCRPNFSDLVSCVPNSENHSKSIRIPEDLGSFDPVENQDDLWVRMKNEARSDILQEPILSNFYVNSILAHDSLESALANHLSVKLSNSGTLPSGTLFDLFLGVIAEDKEIIRAVKDDLRAVKERDPACISYVHCFLNFKGFLACQAHRVAHSLWSNGRKILALLIQNRVSEVFAVDIHPGAEIGRGILLDHATGVVIGETAVIGNNVSILHNVTLGGTGKASGDRHPKLGDGVLIGAGTCVLGNVRIGDGAKIGAGSVVLKEVPARTTAVGNPARLVGGKENPIRLDKMPSLTMDHTSHISEWSDYVI >CDP15969 pep chromosome:AUK_PRJEB4211_v1:5:23228704:23229981:-1 gene:GSCOC_T00016899001 transcript:CDP15969 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYIPQEVMTQILIRVPVKSLLRLRCVSKSWNSLISSAYFISLYNHQAFLTKPSTPDDKLLVRHYSKDQKTEVYTVHYDNEAFAVENGIKIGFPFRGLSRYYFRVVGFSNGLLCLSDDLFGYTNLIMFWNPLIRRKFTLPSPQAVFDKLGPFMFVLGFGFDVKNNDFKVVRIAYVQGSNGYNLPPKVEIFALSVGNWREIDVDVPENWVVEYFWTQAFVRGKVHWTAYRMNMERENGKENLIMLFDLSTEVFEELLLPDALVDESPVDLCTLACKDSVAVLHYDRRVWSGSCSIWLMQEYGNFKSWSNMYNVVCEGGLGIVLSFGKDGDILLTERNGELVSHDPRTQKSKHLEIWGTKDSSFVDTYSESLSLLIEGAKILPGLPSVSESDSSGEDNEREDEGVEKHELWIQSIMIQYLTALLNH >CDP11358 pep chromosome:AUK_PRJEB4211_v1:5:14970675:14973145:-1 gene:GSCOC_T00033568001 transcript:CDP11358 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQAAFFGLAIFLFLTLDSDFISSPISTASEGIQITYGSVIKLMHERTKFRLHSHDVSYGSGSGQQSVTGFPNVDDSNSYWIVRPVPDTNAQQGDTIKGDTIIRLQHMRTRKWLHSHLLNVTSEAGGITQGMGAYKVQVLFDGKPQTCVFLDTPGHEAFRAMRARGARVIDIVVIVVATDDGIRPQTEEAIAHAKAAGVRIVIAINKVCLHLF >CDP15863 pep chromosome:AUK_PRJEB4211_v1:5:22368042:22369548:-1 gene:GSCOC_T00016760001 transcript:CDP15863 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMVLLYLATSSRNLLQCPFPPFLPVAVTRLNEDGKAKKITWICLRSKPSVQFN >CDP11891 pep chromosome:AUK_PRJEB4211_v1:5:20537607:20540076:1 gene:GSCOC_T00035176001 transcript:CDP11891 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSRIDKNGLKEGAWNEEEDNKLRAYALRYGHWNWRQLPKFAELKLTKKDLELQLVVRRNLTKGDVYSELGSQQDLIIKLHEQFGNRWSAIAWKLPGRTDNEVKIYWHGRLSKRLNQARTLTEIIMEKTSEKSQHVVQGNHQLKQSPAMSAYDSNQKREMGPNIAAAAAPLACSELCSIRSSDSTLSDSNISVDYPFTEPFETFWTQPFDLDTSNKDNAYWLITATGGRICLLFLVFCS >CDP13631 pep chromosome:AUK_PRJEB4211_v1:5:28578294:28583033:-1 gene:GSCOC_T00038645001 transcript:CDP13631 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKQQLSAASGGLVKDIIVFLIVLLLSCGFLVSNARQISTKQPAIGNEVSSLLAFRQSSVEADPNGFLTDWSLTSSSPCSWAGVSCSGDGKVTQLNLVNAGLRGHLHISDLMALPRLAQLHFSGNHFYGNLSSTVQSCSFEILDLSANDLSEPLAVDSLLQSCNRLSLLNLSRNSIPSGNIKFGSSLLQLDLSRNKFSDLSLLSYSLSNCQNLNLLNLSDNGLTGKLNSSLSSCRSLSVLDLSCNNFSGDIPATLIAAAPVSLKILDLSHNNLTGDLVNLGSGTCSNLTLLNLSFNSLSATGFPFGLTNCQKLETLDVGHNAILLKIPGDLLGKLKNLKKLVLAHNQFFGEIPAELGQTCATLEELDLSSNQLIGGLPSSFGPCSSLFSLSLGHNQLSGDFLSSVVSSLANLKYLSVPFNNITGPLPQSLTNCSRLQVLDLSSNALTGNVPAWFCSTSSDSALEKLILPDNFLAGTVPSQLGLCRNLKTIDLSFNFLTGRIPQEIWTLPNLSDLVIWANNLNGEIPESICVTGGNLQTLILNNNFLTGSLPESLANCTNLIWVSLSSNRLTGQIPSGIGNLVNLAILQLGNNSLAGPIPPGIGKCRSLIWLDLNSNNLTGTIPSELTNQAGLVRPGIVSGKQFAFVRNEGGTACRGAGGLVEFEGIRANRLANFPMVHSCPTTRIYSGVTVYTFASNGSMIYLDLSYNGFSGNIPENLGSMSFVQVLNMGHNNLSGNIPSSFGSLKFVGVLDLSHNNLQGFIPWSLGGLSFLSDFDVSNNNLSGPIPSGGQLTTFPAARYENNSGLCGLPLPACGSGNGHHSSIYYRGGKKQPVAVGMVIGIMVSLSCIFLLVFALYKVKRHQEKEEKRDKYVESLPTSGSSSWKISSVAEPLSINVATFEKPLRKLTFAHLLEATNGFSADSLIGSGGFGEVYKAQLRDGSVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMQWGSLEAVLHESNKGEGTKLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDEDFEARVSDFGMARLVNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVILLELLSGKKPIDTLEFGDDNNLVGWAKQLHRDKRSQEILDPEIISSLSDGTELYHYLNIAFQCLDDKPFRRPTMIQVMAMFKELQVDSESDILDGISVKNSVIEESQEKELP >CDP14688 pep chromosome:AUK_PRJEB4211_v1:5:20956138:20959770:-1 gene:GSCOC_T00042101001 transcript:CDP14688 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNRDGLQFDDLDANYESEEDYEYVRSTESDEADSDGSESEPEGSGSGSESESESDWSEHHAFLIDPFLDEWSIKNEEELEKYYDVVFNLEKTRGRFFNCLEDLKTGGVFYRSVKNRVNTAVIHYNKKNQTGFRAGKILNCVLNAAGGAKLYLTFVAKDFNTGDVREFQACVYESAAGGDRRVNLCRLKIPKEPCEGDGKCI >CDP11360 pep chromosome:AUK_PRJEB4211_v1:5:15091800:15091991:1 gene:GSCOC_T00033574001 transcript:CDP11360 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVCMLNPGSVSLPTPHRPAVFRSNGLESRVDELKVDQSNAQRISAPSSVNDASITEPYPR >CDP13721 pep chromosome:AUK_PRJEB4211_v1:5:27993060:27994965:-1 gene:GSCOC_T00038762001 transcript:CDP13721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-2 [Source:Projected from Arabidopsis thaliana (AT5G47640) UniProtKB/Swiss-Prot;Acc:Q9FGJ3] MADSDNESGGNRDGNNLSESSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKIYLQRFRDMEGEKSAMAGRQEKEGGGGNGSVVNMGNSGGGYVGEGGGGMYGGATGLMMGTAHQQQQQQQHQHHQGHVYGSGVYNQMPGGGPGKVGSGYLGSGSSAPGRPR >CDP14730 pep chromosome:AUK_PRJEB4211_v1:5:21399854:21401768:-1 gene:GSCOC_T00042163001 transcript:CDP14730 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVLSVILDKILPLAAAEINQAWGVKKALQKLSRKVEMVEALISDAKCKQSTSKPAQLWLKRLQSIARHAEIVLDDFGYEVLRHKMADKIKKVMASLEEAYKEANQIGLQPAQLPMASADHKEDRWTASFVDESETVGREAEVSKVVSMLISLDCKKDLPVISIVGMGGQGKTTLAQLVLKNESVTKHFDEKIWVCVSDDFKVERLLNHMLQSLRIEKNAETTTKEALVRRLQENLKGKSYLLVLDDVWNKNREIWNGMRSCLLAIGGAPGSKILATTRSDDVASAMQTSDFHHLDILSVDHSWMLFEKLAFADGGARKTQDLVDIGGRIVKKCGGVPLAIKVIGGLLYSKKDASEWSKLEKSEIWNESTDIAKRVMSVLKLSYENLPSWSVKQCFASCSIFPKDAVMEKESLIQIWMAQGLINDAKGGGGHLQMEDTGSDYFNILLRSSLLQAAPDNFNGSKCCRMHDLVHDLSLQVSNNCFLNTEGGMEVRHDNEVMHLTIIGSRGKVNIEGIPPNLQTLYY >CDP18714 pep chromosome:AUK_PRJEB4211_v1:5:23876993:23878490:1 gene:GSCOC_T00002643001 transcript:CDP18714 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIYQKVFQANSSGKGMVFLSVELKKSFIMHEEVNRRWRIYSPTKDGLSTRKSGHKLSSYDKEPGNPQFISTIEPHCIKHRILYFPMEFARSNNLSDRSCDLILRDPKKRVWHVELKARGRRVYIFCHGLDEFFTANGLKEGDTCKFELVQNGKTPILNFLTDLTKDDQPQPQPPSFMKTNSKNKAKRLEASSEPENDDHPYFVSTIMPYNLKKYVLRLPMKFARQNGLTEMKGDMIIKDEKQRLWKVNFEHRANGVEISRGWGYFCKANGLKVGDRFKFEIIKKGKRPVMNFHCE >CDP06078 pep chromosome:AUK_PRJEB4211_v1:5:24814481:24818601:1 gene:GSCOC_T00021437001 transcript:CDP06078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Persulfide dioxygenase ETHE1 homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G53580) UniProtKB/Swiss-Prot;Acc:Q9C8L4] MGSYTTASESQLSGSKKLLFRQLFEKDSSTYTYLLADASHPDKPALLVDPVDKTAERDLSLVKELGLKLIYAINTHVHADHVTSTGLIKSKVPGVKSIISKASNAQADLLIEPGDKVYFGDLFLEVHATPGHTLGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFQGGSSETLYKSIFTLPKDTLVYPAHDYKGFTVSTVGEEMKYNPRLTKDEAGFKGIMENLNLPYPKMMDVAVPANVLCGLQDVESKAN >CDP13730 pep chromosome:AUK_PRJEB4211_v1:5:27922048:27926870:-1 gene:GSCOC_T00038775001 transcript:CDP13730 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDQCFVEWKEQFVSKERGNRVVHYFLKDSSGESILAVVGTERSVRHMFYVVSEEFLNAYGAENSVHAGFRWRSRREVVNWLTSMLSKQHRQSDCSRSPKDDPIFAVNIRQPQIREPKGRLARNLRGNPSDIVWSDEAWACGKQLKHFPAFCRNGVTIAVQSFVYVMAEKENRYLAYLEDMYEDRKGHKKVKVRWFHHNREVRGVVSLRNPHPKEVFITPYAQVISAECVDGPAIVLTREHYEKCIAVFPNDVFARAHFCFRQFKSNRVKPFKLSKLGGYFNQPIFSCFSRDFFEDEEFSSGDEVKVGVKRTKRGREPQHVTNKFSSHKLKYGLLNGGPNLQKHVEGQFWQTPMFNVNDKIEFLCQDSGIRGCWFRCTVLEISRRQMRIQYDDIEDEDGCGYLEEWIPAFRLATPDKFGMRYPGRPTIRPAVTSNQMDDVFKVGAPVDAWWSDGWWEGVVTGNYNSGKGSYQVYIPSENLVLNIDKKKLRISRDWVGDQWMDVEGNPDILSIISAAISLDSKHSVSSAITKEVKSDGSPVSCLKAPTDIKLDVVHETPNGACADSDQQQVFDCEDREHCGKQVDDSDVRDNAESHLADNSSVMANSQNSDDDNEGSHEDKLAEIGTGGRQCDAERAEAAE >CDP13786 pep chromosome:AUK_PRJEB4211_v1:5:27585555:27586814:1 gene:GSCOC_T00038842001 transcript:CDP13786 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRMKKVITDNPKQLADLIDLVNLPSTLREFTGQSQTSRLGCFTRVWSYIKENKLQDPNNKNLVNCDAKLKQILLGKGQVDLAELPMLIKLHFPKQQK >CDP06062 pep chromosome:AUK_PRJEB4211_v1:5:24938781:24943294:-1 gene:GSCOC_T00021418001 transcript:CDP06062 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLFMIHGTARTCYPNCSRIGGIAGSPTSRKNTSLNFSNFKLAKSQENYQFTKPVSLVPAKPKRSLLCGNSSNGTVSVDDGGSSGIASNASSGRSWIEAVGDAISTAFPLWVAIGCLLGLLKPSSYNWVQPKWTIMGITLTMLGMGMTLTFDDLRGALAMPKELFSGFVLQYSIMPLSGFFVSKLLNLPSHYAAGLILVGCCPGGTASNIVTYIARGNVALSVLMTAASTLSAVVMTPALTAKLAGQYVAVDAPGLFMSTLQVVLLPVLAGAFLNQYFQSIVKIVSPLMPPIAVLTVAVLCGNAIAQSSSTILMSGQQVVLATVLLHASGFLFGYVLSRMLGLDVSSSRTISIEVGMQNSVLGVVLATQHFGNPLTAVPCAVSSVCHSIFGSALAGIWRQSVPAQVQN >CDP06030 pep chromosome:AUK_PRJEB4211_v1:5:25168919:25171406:-1 gene:GSCOC_T00021379001 transcript:CDP06030 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSSQAKGDGSAKKIRKPKPWKHPQPITNSQLVQLREEFWDTAPHYGGRKEIWDALRAAAEADINLAQAIVDSAGVIIQNPDMTVCYDERGAKYELPKYVLSEPTNLIQEG >CDP05918 pep chromosome:AUK_PRJEB4211_v1:5:26003540:26004975:-1 gene:GSCOC_T00021236001 transcript:CDP05918 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKSQCVKKGSSYAADIVETENTFVETFVMMEGGAQNGKCKCGPSCACVNCTCDN >CDP05806 pep chromosome:AUK_PRJEB4211_v1:5:26851673:26855483:1 gene:GSCOC_T00021097001 transcript:CDP05806 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPENTNWLYDYAFEDIAVPDGNFPASASGFNWPVQTLNGSSNVSVEIDGSLGDSDGPKETGSRKRLRTESCASTSSKACREKQRRDRLNDKFVELGALLDPGRPPKTDKAAILVDAVRIVTQLRTEAQKLKDSNLSLQEKIKELKAEKNELRDEKQRLKGEKEKLEQQLKTVNTQASFMPPPTMPAPFAAQGQAPGNKLVPIISYPGVAMWQFMPPAAVDTSQDHVLRPPVA >CDP13605 pep chromosome:AUK_PRJEB4211_v1:5:28777629:28782179:1 gene:GSCOC_T00038609001 transcript:CDP13605 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPDRGRPATPSGSGSGSSSRSRSRSPSRSRSRSRSYSGSDSRSSSRSRSLSRSRSRSRSLSKSISSSSSPSRSGSSRSPSPPPQPKSPGEGARRGRSPPPQSKKVSPPPRKASPVPESLTIHVDQLTRNVNESHLKEIFGNFGEVVHVRLVIDHAVNISKGSGYVEFKNRTDAEKAQLYMDGAQIDGKVVHAKFTLPERKKVSPPKAVASTSRRDASKADSAGRDLDKDGLKRPREASPRRKPPSPPRRRSPAMRRGSPRRELDSPPRRRADSPGRRRPDSPYRRVASPPPRRRLASPARGRSPSSPPRRYRSPPRASPRRIRGSPMRRRSPPPPPRRRSPRRGRTPPRRSPVGRRRSRSPARRPVRSVSRSLSPRRGRAPPVRRARSSSYSSSPSPRKGPRRASRSRSPRRPLRGRSSSNSSGTSGSPPRKP >CDP13679 pep chromosome:AUK_PRJEB4211_v1:5:28235588:28239226:-1 gene:GSCOC_T00038706001 transcript:CDP13679 gene_biotype:protein_coding transcript_biotype:protein_coding MAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPEFFIHIIPDKTNNTLSIVDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDASGENLGRGTKMTLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWVEKTIEKEISDDEDEEDKKDEEGKVEEVDEEKEKDEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELIPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKTKLAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENCPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLKEKFEGLCKVIKDVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSDADAEGSKMEEVD >CDP18738 pep chromosome:AUK_PRJEB4211_v1:5:23651543:23654391:1 gene:GSCOC_T00002670001 transcript:CDP18738 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFTFAFIAVHCYMNRVLTCPRRSYTLFTLNIALPFFIVVRVSFMLPFSVVLVVMSLKNLIYMCQKCNFSLDINCATAKHPLIRPKIHQHDLAYFNCTTKVGFSCNTCHRYSSDSKYFLRCVECDFNIHAYCYLSLPETIKHDCHLDPLTLTTSPIKDMPDEDENAEFYCNACEERRDLADPTYYCEECHFVAHFHCVFSEILPQLRKEFADSAVRSENLQLETSMTVVSGEKLRRGGDSEASGNEEAKLTHTRTDLIPQASATTEGVQNKNEGTGHKLNEEIALLENGMDALAREIVELEGKLRELKHRQMEQRATLQSKQFMRDVRFGKKISPWWADVRKT >CDP06182 pep chromosome:AUK_PRJEB4211_v1:5:23910941:23912963:-1 gene:GSCOC_T00021587001 transcript:CDP06182 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSNTVNGEFVDAQTVDIAGEEISSYESKVAELSEMIEALEKEKQQVVYENKTVNDRIEKMKESMKHLSSENEELKGQVEKLGSESKALQSVAARAGELEGEVSRLQHDLISAMSDLDEANSDVSKWKTKVESLENGATEKSIKLDAVISERDLLIGKVEDLKAKVGEKEKEIKVLEGGIEELKLAASEREELLRKVRELEGKLEEKERVINGLEVKQREVDGLENGKVAVVEEDVGSVVEGREKNCAARLEGEWPVVAVSAVSAVALTGVLCYLHYKKR >CDP11854 pep chromosome:AUK_PRJEB4211_v1:5:20141820:20142894:1 gene:GSCOC_T00035121001 transcript:CDP11854 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSVFAFLILSGIFMVQSMAARSELKVQELVHLSAKMERSIADPPAVDNGAADHSKEEGGLTEETAAEAPDQVVVRKMSKHHSTDKSVAGGGVIIGGLVAAVFAAVYCYIRVTRKESSSDGEKH >CDP14802 pep chromosome:AUK_PRJEB4211_v1:5:22123975:22124796:-1 gene:GSCOC_T00042262001 transcript:CDP14802 gene_biotype:protein_coding transcript_biotype:protein_coding METMRKVKGPEVCSRGNFGILKNSSMAITNVIGPVEQMSLADHPVKGIYFAVPGDPQSLHITVISYAGKLRICLVTERFYRSLQVQVLHQQCFGGHP >CDP05708 pep chromosome:AUK_PRJEB4211_v1:5:10917255:10930242:-1 gene:GSCOC_T00020938001 transcript:CDP05708 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASFRVVSRIHCQVAPYCNPICNYRTLNLHFPYHHRLPHLSNASFYTLKNCCCKAAAKESSTKAVEKGRKMSADDSHDLKLSSLTALCPLDGRYWAKVKELAFFMSEYGLIRFRVLVEVKWLLKLSQVPEIPEVPSFSKDAETYLQGLIDGFSLDDAMEVKKIEKVTNHDVKAVEYFLKQRCQSHPEIGKVLEFFHFACTSEDINNLAHALMLKEALSMVMLPAMDEVISAISDMAMENAHIPMLSRTHGQPASPTTLGKEMAIFAFRLSWEKQDISKVKILGKFAGAVGNYNAHLAAYPDINWPQVAEEFVTSLGIDFNPYVPQIEPHDYMGKLFHSIIQFNNILVDFDRDIWGYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGVANAGLSHLSMKLPISRWQRDLTDSTVLRNMGVGLGHSLLAYRSTLMGIGKLQVNEASLSEELDHTWEVLAEPIQTVMRRYGVPEPYEKLKEVTRGRAVTQESMREFIKNLDIPGDAKMMLLNLTPQTYVGAAAELAKNIKTAINLVNGARFS >CDP11334 pep chromosome:AUK_PRJEB4211_v1:5:14343460:14345349:-1 gene:GSCOC_T00033527001 transcript:CDP11334 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFCTCAGEMLSKWEEIVSTNGSCELNVWPDLRTLACDAISRTAFGSNYKEGTRIFELQREQAQYHLKALRSVYFPGWRFLPTKRNRRVKQIAKNVHESIREIINARLEAGEACADDLLSILLESNSKEIDYHGNKGFGMTRAREEVLQHFGTNKPDFDGLNHLKLVTMILHEVLRLYPPVPELARKAAKETQLGNLTLPSQVLVSLPAMLLHYDPEIWGDEVKEFKPERFADGVLNATNRKVAFFPFGWGPRICIGQNFAMLEAKVALAMILQRFSFELSLSYTHAPRVALTI >CDP14727 pep chromosome:AUK_PRJEB4211_v1:5:21381588:21382993:1 gene:GSCOC_T00042157001 transcript:CDP14727 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEFQDCIEILESVSQQYQFQPDSQLSVMKIVDDSRTTAHRVVESFLNTFFPLRISMPIVNEGFLRCTQFQLLKHFTSATLSVLSIWVLFIIYEASAKQPLFSECFFNLCIPISFCMEHNLLKMNNLLFILFS >CDP14785 pep chromosome:AUK_PRJEB4211_v1:5:21949616:21968336:1 gene:GSCOC_T00042242001 transcript:CDP14785 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G03560 protein [Source:Projected from Arabidopsis thaliana (AT4G03560) UniProtKB/TrEMBL;Acc:B9DFD5] MEEALLGGESSGTHSSAWGRIRKGGFSRRSDAIAYGSTYQKAAALVDLAEDGIGLPEEVLDESSFANAAKYYFTFIKFDFLWTLNYFALIILNFLEKPLWCSKYSVHTCSDREYFFLGQLPFLTSTESLIYEGVTLLILVVHILFPLSYEGLAIYWKRLLNQLKVLLLLIMVADILVYVLSLSPVAFNSLPFRIAPYVRVVFFILSIRDLCNSIVILAGMLGTYLNVLALSLLFLLFSSWIAFVIFEDTEQGNTVFTSYGMTLYQMFVLFTTSNNPDVWIPAYKASRWYCLFFVLFVLLGVYFVTNLILAVVYDSFKNELVKQVAEKDRLRIRTLSKAFTLADTYNLGFLDKDQCIRLFEELNKYRTLPKISKEDFELIFDELDDSHDFKINLNEFADLCNAIALRFQKENSEPIFEKCPSIYHSPVSERLKNFVRSPTFGYIVAFILVLNLVAVIIETTLDIEDNSAQQAWQKVEFVFGWIYVLEMLLKIYAYGFENYWRDGQNRFDFIVTLVIVVGETATFVAPNRLTFLSNGEWIRYLLILRMLRLIRLLMNVPQYRAFVATFLTLIPSLMPYLGTIFCVMCIYCSIGVQVFGGIVNAGNPKLESTDLADNNYLLFNFNDYPNGMVTLFNLLVMGNWQVWMQSYVDLTGTSWAYTYFVSFYLITVLLLLNLVVAFVLEAFFAEMELETSEKCEDTETQEGSRKEHRRNIGMKSRGQRVDMLLHHMLSAELDKTQRSTEPTP >CDP13788 pep chromosome:AUK_PRJEB4211_v1:5:27569808:27573647:1 gene:GSCOC_T00038846001 transcript:CDP13788 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDQSDWWTAFLSWSVFVVLAVVVPCLSHFFLACSDCDSRHSRPYDTIVQLSLSTLAALSFICLSQFVRKYGLRRFLFFDRLCDDSETVRKGYTVQLNRSLKIVFIFVIPCFTVECAYKIWWYSSGGTRIPFLGNIIVSDTVACILELCSWLYRTVVFFLVCILFRLICYLQILRLQDFAQVFQVESDVESVLREHLRIRRHLRIISHRYRSFILWALIIITVSQFASLLMTTRSTADLSIYKTGELALCSVSLLAGLMILLRSATRITHKAQAVTCLAAKWHVCATIDSFDTTEAETPISRVPVNHVFPVSSDGSDADDDVGDEEDDIDHTKLVPSYNYNTISFQKRQALVTYFENNRAGVTIYGFMLDRTSLHTIFGIELSLVLWLLGKTIGIS >CDP15893 pep chromosome:AUK_PRJEB4211_v1:5:22671111:22673757:-1 gene:GSCOC_T00016799001 transcript:CDP15893 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLTQIYRVVSRKALEVGEDPAALPKGQTINVGTKDDVSAVKKVGCCSA >CDP14807 pep chromosome:AUK_PRJEB4211_v1:5:22179647:22181329:-1 gene:GSCOC_T00042267001 transcript:CDP14807 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPQAEVFEVPAEVFEAAYWPRVQSNSLKQTKALVSELSLQFYKLGWLSGTGGSVTLKVHDHNVSKQNQFIVMSPSGVQKDRMSPEDMYVLSSSGSIFSPPPTKSYPNNPPKCTDCAPLFLKVYEMCNAGAVIHSHGLDACLVTMINSSSNEFHIRNMEMIKGIQGHGYHDELVVPIIENAPSEWKLVESLTKAIRAYPKSTAVLVRRHGVFIWGDTWISAKTQAECYHYLFAAAIKLHQLGLPY >CDP11805 pep chromosome:AUK_PRJEB4211_v1:5:19348107:19356652:-1 gene:GSCOC_T00035054001 transcript:CDP11805 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAARGLESHHHHLSSFPYFFFPIAKPLHFHLTVRSVPAVVPILHLNHTQSLPPFSTYPNHLAHHNPPRHRVTHSSGHRSSRMDSESEPLHSDSVAAAGAGDGSEDYVHVDDAGAADSGFFGVASMTDSEWRGEENDEVVLRSADGGSGGGGEGEREREGEGGGDGGGGGDERKELPEELSRSVLKLTCESTAVEGGICDVYLVGTAHVSTESCKEVQAVINFLKPEVVFLELCSSRITVLQPQNLKVASQLAVLPGAEFRVAYEEAMKYGAKVILGDRPVQITLRRTWAKMPLWHKTKLLSSLLFQAVSLPSPEDLSRMLKEMDDVDMLTLVIQEMSKQFPTLMETLVHERDKYMSSSLLKLASEHNSVVAVVGKGHLPGIKKHWRQPIEVAELLAMPTHKPAVSVGKILTTIGVALAGVAIASGIYLSVKK >CDP17457 pep chromosome:AUK_PRJEB4211_v1:5:2742451:2750728:1 gene:GSCOC_T00000937001 transcript:CDP17457 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNELVSTLSFASSSYTSNGSSSHNMPSAGHEPGASLDLLTLTKLSGSLEKLLLDAEFDYSDAEIVVEGTSVGVNRCILASRSPFFHDLFKKSNAGSANGTKPNYVMTELVPRGKIGYETFMVFLNYVYSGKLKSSPTEVSTCVDESCAHDACGPAINYAVELMYASATFQMNELVLVVQRRLLNFVDKAFVEDVIPITIVAFHCKLNQLLSHSIQRIARSDLDDLTLEKELPHEVLTDIKSFRKQYDQDLQHDNGEVNFITDKRIRRIHKALDSDDVELLRLLLAESDITLDAAFGLHYAAAYCNPKVVTEVLSLGNANLNLRNSRGYTVLHVAARRKDPSVIVGLLSKGACVSDSTDDGRTSITICRRLTRPKDYNESTKQGQETNKDKLCIDVLEREMLRNPLAGNMSMSSMMVADDLVMRLLLLENRVALARVLFPREAKLAMEIANAHSTSEFAGLAASKASCGNLREVDLNEIPYEQVKRLQLRLQALQKTVETGRRFFPNCSEVLDRFLEDDMQETLLLENGPLEEHSTKKMRYMELKDEVLKAFDKDKAENNWVGLSSSSSCSSSPKAIAHHKAKKRQLF >CDP14774 pep chromosome:AUK_PRJEB4211_v1:5:21786241:21789200:1 gene:GSCOC_T00042227001 transcript:CDP14774 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKEVGFLNASGVNLKEQLARTTLRNARLQGHTYVDLRKDGKKSIFFCTLCLAPCYSDSILYNHLKGSLHCERLAAAKATLLKPNPWPFDDGVLFFDDSSERDELPVSKRDNLQLLDNNDYDESSLAIVSYHEHLENNSNGHASHDDIGPSPTNLTDTFCSQLVIPGVLCKDEVSQLEVSYLGVAQIAARFCEKNGIINEIRRIWCEWLGRKDCDGEDTLMVLEHDFAIVTFPYSYNLGRKGLLDDLKYLLPPSPYSESEENSGGRNRKRKSFSDPEDVSESLANQYDSSGEESQNSNSCNKKLLLDGYDDQLLHSRVLSSKTLRKELRRQQQVASERMCDICQQKMLPGKDVATLLNRSTGRLVCSSRNLTGAFHVFHVSCLIHWILLCEMEIYAKQFDAAKTKRRPRRKAGTKQSESGKFSEIKGIRKQIYSSFCPECQGTGVNIDGNELEKPTVPLSEMFKYKIKASDAHRAWMKSPEVLPNCSTGFYFPAQSEDMYEEKVSPLKLLHFYRAK >CDP05994 pep chromosome:AUK_PRJEB4211_v1:5:25468779:25471451:-1 gene:GSCOC_T00021334001 transcript:CDP05994 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABCG10 [Source:Projected from Arabidopsis thaliana (AT1G53270) UniProtKB/TrEMBL;Acc:A0A178WBC4] MELPIRTPDSIGRRASYIVETKNLSYKLLSPCNEFSLLCCKNPRKAAKFILKDVNCEARPGEMTAIAGPSGAGKTTLLEILGGEISPRKVSGKVLVNGCSIHPEFFRRICGFVTQDDALFPLLSVEETLMYSALLRLSGGKKEAISRVKVLIKELGLDRVAGRYNKDDSCVRNLNNFGVKRLSCSNSHLEEIFILGERFCKNIFRTKQLFAARIMQALTAGLIIGTIFMNVRNDQRQIALQTRIGFFAFTLTFLLSSTTEGLPIFLQERRIFMRETTRGAYRVSSYVISNTIVFLPFLLMVGLLYTTPVYWLVGLRQNIDGFLYFALVVWMVVLMSNALTACFSALVPNFIMGTSIIAGLMGSFFLFSGYFISKENIPNYWIFMHYISLFKYPFECFMINEYGGKGGRRCLEQSHKWSNLLIMLAFVIGYRVLCFLILWCKCYRSRN >CDP15873 pep chromosome:AUK_PRJEB4211_v1:5:22444760:22446685:1 gene:GSCOC_T00016773001 transcript:CDP15873 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLLRIKSFFLYLVLTRDFSCRCVFCLLLLDNASLLLIRLLCVLVHEPAKISGSLHSLLFRFRCSQVRRHPPLPPKACQTSVLRVLRVSVV >CDP15943 pep chromosome:AUK_PRJEB4211_v1:5:23079060:23080304:-1 gene:GSCOC_T00016866001 transcript:CDP15943 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAGTEPTWLELLGSNNWEGLLDPLDLSLRNLILRCGDFCQATYDSFVNDANSKFAGSSRYGKKSFFQKVMLENASDYQVYCFLYATAQIGVPEAILLHTRSREAWDRESNWIGYIATTTDEVSKSLGRREIYVAWRGTSRDYEWIDVFSARPESADQLFKPKSWDKKVEANEDDSDEDEEEKATKVMYGWLTIYVSEDPKSSFTKTSARKQLLSKMKTLIEQYKDEKLSITFTGHSLGAALSILSAFDLVENGVTDIPVSAIVFGSPQVGNKAFNDRVLEFPNLKILHVKNKIDLIPLYPSGLLGYVNTGTLLEIDTRKSPHLKDSKNPSDWHNLQAILHVVNGWNGSKGEFELKVKRSLALVNKSSAFLKEEYLAPETWWVEKNKGMVIDENGDWILAPPADEDLPVPED >CDP10757 pep chromosome:AUK_PRJEB4211_v1:5:17027409:17027615:1 gene:GSCOC_T00031581001 transcript:CDP10757 gene_biotype:protein_coding transcript_biotype:protein_coding MITMRHFSAFEFYHLLSKFAEFVLPQIQENRLIYVEDIAEGLGSGPAVLVGLFRGRNVGKQLVLAACE >CDP05868 pep chromosome:AUK_PRJEB4211_v1:5:26398659:26401768:-1 gene:GSCOC_T00021174001 transcript:CDP05868 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) UniProtKB/Swiss-Prot;Acc:Q38945] MEDDKYSLELNVAVRVVHMACSLCQKVQKGLLSATSFVQFKSKEDNSPVTIADWSVQAMVSWILSEIFGSQNISIVAEEDVQTLSKPESAGLLEMVVNTVNECLSEAPSFGLKSPAQALGPSQVLEAIGRCNAAGGPLGKHWVLDPIDGTLGFLRGSQYAIALALIDKSEVVIGVLGCPNYPAKRNWLVKHEQQSHAVPDLSVTNPGIWEEGCILYTRRGSSEAWMQPLVQGDKKLVWPNSARLVRVSSIQDPALATFCEPVEEANSNHSFTAGLAQAVGLRKKPLRVHSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVLLVQEAGGVVTDAGGRPLDFSKGMYLEGLDRGIVACSGVKLHEKIIGAVYASWDSSNL >CDP10779 pep chromosome:AUK_PRJEB4211_v1:5:17621518:17622381:-1 gene:GSCOC_T00031637001 transcript:CDP10779 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTFNFSLKHLDLIIFRNTVQLANFRLLGLISGQHGLKNRALHPLAILLLDDNNILVKRKQSN >CDP14417 pep chromosome:AUK_PRJEB4211_v1:5:6363833:6377837:1 gene:GSCOC_T00040826001 transcript:CDP14417 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKSGEPTAAAAGDGGVTGNSEGGTTVALQKKRLRRVSFAENTSVRFFDRDDDENETPEALEESASKVGNNNDSEEIIELLGFRQLVESKGGGEEDREDGDRQSDDEERVDMRRSFLRPVESPSSESGFGSATSNDGKFPSFPFFFTPFTIVVNYVFFNVCAEDNFFGPVSASFIRPDRLSDSGASDLNHDVTMDSTAFSMHFRSLARSDSGIDLKTPTESHLSFEEKTPTQTNIGSSMEITVPKKLISLSSSPIVNEGGGSSSSSDMSLVGGSPASYDYGRLSPGLDALLAEGSKNLNMISDSGIDVVSRSRCNHGSKFFPERENGDIFVDVTKNGKVVSKGAGEANSRLPVSPVAHATPASDILIDKTNFSPNKLIKERTFRPVMDDKLDQADGHIQNESPLADFISSPAKRREALTSCASPLKSMSALTPQEERSSFRGTEIIKQDSTSSIQKSISKLRLLEASPFSFLGAEKRNIKPHNITDSYPFNILSEKEMNNFQMKELFASSTDTESQLLSDSPGEGAQLICPGKEMEHDLFRSKDPNDDVLVTAGIDSSSAETTLDYIREKNLTGTPQIFVPCPDKGLQKKLTASPELLKLSKDLVLHDRFVKLKNFSPGRNLSLQRSALDENLFTEPLGRSGYFSIGREVHPSSPASEVSISNLIDVNSTVAKNGNDDDGNGGTGLTERSQFLHNRELDGNLQSGIGLSRSSSELHGGEPEDVSNVSLAPSVRRNLKELTFPKNLLDSLTPSPARKAFEIVGRDNTCEHPVEDVLFPTSNQMKSSLKRRNRVIDFEDREHRNEVAPELRSPKLLKVGCQEVEMPGCTSENFGERSVAAQASKHWTDIYSKFSHDAERLFTLSKDKLNLSVIDLLEGFLFQLKKLKMYEMVGTGIFTQKTSVRLDQRTERAGGTRMLLHQILHERSKLQLMRAKQQRLLGKLQKLSQGNQESQMLKLNFLSQSRRGAQADILGLQNFVHVKQRDEVTCDKVAAIKQALESLDRKKMWVVDDVQSKSGHHDIALNYLDLIIQRVKLTVGTVKSIVISNKVDDAKIRKIFPNMDACKAFSVVLNAESTRKYFGCRSLAQEIQVTRSLLGNLVDVVRELQMAQIELRNLTDISFHCPLVGQLNLHLSFFSFKSGKKVSLIFNMSSLNRGIYPSDILPSQLTAFNGMNNTSGDPIIGEIRDAFKRLRAGYMRIIRLCRCISLVVQG >CDP11863 pep chromosome:AUK_PRJEB4211_v1:5:20204277:20207511:1 gene:GSCOC_T00035135001 transcript:CDP11863 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGMSRLGTNVQHNKSLGSETAETPESKVIQVVKEKWLWDKPPAGFYKVNVIITRMGDRFIVACIIRDENGMLVDPLGHIVEFPYKEENACLVAFQAMQAGVAYFLKRVPGKTKLIVECDNRNAVSMYEEPRPAIPVKFRETYWRIADLSDQLEELKAHWVPQEVNQLAAVYLSQTKNGLEMDQIIHDENWKTIYESNMKGEAVETKTKFVYPGPEWDMKKSIQKMREKYAKLEANAKDPERKAKYSQHYAPST >CDP13608 pep chromosome:AUK_PRJEB4211_v1:5:28753324:28762259:1 gene:GSCOC_T00038613001 transcript:CDP13608 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMIVTSLGDIVVDLFTDRCPLTCKNFLKLCKIKYYNGCLFHTVQKDFTAQTGDPTGTGSGGDSVYKFLYGDQARFFGDEIHLDLKHSKMGTVAMASAGENLNASQFYITLRDDLDYLDGKHTVFGEVAEGLETLSRINEAYVDENSRPYKNIRIKHTYILDDPFDDPAQLAELLPDASPEGKPKDEVDDDVRLEDDWVPMDESLGPQQLEEVLRAKEAHSSAVVLESIGDIPEAEVKPPDNVLFVCKLNPVTEDGDLHTIFSRFGTVTSADIIRDYKTGDSLCYAFIEFEDREACEQAYFKMDNALIDDRRIHVDFSQSVSKLWSQYRRGGRMNKGKGCFKCGSLDHIAKDCTGDPTNAQQHSKYILKDGNTQHGGDDNSRYEMVFGGEDLGSPKGNKRERYSEPEKSDRREDWKLAGLDNQERDGTKDSQELRSHRGRSKELREDDKYRGDRASRHSGRDRGSTASDEGDYRRKAYGGSERDGREGVRYEKRHRDDASHRDNRYGREYKERRADDAGWRDRRDERDSMRRKGGDEVRRSQKVDENDHNSRHESKGRKHHDMESRKGRSEERESGNRKAKVDDAADVGDYERDRSRRR >CDP06115 pep chromosome:AUK_PRJEB4211_v1:5:24459623:24460725:1 gene:GSCOC_T00021501001 transcript:CDP06115 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIYKKIPVLIHNGRPVCESLIIVEYVDEVWKGKASLLPSHPCDRAQARFWADFIDKKLEGFARKIWSTKGEEQQAAKIGFINCLKTLEEGALGDKPYFGGDNFGFLDVALLGHYSWFYTYEKFGKFSIEADCPKLMAWGKRCMERDSVSKSLADPIKVYESVLLWQKLRGQE >CDP06175 pep chromosome:AUK_PRJEB4211_v1:5:24008190:24010282:1 gene:GSCOC_T00021576001 transcript:CDP06175 gene_biotype:protein_coding transcript_biotype:protein_coding MESMNEVSSYPSVTECTLDSRGSQKLVCDIITLLRSDHSFFPYFLLVAFEGGSILRAFLVMIFITFCGLRLRDMAVVARAVLPKFYLENINLLVYEVFASAGSRLVFTSVPRVMVEGFLKEYLSVETVKGTELHTIGPFFTGLVSSSGLLVKARALKESCGENLPDIGVGSLDHHDHQFVSLCKEAYVVHKDDRKACESTIMPRERYPRPLIFHDGRLAFFPTPLATLTMFIWLPFGIILVIFRFSVALLLPCKMAKFLILATGGKLKLRLSEPLGSESEKKVLYVCNHRTLMDPVVLFGALEKPLTAVTYSLSKFSEMVSPIRTVRLTRDRNQDAKTIQKLLSGGDLVICPEGTTCREPYLLRFSSLFAELTDEIVPVAMDTYTSMFYGTTVSGYKWLDSIFLGMNPNPCYSIYVLEKLPKEITFSVGKSSHEVANYIQRRLGDALGFQCTNLTRRDKYTMLAGNEGLVQDYRKKASEHSQNS >CDP06034 pep chromosome:AUK_PRJEB4211_v1:5:25145827:25150009:-1 gene:GSCOC_T00021384001 transcript:CDP06034 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRLPTWKERENNKRRERRRRAIAANIFAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCRPVDRMDIMGGSTSASPCSSYHPSPGTSYNPSPASSSFPSPASSPYAANINGDGNSLIPWLKNLSSSSSSTSSTKFQLLCHGGSISAPVTPPLSSPTARTPRLKTDWDDSSARASWGSHSSFLPLSTPPSPGRQAPPDSEWFAGMQIPQGGPTSPTFSLVSANPFAFKFESLRHTGSHMCTPGQSGTCSPAIPAGSDVPMAEVISDEFAFRSIKGGLVKPWEGERIHEDCGSDDLELTLGSSKTRRANKRAVL >CDP15901 pep chromosome:AUK_PRJEB4211_v1:5:22716688:22717987:-1 gene:GSCOC_T00016809001 transcript:CDP15901 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEVLNWTKAIKDGHPEKVDWAGLFWFMVEKELLKGEQLVDCYYASHLQYLIKSQREEVVLMAEQDMVELNPGVKEEGDGGNDVGDMTKGGLSIVPEQENDVLVGPNIELTLGQDGGEKEDVKVADVMDVEERREDEEQQEKEQEHRQWLLHGRNNVGEHFMQPCNMEDASGFDSLEERQEEGDELEGEREEEEEDGEEEEEDGFDVGPHDDTLEGDGLTGNFLQALETTQITYGSQGHLHDDSSVDLVADRNDLQHMAAGGPSFYGNTGKRELDHEHDVSHHPLNGSNKRLRIDGPWDNKPLDFGTCMDQMQQLMGRARLIASVKKYRKEMQRSFVYNVSCI >CDP16483 pep chromosome:AUK_PRJEB4211_v1:5:7806043:7806809:-1 gene:GSCOC_T00018427001 transcript:CDP16483 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKPKLIPSYLKIPQATVSLYGIAINLLLRFLENISGTTTSQASFVNSTHMKFSLCTSNSKRSIGIGWHTRMNGFKKGRRVWLKKIKKRYQGTQNMYHPRTIESVGDLNLCEKQKKLEN >CDP13747 pep chromosome:AUK_PRJEB4211_v1:5:27844346:27847500:1 gene:GSCOC_T00038795001 transcript:CDP13747 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATTQKSISKELELVDGKKAKLKSAFEELQAHYSVTNLKWEDLDSYFTSLQSHLLHKFSRLQSQKPDPKPLSQPQPQQPKQKETPAKDLSNPVPARAELKSFCENMDGLGLRNYILDRPRERAAIRVELADAWKYAPDPAKMVVDAVQGLIADDSGSGTSVDLGGLRRVGVVLLEELMRAKVEIRDGVKEKAKAIAAEWKGKLAAASSGSGSGGDGGGEEDGLEKLCFLHMLAAFGLVENDGFDLNELVEYAAVIARYRQAVELCRALKFGDKISDIIQKLIGEGKHLLAVKFIFQFEMTDRFPPVPLLKTYVLDSKKLAQKVRKDGKSSRQSLNEAAAKEISSLKSVIRIIEDHNLESQYSKDILLKLVEKLEKERTSKKRPAPVPAVKAQQQKPNVGKQSRKIGAAGRSTFQKNVATNPTVPTVQQPHMQMAGLLADPYLSTSAAAYGLAGSTPAVAPYGGTSAGIYGLPGTHIDPSVAGLYPSETSTRPSYYDRSVVYGGYGFPPQYHQAYYPQ >CDP13768 pep chromosome:AUK_PRJEB4211_v1:5:27685713:27688925:-1 gene:GSCOC_T00038820001 transcript:CDP13768 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVTTLIFVAIGVIASLCARICCNRGPSANLLHLTLIITATVCCWLMWAIVYIAQMKPLIVPILSEE >CDP06049 pep chromosome:AUK_PRJEB4211_v1:5:25024486:25027467:1 gene:GSCOC_T00021401001 transcript:CDP06049 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G78630) UniProtKB/Swiss-Prot;Acc:Q9SYL9] MAIQCSTSSLFLSSSSPVAFSSSSSSSIKKSPFLGFSISAALSSTRPSLIRTKPNALVRCQSKDAAVHIPVDQRWMFEESEINGPDIWNTTWYPKAADHVNTDKPWYIVDATDKILGRLASTIAIYIRGKNLVTYTPSVDMGAFVIVVNAEKVAVSGKKRNQKLYRRHSGRPGGMKVETFDQLQKRIPERIIEHAVRGMLPKGRLGRALFNHLKVYKGPNHPHEAQKPIDLPIRDKRIQK >CDP13779 pep chromosome:AUK_PRJEB4211_v1:5:27614188:27619512:1 gene:GSCOC_T00038832001 transcript:CDP13779 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDAQCPLLGETTCVQLIWDEVGETDEERDKMLLQLEQECLDVYKRKVDQAAKSRAHLLQALADAKVELSSLLSALGEKSFVGIPEKTTGTIKEQLAAIAPALEKLMKLKEERIKEFSDVQSHIQKICGEIAGTTEQVERQSVDEFDLSLKKLDEFHAHLQELQKEKSERLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLNDSTGVQCKSISNDTLSRLAKTVLALKEDKRQRLHKLQELATQLTDLWNLMDTPEEERSLFDHVTCNISASVDEVTIPGALALDLIEQAEVEVERLDQLKASRMKEIAFKRQAELEEIFARAHIEIDSEAARDKIMALIDSGNVEPADLLADMDNQIAKAKDEALSRKEILDKVEKWMSACEEESWLEDYNRDDNRYNASRGAHLNLKRAEKARVLVNKIPALVDTLVTKTRAWEEDRGISFTYDGVPLLAMLDEYAMLRHDREEEKRRLRDQKKFHEQLSKEQEAMFGTTPSPARQVGVKKVVGPRANGGANGTAGRRLSLPAHQNGSRSINKDGKRDSSRPIAPVNYVAISKEDATSHISGTEPLPSTP >CDP11833 pep chromosome:AUK_PRJEB4211_v1:5:19860605:19862645:1 gene:GSCOC_T00035098001 transcript:CDP11833 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEQTKDSVKELDWKNIGESVSNEPGSEQVAKKRLPKRIRQIPDYYFLPRRPLPSAIAFYGAWIAAGIGAGMLAEVWINKKIKEDGGVIWEFGK >CDP05951 pep chromosome:AUK_PRJEB4211_v1:5:25768828:25776266:1 gene:GSCOC_T00021276001 transcript:CDP05951 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYSVDPALLLAAATDFASHPGTQSDASAQEFLNRFPLPAIINALQTKADYPGLENALVDSLERIFKTKYGASLIPHFMPFVVVGLGADSQKVRYLACETVSCLLENIDDSTAVHLIHQYAVYPLLLNCVIDGDEQVATVSMDAIKNLGGSPNGLAIIFPANISEPTQLGNLAGKCSSLGRVRVLALIVKLFSISSSVASLVYSSKLLSLLEREVSNTNDTLVTLTVLELLYELAEVQHSTEFLSRTMLLQLMSSIIRNASAESILRSRAMMITGRLLSKENAVRFIDESSFRAVVLAIDRRFDFLESQDADECECALEALGQVGLSNQGAVLLLTGSPPAARHVIDAAFDRQQHNKQLAALHALATIAGEPRSENDVILTGGAEENLQRLIYEMASRTSKLTPSGLLQSILQQDSDLRLAGYRLITALVARPWCLLEIISRQEIINVVIDTYTETKKIGMELRHKCCQAIYRALTSSSKLISNPALADIAAKLQEAIRRGPYLVRTRTEAQPVVMTAERF >CDP14761 pep chromosome:AUK_PRJEB4211_v1:5:21710717:21713278:-1 gene:GSCOC_T00042210001 transcript:CDP14761 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPLGSKNKYKRMDSELTDGDDDSHHHHQLEDRRKTTRKFVLACAIFASLNNVLLGYGKFSWPFDYADVGVMSGAILFIHQDLNITEVQEEILVGILSIVSIFGSLAGGRVSDAIGRKWAMGLAAVIFQAGAAVMAAAPSFEILMIGRILAGIGIGFGVMIAPVYIAEISPTVARGSLTSFPEIFINIGILLGYVSNYAFSGLPAHINWRIMLVVGIVPSVLIAFALFVIPESPRWLVVQNRVEEARSVLLKTNENDAEVEERLAEIQLAAGNTTDAQNHEDKPVWRELLSPSPALRRMLLTGFGIQCYQQVTGIDATVYYSPEIFKAAGMQGESKLLAATVAVGVTKTVFILVATFLIDKVGRKPLLYASTIGMTACLFTVAISLSLLGHGSLGIALAILSICGNVAFFSVGMGPVCWVLTSEIFPIRFRAQASALGAVGNRVCSGLVAMSFLSVSRAITVAGTFFLFSAISATSVAFVYMIVPETKGKSLEQIEKMFEKGYESQGGEVQLGDAERLMQKQ >CDP15954 pep chromosome:AUK_PRJEB4211_v1:5:23152257:23152955:-1 gene:GSCOC_T00016879001 transcript:CDP15954 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEILKLFDSYWFEHGIFTSKHCLASSTSKPIHDQEEHELEKSKLASIVNLQVRSLSDHCVSYDTGFSPNAASSKSAISTPTLPKILSGVEVSDFSERIEEQEKIETTTTKKFSNRRRRSRGISRSLSELEYEELKGFMDLGFVFTEEDKSSSLASIIPGLQRWGKQGSNELVHDKYAVSRPYLSEAWGVLNQRKVNKLPVRRRFPDVDNEINMKDQLKAWAQTVASTVR >CDP10754 pep chromosome:AUK_PRJEB4211_v1:5:16912573:16915776:1 gene:GSCOC_T00031575001 transcript:CDP10754 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSGLSFDPDRIGLFLHKPTVINSLLHHQEDKKGKHHNNYRHLLYYHHHHKSSPPTTIQFPVNLNCSTHDDDQDDGPLPHNNSDHHQEMDFFADKKLKTDESKAATIPHPDANTKDLSGPTELGFNVNTGLHLLTANTSSDQSIVDDGISPHSDDKRTKSELAVLQAELERLNGENLRLREVLTQVSNNYNNLQMHLMTVMQQQPQQKQDHIKADNIGEGQEGKLPLEDNNNKHQQNSHGLMVPRQFMDLGLAAGATVETDEASLSSSEGRSGRERSRSPTNNVEASTGGSDGRDDSPEKGSQGWGPNKVPRLNNGSKNVDQATEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSSAARMLLSGSMPSADGLMNSNFLARTLLPCSSSMATISASAPFPTVTLDLTQNPNPLQFQRSPNQFHLPFPNAPQNFVGNPVALLPQIFGQALYNQSKFSGLQMSQDSDAAHLGHQVPQLPPLHQGQQNPLADTVTALTADPNFTAALAAAITTIIGNPQSTHAANNSSLNITTNTSNNNGSVTTSNNNSNGNNKVLNTSFPGN >CDP14748 pep chromosome:AUK_PRJEB4211_v1:5:21635906:21636461:-1 gene:GSCOC_T00042194001 transcript:CDP14748 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIFLLFPFSLHARHIGKLRSRHGHHHATVASRKAVGFVTTQKYWDRRPMMHKRADTLQIAGSSLPDCSHACGSCNPCRLVMVSFVCASLEEAETCPMAYKCMCHNKSYPVP >CDP06131 pep chromosome:AUK_PRJEB4211_v1:5:24354907:24357372:-1 gene:GSCOC_T00021522001 transcript:CDP06131 gene_biotype:protein_coding transcript_biotype:protein_coding MATQALVSSSSITASAEAARQILGGRSLHSSPRKVSFAVRAEATPPAKQGADRQLWFASKQSLSYLDGSLPGDYGFDPLGLSDPEGPGGFIEPGWLAYGEVINGRYAMLGAVGAIAPEILGKAGLIPPETALPWFKTGVIPPAGTYDYWADPYTLFVFELALMGFAEHRRFQDWCKPGCLGKQYFLGFEKYLGGSGDPPYPGGPLFNPLGLGKDEKSLRDLKLKEIKNGRLAMLAIVGYFVQGLVTGVGPYQNLLDHLSDPVNNNVLTNLKFH >CDP10772 pep chromosome:AUK_PRJEB4211_v1:5:17331714:17332142:-1 gene:GSCOC_T00031614001 transcript:CDP10772 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIISEQVACRCTWFYSFSSEVCSTQGFFL >CDP13683 pep chromosome:AUK_PRJEB4211_v1:5:28217841:28218421:1 gene:GSCOC_T00038710001 transcript:CDP13683 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRQRRLGRGVQNNYKNKVVCFFTELVDYSYARTRQMIRNQQK >CDP11813 pep chromosome:AUK_PRJEB4211_v1:5:19490452:19492304:-1 gene:GSCOC_T00035063001 transcript:CDP11813 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKLERLHKRVDQILENILIRHRVRKAESEYGSGEEKEDLVDVLLKVQKSGEFGIPLTDDNLKAVIFDVFGGGGETSSTTTVWAMAEMIKNPAVMKKAQAEVRAIYGKRGTVDESQLHELKYLHAVIKETLRLHPPVSLIPPRECGDQCEIFGYEIPAKSRVYVNLWAIGRDPGNWTEPEKFIPERFLDSKIDFKGSNFNYIPFGAGRRICPGMSFALPMMELPLAQSLFHFDWKLPGALENEELDMTDIFGLTVGRKHDLFLVPTSYHPSSKYQQ >CDP18956 pep chromosome:AUK_PRJEB4211_v1:5:4186662:4190992:1 gene:GSCOC_T00010973001 transcript:CDP18956 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFFGLAIFLFLTLDSDFTSSPISTASEGVQITYGLVIMLMHERTKFRLHSHDVPYGSGSGQQSVTGSPNIDDSNSYWIVRPVPDTNAQQRDTIIKCGTIIRLQHMRTRKWLYSHLLNTTLLDYIRKSKVAASEAGGITQGMGAYKVQVPFDGKPQTCVFLDTPGHEKRPLLMPKQLECELLLL >CDP11814 pep chromosome:AUK_PRJEB4211_v1:5:19508485:19509218:1 gene:GSCOC_T00035064001 transcript:CDP11814 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIDSGKTLICLRNACNFVGNLVRLKGQFLFVNTNTLFDEIIEEMTKAIGIKNDKSWRLEGFLTNSSSPKKFRGRNKKLNLGAIHAPDCVVIFDTERKSSVILEAEWLQVPIVGHVDSSMPWETYKKITYLVRANDSVQFVYLFCNLITKTFLYEQRKMKTAQGADDLTAGTRYELY >CDP11799 pep chromosome:AUK_PRJEB4211_v1:5:19281260:19283814:1 gene:GSCOC_T00035042001 transcript:CDP11799 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKSLSLIFLQLLCVLCVLNLSNGKGLDVNFYEKTCPFLEFIVKDVTAKFISRTPSLAPPLLRMHFHDCFVRGCDGSVLLNSTSKNQAEKAAIPNQLLTGYQVIDAVKSAVEKICPGVVSCADIVALVARDAVTLIKGPSWQVELGRRDGTVSKASEALNKLPAPFMNITQLKASFQSVGLSVKDLVVLSGGHTIGISHCIGVNPRLFNFTGKGDTDPSLDPKYLSKLKRQCKPGDVTTILQMDRTPKKFDIDYYTTVSQRRGLFQSDAALLDDTETKTYVQQHLSHAGSKSFFDDFGVSMVNMGRIGVLTGKNGEIRKQCAFVN >CDP15989 pep chromosome:AUK_PRJEB4211_v1:5:23489434:23490489:-1 gene:GSCOC_T00016929001 transcript:CDP15989 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLEDLYFIVDVKCVCCFFSLFGYRTSHRDAGSMFMIAEINGLGVESDFETFRSIIIFPKVSSLNPTNNASTPWEKASGHVSSSKIAHELEPWSTLLLRDMILRDKT >CDP13756 pep chromosome:AUK_PRJEB4211_v1:5:27790018:27793644:-1 gene:GSCOC_T00038804001 transcript:CDP13756 gene_biotype:protein_coding transcript_biotype:protein_coding MCKIWISNVIYQIVKVYILSVYRRLILRNVGLIFRIRLPLSSQTFTFGSTRRSCRIHQQRQLQQQNGYFLRFNAQEACHLRQEEGLKEKAKEPEAYIEDTKSNHVVRKLRQRQQDCKIDPHFEEQFAGGRLLAAISSRPLQCGRADGYILEGKELEFYVKKIQRKKGKGASGAA >CDP14814 pep chromosome:AUK_PRJEB4211_v1:5:22238559:22241962:-1 gene:GSCOC_T00042275001 transcript:CDP14814 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQFPRVNREAFSLAASLHSLLGDLCPRSPDYQAKMADGLHHPSVLEKLHGQSYFFAQISPFMHSRNASAQNFSSVYGYANGGLCSPFMSAFHGSGLEIVSQLSPIVIPAANERSFSSFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSERYKGITDCFARTIKDEGVLALWRGNTANVIRYFPTQALNFAFRDYFKSLFNFKKDRDGYWKWFAGNLASGGLAGASSLLFVFSLDYARTRLANDAKSVKKGGERQFNGLIDVYKKTFQSDGIAGLYRGFTLSCVGIIVYRGLYFGMYDSLKPVLLVGDLQASKTPWDSFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKGSVDAFSQIIRKEGARSLFNGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGGGG >CDP05871 pep chromosome:AUK_PRJEB4211_v1:5:26358379:26361906:-1 gene:GSCOC_T00021179001 transcript:CDP05871 gene_biotype:protein_coding transcript_biotype:protein_coding MASINSLTTPQTFLSLPKPKSSLCFQPKPTSKIQSFPHPFNLLLSKHHKDSSFIVGSVSNEPDIIPVQSSDYTDQQDGVLGGIVEIETEGLSGEDILNQVVNGFSNKGRLSFEAATSSSGYCGGGGTGSSVEGEKGEQEMERLMDSAINASIVLAAGTFAVTKLLTIDSDYWHGWTLYEILRYVPQHNWSAYEEALKTNPVFAKMMISGVVYSVGDWIAQCYEGKPLLEFDRARMFRSGLVGFTLHGSLSHYYYHFCEALFPSDDWWVVPAKVVFDQTFWSAVWNSIYYAVVGALRLESPASIFGELKATFWPMLTAGWKLWPFAHLITYGVIPIEQRLLWVDCVELIWVTILSTYSNEKSESRISEQAAEENVNPASISTPKD >CDP13798 pep chromosome:AUK_PRJEB4211_v1:5:27500412:27504241:-1 gene:GSCOC_T00038858001 transcript:CDP13798 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVMTAADASSSLRPYSSPHSIYPSNLPLVSAFLACLVAQFLKIFTHWFKERKWDSRRMLSSGGMPSSHSATVTALAVAIGLQDGAGTSAFAIAVVLACVVMYDATGVRLHAGRQAELLNQIVCELPPEHPVANVRPLRDSLGHTPFQVVAGALLGCVVAYLLSSSA >CDP13767 pep chromosome:AUK_PRJEB4211_v1:5:27690412:27698371:-1 gene:GSCOC_T00038819001 transcript:CDP13767 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEACSTPSLLEDSDEENAPLVFKRTSSSSKQNLSNSETKKLSSQKRDGQSSRNSSDVCPVNGQSSSAQTGRVVSSAKTPPAKSPLSSPKAANISAKTSLITSPGANSKPSSSTSATVKQLENHKNSPVVKLPKRSVSAAKLSSTPEDSDDDKPLMAKLPSGSSRAIVNHGNKDGDGSSLVQKPGVEEDEDSDDEKPLSSRYLSKSNLVESISKSRNPGEKKSLPAKVQQNGSALRDGPVGKSSSLSNKRPPGEVKSSDESAVKKPKLSGPTAAINKKQATLKAEPKVEDDDDDILISQTAKKMFASASKPKSNDDDDDHVPITQRMKKSTTSVSQSSSVKKVAKVVSSSLKKTNKKSKKIIKKSKYSKSSKVPPGSGEGQKWTTLVHNGVIFPPPYKPHGVKMLYKGQPVTLTPEQEEVATMFAVMLDTDYMSKPQFKENFFSDWKRILGKNHTIQNLEDCDFRPIYEWHQKEKEKKKQMTTEEKKSLKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPRDITINIGKDAPIPECPIPGERWKEIRHDNTVTWLAFWNDPINPKEFKYVFLAASSTLKGQSDKEKYEKARLLKDYIHGIRTAYTKDFASKDPMKKQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVEPVPPNILKFDFLGKDSIRYQNEVEVESGVFKAIQQFRSGKEGSEDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDDMLSRKTNGGDVLEKVVVYQQANKEVAIICNHQRTVSKTHSAQMSRLNEKIEELKGVLEELKTDLSRAKKGKPPLKNADGKPKRNLNPEALERKIAQTNAKIEKMERDKETKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWSMDVDPSFRF >CDP10791 pep chromosome:AUK_PRJEB4211_v1:5:18063005:18065212:1 gene:GSCOC_T00031662001 transcript:CDP10791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB101 [Source:Projected from Arabidopsis thaliana (AT2G32460) UniProtKB/Swiss-Prot;Acc:O80883] MAPDRSPSRKSSAASTSRSGGSLRHIMKKGPWTANEDALLMEYVRKNGEGNWNAVQRNSGLMRCGKSCRLRWSNHLRPNLKKGAFTQDEEALIVNLHAKFGNKWARMASQLPGRTDNEIKNYWNTRLKRRQRAGLPVYPVDIQPLNQYQPQNLQPSPSLVSFITAVDGKPKHSTPISIFDMFNPTTPPAPTFQNQPMPSFLSDPSPNFKPAQNKNGIALSLSSVNSLLSLTSASLAFNNQGPSPLGASLPMPSLQFNCLNFGIANTVPVSHASFAQNDTGSGSSMGLPAIQSLVPETTSSGSDYMIATSSDADDRDEGHDHQGLSHGNSGLLEDVIDESQALTRAEKPKETCLDAEKSQGEFLWDYKLMEDVGGNVGEESGLNAILDEPFKDSTPTHSSIGVETTNASSLKSNPVEDDLFNLLDDIPLPVTMPDWYDGNGNDIDGQCSNWTGGDAGKENHQPEPSYSPVATTPEITDNNWTDGASYWKNLPGIY >CDP13621 pep chromosome:AUK_PRJEB4211_v1:5:28659466:28664150:-1 gene:GSCOC_T00038627001 transcript:CDP13621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-responsive protein kinase 1 [Source:Projected from Arabidopsis thaliana (AT1G16670) UniProtKB/Swiss-Prot;Acc:Q93YN1] MTCFSFLFGRKLDSATQRSVELDDELSGIQNVTLYTYKALRIATDDFSPTNKIGEGGFGSVYKGKLRSGQMAAIKVLSTESRQGVREFLTEIQVISEIQHENLVKLYGCCVEGNHRILVYNYLEKNSLAKTLLDGDYNNIQFTWRIRTKICIGVARGLAYLHEEVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLIPPNMTHVSTRVAGTIGYLAPEYAIRGQLTRRADIYSFGVLLIEIVSGRCNTNTRLPIEEQYLLERTWKLYERRELVGLVDTALNGNFDAEQACRFLKIGLLCTQDDPKLRPSMSSVVKMLTGKDVDEHKITKPGLISDFMDLKVRSNAPKAKPGSDHTPGNYASSGSDNLDTTRLTSAASSQATMTFTFPCTQSM >CDP14710 pep chromosome:AUK_PRJEB4211_v1:5:21213066:21218344:1 gene:GSCOC_T00042132001 transcript:CDP14710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G20810) UniProtKB/TrEMBL;Acc:W8PV18] MRRRGPDFRRPARRRLSNVFWLTLIGLLILLFIVFLSRDSHQPTSRSPYTKKSFRHDRTIEGLNVTEEMLSPDSVARQVNDQISLAKAFVVIAKESNNLQLAWELSAQIRNSQILLSNAALRRTPLTTGESETTIRDMALLLYQAQQLHYDSATMIMRLKAKIQGLEEQMNSVNEKSSKFGQIAAEEVPKSLYCLGVRLTTEWFKNSNLQRILHDKRQLAAKLEDNNLNHFCVFSDNVLATSVVVNSTALNSKDPGKVAFHLVTDEVNYAAMKAWFTMNSFRGVTVDVQKFEDFSWLNASYVPVLKQLQDSETQSYYFSGSIDDGRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDIVVQKDLSPLFSIDLNGNVNGAVETCMERFHRYHKYLNYSHPLIRAHFDPDACGWAFGMNVFDLVEWRKRDVTGIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTQPLDLSWHVLGLGYTNVDPHLIENGAVLHFNGNSKPWLKIGMEKYKPLWDKYVDYGHALLQQCNVH >CDP13742 pep chromosome:AUK_PRJEB4211_v1:5:27862897:27864554:-1 gene:GSCOC_T00038790001 transcript:CDP13742 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASAKPEYPVIDRNPPFTKVVGNFSTLDYLRLTTITGVSVTVGYLSGIKPGIRGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNDGEVAKYKKLL >CDP06137 pep chromosome:AUK_PRJEB4211_v1:5:24306050:24309784:1 gene:GSCOC_T00021529001 transcript:CDP06137 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEETEELASPLLADVPSSSSRKSHRSFKRTGTVWTAIAHIITGVIGSGVLSLAWSMAQLGWIAGPLGILVFGVISIISSLLLCDCYRYPNPEVGHIRNRSYSQAVRSYLGKKSMYVCEIFVQESYYGYGIAYTITSAISMRAIQRSNCFHNKGHDAPCEFGDNLYILLFGVIQIPFSQIPNFHEMEWLSIFAAIMSFAYTFIGTALGLAKVIGDGRIRGDITGVSTTNVAEKVWLTSQAVGDIAFAYTYNIILLEIEDTLKDPPPQNRTMKKASTAAVLLITFFFLCCGCFGYAAFGNQAPGNILTGFGFYEPYWLIDFANVCIVLHLVGGYQIFSQPLFAAAERWISKKLPNSEVIKKNYALKLPVLPELRLNVLRLCFRTAYVASTTALAMLFPYFNQVLGVLGALNFWPLAIYFPVEMYLVQNNIRAWTRLWVVLQTFRVLCLVCTIFAFIGSLEGLINSKLT >CDP05804 pep chromosome:AUK_PRJEB4211_v1:5:26857302:26861753:-1 gene:GSCOC_T00021094001 transcript:CDP05804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase 12 [Source:Projected from Arabidopsis thaliana (AT5G54690) UniProtKB/Swiss-Prot;Acc:Q9FH36] MQLHISPSLRHVTVLPAKGFKEFIKVKVGSRRFSYRMVFYSLLFFTFLLRFIFVLTAVDNIDRDTKCSSIGCLGKRLGPRILGRRTESTVPEVIYQVLEEPVNQDELQAGPQIPQTLEDFMAEIRDSRPDAKAFALKLKAMVTLLEQRTRNAKIQEYLYRHVASSSIPKQLYCLTLRLANEHSSNANARLQLPSPELIPALVDNSFFHFVLASDNVLATSVVASSLVYNSLHPERVVLHIITDRKTYSPMQAWFSLHPLTPAVIEVKGLHHFDWLTKGRVPVLEAMEKDQKVRSQFRGGSSAIVANNTEKPYVIAAKLQALSPKYNSPMNHIRIHLPELFPSLNKVVFLDDDIVIQTDLSPLWDIDMRGKVNGAVETCRGRDKFVMSKRFKSYLNFSHPLIAKSFDPNECAWAYGMNIFDLEAWRKTTISQTYHYWLDENLKSDLSLWQLGTLPPGLIAFHGHIHVIDPFWHMLGLGYQENTTIETAENAGVIHFNGRAKPWLDIAFPQLRPLWTKYINFSDKFIKSCHIRAS >CDP13799 pep chromosome:AUK_PRJEB4211_v1:5:27496261:27498000:-1 gene:GSCOC_T00038859001 transcript:CDP13799 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFKKSQILKLCFIAILLSVTPLLSTSLRSPYLYFVFNLLIVALGAEAGLLSFILKAAEDKKSPPSTSHETQKAKIIIPSDQYSPNDKEISANTTTNAKAMLLESEPTKTKKKPDVAEKLSSEKIVAGVKVQKVVKKSPSTPSLFFIAGAETEVEDFSSDDDQQEAVGDELSGQELFHKAETFIGNFYGQLKMQREDSSKKIHELYHKAF >CDP11338 pep chromosome:AUK_PRJEB4211_v1:5:14511463:14511843:-1 gene:GSCOC_T00033535001 transcript:CDP11338 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKQRSILIDISSRFSPPQGVKLSYGTSGFRADASLLESAVYRVGLLAALRSLKTRAVIGLMITASHNEISDNGIKVADPSGGMLTQDWEPFAESLANAPDSYTLVEVMSHLSWLFSLFMHFLNS >CDP06177 pep chromosome:AUK_PRJEB4211_v1:5:23978461:23987968:1 gene:GSCOC_T00021578001 transcript:CDP06177 gene_biotype:protein_coding transcript_biotype:protein_coding description:ML2 [Source:Projected from Arabidopsis thaliana (AT2G42890) UniProtKB/TrEMBL;Acc:A0A178VQK0] MEQASKSSNLRDEGPLDNPLANISGKERNAWRIPLRTGAYHASSDASLFSSSLPVLPHAKLNYGDSEQSLQSVDDGLPNLTKLNLEDDGKDVFEGSEPSPIGNLLPGDEEELLAGVMDDFDLSELPTQVEDLDDDIFGSGGGLEMEAEPQENLINGLSKLSLPDGIPGNSSVHYAVPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRGLQNKPLRRRRLDIHFSIPKDNPSEKDINQGTLVVFNLDASVSNDDLRQIFGAYGEIKEIRETPHKRHHKFIEFFDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEHDETRSLRHQVGSPVANSPPGWPNFGSPVEPAPLHGYGQSHGLGSINTMSSNPLPGLASILPGHVSSPGKIAPIGKESGRKLSSSPGPVSPFLDLNSNSSGIGTLSGPQFLWGSPTIQAERANSSTWSTSTVGHPFASGRHGQGHPYSSRQGSFLASHHHVGSAPSGIPLERRFGFLPESPDASYISQVPFGSAGLSPNNGNHLMNMGALNIGLPLSGSFSEGGSPSSRMMSLSRNGPLFFGNGSYGGIGTTNLEGLAERGRNRRIEGGNQIDNKKQYLLDLEKIVKGEDTRTTLMIKNIPNKYTSKMLLAAIDETHKGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEVFNGKKWEKFNSEKVASLAYARIQGKTALVAHFQNSSLMNEDKRCRPILFQSEGEGIGDQEIFPSSNLNICIRQPDGSYTGDSLDSPKGTFDEKLEKS >CDP14687 pep chromosome:AUK_PRJEB4211_v1:5:20861381:20862132:1 gene:GSCOC_T00042098001 transcript:CDP14687 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFSQVWRAASVVVVTGHSDQGHKWKSGIKTLHQGKKRFFSSSGDGADFRPVSVVFASDMEGAFTSGKGEERKKQTDDSLRQVMYLNCWGQG >CDP16218 pep chromosome:AUK_PRJEB4211_v1:5:16012236:16019806:1 gene:GSCOC_T00017332001 transcript:CDP16218 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPGIGSSIAPVEQVVVVHREAIQDQRATKTTTTDIKDRKFSWGKLRRIDSLDLEAGRISGHHGGHGSKDLGWIKTLSLAFQSLGVIYGDIGTSPLYVFSSTFPDGIDNKNDILGVLSLIIYTIILVPMIKYVFIVLWANDKGDGGTFALYSLICRHAKASLIPNHQPEDREVSNYKLDIPSNQLRRAQMVKEKLESSTTAKMVLFIVTILGTSMVIGDGVLTPCISVLSAVSGIPSLGQDAIVGISIVILIILFCVQRFGTDKVGFTFAPAICLWFLFISGIGLYNLFKHDVGVLRAFNPKYIVDYFKRNGKKGWISLGGVVLCITGTEAMFADLGHFSVRAIQISFSSIVLPALLAAYIGQAAYLMKFQDHVSKTFYDSIPGKCLPLSMDYPIYWPTFVIAVAAAIIASQAMISGAFAIISQSLSLGCFPRAKIIHTSAKYEGQVYIPEVNYILMIACVLVTWGFKSTTKIGNAYGIAVVAVMVITTSLLTLIMLVIWKASIWWIAIFFVVFMSIEMTYLSSVLYKFKDGGYLPLAFALVLMIIMGIWHYVHKQRYLFELNNKVSSDYVRDLAKNPQIKRAPGIGLLYSELVHGIPPIFPHFVSNIHSVHSIIVLVSIKSIPVSKVALDERFLFRQIEPRDYRVFRCVVRYGYNDRIEDSEVFEQQLVENLKEFIRHEYFVHESGYIEPDFVNVQQHSGLLLKDGKPRRSSASVVHIEESLPQAQQSVSQNSSNSIQSFNEAKSINSSIRLAAGSIQLGLQDELQAVDKASEQGVFYLLGEAKVVAKQDSCFFRKFIVNNAYSFLRKNFRQGEKVLAIPKTRLLRVGMVYEI >CDP10755 pep chromosome:AUK_PRJEB4211_v1:5:16952327:16954316:1 gene:GSCOC_T00031576001 transcript:CDP10755 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQMGEISTVIISAAQEAKQVLKVHDIAFADRPELLASKILGYNNLDIAFSPYGDYWKQMRKICLLELLSPKSVRSFGSLREDEASKVIRSIKSSSNSPVNVTEKVFSFTNGVVCRAAFGRSFGHQDVLIPLINEAILAGGGFDIADLFPSLKFLHSLSGLKPKLLKLHHEIGQLLENIINEHKEKQTSNLVDSQSAEEDLVDVLLRLKERGDFNISTDSIKAVIWDVFAAGTETSAATLDYAMAELIRNPSVMEKAQAGLRQVLEGKETVQETDLKELNYLKAVIKETLRLHPPLPLILPRECREPCKIAGYDIPIKTKVMINAWAIHRDPEVWPSPEKFKPERFLDSGIDSIGSNFEYIPFGGGRRICPGISFGSAGVELLLAKLLYHFDWSLPNGTAGPQMPDMTEILGATARRKNSLTLVATFHDPSA >CDP16029 pep chromosome:AUK_PRJEB4211_v1:5:180951:186523:1 gene:GSCOC_T00017019001 transcript:CDP16029 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETIANPELPNVKLAADEGSIIKAPTKQKEGKKEEEETALDGEFIKVERESFDAKDGSRVVATEASVDSKPSVAEESLSNSSASREFLEAQEKAREIELELERVAGALKDSESQNAQLKHELSLTKGLLEEAGKKYEELELGHQKLQRQTVEAEERHTAQLKALEEAIRSQELKHKELTEVKEAFGNLTLQFDSSKKKMEELEQELQTSADEARKFEELHRESGSYAESETKRALEFERLLEHAKVSAKHAEDQMTSLQEELKGMYDKIAENEKVEEALKTTANELSTVQGELELSKSQLLDVEQRLASKDALIHELNQELDVRKASESQVKEDVSALDISLSSIKEELRSKASDLEDAKFKLQEEESAKGQVEVKLKDQEAKVSTMQEKVAKLTAGNEELEAAVAELTNNASQMKELCSDLEAKLQQSDENFCKADSLLSQALANSAELEQKLKALEELHLESGSAADTATQKNLELEEIIRASNVAADEAKAQLREFETRCIAAEQRSVELEQLLNLVELKSNDAERELRESSQKISELNATLEKAVEEKELLNTQIQEYQHKVAALESDLGQSTARHSELELELTNVTGKCAEHEGQANKIHQRSLELEDLMQVSHSKAEEASKKVSELELLLETEKYRIQELEEQIITSEKKCQDAEAESKNQSQRVSELEAELEAHKSKAGSLEVAVELATEKEKELNQCLNAMTEEKKVLEDASKSLNEKLAEAEGLLEVLRNETNVSQEKLESLEDDLRAAGIRETEYTEKLKSAEEQVGHHGHLLEQATARSRELESLHETLSRDSETKLQEAMANFSSKDSETKSLYEKLKLLEDLVRSYEDQLAESSGIYAATKEQLNQVLIKLTSAENTIEDLLRRISESENKSAQFSAENELLSETIVQLKAKVNELEELLKLSAAEKEATALQLAAHVNSITELTDQHSRASELQLATESRVSEAEKQLEEAIQKFTNRDSEAKDLIEKLNALEGQLKAYEEQAHEASIVAESRKAELEQTLLKLRNLESIVEEQQGKSVQYQQETEKVLAANIKLTEELASYESKVNDTLTKLSAALAEKEEAAEELHSAKKTIEGLTQQLTSEGEKLQSQMSSAIEEKNTLTETHEISKKELQAVVTRLEEQLKEQESSEITLKAEIETLKDEISQMSVLQNRLKELEEQLVDYKQKESLSQKELETEAPPKHVIEELEAKSKQVQFLETQVKDLEQKFQLGDAKSKEKEAGGISVESRDLGTSISTPSKRKSKKKSEASSTQTTLSSDTNAQSAEGSPLMAFKFILGVALVSVIIGIILGKRY >CDP11307 pep chromosome:AUK_PRJEB4211_v1:5:13501816:13505211:-1 gene:GSCOC_T00033477001 transcript:CDP11307 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLVLLSLASGITTLLAEADGLRLDFYEQSCPNAEKIIMSQVQNILAQPQFQNAPAQLLRLLFHDCFIEGCDASVLLTDTNGTSVVERQAIPNRTLKGFEFIDMIKEELEKECPGVVSCSDIMVLATRNCILLSGGPYYPVYTGRRDNNQSFFSEALSDIPRPNGKIFEALHLFSLRGFNTRETVALLGAHNIGRISCQFILPRLGTNFLNEGSADSTLRGGFIEEIKLKCQKSNSSFSNTESLVSSLGVTEANWSMSYFEEEFSSSSSQSAFGTHYYQKLMRGRGLLFADQQLMANEETARIVGEYTLDDGTVFRRDFARAMVKMSNLVSLTGSQGQVRLNCSVALKSS >CDP13661 pep chromosome:AUK_PRJEB4211_v1:5:28347339:28357738:1 gene:GSCOC_T00038685001 transcript:CDP13661 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGNPNQPGGGPFDMHKFFKPSSPSSAAAAAVVPNPTSSTPTPSSSSPNPQNPNNPNLISGPFPPPSASYPPPTGGAPGGAYSYAPQTSPFHHHPPPQFHHHHHHLPQFSNSGSNPPQLQPDPSSQFTSNLHQQRSMSFPTPPLQPPLSGPLHPHNATNQNPGARLMALLSAPPSTLEIPPQPAMPMPPIQPTNSGGSDFSNPQSLPMMGSGPNVGFPHPGPMRMPSSKLPKGRHLIGDHVVYDIDVRLPGEVQPQLEVTPITKYGSDPGLVLGRQIAVNKTYICYGLKLGAIRVLNINTALRSLLKGLAQRVTDMAFFAEDVHLLASASVDGRVYVWKITEGPDEEDKPQITGKIAIAVQFTGEGESVHPRVCWHCHKQEVLVVGIGRRILKIDTTKVGRVEAYSAEEPLKCPVDKLIDGVQLVGNHDGEITDLSMCQWMTTRLVSASVDGMIKIWEDRKMLPIAVLRPHDGQPVNSVTFLAAPHRPDHIILITGGPLNKEIKIWASASEEGWLLPSDSESWHCIQTLELKSSAEARIEEAFFNQVVALSQAGLLLLANAKKNAIYAVHLDYGPNPTATRMDYVAEFTVTMPILSFTGTSDLLPHGEQIVQVYCVQTQAIQQYALELSQCLPPPLDNAMLDKADSIVSRDALSNDGYVSSELSDSRATEIPLSGSAPKLSIRDIGSENAAPVIHPVSSVSVESVTSQDFVASSMESKPVSLPAVTANADIASIPSPPLPLSPRLSRNLSGLRSPLKSLDPGSSFSDRGGDAKIIEYSVDRQLDAIHPTLSDVPSLDGESRNEESKVLRDDISTTLSHPVQFKHPTHLVTPSEILMANSSSEVNHINEQKSEGELNIQDVVINTDGRNVEVEVKVVGETRFSQNSDIGSHEELHNFVSENKEKSFYSQASDLGIEMARECRALSPETYIVEETRQFDTASGSETPAQPSTTEEEARDSAKDVSGKITDSAIPAPVQQTTASNSKGKKQKGKNNQGSGLSSPSPFNSTDSSNEAGASSTIPSVETVYSQIQAMQESINQLMSMQKDVQKQMNMMVAVPVTKEGRRLEAALGKNTEKAVKANADALWARLQEENAKQEKSSRDRTQQIANLITSCLNKDLPAMVEKAVKKELGAVGQAVGRTITPSIEKAVSTAITEAFQKGVADKAVNQLEKSVNSKLEATVARQIQTQFQTSGKQALQETLKSSLEASVIPAFEMSCRSMFEQVDATFQKGMGEHATAALQQFDSSHSPLALALRDAISSASSMTQTLSSELADGHRKLLALAVAGANSKVTNPLVSQLSNGPLAGLHEKLEAPLDPTKELSRLITERKYEEAFTAALQRSDVFIVSWLCSQVDLQGILSMNPLPLSQGVLLSLLQQLSCDVSKETPRKLSWMRDILSAINPTDQVIAVHVRPIFEQVYQILNHHRSLPSTSGADLSSIRLIMHVINSMLMTCK >CDP11875 pep chromosome:AUK_PRJEB4211_v1:5:20371473:20373015:1 gene:GSCOC_T00035149001 transcript:CDP11875 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRIRFFCFEYNRSKTKGKLVLHCFAPPKSLLSKVIKQFESEKLKRECNFLVGEKVRLRSWIVLMQIYINKRHDKESLYFSWYRLELSLGSIGRQRQCAIVSISSSGQ >CDP13706 pep chromosome:AUK_PRJEB4211_v1:5:28097714:28100295:-1 gene:GSCOC_T00038740001 transcript:CDP13706 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIWWWVVTVVLVSSLGSCFGIRFVIDREECISHKVEYGATVHYSFVVIKSDGSWHFSHEGVDLVVKGPTGEQVHDFRDKTSEKGEFVAYHEGVYKFCFANKSPYHETIDFDVQAGHFIFHDEHAKDEHFKPLFEHISKLEEALYNIQFEQHWLEAQTERQAIVNEGMGKKVMHKAMYESLALIAASVLQVYLLRRLFDRKLGISRV >CDP05980 pep chromosome:AUK_PRJEB4211_v1:5:25555067:25558041:-1 gene:GSCOC_T00021315001 transcript:CDP05980 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 10 [Source:Projected from Arabidopsis thaliana (AT3G15050) UniProtKB/TrEMBL;Acc:Q9LKA0] MGSGDWFKTFISLKKVKEDGSKHGKGKSASARKANGSMWRYLSGKESTALAITNGSSSKRSRRRGITEDTAATRIQTAFRRYVASARKAVHRLRAIGRCRGAIAALTAEKQASSALIHIHFWNKMQAEIKARRQGMVNENRIQQKKQENRLKLEAKLQELEVDWCGGSETMEEILQRIQQREVAAVKRERAMAYAFSHQASC >CDP11785 pep chromosome:AUK_PRJEB4211_v1:5:19042253:19047762:1 gene:GSCOC_T00035019001 transcript:CDP11785 gene_biotype:protein_coding transcript_biotype:protein_coding MELMFCLKRAFLVWVSCLWVPMVVVGQGANDSSAARPKEVKVGALFTLNSYIGKSAKPAILAAFDEINSNPSILKGTKLKLILHDTNCSEFLGTVEALQLIEDEVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSALQYPYFLRTTMSDYFQMYAIADMLEYFGWREIIAIFVDDDYGRSGISVLGDALAKNRAKISYKAAFSPNAPRSDIKDLLVGVNLMESRVFVVHVNPDSGLTIFSVAKTLGMMSAGYVWITTDWLPSVLDSMDSVDSDTMDLIQGVVSLRHHTPESDLKRSFSSKWKNLKDKETPNFNSYALYAYDSVWLIARALDVFFNEGGNITFSEDPSLHDTNGSALYLNSLHIFDQGPKFLKILLSMNFTGLTGLIQFDSEKNLIRPSYDILNIGGTGIRTIGYWSNYSHLSTVAPEILYTKPPNISASNQHLYSVIWPGEMTAQPKGWVFPNNGKPLRIAVPNRVTFKEFVSKDNGPSGAKGYCIDVFEAAINLLPYPVPHTYILYGDGNRNPEFNNIVFDVSQNKYDATVGDITITTNRTRIVDFTQPYMESGLVVVAPVREVKSSPWSFLKPFTWQMWCVTAAFFLFVGAVVWILEHRMNPEFRGPPRQQLVTIFWFSFSTMFFAHRENTVSTLGRLVLILWLFVVLIINSSYTASLTSILTVQQLTSRVQGIDKSRLRTMKTQDDYVAALQKGPNNGGVAAIVDELPYIELFLVNTKCTFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSESGDLQRIHDKWLSSDACSSQTNPVDENRLSLDSFWGLFLVCGIACSIGLLLFFWRIWQQYRLVNKDDEEQAARERELPPDDGSRRSTQRATSFKSILDRREAEIKEMLKLKRKSSDQKRAPSQSSDGLPVSPS >CDP06098 pep chromosome:AUK_PRJEB4211_v1:5:24624411:24626213:1 gene:GSCOC_T00021476001 transcript:CDP06098 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSLPSSTHPIHPAQVCSSSLKPLIYSSSPGFFSHAFRPTRVTNHKTHAEASTCKAQNADHHQNPRQFERRDVLLGLGGLYGATTLGTKQSSLALPVSPDISNCTDARETPNGIPINCCPPSAASYSDYTPSACEVYTRMPAHTVSHDYVKKYSSAIAKMKNLSLSDPRNFYQQANIHCVYCDEGYSQSGFPDKKLDVHSSWLFFPWHRWFLYFFERICKNLLDDDTFTLPFWQWDDSSGMQIPPMFNDSKLSLYNCIRNPKHLPPKVVDLAYKGTDSGIDPKTQIQYNCCTMYTQMITHSSAPPLFFGLPLLGGGDPDPGAGSIETEPHNNVHEWVGDPSEPNNEDMGVLYSAARDPIFYAHHANVDRMWYIYNNVLKRKNIEHPDWLNSSFVFFNEAARPVRVTVKDSTNLAKLGYTYPDLPLSWLDCKPKARRKGLNLTKVSAPKASEVLPIKLEKPISFVVERPKKSRSGQEKAEAEEVLKIKGIEFDKGETVVFDVFVNEDDTSKCNPCKAESLGSFHTLAHGHGKKCTTSRSFAISEVLEELGADDFDSILVILVPRSGVVTIGGVEITFVPKP >CDP11816 pep chromosome:AUK_PRJEB4211_v1:5:19527471:19534507:-1 gene:GSCOC_T00035069001 transcript:CDP11816 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSKEEFLQLVKRFGAFLTFKISNLFQRLDSRSVGALAGLAFAIVFTWRIMRSPSGPQRRSPKRQATAPSSSGVSNANADSALPVVAASSEDSTAQNVIDEFFQPVKPTLGQIVRQRLSEGRKVTCRLFGVILEETSPEELQKQATVRSSVLELLLEITKFCDLYLMERVLDDESEKKALLALEDAGVFTSGGLVKDKVLFCSTENGRTSFVRQLEPDWHIDTNPEIITQLARFIKYQLQISPTKPERSASNVYFSSSLEQFFGCV >CDP06039 pep chromosome:AUK_PRJEB4211_v1:5:25097514:25106870:-1 gene:GSCOC_T00021389001 transcript:CDP06039 gene_biotype:protein_coding transcript_biotype:protein_coding MFFARVAFLLALAVLLASGTTLPPDEVRALQQIAGTLRKTDWNFSVDPCSGLQNWSTPNPIETQNAVTCDCSFFNHTVCHVVSIILKAQNLPGSLPPELIKLPYLREIDLTRNYLNGTIPREWGSMQLVNISLLGNRISGSLPKELGNISTLVNLTVEFNQLSETIPPELGNLTLIEKIHLTSNNFTGELPGTLARLTNLKDFRIGENQFLGSIPNFIQSWTNLEKLVIQASGLSGPMPSGIASLTKLTDLRISDLNGNDTNFPPLSAATNMKTLILRSCNIVGQLPGFLGSFKKLKLLDLSFNKLSGPIPRTLFGLPKIENIYLTGNFLTGAVPDWMLTKGQVRIDLSYNNFTKSSGASDCQPAGNLNLFASSSKGNTPGIVSCLRSFSCPESWYSLHINCGGREMKDDDDISYDDDIEPGGPSNFVHRSRSNWAFSSTGNFLDDDRTRDSYIWRNNTSISGTNSGLYTEARLSPLSLTYYGFCMQNGNYTVNLHFAEIMFTDDRTYSSLGRRIFDIYIQENLMLKDFNIEDEAGGVNRPVIRKFTAVVTDNTLAIRLFWAGKGTTGIPVRGVYGPLISAISVDPDFRPHGKSLPAGAVAAIVIAILLLVTICVLWWKGCLRCRDTVENDLKGLDLQTGSFTLRQIKAATNNFDAANKIGEGGFGSVYKGHLADGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQLLLVYEYMENNSLARALFGPEEHKLELDWPTRHKISVGIARGLAYLHEESRLKIVHRDIKATNVLLDKYLNPKISDFGLAKLDDEESTHISTRIAGTYGYMAPEYAMRGYLTDKADVYSYGVVLLEIVSGRSNASIKPRQDGFHLLDWAKLLKEEGKLMELVDPRLGSNFNEEEVMLTIHIALLCTDVSPTVRPGMSTVVSKLEGRAVDAELLSELSFSSNKVEPSKEITNQQQVPNSDESYAQHVSMEVPFTAPSTSAADLYPINIDSDYLMKRL >CDP05724 pep chromosome:AUK_PRJEB4211_v1:5:9527809:9529367:1 gene:GSCOC_T00020988001 transcript:CDP05724 gene_biotype:protein_coding transcript_biotype:protein_coding MGPASALRKQVLTLIETAASRIRQLLEQRQRSFLKLGIKARGGNGLSYTLNYADEKEKFDELVEDKGVKILVDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKG >CDP11835 pep chromosome:AUK_PRJEB4211_v1:5:19868290:19882622:-1 gene:GSCOC_T00035100001 transcript:CDP11835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR3 [Source:Projected from Arabidopsis thaliana (AT4G15180) UniProtKB/Swiss-Prot;Acc:O23372] MERFPICGGKNNGNSTSGTTTSTSSKSKLSSSSTTTVVKVNGKTMKKVKKVIKRKRELGPKSSVNSEKEVVVSNSCNSNINSNNGSNVVNSEVNNKDEVEEGELGTLPIENGEVIVERPTTRKHEIRSEIEKGESVVDKWKKSGEVEKGEFLGGRWRKLEEKNEPSSGSWRTSSKEELEKGEFVPDRWRKSEVVMKDDYSYSRTRRYDYAKDKGWKGEREWTPPPLPSSGKYLNEKELSRSANQLTKRGSRYEDGIFDRTPRISSKVVDEDGYELSNGKSYAREYTSGNRLKRQGADMDSSDRKHRGDCDDYTSTRSRKLSDNGTRSMYSSEHHSRRSVDRQYRNSSSSSRNVLSDRYSSRHYESSRAGYDKYNSSPHHFERSPHERARYHDHRDWSPAHRDRSPYDHSRSPYDRSRHYDRSRSPYDRSHHYDRRKRSPSYSEWSPQNQARYQRGRSPSFLERSPPDHGKPREPNRKNGASKKSNGGNRGADEKPPNQKAPIGRDLRMSVKESEDRSHMDIVDVSKVRNVVPPSNKEEHSQVPEVTGKEVPQENGCAEELVSMEEDMDICNTPPHEPAVDDAATGKWFYLDHLGVEQGPSRLSDLKKLVEEGFLVSDHLIKHLDGDRWLVSPPEAPGNTLVDNGDLSEISSQVGQEKPPPSLDPISCGDNLASVEPLEDLHIDERVGAFLDGFTVIPGRELEMVGEVLQMTSGDGEWERWGKIEGFTWHQLHLGDYNDQRSSEVMLNSESASRESVELRASLMIPAEKDGAFACESGGCFSGHWSCKGGDWKRIDEASQDKTWRKKLVLNDNYPLCQMPKSGYEDPRWQRKDELYYPSQSRRLDLPPWAFTSPDEWNDNSRFRGMMLPVVRINACVVKDHDTLLSDPRGKARVKDRFSSKSSRNYSVTSETKRSSSEGLSRVKNTHEQGSHCSWKSSTSLSVPKDHICTADELQLNFGEWYYFDGAGHERGPLSFSELQVLADQGVIQKHSSAFRKVDKIWVPISSPREATGPTKALGVNGALNDTAGASGLEASDAILGRSSWASSMFHSMHPQFIGYTQGKVHELVMKSYKSREFTAAINEVLDPWINARQPKKETERHVYPSALKSDQMRASKRLRIDGSEDEYEMEDVSAPLKDEWSFDDICGDGNFSEAEAEYQNGSWADLGDRVLARIFHFLRADLKSFAIVALTCKHWRYVLKYFKQVSRQVDLSSIASNCNDASLWNIMDGYNNKKISTLILRGCTKISSTMLEEVLQSFTSLSSVDIRGCSQLEDLAANFPNINWIKSRGLHSKTRSLKQLTDGTLSASRNFSGLDNQTYDSSGLRDYLESSDRRDSANRLFRQSLYKRSKLFDARKSSSILSRDAHMRRLALRKSENGYKRMEQFLALSLKDIMKENTFEFFVPKVAEIENRMRSGYYVGRGLSSVKDDIRRMCRDAIKSKNRGDARNVNRIITLFIRLATSLEDGSKSCSERDVKIWKEDSPPGFSSSSSKHKKKVTEKKHANRSNGSSSINGSSDYGDYASDREIRRRLSKLNKKSMDSESETSDDMDRSSNESMTDSESTASDTESDSDLRSEIGPGEARGETYFPPDEVFDSLADEREWGARMTKASLVPPVTRKYEVIDHYVVVADEVEVRRKMQVSLPEDYAEKLNAQRNGTEESDMEIPEVKDYRPRKQLGDQVVEQEVYGIDPYTHNLLLDSMPEESDWSPVDKHVFIEDVLLRTLNKQVRQFTGSGNTPMMYPLKPVFEEILDTAEEDHDWRTVRLCEFILKNIDSRPEDNYVAYRKGLGVVCNKEGGFGEEDFVVEFLGEVYPTWKWFEKQDGIRSLQKNSKDPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGQYQIGIYTVRPIQYGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAYQKVLKEYHGILDRHQLMLEACELNSVSEEDYIDLLKAGLGSCLLDGLPDWLIAYSARLVRFINFERTKLPKEILKHNLEEKKKYFAEISMDFEKSDAEVQAEGVYNQRLQNLALTLDKVRYVMRCVFGDPKRAPPPLERLDPREAVSYLWSGEESLVEDLIQCIAPHMEDNMLSELKASIRAHDPSDSDDIETDLQRSLIWLRDEVRNLPCTYKCRHDAAADLIHIYAHTKCFFRIREYKTVTSPPVYISPLDLCPKYADKLGSQGHEYCKTYGENYCLGQLIYWHNQANADPDCGLARASRGCLSLPEIGSFYAKVQKPSRQRVYGPRTVKFMLSRMEKQPQRPWPKECIWSFTSSPKIIGSPMLDAVLNKASLDKEMLHWLKHRPPIFQAMWDR >CDP05808 pep chromosome:AUK_PRJEB4211_v1:5:26840569:26846565:1 gene:GSCOC_T00021099001 transcript:CDP05808 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNNQNKPPLPTFAASSTSSSPNSKYIVDEVSVEKKRKIANTKMPPATISTRANRQALMERNGGGDLPPSSGPPSTAGSDCGVVEFTKESVEALVNERLKVKNKFNYKEKCDQMSDFIKRLKECIKWFQQLEKEHVSEHEKLKDLLEAAEKKCNEMEMLMKAKEEQLNSIIMELRRNYEASQEKYAKEQADKLEALDSFTREKEARVAAERLQSSLSEDLKRAQQDIASSNQKIQSLNEMYKRLQEYNTSLQQYNSKLQSELASTNEMLKRVETEKAAVVENLSTLRGQKTSLQEQLAAYRTSQEEAVRRKEALANEVGCLRNDMQQVRDDRDRQLLQVQSLTAELAKCQEFVGQSSANVDTLTVKSNELEERCSSQSEHIRRLQEQLAFAEKKLMMSDISAMETRSEFEEQKKLILELQNRLSDAEMKIVEGEKLRKKLHNTILELKGNIRVFCRVRPLLSDDAGNPEMKVISFPTAMESLGRGIDLSQNGQKHSFTFDKVFMPDASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKTGNPDQKGLIPRTLEQVFETKQTLQCQGWRYEMQVSMLEIYNETIRDLLSSRTDNGGKQYAIKHDANGNTHVSDLTIVDVCSSREVSYLLERAAQSRSVGKTQMNEQSSRSHFVFTLRILGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPTSTGESLCSLRFAARVNACEIGIPRRQTSMRSIDSRLSIG >CDP18729 pep chromosome:AUK_PRJEB4211_v1:5:23718215:23723722:1 gene:GSCOC_T00002660001 transcript:CDP18729 gene_biotype:protein_coding transcript_biotype:protein_coding METKSQQRFGFGRQSSLAPEGRNDDAAVASVGDDDVDPGVRLMYMANEGDVEGIKEALDSGTSVNFRDVDGRTALHVAACQGQDDVVKLLLRRGADVDVKDCWGSTPLADAIYYKNNDVIKLLEAHGAKPLMVPMQVRNAREVPEYEIDPRELDFSKSVDITKGTFCIASWRGTLVAVKRLGEELLTDEDKVKAFRDELALLQKLRHPNVVQFLGAVTQSSPMMIITEYLPKGDLCAFLRRRGALKPALAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGLSKLVIVGTRTIKEDKPVGCQETSWRYLAPEVHKNEEYDTKVDVFSFALILQEMIEGCPPFSDKQERLVPKLYVANERPPFRAPPKLYAHKLRELIEDCWKDNPSERPTFRQIISRLEGIDSHLIRGKRWKVKALKFFQNLEAILKLDHSNSKNRSSRSNLSSIG >CDP14764 pep chromosome:AUK_PRJEB4211_v1:5:21718185:21721950:1 gene:GSCOC_T00042214001 transcript:CDP14764 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVLSVILDKILPLAAAEINQAWGVKKALQKLSRKVEMVEALISDAKCKQSTSKPAQLWLKRLQSIARHAEIVLDDFGYELRNLFSISSSNPISFPLEMADKIKKVMASLEEAYKEANQIGLQPAQLPMASADHNHKVDRWTAPFVDESETVGREAEVSKVVSMLISSDCKKDLPVISIVGMGGQGKTTLAQLVLKNESVTKHFDKIIWVCVSDDFRVERLLNHMLQSLGDHSLGEKNAETTTKEALVRRLQENLKGKSYLLVLDDIWNPLKWNDMRICLLAIGGAPGSKILATTRSDDVASAMQTSGLHQLDILSDDNSWMLFEKLAFADGGATMTQDLVGIGRRILKKCGGVPLAIKVIGGLLYSKKDASEWLKLEKSEIWNESMNTEGGVMSVLKLSYENLPSWSVKQCFASCSIFPKDALMEKQSLIQIWIAQGLINDAKEGGGHLQMEDTGSDYFNILLRSSLLQADDKNSFNEIEDCRMHDLVHDLSLQVSNNCFLNTEGGKVLKNIEGIPPNLQTLYYRGVDGIMLEDILERSRYLSVLIVDCWHMTHLPNAVGDMKHLRHLDIRETRITALPDSITKLYNLMTLKVYYLKEIPKKFGNLVNLRHLEFSMGEDRCLFPGIGQLANLRTLPHFRVSQDNYKGCQLEELEHLRNLRGELKISGLENVSSFESAAKAKLSGKSSIQGLRLSWGDTNEDCDDNNINSVMEGLQPHPDLKSLAIIGFEGSRFPSWMVAKDHLTVLRNLVHLSLEFLGKCEQVPPLGDLPCLESLKMDSLDNVKRIGAEFYEVRPITLFPKLSHFELQDMGSLEEWSDAMVPSDSSSSIKVFPNLRYLQIYRVPKLAALPDMENLTSLKVLDITECGSLACIRNLNSLTSLECLHLYDCPALLDASLDMKNLRELTISGCDKLNPSLSNDLEKLTSLEELAIRSHDPSCWPSMVSSFSREMHQRKWRRVAQDCTHSPCLYRWKNSGNKPPVTGQNQGNSSIVTKEEEQFSQLLIPDVC >CDP15952 pep chromosome:AUK_PRJEB4211_v1:5:23140018:23142487:1 gene:GSCOC_T00016877001 transcript:CDP15952 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLKRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKSRVGIHQRVTKEDAMKWFQVKYEGVILNKAQNIQTA >CDP13597 pep chromosome:AUK_PRJEB4211_v1:5:28815675:28818253:-1 gene:GSCOC_T00038601001 transcript:CDP13597 gene_biotype:protein_coding transcript_biotype:protein_coding MERKDNNQAKAPQGEAARILTEFLEVAISCIIFLKGVYPHGAFERRRYMNVVVHRARHPQLRDYIHSSVNGLLPFIQKGLVERVVVIFFDNEDFPIERFVFKVNLNLSYGSKVEQSDLEFSLRSFLIKLPVSESLTKLLPRGCRWEITAYFRALPEASTSKDSELIWIPTDTKQWQQPPLITPIKSMNSEPLGVQLYLEHPSLSEPKP >CDP05800 pep chromosome:AUK_PRJEB4211_v1:5:26894534:26895187:1 gene:GSCOC_T00021089001 transcript:CDP05800 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVIQLLRHAYYFHYQCSSTSKSLAASHYSTPHPKQYSVKCCNDSNAHEPEDEFFPAAPASEATVPPEKFPIEKRRKSEIIRDRRSRSGLVKPEPPNLEIGWKRTKPIKLEKPVGYVIMDFLEKLEQLMGKEFGSTTLLAKAGEIVAERAREEAEVLKDEGKVDDRMVTELFRVLKLMEMDLAMVRAAVKEETLIERLDQAKARCRQAILVANSF >CDP14763 pep chromosome:AUK_PRJEB4211_v1:5:21717343:21718097:1 gene:GSCOC_T00042213001 transcript:CDP14763 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVLSVILDKILPLAAAEINQAWGVKKALQKLSRKVEMVEALISDAKCKQSTSKPAQLWLKRLQSIARHAEIVLDDFGYEVLRQKVENRKRDKLRNLFSISSSNPISFPLEMADKIKKVMASLEEAYKEANQIGLQPAQLPMASADHKEDRWTASFVDESETVGREAEVSKVVSMLISLDCKKDLPVISIVGMGGQGKTTLAQLVLKNESVTKHFDEC >CDP06008 pep chromosome:AUK_PRJEB4211_v1:5:25351798:25353527:-1 gene:GSCOC_T00021350001 transcript:CDP06008 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKTSCEKKKKTSCEKQSLKKSINTKRLQPCRYLSFHFQFIPYRTKRIPMARCTFPFSPCLSILLLLCFLAISTRAQVPANNTFKIVNTGPLGEFQNFVPTAEYGATYRIITNDVYDFYTFPFRLCFYNTTPTSFVLGIRVGIPDDEGLMRWVWDANRNHPVKENATLSFGRDGNLVLADSDGGLVWQTNTANKGVTGIKLLQNGNLVLYDTKGKFIWQSFDYPVDSLLVGQSLRESGVNKLVSRTSDVDGSDGKYSLVLGDDGLLLYLNNAGQQVRYNGWPGNFGSTVRFNTQPSTWEPTPIAWNLIFEIFESPAPKPQVDGIQQLSKINYNATYSFLRLETDGNVKAYTYYDKVRFDRWMQTYTFFPSDLATACALPTKCGNFGLCQNGMCVACPTPKGLLAWTENCQPPKLGSCGKKPKAKYFKLDGVQSFLNHQWSSDSQEVEMEFGACRDKCTNDCNCKGFVYKQDTSKCLLMPLLLTLAKDVNTTSAYVKY >CDP10812 pep chromosome:AUK_PRJEB4211_v1:5:18602822:18612591:1 gene:GSCOC_T00031697001 transcript:CDP10812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MEDRSSKFKLALSITVAVAISIILAVQIRKNRRQKQKKQSKSCYLDTESPRKPQFSFKRVVADNSYSQFKHLKLQEAAGAANGDYVNVHPYKAEIGELLKNLNAEFVELFSVEVEMIGDEADNYVWVETKSQLEELAEVLSKEKVFGVDTEQHSLRSFLGITSLIQISTKSKDYLVDTIALHDVMGILQPVFADPLICKVFHGADNDILWLQRDFHIYLVNLFDTAKACDVLLKPQKSLAYLLEAYCGVVKNKLLQREDWRQRPLPAEMVQYARTDAHYLLYVANCLTSELKLYGTENSSRPDDTFHFVLEASRRSNAACLQLYTKEIEAYPGESAAASIISRNLNDQGSFQSNCCCETKENCSCQVKFQAVVRRLCAWRDVMARVHDESLRYVLSEQAIGMLAAKVPTTEMEIYDTISRADLNSDSVNFGSFLESPSPVVCSHFEDFYLLFQDNIGKDDDWLVPILQKHLGSDGTCPLSIHNYVLLSKTSLKPTNRTVSKPNGFRAAKQVARMASRELFVQKFSCKSPVYHNCRIFANDGRLLCYCDRKKLDWYLNRDLARLVEDDPPAIMLLFEPKGRPEDEGNDFYIQSKKNICVCCGEGNHYLRYRVIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAATEKYKKQIATDFGIPLYIRKVIDPDQVQNTSESTDVHFEEVGVSPLQLRTAAMALLRHGPRMPPKRREELTQIVMQYYGGREISDEDLEKALLVGMSPNERKRLGKKRGLQFKHASSQVLVGTDTDSKETCSKQNNGQNLMEMRTDISGHSTCSNTLANGEVSSAHSKGVDSDSTKSRNTNCIPFSVSDVAESGTPLNGATNLICSTYNGNFSSKHQSKYSLLGHGPHGKLVVDHLLKEYGEDGILEFCQKWRQVFVDALHPRFLPAGWDVNHSGKRDFGDFSVYKPVNKVSAATEESGK >CDP13559 pep chromosome:AUK_PRJEB4211_v1:5:29073520:29080050:-1 gene:GSCOC_T00038548001 transcript:CDP13559 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAVKGKSKPSHQVIEEDANKSTKKSSTALGGLPSFKIFAEPPPPSNGGRRLGSATGSQSGIKNLEKNNGKKDSSVKANIGRKVLADISNVRGSFSGPKAHNKSKSLCISRNSAAGTQAGGCSSRMPFTGIVRNNMTQASGSHHTVNKADKDIKITSSNDLGTFTRGCKFVDTSRKSRGDYLPPIRKSFPVTEQVKKVPMNGTKEESTESSERRRGKYGFQVNSKVGRNVASRVHNSQNHLQKVRVSDGYMVMASRGQRSSRPGTFSRRSVKVERTLNSHGVCGFNKPPAGSAASSNRKEEKAKCSSTKHVASVIPSGQTAQHDVSSSSKSDSDRTTADNVSRRKPDRRKSFTSLLMSQSKDDLPNIYDNHNPLEVTEYVDDIYQYYWVLEAHNQPLKHYLDIQSEITPQMRGILINWLIEVHLRFDLMQETLFLMVTLLDQFLSLVSIGKNKMQLVGLTALLLASKYEDFWHPRIMDLIGISADSYTRDEMLGMENTFLKALKFRLNSPTPYVFMLRVLKAARSDTAFEHLAFYLIELCLVEYEALKYKPSLLCASAIYLARCTMEMNPTWTPLLEKHSGYRESQIRQVFAKMILKFHKAAKTAMLKVTYEKYMRFEFGRVAAINPVEVLPQCSHENQCYKN >CDP06186 pep chromosome:AUK_PRJEB4211_v1:5:23894255:23895674:1 gene:GSCOC_T00021594001 transcript:CDP06186 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTIVLLSLFISCFSILLLSLTLSSVLLVSITTAGSFHQHININWGEERAQILESGQLVTLTLDQYSGSGFQSKDEYLFGRIDVQLKLVPGDSAGTVTAFYLSSQGPAHDEIDFEFLGNVSGEPYIVHTNMYTEGHAGREEQFYLWFDPRQDFHTYSIIWNPGRVIWLVDDIPIREYTNRESKGVPYPSRRPMRLYSSIWNADSWATQNGKIKTDWTKAPFTASYRNLKIDACVWESTSSCESEESTNSPPSKPWMMQQLDDGGKMNLQWVRKKYMVYDYCVDYKKFVYGIPLECKL >CDP15909 pep chromosome:AUK_PRJEB4211_v1:5:22833533:22834399:1 gene:GSCOC_T00016821001 transcript:CDP15909 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGDGDCRPLAFLLSLPFAFLALLVSLVGVIIWIVGILLSIICPCCLCVTVLVEMALQLIKAPIHVMEWFTSQIPC >CDP16068 pep chromosome:AUK_PRJEB4211_v1:5:811371:812923:-1 gene:GSCOC_T00017078001 transcript:CDP16068 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAEYQPLLFGLDLDAKILKLSSSAIKGFLEHRPVPIWCFCFNYMLNFVTLSFTGHLGALELAGASIACVGIQGLAYRIILGMASAFQTVCGQAYGAKRYSAMGMICQRAIVLHLAAAVVLTFLHWHSALDIWYSQGLVRISGLLSNPTIALDSISICMNYRNWDMMFMLGISAAASV >CDP06040 pep chromosome:AUK_PRJEB4211_v1:5:25088338:25097443:1 gene:GSCOC_T00021390001 transcript:CDP06040 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKKREEKKMQVLQTISSKLQITNWDLSRSSCSEGSGLNRTFGDSIYSNVTCNCSFSANTVCHVTSIELKGLNLTGTLPAEFANLSHLREIDLSRNYISGSIPPSFARLPLTNLSLLGNRINGTIPSEIGDISTLEELVLEDNQLGGPLPANLGRLSGLRRLVLSANNFTGTIPETFGDLKNLTDFRIDGNAISGKMPDFIGNWTKLIRLDMQGTLMQGPITPAISRLTNLSEMRILGLSGSSIRFPNLQDLENIKTVILRNCSIFGPIPEYMGNKRKLKTLDLSFNNLTGPIPNTFQRLNFDNLLLASNALSGEIPSWLLDSRENIDLSNNNFTPSSTTSCQSSTVNLASSSSTSTSNSIAWCSKKDLPCSGKSQYYSLFINCGGSRIGFEGNEYEDDQTNRGPAYFFSSSEKWAYTSSGVYMGKDDANYVTSSASSNLTGEEIYRTARQAPASLKYYGLCLRKGSYKVRLHFAEIMFSDDKKFSSLGRRIFDVSIQGNVVLKDFNIMEEAKGVGIGITKDFKDVIVNGSTLEIHLFWTGKGTTAIPDRGVYGPLISAITVTPNFDTHTGLSVGAIIGIVVASCVVVCLILVLLRLKGYLGGDDTEDKELRALDLQTGYFTLRQIKAATNNFDPANKIGEGGFGPVYKGLLTDGAVIAVKQLSSKSRQGNREFVNEIGMISALQHPNLVRLYGCCIEGNQLLLIYEYLENNCLGRALFGRTERLLYLDWPTRKKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGIVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLDLVDPSLGSDCPADEALRMINLALLCTNPSPTLRPTMSSAVSMLEGKIAIQAPLIKRTSLNDDMRFKAFEKLSQDSQSHVSTFSIDSSQIPRTTSMDDGPWIDSSASLPSKDELRDSSSSSKLRL >CDP15725 pep chromosome:AUK_PRJEB4211_v1:5:5099517:5103024:1 gene:GSCOC_T00015748001 transcript:CDP15725 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTRFEVEKLIAYGHELVDFLRDDGDNITLKQYFQQAQSLHSRAHADFNGLQRSIQVIIDEINDLEQQSESIEERRKSLKKLEQEDLRREMKLSMYASVTSIVPNLDDQSKISGHIVEREEKRVEKFELDPVKQTTFETCNGIWKMINL >CDP18716 pep chromosome:AUK_PRJEB4211_v1:5:23861315:23866861:-1 gene:GSCOC_T00002645001 transcript:CDP18716 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTSATPWNTCYYPIPKRFYLQNLHFSSSAYSLYPPETLFTRTPLTLTATNSCSKEQERRKSPKNPQYPCQKKTSFSQGFYSSIETQRTQKREYLETPYSDLEIHSGIFTNMWWVDLKAALGQRINMEGIASSVGIVAKDKHLVIPHVAIPDIRYIDWAELKRRGFKGVVFDKDNTITAPYSLSLWPPLESSVEQCKSLFGNNIAVFSNSAGLHEYDPDGKKARALEGAIGIKVIRHKTKKPAGTAEEIERQFNCESSRLILVGDRPFTDIVYGNRNGFLTILTEPLSLAEEPFIVRQVRKLEVALVHRWSSGELKLSNHRLLPYPQQCVKDQPL >CDP13773 pep chromosome:AUK_PRJEB4211_v1:5:27655259:27661269:-1 gene:GSCOC_T00038825001 transcript:CDP13773 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDRQIEQLKRCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFRVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHSNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDENLNKEFRVFEAAPQEARGAPARKPPPDYFL >CDP05884 pep chromosome:AUK_PRJEB4211_v1:5:26266050:26267346:1 gene:GSCOC_T00021195001 transcript:CDP05884 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQLRADIAELLQNGQHKAALSRTVQLHKDELKLSAYDQVDKFCDCVVKNLKDIRPDRKLPVDVCEAISSLIFAASRCGELPELHSLRYLFKGLLGPQFERANVELLPGNTVNSLIKQSLVVKSVAEDVKIQLINDIARDYKQDIGPLSNDGANGIKDQTRPKKFEEMLPDIGPKLALQDCKDMVTFWSNFPDKIPRSPIAHVHPKLPDYDDLVVQFGNLKKEYMQKISNK >CDP14749 pep chromosome:AUK_PRJEB4211_v1:5:21639554:21642123:1 gene:GSCOC_T00042196001 transcript:CDP14749 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPPPPPAYVMLDGQGMKKGNYGPPPSGRRNVPRYNSDYYPKKSGGSSCLRCICCCYCILFLLIFVVSVLAFYFYTVYEPKVPSYKVQSLDVKSFDLLPDFSLNTVFLVTVEADNMNKAIGFTYGQGSSVLVEYTDSRLCSGSLPNFHQGPHNTTLIQIELKGRSEFGTGLQQALQDSKEKGRIPLLVRIQVPVSVVVGEISSRQFHVFVNCTLVVDNLAPGKKIAILSSKYNFDLTL >CDP15925 pep chromosome:AUK_PRJEB4211_v1:5:22916777:22919271:-1 gene:GSCOC_T00016844001 transcript:CDP15925 gene_biotype:protein_coding transcript_biotype:protein_coding MITIILLFLAGHSWFGACTNIPFTSGSTDEVALLAFKAKITRDPYNILETNWKEGTSFCNWIGVTCGHKHRRVTALNLSSTGLKGTIAKEVGNLSFLVSLDISNNYFQGYIPEQLGNLKRLRGLYMQLNELSGQIPQTFGFLTRIQELVLYNNSLTGPIPPAIFNLSSFQVIDLMYNFLEGTLPTDICNNLPNMESLNVSNNQLGGQIPSSFSRCSEIKFLALSHNEFTGSIPGTFGNLSKLEQLYLGHNNLTGELPKAIFNISALRILTAQFNNISGSLPHDICKQNPHLEDLLLFVNLLDGQIPSSISYCQSLQQFSLAYNNISGSIPAEMGNMSALQYLYLGANNLTGEFPFNTFNVSSLRAIDLAENRFSGSLPKDVCFRHPNLGYISLSDNNFTGSVPAEFGNLTGLQYLYLTSNNLTGEVPFNFFNLSLLEDFEAMNNKFSGSLPQDLCFRFPKLKLFNLEDNQFHGQIPSSISQCTSLDTIDLSSNNFIGINNENKLPLNTAAASYFLLSNRFYLKDKIEHYYSIHPIYIYIQDI >CDP06071 pep chromosome:AUK_PRJEB4211_v1:5:24877109:24879499:1 gene:GSCOC_T00021430001 transcript:CDP06071 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAIAPNLWRLSPAKIKCDSTPCVNPRTESALKRENHHLLSRFCTHLSIQKHGSFHSYFSLKAASSSSVESTEYTEEPATKVKFQTSLSIPGCSTSMPLTGTGYREKVFAVIGVKVYAAGLYVNQSIFARLEAWKGQSAADLQQDSEFFDTIFQAPLEKSLQIVLVRDVDGKTFWDALDEAISPRIKSPTPVDTSALSTFSTLFQGRPLNKGTIILLTWLDSTKMLVSVSSDGLPSGVDATIESTNVISALFDVFLGSDSVSPTLKASVTSGLTALLNG >CDP11802 pep chromosome:AUK_PRJEB4211_v1:5:19308147:19309253:1 gene:GSCOC_T00035047001 transcript:CDP11802 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGILWPVSCVLLVLLGLCCYDMVIFVPSYLENGKMLVLAQGKFGLIGSLIALVLKFHLK >CDP05926 pep chromosome:AUK_PRJEB4211_v1:5:25946420:25951739:-1 gene:GSCOC_T00021247001 transcript:CDP05926 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVVESKLPHVSGETAGEENSLLKENGKISNVMGLSEPITFGSHGMDEPVKGEKNKAAEVNFPKDAVDEWPAPKQIHSFYFVRYRLHEDQKLKAKLDQADTELQKKNQARSQLIEKLRKLKADRAQKIAFLKDLNKENKQYRELIDEKKKEREPLQQALGQLHGGRDRGSGICSSEEELNHRIKSLQYHIQHESITLNEEKQILREIKQLEGTREKVIANAALRAKIQESMGEKEALEDQMKLIRVDMDGVRKDQQLVLAKRKQLEEEKEAIEKEIKSLEEELTAVTQKRDKTFENIQELRKQREEGNSAFYQNRSLLNKARGLAEKKDVEGLKELSETEVDKFISSWSGSEGFREDYERRILASLDGRQLSSDGRMRNPDEKPLVVVEVAPAAVTDVVPKTNVKQPKEDSSTSKLDATPVQKVQKEKSTKQQKDAGDGEEFVMPEKKQNDAPPKVNEIDELKLKEVKREEEIAKAKQAMERKKKLSEKAAAKAAIKAQKEAEKKIKEIILHS >CDP11828 pep chromosome:AUK_PRJEB4211_v1:5:19662902:19665710:-1 gene:GSCOC_T00035089001 transcript:CDP11828 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSHLCSILSLNSSLPSVLSSQRSAAAFVFFSCKTDQRRRRRSTGIVASGADLLGDFGARDPFPAEVESNFAEKVLGNVNTEHKILIPTATALSLAQQDCTPISPNEQPISEDAAKKLLLKVVGWRLINGEEGLRLQCVWKLRDFQCGIELINRIYKAIGATGHFPSLHLEESNQLRAELWTSSIGGLSMNDFIVAAKIDQVKSSDLIPRKRVWA >CDP16486 pep chromosome:AUK_PRJEB4211_v1:5:8047510:8055713:1 gene:GSCOC_T00018431001 transcript:CDP16486 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACSADGGRKRKREPKAAVEEQGKRNEIPALALKPQEISCRRVNFILDNAAIKKILVKKRHCESIGVILAEVESSTLCTRNLRQQRSTNGNTKV >CDP20622 pep chromosome:AUK_PRJEB4211_v1:5:8916355:8927866:-1 gene:GSCOC_T00013144001 transcript:CDP20622 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNRKLSGLKCSFFLHFGLKKASEALPGAAHGWKLKRQLIFLWIVSVIVAFAMLLSSFSGKSWVVKTPPFNEEKVEILLKHFNVSKEQINSLASLFETDQVSSLTCNIQYGHQMSLSRIKNCAVKMISSMSDMVEKWHDFVTENIKLDNQMCPVHDDNISQNLDLSVLKDGCSFISWCTSSASSDHECSVQLELFKDMLSRWPLVRGTRYHCEWLLVDIIKICWWVLIGMVLSWKLRTLPEELWGNQKQHLVQQKPLDQHPKLLQKLRQPLTACSSKSAGKWRKKLLVIFVLAGIFGSIWLFLYLNEDITLRRKEMLASMCDERARMLQDQFNVSMNHVHALAILVSTFYHELEPLALDQKTFEEYTEKTAFERPLTSGVAYALRVLHLMRERFEEQQGWTIKKMETEDQTLSQDLVPENLDPSPVQEEYAPVIFTQKTVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNTHLPPDATQMQRINATVGYLGASYDVPSLVEKLLHQLASKQTIIVNVYDSTNKSAPINMYGKDGMDTGLLHISNLDFGDPARKHEMHCRFKEKPPIPWTAITASVGVLVITLLLGHIFHAAINRIAKVEHDYQEMMVLKHRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDENQWDYAKTAHESGKDLIALINEVLDQAKIESGRLELEAVRFNLRADLDKVLSLLAGKSLEKEIELAVYVSDEVPEVVIGDPRRFGQIITNLVGNSIKFTKDKDKGHIFVSVHLADEVNSSLDARDEVLRQSLILAQDGTNAPFNTLSGFPVVDRWKSWESFTKLSAKAEDAEKIKLLVTVEDTGEGIPFDAQGRIFMPFMQADSSTSRTHGGTGIGLSISKHLVDLMGGEIGFVSEPGTGSTFSFTVTFRKAENTCMGMHWQQCDQAVSEFRGLKALVIDQKNIRAEVTRYHLKRLGMPVDIISTVDSACSYISSCASTSASERLAMVLIDKDSWANEAYIAVSCVLKDLRSRGSMSNLGTISTSDILLLATCTNPAELAELKSIGVVNHVLTKPIRLSDLITCIQEVTGSGRDRQVARGKQPMLGNLLRDKQILVVDDHVVNRRVAEAALKKYGAIVTCVDNGKAALEQLDPPHQFHACFMDLQMPLMDGYEATRRIRDLEYKYNRQTNSCELSTQILSMVPQWHTPILAMTADVIQATNEECMKWGMDGYVSKPFEEGQLYSAVARFFECADVKWV >CDP14707 pep chromosome:AUK_PRJEB4211_v1:5:21156153:21157632:1 gene:GSCOC_T00042126001 transcript:CDP14707 gene_biotype:protein_coding transcript_biotype:protein_coding MENIPQEILIEILIRLPVKSLIRFILVSKTWQCLITSPNFIFTHLKKIQSQPNKNHSLLLCRHYSRNDQTEHYSLHPDNDDFVQKSSKIRFPLKSKVGCYRIVGCCNGLVCLCDDMFGTFRLKPIILWNPSVQKSVELPLPGIQPYWDRSRIFLLGFGCDFQGFDYKVVRIVYDRLYGLSVGVEVYSLSTKSWKVLAHGPQTCPRYYITDKNLSQAFVNGVMHWLAHRRKGSLGNSIMGFDMKDEIFIEIFLPEALVDERAANLFLMKHGGCLAVMKYNGYENNYSLWVMKEYGNVESWKRLYDIGLLEGMENVIGFSKNGHLFVTMFVDEMFVSDPGSGVLVSFDLESRKIKDLEIFGKLGSIYVENFVESLVLLEG >CDP17449 pep chromosome:AUK_PRJEB4211_v1:5:2525446:2527932:-1 gene:GSCOC_T00000923001 transcript:CDP17449 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTFGILCEMIRDTGGLKATRNMSIEEIVAMFVYVLAHHKKSRTICGLFWRSRETVSQLFRCLRWTLIDVTPPTEQKSRYRMRKGSVATNVLGICCYYLVDAGYCNADGFLAPYRGQRYHLNEFNVMKKMENDEIVRGRGKNKCFWTGEEVKVLIESLQELACDPMFHAIVEMCKESGCSWNDAEKKISYEKQWYDDWCKTHKDAKGLWDVKFPYLGDLEIVYGRDRATGNVAEDFTQTVQDMEAVQNLEEGDEGLDAMSNSDNDKVEEDEVNSMEQSTQPSSTSTRNSKKQKKQSPPIANVSKKMKSASTTRGDLDASLQLLTSKFGDFVEGIQANFTTIAAAMSNEDKREQLVSDRRDQVVAELMKLALPSGDVMNAADILSEQISKLHVFYNLPAEMKRQYVINLLYPPSTR >CDP13705 pep chromosome:AUK_PRJEB4211_v1:5:28100924:28106490:-1 gene:GSCOC_T00038739001 transcript:CDP13705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein E1 [Source:Projected from Arabidopsis thaliana (AT1G55850) UniProtKB/Swiss-Prot;Acc:Q8VZK9] MFGAEIWFGLYWFITQSVRWSLVYRRTFTDRLSRRFENELPKVDIFVCTADPAVEPPIMVINTVLSVMAYDYPTEKLSVYLSDDGGSELTFHALLQASYFSKHWLPYCKKYEVEPRSPAAYFESDPRILDATHTKDFASIKKLYEQLENKVLLANKLGQIPDKSEHKGFAMWDSSSSRGNHDAILQILVNGSDPEARDVAGCKLPTLVYLAREKRPEHFHNFKAGAMNALIRVSSEISNGPVILNVDCDMYSNDSQSIRDALCFLMDEEKGHEFAYVQFPQIFKNITKNDLYANSMTAGREVEFHGLDAFGGPPYIGSGCFHRREILSGRKYSKCYKIDLKTQNECKMGNVHELEERLKSLASCTYEQNTEWGHEMGLKYGCPVEDVITGLSIQCQGWKSVYPNPERPAFLGVAGTTLDQILVQQKRWSEGDLQILLSKYSPAWYGLGRIHIGLTMGYLIYCLWSPNCVAVLYYSIIPSLHLLKGIPLFPQVSSVWFLPFAYVIIAEHIYSAAEFLLSGGTFLGWWNEQRMWLYKRTSSYLLAFVDTILKLLGFSDVKFVISSKVSDEDASKRYGEEILEFGTTSPMFIIISTLALLNLFCLTGILMKLKANFSLSFLWETMALQILLCGAFVVINLPLFDALFFRKDNGRMPSSVTSKSVFLALLACTSFTLLY >CDP17865 pep chromosome:AUK_PRJEB4211_v1:5:1670634:1672409:1 gene:GSCOC_T00013186001 transcript:CDP17865 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKTSCWSFRSSIRGDTSHYDAVANSAASGVQSAGLNSGVPCVFGVLTCDDMEQVNS >CDP13607 pep chromosome:AUK_PRJEB4211_v1:5:28762863:28768015:1 gene:GSCOC_T00038612001 transcript:CDP13607 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAAVATACSHFIPSKLAISTTTTLRLSKPSLQLQWSLHSNSLLFKRRLSSTARIAMSLKAGIVGLPNVGKSTLFNSVVENGKAQAANFPFCTIEPNVGKVAVPDPRLHVLSHLSKSQRAVPTSIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDVVHVNGKVDPMSDVDVINLELVFSDLDQIEKRMEKLKKGKAKDSQSKVKEEAEKSALEKIQQALMDGKPARSVSLTDFEKDAVKHLCLLTMKPVIYVANVAESDLSAPESNPYVAEVKKLASELQSGLVTVSAQVESELTELPLEERTEYLASLGVKESGLGNLIRETYGLLGLRTYFTSGEKETKAWTILSGMTAPQAAGVIHSDFEKGFIRAETVGYDDFVAVGSFGAAREKGLLRLEGKDYIVQEGDVMLFRFNV >CDP17460 pep chromosome:AUK_PRJEB4211_v1:5:2837606:2838849:-1 gene:GSCOC_T00000942001 transcript:CDP17460 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVIGIDQSQFFEDLYDFCREAADHDSKTVIVTGLDGDYLRSSFGSVLEVIALADSVTKLNAELCGK >CDP18721 pep chromosome:AUK_PRJEB4211_v1:5:23838491:23840735:1 gene:GSCOC_T00002650001 transcript:CDP18721 gene_biotype:protein_coding transcript_biotype:protein_coding MILEMLRRGSRVLKQSNPGQTVTFRKIVFLLMLLSIALVLVFRNSSEKSSTVSGISLKKWDSFNSLVHLDPTVEFRNGTDLISQIPDSPRGVLFLAHGCNGRAANFWDKSPKCKNCVGLPEERLVVLHALARKFAVLAVSSTRRCWTFGDELLKVKDIVKWWIAKEKLEKLPLVALGASSGGHFVSLLATKLNFSSIALMIAEGMFGQMDISASYPPTLFVHMPKDEERKQRIDNYLVFLKDKGIDVAEIKCMEFPLSPSYFSDVIPGIDRSISVKLFNLFKEKGFIDKNGYMTKDGRAIRWKAALQERDITLPDKSLFHHIQEEMNLAYAKHEMTSLQSEEILDWFESHMS >CDP06159 pep chromosome:AUK_PRJEB4211_v1:5:24109611:24110412:-1 gene:GSCOC_T00021556001 transcript:CDP06159 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPSFAGKAVKIAPSTSERLGEGRVTMRKTVAKPKPSSGGPWYGPDRVLYLGPLSGSPPSYLTGEFPVVLMGAAEGYRIAGGPLGELKVKEIKNGRLAMFSMLGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >CDP13743 pep chromosome:AUK_PRJEB4211_v1:5:27858662:27861418:-1 gene:GSCOC_T00038791001 transcript:CDP13743 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFFLSLILLRLTIPLQCRTLPFHQSHFTKRSFHQNDRNVSPPQEYFEVTKPLPFDGVHATCTLPILTHNFSNTYGLPPLSVPYFPPLNCIWTHVTLEIQASCQGEQYDRIAALWLGGAELIRTSTAEPTADGIFWTVRKDVTRYSSLLSQNNLTLSVMLENIVNDVFTGVYQVNVTFLYYDANAINVKPLLALPSENYWPSSRKLKSAYNPLEPLVDSEKGSFDLLYEKPADLIIPISEVGDEGYWFRIQNESDIHSRGVRIPQNTYRAVVEIYVSFHGNDEFWYSNPPDSYLKVANDDEAQHVNPSDSYANMNKVSIGRGHGAYREVLVMIDGNLVGSVVPFPVIFTGGINPLFWEPVVSIGAFDLPSYDVELTPYLGLLLDGKVHSFSVQVADGVSFWLVDANLHLWLDAGSEEVQAGIAFSSPEFSLERELKFDGLDGKFQIEAERETKIYGWVSSTAGNFTTSVSQKLKFKNSIKFKNNGTRKLVKQKVMEKIKVRIESGTGYRILHTKTKRTYPLKITTETLPGGNNTYSMIARVTNSVKEKKTVGRAKSTLENSQKSSGWMSVKDHEVLSGGASTEQNYSYEDGLECYSRVVEAENGTLLKDAAKLLCATSS >CDP16484 pep chromosome:AUK_PRJEB4211_v1:5:7812396:7812997:-1 gene:GSCOC_T00018428001 transcript:CDP16484 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLQLLKLVSATNKIQLKGVNLEINAILLMVLGARPAPVHEDPHAKGPPPGRFGASATAKISMDASLAGAIIGKGGVNSKHICHTTGAKLAIRDHDSDPNSENIKLEGTFNQIKQATQMVCELIANVTPASNFKTKVCENFVKGSCTFGDRCHFAHEEEELRL >CDP14813 pep chromosome:AUK_PRJEB4211_v1:5:22236005:22237198:-1 gene:GSCOC_T00042274001 transcript:CDP14813 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAFILAHAMVLLVLILSSFNSFAKHGYSSTRHRQHYRHHPTNKATINPRLQQAYVALQAWKKVIYSDPKNFTSNWIGPSVCNYTGVYCAPYPDNTKIQVVAGIDLNFADIAGFLPEELGLLSDLALIHLNSNRFCGILPQSLANLTLLFELDLSNNRFVGPFPSVVLSLPTLKFLDIRFNEFEGPLPAQLFAKKLDAVFLNNNLFTSVIPPNLGLSSASVVVFANNKFGGCLPPSIANFANTLEELLLINTSLTGCLPPEVGYLYKLRVLDVSSNRVVGPIPYSLAGLAHLELLNLAHNMFTGDVPAGVCVLPNLSNFTYSYNFFCEEEGICMNLTSRGIAFDDRRNCLLEKPLQRTKKECTAVYEHPVECFDYHCGGSGTPPAPTPLPSPPSG >CDP05989 pep chromosome:AUK_PRJEB4211_v1:5:25491236:25492423:1 gene:GSCOC_T00021328001 transcript:CDP05989 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIKVSKGLDSERKRIFSSKLKLSNGCSSVTPPTPPRVNLESFSLHRLHQMHSTVTANPNPDDLKETRKLEASLNVENQEKQILHNILHSFTKSRLVEILQEAAVAHPAILHTVLSTVDDNPSHRKIFIRNLGPKTTPENLHSFFSNYGEVEEAKVIFDRTTGYSKGYGFVTFKNAESFLLALENPSKKIDGFVSFTSICVGPDHSSGKTDDSSISTDEMEKMRMKRKIRVENVPAEMSSETLLSFFEKYGEIEEGPWGFDMGTGKSRGFAYLLYKDEESAKAALAERVKFVDGFRLVCKMVLRRERERSNTNRNPTDLVESLPSPPRTLVLPVSYYYCCVYYSRRLVPYNYYYQNELAMGGSSGQLGPGTRGQSSSALRPPAPRIAAPAPSGR >CDP13684 pep chromosome:AUK_PRJEB4211_v1:5:28214232:28217685:1 gene:GSCOC_T00038711001 transcript:CDP13684 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKTKFLEVYSVLKSELLNDPAFEFTDDSRQWLDRMLDYNVPGGKLNRGLSVVDSYKLLKEGRELTSDETFLACALGWCIEWLQAYFLVMDDIMDGSHTRRGQPCWFRLPKVGMIAANDGIILRNHIPRILKNHFRGKPYYVDLLDLFNEVEFQTASGQMIDLITTLVGEKDLSKYSLPLHRRIVQYKTAYYSFYLPVACALLMSGENLDNHIDVKEILIEMGIYFQVQDDYLDCYGDPEVIGKIGTDIEDFKCSWMVVKALEVCNEEQKKLLHENYGKQDPACVAKVKELYEALKIQDVFLEYERKSYEKLNKGIEAHPSKAVQAVLKSFLSKIYKRQK >CDP13787 pep chromosome:AUK_PRJEB4211_v1:5:27575148:27580188:1 gene:GSCOC_T00038845001 transcript:CDP13787 gene_biotype:protein_coding transcript_biotype:protein_coding description:EHD1 [Source:Projected from Arabidopsis thaliana (AT3G20290) UniProtKB/TrEMBL;Acc:A0A178VAZ5] MEIDSAPISRCSKENQKIYQDWFAFADSDGDGRLTGADATKFFALSNLPRHDLKQVWAIADSKRQGFLGFKEFITAVQLVSLAQAGHALTSDIIYAEVDFESLKPPAMDGLEALLAKKKHTPKSDLEQNGIPQLQSSPSANWFSSSKSAKKVSLSSVTSIVDGLKKLYVQKLKPLEATYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVVMNGPDERSIPGNTIAVQADMPFSGLTTFGTSFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVIASLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVTRVYIGSFNDKPINEVATGPIGKELFEKEQDDLLADLKNIPKKACDRRINEFVKRARAAKIHAYIISHLKKEMPAMMGKAKTQQRLVDNLEVEFGKVQREFHLPAGDFPNVEHFREVLSGYSIDKFEKLKPKMIQSVDDMLGYDIPELLKNFRNPYD >CDP05728 pep chromosome:AUK_PRJEB4211_v1:5:27354550:27365181:-1 gene:GSCOC_T00020993001 transcript:CDP05728 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH5 [Source:Projected from Arabidopsis thaliana (AT3G20475) UniProtKB/Swiss-Prot;Acc:F4JEP5] MEEVDETEATPQVYMACIMQGHRIGVSYYDSSIRQLYVLEFWEDGSEDFPLVDMIIYQAKPSTIYTSTKSEELFLAALQKNDGTSNAATVKLVKSSLFSYEQAWHRLMYLRVTGMDDGLNIKERMSFLNSMMDIQSEVQVRATGGLLAVLENERIIDTLEQKECGSASIAVDSVIEISLNKFLKVDSAAHEALQIFQIDKHPSHMGIGRAKEGFSLFGMMNKCVTPMGRRLLRNWFLRPILDIEKLNKRFDAITFFHSAEELLASLRETLQSIKDIPHILKKFNSPSSLCTSSDWKAFVKVFSICSLLHINKIFEVGISENLQEELKYLNLDIVEQVEFYLSADLAYVYELVVGVVDVNRSKDKGYETIVKDGFCDELDELRQMYEELPEFLEEVSSVELTRLPYMSGDKFIPRIVYIHQIGYLMCIFQENLDKSMLEKLEDYEFAFSDEDENTKKFFYRTAKTRELDSLLGDLYHKILDMERAIIGDLVSRILQFSSHLKQAVNFVAELDCFLSLALVARQNNYVRPIVTVENLLDILNGRHVLQEMTVDTFIPNDTKILHDGRVNIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAAIVGLTDRIFCATGSKFMTAEQSTFMIDLHQVGVMLRHATSRSLCLLDEFGKGTLTVDGVGLLGGTINHFVSCYDPPKVFICTHLTELFDNSCLLECDKVKYYTMSVLNPDNKENDIEDIVFLYRLVPGRAALSYGLHCALLAGVFEGVIKRASLVLDAIQDNKHIERLSNENISAQDQQYKNAVDKVLALDALKDDLSVFFQDVFP >CDP05779 pep chromosome:AUK_PRJEB4211_v1:5:27022759:27028683:1 gene:GSCOC_T00021062001 transcript:CDP05779 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLKQPVVHFHGSDAFRPQSSVPSRRFSVLPPLPALNTRWSSSVVSLSKPLFVSKVESFSPLGTLKRSSSTGDAGDNDHVPQKRDLIICRAYEADKSIPIDDSQARSEAARRVKIGVYFATWWTLNVIFNIYNKKVLNAYPFPWLTSTLSLAAGSLIMLISWALRIAETPKTDLDFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPVPVYLSLIPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSMMSLLILTPFAIAVEGPQVWALGWQKAVSEIGPQLIWWMAAQSVFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAILGTFLYSQAKQ >CDP16085 pep chromosome:AUK_PRJEB4211_v1:5:1016855:1027878:-1 gene:GSCOC_T00017101001 transcript:CDP16085 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQAIKNLDAFPRAEEHLLQKTQSGALVSIVGLVIMATLFIHELRYYLTTNIVHQMAVDLKRGETLPIHINMSFPSLPCDVLSVDAIDLSGKHEVDLDTNIWKLRLNRDGYIIGTEYLSDLVEKEHTAHEHGHDDKDHHDDQDQKVHLQGFDKEAEDMIKRVKQALANGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAQMIFGGSSHVNVSHIIHDLSFGPKYPGIHNPLDGTTRILRGTSGTFKYYIKIVPTEYNYLSKEVLPTNQFSVTEYFSPMNEFDRTWPAVYFLYDLSPITVTIKEERRNFLHFITRLCAVLGGTFALTGMLDRWMYRLLEAFIKPKRSLLR >CDP06174 pep chromosome:AUK_PRJEB4211_v1:5:24016399:24018649:1 gene:GSCOC_T00021575001 transcript:CDP06174 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPMVLLKLADWLVYKILANSYYRAATKVRNHGFFQRNPSFRSSASQLPLYPSVTKCTLDNRGSQTLVCDIHGCLLRSKSFFPFFMLVAFEGGSISRAFFLLLSCPFLWVLDFELKLRVMIFITFCGLKLKDMDNVTRAVLPKFYLEDLNLHVYEVLASAGNRLIFTSLPRVMVEGFLKDYLSVQTVKGTELHTRGQYYTGLISSSGLFVKHKALKEFCGEKMPDVGIGTPSLHDQLFISQCKEAYVVIKEDPKSRTNSVMPREKYPKPLVFHDGRLAFLPTPLATLAMFMWLPLGILLAIFRLFVGIFLPYKLAILLGTLSGVRLRVKGHNPSENSQNAKGVLYVCTHRTLLDPVFLSTSLGKPLTAVTYSLSKMSEFLSPIRTVRLTRNREQDGEAMQKLLSEGDLVVCPEGTTCREPYLLRFSSLFAELADEIVPVAMNTNVTMFYGTTASGLKCLDPIFFLMNPMPRYSIHVLPKVPKELTVAGGKSSHEVANHIQRQLADALGFECTTLTRRDKYLMLAGNEGVVQDRRKKSCGTKVQKQNVAEFTTLEDLQP >CDP14415 pep chromosome:AUK_PRJEB4211_v1:5:6468288:6470979:1 gene:GSCOC_T00040824001 transcript:CDP14415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase XERICO [Source:Projected from Arabidopsis thaliana (AT2G04240) UniProtKB/Swiss-Prot;Acc:Q9SI09] MGLSPYSAPADGGVFCVILVNTAISISIVKEIFRSILQVVGIHIAAWEDYSVDNTLESLECRGSPSESYMEEFRSRTPAIRYDSIHSCSRPRHECPVCLTEFEPDAEINHLSCGHVFHRLCLEKWLKYWHVTCPLCRNYMLPQEGLENTCPM >CDP13729 pep chromosome:AUK_PRJEB4211_v1:5:27929241:27931839:-1 gene:GSCOC_T00038774001 transcript:CDP13729 gene_biotype:protein_coding transcript_biotype:protein_coding MNSADGNKVWEIRVMKTKPKHEEARKFLEKIAAQVQPIMQKHNWKVKLLSEFCQQNLLGLNIGGGQHVKLRLRSYYSDEEFFPFDEVLDTMLHELCHNVHGPHDAGFYRLWDELRRECEDLIRKRISGTGRPLGGIKPQPPLSFLCQTVLAAAETRVQPLLPCGPKRIGGDSSMMAALTPTQAAAMAAERRYRDNIWCGAESYDASENAEENNNQYSLNIVHSSKNPRDFGSFDGQTLNVMSRKRRRGLNSRASSSLSNNGHSKSKFLDLTTDADFESSREDLSSDSAPRSVCGSNATRGSMWECGTCTLLNPQLALMCELCSSGKPKDVDGKRKFWSCIFCTLDNVVEMERCEACGEWRVEILSCAPLWLS >CDP14821 pep chromosome:AUK_PRJEB4211_v1:5:22283813:22285216:-1 gene:GSCOC_T00042284001 transcript:CDP14821 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLKIMLSAFIMLLVHASLPSTISSADNPPDFFYVYLKWPGSQCATKEGCCLTKTPKPARDFIITGFQPYYFNGTWPENCDPASHLDVSKISHLRTRLEVHWPSLTCPGSNPEKLWSDAWKKYGTCSKPTLKNQQEYFRQALAVRKSANLLKILGDAGIRPDGSSYPRDTISDTIIGGGLHLPGLGCSTDKDGNSQLDQVILCTGSDAKTFTECPGNGFGCDGDVKFPHF >CDP05683 pep chromosome:AUK_PRJEB4211_v1:5:12482351:12483524:-1 gene:GSCOC_T00020882001 transcript:CDP05683 gene_biotype:protein_coding transcript_biotype:protein_coding MRQIKKEVNDSIRRIINSKLKAMKAGESCDDDFLDRLLESNSQEIHEHGSKDVGMTAQKEIEECELFHFAGQAVTTFELIFSSKILLGNPPAPQPNPPHTKERILALFWRKSSQGGLNFFLLCTCSYDYITGNYAIICNAKAISTVTLLFAGILILKLLALIYHDPELWGDNVKEFKPKRFPELSHFILQKL >CDP15990 pep chromosome:AUK_PRJEB4211_v1:5:23490813:23492963:-1 gene:GSCOC_T00016930001 transcript:CDP15990 gene_biotype:protein_coding transcript_biotype:protein_coding MEITPEIVVGFDDEAKALINRLIRGSQLEIIPIVGMAGLGKTTLAKKVYNTLSSQRQFHIQLWCTVSQAYSVKNLLLQLLCSDGEHSRKNEELGILDEDELLDKLRKKLLGNKYLVVFDDVWDDRVWNDLRLSFQGATKGSKILFTSRNSNVASQIEYGGEPHNLRLLTDTLSWELLQKKVFGEKECPEGLLKIGKQIAKNCKGLPFSVVIISGILATKEYDRWDEVAVYDTDECTNILELSYTHLPPYLKPCLLYFGAFREDQEIQSKKLMQLWIAEGFVQCTDAKRLEDLAEEYMMDLIGRNLVMVAKHRSIGGVRACHIHDLLHKYCMVKAKEENFLQVLHGYDELSTFNVPPDLSRLSVWSKVEHFKESRLFCPQLSTLLFINLIRNDSESFLADASFVFQIYKGLRVLDIEQIVLRYKVFPSEVVSLVGLRYLAMQGEMRVIPPSIAKLSNLETFRMISDYGIVSLPSTLWSMTKLRHLHIEGYDVVWSLPRENLENNSGLLNLDTFSTLRVSLDQRVENILKNIPNVRQLKIKLSKAKKSTTIGYCNMSGLESLESLEVWATSLPPDRVEFSFPSTLKKLVLIGLNLPWSKISLIEELPNLEVLKLLYDSFKGERWILTEGGFRKLRFLALENLDVVEWTDTDPDDHFPCLQKLLMSGLSKLELMPSCLEQISALEVIELRSCKASVKDLVQKIEEEQKDCGNEDLRIIP >CDP11300 pep chromosome:AUK_PRJEB4211_v1:5:13170905:13174359:-1 gene:GSCOC_T00033456001 transcript:CDP11300 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQISAQQSLTVIFRSCRSPEAHIHGPELGQELGYGNHLNRAISNHTPLLVNLRSADASVPKPFKFQNFWVSSSGFKPTDDDRIGQAAVCFFQRLLTAEEASNVDELLIHIPNLVSADQNGVLLREVTMEEVKGVVFKLDGDSAPGADGFTGTFFRHCWDIVALDALAATRDFLAGTLLPKGIASTLIVLIPKKPNPATVAPYATPRGCRTVTHLAFADDVIIFVRGDRRSVGNLVHFLNLYQTATGQRVNNHKGLFISSRRCGSGQIRRIQQMTGFRHGALPLSYLGCNLYAGRRKKVYFQFLIDKFITKLAGWQKKLLSQGGRLILIKHVLSAIPTHVLAIMDPPSGVLKELEQIMANFFWGQTELGPKHHRRSWEKLCFPMEEDGLGIRSFKDIQGAFSCKLWWRFRHSTSLWALFMRSRYSEDNGAIRGTSRVWRRMLAVSDTVAQVTRVIDLEDGGRPAWTLTSSGDFIISSAWEALRSKRACFGSRRSVWSGRVPCKIAVFMWKLLNKFLPFPDALQRFGLHLPSKCSFCLNGESQEHIFSGCFLASEVWMTRDDGELVHSDLLLRLTPRERMLPRLVVWEKPPDGCVKLNVDGSSLGNPGSSGAGEVLRDSGGSVLRGFSSFLGSRTNMEAEALALLEGMLLSTDFPFLQVEMDSQVLLAMVNGNGRIPWTLWKTISRIQTLARGRQVTFTHVYREANGVADALANLANSTGVCQNFGASTLPDHIQGLARLDRMRVPYVRLH >CDP06105 pep chromosome:AUK_PRJEB4211_v1:5:24508784:24513524:1 gene:GSCOC_T00021491001 transcript:CDP06105 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRNRITNPEPFLSADNDESKSTTSTSTKRPKPPKLHQQQQQVISSGISSKILKEALIQQKEIEEEEAQEQNPNLVFVEEPKKVAEDDEEEDIDDFGGFSETRSQFGGWEEDQIDEDDEKLLEAFLSVDSRPQRTLADIIVERIKEKDAQVSSEARPMPKLDDSIIELYKGVGKLLGKYTSGKMPKAFKHIPSIQFWEEVLYLTEPEKWSPNAMYQATRIFASNLGVKKAERFYKLVLLPRVREDIRKNKRLHFALYQSLKKCLYKPAAFNKGILFPLCESRTCNLREAVIIGSVLQKVSIPPLHSSVALLKLAEMEYCGTTSYFIKLLIEKKYALPYRVLDAMVAHFMKFCEDSRTMPVIWHQSLLAFVQRYKNELTKEDKANLSTLVEKQRHYLVTPEILRELNNSRNRGEKEDDLMSIYILFSSGCLEILGPCLP >CDP13572 pep chromosome:AUK_PRJEB4211_v1:5:29002054:29015295:1 gene:GSCOC_T00038568001 transcript:CDP13572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear cap-binding protein subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G13540) UniProtKB/Swiss-Prot;Acc:Q9SIU2] MSSWKSLLLRIGEKCPEYGGNADFKDHIESCFGIVRRELDQSADDILLFLLECSEQLPHKIPLYGTLVGLLNLENEEFVKKVLEKIQTNLQDALDSGNCNRIRILMRFLTVLMCSKVLQPSSLVIVFETLLSSAALTVDEDKGNPSWQARADFYITCILSCLPWGGTELVEQVPEEIDRVMVGIESYFSIRRHVSNAGLSVFEDTNENNKLLDEKDFLEDLWVRIQDLSSAGWKLESVPRPHLCFEPQLVAGKSHDFGPITCPEQPNPSAATFGVSYGRQRNEAELKYPQRIQRLNIFSASKAEDLRPIDRFVVEEYLLDVLLFLNGCRKECAAYMAGLPVPFRYEYLMAETIFSQLLLLPEPPFKPIYYTLVIIDLCKALPGAFPAVIAGAVRALFERIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAHVLDLPKWAPQRVFVQEVLEREIRLSYWEKIKQSIENAPALEELLPPKGGPNFKYSGEDVRDRTEKALSLELNNLVKGRQTFREIMTWVEDSVIPVHGSDVALRVVIQTLLDIGSKSFTHLITVLERYGQVIGKLCPDQDRQIMLIAEVSSYWKNSSQMTAITIDRMMGYRLLSNLAIVRWVFSSSNVDQFHISDRPWEILRNAVSKTYNRITDLRKEISSIQRSFLLAEEAASKARAELETAESNLTLMDGEPVIGENPVRMKRLKSNAERAKDEEISVRDSLDAKEALLARAIEENEALFLSLYKSFSNVLAEPLCEAFKDGNVMPGDQADEMAIDLESSSAMELDKENGRPKKSHSNGRRAGNGYNLDEREQWCLSTLSYVKAITRQYASEIWSFIEKLDADVLTEDVRPLFRRAVYSGLRRPLDEL >CDP05940 pep chromosome:AUK_PRJEB4211_v1:5:25844169:25848270:1 gene:GSCOC_T00021264001 transcript:CDP05940 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEMLQKMKEKVGLGSSASETGKGKSKMDKHVTHGYHTVAGKGHHAMEDYLFAQFKQVGDNELGLFAIFDGHLGHEIPDYLRSHLFDNILNEPDFWTQTENAIRRAYRITDATILEKAVDLGKGGSTAVTAILINCQKLVVANVGDSRAVLCKNGVAKQLSVDHEPNKERQNIENRGGFVSNFPGDVARVDGQLAVARAFGDKSLKQHLSSEPDVAVEIIDDDTEFVILASDGIWKVMSNQEAVDCVKDKKEARAAAKHLTEEAVARKSSDDISCIVIKFK >CDP14416 pep chromosome:AUK_PRJEB4211_v1:5:6392424:6399499:1 gene:GSCOC_T00040825001 transcript:CDP14416 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMCDFCGEQRSIVYCRSDAASLCLSCDCNVHSANALSRRHSRTLVCERCNLQPAFVRCIEEKISLCPNCDWLGHGGSNTGSTHKRQAVSCYSGCPSAAELSTIWPFFLDFPLVGDSKCEQIMGSMSINEVSRDSQGAQGKKNIQDASVAVGVTNIQNVDKSTAWTGSSMLPYDAKLQNIELPAASTCAGSPKLSCFGAKGQTVCEDDSFYENFNMDEVDLSIENYDELFGAALDNPEKLFENDDIDGLFGMEISGADSNCQDAHLMEGSSMGRINGIQPACSTAASADSMMSCKTEPNICFARQAHSSLSFSGLTGESSAGDYQDCGASSFLLMGEPPWCPPCPESSNPASSRSNAVMRYKEKKKTRKFEKKVRYASRKARADVRRRVKGRFVKAGDAYDYDPMTPTRSY >CDP11331 pep chromosome:AUK_PRJEB4211_v1:5:14120150:14127646:1 gene:GSCOC_T00033518001 transcript:CDP11331 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGVFGSPIRASPIPRRRKLFLLSQAIPSRTQRIMESISVSGEVGGAGGAYSYNALKRLDQLWSTICSASSAVQEPQQVVSNVAGLFTNSEFAERFEDKFDVVVCGGTLGIFIATALSSKGLRVGVVERNVLKGREQEWNISRKELLELVEVGILTEDDIDEAIAASFNPNRCGFESKGEIWVEDILNLGISPAKLIEIMRRRFEYLGGVILEGYSVASIRVYDDTAVLELSKGRILSSSLVIDAMGNFSPIVKQIRRGRKPDGVCLVVGSCGRGFKENSRSDVIYSNASVKEVGQSQVQYFWEAFPAGSGPTDRTTYMFTYVNPQPECPKLEELLEDYWNLMPNYQGVSLDNLEILRVVFGIFPTYRDSPLPAAFNRILQFGDASGIQSPVSFGGFGSLTRHLWRLTEGICEAIEGNFLDHKSLSLLNPYLPNLSSSWLFQRAMSAKKESNVAPDFINELLYANFQSMQKLGDPVLRPFLQDVIQFGPLVKTLGQVMLTRPQILPSIFQQVGIPVLLDWSGHFAMLGYYTFLSTYIDPTIRPLIKTFPQKMKYRWKRRLEAWQYGAGLDYKFEAPQNRTNI >CDP15724 pep chromosome:AUK_PRJEB4211_v1:5:5059157:5060289:1 gene:GSCOC_T00015747001 transcript:CDP15724 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLSNSAVNTDGIYDHKSDQFSSSHPTQVKPVERRSIQVRKARRTITGSSLVAAISEKNLVKVVPEKAVQFKVRAIVIVRNKHKEDLKESIVKQLDALTDKLGRNVVLELVSTEINPNTKAPKKSKQAMLKDWSKKSNLKIERVNYTAEFVVDSNFGVPGAITVANKHQQEFFLESMTIEDFACGLVHFSCNSWVQSNKHHPGKRIFFSN >CDP16217 pep chromosome:AUK_PRJEB4211_v1:5:16029028:16033561:1 gene:GSCOC_T00017331001 transcript:CDP16217 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAVEEAEVGSSIPPPTPSEGVTADDDKDEIKEKSFRKSTANNLKDRKLSWAKLRRIDSLNLEAGTVSGKRTGGGHGNKDLGWKTTISLAFQSIGIIYGDIGTSPLYVYSSTFPEGIHDKNDLLGVLSLIIYTLTLLPLIKYVCIVLWANDNGNGGTFALYSLICRHAKVSLIPNQQPEDTEVSNYKLDIPSNQLRRAQKVKETLEGSKVAKIILVFLPILGTSMVMGDGILTPCISVLSAVSGITSLKQDAVVGISIAILIVLFSVQRFGTDKVGFSFAPAISLWFLCIGLTGLYNLFKHDPGVLRAFNPKYIFDYFRRNGKKGWKSLGGVVLCVTGTEAMFADLSHFSVRAVQISFSCVVFPALISTYLGQAAYLVKFPENVGNTFYKSVPGKF >CDP14683 pep chromosome:AUK_PRJEB4211_v1:5:20840562:20841011:1 gene:GSCOC_T00042094001 transcript:CDP14683 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSRAWIAALSVGAVEAMKDQGLNRWNHTMRSVHQLAKNNLRSLSQTKQLSSCALASSSSKSKDQEKLKKSEESLRKVMYLSCWGPN >CDP10785 pep chromosome:AUK_PRJEB4211_v1:5:17818206:17819499:-1 gene:GSCOC_T00031651001 transcript:CDP10785 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCALLHPSFSITDLFLSFLPYAYLDFSHGYEASKHILSCLCLIHISCSKKIVDLLHCYYINGYM >CDP05891 pep chromosome:AUK_PRJEB4211_v1:5:26210928:26212740:-1 gene:GSCOC_T00021204001 transcript:CDP05891 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLAHEVADLCLGKPPLKSLSISATVADALTALKASDENWISVWSCDHHSNKNSFNVNEDCVCIGKICMVDIICYLCEEENLCCPSLALQSPVSALLSKVPCLVRHVEPSSSIVEAIDLILQGAQNLVVPIKSRITGSSRRKLLQKSTSVTPTIHNGREFCWLTQEDVIRFLLNSIGLFSPIAALSIERLGIISSEFMAVTYHSRASSAIGAIAQALSDQTSVAVVDDDGFLIGEISPFTLACCDESVAAAITTLSASDLMAYIDCGGPPEDIVRLVIARLKERDLQGMLDEFMIDSSNISSNSSSSSDEEFSSSTTTLSRLGRLNRSSSYSARMMRRAEAIVCHPGSSLVAVMIQALAHRVNFVWVIEEDGSVVGIVTFSDILGVFREHLDSMV >CDP13703 pep chromosome:AUK_PRJEB4211_v1:5:28116091:28119665:-1 gene:GSCOC_T00038736001 transcript:CDP13703 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSELLFGFYWIITQSARWNVVQRIPFKDRLSLRYEDKLPDVDIFICTADHVVEPPIMVIDTVLSAMSYNYPPEKLSIYLSDDGGSEFTFYALLEVSDFAKYWLPFCKKFKLEPRAPAVYFKRNILDSHDLVLAQEESKVKKLYEDMASRIETVVEEGGIPKEIKEKHKGFSEWNSLIARNDHQSIVQILIDRRNPNSVDIDGHQLPTLVYLSREKRPQRPHHFKAGSMNALIRVSSKISNAPIILNLDCDMYSNDSDALRDSLCFFMDEKQGHRTSYVQYPQRYHNITKHDIYSSVARVVHQIELAGIDGNGGALYCGTGCFHRRASLCGMKFSEDNRSELKSVKHEIEGRPVEELEEASKQVANCSYEDGTQWGKEMGLVYGCPVEDIVTGLAIQCRGWRPIYHNPSRYAFLGIAATTLDQSLVQSKRWSEGMFQIFLSKYCPFIYGHGKIKLGAQMGYCIYLLWAPISLGTLCYAVAPSLCLLHGIRIFPEVSSLWFLPFAYVFVAKYAYGLAEALSCGDTLKSWWNSQRIWLFRRTTAYFLAFIDTVIRQLGLSQTTFVLTPKVVDDDVMKRYENEILEFGSSSIMFTIIATIALLNLFSFLLGIRRVVLATESSRAFQQFIPPIILSGLLIMINIPVYQALFFRTDKGRMPSSVLWKSVMIVSLASLMPIY >CDP05838 pep chromosome:AUK_PRJEB4211_v1:5:26599609:26602487:1 gene:GSCOC_T00021133001 transcript:CDP05838 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTVIACTFVYDDKEVYYSYELRYSSILSRLTLNSSGIIRRYVLNEGSSDWLIMYTLPYDMCGEYGKCGPNGICRINGNPICQCLDGFVPKSKVDWDALNWAGGCIRRTPLDCKQGEGFIWLRNVKLPDLLDFRLNRSMTSQECKRECLKDCSCIAFTNSNITDGGSGCLMWFGDLIDIQECHGENSEQDIYLRMPKSELGVKIGSDDIELPSYQLEAVTTATKSFSVSNIIGEGGFGPVYKGRLENGLEIAVKRHSQTSGQGLGEFKNEVSLISSLQHRNLVRLLGCCLDGNERMLIYEYMPNGSLDYFIFDQSRKKLLAWKNRFEIALGISRGLLYLHQDSRLRIIHRDLKASNVLLDSELNPKISDFGIAKSFGGDQTEGKTRTVIGTYGYMSPEYAFSGKYSVKSDVFSLGVLLLELVSGRRNRILDHSDQHLSLLGHAWQLWNEDKALQLMDKCLKESSVESQVLRCIQVGLLCVQKLAEDRPTMAAVVFMLSNEIVSLPQPKHPGFFLKDSYTTASEASAEERFQTKNAVTITVLEGR >CDP17459 pep chromosome:AUK_PRJEB4211_v1:5:2799757:2802850:1 gene:GSCOC_T00000941001 transcript:CDP17459 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIVPKIIDQLSDVLVKQFGEKVNLVMGVEEEVANISSKLATIEKVLHDAERRRLKEEPVGIWLEKLEDITYEMDNVLDEWNFKIHRAKNEGTHQNARIQPTFDIAQKIKKINEQLELTLKEADQFKFISSGGIPDSQDFKRIMTTSIIDESEIYGREADKNALLDQVLSKSSSQGRKGVQVISIVGVGGSGKTTLAQLLFNNDKVKNHFELRNWICVSDPFDQKRIAKAILENAGKSSQESELDPLIQRIKETFSGKRFLLVLDDVWTEEDSKLKPFKDSLKDGASGSVILVTTRSQRVARVMGSTDTHRLSLISDSDCWLIMQRIAFDGRSKDSIWGCKKVESIGKKIAKKCKGLPLAAKTMGSLLRFKDTVQQWQNILDSEIWQLEESTMELFPLLYLSYNELSPELKHCFSYCAVFPKDHSIFVEELIGMWIAEGYVRPRRRGERLELVGREYFDNLAMRSFFQELRKVEFFLRMFSSLVVDFGRLRSFFALPRGRVVPQDLFCSLKCVRTLALCDCEIVEIPAEIGSLIHLRHLDLSWNPFVTLPEAICDLYYLETFDITMCRKLSCLSQRIEGLVHLRHLFNFGTSESLQIPQGLRKLTSLCTLTQFIARSNSDDLANLKDLNQLERLIVHIHGEVNFGSAELGKKTHMREMSLLFSNGVHFIETSSCIESVEPPPNLQQLALTRCPGNELPSWLVTTSLVNNLTKLIINEANNISSLPTLWKLSSLAELGLIGVGKLECLGKEFFGITKALHENTVAFPNLRKLRFSYFQNWTNWEDLSEDDEEVAISIMPRLEELKIYDCEKLEILPHRILGRISSLITLDIRNCFKLTDHYSNKTGDDWIKISHIPRVDISDEY >CDP06054 pep chromosome:AUK_PRJEB4211_v1:5:25000345:25003095:1 gene:GSCOC_T00021406001 transcript:CDP06054 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLLLLLKPLDVYPSHQSDKLSRFTSPKMTQVINYLDNRRTVHSNAINFCQNILKKKFVDWDTVYRSNLSQPIRDVDLVVTIGGDGTLLQASHFMDNSIPILGINSDPTKAEEVEEHSEDFDATRSTGHLCAATIKNFEQMLDDILENRAVPSEVARMSINVNSKQLSIFPLNDVLIAHPCPATVSRFSFRIWREGDQCSPLLNSRSSGLRVSTAAGSTAAMLSAGGFPMPILSRDLQYLVREPISPGANSSVHGVVKSNESMEIKWFSEEGLIYIDGSHVVFSIQFGDMIELSPKAPSLNVFLPSHFLS >CDP15735 pep chromosome:AUK_PRJEB4211_v1:5:5335459:5337775:-1 gene:GSCOC_T00015768001 transcript:CDP15735 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVVDGLIKDLDAESLKRRGKPRDEKMEKIEASLARARALIREAIVNGSQQLPLHEADYIPQGEIYRSPSVFHRSYQLMESLFRIFVYEDGEPPLFHYGPCRDIYSLEGIFLGLIEKNTRFRTYNPDEAHVYFLPFSVVKILEHLFDPISRDKAVLERVIGDYVRIVSTKYPYWNRSLGADHLMLSCHDWGPRATWYVHQLYFTSIRALCNANTSEFFNPRKDVSFPEINLQTGDIAIVTGGLSPSNRTILAFFAGGYHGRIRPALFQHWKNKDSDIQVYEKLPGNLSYHEMMKKSKYCLCPSGHEVASPRIVEAIYAECVPVLISQDYILPFSDVLDWNSFSIQVSVSELPNLKKILMGISEGKHRKLHEAVKQVQRHFMINDPPKKYDVFHMIIHSIWLRRLNVKIYG >CDP13656 pep chromosome:AUK_PRJEB4211_v1:5:28390659:28394523:1 gene:GSCOC_T00038676001 transcript:CDP13656 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTADEAQEEHQETACLLTNSDSSDVDSSRELSKRTGTLWTATAHIITGVIGAGVLSLAWSTAQLGWIAGPLSIIIFALITLLSTLFLCDCYTPTSPDADAEFGRPIRIPSLTEAVKFYLGEKRRRICVLFAVESLCGTGIAYTITATESVSAIQKSNCYHREGHHANCGHDNSTFMLMFGAVQIVMSQIPNFHNMTWLSVIAAVMSSCYAFIGLALGLAKVIENGKFLGSISGVPADSLAEKLWSVFQALGDVAFAYPYSVIVLEIQDTLKSPPPESQTMKRASTASIIITAFFYLSCGCFGYAAFGDKTPGNLLTGFGFYEPYWLVDFANACVILHLVGGFQVYSQPIFALVEKWFNTKFPDSASANNLDVRLPFLPAFQLNIFRLWFRTVYVASVTGIAMLFPYFNQVLGLLGALNFWPLGIYFPVEMYIVRRNIGAWTRKWIVLEAFSCLCLVISIVGLIGSMQGLISAKLS >CDP05823 pep chromosome:AUK_PRJEB4211_v1:5:26723572:26725729:1 gene:GSCOC_T00021115001 transcript:CDP05823 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGACSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVNEIIHDPGRGAPLARVSFRHPFRYQQQKELFVAAEGMFTGQFVYCGKKATLMVGNVLPLRSIPEGAVVCNVEHKMGDRGVFARASGDYAIVISHNPDNGTTRIKLPSGAKKIVPSGCRAMIGQVAGGGRTEKPLLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKA >CDP05742 pep chromosome:AUK_PRJEB4211_v1:5:27269458:27270548:1 gene:GSCOC_T00021014001 transcript:CDP05742 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIFLTLCLFLLPVFFLLFRRRKGKIIRGASTGEQLLREKRLELSKGAPSHQGLISCLLSIPGEGNAEVLYEEEIIRNVLLMPKGWQIFWVAAMTRMDSSISHKV >CDP05981 pep chromosome:AUK_PRJEB4211_v1:5:25551581:25552844:1 gene:GSCOC_T00021317001 transcript:CDP05981 gene_biotype:protein_coding transcript_biotype:protein_coding MGENFHHHHQLQQQQQQQPTTRQSSSRLSGLRNSTGAGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDELAELPAWHPTTGSAAANTSFEQDQQAQKSRADNLQNQQQQHLGGLHQTDSAANPSGNSSSFLPPSLDSDSIADTIKSFFPMGASAEANSSAMQFQSFPPPDLLSRTSSHPQDLQLSLQSFQDPILLHHHNQQQAQHHQNHPTSQHPEQAEALFSGNTQLGGYDAAAWSEHHQQPAELGRNCSAKTSFFLRGDPFSPLIHLQFVLGWIHQLYPSPLQIITFHTIRQCCQFIHHRYLALDLPLE >CDP13655 pep chromosome:AUK_PRJEB4211_v1:5:28396584:28400048:1 gene:GSCOC_T00038675001 transcript:CDP13655 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEDGGIKASLLDSNFSSASAESCTESKGTPLVPAMKNGNVWTALAHIITGVIGSGVLSLAWSMSRLGWIAGPLTMLCFASVTFISASLLRNCYKSPDPEFGPNRNASFLDAVQRILGKMNGRICGVVVVINFIKVGIVYTITAAISIRAILKSNCYHNQGHEASCDYKTSTYMLLFGVIQILVSQIPEFRNMKWLSAVAAIMSFTYSLIGSGLGLAKIIENGEVKGSIGGVPTSTAAEKIWLVSQALGDIAFAFPFSLYFLEIQDTLKSPPSEKATMKKASISAVCITTFFYLCCGGFGYAAFGNSTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFSQSLFGTVERRLARQFPGSGIVHGNYSFKLPAMPALRLNVLRICFRTTYVICITAIAAIFPYFNEVVGVAGAINFWPIVVYFPVEMYIMQKNIESWTPKAVILRTYSIMCLVVIVFAFIGSVKALISARFR >CDP11789 pep chromosome:AUK_PRJEB4211_v1:5:19071187:19073888:1 gene:GSCOC_T00035025001 transcript:CDP11789 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSKLTDAVLFLFFLTIALAAPLIDGQTILPSDLYPAFLVDLKSWYATEFGDYLVAEKPHFFVGLVWLELVFQWPLALICLYGLVASKPWLNTTCLIYGSSVSTAMVVILAELTASGKASDTLIRIYSPFLGLSVLAILRGLLSHPGKSSATGKRPVTNRKKRV >CDP16069 pep chromosome:AUK_PRJEB4211_v1:5:828283:829657:1 gene:GSCOC_T00017080001 transcript:CDP16069 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVMKQHVQSDRENKVQVTADDMANRYVLTLACKIFLGINDPGKIDELAEGMKEIVNRLHSMPINFPGTAASRGIKASKLMHRAVKAMGSYSTLQLTITMIMKYLAELPRVYDLQKEIADSKEAKDKRSWEDLRKTKYSWKIVREALKLMPPGIGSFREVLTDFTYEGYTIPKGWKSIAVIDYINIFLILKILIYDLTEMIQFLVHLFHSGEDLECAPGILIFKHNIVNKFRWEKLIPNEKVAYNLVPKLAQGLPIRVHPHKP >CDP05733 pep chromosome:AUK_PRJEB4211_v1:5:27317529:27320975:1 gene:GSCOC_T00021002001 transcript:CDP05733 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHRVGQARLPATAAASPLINRTPSLPPTSLLSLLSLFSGMGKIIAPKFPTTTNVDVLESLSNRSSNAKQDARPHGSNNIYAIKTSPIFSLRGQFCNYSFDQTTKPPSGSLEFFQAFRIAVSETLEVALIYRRFVIMAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVEKAEKSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGSR >CDP15981 pep chromosome:AUK_PRJEB4211_v1:5:23305408:23308671:-1 gene:GSCOC_T00016914001 transcript:CDP15981 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTHSFMIVLVSSCLVLSVLAWKVLSWVWFKPRKLEKHLKQQGFKGNPYKLFYGDFKEIGTLFQEAYSKPISLSDDIVPRVIPHFLGTVNKYGKNAYLWFGPKPTMLIMDPELTRKVTQKMEIFQKPQFHPLSKLLAQGTLVYEGDKWAKHRKLLNQAFHMEKLKLMVPAFYTSASEMLSKWEEGISAKGSIELDVWPHLQTMTGDAISRTAFGSKYEKGRRIFELQTEQAQHLVKAVQSMYIPGLRFLPTKRNRRMKQIATEVNDSIGEIIRTRLNALRTGEASDDDMLSLLLESSSQETDKEFGMTTKEIVEECKLFYFAGQETTAVLLVWTMILLSMYPDWQEHAREEVLQHFGTNIPDFDGLNRLRIVTMILHEVLRLYPPFPILGQTVAEETKLGNLTFPSGQLLTVPTILLHHDPEIWGEDVKEFKPERFADGVSHATKGQVVFFPFGWGPRICIGQNFAMLEAKLVLAMALQRFSFELSPSYSHAPYSAVTLQPQFGAHLILHKM >CDP14755 pep chromosome:AUK_PRJEB4211_v1:5:21678989:21681779:1 gene:GSCOC_T00042202001 transcript:CDP14755 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWGIAELHRNSGNWDNLVKDIVKMEKKIFPKHESLARSFDEELKKRNSGLLYSQVEDGDVAGYVMYSWPSSISASITKLAVKENHRGRGLGEALLKEAIHKCRTRKIQRVSLHVDPERTAAMNLYKKLGFKVDTLVEGYYSPDRNAYRMYLDFQTD >CDP11823 pep chromosome:AUK_PRJEB4211_v1:5:19601676:19604015:1 gene:GSCOC_T00035079001 transcript:CDP11823 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLICFVTLRGVEVGQLGPLLTHTGVLAINAADFSFRYWIHDCPSYRSRYNNNIQMLKEQHMVVVPVESCVQALIASNLSKESYSESDEHIFIYLVDVLLYNLWEIVKSGTCFLISVKDQLQMLYEGLSFLRTNLREKPKKFDEKLRHLIGLTVCDAGLVICSLSPKAKRDGLIKEMDIVYVAFLERIKLIKATVAGKRLETSSFFPRTNQLGFIDFLLENMVDLTSPEGGSNALVNHPVRPIHEELVSLRTFLGKIVELRNEDKVLQDLWNSVVEVACRVEFLIDSLMVGDILDSSSMSFHSILAEIKIIKFKALNICKSNRLDGEVKEATKRIDHMPSQENTPKINEVVVGFEDEANLIINRLTRGSRQVQIIPIVGMPGLGKTTIAHKIYHDPIVMSHFHLRAWCSISQVYHKKNLFLEILTCILPNTFFNKSEEELAEEIYKCLKRNRYLIVLDDIWDIEVWNELQASFPNDANGSRVIMTSRLVMLLRKINWIKNLILFVNSLMMKVGIC >CDP14818 pep chromosome:AUK_PRJEB4211_v1:5:22256041:22259162:1 gene:GSCOC_T00042280001 transcript:CDP14818 gene_biotype:protein_coding transcript_biotype:protein_coding MDANHIPPKIKDKVIKREAKTQMQQQADDQSSMEDDDFLSSTATTDQEDRSKKKACGINNSSNNGGSSGKKGSNTGGGTSGASSMKCCQAEKCTADLSDAKQYHRRHRVCEHHAKAQVVIVGGIRQRFCQQCSRFHELAEFDEAKRSCRRRLAGHNERRRKNSADSTQAEGSSRKGTGSQMKEIVCGQVDDRGRIQITVQENATYKHFQIR >CDP05953 pep chromosome:AUK_PRJEB4211_v1:5:25746634:25751996:-1 gene:GSCOC_T00021280001 transcript:CDP05953 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVYQNLDSGQGIEAPANITIKGTLSLLMANTNGDDKKRVISLGIGDPTAYSCFRTTAAAQDAVVDTLRSYKFNGYSPTVGLPQTRKAIAKYLSSDLPYELSSDDVYVTAGCTQAIEMVLSILARPGANVLLPRPGFPIYGLCATFRNLEIRYYDLLPDNGWEVNLNAVEALADHKTIAVVIINPGNPCGNVYTRQHLEKIAETAKRLGIAVIADEVYGHLAFGDKPFVPMGVFGSVAPVFTLGSLSKRWLVPGWRLGWLVTNDPNGVYKNPKFVERIKKYCDICGGPATFIQAAVPLIIEQTKEAFFGKTINMLKQSAEICYKKIKEIPCISCPSKAEGSMALMVKLNLSLLKDISDDMDFCFKLAKEESVIILPGLAVGLKNWLRITFAAEPAALEEAFGRLKSFCDRYSKQQNGY >CDP13672 pep chromosome:AUK_PRJEB4211_v1:5:28268808:28272796:-1 gene:GSCOC_T00038698001 transcript:CDP13672 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFPETQQFRIPQRSNVGAAKVSQTQHADKDKGVYPVGPSCFPRNYESFVMPMFLNSRYELLGMQRNAQHRVDFLEWAPGLTTSLRIFACYCAIVGYFNGWSPTENCAREGHFGHDDYVQHGDDLSSNLPSTHVIETGMKEYDVFNIIDDPIWLEKFHSKSPPIANWLKTHKGRKLWLKKYMPGIPHRSKYRVYCNTPAGPLERVPAWAIYVVPDEDRKQAFAIHWELPSESKYKWKHENRPKPKSLRICECHVGISGQDAKVASCDTFIQKAILQVLPHVKESGYNAIQLIGLVEHKDYFYRVTNFYAVSSCYGTLEDLKRLVDLAHGNF >CDP11862 pep chromosome:AUK_PRJEB4211_v1:5:20200513:20201617:-1 gene:GSCOC_T00035133001 transcript:CDP11862 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLLHLKLHFWGYAAGEPEFGKIFNEAMASDSNLTMEVLMTQSRLVFEGLESLVDVGGGTGKDGRAIVQNFPNIETYQIEDNEAIETQMSFDMQMLVLYGAKERTEKEWATLFSDADFSSYKIFPVLGIWCLIEVYP >CDP13761 pep chromosome:AUK_PRJEB4211_v1:5:27747303:27752716:-1 gene:GSCOC_T00038810001 transcript:CDP13761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 4 [Source:Projected from Arabidopsis thaliana (AT5G55470) UniProtKB/Swiss-Prot;Acc:Q8S397] MMSSEYVMKLGEGHAHVVPVTVVFVAVLCLCLVIGHFLRENRWVNESITAILIGCLAGTIILFISKGKSSHILRFDEEVFFIYLLPPIIFNAGFQVKKKQFFHNFFTIMLFGVVGVFISTTIISAGSMWLFPKFGFVGFTTRDYLGIGTIFSSTDTVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNTVQKLDVNRLHGRQAVHILWDFLYLFSTSTALGVSAGLLTAYILKGLYFGRHCTVREIALMVLMAYLSYMLAEINMLLNLSGILTVFFCGIFMSHYAWHNTTESSKITTRYVFATMSFIAETFIFLYVGMDALDIEKWKLSKLSNLFYLPCRRVWTLLVILTLKHQVIIWWAGLMRGAVSIALAFKEFTHSGVTWDPVNATMVTTTVIVVLFSTIVFGLLTKPLVSCLLPPHDAKIGDLSREPTISKEDMRLPLLSFEESAATNVQRAKDSLSMLLERPVHTIHHYWRRFDDAYMRPIFGGPRSNNSSS >CDP18727 pep chromosome:AUK_PRJEB4211_v1:5:23741568:23746992:1 gene:GSCOC_T00002658001 transcript:CDP18727 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVDVAKCWPFDEANEADVRAALPPMTIKKFRWWFDELKLADGNNKAEEDDDQEEESDLEETTLECLRRMKRVRKGKSVKVVKVVKSKKAKPKVKAPKKRSIVELFAVAPQVDRLNSDDDENGECSDDGEDGDEGSGEEAGIVDAGLRKRKVKKKGILSMLKKEKTVMIKNLKNKDGVNKKKKMEKGKNAAVELRSPKKEKTSKLKLQTSANSVANANCSTYSKDLFEAIAAHVKKPRLKRLTKEKMKCKTPSTSKLLQNDQQAVSPVRGILKNHRRVIPAENSTDSIFYDAGILTNSSTQTVNKHVSFSRSDDVLELKRKAYPTVELETQTFSDSTSDISAASVEKGHPSERGKSLSIQEMKETELGISNSAAAEADVQLMTENLLSGRYHEADAPNFFSRQHGFSQGNWLNRSVSFGQGPLHIESPQWLKGCNRVTACDALYTSSSGIPSLSQERNIPKFTVPMYGYLSDASSSSRRLLDLSGDAGPDLDSSCSMDCLKAYPQPVSSYFSIQHENANARPLFSSQSIRENHNDHAFPYQWFPHLSPKELMHTICSLPDWNSRVAMCGEIGISENFIGLPLNSQGEFISLNSSGKDCLNPLKSMSTLRGPSLSSPMHENILSNSIINHIDIRSWNGMAPFKNQVQSCRIKDSGKEAANCDLPSGFDMFDQYGTGRTNTVMKGSDPDLYSLESDMDRMKVSQFEPRHNYEVQKHPRDEIIQQHGNSDHISVHVTQSTMRLMGQEFTIGGRGFEGLEDRELWMDKQIITDCSNNNGIETSSIKSPHMPEFIVHPILGKLKGTATYVSEAEMKQASEGAPPMMVPESKTSLHFSDSHNNVMQQHWDGLSKGILKPEKYPQFSLVSSLLSDSHKSTLENKFSCSYISPVERPGVSIPGSTLLNCSENMSRSRAQLENKHTSVPPAQLALKFPFSHSECGMHTEPSWSQNSFRVMHPGFLETRKKASLMGYRQSHSGPGTVCHPCSMSGINFQTGPSSFPRPEPFLLFPSSGSENAFASTSLVHCPSVPTHPGFSSNSSMQNRVGEKVNFGSQVESGFSVRIPAHGKRSKKRVPSVSGDCVRSSKIPKIGIQEDSSCAVTAVQSANNFQGDAGCGRQVLELGSAEQNAVTVECGHNGIHVDELGDCTETGPFKLTGAPRSGPMKLTAGARYILKPCQKNDQANSKSTNSIIPVATPATGRRVLGSEKSSKIYRF >CDP11337 pep chromosome:AUK_PRJEB4211_v1:5:14499526:14500181:1 gene:GSCOC_T00033533001 transcript:CDP11337 gene_biotype:protein_coding transcript_biotype:protein_coding MARATRHQLAPSASFESLTQTLTQETPSSSSSRSPSPLPLQQQREETLVLRLKPKKKKVTWKEGTVDNEFLNKKSSKKCCIFHKEKSFDEDDSDDENGNHVHDCDHHTHGKGCD >CDP05979 pep chromosome:AUK_PRJEB4211_v1:5:25563115:25565210:1 gene:GSCOC_T00021313001 transcript:CDP05979 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRGWIILDSNPTPFLSLPNVLQRSQQFQPPSSSSMVNISSAISSSPILNFQMEPPSNQNFCASNYTPLWPDEEKAIMIGMKRPYPFSMETPPAPAFHFRYPPCYVAPIPRLDEPASCSNRCTATTEPEFPVTSFREGPSRSSTQAETTHKEVNIRQNGGLDGDFLTLAPPAAVAPNLNSQYRHFLSNSGPQSLSELGSQPSQGSSDDTIQGQGSSKSMRPPFFSFFPAAKKQVGQVAAPADNCNGEVGENVDLSLRL >CDP06032 pep chromosome:AUK_PRJEB4211_v1:5:25159107:25163778:1 gene:GSCOC_T00021381001 transcript:CDP06032 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSSPASATKSSASTSTPAPTLPPLSSASSSASSASDMFNFNYLWIPLLIALSKDLTAAASATDNLISDDSTTTSILLPSENRTVINTPRLSPSCPAPDPKLNYRPVIGILSHPGDGASGRLNNATNASYIAASYVKFVESAGARVIPLIYNEPLEVLEMKLSLVNGVLFTGGWAKSGLYFDVVGTVFKRVLEKNDAGDHFPLVAICLGFELLTMIISKDNDILEQFSAADQASTLQFVGSINLEGTVFQRFPPDLLKKLSTDCLVMQNHHYGISPETFRKTAALCNFFQILTTSADENDKVYVSTVKANDYPVTAFQWHPEKNAFEWGLSMIPHSEDAIQVTQYVANFFVSEARKSLNRPPARKVLDNLIYNYTPTYCGKAGRGYDEVYIFT >CDP16042 pep chromosome:AUK_PRJEB4211_v1:5:329280:335061:1 gene:GSCOC_T00017043001 transcript:CDP16042 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVDARRKSQFPRKILLAAGFITLFIFVFKKSPSFGGGSGKFSQHEPGVTHVLVTGGAGYIGSHATLRLLKDSYRVTIVDNLSRGNLGAVKVLQELFPEPGRLQFIYADLGDAAAVDKIFSGNAFDAVMHFAAVAYVGESTAEPLRYYHNITSNTLLLVKAMAAHGVKTLIYSSTCATYGEPEKMPITEDTPQVPINPYGKAKKMAEDIILDFSKTSDMAVMILRYFNVIGSDPDGWLGEAPRPELREQGRISGACFDAARGIIPGLKVRGTDYKTSDGTCIRDYIDVTDLIDAHVKALAHATPGKVGIYNVGTGKGSSVKEFVEACKKATGVDIKVEYLARRPGDYAEVFSDPSKVNRELGWSAKYNLQDSLSIAWKWQKAHRNGYSN >CDP14409 pep chromosome:AUK_PRJEB4211_v1:5:7063999:7065146:1 gene:GSCOC_T00040807001 transcript:CDP14409 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGKTSTLLRRIKTESSNGRKDYSLGKKIHWQMIIVGCVSNEYLNVKLLILCAKAGDHNLAHILFDKLQMKSLVSWNSMIAGYAQKGLEEVGLSMFHEMRNNGLIPDHHSFASVFRQAHALWIKCQISGNLVVNSALMDK >CDP05696 pep chromosome:AUK_PRJEB4211_v1:5:11482590:11484655:-1 gene:GSCOC_T00020906001 transcript:CDP05696 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGHWPNNFAGPLHTCFFFLAVSKSYMWAYASFQFAIVILFAHIFCTVLNVNAILSVLLSSFTGFGIAISTNSLLVEYLRWRTSRRHSSHQHPNVSGSTMNTT >CDP14695 pep chromosome:AUK_PRJEB4211_v1:5:21042495:21045742:1 gene:GSCOC_T00042110001 transcript:CDP14695 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAAISKRKTHLRVSTLLRHYYCSSCRRPFSFTTLQGFRKIHLINSVKSIPIGAFWIWPFFQILSYYVSSHRSIATQTSPSHDMHDRILNEILSELENSAISCEKICISKIDKLCSAGDLTTAARLLRSLREQRIFLGPQAYNILLQAAGEQDDTDILLHTFKDLLVFCNSIKSASCQILAKALVKESDDVFLLKFVREVSELIIPISAITLNRIIFAFGEFRQIDKALIIFEEMKNLKCKPDLVTYNTILVMLGRCGQVDDMLHKFAEMKEANIAPDTISYNTIINSLRKVGRLDLCSAFFKEMGERGVQPDLQTYTPLIDNFGRSGNIEEALRLFDEMKHRRIRPSIYVYRSLISNLNKMGKLKLATKFSNEMDACLSGLVGSKDFKRKKR >CDP05874 pep chromosome:AUK_PRJEB4211_v1:5:26338194:26339652:1 gene:GSCOC_T00021185001 transcript:CDP05874 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRETDPLSQLSLPPGFRFYPTDEELLVQYLCRKVAGHDFNLQIIGEIDLYKFDPWDLPSKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVITTEGRKVGIKKALVFYVGKAPKGTKTNWIMHEYRLSEPQRKNGSARLDDWVLCRIYKKNSSAGAKPVSGLQSREHSHGSSTSSSSQFDDVLESLPEISDRFFALPRMNSLKNLHQEDQKINIQNLGSGSFDWATLAGLNSLPELGPGSQAQAIPAAAAHGHVNSNVASCNNNDRNNNVNAQNDMYVPSFPQAGHVDEEVQSGLRSHRVENPSFFQHNASPLVPHTHSFHNSVDPFGIRYPPTQTGIMGFRP >CDP05723 pep chromosome:AUK_PRJEB4211_v1:5:9535333:9536035:1 gene:GSCOC_T00020987001 transcript:CDP05723 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSGLCISCNLCFDYAGPLISAAEKLKMPYLSAYLDSIGANFQHGANYAASGTTIQLTNAKLYGAGFNPLSLSVQLSQFDQFTARTRELYNQSKTSSIINTLPNSEDFSRAIYTVDMGQNDLHFALTTMKDKQVHAFISQ >CDP10766 pep chromosome:AUK_PRJEB4211_v1:5:17304177:17304605:-1 gene:GSCOC_T00031599001 transcript:CDP10766 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIISEQVACRCTWFYSFSSEVCSTQGFFL >CDP14738 pep chromosome:AUK_PRJEB4211_v1:5:21465015:21468785:-1 gene:GSCOC_T00042174001 transcript:CDP14738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.10 [Source:Projected from Arabidopsis thaliana (AT4G03400) UniProtKB/Swiss-Prot;Acc:Q9ZNS2] MERNGEYDIIGWFDEVAETADSVQTQTLSQILRLNYGVEYLKKWFRDINIQEMEENALESLFTSLVPLASHADLEHYIQRIADGDDAPLLTQEAITNLSLSSGTTEGRQKFVPFTRHSSKTTLQIFKLAAAYRSRIYPIREGGRILELIYSSKQFKTKGGLTVGTATTHYYASEEFKIKQEQTKSFTCSPEAVISSGDYNQSTYCHLLLGLHFRDEVEFITSTFAYSIVQAFRSFEELWREICDDIREGSLSSRITITKVRNAVLDIISPNPCLASRIASTCEELEEKDWFCMIPKLWPNAKYVYSIMTGSMQPYLRKLRHYAGNLPLVSADYGSTESWIGVNVDPSSPPEKVTFAVMPNFSYFEFIPLHRQSQQNANSNTDDFIEEDPVPLSQVKLGQEYEIVLTTFTGLYRYRLGDVVEVAGFHKKIPKLNFICRRKLILTVNIDKNTEKDLQLVVERGSQALSKSKAELVDFTSHADAAKQPGHYVIYWEINGEVEERVLRECCREMDASFVDHGYVVSRRTNSIGPLELCIVEKGTFKKILEHFIRNGAALSQFKIPRCTSNQVLLKILDASTIKRFRSTAYGQEL >CDP06119 pep chromosome:AUK_PRJEB4211_v1:5:24437225:24438634:1 gene:GSCOC_T00021507001 transcript:CDP06119 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETHYPADPDLDLSFTSCTTTTTDRTFSARSSLARSSLTLSFNESRLSSTSNPTSSSTSIPNLHRRAHRRHDPNWAAIKAATTLSSDGALRLGHLKLLRLVGSGNLGRVFLCRLRDYDHANFALKVVDRDSLTSKKLSHVQTEAEILSSLDHPFLPTLYAHLEVSHYTCLLIDYCPNGDLHSLLRKQPNNRLPVDAVRFYAAEVLVALEYLHALGIVYRDLKPENILIRENGHIMLSDFDLCFKSDISPKLESRTHVNPGTTRKRHRRRTCNCFDGRHQRQETVMEFVAEPTTAFSRSCVGTHEYLAPELVSGNGHGNGVDWWAFGVLIYELLSGTTPFKGGSKESTLRNIASTKGVRFYVDEREREEAGMAEARDLIERLLVKDPRKRLGCARGATDIKRHPFFHGVKWPLIRACRPPELRGLAVTRSKSKPHASHVSGPSSSPRRRRCWWKRLCNYMRIKGLSTV >CDP05969 pep chromosome:AUK_PRJEB4211_v1:5:25653784:25655597:1 gene:GSCOC_T00021303001 transcript:CDP05969 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRAKPPPKKRMDKLDTVFSCPFCNHGTSVECRIDMKNLIGEAVCRICQESFSTSVTALTEPIDIYSEWIDECERVNNLEDEGA >CDP05948 pep chromosome:AUK_PRJEB4211_v1:5:25782438:25785203:1 gene:GSCOC_T00021273001 transcript:CDP05948 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFVVDLEGRTYKCKFCKTHLALADNLVSRTFQSRRGKAYLFSNAVNISVGPREDRMMLSGMHTVADIYCCCCGQIVGWKYEAAHVKSQKYKEGKFVLERGRIMDGLDSEFYIDTRPSMSDAEDA >CDP05978 pep chromosome:AUK_PRJEB4211_v1:5:25565270:25571790:-1 gene:GSCOC_T00021312001 transcript:CDP05978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger NCL [Source:Projected from Arabidopsis thaliana (AT1G53210) UniProtKB/Swiss-Prot;Acc:Q8L636] MFLAATFLSSGSELLLEILGPGLIGGLFLPMLGALPDAMLILVSGLSGSAETAQSQVSVGIGLLAGSTVMLLTVIWGACVTVGKCDIENHVAKDLQDTKGFSLTGSGVSTDIWTCYAAIIMAVSVLPFLVVQLPQMLKSNSGRHLAVLIALIVSVALLISYCLYQVFQPWIQRRRLAFTKHKRVISGILKHLKMRALGRLCNDDGTINKEVVEKLFNTIDEDKDGYLSSSELRALVIGIRFEEINLDENDAVEKVMKDFDTSRDSLISFDEFSYGISRWLDEAKGSRASQAGPGTMKYLEDFHEQTKREHYLLGEQSDEIVEGVDNPRRTTIKAVLLLLLGTVIAAVFADPLVDAVDNFSSATSIPSFFISFIALPLATNSSEAVSAIIFASRKKLRSASLTFSELYGAVTMNNVLCLSVFLALVYARGLTWDFSSEVLVILIVCIVMGIFGSLRTTFPLWTSLIAFLLYPFSLALVYVLDYVFGWS >CDP05960 pep chromosome:AUK_PRJEB4211_v1:5:25701884:25703254:-1 gene:GSCOC_T00021288001 transcript:CDP05960 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPEGKRLHVAMFPGLATGHMTPFLHLANELAKRGHKVSYLLTKKAKIQLECGNLYPDVVTFHVLPVPHVEGLPPGTENASEIPIFLNSLFALAFDNMSDQVEAALSDLNPDVVLYDTAFRITDFAPKIGFKTVCYNVVSAASIALALVPARQMPKDRPLTEEELMEPPPGYPSSSVVLRKHEAKVLAFMSSEFGARTFYDRIITALKGCHAIAIRSCQELEGQFCDYIGGQYQKPVFLSGPVLPEQEKQPLDGKWAEWLGKFEQKSVVFCAFGSQIILEKQQFQELVLGFELTGLPFFVALKPPLGTGSIEESLPDGFEERVGGRGVVYGGWVQQPQILSHPSVGCFVNHCGFGSMWESLMSDCQIVLVPHLGDQILNSRLLCGDLKVAVEVERDESGWFSKESLSSAINAVMGPDSEVGSSLRKSHLKVKEILSSPGYMSNYVESFIQNLYEL >CDP06183 pep chromosome:AUK_PRJEB4211_v1:5:23909321:23909511:1 gene:GSCOC_T00021589001 transcript:CDP06183 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIRVVPVWNLEEHCWLVCYFDFVECSVRSRRIFLT >CDP06139 pep chromosome:AUK_PRJEB4211_v1:5:24272611:24278009:1 gene:GSCOC_T00021531001 transcript:CDP06139 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEGRSVLELEDESLSSPRMISIKTSSLDAAPRRYFCDVEEISCRCILRRASNSIRIVLLSDKLNILVLCGPLAILVDKLTSHHGWVFLLSLLGIIPLAERLGWATEQLAFFTGPTVGGLLNATFGNATELIISMYALRSGMIRVVQQSLLGSILSNMLLVLGCAFFGGGIVHSNKEQVFDKANAVMNSGLLLMAVMGLLFPAVLHFTRTELHFGKSELALSRFSSCVMLAAYGAYLFFQLTSQKNMYSPINEGESQDDSNSDDEEAPQILKWESISWLSILTIWISVLSEYLVNAIEGASVAMNIPVAFISVILLPIVGNAAEHAGAVMFAVKDKLDISLGVAIGSSTQISMFGIPFCVVVGWIMGRPMDLNFQLFETATLFMTVLVEGTSNYFKGLMLLLCYLIVAASFFVHIDPKSIQDKPKKT >CDP11356 pep chromosome:AUK_PRJEB4211_v1:5:14950527:14951606:1 gene:GSCOC_T00033565001 transcript:CDP11356 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPTDGIAEISMAESLQYSLTEIQIATNNFSVDNKIGEGGFGRVYKGVLGNGQEVAVKRLSRSSGQGAEEFKNEILVVAKLQHRNLVRLLGFCLEGEEKILIYELSPTKALTTSSLVSSKLDILIQKISDH >CDP20212 pep chromosome:AUK_PRJEB4211_v1:5:20789114:20795640:-1 gene:GSCOC_T00009860001 transcript:CDP20212 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGKFWDTQSGCIFCVVISCAILQNKILVIILIIVVVQFIGLFLTGTDFCLTQSSMLPSNVTVSQAEDVWIQCRRELKECLQFLESLELYLSQIPEPYENPTLPVDLLAKRIVQQTIPNLTFQDKQFLFECLRKKPLQNHESGKEFGSNTLFNKCPELFSSWSSAPRSAVASPHHAPAPSPVPASSPSPTLHSPSPVLQPPSPEPSPQQNVIHSTLPSSIVVASPHHAPAPSPVPASSPSPTLHPPSPVLQPPPPESSPPQNVIHSPPPSSIVGPLPRPQTGAPTPSGNGLNRNYVIAAIAASAVTGLALIVLFLICCINKRKEQVAPGNGQKNEKRDEKPLLNFCSSDLSAGSTQKSQNIVAFNNQNLKMSSTVSNIPFAVNVVDSSTETQSTKLTNDASENVNSLLPLPPGRPALPRPGPPPPPPPKPPAPAPPPPPKVARPPPNPPKPSNLGRPSPPGANNPRSSSGGNTSELSGESDAPKAKLKPFFWEKVPANPDHSMVWHDIKAGSFQVNEEMMESLFGYVPAEKGKFEHKRNSSSESSVPYVQIIDPKKSQNLAILLKALNVTTEEVIDALKEGNELPIELVQALLKMAPTTDEELKLRLFSGDVSQLGPAERFLKVLVEIPFAFKRMESLLFMSSFQEESSSITESFATLEVACKELRNSRLFLKLLEAVLKLGNRMNDGTYRGGATAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGLRAARRLRESHSMSSVKTEDLVEESSEETADYYRSLGLQVVSGLSNDLENVRKAALIDGDDLAGAVSSLGQSFVKLKDFINNEMTNVEEDSEFRTTLTNFVEHAEADITKLLEEEKRIMALVKSTGDYFHGNAGKNEGLRLFLIVRDFLVMVDKACRDVRSSTKLPAKTPRKEALAPSPSEESNRESLPDFRQRLFPAIKERHMDDSSSDEDDKSP >CDP14718 pep chromosome:AUK_PRJEB4211_v1:5:21258126:21261944:-1 gene:GSCOC_T00042140001 transcript:CDP14718 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLDAVYHPRNQYILHMDLEAPPRERLDLTISVKNDPTFQEVDNVRVMAQSNLVTYKGPTMIASTLQAIAILLKESKNWDWFINLSASDYPLVTQDDMLHVFSNLSRNLNFIEHTQLRGWKLNQRAKPIIIDPGLYLSKKSDIATTTQRRSLPTSFKLFTGSAWVILTRSFVEYCIWGWDNFPRTILMYYANFVSSPEGYFHTVICNTEEFRSTAIGHDLHYIAWDTPPKQHPKSLTIKDFRQMVNSSAPFARKFHKDDPVLDKIDKELLGRKNRFSPGAWCIGSSEGEADPCTFRGDNSVFRPGPGAKRLEELMKNISSEDFRSKQCQSQS >CDP05784 pep chromosome:AUK_PRJEB4211_v1:5:26994333:27001397:-1 gene:GSCOC_T00021068001 transcript:CDP05784 gene_biotype:protein_coding transcript_biotype:protein_coding MSDREWEIQLRSLSTIARDSNFANDPVSDPSLLNCVKRLCELCKNEKSEDLIARVYPHFNKIFQRSVASISQSRSSIAILLLAILQFFLDHGDIVLHDADPSLRIFFRSCLSREFADPGVAEAILAFLNTNKRKLLSSFPTLLPQFFPLLLKLIAWNGEKLEKSFLGVFPGLISPGSFLPLFPSLVDLPILVVGLEKVERSSGSLVGSSIASIQKSTAPEMLLLLMDEAYTGSTIGDAEVDSESEDSSTMAAADPLFLELLKDENDGLAERHWTSPAMAAVLQAAINAPQSDRLKQALRMAPRFLDAYFSLAISKVNDSLVCALLPLLMARYSTLFTDKIFCYEVIVQKRLLEFMLAAFHRTPDFVALLKKPIVDRLAEAYDNPAKTELALQLCWAIGEHGGGGESHKDAARELFESLELLLYENLSSSRLGLHEATLGSGSLTFRKSLQSRLLCFVVTAIAKLATYHRDLVPRARVSLAKVARSRISDARVWGRARDYLGLMNEPALCLSVLGPSRPSSKSIQDPGTVNWKEGSKRMIAHLPFYILGEQEGPPFHDFSFSDILPRG >CDP11788 pep chromosome:AUK_PRJEB4211_v1:5:19067132:19069354:1 gene:GSCOC_T00035023001 transcript:CDP11788 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLRIIDSALFIYFLIIAIAVPLIDGQTILPIDLYPKFLIELKSWFFKQIDHYLVFEMPYFYVGIAWFELLFQWPVALMCVYGIAAGKSWFSTTSLIYGSSFLTSLAAILAELIGSKRASDKLIRFYYPFLVFAVLSFARGLLPIFWHICINWQNSWAEPEEAGLDSWKVEDVKQLRSLIRFQGQSAIISVIQKINVMH >CDP13586 pep chromosome:AUK_PRJEB4211_v1:5:28898430:28898855:1 gene:GSCOC_T00038585001 transcript:CDP13586 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLIRRLSRVADSSQYCQLRSESGTTTTTTSNCSSSSSSSFTRARKQRRGGAGVPEGHLPVYVGEEMERFVVSAELLNHPLFVNLLNQSAQEYGYHHRGVLRIPCHVFVFERVLEALRLSPHDYSHLQHLFNSFSDQDLL >CDP14421 pep chromosome:AUK_PRJEB4211_v1:5:5672240:5673409:1 gene:GSCOC_T00040844001 transcript:CDP14421 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTELVFIPSAGMSHLGSTVELAKLLIDRNEHLSVTVLIMKFPFETKVGSNTNLQTEASDSRIRFLELKKEKSSSQRESFGSFMYQFIEDHKSSVRDVLAEISNSASSDLVGIVIDMFCSSMIDVANEFGVPSYVFYTSGAAMLGLLFHLQSLRDDFKDDVTDYENCKVELAVPTYINPVPVKVFPSVLFNKDGGGDLFLNLAKRYRDTKGIIINTFLELESHAIQALTDDKTIPPVYAVGPVLNLKGSNGQNQGTETIMKWLDLQPDCSVVFLCFGSGGCFDGDQVKEIAYALECSGHRFLWSLRRPPPKGNLSFQVTMRTWKKSCQKGSCSELQRSEKLLDGHHRRQFSPILLLGALCLTVAGTQHWRAFGMAFQWQLGRFKLSSR >CDP16033 pep chromosome:AUK_PRJEB4211_v1:5:205473:207146:-1 gene:GSCOC_T00017027001 transcript:CDP16033 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYNQNQAPVAYPYPGAQAQPQPPAYAPPAPPAGYPVRDGAQVSDSAPAPGKTTSRHDGFWKGCCAALCCCCVLDACF >CDP13603 pep chromosome:AUK_PRJEB4211_v1:5:28787327:28790405:-1 gene:GSCOC_T00038607001 transcript:CDP13603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] MAFGSDASSVDTDDMFDDLFKKHGKVIYRSSDHKSAAAEIDDDAESLSFAVAAAKVASEVKAGDIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGTKIRDMAENKYGRFAAGDSKSFGRGPAGESKPNSWTLLDFGDVVVHIFLPQQRAFYNLEEFYGNATVIELPFQS >CDP11313 pep chromosome:AUK_PRJEB4211_v1:5:13700576:13701157:-1 gene:GSCOC_T00033490001 transcript:CDP11313 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCAEDRQSPSKRLEGKVALITGSARGIGGATAKLFAKNGAYVVIADILDELGSKLADSIGGRYIHCDVSKEEDVESAVEFALAWKGKLDIMFNNAGVGGPGGSISSLNMEDVRALLSINLFGIIHGIKHASRAMIAGKNGGSIICSSSSAAIMGGLADIL >CDP05910 pep chromosome:AUK_PRJEB4211_v1:5:26052485:26055153:1 gene:GSCOC_T00021228001 transcript:CDP05910 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLETLTVPRAASLPSASLAPIPGSPFSTSRIRFSEFRGLKIQSTRPSSSASLSSNARLARRGSRIVCEAQDTALVVPPVKDDTWQSLVLDCDSPVLVEFWAPWCGPCRIIHPVIDELAKEYAGKLKCYKVNTDDSPGIATKYGIRSIPTVMIFKNGEKKDAVIGAVPKQVLTTSIEKFL >CDP11357 pep chromosome:AUK_PRJEB4211_v1:5:14951732:14952894:1 gene:GSCOC_T00033566001 transcript:CDP11357 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKIADFGMAKICGVDQSEGNTNRIAGTFGYMAPEYMRRGQFSIKSDVFSFGVVILEIVTGKKNSSFQQSEDSEDLVSYVWKHWRRGEPLALLDSSIGDSFAENEVIQCIQLGLLCVEEYVSKRPTVASVVNMLNSSSVTLPTPRRPAVFRFHETESMVEEVEVEQSNTERISIPSSVNEATITEPYPR >CDP14706 pep chromosome:AUK_PRJEB4211_v1:5:21145617:21154486:1 gene:GSCOC_T00042125001 transcript:CDP14706 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAZ1 [Source:Projected from Arabidopsis thaliana (AT4G38360) UniProtKB/TrEMBL;Acc:A0A178UWL9] MQNIIQSCCFNWLISSYSPPTWATAIGGVFVIVTLILSIYLLFEHLSAYKHPEEQKFLIGVILMVPCYAVESFVSLLNPSISVDVEILRDGYESFAMYCFGRYLVACLGGEDRTIEFMEREGRATSKTPLLDHGSERGIIQHHFPFNLFMKPWKLSQWVYQVIKFGIVQYMLIKAFTAILAVILEAFGVYCEGEFRWNCGYPYMAVVLNFSQSWALYCLVQFYSITKVELAHIKPLAKFLTFKSIVFLTWWQGIFIALFYDLGLLRSPIAQALQFQSSVQDFIICIEMGIASVVHLYVFPAKPYELMEDYFPGSVSVLGDYIGEYPVDPEEVRDSERPTKLRLPQPEVNVRSGMTIKESVKDVFVGAGEFIVNDVKFTVTQAVEPMEKGISHINKKLHKISQNMKRHEKERRTKDDSCIDSPSRRVIRGIDDPLLNGSMSDTGSVRKKRHRRKSGYTSAESGGESSSDLRYGDYQIRGRRWVTKD >CDP06097 pep chromosome:AUK_PRJEB4211_v1:5:24632550:24633020:1 gene:GSCOC_T00021474001 transcript:CDP06097 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQLKFPRVNGIHARVADYCLHRLMTQSGTIEPILDAEVLGCRAPELAASKKPLPSFKSDVYAFGVILLELLTGKCAGDVVYGEDGGVDLTDWVRLRVAEGHGSDCFDAALMPEMGTPAAEKGTKEVLGIALRCIRSVSERPGIKTIYEDLSSI >CDP05826 pep chromosome:AUK_PRJEB4211_v1:5:26704937:26707799:1 gene:GSCOC_T00021118001 transcript:CDP05826 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAMRAAAKVAGFGVLNGGLRGIVPDHPASTAMRKVLRPVTGLASPSAPENVKEAAVAIDVSPVQKPCWEFDDWELAGGEEDLFGGSGVSTPRLVFGGAPSIAEAKEATYELKEALEKVYLSAPSTPKTGLSGLSRSEVPETKACVTSETILAPAPKHAIQAFTFLNESPAVQSVVASIASDPNVWNAVLLNPTLQDYIQSQKMGAPSSTTDQYEKGSTADSDIPSPRSPRSVSSDRAESEYGESNSTGRFMELWQELKLTVVDMMNSLSDYFQALFGGYSNNKGAADTNGNVFSGFVDKALGPSLMGLAVMVIVVVVLKRAK >CDP16398 pep chromosome:AUK_PRJEB4211_v1:5:3913557:3922869:1 gene:GSCOC_T00018256001 transcript:CDP16398 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSRDLTNIDDNNGGVLEGQTSKGSGTTARGRRLIKVREEKRKREYDRLHNYPAWAKVLEDACKNDAELRAVLGDTIGNPELMRKRVEERVRRKGRDFQKSKTGSVLAFKVSFRDFNPLDSYIWFELYGSPSDRDVDLLGSVIQSWYIMGRIGAFNSSNLQLANSSMEYDPLYDADKGFKVMPSSFHDISDVEFQDNWGRIWVDLGTSDFFSIDILLNCLTVLSSEYVGIQQVIFGGRKIGDWEEGMTSPEYGYKFFKI >CDP16222 pep chromosome:AUK_PRJEB4211_v1:5:15921499:15922290:-1 gene:GSCOC_T00017340001 transcript:CDP16222 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLLILILALCPSAIDSSFQYFNMVEQWPGGYCQFHRCRRVPWPNDFTIHGLWPANHTGTVENCKKTGFAPIQDENKFKQLDSIWPNLDQPRPEYDRLGSRVLAQSFWGHEWNKHGTCSENMYNQTQYFDLAIKLKNRYNLLSILEQGGLSRGHSHELSDVNSTIWRTTHGTPDLKCLNDARVHRNVPVLQEIGICYRPSKNRSGQVSFSVINCPHSRTRTCYRGLGNGKIVFP >CDP16232 pep chromosome:AUK_PRJEB4211_v1:5:15581953:15590701:-1 gene:GSCOC_T00017358001 transcript:CDP16232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MAEALSPNPKQRGPFSPSSPFFLGSNDDKLERAQARAARAAAIRRKPAAAGPPAVATANESFLDKQQILELFHNCIKLASENKINQKNTWELNLIDHLCEIIKVEEENDAETNFQKASCTLEAGVKIYSMRVDSVHSEAYKVLGGINRVELFNQKKASKFSYNLIFLSLISKSYYSVLLIILISLCLQVESCLCLVSMADNTLEDVIDSSHEEGHSKKDQERKLSPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYGNCQVLFDSLELPGKCTLPAIERGRSETIDITFMRDTIEQMVFNIPEKEEISPTLKDIVNLFDEDNRRPPCEPCSSVEKSPGQVKGAEDCFEFDNGACENCGGLDQDEETSLVDEGTYDGGQILPSLHGDGEPCVFSECDVDDRFEKVDDYLFLSLGLLSRQNAWAGPDHWKYRKAKEIPENEDDASPVKAKKSKSRKIESDIDFTKALDADMSNVFAPPKNPKSLLLPANRAPCNTRLPEDCHYQPEDLVKLFLLPNVMCLGRRRRKVPDESSPQEDDYGAMPSWDEQNGFDCFSDHESAYGNVEDLSNLVSQPRQVNKIEVQYDKTSKQVDVQALKETLWDHIREKNLAEVQENSEDHKISFKQILATFPSDCRAASSVRDISPHLCFICLLHLANEHGLWIHGSANLDDLSIHL >CDP14771 pep chromosome:AUK_PRJEB4211_v1:5:21759248:21759559:1 gene:GSCOC_T00042222001 transcript:CDP14771 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGTSNTITIFLPNSHILSLSLSHLFPPFLFFSSFKSP >CDP16396 pep chromosome:AUK_PRJEB4211_v1:5:3852418:3854737:-1 gene:GSCOC_T00018254001 transcript:CDP16396 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNVEEIAGRQTYVTGHRDSHRAILLIADAFGYEAPNLRKLADKVADTGFFVVVPDFLFSDPADVGNPNFDPEAWKNAHPSICFVNVTLSHIGFCIREPFP >CDP16216 pep chromosome:AUK_PRJEB4211_v1:5:16033627:16035868:1 gene:GSCOC_T00017330001 transcript:CDP16216 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCYVGLTDSIYWPTFVIAVVAAIIASQAMISAAFAIISQALNLGCFPRVRVVHTSAKYEGQVYIPELNYFIMMACIIVTAIFRTTEKIGNAYGIAVVSVFVITSSLVTLIMTFIWKASIWWIALFFLTFFCTDSIYLSAVLSKFIQGGYLPIAFSFFLMTTMGIWHYVYKERYLFELENKVSSDYVKDLARNPQIRRVPGIGLLYSELVQGIPPIFPHFVSNIPTVYSVTVLVSIKSLPISKVPLDERFLFRQVEPRDYRVFRCVVRYGYNDRIEEPQVFEQQLVENLKEFIRHEHFILEDASREQMPDSDIQDSESLPEVQQSATRVSSSSIQSFNAAKSTNSSIRPIPGSTQLGVEEELQIVQRAKEQGVFYLLGEAEVVAKQDSSFFKKFVVNYAYNFLRKNVRQGQKLLEIPRTRLLKVGMVYEV >CDP06151 pep chromosome:AUK_PRJEB4211_v1:5:24167226:24175621:1 gene:GSCOC_T00021546001 transcript:CDP06151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MAAVSVAAEWQLLYNRYYRKPELYQMQWKHVDLTRNKVACAPFGGPIAAIRDDAKIVQLYSESALRKLRIFNSAGVQISETVWRNAGGRLIGMAWSDDLKLICITQDGTVYCYSFHAELIKTLSLGQDGLKHSIVECVFWGNGVVCINEAVELYCIPHFNNPSPIRLADTGLEDMPLCMAVIEPQYTMSGNVEVLLGVSDSVMVVEEDGVQQTGAEIGPIQKMVVSRNGKLIASFTHDGRLLVLSSDFSNIIFEYTCESALPPEQLAWCGMDSVLLYWDDMLLMVGPYGDPVRYLYDEPIVLIPECDGVRILSNTNMEFLQRVPDSTVSIFQIGSTQPAALLYDALDHFDRRSAKADENLRLIRSSLPGAVEACIDAASHEFDISQQRALLRSASYGQAFCSQFQRNRIRDTSRTLRVLNAVRHPDVGIPLSIQQYKLLTPSVLIGRLINAHQHLIALRISEYLGMNQEVVIMHWACNKITSSLAIPDADLLQILLDKLKLCKGISYAAVAAHADKSGRRKLAAMLVEHEPCSSKQVPLLLRIGEEVTALAKATESGDTDLVYLVLFHIWQKGPTMEFHRTIHARSLARDLFICYARCYKHEFLKNFFISTGEIQDAAFLMWKESWELAKNPMASRGSPLQGPRIKVIENVQKLFSETREHVFESKAAEEHAKLLRMQQELEVTTKQPIFVDSSISDTIRTCIVLGNHRAALKVKTEFKVSEKRWYWLKVFALATIRDWDALEKFSKEKRPPIGYRPFVEACIDADEKGEALKYIPKLSDPRERAEAYARIGMAKEAADAASQAKDNELLGRLKLTFSQNAAASSIFDTLRDRLSFQGVS >CDP15924 pep chromosome:AUK_PRJEB4211_v1:5:22911384:22916642:1 gene:GSCOC_T00016843001 transcript:CDP15924 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVPPAMKPQTSLDFDTKVFKKEKINLVGHDEYIVRGGRDLFKLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLADAKSDVVVKIGLRKGSRSFDEARVAGFSEENGTLGDIWETISGSDLVLLLISDAAQADTYEKVFSHMKPNSILGLSHGFLLGHLQSMGLDFPKHFSVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDIDGRATDIALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGLVEALFRRYTENGMSEDLAYKNTVESVTGIISKTISTKGMLAVYNSLTEEGKKDFETAYSASYYPCMDILYECYEDVATGSEIRSVVLAGRRFYEKDGLPAFPMGKIDQTRMWKVGERVRAARPPGDLGPLYPFTAGVFVALMMAQIEILRKKGHSYSEIINESVIEAVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYVLTQQALVAVDDNSPINRDLISNFLSDPVHGAIEVCAQLRPSVDISVTADADFVRPELRQASN >CDP15722 pep chromosome:AUK_PRJEB4211_v1:5:4686891:4696419:1 gene:GSCOC_T00015742001 transcript:CDP15722 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRYWIVSLPIQNNSSPSSLWSRLQESISKHSFDTPLYRFNIPNLRVGTLDSLLALSDDLLKNNSFIEGVSHKIRRQIEELEKVSGVVSSSLTVDGVPVDSYLTRFVWDEAKYPTMSPLREIVDGIHTQIAKIEDDLKVRVAEYNNVRSQLNAINRKQTGSLAVRDLSNLVKPEDIVTSEHLTTLLAIVPKYSQKDWLASYETLSTYVVPRSSKKLYEDNEYALYTVILFSRDADNFRTKARERAFQIRDFEYNSETQESRKQELEKLMQDQERFRSSLLQWCYTSYGEVFISWMHFCAVRIFAESILRYGLPPSFLSAVLSPSVKSEKKVRSILEGLCDSSNSTYWKTEDEGPLSGFGADADAHPYVSFTINLI >CDP14714 pep chromosome:AUK_PRJEB4211_v1:5:21232983:21235896:-1 gene:GSCOC_T00042136001 transcript:CDP14714 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHKFRERRIFNILVTEKDRELTALQLLLQKERDHARQTKLKLEEMKAKVNTLRTQKRGLDGKIMEMRSTISSLREEQRTIELALEEKQNEIKLIRGRETYVSNDNPQLKALSQMAKQKESGVEELKNHLEAPVRVWSVSTDDPSNASINLQTKTSLTERDQANANDGKQVSAMMNESTNHDVADSSAEQAESGRSKTVISENEEQTTPSEDGMENGETNVASREEIGEQSHKVDDSEESMSENRSTPAGERTDRDAGKNINTKSQSAEALVMPENNDIARTEAVGNQDAEVQRASDDMNEMQTTQSKVLQTSGDGLAGKIVNAQGAENQDAVITYKGGMKLEVPDQPQIWQNRLERDRYLKKTKRKWLNKIHRSKGSTGNSVSKEVAVINENLTVVTESSSVYHDTKISDDLQTGENSKMEANENFLEHGELQEDLDPKKAENNTEEGKDGPKGIRLLKQEKHQEDRNITTHLMAEKWQTLNNTSISTELENIPLEDTPTSTTIHIEEATSSEAKHQKQPYLEESEKQAVELHRPEERIDAHKDEVSEQTETGSNDKELDNPEMENFKETESESDASRESSSDFVTDGESNPDRSNEPEY >CDP13560 pep chromosome:AUK_PRJEB4211_v1:5:29071301:29073254:1 gene:GSCOC_T00038549001 transcript:CDP13560 gene_biotype:protein_coding transcript_biotype:protein_coding MAYILPNLSPTIIHSKEKSLHPSSPPLLLPPPPPRQASSLSVPTKQQLQHPRPHLLLHHPTTCATATAAVALASPQDNKQHEHDHRDDFFVNLGLAVRTLREDLPLLFTKDLNYGIYRDDITFVDPLNTFAGIEKYKLIFWALRFHGRILFREISLQVLRIWQPSENLILIRWNLRGVPRVPWEARGEFQATSRYKLDRNGKIYEHKVDNLAFNFPQPLKPAASVLDLVASCPTSPNPTFLWGPADVHSSSWVEFYRAVKETLDRETSLISQDCLIPCS >CDP05824 pep chromosome:AUK_PRJEB4211_v1:5:26713647:26717260:-1 gene:GSCOC_T00021116001 transcript:CDP05824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MKLVLIVFYLTCLFLNSESISRDDFPDGFTFGTASSAYQFEGAVDEGNKGLSIWDAFIKLPGRIVDFSNANTAVDQYHRFKSDIDLMTDLGMDAYRFSISWSRIFPNGTGTPNPEGIQYYNNFIDSLIEKGIQPYATLYHWDLPLKLQETYGGFLSDQIVKDFEQYAVTCFKAFGDRVKHWMTFNEPRGFAIEGYDLGIQAPGRCSILGHLFCKGGKSSVEPYIVAHNILLSHAAAYHNYHRNFKKRQGGQVGIVLDAKWYEPISDCDEDRDASNRAIDFSLGWFLDPLLLGNYPLSMQKSVAERLPEINPEVSKFLKGTLDFIGINHYTTLYARNDRTHFRKLILRDASSDSAVITSAYRHGAAIGERAASVWLRIVPWGIRKLARYIKERYGNPPVIITENGMDDASHLSLKNALNDQKRINFHRDYLSNLSAAIRQDNCDVRGYFAWSLLDNWEWNMGYTVRFGLYYVDFKNNLTRIPKSSVQWFKDMLRSRTETRYQSDAALRYRS >CDP06149 pep chromosome:AUK_PRJEB4211_v1:5:24184927:24185791:1 gene:GSCOC_T00021544001 transcript:CDP06149 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHPGSRFQQPSMLYIERITCNLHIQLRTLFAPPQKYRMKKVVIKVSLHGEKSRPKAVDMLIQVMKAMMPCELLWNQLKPSAAKNKIKAMQIVARTRGVASIALDGEEKNQLVVSGDDDFDPVKLATLLRRHLGIANIVSVDSSYSEKKESEKVETTTHHVYGGVPSFQVVELADNPGCNCSIM >CDP14740 pep chromosome:AUK_PRJEB4211_v1:5:21480732:21484564:1 gene:GSCOC_T00042178001 transcript:CDP14740 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKAINHFQILSSSNSTKPLSSDAKKTIFTNKSLNFDAKFSSKTSRHQLRLVPVNVVTKEEKDLVAAETSEKNGEEKAISGGEVKEKGRILSTLLEDKERLLNAAIVLGVGTLAITKLLSIDHEYWHGWTLYEVLKNAPEHNWIAYEEALKSNPVLAKMTISGIVYSVGDWIAQCYEGKPLFEFDRQRIFRSGLVGFTLHGSLSHYYYQFCEALFPFNDWWVVPAKVVVDQTLWSAVWNSIYYVVLGFLRSESSASIFDELKSTFWPMLTAGWKLWPFAHVITYGVIPVEQRLLWVDCMELIWVTILSGFSNEKSEARLSEAAEDDSS >CDP16090 pep chromosome:AUK_PRJEB4211_v1:5:1104505:1105147:1 gene:GSCOC_T00017109001 transcript:CDP16090 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNTDDKRAVPVGRKGTKEDDLRKSLFKSIARSPVEDGRPSSMIIRKKNTVIPAHIVAEAISTLHAICPSQVSRVLECAGGRWRQDGFI >CDP18739 pep chromosome:AUK_PRJEB4211_v1:5:23644535:23650504:1 gene:GSCOC_T00002672001 transcript:CDP18739 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIREENFEEKILDLFQKENISTIVLAGKAGIGKTWMARKVTSLALKEDVFDFSLFVSMSIKQIERALYYSIVCQLSICSTVGELGIDDDEEAVDSVLEEMDLAALKNKILSRLSPYRLLLILDNEGKKLSIDDNVITGLLHFLSGNSLKVLITSLNEDGRHKTDGSKIVMDLKPLSPEKSLSLLQQKAGSKVFEITGARSLVEDFLNRNMELTPAEVVIIAKLLSYHQHDSGLQGLKCLLEDLSGFKNNLAILLTSSRYNKVPGGILGDFNWMDIHFFRDQGAIHYSELIAYWVMEGYLGTINCINEAYAKGHQILMELMDLQVVKEIDANYLCVEKQMLDLKDKHHSGFGRTDSLGLTNVFAKSYEWHGIRGVVHKDGAIKTPGMRRKAGKHFILLLDGNCLSREDLEIFLESDEELKILGLFSARVKYLPKSLSDMKMLSVLVLRGCDFLEKIHCIKELRMLTALEISDARSLTGLPDDIFTHLTKLECLNLSGLSIEVLPISVYHLTELQKLILKGCSSIKRLRSLRRWRKLVVLDLSGAVSFKNFPEASFRSLPKLQFLDLSNTKISSLPFLRENAELMHLSVIGCQKMSRLPSIKTLSKLQVLDLSGAGIEEFQDRSFKKNARLKILDLSGTTVSCLPSNISACEIYLKGCSRVEKINCVESPENLEVLDLSGASSLVEIDANFFEQTKNLRLLNLSRTKVKVLPPLSGIHSLQELLLSCCLDLVKLPALNSLSKLEVLDLSGCKALTMLEDETFEGLSRLERLILSETNIERLPELRSLSILKELNLCGLSLLRIADFLEHMSELQILDLSDTLLEKLPPMSCDKNLTRLSLRNCRQIETLPPLEKFTRLEVLDLSRTSLQQLPSLKGLSNLHKLLLKDCFSLKELADIKIVDLPGVMIRDLPYGISDLTQLVQLALPNMHNIQGSESKRKECSMGILNENQWAISSLRGEVSHSKRFLVSLSGAEFVELLKRNHPFEDASIKNFDLFVHPIQLQSGIGGFYFHKDEYIFRDIYLQSRHCPSLEEQSNLLEIHGFHSFPKGIENILSHAKHIFLQDNLFFRSLADLGAENLRMMRGCWIDRCQNMESLMHEDTAGDFVELGKKLEMLWISSAFSLKNVVSLLQADCFQNLKYLHLDCCPGLSVVFFESHFLRNLEVLDVKFCDKLESLFENNSKKHMLPNLHRLHLWELPELKTIGCLLPSLQVLDVGRCPMLEHVLPSGCLPENLAVVKVQHCDTLCTLAEDTAQQKYNFSSLNTIELRGLPELTNIDFDLPLRFCIKECPKLQLPLQ >CDP06123 pep chromosome:AUK_PRJEB4211_v1:5:24400514:24401013:-1 gene:GSCOC_T00021512001 transcript:CDP06123 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGPNFVSLARLHLPILCPPFPFKFLFRSRRPRQPVVPLFPRTPHQATKWTYRFLTPLEELSVKEKKALLLPGV >CDP13803 pep chromosome:AUK_PRJEB4211_v1:5:27470620:27476353:1 gene:GSCOC_T00038863001 transcript:CDP13803 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPQSLSMNAAAAFGGPGSSSASPSAAGTQGNKDRKMMSAEQLVLELSNPDLRENALLELSKKREIFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDPTTRRWLQQLLHNVQGPRVALQAGTGFDHMLVN >CDP05851 pep chromosome:AUK_PRJEB4211_v1:5:26504115:26510051:1 gene:GSCOC_T00021152001 transcript:CDP05851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated ion channel 4 [Source:Projected from Arabidopsis thaliana (AT5G54250) UniProtKB/Swiss-Prot;Acc:Q94AS9] MARHERGIRHNYDGMGIEEEEDLEQDEEEEETYEGEEDHDCKGMYGSTVCGPMSSRCNKWFVGKILDPRASWIQEWNRIFLLVCATGLFVDPLFFYTLSISENCMCLFVDGWFAVTVTVLRCMTDALHVWNMWLQFKMNRRPHAALAVTGDDTVGSSRIRLHDTSPRTIALKYLKSKKGFFFDLFVILPLPQIVLWVAIPLLLEKGSTTAVMTVLLTMFLFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNLIAYFVASHAVGACWYLLGIQRAAKCLKEQCRFTKGCGLKMLACEQPVYYGTRSPVKDSFRLIWGESNAARTTCLQNYDSFDYGAYKWAVQLVTNDNRLEKILFPIFWGLMTLSTFGNLEATTDWLEVIFIIIVLTTGLLLVTMLIGNIKVFLHATTSKKQAMHLRMRSIEWWMRRRRLPPNFKQRVRNYERQRWAAMRGVDEYEMVRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDNLVLENICDRVKSLIFTKGETITKEGDPVQRMLFIVRGHLQSSQVLRDGVKSCCMLGPGNFSGDELLSWCLRRPFVERLPPSSSTLVTLETTEAFGLDAEDVKYVTQHFRYTFVNEKVKRSARYYSPGWRTWAAVAIQLAWRRYKHRLTLTSLSLIRPRRPLSRSSSLGEDRLRLYTALLTSPKPNQDDFDF >CDP11781 pep chromosome:AUK_PRJEB4211_v1:5:18970642:18979816:1 gene:GSCOC_T00035015001 transcript:CDP11781 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAFGAKKYEMLGIYLQRSTVLLSLTGVLLTIIYVFSKPILLFLGQSPDIASAAALFVYGLIPQIFGYAVNFPIQKFMQAQSIVQPSAYISTATLVLHLVLSSLAVYKFGLGLLGASLVLSISWWIIVIGQFIYIVKSEKCKRTWTGFTLQAFSGLWGFFKLSAASAVMLCLEAWYFQILVLLAGLLPNPELALDALSICMTIAGWVFTISIGFNAAASVRVSNELGAGHPKSAAFSVAVVNVASFITSVIAAVIILALRRVMSYAFTEGEVVADAVSDLSPLLAVTVVLNGIQPVLSGVAVGCGWQAFVAYVNVGCYYLVGIPLGSVLGFYFQLGAKGLWSGMLGGTIMQTIILLWVTIRTDWIKEVEAALRRLDKWEVLKD >CDP17453 pep chromosome:AUK_PRJEB4211_v1:5:2612013:2612576:-1 gene:GSCOC_T00000928001 transcript:CDP17453 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETTHTSSRSDPNLDGAPPVEMTHKRIDEEIGEMVSALTNRLSSILHAQKVEGGSSSSHHHQHHQEEDQEHGGARIITLAGNNVGATMRREMDEKTADLPEELPMGEQEALSTYVNSNFQAVNNSIMMGGSYSTNDPGVHLDITDYMEDWTPSKSKHKVGSKHDGKKEKKKQKASQSDQQTEKSE >CDP13700 pep chromosome:AUK_PRJEB4211_v1:5:28132468:28135789:1 gene:GSCOC_T00038729001 transcript:CDP13700 gene_biotype:protein_coding transcript_biotype:protein_coding MASTREDALSFLNPSTSSPVTVSDADSFLLDSSQIGSASGSFQNEGFLGGLDATAAAVNIDAEFGFSRPDFRQSPLVGTVEFYERHVFLCYKNPQVWPPRIEAAEFDRLPRLLSAALCARKADMKRQIRLAICEGHDGTETSNGDVLIFPDMVRYRRLTHFDVDTFVEEVLVKDGEWLPGTPEALRGWYIFVCCHGTRDRRCGVCGPALVNKFNEDIELHGLQRKVSVSPCSHVGGHKYAGNVIIFGPNIHKEITGHWYGYVTPDDVPILLEQHIRKGEIVDWLWRGRMGLSEDEQKNSQELRLTMNLMQTNDTSSNTCGSQAEGCCQANGNSLCCQNPPLPEKRETPELKEEAGKFTAEKNKSLKKQISRRNSGKGASCRKVCSMPTWFESWEREDTYATLAVIGAVLSVAFAYNCFKQLR >CDP15733 pep chromosome:AUK_PRJEB4211_v1:5:5252866:5254708:-1 gene:GSCOC_T00015762001 transcript:CDP15733 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPQRKLHLFSPLLSFSSTVVLLFFIPLALISIIACILGPEKSFLPISAQFAWSYAGFYSSYSHKSFNEEFKYDYLDREAEVPSNLSIINSAFHQKVKRIREASLSEPSISKVSSSAFNKRYNKLERLETILSKARYSIREAAKNGSMISTHEDADYAPHGPMYINANGFHRSHLEMEKSFKVYVYEEGEPPMFHDGPCKSIYSTEGRFIHEMEKGNFYRTKNPDDALVYFLPFSVVAMVQYLYEPGGHDRQAIGHTLADYIGLISGKHPFWNQSFGADHFMLSCHDWILCNANTSEGFNPLKDVSLPEIHLRTGEITGLIGGPSPSRRPILAFFAGRLHGHIRYLLLEQWKEKDQDIQVYDNLPAGVSYESMLRKSKFCLCPSGYEVASPRVVEAIYAECIPILISDSYVPPFSDVLNWKSFSVEVAVKDIPNIKKILTSISQTQYIRMHRRLKQVQRHFVINGPPKRFDLFHMILHSIWLRRLNIGYKD >CDP05781 pep chromosome:AUK_PRJEB4211_v1:5:27011352:27012441:-1 gene:GSCOC_T00021065001 transcript:CDP05781 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQSDNLAKKNMTIRKIRVLYSDPAATDSSSDEEQSSHEKKPKRIIHEILIDQKKMAPSQPKFKWDKKNKEKRFVGVRRRKFGKYAAEIRDPIKKKRIWLGTFKTAEEASEAYLAKQREFKALEGFQWEPVDCKQSYLSGSSDEDQLQSSMPTPEALGSPMHTRSESSHDSSSSMSKENLKVQCLEFDGGCVMGMFVPVPKGPEDYPGSEFFLPILDNQGFLLGEFSKLDDLSICLG >CDP11886 pep chromosome:AUK_PRJEB4211_v1:5:20491701:20492880:-1 gene:GSCOC_T00035170001 transcript:CDP11886 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVALVMVVSPVIGHLTQALELAKLMLQRNNQLSITALIMKMSIDPEGTTKIQSLIASTNVERLDYQDLPTPEDTSDWNHTLWQGFLQQLIDYQKIHVRRIASKINHLSGFLLDLSCMAMVDVAEELGVPTYLFFTCSAAFFGLQSSRNIGQAGSCYWFLAYRRTKGIIVNTFADLETYAIQSFSIKSSSYGNSGLPPIYPVGPILSRSQIKTQSTNDNSELMNWLDCQPENSVVFLCFGSSGAFQSDQVHEIAYGIEQSGYRFIWGLRQPPANIGGLAREYENHEHVLPDGFLNRTASTGKVVGWVPQLDILSHPAVGGFVSHCGWNSVLESIVCGVPIATWPLYAEQ >CDP17867 pep chromosome:AUK_PRJEB4211_v1:5:1722237:1767147:-1 gene:GSCOC_T00013189001 transcript:CDP17867 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFVPKIIDVLGDVAVKQFGEKVNLVMGVEEEVSNISSKLATIEKVLHDAERRRLKDRSVGIWLEKLEDTTYEMDDVLDEWNFKIHRAKNERTNQNARMQPTLRNKVRSFIPSLCSCLKQVPVRSGIAQKIKKINERLELTLKEADQFKFITSGGIPNSHDFQRIMTTSIIDESEVYGRESDKEALLNQVLSESSSQGRYEVQVISVVGAGGSGKTTLAQLLFNDDRVKNHFELKKWVCVSDPFDEKRIARAILESPGKSSDLSELEPLLQLLKETFSGKRFLLVLDDVWTEDDSKWKPFQYALKDGAPRSMISHSDCWLIMQRIAFAKKSGDLCKKVERIGQKIAEKCKGLPLAAKTMGSLLRFKDTEQQWQNVLDSEIWQLEEAAVDLFPHLYLSYNELSPELKRCFSYCAVFPKDHEIDVEEELIRLWIAQGYVRPNRRGEHLELVGLEYFNNLAMRSFFQEIQNVEDYDGFHEYMKCKMHDIVHDFAQFLTKNECGFGRNSSSERARHLTILKGTEEEMFSSRCVRTLVLCNGELAEIPAEIGRLIHLRHLDLSWNPFKELPEAICDLYYLETLDINHCKKLSCLPQRIEGLVHLRHLFNHVADELCQIPQGLWNLTSLCSLTRFIVRSNSDDLAILKDLNQLERLHIVIEGEVDFGSAELGKKINMRKMSLFFSFGAHFIETPSCIESVEPPPHLERLALIGYPGTQLPSWLVTKSHANNLTKLIIDRPRNISSLCALWKLSSLEKLILYRVEELEYLGKEFFGSSFSSEAVAFPNLRKLHFRHVLNWTNWEYLSEDDEKVAVSIMPCLEELKIWNSKKLKMLPHRILRKIPSLKILDIRHCSKLRDRYSDKTGDDWIKISYIPRAEG >CDP15962 pep chromosome:AUK_PRJEB4211_v1:5:23189332:23191326:-1 gene:GSCOC_T00016890001 transcript:CDP15962 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHKCMGLLTSTIRLPLHNFTSHSFSTKSLTAEFKNLCSRGHIDEAFTNFTSLIWSYPPLFSDLLKACIQTQSFSLAKQLHSLILTAGCFKEKFVVNHLLNAYSKLGRLDAAVSLFEKMPNRNTMSFNILIGGFIQHGDLDSAFKLFDEMGDRNLATWNAMIVGTVQFEFNEDGLSLFSRMHKLGFLPDVFTLGSVLRGCAGLKDLNKGKQVHGYVVKSGFEMNLIVGSSLGHMYMRSGSLKEGEKVIRLMPMHSVAVLNTLIAGRSQNGCSEGALDIYNIMRMSGFRPDKITFVSVISSCSELATLGQGQQIHADVIKVGALSVVPVVSSLVSMYSRSGCLDDAVKVFEEREGADLVLWSSIIAAYGFHGRGKEAVELFDRMEHEGLEPNDVTFLSLLYACSHCGMKEKGLELFKVMVEKYGLEPQLEHYTCVVDLLGRSGCLDEAEGYIRSMPIKADAVIWKTLLSACKIHKDSDMAKRIGEEVLKIDPQDSSAYVLLANIQASTRRWKDVSELRKAMRDQNVKKEPGISWLEIKNQIYQFIMGDKSHPLAQEIENYLNELMEEIRIEGYVPDIGSVLHDMDVEEKEYNLVHHSEKLAIAFALMNTPKGFPIRVMKNLRVCSDCHVAIKYISKVKNREIIVRDNSRFHHFKNGYCSCGDYW >CDP14800 pep chromosome:AUK_PRJEB4211_v1:5:22095009:22096533:1 gene:GSCOC_T00042259001 transcript:CDP14800 gene_biotype:protein_coding transcript_biotype:protein_coding MERFHPSTSNPKMERRIVEKNRRSHMKDLYARLYGLVPSDSSKENLTVPDQIDEAINYIKSMEKKLEKCKEKKEKLLFGKRPYSGATGETTKITNVEVHDMGPNLDVILLNGLEEQASFYGIIRLLCKEGFEVVNANFSSNGNSVLQVVHDKGGISTSRTETTAVAKRLKELIYGYSRGEVESRLDSWVESRLGPWDFEIEPDILNSNVLELMSPGQFCFLQQL >CDP05896 pep chromosome:AUK_PRJEB4211_v1:5:26164643:26166109:-1 gene:GSCOC_T00021212001 transcript:CDP05896 gene_biotype:protein_coding transcript_biotype:protein_coding MADWANLMPELLAQVAQRLAGHDFIVFRAVCKPWQFASLTLKEKPPLPPWLDEDGLKDAPKIRRFFNLSTLKAYEFELPEASGRNCVGASYGCIFTLGHDLQISLVHPFTRKQISLPSMLAFSDHYAYHHEYRPQERYHMFVEKVALSSNPWLDEKTGLHDDHTHQDCCVIAAIYGEVSILAFARLGDEVWTNIRVPSKAYEDIIFHQDKLYAVDCHGSVVACDIDDDKSGGDGPRAKIIAPIPYEPPDYTQKYLVESSGDLLLVARTRGGEETEDGEVLNYYTIGFSVLKLEDRLGCHDHLEENIDDNEYPYKWTEVSGLGDRALFLGRNPSASLPAYKYNGSLKPNCIYFTDDDPDNFWGDRDGGGLDMGIFNLENGTIEPHFPGKSIHPEFPPFWLMM >CDP06146 pep chromosome:AUK_PRJEB4211_v1:5:24213262:24219064:1 gene:GSCOC_T00021539001 transcript:CDP06146 gene_biotype:protein_coding transcript_biotype:protein_coding MRASASTIYFISLLLSLLLSPTFAIKKSYVVYLGAHSHRPEVSSIDYDRVTDSHYSFLGSFLGSKEKAQEAIFYSYTRHINGFAAILDDAEAAEISKHPEVISVFLNKGKKLHTTRSWEFLGLEHDGKIHPSSLWKRARFGEGTIIGNLDTGVWPESKSFSDDGYGPIPVKWKGTCQNGEDETFHCNRKLIGARYFNKGYASAVGKLNSTFNSPRDGEGHGSHTLSTAGGNFVVGANVFGYGNGTAKGGSPRARVAAYKVCWPPVSNNECFDADILAGIDMAIHDGVDVISVSLGGDPVPYFNDSIAIGSFHAVKHGIVVICSAGNSGPTPASVSNVAPWQITVAASTMDRHFPSYVVLGNNMRFSGESLSQEALPDKKFFPIVAAKSVKAAYASDKDAELCKAGTLDHSKAKGKILVCLRGDNARVDKGQQAAAVGAVGMVLTNDKLSGNEIIADPHVLPASHINYTDGLAVFSYIYSSRSPKAYITHPTTELGTKPAPFMAAFSSKGPNTLTPELLKPDITAPGVSVIAAFTEAQGPTSEGFDKRRVLFNSESGTSMSCPHVSGIVGLLKTLHPDWSPAAIRSAIMTSARSRDNAFEPIINASYVKASPFSYGAGHIWPNRAADPGLVYDLTANDYLTFLCSLGYNESQIALFTQVPKKCPKPISLLDLNYPSITVPKLRGSITVTRTLKNVGAPATYTAKIVSPPGVSVDIQPKSLTFARIGEEKSFKLTLQLKKPGAARDYVFGRLAWSDGKHFVRSPIVVKASY >CDP17863 pep chromosome:AUK_PRJEB4211_v1:5:1627734:1646581:-1 gene:GSCOC_T00013183001 transcript:CDP17863 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGAATPATFSGKKKQRKGRQPQENINVSEASRINISQILEQFRASNELVYTFDANLTNRERAAVHTLCRKMGMKSKSSGRGDQRRVSVYKTKKKVDSTNENLTSFTFSEEAKDILQDMFVCYPPDDDEMSQYISGMHNEKADKVRGKKDDIFSKPLLSKSEIAKRVEALNSRAEKNQNLRQITEGRAKLPIASFADIIKSTVESHQVVLISGETGCGKTTQVPQFLLDHTWSKGETCKIVCTQPRRISATSVAERISAERGENVGDTVGYKIRLESKGGRHSSVLFCTNGILLRVLVSKGSNKMSKNDSKKVAKDEASDITHIIVDEIHERDRYSDFMLAILRDMLPLHPNLRLVLMSATIDADRFSKYFGGCPIIRVPGFTYPVKIFYLEDVLSIVKANENNHLNTPSESDTIGESALAEEYRIALDDAITLALSNDELDTLRDLISSEGCQKIFNYQQSSSGVTPLMVFAGKGCIGDICMLLSLGADCHLRANDGMTALDWAERENQGEASEIIRQHMDKSFSNSEEEQLLLDKYLSSVDPELIDDVLIEQLLKRICHDSQDGAILIFLPGWDDINRTRERLLSGPYFRDSSKFVIIPLHSMVPSVEQKKVFRRPPPGCRKIVLSTNIAETALTIDDVVYVIDSGRMKEKSYDPYNNVSTLQSSWISKASAKQREGRAGRCQPGICYHLYSKLRGVSLPDFQVPEIKRMPIEELCLQVKLIDPNCKIEDFLQKMLDPPIYETIRNAIIVLQDIGALSLDEQLTELGKKLGSIPVHPLTSKMLFVAILLNCLDPALTLACVSEYREPFTLPMLPNDKKRAAAAKSELASLYGGFSDQLAVVAAFDCWKSAKERGQESRFCSQYFVSSSIMNMISGTRKQLQSELLRNGFLPGDDSCLSLNAHDPGILHAVLVAGLYPMVGRLLSPPKCGKRSAIETAGGDKVRLHPHSTNFKLSFKKFNSQPLIAYDEITRGDLGLHIRNCSIVGPLPLLLLATEIVVAPGNENADEEDDNDESDYEDMDDDADEDETENHGVSDVHQGERIMSSPDNTVKVIVDRWLPFESKALDVAQIYCLRERLSAAILFVVTNPGKVLPEMLGASIYAIACILSYDGMSGISLPLEAVDMLTSLVDTTVIGQSDPGRKKRVGQNSSSFLRSLISPARSHNAATNSQQVGIHGCSVLRNCNNLSNHHQQSGFTSAGINVCQRPLSQLPIISGSTAYDARTSREDYRKRPRGNVS >CDP06050 pep chromosome:AUK_PRJEB4211_v1:5:25020240:25023314:-1 gene:GSCOC_T00021402001 transcript:CDP06050 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTPLAAATDLSLVQQAIKLVRSSPPTWQSSLLSNLLIFILGSPILVSGLSLSGIAAAFLLGTLTWRAFGPSGFFLVASYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCAVLSIYRIGGKAFSPLWLLGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSVEGTLAGLLASILLASVGCLMGNIKPSEAIICVVASQIANLGESFIGAEFQGKEGFKWLNNDAVNIINISLGSILAVLMQQLILQK >CDP06015 pep chromosome:AUK_PRJEB4211_v1:5:25304711:25309611:1 gene:GSCOC_T00021359001 transcript:CDP06015 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYHTTELGSIACEDLSEVGAGKEGWLVSDPDLLTALDTHSLALANRSIVLVLHWSDDADPSSYRLKIVPELSPIAAEYISAIEWLVFDDVRVLAIGTSSGFLLIYSLQGDLIHKQLVNNAKIIKLRVRGTNKDLSEDTSSEEVCVVMRATVARFDGSDIQSMLHRWFRERQLNFWDQSYMRRDQEYSGASFGRLPYQLWNVSKYGLCADAAITGIMPPPLMEPQSSQRYYCAVTVGDDAVISAYRLSEDRSRSIVGAILSKVVPATFSTISSISKMIWRSDTTTKKQEEKPQPLARASPLTCLKDYPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCLFVEMLVKKNSATVGSAGYQYEKSDYCLCLAIHAPRKGIVEVWQMRTGPRLLTIPCAKGSKILQPTYRFVSSISSSSYVPLEVFFLNGDSGQLSVLNGSLN >CDP19214 pep chromosome:AUK_PRJEB4211_v1:5:1857926:1858141:1 gene:GSCOC_T00009654001 transcript:CDP19214 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEAFAARKTIKVSFTSTGIGGSKTAILKFQALSNRTRITFYSPNYHTKLHDYGHICGPVLDDVKVFPLK >CDP15950 pep chromosome:AUK_PRJEB4211_v1:5:23127697:23128980:1 gene:GSCOC_T00016875001 transcript:CDP15950 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPELVDHAQSTQNCFPKSSVNIGVEASTAGGEPRVEDDNDDSRMLSTNDSGSPEGTSLGGGREDKRPKEESDSHADDVKIDEEHLRAEQICRICHLGNEPSSSGSSELIQLGCHCRGELGISHRSCAETWFQQRGNRLCEICNNTAKNVRLIKDISIYIRDYHEIRPMAVAGYDSPTESPRRCKQFCNFLLACLVVGFIISTFLRARMF >CDP15976 pep chromosome:AUK_PRJEB4211_v1:5:23261999:23265274:-1 gene:GSCOC_T00016909001 transcript:CDP15976 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAYSPIAVFSSCILLLISVLAWRAFKWAWLTPKKLEKRLKEQGLRGNPYKLLYGDFKEIATHFKEAHSKPINVSEDFIPRVIPHFCAAVKKYGENTYIWHGPKPMVLILNPEHIREITTKLYIFQKAPANPLTKLLATGLVSYDGDKWAKHRKLITPAFHVEKLKHMVPSFYASASEMLDKWEAIVSTNGSCELDVWPDIQTLTSDAISRTAFGSNYQEGKRIFELQREQAEHFLKAVESVYIPGWRFLPTKLNRRMKQIAKDVQESIREIINARLKAMKEGEACADDLLGILLESNSKEIDDHGNKDFGMTIGDVIEECKLFYFAGQETTSVMLVWTMVLLSRHPNWQARAREEVLQHFGNNKPDFKGLNHLKLVTMILHEVLRLYPPVPAIPRRTDEDIQLGNLTLPAQVQVSLPAILLHYDPEIWGDDVEEFKPERFADGVSNATKGQTAYFPFGWGPRICIGQNFAMLEAKLAVAMLLQRFSFELSPSYTHAPRAVITIQPQYGARLILHKLQYETMTA >CDP10800 pep chromosome:AUK_PRJEB4211_v1:5:18216900:18221504:1 gene:GSCOC_T00031672001 transcript:CDP10800 gene_biotype:protein_coding transcript_biotype:protein_coding MASASITCISSILDDLQVLENDCPEFPNGQQEYLKRMLRYLRTFLLCARKYSNDDVQLPFDNKKNQADNHKASLEALAVRIGDAIPKWAKEIQSSGQPWDAVHDLEKDIKSFEQEICEWYVFFLGSSSQQSSNSVVRKDDLMEFMDSLLENLVNYWSWIWPAHEVGLIKALEEKLAFMKNLIRFVTLYGVENTELGPLLVHTEAVAINAARLSYKCQFKKGFGPPKDVQESISELLQKIIPVELQVLETCIKALTASKLSRQSYGETDEHLLRDFFHSLLCNLWEKLKHGTCPVILQQLQMFYEGLNSLRTILKEKPKEFDEKVRDPARVVNCYRGDFISPLSLNAIKDAIRAKDMDIVCSELLEIIKLIDAVITEKCPESSTFNFPKTNGLGFVDSLLEKMMDVTSSEAGSIALIDHPIQKVQEKLVCLRSLLRKIVELRNEDEEVQAIWDRIVGVAYRIEFLVDSLITGNIIHSSSMSIHSILEEMNIIKAAALKICDSGTLGEKVKEVTKRFNHMPQQGSKLIVNDVVVGFEDETASIINQLRNGSRQVKIVSIVGMPGCGKTTLARKVYNDSSVKSHFYERAWCTVSQIYHKRNLLLQILTCIESKLPEDVFKMGEEDLALQVKRRLLKNRYLIVLDDVWDIDAWNGLEASFPDDGNGSRAILTSRLRGVAPQDKLDQEPHSLRQLTTNESWDLLKGKLYPGQDLAPPELCEIRQQVVEMCQGLPLTVVILAGILSRMDRYGWKEAVEGLSSRNVSSTEQCTATLELSFKHLPDTLKACFLYFGAFPEDHEHNTKRLISLWVAEGFVQKTQLKRSEDVANDYLMELIGRSLVIVSKPRSIDGVKACRIHDLLYEFCVTKAKEEKLLQLVRRYDDLSAFTVPCYLRRLCIDSKVEHLDNLRLFSPAIRSLLLFSHDEDNRSCFDLRFIFHIIKLVKVLDLSQIKLEGIFPRELELLVHLRYLAILGNGSPVPASISYLTNLETLIWRNSRSHGSVSLPDTIWNLKKLRHLQLMDEVDKNYHFRFPENNLDNSSQLCDLDILSCLSLNPRKNINKLLRKFPNIRKLRSSLYLDKGCEYHVAMDCLSHLESLSLSCVVYGGDRYQLDFQFPLTIKKLTLSYFRLPWSKMAAIGNLPNLEVLKLLKQAFEGEIWEMEAEKFPSVRFLKLASLNIVKWTASSEYEYEDQYYFPRLQKLVLESCDALQEIPSCLGNSSTLEIIEVSKCPNCTSSLEEIQEEQRSNGYTDLKILIS >CDP06092 pep chromosome:AUK_PRJEB4211_v1:5:24689365:24692294:1 gene:GSCOC_T00021462001 transcript:CDP06092 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSGPEMPQRRSPRPSQLRTSSSDSEPLHHRATTDRSPKLGGDRRSPRGTQPDPLNQKKLGTRIADLESQLGQAQEELRNLKEQLVSAEAAKKAAQEQLEKKTRKPIAPEPEEAQDKNSSQMQNQESRRGNRSAAFEISEENQQETDVFEVPVEKVTLEPDVEVNQQINEDDLKPGTEVRLTELPAFLKPEKPSVDELALKNDEINMLKVMIEEKEKELGVYCQENENLKCQLKEKSLEISAGQSKEEEISARLNEVTKELEASKNNSIRISEKLEAVEKAKEELEAEMKKLRVQTEQWRKAADAAAAVLSGGVEMNGRRISDRCGSMDKHFGSVFEAQGGGYHGYLGSPGMVDDTDDVFGGGKRKGSGMRMFDLWKKKGQK >CDP05905 pep chromosome:AUK_PRJEB4211_v1:5:26091646:26096648:-1 gene:GSCOC_T00021222001 transcript:CDP05905 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQCLIILHTWNMLFLQRSSFPALHTFCIRRCTWMGINVIKIEAPSLSIFEVCRCRYGKGDESCEIQILGGAPNLSHVKWASKDFLENFVLPTPSSVSKAVLDHFNVLEDLWVAGVGAVKLLLLVSNITRLELSIDMVEVIFSSSLGSIRL >CDP05929 pep chromosome:AUK_PRJEB4211_v1:5:25919532:25920510:-1 gene:GSCOC_T00021251001 transcript:CDP05929 gene_biotype:protein_coding transcript_biotype:protein_coding MESASKPQDRENPSPTNSPNSNGSCTNSNGSLQVPTPPVTPKPVSRSEPNPYPTTFVQADTTTFKQVVQMLTGASETAKQASKPDPLPTTTNTTATNRGCSIPPIRTGQKKQGFKLYERRNSLKNGLMISPLISGFPQNNPTSFSPRKPEILSPSILDFPSLVLSPVTPLNEDPFNKSSPSMGNSSEEEKAIAEKKFYLHPSPRTTTRDSEPPQLLPLFPVTSPRVSGSSS >CDP15957 pep chromosome:AUK_PRJEB4211_v1:5:23167518:23170669:1 gene:GSCOC_T00016884001 transcript:CDP15957 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY69 [Source:Projected from Arabidopsis thaliana (AT3G58710) UniProtKB/TrEMBL;Acc:A0A178V7K8] MVQKNPLDHDQQEDSTSTPENVADDSAFSGDEAYEVTAPSPKKRKGAQKKVITVPIGEGDGSRSKGEVYPPPDSWSWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSCLDPTKLLVTYSCEHNHPIPTTTTKHHPTTTSAAAAAATPSATTTSTSTSTSSAATDSAPVKSAAEDEYGVFANRPGLESDDNSGSSFNELAGELGWFSNVGSTMMDSPSLVGPAWVDADVALMLPIGEEDQLLFGDLGELPECSVVFRRRGLEGTTCCAGSG >CDP05747 pep chromosome:AUK_PRJEB4211_v1:5:27254963:27256106:1 gene:GSCOC_T00021019001 transcript:CDP05747 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPLKLMPILVSFFVLVIGASQATSRLLHENDEAAMVEEHEKWMATYGRVYKDDAEKAKRFQIFKKNFEYIESFNKAGTKTYSLSINRFADMTNEEFRARNGYKPFTSSKSASFRYENVTDVPSSLDWREEGAVTPVKNQGDCGCCWAFSAVAATEGVHQLKTGELISLSEQELVDCDTDEDRGCEGGLMDSAFSFIIKNHGLTTESHYPYLGIDGSCSANEEHPPAATIKSYEDVPENNESALLKAVANQPVSVAIDAGGQDFAFYSSGVFTGECGTHLDHGATAVGYGISEDGIKYWLVKNSWGVDWGEDGYIRMQRDIHDVQGLCGIAMNASYPIA >CDP05828 pep chromosome:AUK_PRJEB4211_v1:5:26689898:26693480:1 gene:GSCOC_T00021120001 transcript:CDP05828 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKQKGYVMFFQFPPRIKLRRESERFPFLFFSFILVLCRSHVCNAVDTITTTEPLRDGETITSSGGNFELGFFSPGNSSSRYVGIWYNNISSTTVVWVANRGAPLTNASGILKVAKSGQLTLLDYANNIIWSTNLSRPAQNPFAQLLDSGNFILKDSEGENSDNFLWQSFDHPTDTLLPGMKLGWNLETGQEFYLTSWKNENDPAPGEFTYRTDITGYAEHVLRKGPIVISRGLPWTGIETVDYIYNQRELYFSYQLLNSFASRFVLNQSGVAQNWLLFDDEREGWVLHFNIPNNTCDSYGLCGPYGACNIANDPICGCLEGFQPKYPHRWVKKDWSGRCIRRTPLNCENGDENGFLKYSVFTFPETKNTWYSGDMALEDCKTLCLKNCSCTAYANPDARDGGSGCLLWFEQLIDIRENSQGQDVYIRLASTELVSRNGFSWRTRVTRIVGVSLSSGVLLLGLSLTLYRKRKKYLKQKKEEDYEDEELVLFDLDTLIQATSNFLPSNKLGEGGFGPVYKGVLEDGQEIAVKRLSKTSTQGLDEFKNEVIYITKLQHRNLVKMLGCCIQGEEKMLIYEFMHNRSLDSFIFDPARSRLLDWPQRFNIINGIARGLLYLHEDSRLRIIHRDLKASNILLDDTMNPKISDFGTARSFIGNETGARTDRVAGTYGYMSPEYAMQGRFSVKSDVFSFGVTILEIVSGQKNNAFVHPGHHHSLLGHAWKLYKEGRSLELVDESLGNYCTCLTDVLRSIHVGLLCVQQYPEDRPNMSAVVMMLGNEGALPQAHQPGFFAERNESCSAACVASSQNDMTITILEPR >CDP05996 pep chromosome:AUK_PRJEB4211_v1:5:25459444:25463072:1 gene:GSCOC_T00021336001 transcript:CDP05996 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLVPIANGTEPVEAVVPIDVLRRAGAEVTVASVEKKLQIDACRGVKIVADALISDCADNVFDLISLPGGMPGATTLKDNKTLESIVKKQASEGRLYAAICASPAVALGSWGLLKGKKATCYPSFREQLSAAAAIVSDSIVEHDGNVVTSQGPGTAMEYAVALVEQLFGKEKAKEVSGPLVMRTIHGAEFLFAEFNRVNWTCDGSPKILVPIANGTEEMEALIIIDVLRWVNAEVLVASVEDKLEVVASRKVKLVADVLLDDVVNGSYDVIVLPGGLGGAQAFAKSGNLVNLLKKQRESNRPYGAFCASPALVLEPHGLLKGKKATAFPALCDKLTDPSEAENRVVVDGHLITSRAPGTSLEFAFAITEKLFGREKALELAKFLVFVR >CDP14735 pep chromosome:AUK_PRJEB4211_v1:5:21446131:21450163:-1 gene:GSCOC_T00042170001 transcript:CDP14735 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSAAAPPPSIAPAVSSGGGVERDDPWRSFDNSVNAVSFGFVATAILISMFLIMAIFERFLRRRSSAAGGQNRSDLEAQLRVHGKLDYPSPKMSVYARGVSVLMPGEEIPTFIAHPAPAPCPMEGVARPLHQHNLLPSSTSMHSRINQ >CDP14728 pep chromosome:AUK_PRJEB4211_v1:5:21386437:21390152:-1 gene:GSCOC_T00042159001 transcript:CDP14728 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALSCVSVILNKILPLTADEISRAWGVKKDLQKLSKKVEMMEALISDAKCKQSTSKAVQLWLKRLQSIARDAEIVLDDFGYEVLRQKVENRKRDKVRNFFSSSNPISFRLEMANKIKNVSASLEEAYREANQIGLHPVQLTMASADHKEDRLTVPFVDESEMVGREVEVSRVVSILISSDYKKKLPVISIVGMGGQGKTTLAQLVLKNENVTKHFDKKIWVCVSDYFKVERLLNEMLQSLREKNVETTNKEALVTKLQENLNGKSYLLVLDDVWNDDPLKWDVMRSCLLEIGGGAPGSKILATTRSDDVASAMQTSGVHHLDILSDEYSWMLFEKLAFADGGATKTQDLVDIGRRILKKCGGVPLAIKVIGGLLYSKKDASEWSKLEKSEIWNESTDIAKRVMSVLKLSYENLPSWSVKQCFASCSIFPKDADMEKESLIQIWMAQGLINDAKGGGGHLQMEDIGSDYFNILLRSSLLQATPKYGIEYYRMHDLVHDLSLQVSNNRFFNTEDGMEVSHDDEVMHLTIIGSQGKVLKNIEGIPPNLQTLYYLGGDGIMLEDILERSRYLSVLIVDSLGVTHLPNSVGDMKHLRHLDIRRTDITALPDSITKLYNLMTLKVGCLKERPKKFGNLINLRHFEFLSAMGQSCFFPGIGQLANLRTLPHFRVSQDKGCQLEELEHLRNLRGELKISGLENVSSFESAAKAKLSEKSSIQGLRLSWNGTKEDCDDNNINSVMEGLQPHPDLKSLAIWGFQGSRYPSWMVAKDHLTVLLRNLVEIKLRGLGKCEQVPPLGDLPCLESLEMESLHNVKRIGAEFYGLLARSSACSSSSSRKVEPITLFPKLSSFVLRDMKNMENLTSLAMLEIFKCGSLACIRNLNSLTSLESLYLNDCSALLDASLESLRTLSILGCDKSLTLGGFSNDLDHFPWPHSITNLVSLERLELRGWPKITSLPDQIQHLSTLRTLEIGEFEGLEVLPEWMGSLRNLRDLWIADCYNLRQLPSAEATRHLTNLNKLYIDTCPLLAERCTKGSGAEWPKIAHIPRVVID >CDP13809 pep chromosome:AUK_PRJEB4211_v1:5:27429913:27444048:-1 gene:GSCOC_T00038869001 transcript:CDP13809 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFRHRDYITEEKAYSLPRLPAGTHPLSTSPETLQQVDLVHKEKEENDFFDPLRKINEKKEVSFEDFQDEEITGGPSNEASVQSSSKEWTSFKKILMQRFSASKNIPLSSISNAIMKTGKVTDKSPVDSHLEELDDPQKFTEEGLKVISQREYVLRLYELKDEIALSWSKKEHVTSLKLCIRVARLLMDTSVVQFYPTLFVLATDVMDMLGDMVWQRIRQKAEHREDGKFVSSLPDDFEANSISDDAKETCNNWFSKIGSIRELVPRIYLELAVLPCWRFLVDNPMSSLQRLAMMTRGIADPIALAYCHLYMVHRAQKLPQHDLGYLVTGINDLKFVMMRILSLQETTCIISLADRRLLLSLMEPAIEYMMRCIYKDLNQVQVRDVIVGLGLGKDKSHLFGDCSCISVILHHLLKELPTGLICANAMSILHLIECNIDFSYDQYLNYKLLGLRLCENISRVNEAMALVDKVIQVISCYDRLHEYLEVLDAYVDIVLHNQMHIYLNRILNEIFERLCAKGIDKNALSGLQSFLLKLVTHFDHLEDILSLSHFVDILDVMHGSSRNIINISILRMATRNTCIDDPTIIHFLFEVSQVLHDGTDFSNIRNNENQHSARLISRFVNMVDYGRDFERNLSFLVDCRGAFGDMIELKETLVHSSNLLSIKLMKESSNLFAFAKSCLTFSEVTIAAIPDHFRRFSLYLETAEVALMGGLVSHADGLIDSALCYLQDFDLVDGLRTTNDTEANLSLICKLCNLMIVVPGNVEQGFLDGPKKIFSFLDSQPWMTSKLKIKGMCALISILAAFSQNAIPLQLIPGKVIGNHELIYGDPTCSEEILSFSRAIIQKIVDIVLQEPSQATSGNLALEGCSSIASSFRVRTDILTICSRLLEIAELSLSSDDRYLVSTKNFVNTCQLCCQEDGHLQNSESMTG >CDP06156 pep chromosome:AUK_PRJEB4211_v1:5:24137751:24142887:1 gene:GSCOC_T00021552001 transcript:CDP06156 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAIQRSPLQRLSTFKDTPPPVTTTTTTATPTPHSTTPTPLSPAPSALDSFSSDPIFSSFLSSDFDSTRFSSAALSSGSAASRIEKLQEGLRLLDSQLRHDVLSRHQDLLQQLSSIKAADSALSSLRSSVSSLQSSVKKIRSELSEPHRLISTKTLQLSNIHSTAELLQSTIRTLRLSKKLRDLFDSAPDPEKLDLSKAAQLHFEILSMYNESHLSGIDVADSELKWVSEIGQKLRAEGMRVLERGLEGLNQAEVGAGLQVFYNMGELRGTVDGLVSKYKAMGTKSVNVALDMKAISGGGSGGGGFAGPGGVQRSGTPQIGGGAKAKEALWQRMNVCMDQLHSIVVAVWHLQRVLSKKRDPFTHVLLLDEVLQEGDPTLTDRIWEALVKSFASQMKSIFTASSFVKEIFTVGYPKLFAMIENLLERIARDTDVKGVPPALTQEGKDQMISAIETFQTAFLALCLSRLSDLVNSVFPMSSRGTIPSREHMLRIVSRIQEEIEGVQLDPRLTLLVSRVITKVLHLLAERAEYQISTGPEARQIMGPATVAQQKNFTLCQHLQEIYTRLFSLMGGLPAIAVEIMSPALDAIYRVACDSVTSLFQAMRERLESCILQIHEQNFATLGMDAAMDNNASPYMEELQKSILHFRAEFLSRLLPRSASAASVGTEFICTGLVRNLASRVLIFFIRHASLVRPLSESGKLRMARDMAELELAVSQNLFPVEQLGAPYRALRAFRPVIFLETSQLEASPLLQDLPLSVTLHHLYSRGPEELQSPMQRNRLTPQKYSLWLDEKGEDQIWKGIKATLDDYAAKVRARGDKEFSPVYPLMLKLGSSLSANES >CDP14697 pep chromosome:AUK_PRJEB4211_v1:5:21054056:21063147:-1 gene:GSCOC_T00042113001 transcript:CDP14697 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLSYLQRAFHGRPYASKLLILCSISSGGLIAYADSQPDGKMSIVDHGNKKSQKKRVVVLGTGWAATSFLKDLDISSYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPVRNMIKKRNGEILFWEAECLKIDAANRRVFCKSTVDENLAGKDEFDLEYDCLVIAVGAEVNTFNIPGVAEYCHFLKEVEDAQRIRRTVIDCFEKAVLPGLTDEERKTNLHFVIVGGGPTGVEFAAELHDFVFEDLVNIYPGVKDLVKITVIQSGDHILNTFDERISTFAEQKFSRDGIEVLTGRRVVSVSDRIVNMKMKSNGEDISMPHGMVVWSTGVGSRPVVKDFMEQIGQGSRRVLATDEWLRVKGCEYVYALGDCTHLQQKKIKEDISEIFKAADKDNNGSLTIEEFREVIEDIIIRYPQVELYLQSKHLFDVVDLFKDAEGNDREEIDIEAFKLALSHVDTQMKSLPATAQVASQQGAYLARCFNLSEKCKRNPEGPRRFRSGGRHEFRPFRYKHFGQFAPLGGEQAAAELPGDWVSMGRSTQWLWYSVYASKQVSWRTRLLVVGDWSRRFLFGRDSSRI >CDP11847 pep chromosome:AUK_PRJEB4211_v1:5:20007847:20009211:-1 gene:GSCOC_T00035113001 transcript:CDP11847 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNFTVLSLFSKLSLLFFINLFLSPLIEGKHGFSTHLIHRDTPKSPLYNPSNSYFETLNKAFHRSFSRADLSDSIDGIQSHITSITGEYLIKVSIGTPPVDILAIADTGSDLTWTQCNPCKQCYEQSAPLFDPRKTSSYRKLSCDSLLCTEVGTQTCDSENNCGYRVSYGDHSYSIGDLSAETFTFESSSGGSVSIPKVVFGCGHENEGTFNESASGIVGLGGGSLSIIKQLSGSIGGRFSYCLVPKDSASDASSKINFGTNAIVSGPTAVSTPLIKKNPDTFYYLNLEGFSIGNTRISNKGFSKLHNISATAVEEGNIIIDSGTTLTYVPQEFYQDLESQLSKIIRGTRVSDPLSIFGLCYKSERNIEFPKLVAHFTNADIVLAPTSTFLEVSEGMICLTIVPSDELAIFGNLLQINYLIGYDLVNGKVSFLPADCTKN >CDP15887 pep chromosome:AUK_PRJEB4211_v1:5:22561529:22562377:1 gene:GSCOC_T00016792001 transcript:CDP15887 gene_biotype:protein_coding transcript_biotype:protein_coding MFFISVCNSNYSSNLQVEYCYENGEIAFKDGALIAADAILHATGFKYDFPFLNTKGIDTTDDNGVHPFYKHVFPPQLAPALSFIGIYQTGLVLILFL >CDP16037 pep chromosome:AUK_PRJEB4211_v1:5:258570:260948:-1 gene:GSCOC_T00017033001 transcript:CDP16037 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFMRAGGKVILVPYPAQGHVTPMLKLACELVSHGLEPVLVVPEFIHRSISAQIDSMEGIVCQSIPADGLDEGKPRDFFAMEMAMEKIMPLHLEKLVRQLDGEQEQDHDEGRVSCMIVDLLASYAINVARRCGVKVAGFWPAMVATYRLISAIPDMLLSGIISETGCPLLETPICVSPGQPSIGAAELPWLIGTSAGRTSRFKFWTRTMDRSKTLEWLLVNSFAEECHGGQINVQNIIPIRPTSNTMHSRTSTKTVASFWKEDLSCLDWLDKQAVASVVYISFGSWVSPIGEAKVKNLAVALETSGRHFLWVLGPAWREGLPRGFVERMSKEGGQGKIVSWAPQMEVLQHEAVGCYLTHCGWNSTVEAIQCKKRLLCYPVAGDQFLNCAYIVKAWRIGVRLQGFAQRDLAEGLKRVMEDIEMDGRIVGLNEKLMGKEATSRAATNLASFVNNIVSM >CDP05768 pep chromosome:AUK_PRJEB4211_v1:5:27129695:27132757:1 gene:GSCOC_T00021045001 transcript:CDP05768 gene_biotype:protein_coding transcript_biotype:protein_coding METDSRKLPFILTIIAVLALLYSDAVRAWTGEIHGRVVCDVCGDSSVGPEDHVLAGAEVAVLCITKSGEVLNYQAFTNSNGVYTVAETMPEADRWDACLARPISSFHEHCTQSGDGNAGFKFSYNHPSGYSHTVRPFVYRPTNLPTYCI >CDP16208 pep chromosome:AUK_PRJEB4211_v1:5:16346495:16350724:-1 gene:GSCOC_T00017314001 transcript:CDP16208 gene_biotype:protein_coding transcript_biotype:protein_coding MGENFFTGKDFSILLHATELSNGEGLVTKMDPQAFIRLSVGSLGLRLPGTELNSSKSGINALSSPCVCEIRLRGFPVQTSSIPFLSSPEVTPDAQSVASSFYLEESDLKALLAPGCFYASHACLEIVVFRGRKGTHCGVGIKRQQIGTFKLEVGPEWGEGKPIILFNGWIGIGKSKQGSAKPGAELHLRVKLDPDPRYVFQFEDETRSSPQIVQLQGTFKQRIFSCKFNQDRVTQLDPLSNFWSHSVDSSDQDVERRERKGWKVKIHDLSGSAVAAAFITTPFVPSSGCDWVAKSNPGAWLIVRPDACRPESWQPWGKLEAWRERGIRDSICCRFHLLSEGQEGGEILMSEILINAEKGGEFFIDTDRQVKAAATPVPSPQSSGDFAALSPVHGGFVMSSRVQGEGKRCKPLVQLAMRYVTCVEDAAIFMALAAAVDLSIEACRPFRRKVRRGNRHSW >CDP13599 pep chromosome:AUK_PRJEB4211_v1:5:28811706:28812633:-1 gene:GSCOC_T00038603001 transcript:CDP13599 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFGCSWTCERCKTTEILKAQSISSVDFSRWLWQC >CDP05934 pep chromosome:AUK_PRJEB4211_v1:5:25894670:25896261:1 gene:GSCOC_T00021256001 transcript:CDP05934 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKRHPSVFLLCMASFIISSYAYQFSVGGRDGWVVKPSEDYNHWAGRMRFQVNDTLFFKYKKGSNSVLVVNKDDYDKCNVDKPIIKLEDGNSIFKFDRSGPFYFISGNKTYCDQGQKMIVHVLAVRTPPKPPAPVPPASPPSPSPRPAPAVSPISPPSTAPTPAGSPSLSPPSSAPTPAGSPSLSPSSSAPTPAGSPSSSSPSSAPTSSSSPSSAHTAPGSPGPAGANSPPGPAGGNSPPGPAGANSPPGPGSSSGRPAVTTSTVLASTISLLVLSVTFGASIISV >CDP05893 pep chromosome:AUK_PRJEB4211_v1:5:26193521:26194987:-1 gene:GSCOC_T00021207001 transcript:CDP05893 gene_biotype:protein_coding transcript_biotype:protein_coding MADWANLMPELLAQVAQRLAGHDFIVFRAVCKPWQFASLTLKEKPPLPPWLDEDGLKDAPKIRRFFNLSTLKAYEFELPEASGRNCVGASYGCIFTLGHDLQISLVHPFTRKQISLPSMLAFSDHYAYHHEYRPQELFSMFVEKVALSSNPWLDEKTGLHDDHTHQDCCVIAAIYGEVSILAFARLGDEVWTNIRVPSKAYEDIIFHQDKLYAVDCHGSVVACDIDDDKSGGDGPRAKIIAPIPYEPPDYTQKYLVESSGDLLLVARTRGGEETEDGEVLNYYTIGFSVLKLEDRLGCHDHLEENIDDNEYPYKWTEVSGLGDRALFLGRNPSASLPAYKYNGSLKPNCIYFTDDDPDNFWGDRDGGGLDMGIFNLENGTIEPHFPGKSIHPEFPPFWLMM >CDP06120 pep chromosome:AUK_PRJEB4211_v1:5:24422424:24427610:-1 gene:GSCOC_T00021508001 transcript:CDP06120 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEVIMKKKNEEERGGKRRWGWAAIGAVLMVLVAISVTSKYTKNPNSCQCAQDSRKYTGIVEDCCCDYETVDSINGAVLHPLLQELVTTPFFRYFKVKLWCDCPFWPDDGMCKLRDCSVCECPETEFPELFKKPSQYALPSDDLKCQEGKPEAAVDRTLDSKAFRGWMEVDNPWTNDDETDNSEMTYVNLLLNPERYTGYTGPSARRIWDAVYSENCPQYASGESCQEKKVLYKLISGLHSSISIHIASDYLLDEAKSLWGKNLDLMYDRVLRYPDRVRNLYFTYLFVLRAVTKAKNYLEQAEYETGNHDEDLKAQSLIRQLLYNPKLQAACPLPFDEAKLWQGQSGPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGHSQSNQPLQLQRNEVIALVNLLNRLSESIKFVQEVSPSIEKKMDGLLSEPIAEEFSSWRRIWEAVGGFWLKKLQL >CDP05876 pep chromosome:AUK_PRJEB4211_v1:5:26315148:26315877:-1 gene:GSCOC_T00021187001 transcript:CDP05876 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTDKGNRERCNRDAKHYIGFWKHLAPNEPYRVILADVRDKLYETRERCRHMLADGTSDISEEGTYTNAEQVVLLKLIAKYLKFSIAMLTIIYIFSCSLISESMSLGKKASKARKMQRLVG >CDP13718 pep chromosome:AUK_PRJEB4211_v1:5:28012527:28013198:1 gene:GSCOC_T00038757001 transcript:CDP13718 gene_biotype:protein_coding transcript_biotype:protein_coding MATTILLSATVTPSLIHLHKPPLYSTIFSSSSSNPRIPSQLSIFHLTSPSKRSNFSRRNLVKAQSKGSNSADAPDRLISAICYFFPFFDGIQYGKYVITQFAPVQALVQPLVPAIKVFKAFPLNGFLVFLTLYFVVVRNTNFSRYVRFNTMQAIVLDVLLIFPDLLERTFNPRDGVGLDLLMSFDSTVFLYLLVCLIYGSSSCLLGQLPRLPLVAEAADRQVL >CDP17451 pep chromosome:AUK_PRJEB4211_v1:5:2577718:2578524:-1 gene:GSCOC_T00000925001 transcript:CDP17451 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIYYLHTLELFLLSFLLASLATRVTNITTDQSALLALRAKITSDPQQILSNNWSLASSICDWRGVTCGSRHRRVTTLNISSLGLTGTIPPQLGNLSFLLETLQLSYNPLRGTIPMEIGYLNKLKNIVMYYNQLSGPLPLEIFNISSLEIIALKGNSLSGSLPVEICSRLQQLTWLDLSHNKLSGRIPSSSSSECSK >CDP10799 pep chromosome:AUK_PRJEB4211_v1:5:18162768:18166582:1 gene:GSCOC_T00031671001 transcript:CDP10799 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVCSELLKIIKLIDAVITEKCPESSSFNFPKTNGLGFVDSLLEKMMDVTSSEAGSIALIDHPIQKVLEELDCLRSLLRKIVELHNEDEDVQAIWNRIVGVAYRIEFLIDSLITGNILDSSSMSIHSILEEMNIIKAAAWKICDSERLGGKVKEVTKRFNHMPQEGSKPIVNDVVVGFEDETASIINQLRNGSLQVKIVSIVGMPGCGKTTLARKVYNDSSVKSHFYERAWCTVSQIYDKRNLIVQKTQLKRSEDVANDYLMELISRSLVTVSKPRSIDGVKACRIHDLLYEFCVTKAKEENFSRLVRRDDKLSDINVPFYLRRLCIDSKVEHFDNLRLFSPAIRSLLLFSHDEDRRSSFDLRFIFHIIKLAKVLDLSQINLGSTFPRELELLVHLRYLAILVNGRPVPASISYLTNLETLIWRNSSDHVSLPDTIWNLKKLRHLELIDEADKNYHVRFPDNNLDNSSQLCDLDILSCLSLNPRKNINKLLRKFPNIRKLRSSLNLDQGCEYHVAMDCLSHLESLSLSCVVYGGDRYQLDFQFPLTIKKLTLSYFRLPWSKMVAIGNLPNLEVLKLLDRSFEGELWEMEVEKFPKVKFLKLDYLYIVKWTASSEYEYEDQYYFPRLQKLVLESCDALQEIPSCLGNSSTLEIIEVSKCPNCTSSLEEIQEEQRSNGYTDLKILIS >CDP13758 pep chromosome:AUK_PRJEB4211_v1:5:27777606:27781642:-1 gene:GSCOC_T00038806001 transcript:CDP13758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G55500) UniProtKB/TrEMBL;Acc:W8PUA9] MRQLVDSIELVGPNELNCSEWVEEPTVLVTRFEYANVFHTFTDWYSAYVASRVTGLPNRPHIVFMDGHCKAQLEETWNALFSSIRYAKSFRGPVCFRHAILAPLGYETAWFKGLSEDIDCNGAEARELWQHPDDKKTARLSEFGEMIRAAFGFPVDRHHNSRPVSGLNVLFVRREDYLAHPRHGGKVQSRLSNEEQVFNSIEGWASNHSACKLNIINGLFAHMSMKEQVRAIQDASVIAGAHGAGLTHIVSATPRTVVFEIVSSDYRRPHFALIAKWKGLEYHAIYLDGSYADPPGVIYKLSGILRGLGC >CDP15972 pep chromosome:AUK_PRJEB4211_v1:5:23241775:23244222:-1 gene:GSCOC_T00016905001 transcript:CDP15972 gene_biotype:protein_coding transcript_biotype:protein_coding MENFMLAISPCLIALLVCAWRALNSLWLRPKKLEKCLRAQGLSGNSYTPVYGDFKEMVSMIEEAYSKPINLSDDHVPRVIPMVTKTIKKYGGNSFIWLGPYPAVIILDSESIREIMVKYNLFQKPHFHPLGKYLIQGLVASEGKIWAKHRKIINPAFHLEKLKLMLPAFHLCATETLSKWEESVSPEGTCELDVWPHLQTLTSDAISRTAFVETTSSLLVWTSVLLSRHQKWQSQAREEVLQVFGRDESNFNGLNLLKVVTMILNEVLRLYTPLPVIDRTVQEETKVGKYTFPSGVRLMLPVLLLHYDVEIWGDDSEKFNPDRFHEGVSNATKGQASFFPFGWGPRICIGQTFAMIEAKLAMAMILQRFSFELSPSYTHAPYTIVTIQPQHGAHLILRKL >CDP11890 pep chromosome:AUK_PRJEB4211_v1:5:20532829:20533945:1 gene:GSCOC_T00035175001 transcript:CDP11890 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPSVDKNGMKKGAWSEEEDNKLRAYVLRYGHWNWRQLPKFAGLSRCGKSCRLRWMNYLKPGVRRGKYTIEEEDLIIKLHEQYGNRWSAIAAKLPARTDNDIKNHWHTHLKKRYKESPICEGSQHIDDADQNEQSSAMFTSGSSTDQKTEVDPTTSASDSLDAYTDISSLSCDSTLFDGVDWAADDSNSSVESLTEPFESFWTEPFALDTSFNNWFPSMEEEFMHPFSSFLDDSFDWFHEFNQ >CDP05920 pep chromosome:AUK_PRJEB4211_v1:5:25998242:25998676:1 gene:GSCOC_T00021239001 transcript:CDP05920 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGFFMICILHSLVALSCGGVMMFHSYEFLEFSHGRDRASKLVGSTPHDQLLIRTSDSFSGLLLFAIGFLLLMVAFVKDRDFQSYFAKGCVLLHVAMAIWRIYFERKLEVLGRDWLRLVVGDIVLGLSWVFFLVYSWREKYD >CDP05887 pep chromosome:AUK_PRJEB4211_v1:5:26234191:26236118:-1 gene:GSCOC_T00021199001 transcript:CDP05887 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAAALLPSTFSFSKEGKVGASLKDSSLFGKSLSDQIKSDISSSSLKIKRAVRAEAVITATPAVNRATAEGKKTLRKGTVVITGASSGLGLATAKALAETGKWHIIMACRDFLKAERAAKSVGMTKENYTIMHLDLGSLDSVRQFVDTFRRSGRSLDVLVCNAAVYLPTAKEPTFTAEGFELSVGVNHLGHFLLARSLLDDMNQSDYPSKRLIIVGSITGNTNTLAGNVPPKASLGDLRGLAGGLNGLNTASMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYHEDTGITFASLYPGCIATTGLFREHIPLFRFLFPPFQKYVTKGFVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDVEKARKVWEISEKLVGLA >CDP06020 pep chromosome:AUK_PRJEB4211_v1:5:25282723:25286519:1 gene:GSCOC_T00021364001 transcript:CDP06020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:Projected from Arabidopsis thaliana (AT1G53320) UniProtKB/TrEMBL;Acc:A0A178WNG9] MSLRRNFLSRRISNRSFSLSSKSVKELKNFDSINRDHGEGEDLQSAAVWGSGEMAPTPSRWSSMLPELLGEIIQRVEASEDVWPRRQNVVACGCVCKGWREITKDVVASSSRQPDGAAKITFPSCLKKPGPRDAPLQCLIKRDKKNGTFRLYLALSPSFMDEGKFLLAARRYGKGTHSEYIISLDPDDFSQGSNAYVGKLRSDFLGTNFTIYDSQPPHNGAKPSSSRAGRRFASKQISPQVPAGNFEIGEVSYKFNLLKSRGPRRMVCALKCPWSEDISVDKVNGDSKTESSLSAISSYTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDQSQPDGKGDEETVLLQFGKVGDDIFTMDYRQPLSAFQAFAICLTSFGTKLACE >CDP06064 pep chromosome:AUK_PRJEB4211_v1:5:24917866:24920488:-1 gene:GSCOC_T00021422001 transcript:CDP06064 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDGLRVAIEAWNQCNEVHKEAPQMGSPRRADCFDLHKHTCPTSHVKLVHKVKKKDNKLGIANARAQGFKNISVDHYAAWKELFLGKKCQVKDKPRPWQFWMIMLKSGNTDTLAAICRKDGKKSKPFPPGPRFPCFGKGCMNMPRIYHQYTKVQKHKKHRKNLRGSFFGTWDLDMDIKNAACRNDTSYYSVVWKKKIGKGSWVFHHLLKTSSKYPWLMLYLRSDATRGFSGGYHYQTRGMSKIIPKSPHFKVKFTLKIKRGGGRNSQFYLMDIGSCWKNNGKRCNGDVTTDVTRYSEMIINPSTQSWCKPSDISKCPPYHTFPNGTRVHRGDKERFPYDAYHLYCAPGNAKYLEEPYNLCDHYSNPQPQEILQILPHPVWGDYGYPTRKGEGWIGDARTWELDVGRLSQSLHFYQDPGTKPAKRHWPSVDLGTEIYLSGNEIAEWSVSDFDIIVPKKHYRDFR >CDP11312 pep chromosome:AUK_PRJEB4211_v1:5:13687943:13688206:-1 gene:GSCOC_T00033489001 transcript:CDP11312 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDPTEAKEYGLLDMVDDDISWIRGKVAYCLHKNGESRDPMWRFVGGITFAFTRNYLAGNSRDLQAIRDGHLTAPIELQNVDFLV >CDP14786 pep chromosome:AUK_PRJEB4211_v1:5:21969420:21973695:-1 gene:GSCOC_T00042244001 transcript:CDP14786 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIDQSEDNVAKKLKENEEAVTKDLSNFTSIAGSGSGSLSVENVNSSNSDLSYHDDGNDKQDAVDDDVSDYDDNDDYMFDDDDDDGDYLSMQAQFDNVDLPPGVEASVSWLQASSMSASIPTPPAVTTQFHTAAPSADAGSSSFVNLCPHKNEISASSSSAVTVESSSNGNEKEESEDEIMRKYEAFKSFDTVEDFSDHHYTNMGFKGQQPPKAWTKKIHDEWKILEKDLPDTIFVRVYEARMDLLRAVIVGPQGTPYHDGLFVFDVHFPSNYPDVPPMVYYYSGGLRLNPNLYDCGKVCLSLLNTWSGKGNEKWMPQTSTMLQVLVSIQALILNAKPFFNEPGYDTHYVGAEGERRSKEYNENVFILSLKTMMYTLRRPPRHFEDLVAGHFRIHACDILTACKAYMEGAPVGSLVKGKAPDVEAADKSMSKDFKEAVAKMMNGLVSNFTKYGAKDCEQFRLPR >CDP14822 pep chromosome:AUK_PRJEB4211_v1:5:22292079:22294180:1 gene:GSCOC_T00042286001 transcript:CDP14822 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISSVSLITLRYCILQLEKACFAAPPSPDAGIGKSKIPKHVTHGYYLLKGKSNRPMEDYLVSELRRLDKNELGLFAIFDGHMGNDVPKYLQSHLFDNILKEHDFWTNTEDAIRRAYHRTDNNILEQSKKLGRGGSTAVTAILINCEKLVVANVGDSRAVICKKGLAYQLSVDHEPSKEKNVIESKGGFVSNIPGDVPRVDGQLAVARAFGDKSLKQHLSSEPDIKVEIIDDDAEFAILASDGLWKVMSNQEAVDLIKDIKDPNSAAKRLTEEALSRKSRDDISCIVVRFQ >CDP11305 pep chromosome:AUK_PRJEB4211_v1:5:13459435:13464632:1 gene:GSCOC_T00033474001 transcript:CDP11305 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAAEEDKKPSGDQSAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDLNSIAFLFDGRRLRGEQTPEELEMEDGDEIDAMLHQTGG >CDP16488 pep chromosome:AUK_PRJEB4211_v1:5:8242177:8243905:1 gene:GSCOC_T00018436001 transcript:CDP16488 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHLSSIVNDVILRCAQVLDTSVDALIKEFESGLDPILHGYSRKLVEYCCSKVLKNLCNSMHERVSDGSFSRFTFDMMLAWEMPNSTDEESYMVGVLFLAFRYNHPLCALKSN >CDP15958 pep chromosome:AUK_PRJEB4211_v1:5:23171151:23176867:-1 gene:GSCOC_T00016885001 transcript:CDP15958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA1a [Source:Projected from Arabidopsis thaliana (AT1G06400) UniProtKB/Swiss-Prot;Acc:P28185] MAGYRAEDEYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSLNVDSKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRSTFENVERWLRELRDHTDPNVVVMLIGNKSDLRHLVAVSTEDGKALAERESLFFMETSALEATNVENAFAEVLTQIYHIVSRKAVEASDEGATSSVPAKGETIDIKDEGSSWKRFGCCSS >CDP13658 pep chromosome:AUK_PRJEB4211_v1:5:28375648:28380060:1 gene:GSCOC_T00038681001 transcript:CDP13658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) UniProtKB/Swiss-Prot;Acc:Q84VX0] MTVGAGISVADGKLNVLGICILSDIHDNVIVTPATGESFINGAFIGIQSDHKASRSVFPVGKLQGLRFMCVFRFKMWWMTQRMGTSGQDIPFETQFLIVEGQEGSHFGEDGGGQSATYVVFLPILEGDFRAVLQGNANDELEICLESGDPAVQEFEGSHLVFVAAGSDPFDVITNAVKSVERHLQTFSHRDRKKMPDMLNWFGWCTWDAFYTDVTSEGVKQGLESLEKGGIPPKFIIIDDGWQSVGMDPTSFEFKADNAANFANRLTHIKENHKFQKNGKEGHRSEDPAMGLRHLVTEIKDAYALKYAYVWHAITGYWGGVRPGVTEMDHYDSQMAYPISSPGVQSNEACDALDCITKNGLGLVNPEKVFNFYNELHSYLASAGIDGVKVDVQNILETLGAGHGGRIRLARKYHQALEASISRNFPDNGIISCMSHNTDGLYSAKRSAVVRASDDFWPSDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGQHDFNLLRKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSLLKIWNVNDLNGVIGVFNCQGAGWCRIGKRNLIHDERPDTITGTVRANDVDYLPRIAPDGWRGDAVVYSHIHGNLVYLPSNAALPITLMAREYEVFTVVPVKETSNGSRFAPIGLIRMFNSGGAVKEVGYGKNICVKARGCGAFGAYSSVRPKRITVDAEEVQFHFEEASGLVTLDLPVPDLPVPERALYLWTINIEL >CDP05767 pep chromosome:AUK_PRJEB4211_v1:5:27133319:27137909:1 gene:GSCOC_T00021044001 transcript:CDP05767 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWINQLRIAFGASFLWLVCLIYFTQGFRSFVWTAVSYQLKDNLKLSPSASQFVSSIAIFPWSIKPLYGILSDCIPIRGRKRIPYLILATLLALGPWLMLGVSASLRSSRVHLMILLTVQNLGSAMADVVIDAMIAEAVRLERASFAGDLQSVSWMAMALGGICGSLLGGYALENLQIDKIFLLFSVLPTIQLFSCGLVKENSFLPEPSTSNGLQTRSQRVLDEDKFSNMSKTITLRRKKGHKNTKKEAPVESEFEISGKDVSLASQWLRSLKMACSALFKAFRQPMILRPMAWFFLANVTVPNFSTIMFYYQSEVLNLEASFLGTARVVGWLGLMLGTFIYNRYLKKMNLRRILMWAHVGLALSSLLDVALVSRSNTSLGISDKVMVLGGSVLADGINQFKFMPFLIMSGQLCPPGIEGTLFALFMSINNLGSTVGSIVGAGLASLLDISSGSFDNLALGITIQVLCTFIPILLLFLIPKEATGMPA >CDP05711 pep chromosome:AUK_PRJEB4211_v1:5:10848993:10856178:-1 gene:GSCOC_T00020943001 transcript:CDP05711 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLFFGFSFILICIELFVGANALYGPSSPVVQLNPSNFKSKPSAFSFSPVVQLNPSNFKSKVLNSNGVVLVEFFAPWCGHCKALTPTWEKAASVLKGVATVAALDADAHQSLAQEYGIKGFPTIKVFAPGKSPVDYQGARDVKPIAEFALQQIKALLKDRLNGKATGGSSEKSEKSEPSVSVELNSHNFDDLVLKSKDLWIVEFFAPWCGHCKRLAPEWKKAANNLKGKVKLGHVDCDAEKSLMSRFNVQGFPTILVFGADKDSPSPYEGARTASAIESFALEQLETNVLPPEVTELTGPDVMEEKCGSAAICFVAFLPDILDSKAEGRNNFVWAAAGKQSDLEKQVGVGGYGYPALVALNVKKGAYAPLKSAFGRDQIIEFVKEAGLGGKGNLPLGVTPSIAKIEPWDGKDGEIIEEDEFSLDELMGDDTANKDEL >CDP06051 pep chromosome:AUK_PRJEB4211_v1:5:25018110:25019378:-1 gene:GSCOC_T00021403001 transcript:CDP06051 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVQEFVLTSSPDGRITAYDAYSGSSLGQYNGSRTPRKGLTMIGKKLIAASHVCSDTGLGSVHLYHWWYSAPFHQLPMPEPVAPLVATRDGSYLFAGGVSGHVHSISLPSGDIILSVAAHSKAITCLEINDDGSLLFSGSDDGTIAVFPIHQLVDSFSSRNCTCKFWGLMHTSPLRSITFPCTIWEVKVDPLESEFYVAGSDGLVYKCALKVRSRMMMKQGPQLITWKRQHDDAITSMATMNWGKNLVTASEDGKLCFWDVGRGDLIKVVDQEKTGSISNVMAVAAGLNGHVSAALARMRKSVAGFGRWDLGFSGKKDLYVPITEMKEMEEHLAVSVVDRKRSIDTLELALGAYERVLKLMHKEVKGSASSSNSVDQDKTEGN >CDP13714 pep chromosome:AUK_PRJEB4211_v1:5:28045135:28047171:1 gene:GSCOC_T00038752001 transcript:CDP13714 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRKLLIFSIFFLFFNFEYIQPLPSSSSGTTLNFDFQSLALRNLTLLGDSYLRNGVVGLTKELGVPSSSSGSVIYNNPIAFFDPETNITASFSTRFAFSIQNINPSSSGDGLTFFLSPDNQTLGSPGGYLGLVNSTQLTKNRFIAIEFDTKLDSHFDDPDDNHVGLDIDSLISIKTANPASLGISLKSGNLIFTWIDYKNEEKKLDVFLSYSSFKPGVPLLKVDIDLSGYLKDFMYLGFSGSTEGSTELHFIENWSFRTRGFRPVRPRIHPHNVTENSVPLNPPIPVSDPGNRHHRRLGLGFGIAGPAFFCAVLVVFGWISVNKWKENKTEKTLKAELVTGPRQFSYQELKSATKGFHANRVIGHGSFGTVYKAFFVNSGAVFAVKRSKHTHEGKSEFLAELSIIACLRHKNLVQLQGWCVEKGELLLVYEFMANGSLDKVLHQETDNGNPLKWPYRYNIAVGLASVLTYLHQECEQQVIHRDIKTSNIMLDGSYNARLGDFGLARLMDHDKSPLSTLTAGTMGYLAPEYLQYGKATDKTDVFSYGVVILELACGKRPIEKEGSANKMINLIDWVWKLYSEGKLTEAADKRLNGEFRDEEMRKLLLIGLSCANPDSAERPTMRRVFQILNNEAEPISVPRVKPSLTFCNSLPLSIDDIISDDDGGNTPDSRFEIVVD >CDP17857 pep chromosome:AUK_PRJEB4211_v1:5:1497848:1503619:1 gene:GSCOC_T00013174001 transcript:CDP17857 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIHFFELNTGAKIPAIGLGTWQADPGVVGQAVEIAIKVGYRHIDCARAYENEKEIGSVLKKILEDGAVKREELFITSKLRSSDHAPEDVPAALDQTLQDLQLDYVDLYLVHWPVRRRKDSVGFKPEDLLPADIPSTWRAMESLYNSGKARAIGVSNFSVKKLGDLLAIAQIPPAVNQVECHPSWQQPKLREFCKSKGVHLSGYSPLGSPGTTWLKGDVLKHPVLLSVAEKLGKTPAQVALRWGLQMGNSVLPKSTHESRIKENLNIFDWSIPDDLFVKFSEIEQARLLKGTGFVHETFGEYRTLEDLWDGEI >CDP13771 pep chromosome:AUK_PRJEB4211_v1:5:27676530:27681225:1 gene:GSCOC_T00038823001 transcript:CDP13771 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-ASSOCIATED FACTOR 6B [Source:Projected from Arabidopsis thaliana (AT1G54360) TAIR;Acc:AT1G54360] MSTVPQESIEVISQSLGINNLAPEVLPGLASDVEFHVREIMQEAIKCMRHSKRTTLTSEDVDSALGLRNVEPIHGFASGDPLRFKKAAGHNNLYYIRDKDVEFKDVIDAPLPKAPLDTTLVAHWLAIEGVQPAIPENATLEVQSDNRKAEYREDGVSVDVKLPVKHVLSRELQLYFEKITELTVSRSSSILFKEALVSLATDSGLHPLVPYFAYFIADEVSRNLNNSYQLIGLMRLVWSLLQNPHIHIEPYLHQLMPSVMTCLVAKKLGNKFSDNHWELRNFTADLVALICKRYGHVYHNLQPRVTRTLVHTFLDPTKALPQHFGAIQGLAALGPSVVRLLVLPNLEPYLRLLEPEMLLEKQKNGMNRLEAWHVYGALIRAVGLCMYNRLKKLPTLLPPLRAMSRSNPKVITKIPSKRKATVDNMMQQPPLKKLATNGPMGSLTANSVPVDMSGTSGGYAANIQVADSSFPSISKAFSNENVRGISARRDGVASQGYKSSAVLQQAWKEEFDTGNLLPLLYEYFGESMLNFVPTPELSLLL >CDP14769 pep chromosome:AUK_PRJEB4211_v1:5:21752278:21754878:1 gene:GSCOC_T00042220001 transcript:CDP14769 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCLPACSAPWCTSLLRYPVLFIRCCLGFAVPRFGVTHHWYGRHSLASLTDLELWLWGLSSFPPPKARRTSVLRVLRVSVV >CDP14753 pep chromosome:AUK_PRJEB4211_v1:5:21670838:21674053:1 gene:GSCOC_T00042200001 transcript:CDP14753 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAAALLPSTLSLHKEGKSNAALKETTLFGVSVSNHLKADFISSSKLSKDFRRRSSLGTIRAQTVSAPEISQAASEEKKTLRKGNVIITGASSGLGLAAAKAISETGKWHVIMACRNFLKAEKAAKSAGISKENYTVMHLDLASLESVRQFADAFRQSGRPLDVLVCNAAVYFPTAKEPTYTAEGFELSVGTNHLGHFLLSRLLLDDLKQSDYPQKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLSSGLTGRDSSPMIDGGQFDGAKAYKDSKVCNMLTMQEFHRRFHEETGISFASLYPGCIAETGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVISDPSLSKSGVYWSWNKNSSSFENRLSKEASDSEKARKLWEISEKLVGLA >CDP15882 pep chromosome:AUK_PRJEB4211_v1:5:22540166:22541196:1 gene:GSCOC_T00016787001 transcript:CDP15882 gene_biotype:protein_coding transcript_biotype:protein_coding MARTALSQLLALAPLLFLLLLRINAQSSTAPAPAPEGPINTTGILDKAGGFSTLIRLLDETQAGNQIDNQLNNSHDGMTLLAPTDNAFQNLPAGALNKLSDQQKVQLIQYHVIPKYYTLTSLQTVSNPVRTEASGQDGGVFGLNFTGQTNQNQVNVSSGTVNTPIYNVVRGKFPLAIYQLDKVMWPMEFNESKASAPVSSPPTLNSTRSGSGDGNTTAETPSTKSDAKSMTVGLSLISGLWLLCMGVLF >CDP16067 pep chromosome:AUK_PRJEB4211_v1:5:796126:796549:-1 gene:GSCOC_T00017077001 transcript:CDP16067 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPTPNTYCLVSNILYKFQWWPLGVDGKLLLAILTWLPTIYHWSSNWMCSMLQDQLRSWNLVGNDCPSFSAITHAVYPCCKNKLDLRGM >CDP05952 pep chromosome:AUK_PRJEB4211_v1:5:25763157:25765074:1 gene:GSCOC_T00021278001 transcript:CDP05952 gene_biotype:protein_coding transcript_biotype:protein_coding MANYHYYESSDAHLPPGFRFHPTDEELITYYLLKKVLDSNFTGKAIAEVDLNKCEPWELPEKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCSLVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRSSKDEWVISRVFQKCGAGTGPGSGGGKKRLAPGINLYPEASSPSSVSLPPLLDSSTYTATSATSASTTDHESCSYDCNSAKEHVPCFSNSAAASFNHNSVFELPPPPLPPPAPAATLLSSLFDPSPSSGARFPRTNAGLSAFPNLRSLQENLHCPFFFPTAAAAAPPPQPINGSDANGIHYGSVTNWSAPDNQKVGPAELDCLWSF >CDP10792 pep chromosome:AUK_PRJEB4211_v1:5:18082831:18083076:1 gene:GSCOC_T00031663001 transcript:CDP10792 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGTSWADQWGTGKTGEEDKKKRLIKKSGKKMDDFKKVASAGFVKAKAVAVFGAKKVKTGTSLGIKWIKDQSQKRKSSK >CDP14780 pep chromosome:AUK_PRJEB4211_v1:5:21847995:21848973:-1 gene:GSCOC_T00042235001 transcript:CDP14780 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSGIVAASMAAASASAYSVSSSSSSKTNIPHEDLNVSSKKKEKKSASQTTTSSDKFAPRFDGLRFIETLVTAHR >CDP13644 pep chromosome:AUK_PRJEB4211_v1:5:28482801:28489197:-1 gene:GSCOC_T00038659001 transcript:CDP13644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) UniProtKB/Swiss-Prot;Acc:Q9FKT0] MVNLLRKVWESVSQRSASASSSASSTNQPDRFDQMTYLLTSSAGDFDPIPLDIFIQILKFLGPKESAKLSSVCRFWKFIVADNRLWIYFLQNQQEPWDSIFFAETHLRSGYPLQMFSTQMPDLSFMHIYAQREQVPGAIIIDGGSGYCKFGWSKYASPSGRSATFLEFGNIESPMYSRLRHFFSTIYGRMQVKTYTQPIVLSLPICHSDDTESDIAARKQLKEAIYTALFDMNVPAVCAINQAVLALFAARRTSGVVVNIGFNQTSVVPILHGRVMHRVGVEVTGMGALKLTGFLREKMQQKNLHFDSLYTVRALKENLCYVAFDYEAELRKDTEASFQVAAEGWFTLSKERFQTGEILFQPRIAGVRTMSLHQAVALCLDHCQDSELSGDDNWFKTVVLSGGSACLPGLAERLEKELLQSLPHSTSKGLKVIPSPYGADSAWYGAKLIGNLSTFPGSCCVTKKQYRQRSRRNLRW >CDP11838 pep chromosome:AUK_PRJEB4211_v1:5:19911594:19913391:1 gene:GSCOC_T00035104001 transcript:CDP11838 gene_biotype:protein_coding transcript_biotype:protein_coding MKTELRGNNTPISLQNPNLFDSPQSSILSGALKGCLGSLDGACIEKLLLHCASALESNDVTLAQQVMWVLNNVASPVGDPNQRLTSWFLKALISRVSRVCPTPMNLNGNSSPQRRLMTVTELAGYVDLIPWHRFGFCASNSAIFKAIQGYNKVHILDLSITHCMQWPTLIDTLAKRPEGPPSVRISVPSWRPPVPPLLNVSSEEVGQRLGNFAKFKDVPFEFNVIGEQPTVPSSPCISTLECSSLQYDFLLNQVLNPSTLKLEDDEALVINCQNWLRYLPDEQNNGASQEISCRDIFLNRIKDLNPCIITVVDEDSELDVSNLTSRITTCFNYLWIPFDALETFLSKDNSQRIEYEADIGHKIENIIAFEGTQRIERLESGTKFSQRMRNNGFFSVPFCEETISEVKFLLDEHASGWGMKKEDDMLVLTWKGHNSVYATAWVPCGFDD >CDP06016 pep chromosome:AUK_PRJEB4211_v1:5:25301135:25303737:-1 gene:GSCOC_T00021360001 transcript:CDP06016 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQSLPFAKALNPPLFNFQPSSSFSKFLNPLHRKIAPIYPSSGTAKSLKIHCQNPTPQFSRQNATHQRDVRSFAGRSKGKPGGTSTGRIEGNAEVRRQAKRNARRKSRKLAESLFYRLKNPNKNYPDNLSEEQLEMIGLGYDRMVRFMEKDDPNLRHPYDWYKYGEFGPYSWRGVVLGEPIHGRITDECVTLIGEVRNQEEWEKIEQFEMAREFQTRLDAMDKNVKFRNFWVFVRHPRWRVSELPWQQWTLVSEVVLEAGDQRLDKWNLMGRLGNKARSMITQCAAWMRPDIIYVKRPVYQCRFEPQDDFFKELTPLLDPQTEGDFLFQLVNDDGRVEFCTYFGGLCRIVKANPKAFVDDVVNAYEKLSDEKKSKCLEFLLNNHPVPLLHPYTKEWKVKLEEMELGCDAPDDENGDHGDNDEEGQIMDWIEDDGDENDGRSDVEDGEDDDAILDVEDAGDDELGIKEEEEDFGPEEDPNYWDAEFKKALSSNEAMEKLAKRGLEISNKIYEKQIRAMERRGNGTVEEDGDELAMRRTRAKVSPAEWKNLGYGPFRKRIKKSKVPPGLFLRAAVRPFTYRNLVKEIVLTRHAIIEGEIGRKK >CDP11336 pep chromosome:AUK_PRJEB4211_v1:5:14490582:14497519:-1 gene:GSCOC_T00033532001 transcript:CDP11336 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLASTEGAYFLQESKQAVTKIIEKTKPKLPSNSIQNEPSSSADVLPEVLKHSLPPKIFQSSSTPNSSSFSSPSKWVLQTDPKRPTSASSDAINPLRAYVSLPQVTFGPKRWQLPTAENAVLASTANELRRDKDTPVNPEKLKAAAAGLSQIGKAFMAATVIVFGGAILTFELATSKLQLHTSDDIRTKGQNFIQPKFEMVREQFSPIRTWAEDTSKRWHLEKDEAFKENPLLKELSKTFSSKAK >CDP05967 pep chromosome:AUK_PRJEB4211_v1:5:25662000:25666701:1 gene:GSCOC_T00021300001 transcript:CDP05967 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLRKPEITKVSDRITGNGSLRSRQGFKTCNRSIVTSCRAVLLPRFGGPDVLELRDNVNVPDLKPNEVLVRARAVSINPLDTRMRAGYGRSIFEPLMPLILGRDISGEVAAIGNSVRSLGIGQEVFGALHPTAVRGTYTDYAILAEDELAPKPAPISHVEASAIPFAALTAWRALKSTARIAQGQRVLVVGGGGAVGLSAIQLAVAAGCHVSTTCGGESIDRVLAAGAEDAVDYNMEDLEVAMKGYFDAVLDTIGLPETERIGINLLKRGGHYMTLQGEAASLTDRYGLAIGLPLATGILLKKQIQYRYSHGIEYWWTYMRADAEGLEEIRRLSEAGRLKIPVEKTFSITQVREAHQAKDRKGHVPGKIVLELEK >CDP15960 pep chromosome:AUK_PRJEB4211_v1:5:23187521:23188072:-1 gene:GSCOC_T00016888001 transcript:CDP15960 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPMWFLCEELRVAPSNFEEKLGGGGFGSVFKGRLQDGTSVAVKHLEKPSLDMKGFLAEVKTIGSIHHFNLVKLIGHCKDKSHQLLVYGYLNWQIRKRIAIDVAKGLAYLHEERSQKVIHLDVKPQKVLLDENFNGKVSDFGLSKVIDRDKSQVVTTIRGNPG >CDP13713 pep chromosome:AUK_PRJEB4211_v1:5:28047363:28051755:1 gene:GSCOC_T00038751001 transcript:CDP13713 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLPNIDITPIPVSFLSFLFILVLKNAILWPLSVASIMAVLVSSFQFQVGGEEGWVKPTGNQTEAYNHWATRHRFHIGDSVYFRYEEDSVLVVSSSDYENCRTSHPISKFDDGNTVFQFERSGLFYFISGQPGHCKSGQRLVIRVMHPSEEVEPPQAAPSPAAPNPNGGGGEDIWDNSNNWGPPALNSTDKLTVASYFMTFLGGVIVFLYWLM >CDP18749 pep chromosome:AUK_PRJEB4211_v1:5:23572009:23574974:-1 gene:GSCOC_T00002683001 transcript:CDP18749 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDPMTNSIVSWSRSGLSFIVWNPPEFARDLLPKYFKHNNFSSFIRQLNTYGFRKSDPDQWEFLNEDFIRGQRHLLRNIYRRKPIHSHSVQGNSAVPLGNAERQSFQEEIERLKGEKNLLQSELERHKQESREFETEVNSLAERLRNIDNRQRQLMACLAQLLQKPGFASGLMQQSENHNKRRRLLISNYLYDEANIVLNAELIEKLDASVNFWETFLYGMCSSSAEEMYGFACLPHPPPLTITGPLASSGDSDVNVQPCSSNSLRSSPSGEFHSSPELVVSSNHVDSPATSSPCLDMDSRSKSSGIDVNTSPATIMEIETSKDRVGSVSASAPAGANDVFWQQFLTETPGSSDTQEVQSERREIAARSSDSRLADHHRTWWNKNNVENLTEQMGHLTPAEKT >CDP11830 pep chromosome:AUK_PRJEB4211_v1:5:19744457:19748721:1 gene:GSCOC_T00035095001 transcript:CDP11830 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSFHDFLKRIKLINAIVAEKYPETSPSSKFPRTNELGFIDFLLKYIGDLTNPDAHSVALSNYPIHAIQEELVYLRSFLGRIVELRNEDQELQALWDRVVEVAYKIEFLIDSLLVGDILDSSSTSFDSVEEEIKIIKAAAWNIFDKKTLDLNVKEATKRPNNMPSQGSMPIINDMIVGLEDEATSIINRLTRGSSLLQIVPIVGMPGLGKTTLAKKVYNNSLVTSHFYTRAWCTVSQVYHRKNLLLEILTCIHSKLPEKFSEMCEEDLAAEVRRGLLRTRYLIVLDDIWDAEPWKGLEASFPDNQNGSRVIMTSRKSDVAALRDELDEGPHFLRPLTPDESRDLLNMKLFPRNDLPPPELQMKIVEMCRGLPLTIVIVAGILANENQHRRKELVDGLSSRIVSSTEQCSAAIELSYKNLPENLKPCFLYFGAFPEDHEHTAEKLIWLWGAEGFTQKTQFKSAEDVANDFLMDLIHRSLVIVSKQRSIGGVKACRVHDLLHEFCVTKGKEENFLQLVRGYDEIYTFRVPRNLRRLCINSNPEHFCKSRLFAPTIHSLIHSDGGKRHRHRGFKISSIFGIFKLVRVLELSNINLGTTFPRELELLVQLRYLAILGDMSSIPSSIANLLNLETFIVETFDRTVLLPDTVWNLKKLRHLQIKDNYSVSSFFLPTDNLDTAANLCDLNTFSGLSLSSWAIVRKVFIKIPNIHKLKCILVAGSEHNWVSADNILVLDFLSGLESLNLMFNHYRHLPNPCQFKFQFPLAIRKLTLSNFRFPWSKISAIENLPNLEVLKLLRDAFKGEIWNMEKEGFPKVRFLEIVALDIVKWTAYEGVDCFPSLQKLALKSCRSLKEIPSCLGSSTLEIIEVSHCPFSASFIQPLQEEQMDLGNADLKILFS >CDP14744 pep chromosome:AUK_PRJEB4211_v1:5:21552530:21555073:-1 gene:GSCOC_T00042188001 transcript:CDP14744 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLFGSPIHHLLRDLAKKYGALMHLKLGETSTIVVTSPEMAREIYRTNDIIFASRPSQSKEDLVDVLLNIQKRGDFEPQLADTSIRAVILDIFSAGSETSSTAMEWAISEMIKNPEIMKRAQQEVRNFYNDKGNVDESRLHELKYLHAIIKETLRLHPSAPLLLPRECGQECKINGYDIPAKAQIIVNAWAIGRDSNYWSEAEKFNPSRFLDSEIDYKGNNFEYIPFGAGRRICPGISFSQAVIELVLAQLLFHFDWKLPGDLKPEEFDMAEKLGRTIRPQNDLLLIPIPYSASCLT >CDP17846 pep chromosome:AUK_PRJEB4211_v1:5:1214950:1215763:-1 gene:GSCOC_T00013159001 transcript:CDP17846 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPEIWIIRTSPLLTKVPEGGAGAGGGGERGRGEAGSRSTEAKGSFSILPLHTRTESTLRNIICGNVGNCLCRLYLIR >CDP10803 pep chromosome:AUK_PRJEB4211_v1:5:18267822:18270248:-1 gene:GSCOC_T00031679001 transcript:CDP10803 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVNGLILDWESMGTDLFWAIRGGGGASFGQGAIDLIDKWPSIAHKLSKDLLIFMTVSSGYGTPIKAMFQSLFLGKADKCRACTIIITYSREIQILKAYCFEMSWIESVLHFPKYQRGETIEALKNRIQPVPNYHFKSKSDLVHKPLPYKAIDKMWKWCSEVDFPTIIIFHPYGGIMNEILDFETPFPYRKGVIYELLYSMTPHVLKEPTGAIVNIKDLDIGSNDVYGTAYFEAKVWGSMYFKINFERLALIEGAVDPDNFFYHEQSIPPLVSHAK >CDP06113 pep chromosome:AUK_PRJEB4211_v1:5:24464743:24466195:-1 gene:GSCOC_T00021499001 transcript:CDP06113 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMRVQVALGEKGIEYEYKEEDLGNKSPLLLQLNPVHKKIPVMIHNGKPVCESLIIVQYIDEVWHDKNPLLPSDPYQRAQARFWADFVDKKVYDSTRRIWTTKGEEKEAAKKEFIEILKTLEGELGNKPYFGGENFGYVDVALIPFYCWFYAYETFGNFKIECPKLVEWAKRCMQRESVSKSLADPHKVYEFVVSLKKKLGIE >CDP13731 pep chromosome:AUK_PRJEB4211_v1:5:27920053:27921774:1 gene:GSCOC_T00038776001 transcript:CDP13731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase QRT1 [Source:Projected from Arabidopsis thaliana (AT5G55590) UniProtKB/Swiss-Prot;Acc:Q9FM79] MMNLSCFSTCGIVVLLVLLFWGDVLKGPPKVYAVEEFEKHYIEWDDLTVADESDQGLDLDQRKPSKVIVVDKDGGGDSVTVQGAVDLVPERNQLRHKIYILPGIYREKVRVPKSKPYISFIGEEGRASETVITWHDKASDKDTDGDEIGTFGTASVTVESDYFGAREVTFENSVVAIPGTNNMQAVALRLKGDKAWLYRVRILGTQDTLLDESGLHFYEGCFIQGSVDFIFGNAKSLYRDCILHSVANGSGAIAANHRDTPNQDSGFSFVHCQIKGTGRILLGRAWGNYSKVAYSFCDFDDIIAPEGWSDWNSTAKERTVEFGEFRCRGKGAGRRNRVAWSKSFSFDEALPFLTTQFIHAYQWLLRR >CDP10782 pep chromosome:AUK_PRJEB4211_v1:5:17794200:17794730:-1 gene:GSCOC_T00031646001 transcript:CDP10782 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSIKLYLLLILALVTCSKAELGELKETSMTIYFQDQYGGPNATVAQILPENPDGHLSFKKFGAIFCTDDLVTEGFDVSSTQVARAQGVYITSALDGSNTHVLVSIVFNNGEFKGSTLEVQGASAQFERVREVAVVGGTGKFRFARGYVTFETIHINSAANLAVIQCNITVLHY >CDP15910 pep chromosome:AUK_PRJEB4211_v1:5:22834476:22836162:-1 gene:GSCOC_T00016822001 transcript:CDP15910 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEQMRREDVTDERAVDIEKDRVPKMATHFESLAVKAGGGTDEERGEGIERKKGEEEGKEKEMPSLEEITEYRQQAQQNSMEAIRAAEERSRQAKEAACQKPGATSEYAAEKAGQAKETAKQGVQTGSQYAAEKAGQAKESVKQGVERGTQVASEKAKALKDAAVEKGQEANDVTVEKAVQAKDATVDATKKAAGYVGEQTAVVRDITVESGKTAVGYMGKVAGEATDMAAVAGWGAAHYTAEKAALGTKVMADVAGVARDKVAGAGQTVVGYTESKLAAAKDAVVATEESAAEHAARKKPEAQRELEEKKSTPVKEGGFITKEKQYEEEGGEAKPTEKEFIQEETRQEGQGGGVLHAVGETLAEIGQTTTDLLTGQGLPGQTHTFDKDESHKEEQKGQS >CDP13746 pep chromosome:AUK_PRJEB4211_v1:5:27847578:27850313:-1 gene:GSCOC_T00038794001 transcript:CDP13746 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYLCVCVLERERERGGRVFLGGVGGLGLGLGLGLDWAGRRSYPSQGLWNLDLQKASAPFPDKSLSEWTRLY >CDP11330 pep chromosome:AUK_PRJEB4211_v1:5:14113467:14116347:-1 gene:GSCOC_T00033517001 transcript:CDP11330 gene_biotype:protein_coding transcript_biotype:protein_coding MATITMTPPWSSFSMKSSSREHKNSGSCSRLCQSIKAMRIEKPLEELYNVRVERNVSKERLAELGVSKWSVWKTGKCKLPWDWHVDQLVYIEEGEVRVVPEGSERFMRFVAGDLVRYPKWFEADLWFNDFYQERYKFRAYGDD >CDP18735 pep chromosome:AUK_PRJEB4211_v1:5:23674693:23679115:1 gene:GSCOC_T00002667001 transcript:CDP18735 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIPSLVDLCVQIAVLNVRYLGNVGETEFHLLERILPHCTMDQLIHVENCTEGRDLSPVTNKLWKKFFERQFGAESAELVVARMKKRRVEFKWRELYKAKSQELEEKEKKISERLKQRYANEAAEKQKRRVQICTKVPPSSFKRSFFGAAGPYNSTSNGKSSIMKKAKLEFLNSREVKNLAAVKNKAVQTSYSVSSSRKPSGFSSVASSSTCKPKPVQRRF >CDP16474 pep chromosome:AUK_PRJEB4211_v1:5:7567764:7580404:-1 gene:GSCOC_T00018412001 transcript:CDP16474 gene_biotype:protein_coding transcript_biotype:protein_coding MLVENQKKNRKKEEKYIPLAVSRFSASSSLLVKISGSQRLEDNLARKFWLSKIVRVASHVLQGSQRQIVSRFSLFSFLKFSNQFQVIGLTGKLTMEALGNLAFDRGRKCYHLRDSLRSLETKLQRLSNRKIDFESKVKVAERSGTKKRKREVENWFEEVAKTENEFVALKTRVEQGNLLKNAFSSGDGVEKMDEIVEQLMAQSDSDHFAELCLEASESRGEPRVTTELFGKMFCKGLETIPAWLDTNEILRIGIWGMGGVGKTTLAEHIHNHLLENTQSKVYWISVSQDFSIKKLQGDIAKRLGLDVSNGDDEGVRARKLRDKFEKMEEMVVLILDDVWEDFGLDWVGIPLDARNCRLILTTRSKEVCNRMQCHSNFELKTLDTEEAWGLFERTLGSETSLDGGLKDIAKSIMERCDGLPLGIVTVAGSMRGLRDIYEWRNALEDLKACSIGHDKMEKKVFRILEWSFNRLNKCEKNCFLYCCLYPEDSDIKREKLIDLLIWAELMSKRDSRSKAFDEGQTILNKLIRVCLLEETKDSKGDDCVKMHDLVRDMALRITNGNSTPESSRDDVPRFLVKSLGWRRSKVILEQEEWTQDLRAVTFCSKNFKGIEIPPAWSPNCPKLSTLLLSRVFLKEIPDSFFQHMCALKVLNLQGCKGITELPNCVSDMVNLTALILGHCADLMSVPPLGKLKQLRELNLSKTKIQDLPQGWESLVNLERLNLDECRTLSLKILPKGTFSQFHRLQLLILPPFGKVQVNDPEVLNQLEGFIGCLSFTDFYKITRWPKYYNVYINDILTKSRGYMYYQKQLYFHQCKLGRGSNYLPDDMKSLRIQDCEGMGIRCLSDAFRNFINLSHLFALYIVDSVGIEFLWQLSPASPHDQLEVSSLSPLCHLERLGLSRLPNLVGLFYGGSEPYLLPVGTFSSLKIMWIHECHNMKQLFTVQLLQTLQNLGNLNVKDCEGLEEIAADGYGVGQEGGEGIQLASSEATATVILPKLRRLTLNGLPQLKNICKAAMICNSIKEIEVFDCPKVKRLASFLSSIDGPPCPPSKLGMIRGDKEWWESLEWDNSYPKNALDPLFRAR >CDP14808 pep chromosome:AUK_PRJEB4211_v1:5:22182441:22186357:1 gene:GSCOC_T00042268001 transcript:CDP14808 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQSTNSHVKIECCKKIVPNGVIWPYLHRDNPDGCDSCPFCLVLPCLVKLQQVSNHLELIKPNPKDHPHRQRKDAELAAAGIPRMRVLWVLSNAKHCGKMRALEKLMFSWLSLGDKVLLFSYSVRMLDILEKFLIHKGCCFSRLDGSTPTGVRQSLVDDFNSSPSKQVSFDIWTGGLGLNLVSANRVVIFDPNWNPAQDLQAQDRSLRYGQKQHVAVFRLLAAGSLEELVYTRQVYKQQLANIASRSLRLGVLDPTFQDCREFQGELFGICNLFRDLSDKLFTSEIIELHEKQGIEHGDCESSKQIFTELQRCFLPQKELTNTSAEASQNSKPKDASKEPVEPVLEDLGIVYAHRNEDIVNYGPWIQGDKELDTNLKGTVQHSLLLVARRRKSEAVAGSKNTIENAASSKMRKKSQYSLLAQFMGMEEVEFSKWLLSAYPEEREKVLRDYKRRKDKNPNG >CDP06036 pep chromosome:AUK_PRJEB4211_v1:5:25135653:25137603:1 gene:GSCOC_T00021386001 transcript:CDP06036 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSNIQENIDDIDGNHGTFKGPSDSFGYANARGRESDNFFINLVRHSLLLGAEKLLMARKSPGPFLSSQLESCSINEDKNDLVRKWKGKGAMQLDEKVRWNSSSSNPGIESSNIFYNNFPLDFVAFLRILTVLILELGGAQLSLFTIVFTLPIQIFNFWLTMLTLPFRALALAREHLMKKLLTLWSYSWFSLMYFICDRLKLQESMLKVAVRFCWAFFWSVYVYLILVGLLIMGFVIGGITMRHLVVESIQTTENLNFDYTTSSPVAFVPVTSSPVTGASPGLISEDRMLFSKSAGGRTIPYNHKLQLTVLLTMPESEYNRNLGIFQVRVQCLSSDGKVTARSSYPTMLQFKSQPIRFVGTIFKSPLLLAGFQSEIQHLKIGVMYFTEGYEPTACFKVIIEQRAEYAAGFGIPEIYAASLHIESELPQIKRMIRYWRRSVFVWISIITFLTELIIALIFCRPVIFPGRSTIKVVGARSKVSHGIKAFEPLAVSERSTTTTTTSC >CDP13578 pep chromosome:AUK_PRJEB4211_v1:5:28972986:28974887:-1 gene:GSCOC_T00038575001 transcript:CDP13578 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQKVSLETTSLLLDQSLTPDLRKHVELFLMCHSKMEEVVPSDVAVRLVVFNLGYLPGGQKALITRSETTLLALEAAKRVLAPGGLISIVVYVGHPGGREEFEMVQAFASELPVQNWVCTKLQMLNRPSAPMIILLYRR >CDP10789 pep chromosome:AUK_PRJEB4211_v1:5:18023015:18032092:-1 gene:GSCOC_T00031660001 transcript:CDP10789 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKNLINLGCLFPQVGCAQFSEKPSVYACLGLKCSEFIGFSGNHAIRCLGDQNCSSNSASELPTVVDNRESSLANKLQKDLTSLPRPLSVSDFSTFPSHGSKVRVSFKGEPGSYSEDAALKAYPQCETVPCNEFEDAFKAVELWIADRAVLPIENSLSGSIHRNYDLLLRHRLHIVGEVQLPVNFCLLALPGIPLERLKRVLSHPQALAQSDNFLSKLGVVRENFEDTAGAAQLVVSKRMFDAGVIATARAAEIYGLNIVAKAIQDEPDNFTRFIILARDPIIPRTDKAFKTSIVFTLEEGPGVIFKALAVFALRDINLTKIESRPQRKQPLRVVDDSNNGTAKYFDYLFYIDFEASMAESRAQNALGHLQEFATFLRILGSYPIDAAA >CDP06076 pep chromosome:AUK_PRJEB4211_v1:5:24834286:24840341:1 gene:GSCOC_T00021435001 transcript:CDP06076 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPLSVLVIFVLIMLLIFWAARTVNWVWLNPKRIERCLRKQGFNGNPYRLLYGDTKEIGSMIKAANSKPIKLSDDILPRVLPFQLHLIKTYGEKSFFWVGPVAKVLIMDPELIREILARNAIFKKPIPNPLAKFLVAGLAGYEDEKWAKHRRIVTPAFYVEKLKNMLPKMYFCCNEMIRKWETLLAEKSCLELDAQPHFDDLTSDVISRTTFGNCHAQGRKIFKLQKEQAELTGQVLKSVYIPGWSFLPTKRNKRMKKINNELQALFLDIIMERERVMKLGKDDDDNLLSMLLKSSAREIQEQGKKHGLSTGEVMEECKTFYFAGQESTSNLLAWTTVLLSMHPHWQVHAREEILRVFGNNKPDYDGLNRLKIVTMILYEVLRLYPPSIIFSRTIYEETKLGEMSFPPGVFLMLPIILLHHDPQLWGEDCKEFKPERFSQGIAKATKNQLSFFPFSWGPRTCIGNNFALMEAKLALTMILQHFEFELSPSYIHAPCFVVTHKPQHGANIILRKI >CDP13552 pep chromosome:AUK_PRJEB4211_v1:5:29124682:29130073:-1 gene:GSCOC_T00038541001 transcript:CDP13552 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSFSKFSYPCTTTRPSFISSSSTSPFSTSSGAAGGGGGRDRGRGSISPKFQFTKEDHTPKPSENSSVAGFGHGQGGGRGGGSGKPLPSSLPPFTSFVDKTTVPVPVPGRGQGRGRGIGAGLGAGHVTPPTPAPAQPSGPSRKPIFSAKDGGVAPHDSHFPPPTQSPTVPRNPDDTHLPSSILTILSGAGRGKAPRSPSPVPDKPIEENRHIRARQQPPGATREDSSTNSAATSAQRLSPEEAAKKAVGILSGGRGDTGRDEGARGGRGGGGGGGPRGQGDRGARFEDAGFEDTGYEDTDDDDSAAGLYLGDDADGDKLTQRLGPDIEDQLSEGFEEMSSRVLPSPEDDAYLDALHTNLLIECEPEYVMGNFDINPDIDEKPPIPLRDALEKMKPFLMAYEGIQSQQEWEEAVEETMKKVPLLKEIVDYYSGPDRVTAKQQQEEIERVAKALPESVPASVKRFTNRAVLSLQSNPGWGFDKKCQFMDKLVSEISQHYK >CDP05954 pep chromosome:AUK_PRJEB4211_v1:5:25742233:25745949:-1 gene:GSCOC_T00021281001 transcript:CDP05954 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPSSDQNPEVENGEEFEVHVFSSEAELLEKLQDKWRSVKPQPYPAMYSSVFGGIILDPALMVIPMDDHMVHRGHGVFDTAIILGGHLYELDVHLTRFLKSASKAKIVSPFPKSTLRSILIQLAAASQCRKGTLRYWLSAGPGDFLLSPAGCPKSAFYAIVIDEDFEQCKEGVKVITSTIPMKTPLFATMKNVNYMPNVLSKMEAEEQGAFASIWVDEEGYIAEGPNVNVGFITHDKELILPEFDKILRGCTAARLLELAPKLVEQHRLKSVRTDNLTIEEAKNAAEMMYIGSTLPVLPIIAWDEKPIGDGKVGELTMALSDLVWEDMVAGPKTRRIPVPYV >CDP15865 pep chromosome:AUK_PRJEB4211_v1:5:22374301:22375695:-1 gene:GSCOC_T00016762001 transcript:CDP15865 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAASAAARSIFRSSSVRNAAARVTSKAKPSRSPFSSPSRTPLSHRVFRSPVEMSACVESIQPYHTATASALMTSLLTVSRCSYAWLPEGF >CDP11363 pep chromosome:AUK_PRJEB4211_v1:5:15118331:15131281:1 gene:GSCOC_T00033578001 transcript:CDP11363 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFEMISRKLLFHFHYYMLCFLSFHTTLTSPVNFLAPYCGNATYNPNSTTGSIYRTNLNFVLDALSSNASRTDTNGFYNFSTGNDPSNTVYGLLLCRGDLSPDVCKECVANASTRVFQECPNQTAAIVWYDECLLRFSDQTIFSKVDFGVRLAVYNTMNVTESDWYNFVLLLGNLLYNAADQAANQTWGKKFAVQQANFSAFQTLYALTQCTPDISGDDCKGCLESAIRINLTRCCTIRVGGRVLFPSCNIRYELYPFYNTASPAPPPMSNLHSFTGAPPPSSTKGKGRRPLRAAFPIAVPLIGVAFVLFIIALVFLKRRSGKRYAAMAQQEATDGVAEIFTAESLQYSLTEIQIATNNFSVDNKIGEGGFGRVYKGILGNGQEVAVKRLSRSSGQGAEEFKNEIVVVAKLQHRNLVRLLGFCLEGDEKILIYEFVPNKSLDSFLFDPENKRSLNWLRRYNIIGGIAKGLLYLHEDSRLRIVHRDLKASNILLDGNMSPKIADFGMAKICGVDQSEGNTNRIAGTFGYMAPEYMRWGQFSIKSDVFSFGVVILEIVTGKKNSSFQKSEDSEDLVSYVWKHWRRGEPLALLDSSIGDSFAKNEVIQCVQLGLLCVEEYVSKRPTMVSVVNMLNSSSVTLPTPHRPAVFRSHGSESIVEELEVEQSNTERISIPSSVNEASITEPCPR >CDP15730 pep chromosome:AUK_PRJEB4211_v1:5:5219894:5222061:1 gene:GSCOC_T00015755001 transcript:CDP15730 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLVGLVFVYLFSCGFVATGRGGILSLKNLRANVSHLAGIELPDHSSFNAVSSSANTGCNFATTKKATMDAVATDKNFYTDEEEEEEEDDDDDARNLGNQHKPSVKLHLKRRSGGKEAKGKDSVLESTTRDLVRIQTLHTRIVEKKNQNTISRLNKVARQSQNHYRRSLIALAASPEPQSSQLSGQLMATLASGVSLGSGEYFMDVFVGTPPKHYSLILDTGSDLNWIQCVPCYDCFEQNGPFYDPKDSSTFRNISCHDPRCHLVSSPDPPQPCKSENQTCPYFYWYGDSSNTTGDFALETFTVNLSNPSGKSEFKTVENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFSSQLQSLYGHSFSYCLVDRNSNASVSSKLIFGEDKDLLSHPGLNFTTLVSPVKENNPVDTFYYVQIKSLLVGGEVLNIPEGTWNLSPEGAGGTIIDSGTTLSYFADPAYKIIKEAFMKKVKNYPIVEDFPILFPCYNVSGVEKLELPSFGIIFGDGAVWNFPQENYFIKLEPEEVVCLAILGTPTSALSILGNYQQQNFHILYDTRQSRLGYAPTKCSEV >CDP05917 pep chromosome:AUK_PRJEB4211_v1:5:26009064:26018291:-1 gene:GSCOC_T00021235001 transcript:CDP05917 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSQYGILLSLRSPELRRTWIQTRLCSRRGHVCSIQLTSHDAIPNGHIALNAGQRRQARVSTADSISVSRFIPPENFDLALLTVELEFVKKGTREEHVDAALLSQQIKKRFNKQIMTNGQKVIFEYHGNRYTFTVNQAAVEGQERSKGIERGIISADTYIIFEASGSSGIKIVNQRESASSSIFKQRDFNLQSLGIGGLSAEFADIFRRAFASRVFPPHVTTKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAEQDQRTKGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLIDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKESSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQLSLDDLTKPVDEESIKVTMDDFLVALQEVTPAFGASTDDLERCRINGIVDCGERHSHIYRRTMLLAEQVKVSKGSPLITCLLEGPSGSGKTAMAATIGIESDFPYVKIISAESMIGLSEGSKCAQIVKVFEDAYKSPLSIIILDDIERLIEYVAIGPRFSNLISQTLLVLLKRLPPKGKNVLVIGTTSEVNFLKMIGIRDAFSVTYHIPTLGTEDARKVLQQLKVFSDDDVDSAAEALNDMPIKMLYMVVEMAAQGEQGGEAEAIYSGRQKIKINHFLNCLQDVVQYQDKDLVVY >CDP15914 pep chromosome:AUK_PRJEB4211_v1:5:22861349:22862147:-1 gene:GSCOC_T00016827001 transcript:CDP15914 gene_biotype:protein_coding transcript_biotype:protein_coding MQYRYIIQSNILDSYNFDHESELSPVLFTLMKSTEPFLDEYYLTDLERVMREASFINVNTVLTDPRHRTVTATVPY >CDP05736 pep chromosome:AUK_PRJEB4211_v1:5:27297615:27299972:-1 gene:GSCOC_T00021006001 transcript:CDP05736 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIARATGSQGLVDGQFREFIISQSDEEGAVDASSIEYVSRKKEGELHACAAACGAILGGGSEAEIEKLRSYGLYAGTIQGMLHGIGRNQKGVREMVENLRALALKEVESFKKREIEAISSLVQPELSFV >CDP16028 pep chromosome:AUK_PRJEB4211_v1:5:173962:174588:-1 gene:GSCOC_T00017017001 transcript:CDP16028 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIQQVALPVLGILAAAAITFYAVSFAELREKSFRDLEDDSGNGGFESSLSSRKRRARRTADKDAKP >CDP10760 pep chromosome:AUK_PRJEB4211_v1:5:17053156:17055435:-1 gene:GSCOC_T00031586001 transcript:CDP10760 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKDQGSEINVKEELKSANWVESITKLVRRWKQKQLKNDEYGKQDNDDGDEDGAGDLCKAEYDDDAADGSAKKNAGSFLRLLSPVPWSDTKLFSKLAFLCNMACVIPEIKAEDVRRYYGLKFVTSSLAKKANASAVKTKLDQNSSLVPLAASSICRISSCQRLSIGSGSQLQINNAVLHAKGKHQEDVVGTPRVHKSDTAAYLASSAAIAADAKQNREAAMDLESHHSSASEGFVCDDSEIYTRCFVIHSSDSFSSWQANLFFDPTMFVIYILNLYRCYLGRSGTDVLVHRGIYEESMGLYEQLMPEIIQHLERIGNQTKLQLTGHSLGGSLSLLASLMPLTRKVVKPSALLPVVTFGYQKVLDQLGLDENNVHCVVMHKDNIPRAFSCNYPKHVVQVLECFNTTFCSNPLLNKNKQLYSSMGKIFILQQDEISSPAHPSFPPGSALYELENAHGATTAGAHIRWKPLVTLQHMVLKVRFLGTRI >CDP06046 pep chromosome:AUK_PRJEB4211_v1:5:25039724:25040854:-1 gene:GSCOC_T00021398001 transcript:CDP06046 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGQFRSVNTFLLIICLFVIFVQQSSNALLLELSHNFKRSSESISSGCDIFQGSWSYDDTYPLYNASSCPFIEKPFDCQRNGRPDQLYLKFKWKPNRCELPQFNAPHFLRKFRGKKILFVGDSLSLNQWQSLTCMLHAALPQSNYNLQKKGNLSTFTFREYDVSLMLSRNAFLVDLVEENNNRRILRLDSIQNGDAWRGYDVLIFNTWHWWLHKGRSRS >CDP15997 pep chromosome:AUK_PRJEB4211_v1:5:23536740:23539250:-1 gene:GSCOC_T00016940001 transcript:CDP15997 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKCWNFIIISVLLSLQLVDGQPFDYPTANLSTTWINSVSANHSVDFTDRSKVRAILLRGTFGPRYACGFFCNGTCDSYLFAIFIVQTNSVSYIVMPSSGFPQVVWSANRNNPVKINATLQLTSGGDLVLRDTDGTLVWSTNTTGRSVVGLNLTEEGNLVLFDMNNLVVWQSFDHPTDALVPGQKLASGQKLTASVSITNSTEGGLFSMFVNNEGLFAAVKANPPQIYYQQLVDNTKANKESSYAKFQNGSLALYIHSSEPRDPDSVITLPPISSAQYMKLGSDGHLRLYEWADGWKEVFDILTGYLGDCNYPTVCGENGICSNGQCSCPRSVNASMNYFTQINGRHPNLGCSEITPLNCNASRYHNFLELEDMTYFTFNADITSTNMDSCKQACLGNCSCKAAIFRYGSNSSNGDCYLPAQIFSLMNNEQGKTHYNSSVFMKVQITPNASAPAKTSPKGTPSLVILGYAIAAFILFAVLIGLTICIIRKKRLAREVEEGDLDNVPGMPTRFPFEDLKVATANFSKKLGEGGFGSVFEGSLRDGTKVAVKCLDGIGQVKKSFLAEVESIGSIHHVNLVRLVGFCAEKSHRLLVYEFMSNGSLEKWIYRQGQEIVLDWKCRRKIVLDIAKGLTYLHEDCRQKIIHLDIKPQNILLDDKFNAKLSDFGLSKLIDRDQSQVVTTMRGTPGYLAPEWLSAVITEKVDVYSFGVVVLEILCGRKIVDRSEPEERMHLLSLFKQKAEEGKLMDMIDQYSEDMQSNREDVVKMMHVAAWCLQSDHASRPSMSVVVKVLEGVMDAETNLDYGFERPSISSTSISQVGSEEVTALIPSVLSGPR >CDP15965 pep chromosome:AUK_PRJEB4211_v1:5:23201925:23213809:-1 gene:GSCOC_T00016893001 transcript:CDP15965 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTKPPITASTKWTKNHISHLPKKVNLQRELKKMYLRQESYKHWEISSVVRAIFLSVTDPMNGSNQNYAPPEYLRTGPDYGGYSAGPGSRNSLSSLFSVAPRGGDPDPRLLFGLSNPSGFLRMSSFPAPPHLQNQTQYFNSDATRNTIPWPPAAIALPNFQLQPSVPPAPIALPNFQPQPSVQGPQDGSKLSQEEQKKVLNRLKKEAYNPVTKLSRRKPNLYYRDNPLTISKELEKEKHEEGKRCAICLEDFEPKEIVTITPCNHMFHEECIVPWVKNEGRCPVCRFAISERFGETAAGSSNVTANDHFGNDLTAIVRAMEEAFVPENMRRFNLKRCNKAGKLQTLGNFLRCKSFSFLRQLTDSMNGSNQNYTPPEYLRTGLDYGGYSARPGSRNGLSSLFPPPAVGPLDRRGGDPDPRLLFGVSNPGGFPGISSFPAPQHLPNQTQYFNSDATRNTIPWPPAAIALPNFQPQPSVQGPQDGSKLSQEEQKKVLNRLKKEAYNPVTKLSRRKPNLYYRDSPLTISKELEKEKHEEGKRCPICLEDFEPKEMVTITPCNHMFHEECIVPWVTNEGRCPVCRFAISERFGETAAGSSNVTANDHFGNDLTAIIRAMEEAFVPGNMRRFVEFHP >CDP05727 pep chromosome:AUK_PRJEB4211_v1:5:27390579:27394289:1 gene:GSCOC_T00020991001 transcript:CDP05727 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLQKSSERIPLSQRPEYADVKPVAQDDGPNPIVPISYTDEFRETMDYFRAIYVTDERSLRALQLTTEVIKLNAGNYTVWQFRRLVLEALNADMNKELDFVDGIVEGNSKNYQIWHHRRWVAENLGTDPSTRELEFTKKILSKDAKHYHAWSHRQWVLQALGGWKDELAYCELLLKDDIFNNSAWNQRYFVVTRSPLLGGLGAMRESEVTCTVNAIMEHPENESPWRYLRGLYRNDTQALVQDPQVASVCLKILTAKNNYVHALSMLLDLLCHGFQPSLEIRNAVYGLSDSGAQGSDLVKVVCSILELVDPMRANYWKWRRNMAPAQAAQCLKDDGLTGLSL >CDP16487 pep chromosome:AUK_PRJEB4211_v1:5:8230356:8237346:1 gene:GSCOC_T00018435001 transcript:CDP16487 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKDNSGNLLSYFFNLHILENFHNQKLQFLLQRMGSRQPEVLWAQRSDKVYLTIALPDARDVSVKCEIDGLFSFSAVGVDGQSFSFTLQLYANIVPEGCKTKIGLRNIICSIQKEKKGWWKRLLKSEEKPAPHLKVDWNKWCDEDDEESSDYLGSDDDDVVHTGEDGSSDDEGMLYLPDLEKARGN >CDP05776 pep chromosome:AUK_PRJEB4211_v1:5:27049052:27052060:-1 gene:GSCOC_T00021057001 transcript:CDP05776 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRVHERTGKRMTQVIRKFVHVRIRWSLLDKVSIFREFFRLLWERILACSIGKPVRYRRLSQRSSSPPPSSMEALEPGGNVLGQEQPSTTCSLGFDNDSDSDLVSLKISILGDCQIGKTSFVVKYVGDEQEKRGLQMKGLNLMDKILFVRGARIAFRIWDVGGDRRSLDQVPIACKDAVAILFMFDLTSRCTLSSVIGWYTEARKWNQTAIPILIGAKFDDFVQLPPDIQYTVITQARAYARAMKATLFFSSATHNINVNKIFKFVMAKLCNLPWTVERNLTVGEPIIDFY >CDP16046 pep chromosome:AUK_PRJEB4211_v1:5:377076:377675:1 gene:GSCOC_T00017048001 transcript:CDP16046 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSSPRAAAAILVVLAIVLIPTLMLPSEAARPTRELLARPPFCPACVCCAPPPSPNKCCPCVCSTPGGPLVPVAGTTSP >CDP11797 pep chromosome:AUK_PRJEB4211_v1:5:19220942:19221528:1 gene:GSCOC_T00035040001 transcript:CDP11797 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYYVGIDYAQFYDVIIRYKLHWIEKKLEGQLNNKNRIQEYKCSNPNCGKMYTALNAQELASLVLDDECFRCEFCNGEVVEDMADEEGGAYKNLFYNYILLKINYTKMELQLWPMIDQVNTVKDLPAPEFGSLQE >CDP13668 pep chromosome:AUK_PRJEB4211_v1:5:28309703:28312071:1 gene:GSCOC_T00038693001 transcript:CDP13668 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVGKYAEELIKTANYIASPGKGILAADESTGTIGKRLASINVENIESNRQALRELLFTSPGALACLSGVILFEETLYQKTSDGKPFVEVLQENNVVPGIKVDKGVVELAGTNGETTTQGLDSLGARCAQYYRAGARFAKWRAVLKIGPTEPSELSVQQNAQGLARYAIICQENGLVPIVEPEILTDGNHDIKKCAAVTEIVLAAVYKALSDHHVLLEGTLLKPNMVTPGSDSPKVAPEVIAEYTVTALRRTVPAAVPGIVFLSGGQSEEEATLNLNGMNKLEVLKPWTLSFSFGRALQQSTLKIWAGKKENVEKAQDAFLARCKANSDATLGKYAGGSAGGLASESLYVKEYKY >CDP14806 pep chromosome:AUK_PRJEB4211_v1:5:22172728:22179054:1 gene:GSCOC_T00042266001 transcript:CDP14806 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTFKETLKPCKNNPSASYSSSSSFSSISHNFDSSINPRKPPKSSLSQQLLRLQHQESTFYLPRTQLKSPKTETLLGPEAEDNDDEEEAEEEEKQDRIFRPKMESFQFDHTGPFEPLVLSLPGEISVVQVPPSINCRLLEHQRAGVKFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLAAVFGKDEEADNLTSLRGNQPEKNGCVLIVCPTSVIHNWENEFSKWAPFSIAVYHGPNRDLIIDKLEAREVEILITSFDTYRIHGTILSKVQWEIVIVDEAHRLKNEKSKLYRAILEIKTQKRYGLTGTIMQNKLMELFNLFEWVVPGCLGTREHFREFYDEPLKHGQRSSAPERFIRVADDRKQHLVTVLHKYLLRRTKEETIGHLMMGKEDNVVFCAMSELQKRVYQRMLQLPDIQCLINKDLPCSCGSPLKQVECCKKIVPNGVIWPYLHRDNPDGCDSCPFCLVLPCLVKLQQVSNHLELIKPNPKDDPDKQRKDAEFAAAVFGTDIDLVGGHTQDESFMGLSNVKHCGKMRALEKLMFSWLSRGDKVLLFSYSVRMLDILEKFLIRKGCCFSRLDGSTPTGVRQSLVDDFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAQDLQAQDRSFRYGQKRHVIVFRLLAAGSLEELVYTRQVYKQQLANIAVSGKMEKRYFDGVQDCREFQGELFGICNLFRDLSDKLFTSEIIELHEKQGIEHGDCESSKQIFTELQKCFLPQKELTNTSAEASQNSKPKDASKEPVEPVLEDLGIVYAHRNEDIVNYGPWIQGDKELDTNLKCTVQHSLLLVARRRKSEAVAGSKNTIENAASSKMRKKSQYSLLAQFMGMEEVEFSKWLLSANPEEREKILRDYKRRKDKIPNG >CDP06038 pep chromosome:AUK_PRJEB4211_v1:5:25111029:25119986:-1 gene:GSCOC_T00021388001 transcript:CDP06038 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSSGNPCRGQSNWRNDAALPEFANAVTCDCSFENNTTCHVSSIVLKSLSLPGTLPAELVKLPYLREFDVSRNCLSGNIPPIWGTMQLVNMSLYGNRISGIIPKELGNISTLVNLTLDFNQLSGPIPPELGNLTRLKKLTLTSNNWSGELPVTLAKLTALTMFRIGDCNLTGSIPNFIENWKSIQNLVIQGSGLSGPIPPGIASLTNLTDLRISDLSGNETAFPLFSNATNMTRLILRSCNIAGQLPDYLGSLAHLKVLDVSFNKISGQIPRNLDTSNTVFMYLTGNNIIGSIPDGMLNSRVYRDLSYNNFTPSNSESSRIYSLALGRTTNRKSRTMITFPCLRSFQCQQNWSSLHINCGGGEVKIGDTSYEDDSQPGGPSKFYQSGTNWGFSSTGHFMDNESLTYVLSNGSRFSGNDANNLYSEARLSPLSLTYYGFCLLNGNYMVKLHFAEIKFTNDGTYRSLGRRIFDIHIQGKLIRKDFNIENNTIGGVNEPVVLNIPAVVSDSTLEIRFYWAGKGTTDIPDKGVYGPLISAISVDHVPSEHGLSVGAIVGIVLAVLFTVSLVLAILGWKFRMQPSRTTQQDVRGLDQQTGSFTLRQIKYATNNFDSSNIIGKGGFGSVYKGNLSDGTIIAVKQLSSKSTQGNREFLNEIGVISAVQHPNLVKLYGCCVEGDQLLLVYEYMENNSLGRALFGLEEHQLELDWPTRRKICVGIARGLAYLHGESRLKIVHRDIKASNVLLDKELNPKISDFGLARLHEDEDTHISTRVAGTFGYMAPEYAMRGYLTDKADVYSFGVVLLEIVSGKSNAGFKPKEEEEYLNLLDWAHLLKKEMKLMELVDSRLGPDVNENEIMLTIEIALLCTSISPAARPSMSTVVSMLEGRVEDQKSILDGSAWSASVKEISDEQYLLSTFDESQPQSTSSDLPFTASSTSASDLYPISLETGFLDDES >CDP13726 pep chromosome:AUK_PRJEB4211_v1:5:27959625:27963964:-1 gene:GSCOC_T00038769001 transcript:CDP13726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-1 [Source:Projected from Arabidopsis thaliana (AT3G13170) UniProtKB/Swiss-Prot;Acc:Q9M4A2] MEGKRSCLSSSIPSDLLRRIRKLTLSILTNLSNGQSPVVQIDRFRNYCTGTSGNCYCNCGISVGKELLTLQRECHTRRLDVLLRVLLVVQTLLQQNRHGSKRDIYYMHPTVFKEQSVVDRAINDICILLQCSRHNLNVVSVGNGMVMGWLKFSEAGRKFDCINNPNTAYPVPVHVEDVEIDIIGVARYILVVEKESVFQRLANDSFCTRNRCIVVTGRGYPDVPTRRFLRLLIEKLHLPAYCLVDCDPYGIDILTTYRFGSMQMAYDAKFLCVPEIRWLGVFPSEVEKYCLPQHCLLPLTREDKTRVEAMLLRCYLRREAPQWRFELDLLLARGLKCEIEALSVQSLSFLSEEYLPSKIRGGVYI >CDP05991 pep chromosome:AUK_PRJEB4211_v1:5:25484425:25487948:1 gene:GSCOC_T00021330001 transcript:CDP05991 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIKKRKLDENGVLLHDPDGAVAAGMVPFKLSVEDARKILEPFSREQLLEIVQSAILRHVDVLDLVRSIADRDPAQRKLFIRGLGWETTTDKLRALFSQYGDLEEAVVILDKVTGKSKGYGFITFKHIDGAMAALKEPSKKIDGRMTVTQLAAAGVSGGPGGGGGNNQVDVSDRKIYVANVPYDMPAERLLAHFSMYGEIEEGPLGFDKATGKSRGFALFVYKTANAARASLVDSVKNIDGHQLNCKLAIDGKKKPGPGGPQGGNEGNNDGIGVGMPGGIAAQYGGHGGVGGVTGYSGFSVPSVGGNQLNSSLGSGGGGVGVGGPGMPGIGSQGMGSNLGLAVLVVVLFMDCHQAQVVCQLEIINRGHTMAYLRLDIKASIIRQQEPHQLPEFQLGESTKGCLLIIEVCESLLAQLLFMVLVISCRQYELWLHDFDLLCSLALRYICAS >CDP13628 pep chromosome:AUK_PRJEB4211_v1:5:28598369:28602361:-1 gene:GSCOC_T00038641001 transcript:CDP13628 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGLTFKLHPLVILNISDHYTRVKSQSQLPLLSSAHASNGDDSAPASSVLASAPPRVFGCVIGVQRGRTVEIFNSFELLYDPSTHSLDRTFLEKKQELYKKVFPNFYILGWYSTGSDAHESDMHIHKALMDINESPVYVLLNPSINHAQKDLPVTIYESELHVIDGIPQLIFVQASYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLHQHLLGMQKGDIPCENSLLRQVSSLLRRLPAIESEKFQDDFLMEYSDTLLVTYLAMLTNCSSTTNELVEKFNTAYDRHSRRGGRTAFI >CDP14810 pep chromosome:AUK_PRJEB4211_v1:5:22197669:22199777:1 gene:GSCOC_T00042270001 transcript:CDP14810 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLWVLSNVKHCGKMRALEKLMFSWLSLGDKVLLFSYSVRMLDILEKFLIRKGCCFSRLDASTPTGVRQSLVDDFNSSPSKQVLLISTRAGGLGLNLVSANRVVIFDPNRNPAQDLQAQDRSFRYGQKRHAVVFRLLAAGSLEELVYTRQVYNQQLANIAVSGKMAKRYFDGVQDCREFQGELFGVCNLFRDLSDKLFTCEIIELHEKQGIEHGDCESSKQIFSELQKCFLPQKELTNTSAEASQNSKPKDASKEPVEPVLEDLGDKNAIENAASSKMRKKSQYSLLAQFMGMEEVEFSKWLLSANPEEREKVLRDYKRRKDKIPNGLYLSSSGRQSFCNANCP >CDP15945 pep chromosome:AUK_PRJEB4211_v1:5:23090022:23094070:1 gene:GSCOC_T00016868001 transcript:CDP15945 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFKQLLSQAHRHYSFPKSHQSLLSLLTRNRPFSDQPPPNSSPRNPIPIQPVSYAPQGPATGQSSSPPEQNPGGPDQDVRASWTREEIRYVKDTPIISPVSYQARVAPLPEDRVAAEEVKEDDELETERRRIEAENQNMMRRVLRVQEEKVPFPTLIRTDDSKKGKVVYDLKDAIQLVKANAKRNFEETFEAHIKLTPELRRTDLKLSGSVLLPHGCGKNFRVAVFAEGDAAEEAREAGAACVGGKELVDRIKRGEEKFRYDRCFATREMMIYVPKIAKFLKRGLMPNPDNGTVTNDISRAVREAISQTIMFQKDKSAIVHVPLGKVTYPEEALRENIGAFVNALLHAKPAGLKKSSKYAGYVSTFHICSTMGSSVPVTMQSVSMAVDRYNKLRLQA >CDP15872 pep chromosome:AUK_PRJEB4211_v1:5:22442235:22444202:-1 gene:GSCOC_T00016772001 transcript:CDP15872 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRFNHITCLLRNLALQVCHFSSSPNVDKVSLYLQRARLIDSIRLSLRSSSPESSLVSILHSPALDSFVVNNALRSAPSPESALSLVEVLKTVPNFSHNQQTLHTLAKILAKSGQTGKLRTLINAINAGKFTNVARVSFMDRMRWFALAGDLDEVASVWDEWRTSQKRPCSESYNIVMGLFAKNGNDTEAVTVFRRMIDEGALPNCRTYTVIIEHLLGSGHLDSAFQVFQMLPQMRIKRSLKQYSVLVAALCQNDQIDLVKTLLDEMRIDGILPGRAMQNSLQRLQEAGYVEETKELLREMLPDERIKTVAYALDGSEDDMEDEDEDANHVDGRAVDQMQLKPWLDPAALASALQQWRPEEVSTLEDANIIWTTRLVCKMIRNFKSAETAWQFFCWVAYQPGFRHDIYTTSRMVAKLARQGCVKLVDQLLSKLEREGIRLSFSTVKLIINFYGISGKGDAALKILQGVKTICGPISKSSMLILYTSTLRTLTKSKMNNEALDILEEMILVGIFPEIQTFSGLMHHFALHGDVKTVQRLFGMVRQSGLEPDAHMYTVLIRAYCKCERAALAMRIFEDMMNSSLIPDAITKELLVRSLWKEGKLREAAAVEERSEEISNVLPSALPGNLYTLSSVDLMRIYEIYSCKFTATTDKE >CDP05676 pep chromosome:AUK_PRJEB4211_v1:5:12979601:12990877:1 gene:GSCOC_T00020870001 transcript:CDP05676 gene_biotype:protein_coding transcript_biotype:protein_coding MVIARGLPRGFPYRLIYNQRSLWVKRRQRKSILRPCSVKKLLSHSREEHEREKEHEHAVSKDEERKKDMAKARKEEAKAGDSEDILPGIPPVPSSNHPKSGVVFVLEKASLVPAYVGRTYEILNPDKHADFLRKKNMNPYDYRPDIVHEILVDILGSRLNMAGMVQAVFVKTDLGHLIKIKPHVRIPPTLGKFCAMMSQLLQKFSIKARGGGEKLMQLIENPLVKHLPVNSRIIGLSVSSPKAVRLRDYVDDVGNDCTPVFVIGAMAHGKINDDYTDDLISVSALPLSAGVCVRRICYELERKWRIL >CDP14691 pep chromosome:AUK_PRJEB4211_v1:5:20983014:20986580:-1 gene:GSCOC_T00042105001 transcript:CDP14691 gene_biotype:protein_coding transcript_biotype:protein_coding MESVIGLVNTLQKACTLLGDYGDDGSLPTLWDSLPTIVVLGSQSSGKSSVLESFVGRDFLPRGSGIVTRRPLILQLYKIDPGKEDYAQFLHTGEKKFIDFSIVGKEIQEETDRVTGKAKQISALPINLSIYSPNVVNLTLVDLPGLTKAAVEGQHESIVQEIENMVRSYVEKPNTIILAITPANQDLATSDTIKIAREVDPAGNFCGRVLTNLLRHSLVLTYWLNKCSGCT >CDP05895 pep chromosome:AUK_PRJEB4211_v1:5:26174087:26175374:1 gene:GSCOC_T00021210001 transcript:CDP05895 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHNPNYATSLYHFIPSPLFLGKQIAPNAFQTTPKLHILDLSGNAGCLPEHPELSSMPELQELYLRRMQISVFPAEIMSLKQLRTLDLSQNSLQHIPQGVKDMTSLTQLDLSDNNISALPPELGMLEPSLQVLKLDGNPLRSIRRTILDRGTKAILKYLKERVAED >CDP13600 pep chromosome:AUK_PRJEB4211_v1:5:28806537:28808045:-1 gene:GSCOC_T00038604001 transcript:CDP13600 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRALNSKPRRSFHGVEKEDSCRSAASILSEYGGFTDDLLPLISCDGSDHKGGWAESQHLTLRQVLRSSVAVVGESRLGFTEKVVLLDGRMCALKRFRKVSLRRGEFGRRIVRVGLVSSMSDYLVPVAAYFYSKRIKFVVCDYYPMGSLADLLASAREQNQTALTWNQRLKIILSVARAIEFIHSRNSLQEAKHLKSNVHGNIKASNVMINIDFTARLSDYGFIQLAERVEVGDAWQRKPQPNFEHGEVFCQESDVYNFGIIILDLLQGPNKDFEFCVQGKERGQALQVLEIGLACTNKSPGARPTIQEILLCLGDEVFM >CDP15892 pep chromosome:AUK_PRJEB4211_v1:5:22657396:22663371:-1 gene:GSCOC_T00016797001 transcript:CDP15892 gene_biotype:protein_coding transcript_biotype:protein_coding MEKINFRTKVLSRHLNHDPTGDKPFLQSSPCLSYTPPELSEPNSFFDVKLMRKLMDGHNIEDRDWLYNLIVQSKLFNPQEKGGKIFVLPDYNQSMEQQREMTMKRIEYLLDNGVFQGWLTRKGPEAELRKFAFLDVVGIFDHSLAIKIGVHFFLWGGAIQFFGTKRHHDKWLKDTEDYVVKGCFSMTELGHGSNVRGIETITTYDSNTREFIINTPCESAQKYWIGGAANHATHTIVFSQLNINGRNQGVHAFIAQIRDANGNICPNIRIADCGHKIGLNGVDNGRLWFDNVRIPRENLLNSVADVSPDGQYLSAIKDPDQRFAAFLAPLTSGRVTIAVSAIYQSKIGLAIAIRYSLTRRAFSVTPSGPEVLLLDYPSHQRRLLPLLAKTYAMSFAANYLKTLYVKRAPALIKTIHIVSSGFKAALTWHNMRTLQECREACGGQGMKTENRIGHLKGEYDVQSTFEGDNNVLMQQVSKALLAEYVAAKKRNKPFEGLGLEHMNKPSPVIPSQLTSTVMRSIDFEHDMLCLRERDLLNRFASEISQRQAQGESKEHAFVMSYQLAEDLGRAFSDRAIFQTFVDAETTVTDATLKSILCLLRSMYALVTLEEDSAFLRYGFLSVDNAAAVRKEVAKLCGELRPHALSLVSSFGIPDALLSPIAFNWLETNSWSSVQH >CDP16374 pep chromosome:AUK_PRJEB4211_v1:5:3036652:3039777:1 gene:GSCOC_T00018212001 transcript:CDP16374 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAETTKNHGVVLKEEDEEEEHFSYAMQLVTSAAQPMVLLAAIRLDVFEIIARAGPGAQLSPSEIAANVSSENPNAAAMLDRMLRLLASYSVLTCSVATDVDGDHDIQTPTRVYGLAPVAKFFVQNKTKGGGSLGSVLGLLQDKVFIDSWYELEDAVRKGGDPFHRAHGTHAFEFLGSDPRFNEVFNKAMVHHTAIVINRMLERYKGFEHLKTLVDVGGGLGMNLNIITTKYPSLKGNNFDLPHVIQHAPAYPGVEHVGGDMFESVPQGDAIFMKWILHDWDDGHCLKLLKNCYKALPDNGKVIAVDAILPVVPDDSARDKATCLADLVVVTQYRGGIERYETELLALATAAGYMIELGVIGYC >CDP14680 pep chromosome:AUK_PRJEB4211_v1:5:20823868:20824466:1 gene:GSCOC_T00042091001 transcript:CDP14680 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSREWIAAVSVGAVQAMKDQGLSRWNHTMRSMHQLAKNNLRSLSQTKQHFPALASSSTKAKDQEKLRRSEESFRKVMYLSCWGPN >CDP16075 pep chromosome:AUK_PRJEB4211_v1:5:914293:925190:-1 gene:GSCOC_T00017088001 transcript:CDP16075 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSTPGLSTPPQPEEGEKKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPGLPAQLICQLHNLTMHADVETDEVYAQMTLQPLSAQEQKDVCLLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPTLDYTLQPPAQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLIAGDSVIFIWNENNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNTRFTIFYNPRASPSEFVIPLAKYAKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFSLRLKRPWPSGLPSLPGLNSSDMNINAQLSWLRGDIGGQGIQSLNFQGFGATPWMQPRLDASVLGLQPDIYQAMTAAALQETRNFDPSKVANQSLLPFSQNTSSGSASLVQSQMLQQSQSQQNFIQSFPEDQVIAQAQLLQQQLQRHLSCDLQQQVQPSQQLHAQIQQQQQISKNVSNFSTIESVTQSQFSPLQALGSTCQQQTFSDINHVTSTNSSSMQSLLNSFSSDGASHLLNVHGVYSLASPSSSSKRIALDSQLSSRATQSVAARADNMSTPDSKVSDLSTLLPPVSGREFAQFKGMTDSQNNGLFGINSDSSLMLQNGISHLINGSGESESFSVPFATSTYTSALGTDLPLSSDMTTSSCMDESVFMQSAENVDQANPPTKTFVKVHKSGSFGRSLDISKFSSYHELRSELARMFGLEGLLEDPQRSGWQLVFVDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGIDLPNSAPLHRLPSSGNSCDDYMSQKETRNSMNGIPTVGSLHY >CDP16205 pep chromosome:AUK_PRJEB4211_v1:5:16378806:16385544:-1 gene:GSCOC_T00017310001 transcript:CDP16205 gene_biotype:protein_coding transcript_biotype:protein_coding MATALRSLTRAMRRSKLLSMYSSGYMLHSHATSFGYKEVREEEKSQMVGNVFTSVASNYDLMNDLMSGGLHRLWKDRLVSKLNPFPGMKHLDVAGGTGDVAFRILESINSVKRRALQDSPEDNLLEETQIFVCDINPNMLNVGKKRAQERGLAEDRALVWVEGDAEALNFEDNSMDGYTIAFGIRNVTHIEKALAEAYRVLKRGGRFLCLELSHVEVPIFKELYDLYSFSVIPAVGELVAGDRESYQYLVESIRRFPPQEKFAAMIADAGFQKVEYENLVGGVVAIHSGLKF >CDP05975 pep chromosome:AUK_PRJEB4211_v1:5:25600478:25603347:-1 gene:GSCOC_T00021309001 transcript:CDP05975 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNWYPSFPFQMMPLPPPPTTVQQNSWVDEFLDFSSARRSSHRRSVSDSVAFVEAPFVEECRNADNPTNISVTCNGHNFDGLDDEQLRNMFSDDIATKELPPLSSSDPASTNPSTPSDQNSENDEKNAAAQENPNLQPKNEPGEVDISTKRETETQTESPANPSADAIVDPKRIKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRLVLNVDNSALKQRIAALAQDKIFKDAHQEALRKEIERLRHIYHEQSMQMMSKKAADEASPPPLPQPQPNLNKTCGTDQE >CDP16050 pep chromosome:AUK_PRJEB4211_v1:5:428695:429435:-1 gene:GSCOC_T00017053001 transcript:CDP16050 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLEKWLYSHNYFLEIKQRLDILIDVACALQYLHYGLSTPVVHCDVKPSNVLLDQDMVAHVTDFGVAKLLGHEDSFTYTKTLATLGYLAPEYGLEGLVSTKCDVYSFGITIMEVFTRKSPSDEMFGENLSLKSWVSDSMPDGLVRVVDANLLRPHHKYLDEKLDCISSIMKVALNCTRESPKERSNMHDVLADLKKIKLQLLPCSN >CDP05807 pep chromosome:AUK_PRJEB4211_v1:5:26846755:26847902:-1 gene:GSCOC_T00021098001 transcript:CDP05807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01440) UniProtKB/Swiss-Prot;Acc:Q9SGH4] MARATNLSGIFEAFSIIPKLHEVENIRKKATDVNFLSKRTDELQEDTLQTTRRLALGLASLAIFSTSRAAGISLAEDNGYWLTGPIPVPSAKNKITNEDTGTRSFLKRGIYIANIGTKGRMHRLKKYAFDLLALEDLIGPDTFNYVLKYLRLKSTFMYFDFDEVITAAADGEKQPLTDLANRLFDSVEKLEDAAKNQNLPRTQSLYQDTTVILQEVMNRMMA >CDP15877 pep chromosome:AUK_PRJEB4211_v1:5:22493918:22494622:-1 gene:GSCOC_T00016781001 transcript:CDP15877 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTDSPVIDLPVLNSHLSFHQDNGSMHVGTSVWPCSLVLVKFAERWLPSTAAAGNNNPYADLLNFTGKRGIELGAGCGVGSMGLYLLGLNDIVVTDIAPVMPALKHNLKRNKPVLKKALKTAQLYWANEAQAKALGPQSFDVVVAADVVYIEESVGPLVETMVKLVAEDGVVLLGYQVRSPEAHLLFWEKCGEVFEIEKIPHEHLHPEYAYEETDVYVLRKKKQNSVENKKVL >CDP05859 pep chromosome:AUK_PRJEB4211_v1:5:26442500:26448808:1 gene:GSCOC_T00021162001 transcript:CDP05859 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD5 [Source:Projected from Arabidopsis thaliana (AT5G54310) UniProtKB/Swiss-Prot;Acc:Q9FL69] MNEKANVSKELNARHRKILEGLLKLPENRECADCKTKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSYWEAELPPNYDRVGIENFIRAKYEEKRWVPKDGKPNSPARGREDKLSAQWQRPNDRNVPAYTGHSRTSSDERKSIQTSSTKENVAAGGISIPVPPKAQEPVVPAPVPQQVIQKTESVASESVKQVAQAASPPKVDYAMDLFNMLSMDTPSENGSGAASADDNSWAGFQSAQETSTAEGSVPSKVNDNKKQSANEIEDLFKDTASIAPLMTEKPEKDVKNDIMSLFDKSNMVSPFASHQQQIAMMSQQQSLLMAAAAANAAGGLLKVPGNMQQGPNGINLLNHNLASAGYHQFYGMMMPGAGKNECMQMGNLGNAQLVGSTAQFPATSVYPVGQSTSSNGVVTPGVSRQTASPVSSSSTQTAKEYDFSSLTQGLFSKH >CDP13696 pep chromosome:AUK_PRJEB4211_v1:5:28162640:28165333:1 gene:GSCOC_T00038724001 transcript:CDP13696 gene_biotype:protein_coding transcript_biotype:protein_coding MWILGILSCALLAFVNTFFSYRQNSLWIGAVAAQIVTLPLGKFMAATFPDKSIGLPFTKWSFSLNPGPFNLKEHVLITIFAACGANGVYAISIIDIVKAFYRRKLNPLAAFLLSQTTMLLGYGWAGMHRNVLVDSPYMWWPENLVQVSLFRTLHEKEKRSRGGLTRLQFFLLLFTTSFAYYLVPGYFFPAISCISVACFIWKNSVLGQQLGSGLHGMGIGSFALDWNTVVSFLKTPISTPLFAIVNTLVGFFLFVYVVIPAAYWSNIYDAKKFPFYSSKTFDATGHKYNISRILNDETFTFDLAGYNSYSKLYMSVFFALSYGISFATLTATVSHVALFYSGTIRELTTKTATTLKGKLGDVHTRLMKKNYKAVPNWWFHAILIVVFGLSLLTCEGFGKQLQLPWWGLILACGIAWFFTLPIGIIQATTNQQVGLNVVTEMVIGYLYPGRPLANVTFKTYGYVSMTQALTFLSDFKVGHYMKVPPRSMFLVQLIGTLVSASVQFGTAWWLLTSIENICDTSLLPEGSPWTCPGDEVFYNASIIWGVIGPKKMFTKEGVYPEVNWFFLVGLLAPVPVWLLSRKYPEKEWIKLINLPLILGATSSMPPARSINYISWGAVGIFFNLYIYRKFKGWWAKYAYIMAAALNAGVAFMAILLYFTLQGNGIAGPQWWGLQTDDYCPLATCPTEPGVKVEGCPVF >CDP13629 pep chromosome:AUK_PRJEB4211_v1:5:28594443:28597595:1 gene:GSCOC_T00038642001 transcript:CDP13629 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein At-B [Source:Projected from Arabidopsis thaliana (AT1G55590) UniProtKB/Swiss-Prot;Acc:Q9ZWC6] MKGGNKVGAGGGRSLEGLPDTLITEILEKLDLESLCSTACASRAFRSSVVQHLSTLPSLDLSVLAPNAQTLNHILHRFSGVRSVTIDCLRLETSSVIGILGANIQELNLSKGCLLSYSLFTSIGRSCPNLRVLALELVGEQLPELFEKNLVEMLKNLIQLESLSLKIRGIEHDAYSIKSIQPFLPRSLRFLKLQQVGEQSAIQLLQEHLNVGNSLESTSTFSSIPAALLHPPTDLQHLSLVLDIISDELVICIVNALPLIVELNLEDRTYKEPLMPHDLTNNGIQSLGSCRRLTALSIVRSRQNYPVCFKRINDLGMFLLSENCRGLESVTFGGFSTVTDAGFSAILHSCRNLKRFEIRNASLLSDLTFHSMAGAADTLVEMKVFSCNLITSEAVLQLASCTRLEVLDLCGCRSIADTCLGCLTFFNKLATLNLSGADVTDSGLAVLGGGRSPIARLRLRGCRRITDKGISLLLLGGGTISKTLASLDVGYMPGISDEAIYTIASSARAVTELCMRYCFFVTDASLKALALKRRPLDGTSPLKRLDVFHCPRLSVELVGLLRKPSFLNLRWLGVGLTAAASRKDDFAQICKDRTWLTLCFDGCEIGCHDGWQFHRPQGG >CDP14408 pep chromosome:AUK_PRJEB4211_v1:5:7110051:7117875:-1 gene:GSCOC_T00040805001 transcript:CDP14408 gene_biotype:protein_coding transcript_biotype:protein_coding MGATILIVKKFSFVLQTLQALCLNPAPFHSPNSQTLHSLWVIFMVESLIHKSARTLWSLAAELGKSMAAVPAARSDASAATFFCRGVICYSTTVPNDPDTHEDFRPTIKLESSSLSLTDIVEQDVKEKPVMIYMKGVPDLPRCGFSSLAVRVLKEYSVPISARNILENVELKNAVKAYSNWPTFPQIFIKGEFIGGSDIILNMHQCFTRNGELKEKLKDIMGGSEKAE >CDP19670 pep chromosome:AUK_PRJEB4211_v1:5:7177205:7177765:1 gene:GSCOC_T00013793001 transcript:CDP19670 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLHEKDEMETGSIFEDEVSKKPKLCINDNMDVLIEILKRADGRSLGVAACVCRLWRAITRNDSLWEHLCFRHFSPPPEAVKKMVVALGGYKRLYMVCVRPVLNRLFGKFRRMKVLKGSGEGGCESDSDLVRRVWTRQEVELSLSLFCVDYYERVLLSAGGGGGSGRIGDSSALSLMFLCEAVNV >CDP06083 pep chromosome:AUK_PRJEB4211_v1:5:24770453:24772694:-1 gene:GSCOC_T00021446001 transcript:CDP06083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g53600, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G53600) UniProtKB/Swiss-Prot;Acc:Q9C8L6] MLTAYAQNGQLKRARELFDEMPERTIVSWNAMITAYTRSKGGIFEGFRLFVKMPERNEVSCAAMITGFVNSGRFDEAEKLYNETPLELRDPSCSNVLINGYLKMGRLDEATQIFDRMTKKDVVSWSSMVDGCCKNDRVDEAREFFDAIRERNEVTWSSMINGYMKLGRFRDGLGLFSEMRREDAVRIEPILVTTIFEACGRFDRYTEGCQVHGLVSHLGFEFDVFLGNSLITMYSRFRCMDVARSVFDMMLEKDIVSWNSLISGYIQNKDLEEAYGLFEKAPEKDVVSWTTMITGYSEEGLTEKCIGLFTMMPEKDAIAWTALISGFVINGQYEEAICSFFQMLQTAVRPNPLTLSSALSASAGLATLNQGTQIHAQVIKRNMESDLSIQNTLVSFYSKCGNVDDAYRIFNSIMAPNIVSFNSMISGFAQNGFGKEALKLFEKSQSRGYEPTEITFLGVLSACTHVGLVEEGRNYFRLMKSLYKIEPGPDHCACMVDLLGRSGLLDDAVTFINSMPFVPDSGVWGALLAASRTRFRVDLAMLAAENISKLEPNNAAPYVVLSDMYSFAGKKKEEERVRTAKKLQGIKKSPGCSWITVKNKVNTFLSGDQSHASFKGIKCVLCLILDEMKELHWLDHDWLPP >CDP13748 pep chromosome:AUK_PRJEB4211_v1:5:27840472:27843478:-1 gene:GSCOC_T00038796001 transcript:CDP13748 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFTSSIYILCNSDHRDYNNDNNPFLRQKSSHPPFWTFSLHGLNRFYCWDLNKVLVVSLKLTSMGSDAANADTEPFVEVDPSGRYGRYNELLGSGSVKKVYRAFDQEEGIEVAWNQVKLQKFCDDKAMIERLYSEVRLLRTLKNKNIIALYSVWRDEEKKTLNFITEVCTSGNLREYRKKHRQVSLKALKKWSRQILKGLDYLHTHEPCIIHRDLNCSNVFINGNIGQVKIGDLGLAAIVGKSHCARTMVGTPEFMAPELYEEDYTELIDIYSFGMCVLEMVTLELPYSECDNVAKIYKKVVSGVRPQAMDKIKDPEVKAFVEKCLAKPRDRPSAADLLKDPFFDGIVDDDDDNAEDNIRL >CDP15867 pep chromosome:AUK_PRJEB4211_v1:5:22392406:22394025:1 gene:GSCOC_T00016765001 transcript:CDP15867 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSYLDFSPLPYTYHSPRTLCHSSLVSHSCSYCYIHCCYCRLNSNSHCCCIVGVAIVVVIVGVTTGVSIPSIVFCEPFVVDFRNFIFLIAKGGGRGLFCGRNSRNTAIEAIGMVSTLNWCWCWCGNF >CDP11820 pep chromosome:AUK_PRJEB4211_v1:5:19552001:19552357:-1 gene:GSCOC_T00035074001 transcript:CDP11820 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGREEDQGHNSPSLCSRNKEEDGSIACELCSSKASLYCQADDAFLCRKCDRWVHGANFLAQRHIRCLLCATCQRLTQRYLIGPSVEVILPTVVRLKESSQCDTEDSESVKQPFLFL >CDP11782 pep chromosome:AUK_PRJEB4211_v1:5:19006749:19011427:1 gene:GSCOC_T00035016001 transcript:CDP11782 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSNDAGQPLLPRTNTQISKELEEILCDNEQPLLQRYRAATWIEMKLLFHLAAPAVIVYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAFGAKKFEMLGIYLQRSTILLSLTGVLLTIIYVFSKPILIFLGQSPDIASAAALFVYGLIPQIFGYAVNFPIQKFMQAQSIVQPSAYISTATLVLHLVLSWLVVYKIGLGLLGASLVLSISWWIIVIGQFIYIVKSEKCKKTWTGFTLQAFSGLWGFFKLSAASAVMLCLEAWYFQILVLLAGLLPNPKLALDSLSICTTISGWVLMVSVGFNAAASVRVSNELGAGHPKSAAFSVVVVNVISFIICVIAAIVILALRRVMSYAFTEGEVVADAVSDLSPLLALTLVLNGIQPVLSGVAVGCGWQAFVAYVNVGCYYLVGIPLGSLLGFYFQLGAKVKLYIYIYIYIYIYIYIPIIYPKFTSIPQRPKQLNIIHTLIHSLLFSRRTSQSLFTLFSLLYLLLFCSSTSRLQ >CDP16039 pep chromosome:AUK_PRJEB4211_v1:5:282596:284580:1 gene:GSCOC_T00017036001 transcript:CDP16039 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVIAKMASVLRRKRPVTSFFLDLPKNSPFASESFLRKPSQNPHPLTPSTSYLNNNRVQFIRPFSTKSTAKFPEYQMPSVSWGVIQGRKEKLVSRVIICDYLKGIGIIPEELEDLELPSTVEVMRERVEFLQKLGLTIDDINEYPLMLGCSVRKNIIPVLGYLEKIGIQRPKLGEFVKNYPQCLHASVVVELVPVIKFLRGLDVEKQDIGYVLMKYPELLGFKLEGTMSTSVAYLVSIGVHPRDIGPMVTQYPYVLGMRVGTMIKPLVDYLVSLGLPKKILARMFEKRAYLLGYDLEDTVKPNVECLISFGIRQEAIASVIAQYPQILGLPLKAKLSSQQYFFNLKLKVDPDGFARVIEKMPQIVSLNQHVIMKPMEFLLGRAVPAEDVAKMIVKFPQLVALQVGLMKNSYYFFKSEMGRPVKELVEFPEYFTYSLESRIKPRFQRLQSKGISCSLAWFLNCSDQRFEERLHGHYIEAESAGPSFFMGGKLELPGNEIVSEEEDESDDEILYRRTVSL >CDP05968 pep chromosome:AUK_PRJEB4211_v1:5:25656532:25661741:1 gene:GSCOC_T00021301001 transcript:CDP05968 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSNGGPSFRFNPAEVAEMEAILQAHNNTAVPSREILLALAEKFSNSPERSGKVVVQMKQVWNWFQNRRYALRAKATKVPAKVGPSPVPRDDLAAARNVPQAPQHISVPPAIVRNVPQVSQPISAPSVQSAGKNGSDNSQMEFEAKSARDGAWYDVATFISHRSVETSDPEVLVRFAGFGPEEDEWVNVRKHVRQRSLPCESSECVAVLPGDLILCFQEGKEQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQTEEIVPLRKVCRRPETDYRLQQLHAESANWNQNKAGSEPHTGNTLKVSLPAEATQKQHKAEAPAEVKEQQHNTEGRANTGPAATPISVDNLSTITAAVSRAHANITSAAVFAPVVTTTAPIAQSNVPGATMPVANNTSPVSHSSVSKATVSTDNATAPVTYSNVSGASVSTTTPVANSSVSGSSSSGMSTTVPVDNSDDSRATISTAAPVATSHVSGATSSVVTTTTLGDNSNVFGANTMDSATNSNDTVDANPVTSATSPIADSNFSGAPGVAPIGHSGVLPDTEPKGLPENVSGAGASNSVENKSTPQATIAVTSSTTDTATTGAVEGSSDENTLQG >CDP10814 pep chromosome:AUK_PRJEB4211_v1:5:18643222:18651125:1 gene:GSCOC_T00031700001 transcript:CDP10814 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSTVDNPGCPPLRALTFDSLGLIKVIEARGQEKSVPKVVETWGEPDSTRAIVAASIIDRLSEPLLGVARKNGLIEILSSVNGNLQASIPNASQTDVCPESDAIVGLHLFRKQSIESSSRLCTFLTCTIKGRTTMRSIEIPQSQTDSIGDATETTWNACGSGDILFAKVDESECYALFGGKGVEVNIWDLHTSSKLWNAKSPVKNSLGIFSPTWFTCASFLGNKDHRKFVSGTNFHQVRLYDVSAQRRPIMSFDFRETAIKSVAGDLDGHAIYVGNGSGDLASFDIRTGKLLGSFIGKCSGSIRSIVRHPELPVIASCGLDNYLRIWDINSRQLLSPVFLKQHLHDVVFDSYYRNGKEVAPADLPQQEQAPDNISDGTDEGALPAKRKKASTMHKGNKNLKSRKISKNKDLLPQPKNFSEIPDRVVEEMVSVKRKKASKVHSGSEKVKKKIGKNSDLP >CDP14772 pep chromosome:AUK_PRJEB4211_v1:5:21772983:21779416:1 gene:GSCOC_T00042224001 transcript:CDP14772 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVAHGPLISFMPMKFSKGLRQEFSNSKFSLSKSNPLIFRCCSNKDEKSQESQMDFSVLKKDVECDRGIIYSTMAFFVFSLHIPLSFGGLAIVATILHQQVIEPQTEALALLGLEILEFIGFVLLLKRPGKPQYKLLDLFQVNELAGERSWLLASLIGFLFLLFLVLVTSLIADQFLGTKEVNSPTLQTLLSSGSISVTACILVYCIVTPFLEEIVYRGYLLTSLSCTMKWQQAVLLSSLLFSASHFSVENFLQLFVIGCVLGCSYCWSGNLSSSILIHSLYNAFILYITFIS >CDP13566 pep chromosome:AUK_PRJEB4211_v1:5:29032099:29039574:-1 gene:GSCOC_T00038559001 transcript:CDP13566 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSANRLVYRLRYFSVASKVLVLLYVFLITTVSCCYNWLFLYKRVSERGKFILSTMQQSKTHMQAQRNSADHCNGELDPQHVAYSLGYVNANANVRSSEQNISEVKPVRNFSIQTGEEFAFEFMHDRVNPSKKFVSYVNGDPLCTPSYLDLKGILGISHTGSESGPDISVVEKGSKVLEPNNSSPYENKSYYVSARSFPRTSSDYNNYQTLAYTLPGASDGSSIKLKILCSFGGKIIPRPSDGKLRYVGGETRMIRINKDIAWQELLQKTSAIYDQTYSIKYQLPGEDLDALVSVSSDEDLQNMMEECKVLEDGEGSNKLRLFLFSISDLDDAHFSIANSHGGSEFQYVVAVNGMDMGLRKSSTLHGMASCSANNLDELDGSIVEETNRAAAGYVGAGTFPLADFDVSLSTTEPSQLVLPNTSNPSATDSHFYHGQMLHYNENRQQSMQYKLHPDHLSPVDGAVQWQFHGHVGQQKGFVEQLGIATESGPIDLSYFEPSASSHSVFRSERIPREQAELLYRLSKSDNSCSSQFLMTHSHADILEPELIAESVQRSQTEKSNMQIEQGISADHPGFVDSQTTVDGQMTLSKMKQTVPNSVDAKDDDHKNQGLIADRDMSRVKYNAQNLLVDDIAEAKSEVSFVNRVDSGKHPEHPVCSLPEAQRVDKVVSETMTDNAHGHSSAWIGSSTKDINHGETSDASRPEQPDILIDINDRFPRDFLSDIFSKAILSNSPADTGPLQKDGAGMSLNIEKHEPKHWSFFQKLAGNDFARKDVSLVDQGHTGYLAGLPKVDEDASVAYCFDPLTQEGISVVSHSDYHPSNVQISEAIQYDDLTDHTRLEGSEYEDGQIDIGLPNLDPFLVDIDIDSLQLINNKDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFIGRSSEQERLTIEFWREAEILSKLHHPNVVAFYGVVQDGPGGILATVAEYMVDGSLRHVLLQKDRHLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDSSQPICKVGDFGLSKIKRNTLVSGGVRGSLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPSIPSFCDPEWRNLMEQCWAPNPVVRPSFTEIAGRLRGMSAAAQARTPVNRASN >CDP18747 pep chromosome:AUK_PRJEB4211_v1:5:23584822:23589055:1 gene:GSCOC_T00002681001 transcript:CDP18747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 43 [Source:Projected from Arabidopsis thaliana (AT2G30900) UniProtKB/Swiss-Prot;Acc:Q6DR10] MGSSSLPVPAAYAAALGALVLLSLFNHAVKVQGESNRKVGRQETEGCDIFQGSWIRDDSYPGYEYTQCPFIEKAFNCQNNGRTDSEYLKYRWQPKNCNVSRFDGKEFLSRFKGKSIMFVGDSLSLNQWQSLTCMLHSAVPQAPYKLKRIGTLSNFTFPTYGVSIMYSRNALLVDVIRENDRRVLKLNSVASSSKTWEKMDMLIFDTWHWWLHTGRKQPWDIIEYNKVLYQNMDRLHAYEIALNTWASWVESRVDPRRTKIFFQGVSPDHDRFAGLPFDCERWRQPLIYPGVRSKPQVVLEKVLQPLSKRVNLLDIYSLSKLRIDGHPSVYGSGGHRGMDCTHWFPFPPFLLSSFQRCFFFLLIYTSLQCFRHTKKDLNSFVYSAFMGSLLLTAIVGAAALLLLSSILVHAREMGTINGCDFFQGSWILDDSYPLYDTTQCPFIQNEFDCQKN >CDP14410 pep chromosome:AUK_PRJEB4211_v1:5:7035895:7038940:1 gene:GSCOC_T00040811001 transcript:CDP14410 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKSDFGDGASPGKIFIGGLAKDTTLDQFVKYFGNYGEITDSVIMKDRSTGRPRGFGFITYADPAVVDTVIAETHIINGKQVEIKRTIPKGSSESRDFKTKKIFVGGIPTSVNEDELKSFFSKYGKVVEHEIIRDHVTKRSRGFGFVVFDNEQVVDSLLSDGNMIDMAGTQVEIKKAEPKKPSNPAPASAYGSESRGRPYGDNFGGFGNSYSSFSSGGFGPAPYRSFGGIGGRYGDYGGYNGGGEFGRYGDFGGSDYVGYRGEPSLGYSSRYGSYAGGFGGGYSGSGLGAYGRGGGYGGYSGAGAGAGYDSGPGAGYGGSGGLYGSRAGYSGGGRYHPYAR >CDP14809 pep chromosome:AUK_PRJEB4211_v1:5:22187427:22191461:1 gene:GSCOC_T00042269001 transcript:CDP14809 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGKTTLARMVLKNESVTKYFDEKIWVCVSDDFKVDRLLNEMLQSLGKKDAKTTNKEALVRKLQENLKGKSYLLVLDDIWNKNRAKWDDMRICLLAIGGAPGSKILVTTRSDEVASEMQTSDVHHLDSLSDDHSCMLFEKLAFADCGARRTQDLVDIGRKILKKCGGVPLAIKAIGHLLNSKKDASEWLKLEKSEIWNESMNTEGGVISVLKLSYDNLPSWSVKQCFASCSIFSKGTEMEKESLIQIWMAQGLINDAKGGGHLQMEDIGSNYFNILLRSSLLQATSKNSIYGIKGCRMHDLVHDLSLQVSNNCFVNTKDGMAVSHEDEVMHLNIFNMSRGKMLKNIEGIPPNLQTLYYIGDDGIMLEDILERSRYLCVLKVVCRDVTHLPNSVGSMKHLRHLDISQTGITALPDSITKLYNLMTLKANYLVEVPKKFSNLINLRHLEFSEDHRGLSRCLFPGIGQLANLRTLPHFRVSQDKGCQLEELEHLRNLRGELKIFGLENVSSFESAAKAKLSEKSSIRGLRLSWDDTKEDCDDNNINSVMEGLQPHPDLKSLAINGFKGSRLPSWMVLLRSLVEIKLTRLGKCEQVPPLGDLPCLESLWMASLHNVKRIGAEFYEAKPITLFPKLERFWLQDMGSLEEWSDAMVPSDSSSSIKVFPNLRDLRISGLPKLAVLPDMENLTSLEHLSGCPAFESLSLENLTLRKLEIWRCGSLACIRNLNSLTSLESFILEDCPALESLSLENLTALAKLSIWGCGSLACIRNVNSLTSLESLYLHDCPALLDASLDMKNPQSLRTLGISRCDKLNLSLSNNLEKFTSLQRLTIHSDDPGSWPIMVLHHLANLRELELGGFSDDLDHFPWPDSTTNLVSLERLELRGWPKVTSLPDQIQHLSTLRRLVIRKFEGLEVLPEWMGSLRNLRQLWIADCSNLRQLPSAEATRHLTNLYHLIIYNCPLLAERCTRGRGAEWPKIAHIPLVEIM >CDP15941 pep chromosome:AUK_PRJEB4211_v1:5:23053347:23057517:1 gene:GSCOC_T00016864001 transcript:CDP15941 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLELLLDVKGSDLNFDGWWYDLILLKNMMEDKQLNLSRPLLSVRRHTSTVASEKDSKKNDQSSISSVTRPPPFYKSELKSGPVRNAGTVPFVWEQSPGMPKFERTLETHTERPPVAPKPPPGRVLKANQQDNDNSSKKLTVNKSQVGNPQGSQSASELGDSSRRFKITEDTVEEAHERKDDSEDEDEVFEDALDTLSRTESFFVNCSVSGISGLDDLDVMPSGTFSTDPQARDFMIGRFLPAAKAMVSETPQYAPAPKKRPVVQDNPRQLKKVVNADNRPQLRYGPTFAKHYSEFHDNGEEEESDDDYVEHENVPSKVCGLLPRFCFKSRLLNPLPGMSVRTRTPMSPTTRMLARSSSASTCSKTENELSSVDMSEQRSIDGSQTAELHEGKNNLNVKSSQISLKSQKYEKSLANGHGGVSSHYNESPASIDQRAFPVIPVEPQDASVDGCSSDEKSYRSFRDLLADQSPSEEVNSASPAVEKTLYVDTVHKGESPKKTCSLGMKGPSIEREMNDEILRSVDSSLIDHLSIADGGDPLKTISRKPLVFSLLPLADEPSQKIEKDNRKAFGEDQKLYQDGVDSKITQVPVKLTTQTLGKQTAKAEIVVNYHGISAEFPAPPPLPKSPSDSWLCRTLPSMSSKNSSILSHFGTGMNPRNQVSKTQSADPKWETIVKTTKVHHHHLRYSEEFLAAIPES >CDP13634 pep chromosome:AUK_PRJEB4211_v1:5:28551594:28556599:-1 gene:GSCOC_T00038649001 transcript:CDP13634 gene_biotype:protein_coding transcript_biotype:protein_coding MHARNRSPGNGYRANAVVMGGVATTSRISPESSMRGHGGYNSEYRGYIRGGFGRGQSRQFHRSQPPPPPPPPQKGGGDIFMEAGRLAAEYLVSKGLLPANVLSGKSHNGSLKNQVWAQEGDAEQLSLEGRTSALSRLGGPGPDSGPGRRRYMEEYNSRNILRGRRKNGSFKNYGSDWSRDVGRSGSWSERSRASVDGEDDCDVLSRHREEQQISNDDDSVSQNSIPVLAPENDVAGAKESNSVGNTQSASEKYEPRDDAKASAVTSTKDLPLKTSEEPIEKDDTKVSNAEAEDVKDDQMGLQNAKDDMAIAASLGEGAPSSEKNGDLLKLSHFAKVPTRPRSSMVVRVAKVDPGPMIVDESPNEGKPFKKDTDDLNVDDLSGDGSSNHTYDSNALNLDNLTVPAPGDKLGTSYSPVQGGCTASVSYLDRPSIKEHEEPDFGGINRVMMERGEKRALDDSNSSMGSKKPRELASFEDAESDGGISTLDPLDNQQTSEEPMTSEGQAVTLPSDEKRLLGISLYPKGDIGPSMDYAEEKQLFPGSFKTCDLNLMEASDANENHDNNPVLIFPSDTEKGKQAIPVDIDLSMSNNPRGSNRYGKCIVDGKDIEVIDLENDSAQEDKDSNNSERRTENVYSGLAGFSNNSVNANDIPDVQDGYGLMISELLGNDIPNCSSVPADMNSLHNDMGLHNGEGILGDDDSIYMSLGEIPISFLRVWEQPSQEYGKPF >CDP11808 pep chromosome:AUK_PRJEB4211_v1:5:19400814:19403908:1 gene:GSCOC_T00035058001 transcript:CDP11808 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHRQLPLLHRFYSSTTSSPTSFLPSFTSTQFLSTKNSPDSQENKETDDPFKSHPSFHHLSPIKSKSQLLQSYTITPPINPWPKDLSHKRLISLISRQHDLNLALQIFHHAGKYHPKFSHNYQTYQFIIQKFSSARAFQDMETLLDELKRAGISCAENLFIVVIRNYGIASKPKKALKIFLKMNEFSGVKRSVKSFNTLLNALVQNKYYDLVYGLFKNCRKKFDIVPNVSTCNILIKALCRKNDVNAAIRILDEMPAMGVVPNVVSYTTILSGYVARGDLVGAKRVFHEIHDRGWFPDVMTYTILVDGHCKQGQLVDAIKVMDDMEENGVEPNDVTYGVMIEAFCKEKKSGEAVNLLNDMLDKRNVPSSALCCKLIDVLCVEGKVEEASELWKKLMIKNVTPDNAILSTLIFWLCKEGKIWEARKLFDEFEKGSVPSELTYNTLIAGMCERGELSEAARLWDDMVEKRLSPNAFTYNMLIKGFCNVGNASEGIRVLEEMLERGCSPNESTYSILVKGLHSSGCVSEIPRVLSMAACNGKEIEIVT >CDP05810 pep chromosome:AUK_PRJEB4211_v1:5:26825266:26831301:-1 gene:GSCOC_T00021101001 transcript:CDP05810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 5 [Source:Projected from Arabidopsis thaliana (AT5G54650) UniProtKB/Swiss-Prot;Acc:Q94B77] MGVRGGLGVIASLVLLLVLIATCLGSKKAAPESSLASLVNLMERNQDVAELLMANCRLELLRANEAVEESSEVIVNGRSLAKSDAQKFLTVLNPKVERTLLECLRKKDLVFLESGEEKDSKNWFIRYLDFIYTRPGASRGRRLIQSFGEAPAPSPALPSPAPAPSIPVVPKPQLSTSPPSPPFFPPDSSSSNLLRTPSAKPSLGPSSSSDVQANKQHGTSKTVVMAVAVTAAATFVISAVLFLCCRGFCGRGSGVGKNDERPLLNLSLSDYSVASPNKSFTLSSSINNEKPSNQSFYNSNHKMTGDFNMDSHLQTDSKIEIPLGTAAGGGITLSENSSQILGRSEPTGLPPLKPPPGRANSLGLPTGPGKAPPPPPAPSAPPPPPLRPGGPRPPAPAPPPPPTIPSPARNGPRPPPPPPPGGSGAPPRPPPLGLKPTRPPLGSSNLSTSANVEDADAPKAKLKPFFWDKVLANPDHSMVWHQIKSGSFQFNEEMIESLFGYAPADRNKNQRKESTAQDAANQYIQIIDPKKSQNLSILLKALNVTTEEVCDALQEGNELPSELIQTLLKMAPTTDEELKLRLYSGDVSRLGPAERFLKVLVDIPCAFKRLESLLFMCTLQEEASLVKESFATLEAACTELRKSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGIDGKTTLLHFVVQEIIRSEGVRAARAAREMRSMSSIKSDDLIEVSSHDTEEQFRSMGLQVVANLGNELENVKRAAILDADSLTGTVVKLGHALIKARDFLNAEMKNVDEENGFRQTLESFVQNAEVDIMWLLEEEKRIMALVKSTGDYFHGNAGKDEGLRLFVIVRDFLIILDKVCKEVKSAPKNLNKPRRSEDATSASSDPRQNSVPDPRQRLFPAIAERRMDSSSSDDETS >CDP11858 pep chromosome:AUK_PRJEB4211_v1:5:20185395:20186677:1 gene:GSCOC_T00035129001 transcript:CDP11858 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIQIFLNKVSYVSITIATIILLFLFLQTPSTCVDPRTIPQHPQSLSKRAHQKSFPTSTCDYTHRAYTSLDKKNKKLWNSKAWVQTVSSYKSLFSSSLQGPVRTHLFNHSRALVVSAGPGHGVMALEEMGVADVTGVELVDSPPLVSRADPHNLPFFDNVFDFGFSGYLDRALFPDRYVAEMERTVRSGGVCMVAVEECGDEEVREIVKLFRKSALVDVKNVRLAGERRTRILMRVE >CDP16388 pep chromosome:AUK_PRJEB4211_v1:5:3313063:3319587:-1 gene:GSCOC_T00018232001 transcript:CDP16388 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKKKGKLLFILLVLSGSLPLAIFNISTLEIIAFQGNSLSGSLSSSICARLQGLSWLDLSHNELSGMIPASLAKCSKLPILGLSYNNFSGVMLEEVGNLTALQELYLGHNDLEGTIPMEIGNLNKLKIVEINNNQLSGSLPLGLFNISSLETIDFAGNSLSGSLPVSICSRLQRLKWLDLSYNKLSGSIPEEFGNLKYLEVLNMDFNSLTGSIPAQIFNISTLQIWGLGGNKLSGRLPPSMGYGLINLEELCLNMNECDGVIPPSISNASKLTVLVLNSNRFSGPIPNSIGDLRLLRRLILYENHLTTEPSSRELSFINYLTNCKYLKHLSFGDNPLHVKHLQKLQGLSLTSNQLSGSIPNSLCELKSLYKLYLKANQLHGSIPSCLSKFLVR >CDP11831 pep chromosome:AUK_PRJEB4211_v1:5:19840651:19845377:-1 gene:GSCOC_T00035096001 transcript:CDP11831 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAVELGVGFIFTNVLQLIDDNRKLMRGTDAKIKELTDNLGLLKKFMELYTEEYYKDEILRGLADEIRNLVHQVEDVLETHIVEESRFKNKNFVGKVVGLWDRLENTRNLGKAIRELSEKVKKACNDNKEIGVRIITSGICDPIPRDNNTPAGNQWGDRIIGFEDAADIVLDLLGIPKLDLGRSDAKEQSTSEAEQHCDENPLEIVSIHGMLGLGKTTLARKVLNDPQVEYNFFTRIFVSVSKDYNKKEVLLSMLSVFIKDIRDQKYHKMSVNDLVFKVRETLKHKYLIVMDDVWDTKAWEDLRDAFPDNKKGSRVLITTRQESVAKRAATKTDPYPLRFMKQEEAEELLRTKVFNESECPDKLRPAESRILKKCGGLPLAIVVTAGILRNDPENEKWWDSVAQKSLSMDELDSDSQKQLRESMEETAERCLRELVDRNLVMVRQRTLSGRIKTFLVHDTLRDFCRRTAKAENLFQECDMGTVSSSSRRLFCINSQFSDYISNQQPAQNVRSFLSFGQEETTLNQDLCPNVFKQFNLLRVLDILSIKLPGPRFPVQLPNLILLKFIAIYCDLKTLPEEMSSLLNLKTLVVRTTSLALAIEANIWAMTKLRHLHTNTSTTLPKCPDQSPGGENLQTLSTVSPESLTKEVFKRAKNLKKLGIRGKLHNLVEGNGESSFFDSLCEVDSLENLKLYGDDVNSKLLALPQAHKFPPRLTRLSLHNTSLDWNYMSILGDLRYLEVLKLKDYAFKGDYWKTKQGGFPSLKVFFIGATDLTRWDAKANDFPELKCLILKQCKTLQRIPSDFAFMKNLEMIKVEHTKDSVRVKRLALLSSLFILQSVERQANCSISASLTVFVFCSPKRIHNVEALKLLSRSFVFLLHSAVIKAWYLSPGNDILLL >CDP13685 pep chromosome:AUK_PRJEB4211_v1:5:28210830:28213356:1 gene:GSCOC_T00038712001 transcript:CDP13685 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRYFFTPELVCNSGFADAISSISPLGDDHRMVVSARSRPSSRVPPSSFLIRMAMKISRSRWFSFLRRVFHYQNGPRSDLASNPFNTGSWMMTEFVVLAVQIAMVVYTLAISKEERPVWPMRIWVSGYACGCILSLMQLCCRYRVLRLTQNQGEGSNISDVEQQRSHDDSRSLHCMNKYRTFLELFFAIWFVMGNVWVFDSRFGSFRHAPKLHVLCISLLAWNAISYSFPFILFVLLCCCVPLLSTLLGYNMNMGSIDRGATDEQLSKLPSWKYKEVGNNLELGNSALNNENPECCICLAKYRDREEMRQLPCSHVFHLKCVDHWLRIISCCPLCKQELER >CDP13712 pep chromosome:AUK_PRJEB4211_v1:5:28052129:28057222:-1 gene:GSCOC_T00038750001 transcript:CDP13712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN [Source:Projected from Arabidopsis thaliana (AT1G55870) UniProtKB/Swiss-Prot;Acc:Q9LG26] MKNLFTIRAFHKSLISLSSSTRHFCCSSSSSASTFAIKNVTKSNFESALNDLRLYVKDADFVAVDLEMTGVTSAPWRESFELDRFDIQYLKVKDSAEKFAAVQFGVCPFRWEPHRQSFVAHPHNFYIFPRQEIKGDDTSYEFLCQTTSINFLAKYQFDFNLCIREGVSYLSRSQEKEALGRLNARYKDRLLDSSSCWREGVDTKLVRVADILFSERLKNKIAEWHDGLLGDRNGASQTWKTSNDSKQQLKTIFFKMRPALDLTGFTSHQLRLIQLVVKNHFEDLVYACVAGESSSQQLIVYADSASDRDLLMKELKDCLCKEAEMKIKAAIGFRHVIDLLSSEKKLIVGHNSFLDIAHIYRKFIGPLPSTAKECVISIQKFFPYIVDTKVLLNASTVCQKILKKSSTSLSKAFALLCPQIASGVKTSGLADKRLVKVEVQVDNKRSLNWNSGAKHEAGYDAFMTGCVFAQACSHLGVDFTLNPSSVDLSHHEKLQEYINLLYLSWINGDIIDLKTGERKTDSICSKGFKAHHSKILFSNTVLLWGFPAKLKASEIRECFCKVFGSSSITFIYHLDKTAVFIQFSKEELVSDFLVLKETLERSNDPISVLHPLSKVLQGGRTRAASYEVYKQICSSTISKVFFADQAEAVGIEWNAKLSKPVLEVERQVDFEASENQLDTDARNETEIVSGIDPPLYMHSPTDELDSLCPAEAQMSK >CDP10777 pep chromosome:AUK_PRJEB4211_v1:5:17434173:17434958:-1 gene:GSCOC_T00031627001 transcript:CDP10777 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFVAEKGIGLRYQFGDLVCWLVATIVPVGLYHILKYFSAPSGLVQLFCLYGYSLFVFIPALCLSVIPSQIVRWVIAGVAGFMSPTFVALNLRNHIKSAGERWFRIVVGIRGGARKKF >CDP11878 pep chromosome:AUK_PRJEB4211_v1:5:20420452:20421903:-1 gene:GSCOC_T00035156001 transcript:CDP11878 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFKLLMMVSPLMGHLAQALELAKLMLARNNQLSIIALIMELPIDPHGTARIQSLIAATNVEGLHFHHLPTPEDTSDWNITHRGLFTLKLLEYQKPHVRAIASKTQKFCGFLIDLVSTTMIDVADELGVPTYIFFTSGAAFLGLMLHFQTLEDEQNQDISDLVKGESHLILPIFAKPVPIGVLPAMATQKEYWSTFVLKWTRDYRRAKGIIVNTFSDLESNAISSFSLDSYYGKSSLPPIFPVGPILNRSQIHTQSSEDYSAMMKWLDCQPKNSVVFLCFGSLASFHPDQVQEIAYGIERSGHRFLWVLRQPPATKGGFPRDYENLELVLPEGFLDRTASIGKVVGWVPQLAVLSHSAVRGFVSHCGWNSTLESIFCGVPIAAWPVQAEQQLNAFQLVKELGIAVEISLDYKQQKENHALVRAEQVEKGIREIMDVENEVRIRVKEFSEKSRLATKEGGSSYFALGNLIQDICSRSSAQLVL >CDP06166 pep chromosome:AUK_PRJEB4211_v1:5:24056266:24059507:-1 gene:GSCOC_T00021565001 transcript:CDP06166 gene_biotype:protein_coding transcript_biotype:protein_coding MILNWPSIFVQPKLPMVRTACFASGVNTDQLREQLDNLHKEAETTRAKANSARLRFLRLSEAAEKLRQQAALSVQTAKDNDARELLLQKRKVMQAMEKSKNRIELLDELAAKLTEVISVKETELIGNVALDLEVGGDAAPTPVRFVSPKEEVLKTSTDVNYFDQNEKLGQNEELEGAALNLPELHSSDELNNREQPVDGDVCKEDDAVHGVKEISSYEDFLECIDCHLHKVEVEILSLLKFSRLILEIKENEEKSKVQHVVEILKDVLHIRERIGSIKKTEVGIR >CDP11903 pep chromosome:AUK_PRJEB4211_v1:5:20733935:20735452:-1 gene:GSCOC_T00035204001 transcript:CDP11903 gene_biotype:protein_coding transcript_biotype:protein_coding MMISSRTMKVLQHFLTWDLPKRKPIYNPSFSTFSHMTQMTSSHTVKVLQCCQISPPQGSVPSTTIPLTFFDIPWLLFTPNQPLFFYDFPNFSTHDFIHNILPNLNHSLSSTLQYFFPLAGNLVIPPQPSKPHLSYAEGDSVSLIIAEFSCDFAFLSSYQPRNVQDFYQLVPQLALSKPVETTTRLPLLAVQITIFPRFGVCMGFALRQVAADGRTFDNFLKTWASILGEAGNKCPRLALADNFLPCNDRTLIHDPCGLEQIFLKQWWHLKSLQKDVVEYANGSNFDEIVRATFVVGPIEMEKIKGWIMTRSMNIFGSTRLYLSPYVVTCAFVWVCWTKIHLIHGGDFPEKPHYFGFIAGGITRLGFSVPKSYIGNCVAFGRSMVKRDQLVGENGLIYAAKAIGDTIKELDREVLGEADKWISDWEVLFGSEPHVMITGSPKVGSFELDFGWGRPTKVEEASISKTRAISLCESRDVFHGIEVGLALPKAKMDAFDSLFREGLKTL >CDP14793 pep chromosome:AUK_PRJEB4211_v1:5:22023383:22024735:1 gene:GSCOC_T00042252001 transcript:CDP14793 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAKFRQNFDQNKMDKFYGDQESFRELIFGFIEEFSEDSSESSCSSTFEFDDRAIIEEDVDEDENFSIVEESKAFWESQEDLLQTALCRTTSIEKTIRQATKEAVRDISSVGLDCACDKMVGNSCEICLKKEICNRLRNAGYSCVVCKSKWKKSPEIPSGEHTYLEVVQNSKGGKGEVKILIELNFRAQFEMARASEEYNRLINQLPEIFVGKFLRLQNLVKILCAASKKCMRERKMHIAPWRKYKYMLAKWHGSPDQQKVAPILSPVSRLGRATRPRTSMLTFDLVGSLQGIQCTTMIKVV >CDP05709 pep chromosome:AUK_PRJEB4211_v1:5:10910665:10916761:-1 gene:GSCOC_T00020939001 transcript:CDP05709 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYGGNWSMIPNVQTLSSSSNPSTPSSTQDHHHLFLQQQPPLYQQQQQQQQHCFSQQQQQHQSLASHFHLLHLVENLADAIENGTRDQHSDALVTELNSQFDKCQQLLNSISGSINSRSMTVEGQKHKLEETEQLLNQRRDLISKYRNSVEELINSEL >CDP05885 pep chromosome:AUK_PRJEB4211_v1:5:26260062:26262924:-1 gene:GSCOC_T00021196001 transcript:CDP05885 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLMVTIFIFDLIAFGLAVAAEQRRSTAKVTSDNEKDYNYCVYDSDIATGFGVGAFFFLMASQVIIMLASKCFCCGKALKPGGSRACAVLLFIILWLFFLIAEACLLAGSVRNAYHTKYRTIFGGEDPPSCETVRKGVFAAGAAFVFFTGIVSEFYYVSFSNAGASFEPYGGESAVGMGAYK >CDP06081 pep chromosome:AUK_PRJEB4211_v1:5:24782237:24797417:1 gene:GSCOC_T00021443001 transcript:CDP06081 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVPADPSTSNSASTSSRRVYTTTNTRPFTRLITSGRDHVVEPFDSEKLPVTLVSEIQKFLRVANQIELEEPRVAYLCRFHAFEVAHNLDRNSSGRGVRQFKTSLLQRLEQDEEITIRKRKEKSDIRELRRVYREYKDFIIKHSGDSNLQNSEKMIKARAIASALSKVLNTVTTAAGPQVLAESESINAKPELYVPYNILPLDEGGASQAIMQLPEIKAAVAAFRKVRGLPFVEDFRRRVVCLDLFDWLQFCFGFQKGNVANQREHLILLLANTHIRKSHKETSVSKLGDGALDELMKKFFKNYTDWCKFLGRKSNIQLPYLKEEAQQYKLLYIGLYLLIWGEAANIRFMPECLCYIFHHMAYELHSLLVGAVNMETGERIMPVYGGGSESFLNNVVFHLYKVIHEEAMKNRNGTTDHSSWRNYDDLNEFFWSEDCFQIGWPMRLEHDFFCIDPSSDSKTKKPRQSVRTDEDKKSPNEDEEMEDIPDEGHKVREGKWLGKTNFVEIRSFWQIFRSFDRMWSFLILCLQAMIIMASHDLESPLEVFDATVLEDVMSIFITSAALKLIRAILDIVFTWKARNTIDSYKIRRNVLKVLVPMIWTITLPIYYINHRGKYTCYSTQSQSWLGEWCYSSYMVAVAFYLMTNAFDMVLFLVPVVGKYIETSNSRICTMLSWWRQPRLYVGRGMQETQLSQFKYTMFWVLLMLSKFIFSYQFEIKPLISPTRQIMRIGIKNYDWHELFPKVKSNAGAIAAIWAPIILVYFMDAQIWYSVYCSIFGGVYGILHHLGEIRTLGMLRIRFHSLPDAFSAYLIPHKEKDNKEGISKWFLCLREKAFEKNSIVKFVVVWNQIISSFREEDLISNREMHLMKMPLSSELFSGQIRWPVFLLANKFSTALSIARDFSGKDADLLRKIKRDDYMYLVVTECYDSLKYVLEILVVGDFEQRIISGILDEIEGSIGCSTLLEDLNMSELPALHTKCVELLELLVEGNEEQYCNVVKALQDIFEIVTSDLMLKGCRTLDSLYAHRDGDDSELFTHIEPQLFASARSIHFPLPDSGLIVDKIKRFLLLLTTKDKAMDIPSNLEARRRISFFATSLFMDMPRAPKVRNMLSFSVLTPHYMEDVKYSSEELHSNKEGVSILFYMQKIFPDEWENFLERVGTENLNASNDEINEEDLRNWASFRGQTLCRTVRGMMYYQKALKLQAFLDMAADDDILQGYDAIGKGNDTLSAQLDALADMKFTHVISCQMFGSHKSSGNPQAQDILDLMIRYPSLRVAYVEEKEKIVSEKEKIVSDRPPTVYSSVLVKAVNGFDQEIYRIKLPGPPNIGEGKPENQNHSIIFTRGEALQAIDMNQDNYMEEAFKMRNILQEFLHERGQRPPTILGMREHIFTGSVSSLAWFMSYQETSFVTIGQRLLANPLRVRFHYGHPDLFERIFHLTRGGVSKASKTVNLSEDVFAGFNTTLRRGNITYHEYMQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIYRLGRRFDFFRMLSCYFTTIGFYFNSLISVIGIYVFLYGQLYLVLSGLQRALLIEARIQNIKSLETALASQSFIQLGLLTGLPMVMEIGLERGFLNALKDFVLMQLQLAAVFFTFSFGTKSHYYGRTILHGGAKYRPTGRKVVVFHASFTENYRLYSRSHFVKGFELLLLLIVYDLFRRSVQSNMAYVLITYAIWFMSMTWLFAPFLFNPSGFNWGKIVDDWKGWNKWIVQQGGIGIQQDKSWQSWWYDEQDHLRHSGLGSRLIEILLSLRFFIYQYGLVYHLDISRQNKNFIVYVLSWVVIVMIFLLIKVVNLGRKYFSANYHLVFRLFKALIFLGVLATIITLSIICDLSFKDLVVCCLAFLPTGWGLILVAQAVRPKIEGTALWHFTRVFVQAYDYGMGIVLFAPIACLAWLPIISAFQTRFLFNEAFSRRLHIQPILAAKKKKHR >CDP05710 pep chromosome:AUK_PRJEB4211_v1:5:10906133:10908867:1 gene:GSCOC_T00020941001 transcript:CDP05710 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYQAFKACVPIEWSDKLYITLVRGMPGTRELHRRTLEALHLRKCNRTVVRKNTPTVRGMLQQVKRLVAIETKEMYEARKQREANQRALRPPLVISHHPAPKTDSSQ >CDP15902 pep chromosome:AUK_PRJEB4211_v1:5:22723598:22724254:1 gene:GSCOC_T00016811001 transcript:CDP15902 gene_biotype:protein_coding transcript_biotype:protein_coding MADIKTSFLICLFATLLPFGQPQFTTYQQICQRTTNSNLCIQIIDASTSSRLKANVNGWLQILSDQAKRISLFTLTKIDDAGKNNPSPRLSRSLDECRVEYNEIIRGLEQLEWRNLNRGNYADFNKALGYDELSVSACTNDFSQDPPIQSPVIIYTQSTKDVLDLTLEVLNLNQCNKITACI >CDP06108 pep chromosome:AUK_PRJEB4211_v1:5:24493201:24495171:1 gene:GSCOC_T00021494001 transcript:CDP06108 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHEYSPPANRVIIALKEKGVAFVSKQEDLPNKKSSLLLEMNPVHKQIPVLIHNGKPICESLIIVEYIDEVWNDKSPLLPTDPHDRAHSKFWADYIDKKIYTSARPVLTTKGETQAAAMKELISSLKILDAELGDKPYFGGKTFGITDIALVPLYSRFYTLEKFGNLKMNEECPKLVAWGERCLERESVSTTLHNQYETYDFMLEIWKKLVVE >CDP14724 pep chromosome:AUK_PRJEB4211_v1:5:21345930:21346643:-1 gene:GSCOC_T00042151001 transcript:CDP14724 gene_biotype:protein_coding transcript_biotype:protein_coding MLDILEKFLIRKGCCFSRLDGSTPTGVRQSLVDDFNSTGGLGLNLVSANRVVIFDPNWNPAQDLQAQDGSFRYGQKRHVIVFRLLEAGSLEELVYARQVYKQQLANIAVSGKMEKRYFDGVQDCREFQGELFGICNLFRDLSDKLFTSEIIELHEKKGIEHGDCESSKQFFTESTEGIN >CDP06162 pep chromosome:AUK_PRJEB4211_v1:5:24074262:24075308:1 gene:GSCOC_T00021560001 transcript:CDP06162 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTQIFVIVFCSAMQANLSHLLLTEKVLSRYLYGCFMREVDRWASVLLIVLASTKRCKVKEGVICCIKDTYFSLLPFTCIRMTTFFSLPCSQ >CDP13580 pep chromosome:AUK_PRJEB4211_v1:5:28964314:28970315:1 gene:GSCOC_T00038577001 transcript:CDP13580 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLARALSSHRFLVQSTSSPLSRKTHLFTSFFSKRPFNSDPASSHWSYNKSTTLFCRMVSSVAASQVSFSNMSLHPTEPVVSVDWLHANLREPDMKVLDASWYMPDEQRNPLQEYQVAHIPGALFFDLDGISDRATNLPHMLPSEEAFAAAVSALGIENKDGLVVYDGKGIFSAARVWWMFRVFGHDRIWVLDGGLPRWRASGFDVESSASGDAILKASAASEAIEKVYQGQRVGPITFQTKFQPNLVWTLDQVRENIEEKTHQHIDARAKPRFDGVAPEPRKGIRSGHVPGSKCVPFPQMLDGSQSLLSADELKKKFEGGGISLDEPIITSCGTGVTACILALGLHRLGKTDVAVYDGSWTEWGANPDTPVSTSA >CDP06010 pep chromosome:AUK_PRJEB4211_v1:5:25337862:25339728:-1 gene:GSCOC_T00021353001 transcript:CDP06010 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSFSFSVCLSSLLILYFFTASTVAQVPANATFKIINEGEFGDYITEYDAGYRVISNDFFAFPFSLCFYNTTPGEFILGMRAGIPRDEDLMRWVWDANRNHPVKENATLSFGRDGNLVLADVDGTVAWQTNTANKGVTGIKLLPNGNLVLYDKKGKFIWQSFDHPVDTLLVGMSVKKNGRLVSRTSEADGRDGRYSLVLDNSGFSLYLNNSGQLVNYNGWQGSAYSSVRFNTTPIDEDPKSAGWELLLQTFEDSKSPPTPSPTPSGRRLLQSFPVGSANTVILRKVNYNATLSFLRLQSDGNVKVFTYFDKVPYLRWSETFAFFSSYYVRECGLPSKCGSFGLCQMGMCVACPSPNGLLGWSEDCQPPKLKPCAAKAKVDYYKIAAAEHFLNRESTGDGEGPIKVEACRDKCSKDCRCKGFVYKEDTKKCLLVPVLGTFIRDVNTSTAYVKYSL >CDP13695 pep chromosome:AUK_PRJEB4211_v1:5:28168296:28171681:1 gene:GSCOC_T00038723001 transcript:CDP13695 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDSQGILLNSLRSSGVPIPPGVSAIEDLTPATLFFISSRSLCLIDKTLSFPTCLPEDSMADRFNICSDLASAFKNLGFIDDINFHEFLYPSVEDLHKLVRFLVGRLAESSDVQKASNESGNFNSGDTLFGESNESNLVCGNSVTDEDGAVGKWRADTRGSNEEGWMTRGAGESSVHKVHVAESNACGDEQTIAFGFHEHLEKPGVNSLEDLSTEKRMLLDWIAKTSKLQQMQDERELLKAAEALQCQIPVQFCVQQLIDQIEARKDELVKLEFQWGALRSSIEEKRTNLVEAVCLTKPDAREKLQKKREIGREIVSISAEMKSREDELSVLSMELEKQPKVASRGSFVLRIKEITKNSCKQEVDIERILRDTRELQLESNSIQERLNRTYAVVDETIFREARKDTVARQAYRLLTRIHDCFEQTAEKVLSTDRTRRELADYEAKLTSMASRSLNIDKLQADLDAIRKENDMLEMNLQNS >CDP16027 pep chromosome:AUK_PRJEB4211_v1:5:166234:173888:1 gene:GSCOC_T00017016001 transcript:CDP16027 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVNRLLLRSTLQKAAAAAAAKVGFPVVNCTPVASRLQDHITQAPSQNRWNSTEAAAQKDVSAIDDKGFKGHEMLAPFTAGWQSTDLNPLVIERSEGSYVYDVNGKKYLDALAGLWSTALGGNEPRLIAAAAKQLNTLPFYHSFWNRTTKPSLDLAKELIELFTPSTMSKAFFTNSGSEANDTQVKLVWYYNNALGRPNKKKFIARSKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLANNLETLILKEGPETIAAFIAEPVMGAGGVIPPPATYFEKIQAVVKKYDILFIADEVICAFGRLGTMFGCEKYNIKPDLVSMAKALSSAYMPIGAVLVSPEVSDVIYSQSNKLGSFSHGFTYSGHPVSCAVALEAVRIYKERNIVEQVNKIAPKFQDGLKAFSDSPIIGEIRGTGLILGTEFADNKSPNDPFPSEWGIGSYFGAQCEKHGMLVRVAGDNIMMSPPFILTPGEVDELIGIYAKALKATEERVAELKAQKK >CDP14745 pep chromosome:AUK_PRJEB4211_v1:5:21565720:21569934:-1 gene:GSCOC_T00042189001 transcript:CDP14745 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLFGSPLHHLLRDLAKKYGPLMHLKLGETPTIIVTSPEMAKEIYRTNDVIFASRPSHHVTFKIFSYNHNDIIFSPYGSYWRQLRRICTMEVLSPKRVQTFKAIREDEVFDLIKSISLQKGSTINLSRRIFSLSYSITSLAAFGKRSKDTERFLKFVDEINGLASEFCFADMYPSVKFLQAMSLIRLRYKKVHNQIDEILVDILNEHRGKIQESKQEGEQGGKEDIVDVLLNIQKRGDFEPQLTDTNIKAVILDVFSAGSETSSTAIEWAISEMIRNPETMKRAQYEVRNFYNDRGNVDESRLHELKYLHAIIKEALRLHPSAPLLLPRECDQECKINGYDIPAKAQIIVNAWAISRDPLYWSEAEKFNPSRFLDSEIDYKGNNFEYIPFGAGRRICPGISYSQAVVQLVLAQLLFHFDWKLPGDLKPEELDMADKLGVTIRRKNDLHLIPIPYPGSCLIKDN >CDP11870 pep chromosome:AUK_PRJEB4211_v1:5:20326820:20330558:-1 gene:GSCOC_T00035143001 transcript:CDP11870 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRQLWLLRRRPFSVWKSLTSISISTKNSFLSSYLANNSLHLLKPCVLGPKPSVYSSNCRSFCSRSNSFIDKSQGPAAIDYHSMLQEDEYQKLANSTIHDLLEKLEEYGDSVDIDGYDIDYGNEVLTVKFGDLGTYVINKQTPNRQIWMSSPVSGPSRFDWDQDTQAWVYRRTKANLLDILEEELEQLCGKPINLS >CDP13692 pep chromosome:AUK_PRJEB4211_v1:5:28186284:28188446:1 gene:GSCOC_T00038720001 transcript:CDP13692 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRNSQYTHLPTYDDEDDDYDGSGRRRNDPRYDFSPKSYDKIPWKSITLAVFLLFLGCLLLLLSFFIFTGHMGGDTSQAYGLLGLGLLTFLPGFYETRIAYYAWRGAQGYRFGSIPDY >CDP17439 pep chromosome:AUK_PRJEB4211_v1:5:2281424:2284514:1 gene:GSCOC_T00000908001 transcript:CDP17439 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCNRTGVRKYKKSAFPRLRWTPELHEHFIEAVEHLGGKHKATPKGIVQMMGVSGLQMSHVKSHLQMYRNMKKRATINLVVPMKLHEEETQDAVVWSLTR >CDP06101 pep chromosome:AUK_PRJEB4211_v1:5:24567454:24573869:1 gene:GSCOC_T00021485001 transcript:CDP06101 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTEVSGETAESDSSSNNSNSKSELQMQDIVDMLKKLKLNPLAKEFFPSSYYRDQMGVTNFVPANMNWGNDGFPNNRRRRNNYSQGRRRFNGRAFRAQREDSIRRTVYVSDIDHNITEEQLAALFSGYGQVVDCRVCGDPHSRLRFAFVEFSDEYSARAALNLCGTMLGFSPVKVLPSKTAILPVNPTFLPRSEDEREMCARTVYCTNIDKKVSQADVKNFFETRCGEVSRLRLLGDHVHSTRIAFVEFSMAESAIVALDCCGEILGSQRIRVSPSKTPVRPRIPRPVLH >CDP11347 pep chromosome:AUK_PRJEB4211_v1:5:14671467:14672266:1 gene:GSCOC_T00033549001 transcript:CDP11347 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVISSNGWLTLALLAMEVSQMVTQGMWERDSVLLQLPHFTKELAQKCQENPGKSIETVFDLVEMEDDERRELLQMSESQLLDIARFCNRFPNIDLAYDVPDRDNVRAGENIKVHVTLERDLEGRSEIGPVDAPRYPQVKEEGWWLVVGDPKTDQLLAIKTVTFQRKSTVRLDFDAPAEAGRRNYMLYFMSDSYLGCDQEYSFIIDVKEAATQEDSGRE >CDP11796 pep chromosome:AUK_PRJEB4211_v1:5:19208471:19211865:-1 gene:GSCOC_T00035039001 transcript:CDP11796 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSVPSMIMEEEGRFESEVAEVQAWWNSERFKLTKRPYSARDVVALRGNLRQNYGSNEMAKKLWRTLKTHQANGTASRTFGALDPVQVTMMAKHLDSIYVSGWQCSSTHTSSNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMGMSREERARTPYIDYLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDIMGTETVLVARTDAEAATLIQTNVDTRDHQFILGVTNPQLKGKSLATILGEAMAAGKTGPELQAIEDNWLSMARLKTFSDCVVDGIKRMNISEAEKQRRLDEWMYHSSFEKCLSNEEGRLIAERMGLNIFWDWDLPRTREGFYRFKGSVTAAIVRGWAFASYADLIWMETAGPDLVECTKFAEGVRSRCPEIMLAYNLSPSFNWDASGMTDEQMRDFIPRIAKLGYCWQFITVAGFHADALIVDTFAKDFARRGMLAYVEKIQREERNHEVDTLAHQKWSRKETNRFINYTGVTEEQFKDTWTRPGAADIGDAASVVIAKSRL >CDP05740 pep chromosome:AUK_PRJEB4211_v1:5:27275001:27283841:-1 gene:GSCOC_T00021012001 transcript:CDP05740 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPTCSPSSSVSKSASAAILRAARVRFASTISSSSPSSILSSVSRSFVSPSVSKPSSFITCRSFKPSSAVRSLRCSVPRWSHGVDWRSPVSLRAQIRTAAPVIERFERKIATMAPEHPYKGILTSLPKPGGGDFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKNDVEKIIDWQNTSPKLVEIPFKPARVLLQDFTGVPAVVDLASMRDAIKSLGSDPEKINPLVPVDLVIDHSVQVDVARHENAVQANMDLEFKRNNERFAFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGSGMAELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEGYLRSNKMFVDYSEPQQERVYSSYLELDLAGVEPCVSGPKRPHDRVPLRQMKDDWHSCLDNKIGFKGFGVPKEEQSKVAKFSFSGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWIKTSLAPGSGVVTKYLLQSGLQKYLNQQGFHIVGYGCTTCIGNSGDLQESVASAITEHDLIAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKDPIGIGKDGKSVYFKDIWPSTEEIAEVVQSSVLPEMFKSTYEAITQGNAFWNQLSVPSSKLYEWDPNSTYIHQPPYFKDMTMDPPGPHGVKDAYCLLKFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRRDFNSYGSRRGNDEVMARGTFANIRLLNKFLNKQAPKTIHIPTGEELYVYDAAMRYKSAGQDTIILAGTEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLVGMGIIPLCFKPGEDADTLGLTGYERYSIDLPKKVSDVRPGQDITVTTDDGKSFTCVVRFDTQVELTYFDHGGILPYVIRNLAK >CDP13790 pep chromosome:AUK_PRJEB4211_v1:5:27547723:27550022:1 gene:GSCOC_T00038849001 transcript:CDP13790 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFTIWMRSIINNWPRCPNIPPPHPISHSHSHSHSALLSSLLPCTSIYKTTIELEPNTCNAEKKSPLGKILSSMLCVRKIQSNMATPSAPHHHLLLLLSLISLSSLPSTLSAGGSVAINYGRVANDLPSPPQVVQLLKAQGLTKVKLYDTDSNVLSALSGSGISVTVALPNEQLSSAAGGQSYTDSWVQSNVLAYYPKTLIEAIAVGNEVFVDPKNTTPFLVPAMKNVYSSLVKYNVSAIKISSPIALSALQTSYPSSSGSFKSELIEPVIKPMLNFLKQTGSYVMVNAYPFFAYTANTDTISLDYALFGNSKGVTDPNNGLVYKSLFEAQLDAVFAAMNAVGFKDVKVAVSETGWPSKGDENEAGASQQNAASYNGNLVRRVLTGGGTPLRPDEPLNVYLFALFNENQKTGPTSERNYGLFYPSEQKVYDVPLTLEALARAPTASPSNTSRVATKPPSTSSGGDFSPSTAGQTWCVASGDAGEEKLKDALDYACGEGAADCRPIQRGATCYSPNTLVAHASYAFNSYYQKNARRSGSCYFGGAAYVVSQSPKYGRCNYPTGY >CDP15743 pep chromosome:AUK_PRJEB4211_v1:5:5432478:5441604:1 gene:GSCOC_T00015780001 transcript:CDP15743 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPVGFLAKLWSFVSFLPFFFLLFILGSVKGIIIGPIVVLIILIGNTSVVIGLWPAHFVWTYYCVGKSKRLGWVLKIVVLMTLPVPLVLWPIVAIIGSLLGGIGYGFFAPLIATFEAVGKNVTEKFYHCFADGCISTLKGSCTVVRDFTDFCFHSYFSYMDELSEEVPEDETPVDLKLSKLPSSVLVSLVAILVDVPVITVVAIWKSPYMLFKGWKRLLEDLIGREGPFLETVCVPFAALAILLWPLAVMGSVIAACLYSFFLALYSGVIVHQEDSFKMGLAYIIASISLFDEYTNDLLYLREGSCFPRPCYRRNTRPPDPTDKGKLNHQTNEREGPCNSKLVSEGSRKLKETIQQYTPVQVWDWLFKSCEINGRMLIREGLIDVKDIGECIVQGKCKKLGIKLPAWSILDCLLASAKSNSSGLVISDEVELTVTNWPRDRVFEWFISPLFVIKEQIKGLQLLEDEEICLKKLVMGCKNERPEDWNDKGFPSTDSVRRAQLQAVIRRLEGIVGSLSRFPTFRRRFKNLVRILYLEAMQNGLSSDRVIGRLNSSSYTKQFRGRGRQKSDEIGQSIHDNVDNV >CDP16475 pep chromosome:AUK_PRJEB4211_v1:5:7582985:7584282:1 gene:GSCOC_T00018413001 transcript:CDP16475 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSEHGTRVSHSTTMSAVSPPMARSPSTNLPISFNSADSTLGRHLACRLVQVGVNDVFSIPGEVNLTLLDHLLAEPGLNLVGCCNELNAGYVGDGYARSHGVGSLQKTRIFLTGLELGAEIYVGKIPIEF >CDP05692 pep chromosome:AUK_PRJEB4211_v1:5:11712999:11714381:1 gene:GSCOC_T00020896001 transcript:CDP05692 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLNITFKNSYLVKPSAPTFQGHMPLSLFDQTGYLIHIPTVHFYKPPPPQFTQDGSLINKLKSSLAMALVHFYPLAGRIVLLEGGRMELNCNSAGAQLLEAVCRETLDQIGDLSPSPLFHNLVPSLNYNDMKNLPLLVIQVTKFKDENIALGIAISHIIADGQSAFHFIIEWARLVSGNSIFTKPFLDRRVLRGDSRVPRSGGERIDVNSHAANPHLPLPIVIGETSAKIQQEKKTSIDLLILSTKEIEFLKSLASDGIVPAMKRPYSTFEVISAHLWRCACRARLLIHEQPTVLSFPINFRKLIQPPLPVGYFGNAILDIRSMDFSGNLLTGTLANTAAKIRKTILAVTSEFLYSEIEFLQMQTDLSKFQERHDYMEYLGNPNLTISSWLTFPFNGLDFGWGKSLGMVEASHNGDGDFVLHGDLHGGVVVSMCFQEEYIKSFKYYFYEIFGDMNKKD >CDP05737 pep chromosome:AUK_PRJEB4211_v1:5:27295676:27297259:1 gene:GSCOC_T00021007001 transcript:CDP05737 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEGKYSLYRQGSSGWSTLRNEEFQEQDVWGTLGRSKELKKSNSDSYRESSSMVSRRRPTASRMIPKATSSNSGTEPKIIQQSAPVNIPDWSKIYGSSSKKPSTTVSRLLDDDDHGNSDGVMYNSWDSDEEEEDDGSVVPPHEWIARKLARSQITSFSVCEGVGRTLKGRDLSNVRNAVLTKTGFLE >CDP17855 pep chromosome:AUK_PRJEB4211_v1:5:1437287:1442731:1 gene:GSCOC_T00013171001 transcript:CDP17855 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSVGKNLAGHCIPSPCTFLSSEIEVWRGCLGIKVLLSLGGGGAGAGRGPILASPEDARDVAAYLWNNYLGGQSNSRPFGDAVLDGIDFDIEYGSNLYWDDLARDLSGYSTAERKVYLSAARQCFFPDYYLDAAIRTGLFDFVWVQFYNNPPCQYSTATGNAIYLLNSWSSDWDCLGLPAAPEAARSGGYIPPEVLINQILPSLQGFSNYGGVMLWGRYYDQNYSSTIRPYVNSDPLTYTTKSDQFPSPYLISLPTNLRTFYLLSGRGAGTVAGFGQDLAKKNCTVQISYCTSFLIICVESTKFCSKITRFQHYLTSSANGVSFIKHSKHLNPLGEGLTLLVFHLVGYGALFLRFSLQGRYEALGGLSSSRQLRRNHFEFVQKIIETVGRKRLGEDICKLIHRRYRRKVYSSAAPQCFLLDYYLDAAIRTGLFHYVWVQFYNNPPCQCSAGNTNNFFDSWNPGASYTGVNTLFLGLPAAPEAAPSGSYITPQELIDQVLPFVESYSNHRELMLWSKYFEANCNEIIRPYVNSDVLVHGKKSTIKSHAVE >CDP18744 pep chromosome:AUK_PRJEB4211_v1:5:23608143:23614340:1 gene:GSCOC_T00002678001 transcript:CDP18744 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTFPKAVAFLVVLIATNLTGAISSTVSHSTATMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLMGRHENAVPRSKRLLSDEGSHILVYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKRRFKELLIMVDTCQAATLFSQLHSPGVLAVGSSLKGENSYSHHLDSDVGVSVVDRFTFYTLAFFERLNMYNNASLSSLFNSYNPNLLLSTAYYRTDLYQRRLEEVPVTNFFGSVMETIHTDSAYGALSGKQLKSGEIKMSSGEDRQRILENSDVQDQGSGLNIKDQQVTCPFTRTLSTIHESVEKVKDVDGLVNFGLVLVIPLLAVSSWLSS >CDP11843 pep chromosome:AUK_PRJEB4211_v1:5:19970432:19976641:1 gene:GSCOC_T00035109001 transcript:CDP11843 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVLVNFPLTCIQPNLNILKTNSRSGPLHLAYRVQKTWQTRCSNQSAELTIERRTANYQPSSWSHNLSEPTSETDNEWENQTKILNKLEGEVGSMLDCEDLEPQALIELINDIDQLGLSYRYRQKIKNALNKLRDLEDATGDKIKSSLHTSALYFRLLRQHGFEVSPDVFERFKDQNGNFNEDLSREVRGILSLFEASHLAYEEENKLNEAKSFTSLLLKDSKKLVGANMSEQITHALELPYHHRMRRLEARRNIEAYAQRGEKNQVLLELAKLDFNLVQTKFQSDVQEVSRWWKVMGLADKLDFARDRLMESFFWSVGMAFEPQFSKCRTAVTKALTLITVLDDIYDIYGSLDELEQFTDAVVRWDLDAMKDLPEYMKLFFLALYNTINDLAYETLKEKGEMIIPCLKKAWADMCKSFLQEAQWYHKKGTPTFEEYMENGWISSGGAVLLIHAYFLVTENISNEATESLDNHHDFLRWPCIIYRLTNDFSSTDEIERGETTNAITCYMRGTGLSEEFAKKNVSKMIEECLMKMNKQLSSPSPFEENFIEVAMDLARIAFCQYQYGDAHSAPDVRAKNRIVSVMFDPIQLRAAENDVKGGNN >CDP20214 pep chromosome:AUK_PRJEB4211_v1:5:20813189:20816435:-1 gene:GSCOC_T00009865001 transcript:CDP20214 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLRSNYVPIFVMLPLGVVNVNNVFEDPETLEKQLKKLKEIGVDGIMVDVWWGLIENKGPKQYEWAAYRSLFELVVKIGLKIQAIMSFHQCGGNVGDIVTIPLPKWVLAIGDKVPDIFYTNRSGTRNPEYLSLGVDNENLFQGRTPVQIYSDYMKSFKNAMADIFEAGHITDVEVGLGPAGELRYSSYPETQGWKFPGIGEFQCYDKYLKADFKAAATKAGHPEWDLPDNAGTYNDTPGDTEFFATNGTYRTEKGKSFLTWYSNKLIEHGDQILEEANKVFNGTKTRLAAKVSGIHWWFKDQSHAAELTAGYYNLDDRDGYRPLARMLTRHYGTLDFTCLEMKDSEQPETAKSGPQELVQQVLSAGWREVIDVAGENALSRYDATAYNQMLLNVRPNGVSKEGERKPKMSALTYLRLSEDLFTTENFSLFASFVKKMHADLDYVPNIIDLTPLERSKPKIPIEKLLEAATPKLEPFPWDSETDLPVESS >CDP06056 pep chromosome:AUK_PRJEB4211_v1:5:24980352:24987647:-1 gene:GSCOC_T00021409001 transcript:CDP06056 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKYNSNSATPTSRIERLLRVRELRKSSRASQSSDSIDGNIRTELSEHDLRLRDGDVSGVSYVEQYLEGALAALNDGWERIDGKPIRQRLLVVANRLPVSAVRRGEETWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEAGQKALTKALAEKRCIPVFLDEETVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANKMFADVVNKHYEEGDVVWCHDYHLMFLPKCLKDHNRNMKVGWFLHTPFPSSEIHRTLPSRTDLLRAVLHADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVPQVQEHIKELKERFAGKKVMLGVDRLDMIKGIPQKILAFEKFLEENSYWCDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGKFGTLIGVPIHHLDRSLDFHALCAVYAVTDVALVTSLRDGMNLVSYEFVACQDSKKGVLILSEASTANSLILKVVCDDLAIEK >CDP16387 pep chromosome:AUK_PRJEB4211_v1:5:3311410:3312572:-1 gene:GSCOC_T00018231001 transcript:CDP16387 gene_biotype:protein_coding transcript_biotype:protein_coding MLESQKSISHVRHYIEYNSSSNCCCKGRMRFQEMLICCPWDCRKGFRIMNWSKQPMTIDDSNLLGKESFGSVYKGTLTDGTVVAAKVFTFLIEVTSRSFDTECEILRNLRHRNLIKVIGTLYSHNHCLDLVQRISMMVDVASVLEYLHFGYAKPVVHCDLKPSNILLDEHMVAHVSDFGIARFLDERNNVMHTKTLATLGYMAPEFGLEGLVSTRIDVYGFGIILMETFSRMKPSDEIFKEDLILKNWKLECVSMIFKLALSCCTECRQDRINMKEVVAALKKIKRQLDTLSDT >CDP05850 pep chromosome:AUK_PRJEB4211_v1:5:26510186:26511345:-1 gene:GSCOC_T00021151001 transcript:CDP05850 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAVIGETDMLEAMQQDALDLAAKALDFFDVTEATEIACFIKKAFDRTHGPGWQCIVGTDFGSFVTHCCGCFIYFCIGSLAILLFRGSAVPEAEPGQRVAPLEEVA >CDP11868 pep chromosome:AUK_PRJEB4211_v1:5:20252522:20252755:1 gene:GSCOC_T00035141001 transcript:CDP11868 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLFIFKPSPAFIFKDKASYFRNVQVVDSSNNLKALKGLGIFTEQPNCYDVQTGSNGDWGYYFYFGGPGRNPNCP >CDP05911 pep chromosome:AUK_PRJEB4211_v1:5:26048599:26049261:-1 gene:GSCOC_T00021229001 transcript:CDP05911 gene_biotype:protein_coding transcript_biotype:protein_coding MKETTQNKSKNKPSQSHQQSQPKVMQFSSAAAASSKSRPQKPLQMLQQQQLPVVSDQSNNNSKEKNNNSLSEQELKLAAIAISLNVRLRTSDMPVSMQEHALRYTRSLVAAGTSGAATPQTHRLSPALLARSLKKEFDGLYGPAWHCVVGKSFGSFVTHSPGGFVYFSLDSLSFLLFKTEVQLVIN >CDP05982 pep chromosome:AUK_PRJEB4211_v1:5:25530078:25535173:-1 gene:GSCOC_T00021319001 transcript:CDP05982 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRISEHQELHHLIEVVMDLGRKPLARFPSGDFILWDHPITMEDLDYAVSQVGDFAVDNRAGVSRTLHRISAIKNRKGSIIGLTCRVGRALSGSANLLRDLVKDGASLLLIGPPGVGKTTIIRDIARMLADDYDKRVMIVDTSNEIGGDGDIPHAGIGNARRLQVPHADMQHKVLIEAVENHMPQVIVIDEIGTKLEAVAASTIAQRGIQLVATAHGVTIDNLVMNPALDMLVGGVQSVTLGDEEASRRGVQKTVQERRGPSTFSSAVEIISKTELRVHRSLEATVDAILSGCHPTFQVCKIKGIEDGGEDNSLYHDPLDLKIDSMLDDNALMDDNDLSDHDERSLESHQSTEENSSEDKEALCLFLYGVSEATMIQGMKQLKIDDAIEFTDNISEADAMLALQSKLKKNSRIQAAAHSHGIPIYVTKASSFAQLTKAIQALITDYKDGFRFAESQATVNESEKIDALEEARTAIETVVIPKGEAVELLPRPLNILLLQKDLIRKYKLNSERVGEPNARLRILPFQSARHEDGHSDDGLDEDDELLKPAAETNGSPYTMDRLPLLPDN >CDP13694 pep chromosome:AUK_PRJEB4211_v1:5:28172603:28175275:1 gene:GSCOC_T00038722001 transcript:CDP13694 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFGSSPGNDVKRFIKRKDSDAGEAGRALEELRSSLYNEFRTSEGAKRQQERYCGPSAAMTFNFTVAVSIILGNKLVMGRVGFNYPIFLTLIHYSTAWILLAIFKALSLLPVSPPSRSTPFSSLFSLGAVMAFASGLANVSLKHNSVGFYQMAKIAVTPTIVIAEFILFRKAISFRKVLALVLVSVGVAVATVTDLEFNLFGAVVAIAWIVPSAINKILWSNLQQQGNWTALALMWKTTPVTVLFLLTLMPWLDPPGVLSFKWDVHNATAILISALLGFLLQLSGALALGATSATSHVVLGQFKTCVILLAGYVVFGSDPGALSLCGAVAALAGMSVYTLLNLRESHEKEGSLLQKQNLPSQKPKTVEEDMNEANAGTASGSNSV >CDP11846 pep chromosome:AUK_PRJEB4211_v1:5:20004942:20006455:1 gene:GSCOC_T00035112001 transcript:CDP11846 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKNTLNTCQINFSQVPWGLVNEKGLPINKGNIHIGKTSPPEVRRAYLDQFANDFTNFLRMRSIELMPNGRLFLTMLSDSHHRHDAYNALDLLAMTLNDMVIEGLIEETKFDSFNVPLYEPSEQELRHIIEKEGSFKISHLETIKVLVGDAFGNHAWSLSRGFRDVYESMIVTYFGDSIVGDFFNRLAKKILENREKIMKGSGTNLVVSLTKFTIPKDEKCI >CDP17437 pep chromosome:AUK_PRJEB4211_v1:5:2220019:2220564:1 gene:GSCOC_T00000904001 transcript:CDP17437 gene_biotype:protein_coding transcript_biotype:protein_coding METCIKTGIRKYKKSSFPRLRWTPELHEHFVEAVEHLGGKQKATPKRIIQMMGVRGLQMSHVKSHLQV >CDP14731 pep chromosome:AUK_PRJEB4211_v1:5:21406822:21417137:-1 gene:GSCOC_T00042164001 transcript:CDP14731 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRSSAASWGIEIFLGLILIFAVRNSDAYTNPGDVAAINSLYVAMGSPTLPGWVASGGDPCGEAWQGVSCDNMNNIVSVKLNGANLGGELGDNLGAFTTITTIDLSNNNIGGSIPSNLPVTMQNFFLSDNKFSGNIPDSISSLTQLSAMSLNDNQLTGEIPDAFQGLAVLVNLDLSSNSLSGQLPSSVQNLSALSTLHLQNNQLSGTLNFLQDLHQLTDLNVENNQFSGPIPEQLLNIPKFKKDGNPFNNSIAQSPPPASSAKPPPAPPFFGAPTSVQAPPTSVQAPPTSGRTPGKQADGPSATAESNNKTTKKKSLTTKRVVWISIAAVLSFLILVLVLLLCLPRRFRQRHEMHRIPKPHEIAPYVGNRENPRDNSSLDQPGHRQEKDSRVPVEMPKEELQTGKRTGGFIPKPQNVEADSKKMSAIPKRNDHEIDMSRFDIDLMSPPPPPPPPPPPPPPPPPPPPLPLVQEKVVVKPLNTTEDTTVKPTARHLPRTSVRSYTIAALQQYTNSFSQENLIGAGMLGTVYKAELPNGKLLAVKKLDKRVSNQQKDDEFLDLVNNLDRIRHANVVELMGYCAEHGQRLLVYEYCCNGSLQEALHSDDDIRNQLSWNARIRMALGAARALEYLHEICEPPIIHRNFKSANVLLDEELAVHVSECGLASLITSGAVSQLSGQLLTTYGYGAPEFESGVYTSKSDVYSFGVVMLELLTGRMSYDRTRTRGEQFLVRWAIPQLHDIDALSRMVDPSLNGRYPVKSLSHFADIIARCVQPEPEFRPPMSEVVQDLIEMIRRESANRSDGN >CDP16203 pep chromosome:AUK_PRJEB4211_v1:5:16410481:16410672:-1 gene:GSCOC_T00017307001 transcript:CDP16203 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTEFGLGKPVAVQKRSTNKFDWKLIVNPGAEGEGSMNFEICLLPHVMISLVSDREFMETVA >CDP15973 pep chromosome:AUK_PRJEB4211_v1:5:23245551:23247855:-1 gene:GSCOC_T00016906001 transcript:CDP15973 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKSYDRMYYSIGVSCAVALAVFAWRVLNCMWLRPKKLEKRLRNLGLNGNSYRLVCGDFKDIAVMLKEANSKPINLSDDIVPRVIPFFIEIIKKYGKNSFVWLGLNPSVVILDPVLMREILLKNYIFKKPRPHPIVKLLAQGVIFSEGDKWAKHRKIISSAFHLQKLKHMLPAFYSSASEMLSKWEERVSPEGSCELDVWPYLQTLGADSISRTAFGSNYEEGRRIFELQMEQAQYAVRATRSVYFPGLRFLPTRRNKRMKDIEKEIQAKIRDIINKRVKALKAGETSNDDLLGIMLESNFKEIDLHGDKSFGMTMQDVIDECKLFYFAGQESSSTLLVWTLVLLGRHREWQSKARDEVSQVFGRNKPHFEGLNHLKIVTMILNEVLRLYPPAVALNRRVHQETKVGKLSLPAGVMLWLPVILLHHDREIWGDDVKEFKPERFSEGVSKAANGQSQAPFFPFGWGPRICLGQNFAMLEAKLALSMILQHFSFQLSPSYVHAPYTVFTLQPQHGALLVLHKL >CDP05930 pep chromosome:AUK_PRJEB4211_v1:5:25912000:25917333:1 gene:GSCOC_T00021252001 transcript:CDP05930 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALATPLALNGAVKMANSAITSQAYLEGSRVKETKALIAELCRHFYNLGWVSGTGGSITIKVHDDSVPKPQQLIVMSPSGVQKERMVEEDMYLLSPEGSILSAPLAKPYPHKPPKCSDCGPLFLKAYDMRNAGAVIHSHGMESCLVTMINPFSKEFRITHMEMIKGIQGHGYYDELVVPIIENTAHERELTDSLAEAIKAYPKTTAVLVRNHGIYVWGDNWISAKTQAECYHYLFDAAIKLHQLGLDWSTPSHGPIHSVNGALGNVRSANTPVKARKLASDNGIEPLRRCIVLDIEGTTTPISFVTDVLFPYARESVGKHLDATYDTEETQDDIKLLRAQVQEDLEKGIVGAVPIPDDDAGKQEVIAALVANVEAMIKADRKITALKQLQGHVWRTGFDNSELEATVFDDVPEALERWHALGIKVYIYSSGSRLAQRLLFGNTNYGDLRKYLCGYFDTTVGNKRETKSYLEITESVGVDKPSEILFVTDVYQEATAAKAAGLNVIISIRPGNGPLPENHGFRTVKSFSEI >CDP05751 pep chromosome:AUK_PRJEB4211_v1:5:27227829:27230923:1 gene:GSCOC_T00021023001 transcript:CDP05751 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAIQSEGSNLTQVLPAKRKRGRPRKDQSLNRVGLARVPPGFEGIKENKSRKADKFDGKDNMVGQAVAGVVEASFDAGYLLTVRIGNSHTILRGVVFKPGHYVPVTSQNDVAPHVQMIQRSEVSLPLYNQMQSSNPSRERNDIHGSSSQIANMVVKKGISTSPTAGPSFPPVGSRGNVVPVVLQPLGPTNGPLPGNQVILDGSPSKGREVVTVEPLAMLRPDESASSSQFQVATNQQQPSQVQTSNQVRAGSVPIENGLYSEVVSVVGHREEAQEVKRTSVPTLGEPLAGSQGSSQSSETHVDNDKSSAGTSQMESDQEIGDVQLPSDLKPMNNHGKGRMTELLQALQENFDNQMPPAEPSAGSGADSQEKLNPETCGKDERTNE >CDP13579 pep chromosome:AUK_PRJEB4211_v1:5:28970619:28972694:1 gene:GSCOC_T00038576001 transcript:CDP13579 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKPEGIRAPDIDVSREPAGPSPDVKANEAAQEEGAKVGKENGELRKQKQPQVEEEVDANDRGEESTSPRTKEKVEENAQGEPSSSPKTEDNLDAKEKVHVSSPNAEEKQGDAVDVAGETSSSVATDKGDYLKSDESDLLSDLKENERKALEELRSIVEEAILANKLFQEKKREQKEEPDPNEEESKKCEPKEKQIESIENEKHNDEVKCVGNDAGVSSLCIWGVPLMPSRGHKGTDVILLKFLKAREFKVNDAWEMLRSTLQYRKENNIDSILEEDFGCDYESMCSMSGVDRRGHPVCYNVYAVFGNDDLYNKTFGTQEGREKFLRWRLQLMERQIQKLDFRPEGVSSLLQINDLKDAPGPSRKDLRLALRQAVALLQENYPEFVATNIFINVPFWYYAFNALLSPFLTQRTKSKFVFARANRVTETLLKYIAGEEIPVNYGGLKRENDPDFSTDDGVSDVTVKAGSTETIEIPTPKAGSALVWDLTVIGWEVNYKEEFVPSNESSYTIIVKKERRIGWQEGSVRNSFKSNEPGKVVITIENGQFRRKRVLYRYKIEDASLCSSSC >CDP05790 pep chromosome:AUK_PRJEB4211_v1:5:26950934:26953565:1 gene:GSCOC_T00021075001 transcript:CDP05790 gene_biotype:protein_coding transcript_biotype:protein_coding MKITWNVVVAYLVLILFYHGLVICEAQDGLGLGLGGDSSGGGDGDGDGVAAPPPPGLDSCNGVYLSYSFDGREKIYPHVKNASAQAYSFTSILSLINTGSVELKAWQVKVGFQYNELLVSTDGGVLVGESEMPVPVGKNGTIFAGYPMTDLKTAIETAGDTTQIQVQVQIKGTMFGLKKGTPMPKNIKLLNDGYKCPPAKRQGSYMSVCCMRDPKFKVKIIKTRFSPRQYGDLNMVYDVLQAYQNKYFAQVTIDNNSPLGRLDHWNLTWNWMKNEFIYSMKGAYTHKRDPYDCIYGPQGQYYQDFDFSTVMSCSSRPIISDLPREKKDDDKVGKLPYCCKNGTLLPSVMNETESRAIFQLEVFKLPPDIQNKTSITPPQNWNITGVLNPTYKCGPPVRVDPTEFPDPSGLDSTTAAIASWQVSCNITRPKTKAAKCCVSYSAYYADSVIPCNTCACGCGETSRCDANAQALTLPPEALLVPFTNRTATAKAWAHLKKHKLPLKLPCPDNCGVSINWHIDSDYNTGFAARMTLFNWGENIFANWFAAIQMKKAYPGYEKVYSFNGTKLPDLNDIIFMQGLPGLNYLVGEVNGTHPTSPRVPGKQQSVISFSKKHTPHLNIAAGDGFPTKVIFNGEECALPTRLPQRSDGKRRSTLSFLPAIFIAIITFLLLADL >CDP05882 pep chromosome:AUK_PRJEB4211_v1:5:26282246:26283135:1 gene:GSCOC_T00021193001 transcript:CDP05882 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGDKLFEKIDTAIKGCKIGVAVFSPTYCQSYFCLHELALIMETKKKVIPIFCDVKPSQLRVVNDGRVPPEQIERFNMALEEAKFTVGLAFDSTKGNWSEVLTDAAEIVMESLAEVENEERMMHMRKLKFPSDVPKICSTNTGN >CDP05985 pep chromosome:AUK_PRJEB4211_v1:5:25509408:25513354:1 gene:GSCOC_T00021323001 transcript:CDP05985 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAMLRSVLKRSSSNLMNSTTSAYGVRRGFASESAPERKVAVLGAAGGIGQPLALLMKLNPLVSKLALYDIAGTPGVAADVSHINTRSEVVGFMGEEQLGQALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKSLCTAIAKYCPHALVNMISNPVNSTVPIASEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKAKVNVAEVNVPVVGGHAGITILPLFSQATPKANLPDEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSSVTELPFFASKVRLGKNGVEEVLGLGPLSDYEKEGLEALKPELKSSIEKGIKFANQS >CDP05935 pep chromosome:AUK_PRJEB4211_v1:5:25886040:25891904:1 gene:GSCOC_T00021257001 transcript:CDP05935 gene_biotype:protein_coding transcript_biotype:protein_coding MILTNVSYYLIPLVSVMFAGHLGQLELAASTLANSWAAVTGLALMVGLSGALETLCGQGYGAKLYRLLGIHLQATCTISLSFSVLVSILWWFSDIILIFFRQDSEISRAAGLYLKFLIPGLFAYGILQNILRFLQTQSVVAPLVVCSVVPLVIHIGITFILVKWTPLAFTGAPLAASISLWISLLMLGMYVLRANKFKHTWDGFSAESFHYILVDLKLALPCAAMVCLEYWAFELLVLLAGLMPNPEITTSVIAMCVNTEAIAYMIAYGLSAAASTRVSNELGAGNPERAKHAMIVTLKLTVVFGLIVVSALYLGRNIWAGFFSSSSVIISKFASLTPLLVISILFDFIQGILSGVARGCGWQHLAAIVNLGTFYFIGMTIAAVLGFKLKLYAKGLWMGLICGLCCQAIGLLLLSSFIKWTRVELTPSNDRQNSA >CDP10806 pep chromosome:AUK_PRJEB4211_v1:5:18357870:18360002:1 gene:GSCOC_T00031684001 transcript:CDP10806 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCGKTTLARKVYNDSSVKSHFYERAWCTVSQIYHKRNLLLQILTCIESKLPEDVFKMGEEDLALKVKRRLLKIRYLIVLDDVWDIDAWNGLEASFPDDGNGSRVILTSRLRGVASQDKLDHKPYSLRQLAPNESWDLLKGKLYPGQDLAPPELCEIRQQVVEMCQGLPLTVVILAGILSRMDRHDWKEAVEGLSSRNVSSTEQCTATLELSYKHLPDTLKACFLYFGAFPEDHEHNTKRLISLWVAEGFVPKTHPKRSEDVANDYLMELISRSLVTVSKPRSIDGVKACRIHDLLYEFCVTKAKEENFSRLVRRDDKLSDINVPFYLRRLCIDSKVEHFDNLRLFSPAIRSLLLFSHDEDSRSSFDLRFIFHIIKLVKVLDLSQINLGSTFPRELELLVHLRYLAILVNGRPVPASISYLTNLETLIWRNSSDHVSLPDTIWNLKKLRHLELIDEADKNYHFRFPDNNLDNSSQLCDLDILSCLSLNPRKNINKLLRKFPNIRKLRSSLNLDQGCEYHVAMDCLSHLESLSLTIGNLPNLEVLKLLDRSFEGEIWEMEVEKFPKVKFLKLDYLDIVKWTASSEYEYEEQDYFPRLQKLVLDGCGALQEIPSCLGNSCALEIIEVSKCPNCTSSLEEIREEQISNGNTDLKILIS >CDP13610 pep chromosome:AUK_PRJEB4211_v1:5:28734930:28740947:1 gene:GSCOC_T00038615001 transcript:CDP13610 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKQAVVGVSSRVSTLHQRLYDALNLGHFVRWGDDKRQKWHCTDIETQKLVLRAIDAFLDCVSSESLSQQPLVKESVDDIVGALGSILELKSESLLKMASDVAAKMVKLLPSSVLQAHVPHLVHHLLSLLSNRQLHVSISCATALNCILSNLSTKREQEVGEILKEGNTVFVLVMNVKDFSVGDKPTEYFQEMALLLSRILWRWPPSRFCVWSDSKFLDVLEIHKLNPESSLKAALLQLYSSLALCGNGAKKLLENRKSLLNLMVESMSSPDTHSVQMDGFRLAQCLMINEGPCQEVVKMCGEHVVKAIVTGMNSSCLSSGKLPKDQMSLAVEACRLALITRWVGNHHSYFWKAGVGRALLGLLLTDFWRIHQSLHGVPLQEQLLILQEALNESSLPSLRPYIWDILGGLVANSAEDFAPVVHEDILELKALIACACLAFTESINMARQISQSKITNTIGSESASRAVLMMVYSPCKYIASQARSILSEVLNLDGKNYIEYLVNSLNATSCRNKVLRPGNFQVVISLISLACYASLPRYGKMVIDHQGMQSLLIFVKCWLSNPVYIKRSNLAPHLHNSYSERVCCHPCVEDWEGKDMQLLFSLWALAGLVHKFASHAGFLKVKLEFDESQIVRDLEEICINHSTPGPRWYAAYILSHFGIYGFPNKCGKRIWKAFLDNELADLELILSDQSSLCVNEVILSVRCPNLLPVQGPKLKEKSSTGPFLEQQMETHRGSKVEVRLSAHVDHQALVKLLQYVYMGYLQAGEDVLKNLKILAKHCDLQPLLHMLHRRNPRYGAPIPTFDLTSALGPVGHCSSDVLLEPNTIQLPNWRCSFCSAPNPHFHVHKVILFSSCDYLRALFQSGMQESNSETIKVPVSWNSLIKLVSWLYSDELLKPSFDCLWDNLAVDQRLNELQLYVELCWLAEFWLLEDLHEQCFRVVLSGLETDRYLSVKLIQLSANFAQWKLAEIAATYAAPLYHQLRNSGDLDQLEESFIEMVRAASVQLSKEDINH >CDP16212 pep chromosome:AUK_PRJEB4211_v1:5:16304853:16307821:-1 gene:GSCOC_T00017319001 transcript:CDP16212 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFLKRRSRKRYVSMPLEATGKVYCLILSDRLEVLEQINQILPDTLEVFEQLNQVYLLISGVDGVAEISTAESLQYSLSAIQTATNNFSVANEIGEGGFGRVYKGVLANGQEVAVKRLSRSSGQGAEEFKNEIVVVAKLQHRNLVRLLGFCLEGEEKILIYEFVPNRSLDYFLFDSEKKRSLNWSRRYKIIGGIARGLLYLHEDSRLRIVHRDLKASNILLDGNMSPKIADFGMAKICGVDQSVGNTNRIAGTFGYMAPEYTRCGQFSVKSDVFSLGVVVLEIITGKKNSSFNVSEDSEGLLSYAWKHWRNGDPLALLDSSIGDSCIKNEVIQCVQVGLVCVEEEVSKRPTMASVVQMLNNSSVTLPTPHCPAVFRRHGSEGRVGELEVEQSNTERISAPSSVNEASITEPCPR >CDP19218 pep chromosome:AUK_PRJEB4211_v1:5:2000419:2003230:1 gene:GSCOC_T00009664001 transcript:CDP19218 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTVPKIIDQLSDALMKQLGEKVNLVMGVEEEVANISSKLATIEKVLHDAKRRRLKDRSVGIWLEKLEDITYEMDDVLDEWNFKIHRAKNEGTNQNARLQPTLWNKVPQKIKKINEQLELTLKEADQFKFISSVGIPDSQDFKRIMTTSIIDESEIYGRESDKDALLDQVLSKSSSQGRDGVQIISIVGAGGSGKTTLAQLLFNDDRVKNHFELKKMDLQTFSGKRFLLVLDDVWTKEHSKWKPFQYFLKDGTPGSVILVTTRSLEVARAVGTTHTHLMALMSDSDCWQIVRRIAFGGRSEEWRKKRESIGQKIAEKCKGLPLALKTMGSLLRLKDTVQQWQNVLDSESLELVGLEYFNNLAMRSFFQELEKVEAYYGLTEYMKCKMHDIVHDFAQFLTKNECHALDGTGRNSSSERPRHLTILEEGTEEEMFSSRVVDFGRLRSFLTFHRFERVVPQNLDNPFITLPEAICDLYYLETLNINDCGQLSCLPERIEGLVHLRHLFSEDTDDLGQIPQGLRKLTSLCTLTGFIARSNFDDLAILKDLNQLERLVIKIKREIDFGSAKLDKKINMHEMFLLLEQLALVGYPGAQLPSWLVTKSHANNLTRLIISGPRNISSLHALWKLSSLEELKLGEAEKLECLGKEFFGSSFSAEAVAFPNLRKLHFRRFQNWTNWEELSEDDEEVTVSIMPRLEKLKISQCYKLEALRHRILSKISSLKNLDIQYCYKLRNHYSDKTGDGWKKISHISQVHISDY >CDP06007 pep chromosome:AUK_PRJEB4211_v1:5:25359029:25362154:-1 gene:GSCOC_T00021349001 transcript:CDP06007 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYQEMEKFGMENDFEDGQWIGGEFYYRKRREKHTQTKDDVLYGVFASGDSDSDDYGGLGSSRKRRKDKGLSSKPDFSKPVNFVSTGTVMPNQEIDRNLEEEKLDDEGEADRVPAGLGFGASSSSSKETTMLMEDGSKNDDDDFLPSAFGKKIKEGAQLRREREKEKSMLAKKSSRPGRKELEPGDVGSFEKHTKGIGLRLLEKMGYKGGGLGKNEQGIVSPIQAKLRPKNMGMGFNDYKETNLPVLKELEEKVVTSSVQPGVVQLKEKLWSKQARIKKKVYVTAEELLAKKQEQSLEVVQKVFDMRGPQVRVLTNLENLNAEEKARENDVPMPELQHNIKLIVDLAELDIQKIDRDLRNERETVVSLQKEREKLQNQASKEKQELDNMGDILNILEQIGEHSSSGTLTLDSLAKLFVDMQKRHREEYKLCNLSCIACSYAQPLFIRVFQGWDPLQNPTHGREMVSQWKSLLQEDSLNFANSSSPYTQLIMEVVFPAVRISGTNTWQARDPEPMLRFLDSWEKLLPTLVVQKILDEIVMPKLSAAVDSWDPRRETIPIHSWVHPWLPLLGHRLEACYHTIRDRLESVLHAWHPSDMSAYYILSPWKTVFDSASWEKLMVRHIIPKLLTVMHEFQINPANQNLDQFYWVRTWAATIPIHHMIHIMDIFFNKWQEVLYHWLCSSPNFEEVTNWYLGWKDLFPPELLANEHVRHRLSVGLDMMNKAAEGMEVVQPGLRENISYLRVREQRQFEKAAAQAQERASQLQGSSGLELSLKEVIEVHAQQNGLLFKPKPGRMQDGHQIYGFGNINIIIDSLNQKVFAQIDDGWSLASLEQLLELHSRSGLKRR >CDP11852 pep chromosome:AUK_PRJEB4211_v1:5:20066933:20067377:1 gene:GSCOC_T00035119001 transcript:CDP11852 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFFWTVGMAFEPQHSKCRRGLTKALALITVLDDIYDVYGSLHELEQLTEAVVTWDLDAVKDLPDYLKLFFLAVYNTVNELAYDTLREQGEVIIPHLTKAVSKDSALIHSIVYVTDLN >CDP15890 pep chromosome:AUK_PRJEB4211_v1:5:22650181:22653450:-1 gene:GSCOC_T00016795001 transcript:CDP15890 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVLSFVSVILDKILPLAADEISWAWGVKKDLKKLADKLKMMQALISDAKCKQSTRESTREAVQLWLKRLQSIARDAEIVLDDFGYEVLRQKVENRKRDKVRNFFSSSNPISFRLEMANKIKNVRASLEEAYREANQIGFHPAQLPMASADHKQDRSTDPFVDESQTVGRGDEVSEIVSMLISSDYEKDLPVISIVGMGGQGKTTLAQMVLKNDSVVKHFDKTIWVCVSDDFKVERLLNEMLQSLERKSADTTNTEALVRKLQENLKGKSYLLVLDDVWNEDREKWDGMRRRLLAIGGGPGSKILATTRSDEVASAMQTSGLHHLDILSDDHSWMLFEKLAFAAGGARKTQDLVDIGRKILKKCGGVPLAIKVIGGLLYSKKDASEWLNLENSEIWNESTNTEGGVMSVLKLSYENLPSLSVKQCFASCSIFPKDANMRKESLIQIWMAQGLINDAKGGGHLQMEDIASDCFNVLLRSSLLQAGYKNSINGIRSCRMHDLVHDLSLRVSNNCFLNTENGMVVRIPPNLQTLYYIGDDGIMLEDILERSKYLSVLKVDCWDVTHLPNAVGNMKHLRHLDMSQTRIAALPDSITKLYNLMTLKVRYLLKIPKKFSNLINLRHLEFSSGFGDGHRCLFPGIGQLANLRTLPHFRVSQDKGCQLEELEHLRNLRGELKIFGLENVSSFESAAKAKLSEKSSIQSLTLEWDGTKEDCDDDNINSVMEGLQPHPDLKSLAINRFEGSRFPPWMVAKDHVTVLLRNLVHLRLEKLARCEQVPSLGDLPCLESLEMVSLHNVKRIGAEFYDGKPVTLFPKLSSFALCGMKSLEEWSDAMVFPNLRDLRISGLPKLAVLPDMENLTSVTELRIWECRSLACLRNLNSLTSLESLSIDDCPALLDASLDMKNPQSLRELTISGCDKLNPSLSNNLVSLEHLELRGWPKITSLPDQIQHLSNLRTLLLCNCPLLAERCTKGSGAEWPKIAHIPQVDIHPS >CDP14803 pep chromosome:AUK_PRJEB4211_v1:5:22124871:22126184:-1 gene:GSCOC_T00042263001 transcript:CDP14803 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEQFSAKLGAQCQMSMIDNNGLGPPGGDPIQISGKQIAGEDGQKKWKRVEVNVNEHVNFPKFPQGMSPDYYDDCVSNYLSKLGMEDFPPERPLWEIHVMNYPTTNAASNIVFKLHHALGDGYSLVGALLSCLKRSDNPSLPLTFPSGQSKTKFKNASTTFLPDVLSRAFNTIYYFGYGVLKGFLLRDDHTPIPSDDEGVLFRPLTMTTMEFSLDQFKQIKAKLQVTINDVIMGIIMFGTRIYMREMNHESSEANCTAVVLLNTRYAAGYKSVSEMIKPNAEMPWGNRFAFLPLPIPKLTATESSIR >CDP11776 pep chromosome:AUK_PRJEB4211_v1:5:18905976:18907490:-1 gene:GSCOC_T00035009001 transcript:CDP11776 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDANNGAAFATSPVAPFKPLDPEEFRKQAHRMVDFIADYYKNIENYPVLSQVEPGYLRTRLPETAPYLPEPFETILEDIQKTIIPGLTNWLSPNFFAYFPATVSSAAFLGEMLCTGFNSVGFNWLASPAATELEMVVMDWLANMLKLPKSFMFSGTGGGVLQGTTTEAVLCTLIAARDRALETIGIDNVGKLVVYGSDQTHSFFIKTCKLAGIFPCNIRIIPTTAEDNFSLSPEALHKQIQADVADGLVPLFLCVTVGTTSTTAVDPVSQLAEVANDFNVWIHVDAAYAGSACICPEFRQHLDGIERVDSLSLSPHKWLLCFLDCCCLWVKKPELMVKALNTNPEYLRNKRSESDAVVDYKDWQIGTGKRFRALRLWLIMRSYGVANLQSHIRSDIQMAKIFEGFVKSDPRFEIVVPRAFSLVCFRLNPLRMSHATYVELLNMKLLDLVNSTGRVYMTHTKVGGVYMLRFAVGATLTEDRHVYAAWELIKECADALLDGNL >CDP11309 pep chromosome:AUK_PRJEB4211_v1:5:13567555:13569232:-1 gene:GSCOC_T00033480001 transcript:CDP11309 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGRATSPKFCKIANYLHILHYRISRIGTIETYGGQTSPIHRQLCKIFNSRGFNHFGNMNGQSMGRARKTCIPKLHTSARLFSSVKDIIF >CDP19213 pep chromosome:AUK_PRJEB4211_v1:5:1841707:1842616:-1 gene:GSCOC_T00009652001 transcript:CDP19213 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFSIGTYRYIPHNWYLRCLGITNLLCCVHTYNFIFVFQTHIILSVECSVPCIFGVLTCDDMEQLVVSPEIKALKQR >CDP14773 pep chromosome:AUK_PRJEB4211_v1:5:21785792:21786185:1 gene:GSCOC_T00042226001 transcript:CDP14773 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEESLVLEMEIYSCYGVEVAEDRICRGILQIAIGQSKPRSCKFRVF >CDP05795 pep chromosome:AUK_PRJEB4211_v1:5:26919635:26922193:1 gene:GSCOC_T00021084001 transcript:CDP05795 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQEKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >CDP05898 pep chromosome:AUK_PRJEB4211_v1:5:26147594:26149060:-1 gene:GSCOC_T00021215001 transcript:CDP05898 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWANLMPELLAQIAQRLAGHDFIVFRAVCKPWQFASLTLKEKPPLPPWLDEDGLKDAPKIRRFFNLSTLKAYEFELPEASGRNCVGASYGCIFTLGHDLQISLVHPFTRKQISLPSMLAFSDHYAYHHEYRPQELFSMFVEKVALSSNPWLDEKTGLHDDHTHQDCCVIAAIYGEVSILAFARLGDEVWTNIRVPSKAYEDIIFHQDKLYAVDCHGSVVACDIDDDKSGGDGPRAKIIAPIPYEPPDYTQKYLVESSGDLLLVARTRGGEETEDGEVLNYYTIGFSVLKLEDRLGCHDHLEENIDDNEYPYKWTEVSGLGDRALFLGRNPSASLPAYKYNGSLKPNCIYFTDDDPDNFWGDRDGGGLDMGIFNLENGTIEPHFPGKSIHPEFPPFWLMM >CDP13806 pep chromosome:AUK_PRJEB4211_v1:5:27453307:27454474:1 gene:GSCOC_T00038866001 transcript:CDP13806 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVEGVPATALRSVLHRVRQAAEQSGRHAEDVRVVAVGKTKPVSLIQEIYDAGHRCFGENYVQEIIDKAPQLPDDIRWHFIGHLQSNKVKSLLAAIPNLATVEGVDNTKASI >CDP05955 pep chromosome:AUK_PRJEB4211_v1:5:25736018:25739814:-1 gene:GSCOC_T00021282001 transcript:CDP05955 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSKTEDQPAAVAGGLRKPVFVKVENLKPGTNGHTLVVRVLSSKTVLQRGKSVSPHLRNTNIAECLVGDETACILFTARNDQVDVMKPGTTVILRNAKIDMFKGTMRLAVDKWGRIEVTQPAEFDVKEDNNLSLIEYELVNVVDEE >CDP20738 pep chromosome:AUK_PRJEB4211_v1:5:2055503:2056306:1 gene:GSCOC_T00000796001 transcript:CDP20738 gene_biotype:protein_coding transcript_biotype:protein_coding MFATTIINYISKDLLYTKLSVAVIKSDKDTRYALDSIVTHDGEKLPCWPLANLSSFRQKLGSEAYDKLEVIGVDEAQFFEALYDFCREAADHDGKTLIVARLDGDYLRYLTIGILGGKHLVTVL >CDP06017 pep chromosome:AUK_PRJEB4211_v1:5:25295990:25301070:1 gene:GSCOC_T00021361001 transcript:CDP06017 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAKMIAEYAKSGRSSCKKCSKTISASALRLGLVTRDARGFDMTKWHHLHCLPLSSHSGASPESIKGFSSLKSSDQEELKKLMKESVQALDKVLDGEKDSITETKEFKVLRRDDEDESYEMEKQKVKKKKLSESDKGSKLEITFSATEIKEKYKDAALLPQWKAFQTIIFLERVDGLHDSTKIAAFDFDGCLAKTAVNKIGADAWSLMYPSIPEKLQRLYDEGYKLVIFTNESNIERWKKKRQVAVDSKIGRIESFIKLVKVPIQVFIACGLSNCQPEDAYRKPKTGMWHVMEKHFNSGLLIDMDHSFYVGDAAGRPNDHSDADIKFAEAIGLKFYLPEQYFNS >CDP11310 pep chromosome:AUK_PRJEB4211_v1:5:13613656:13617323:-1 gene:GSCOC_T00033484001 transcript:CDP11310 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTDTANTMKMKLFSEEEVRDIYGLKWGCGGGGSDGDDYIEVSCGCTSHRYGDAAGRLRVFSSGFLEISCECFPGCKEDKLTPAAFEQHSGRETSRKWKNNIWVIVDGYKMPLYKTELLKYYNQASKSGNGSSRSRNVKVHRDEFVRCRECNKERRFRLRNKEECRSYHDALADLNWKCSNMPHDEYARHNYRSVALILASVFTSRRIYRSCSQSSTCKGCTSCVCFGCEICRFSDCSCQTCSDFTRNAEA >CDP11876 pep chromosome:AUK_PRJEB4211_v1:5:20402896:20404517:1 gene:GSCOC_T00035151001 transcript:CDP11876 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCCDKSGLRKGTWTPEEDRKLTAYVTRYGCWNWRQLPKFAGLSRCGKSCRLRWMNYLRPNLKRGNYTKEEDELIIKLHESLGNRWSAIAAHLPGRTDNEIKNHWHTTLNKSTKQESRSGEPKRSSKSRSNREKNIRKRRKEEQENAPANPVTQEILESSQISSQPSPSPSSSLSSPSSDHQLSSSNSTTTTSDIHAPDSSNSLAGFSDIIAELAAESGGTFWTEPFVTDYCNIAYYPPSPFTSEALLCSYDYYDDNVNTLLW >CDP10804 pep chromosome:AUK_PRJEB4211_v1:5:18270302:18270727:-1 gene:GSCOC_T00031680001 transcript:CDP10804 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYLPNNSSYLPIVESSIQNLRFSVSSTKAKPLAIITPLEYSHVQAIVICCKRDGVQMKIRRGGYDFEGTSYKSEVPFIILDLRNLRSISVDIEGNSVWVESGATIGDLQYSIAEKSCTHAFPTGNYPGVMLVDTLVVVE >CDP10763 pep chromosome:AUK_PRJEB4211_v1:5:17087629:17088909:1 gene:GSCOC_T00031589001 transcript:CDP10763 gene_biotype:protein_coding transcript_biotype:protein_coding MNWTRGHTLGHGSTATVSAATCRHTGETFALKSTELSKSEFLQNEQKILSTLDSPFIVGYKGYDISMENNKLMFNLMLEYLPDGSIADAIHKRGGRLEESMISCYTRQIVEGLEYLHSSGIVHCDVKGRNILLDEDGAKIADFGCAKWAAPVPRITSREIKKVAVGGTPLFMAPEVARGEDQGLPADIWALGCTIIEMATGGSPWPNATNAASLMYEIGFSGELPEAPDFLSEQATDFLSKCLRRDPQERWTAKQLLNHQFLEGANPNSKQNQEHITSSPTSILDQDIWNSMEEPDNLSDGEIKESSLNSTPAQRLQFLASNSGYPSWNSGETWITIRSSKAVKREDEAMEICGSLTDVDSNGVKLESRNSGDQNSMNFLNQNVSSKSSCGKMILSRCTDDQTVVLSNLNIERVKKRPKLNQIGFL >CDP15738 pep chromosome:AUK_PRJEB4211_v1:5:5386285:5388640:1 gene:GSCOC_T00015775001 transcript:CDP15738 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPAAPTTHPDNQRAASWVNQHHPYVVYSPVDKPSENPCESVIHMFNSWSHRAETVAKNIWHNLKTGPSVSGTAWGKLNLTAKALTEGGFESHYKQIFATDPNERLKKTFACYLSTSTGPVAGTLYLSTVRVAFCSDRPLSFRAPSGQETWSYYKVMIPFTNIANVNPVVMKQNPPEKYIQIVTIDGHEFWFMGFVNFEKASHHLLDSVSDFGVRQNASIPIAS >CDP11880 pep chromosome:AUK_PRJEB4211_v1:5:20425765:20426031:-1 gene:GSCOC_T00035158001 transcript:CDP11880 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLEVVMLVALLTGHFALFMELAKLMLQKTDKLSISLLVTKAPFDPAGMAKIESLITSYKVNRLNFCNLPMPDISQWHFPHRGSYIN >CDP05875 pep chromosome:AUK_PRJEB4211_v1:5:26326321:26330423:1 gene:GSCOC_T00021186001 transcript:CDP05875 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEMADVEAPQPNGSAGQQKSCAAAIFEKANRPVTLKFEDVVYKIKVKKEGWFKKSTESEEKTILKGISGMVLPGEMLAMLGPSGSGKTTLLTALGGRLGGSLSGSITYNGKPFSNVVKRNTGFVTQDDILYPHLTVNETLIFTALLRLPNSLTKEEKVMRAEAVITQLGLTKCKNSIIGSRLVRGVSGGERKRVSIGQEMLINPSFLLLDEPTSGLDSTTAQRIVSTLGELANGGRTVVMTIHQPSSRLFYMFHKVLVLSEGNPIYYGKGMDAMDYFSDVGFTPKFSMNPADFLLDLANGVSVDDSRDDQALIKQTLVSAYKAKLAENLQIELKQTTDNLHSVSEGKQFNRWTTTWWQQFSVLIRRGMKERKHESFSGIKIVQILVVAILCGLLWWQSDPSHLQDQIGLLFFYTGFWGFFPLFNAIFTFPQERLMLEKERASGMYRLSSYFMARTIGDLPMELVLPSVFITITYWMAGLKATAASFLGCWLVLLYAVLVAQGLGLAVGALVLDQKNATILGSVIMLSFILAGGYYVQHVPSFIAWIKYVSIGQYAYKLFIMSQYNPGETYPCAENKTCLVEDFPSIKSIGPHGLFSSVVAMAIMLVGYRLVAYIALMRIGVTRNRLN >CDP06033 pep chromosome:AUK_PRJEB4211_v1:5:25154746:25157560:-1 gene:GSCOC_T00021383001 transcript:CDP06033 gene_biotype:protein_coding transcript_biotype:protein_coding MILRQILRSYHSETLLKLENHPLNPFASPHLISPRPFSLSSYFKPLEDAEPISSENAVVENKKSRKALNVYFKEAVGLLKKTPEDIGTESEGENGELKKRLKKLEEELRGLKQKKKDEKENLKNKEHKKKEGVHKNEGLSNYEEKSGGSSLSSLFANKFGRDDGKKMKDLKELRMEDPEVYKELSPDMEMFVTHLYNNGYLKVSNFLPRNRLDISCFENSYARDFIKFAAEQFGMDNQEIAKWMSGSDLKKLALFGCPSPGKKIVFSAKRLRRFFKIQENTVCDKCVLKQSCKFVNQSIWRSNDNNLDMAVVMRIITSYALESGPPQLVVPDEIKATVRRLLKEAVNLSQTVAS >CDP20211 pep chromosome:AUK_PRJEB4211_v1:5:20779538:20782638:1 gene:GSCOC_T00009858001 transcript:CDP20211 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGKTTLARKVLNDPQVEYHFFTRIFVSVSKDYNKKEVLLSMLSTFIKDIRDRNMSVQELVAKVRETLKYKYLIVMDDVWDIKAWEDLKDAFPDYNKGSRVLITTRQESMAKRAATKTDPYLLRFMTIEEAEELLRTKVFNENECPEKLQPAESRILKKCGGLPLAIVVTAGILRNDPENEKWWENVAQKSLSMDELDSDSQKQLVDDLIRRSYDNLLHVYKSCFLYLGVFPEDLEIQVSKLFQLWIAEGFIPQIERESMEETAERCLRELVDRNLVMVRQRTLSGRIKTCLVHDTLRDFCKRTAKAENLFQECDVGTVSSSSRRLCCINSHFSSYISNQQSAQNVRSFLSFGLEETTLNRHLCSDVFKQFKLLRVLDILSIKLPGTRFPTQLLNLVLLKFIAICCELTVLPRKMSSLLNLQTLVVHTTSSTLDIQADIWSMTKLRYLHTNTSTILPKCLEQSPGGENLQTLSTVSPESLTKDVFKRTRNLKKLGICGKLNNIVEANGESSLFDCLCELNSLENLKLYGDDVSSKLLALPQAHKFPPRLARLSLHNTSLNWDYMSILGNLQYLEVLKLKDYAFKGDYWKTKQGGFPSLKVFFIGATDLTRWDAKANDFPELKCLILKQCKTLERIPSDFVHMKKLEMIKVEHTKDSVVSSARRIVQLQLEVLLQQKSKKTSPVKLIVYPPEY >CDP15926 pep chromosome:AUK_PRJEB4211_v1:5:22921113:22922695:1 gene:GSCOC_T00016845001 transcript:CDP15926 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVVKMAEGDGGGRRGRPKQQKLTMEQYLDFIHSHKQLDLTVHHLNQIIDMHGFNRICGPQKSLLVELVKSLELMDPSRSTLQDHGVSSDAFLSLDDVIKDLNELKWQECCVTSLQTLNSANLHHQRDGGSLNNDGQGSISAIGTSIVSTASEKPKKTRRKRRKVAQIDGGEDSVSGGLNVGGSETATCSPNCRGPRKKRTVKYVNLSGNGDGGVVNFGVGVAASVASAGEVQVQPSASPSPILVVDS >CDP14419 pep chromosome:AUK_PRJEB4211_v1:5:5931011:5933695:-1 gene:GSCOC_T00040838001 transcript:CDP14419 gene_biotype:protein_coding transcript_biotype:protein_coding MVETELKGAMGWKATGAFVRHLLTSRWFMVFATFLLMSMSGATYMFGIYSGDIKSSLGYDQTTLNLISFFKDVGANNGIVAGLVNEVAPPWLILSCGAIMNFFGYFMIWLVVTHRIAKPPAWQMFLYIGIGTNSQTFPCIVALVSCVKNCPESRGVVVGMIKGFIGLSGAVITQLYLTFYGHNSKSLILLVAWLPAAVSILFLRTIRIMKVVQQAHNSHKIFQNFLYSSLSLAGFLMIMIIMQNWLSFTRFEYAASGSVVLLLLIFTNFLVVVREEFDLWKSKKQVLDDHPPSMVELTPAEARGEDHTILQGIFTADMLILFTVSAFGIGGTLVAIDNLGQIGKSLGYPTKTIATFVTLVSVWNFLGRVAAGFASEILLAKYNFARPLMLALVLFVSCAGHLLIAFGVPNSVYLASLIVGFCFGAQWTLIFSIVSELFGLKYYSTLVSIVAGGTPLGTYVLKVRVAGHLYDMEALKQMAAKGLTRKEGEALTCNGVECYKLSFLILTAATFFGCALSLVLSYRTRNFYKGDIYKKFKDQAHAADTEKLSVTNVTTT >CDP18955 pep chromosome:AUK_PRJEB4211_v1:5:4127214:4140064:-1 gene:GSCOC_T00010972001 transcript:CDP18955 gene_biotype:protein_coding transcript_biotype:protein_coding MVPASDVMKRKAPSVNNSEIVNGSSRIINGASLVKRDSASAIIKNFKTDELPPIEDLKVLPSDEGFSWANDNYNSWQRTIDVWSFVISLRMRVLLDNAKWAYLGGFTEDKQKTRRRRTASWLRDCVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFPSKKAKEFIEKELGAPVHMLFKEFEERPIAAASLGQVHRAILHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQNSETLGGPTRDWIGIYEECAKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLVYWDYTATKVLTLEYVPGIKINQLDKIDARGFSRSRISSRAIEAYLIQILKTGFFHADPHPGNLAIDVDEALIYYDFGMMGDIKSFTMERLLELFYAVYEKDAKKVMQSLIDLGALQPTGDMSSVRRSVQFFLDNLLDQRPDQQQTLSAIGEDLFAIATDQPFRFPSTFTFVLRAFSTLEGIGYVLDPEFSFAKIAAPYAQELLDMRQKQRTGTQLVQEIRRQADDARTYTMSMPYRVQRIEEFVNELESGDLKLRVRVLESERAARKANILQMATIYTVLGGTLLNLGVTFTNQGSQIIANGSFLGAGVFLTLFMRSMQRVKKLDKFESMI >CDP14784 pep chromosome:AUK_PRJEB4211_v1:5:21938666:21946066:1 gene:GSCOC_T00042241001 transcript:CDP14784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 12 [Source:Projected from Arabidopsis thaliana (AT4G03550) UniProtKB/Swiss-Prot;Acc:Q9ZT82] MGHRQRQPPPLQTGPPPPYRQNPGPQYPTRQPQSNPPPSSQQHRAEEDDVYNIIPVHNLLADHPSLRYPEVRAAAAALRAVGDLRRPPFSPWLPHYDLLDWLALFFGFQASNVKNQREHLVLHLSNAQMRLTPPPDNIDSLDPSVLRRFRKQLLKNYSSWCSFLRLKSNVWISDSTRHASDPRRELLYVSLYLLIWGESANLRFAPECLCFIFHNMAMELNKILEGYTDENTGSPFLPSISGENAFLNRIVKPIYEAIKAEVENSRNGTAPHSAWRNYDDINEYFWSRRCLEKLKWPMDTGSTFFVTTNKGKKVGKTGFVEQRSFWNLFRSFDKLWIMLILFLQAAIIVAWEQRQYPWQALERRPVQVKVLTVFFTWSGLRFLQSLLDFGMQYSLVSRETKMLGVRMVLKSVVSAGWIVVFGAFYARIWSQRNADRGWSAATNRRIVNFLEVALVFIVPEILALALFILPWIRNFLENTNWRIFYMLSWWFQSRTFVGRGLREGLVDNIKYTFFWVVVLATKFAFSYFMQIKPMIVPTKALLDLKNVNYEWHEFFSRSNRFAVGLIWLPVVVIYFMDIQIWYSIYSAIVGVGVGLFEHLGEIRNMQQLRLRFQFFASAIQFNLMPEEQLLHARGSLKSKFRDAINRLKLRYGFGRPFKKLESNQVEANKFALIWNEIILIFREEDIISDHEVELLELPQNTWNVRVIRWPCLLLCNELLLALSQAKELVDAPDKWLWFKVSKNEYRRCAIIESYDSVKHFLLEIVKRNSEEHSIIRTFFQEIDEWVQMEKFTKQYKMTALPKIHDKLVKLLDLVLKPKTDVNKVVNALQALYETAIRDFLKEQRSPDQLREDGLAPQRPASSSGLLFENSVELPGQDNEIFYRQARRLYTILTSHDSMLKVPANLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYNEEVLYSKEQLRTENEDGISTLYYLQTIYSDDWKNFLERMKREGMVDEKELWTRKIRDLRLWASYRGQTLARTVRGMMYYYRALKMLAFLDSASEMDIREGARELGSMRRNDSMDHYSSEMSPSGRSLSRTSSSVNLLFKGHEYGTALMKFTYVVACQIYGSQKAKKDPHADDILYLMQNNEALRVAYVDEVTVGRDEKAYYSVLVKYDLQLQKEVEIYRVQLPGPLKLGEGKPENQNHAFIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFRRRYGIRKPTILGVREHIFTGSVSSLAWFMSAQEMSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYSTVGFFFNTMMIVLTVYAFLWGRLYLALSGVEGSATSKTNNNRALATILNQQFIIQLGIFTALPMIVENSLEHGFLNAVWEFITMQLQLSSVFYTFSMGTRAHYFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAIELGLILTVYASYSPIAKGTLVYILLTISSWFLVVSWLLAPFMFNPLGFDWLKTVYDFDDFMNWIWYRGGVFAKAEQSWEQWWYEEQDHLRMTGLWGKVLEIILDLRFFFFQYGIVYQLGIAAGSKSILVYLLSWIFVAVALGLYLIVVYARDKYSAKEHIYYRLVQFLVVILVIVVIIALLEFTHFKFGDLFISLLAFVPTGWGFILIAQVLRPFLQKTMIWDIVVSVARLYDIMFAVIVLAPVAVLSWLPGFQSMQTRILFNEAFSRGLQIFQIITGKKPKGDV >CDP06021 pep chromosome:AUK_PRJEB4211_v1:5:25273063:25274499:1 gene:GSCOC_T00021366001 transcript:CDP06021 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMTFLSFFPSFLMVRFLFFPAQKKKKNSFLSPLSCTFFRDGASDFVGMRSHGDGGKMEVLVRVVEKADQLRLKTIEKLVELFTPRQAAEFLIAAAHLQFGVRALGATYDRRL >CDP06070 pep chromosome:AUK_PRJEB4211_v1:5:24879683:24881630:-1 gene:GSCOC_T00021429001 transcript:CDP06070 gene_biotype:protein_coding transcript_biotype:protein_coding MANANFSALYITICVLAFVASKLIIATLCYRRWKRKQMLVQDSFSGGKLVLFKSPKMKALKTNMFLNKTMKLSNKDIIGSGGYGTVYKLTINDSVSFAVKRLNRISAEQDRGFERELEAMADIKHRNIVTLHGYYTAPNYNLLIYELMPNGSLDELLYGKSSNERVLDWTSRYKIAVGAARGLAYLHHDCIPHIIHRDIKTSNILLDQNLEARVSDFGLAKLMEPDKTHVSTLVAGTFGYLAPEYYDTGRATIKGDVYSFGVVLLELLTGKKPNDETFIEEGTKLVTWVKAVVEEKREGNVIDRRLEDYHVEEINQVFSIAMMCLEPEPSKRPTTSEIVKMLEQVKSYQFVPDLQEMPIA >CDP13783 pep chromosome:AUK_PRJEB4211_v1:5:27593400:27596230:1 gene:GSCOC_T00038837001 transcript:CDP13783 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRIAARSSRTMVTQCRNSLLLLARQEQPFVPIPSSQCHSLIEPRNKVVSGQVALLHRSFLNGSPFQLFGFSSSASPQHNEKDTAQPGAENGSDAAAAGTSTETEVHDKTEASASTDSQVKDEKDVSDSDSDSEGDLSRDDLVKLVAEKEEQLKIKHEELQKLQDKALRTYADMQNSMDRTKRDAENLKKFAVQDFAKNLLDVADNLSRASLAVKDNFLKIDASKDAVGAVPLLKTLLQGVEMTEKQLAEVFKKYGLQKYDPVDEEFDPHRHNAVFQVPDPSKPPNTVAVVLKAGYTLHDRVIRPAEVGVTRAVENDAEQSSET >CDP11844 pep chromosome:AUK_PRJEB4211_v1:5:19980479:19981705:1 gene:GSCOC_T00035110001 transcript:CDP11844 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRTVLHMKGGEDNASYAKNSSIQSLVSKKAKHALEKNIQQFCRLNLPSKECIIVGDLGCASGPNTLASVKEIIELIDSESRNMNYGLPYIQVFLNDLESNDFNSIFKSLPLSYDNLEKYGRQSGSCFIAAMPGSFCGRLFPDHSIHFIHSSFSLHWLSQVRILTLGCNLQIKDSSRVHFAINPFSIYHKYYSDFTHKKNSKRAK >CDP05963 pep chromosome:AUK_PRJEB4211_v1:5:25683451:25686894:-1 gene:GSCOC_T00021292001 transcript:CDP05963 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTLKSPHAQIFQTPPHCYFPFPQSSSSLLTFSPLPISPSCLNFLCKSLTATISRSVSAHNHHTTTEPWLAQVPEQPNTTATVEEAPPEEGPIEIPSSSPSVFATSDKPTPIQTATSVLLTGAITVFLFRSIRRRAKRSKELKLRSSGAKKSLKEEALENLKVMVPTPIDAKSSPSPVQALLGGLTAGAIALLLYKFTTTIEAALNQQPLSDNFSVRQITITIRTIVNGLCYLATFVFSINSVGLLLYSGQLVINSFMGDSQPNESKNGGEAQVKSSDESPKGPIDSSGIRSLNEDPSSDSSQ >CDP14723 pep chromosome:AUK_PRJEB4211_v1:5:21340041:21343475:-1 gene:GSCOC_T00042150001 transcript:CDP14723 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALSCVSVILNKILPLAAAEINRAWGVEKDLQKLADNVRTMEALIFDAECKQSTSKAAQLWLKWLQSTARDAEIVLDDFGYEVLRQKVKNRKRDKVLNLLYSSNPFFFRLGMADKIKKVMASLEEAYKKANQIGLHPAQLPMASADHKVDQQRWTAPFVDESETVGREAEVSKVVSMLISSDCKKDLPVISIVGMGGQGKTTLAQLVLKNESVTKHFDKIIWVCVSDDFRVERLLNHMLQSLGDHSLGEKNAETTTKEALVRRLQENLKGKSYLLVLDDIWNDDPLKWNDMRICLLAIGGAPGSKILATTRSDEVASAMQTSALHHLDILSDDHSWMLFEKLAFADGGAKTQDLVDIGTRILKKCGGVPLAIKVIGGLLYSKKDASEWSKLEKSEIWNESTDIAKRVMSVLKLSYENLPSWSVKQCFASCSIFPKDALMEKESLIQIWMTQGLINDAKGGGHLQMEDIGSEYLNILLRSSLLQAGYKNSIYGIWSCRMHDLVHDLSLQVSNNCFLNTEGGMEVRHENEVMRLTIIGSQGKVLKKIEGIPPNLQTLYYRGGDGIMLEDILERSRYLCVLIVDCWDMTHLPNAVGDMKHLRHLDIHETKITALPDSITKLYNLMTLKVYYLEEIPKKFSNLINLRHFEVSLDGSRCLFPGIGQLANLRTLPHFRVSQEKGCQLEELEHLRNLRGKLKIFGLENVSSFESAAKAKLSEKSSIQGLRLSWDDTNEDCDDNNINSVMEGLQPHPDLKSLAIWGFKGSRFPSWMVAKDGLMVLLRNLVRLRLEKLGKCEQVPPLGDLPCLESLWMVSLPNVKRIGAEFYGLDINARSSAFTLFSKLKCFELRDMGSLEEWSDAMVPSDSSSSIKVFPNLRDLRIAGLPKLAVLPDMENLTSLAELSIRECGSLACIRNLNSLTSLEFLYLNDCSALLDASLDMKNPQSLRELIISGCDKLNPSLNQIQHLSTLTSLEIGEFEGLEVLPEWMGSLRNLQELWIVDCSNLRQLPSAEATRHLTNLNQLVINSCPVLAERCTKGSGVEWPKIAHIPYVFID >CDP16482 pep chromosome:AUK_PRJEB4211_v1:5:7757127:7761137:1 gene:GSCOC_T00018426001 transcript:CDP16482 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDVPCCESMFWVYLVISVGLVAFAGLMSGLTLGLMSLSLVELEVLVKAGQPEDRKNAAKILPIVKNQHLLLCTLLICNSLAMEALPIFLDALLPAWGAILISVTLILAFGEIIPQAVCSRYGLSIGAKLSIVVRLLVIVVFPISYPISKLLDLLLGKGHSALLRRAELKTLIDFHGNEAGKGGELTHDETTIISGALDLTQKTAKDAMTPMSKIFSLDLNSKLNDDLMILILNKGHSRVPVYSGSPTNIVGLILVKNLLKCRPEDETPIRNLTIRKIPRVYDSIPLYDILNQFQKGNSHMAVVVKSRERNNDATEVAISKREMLKREIDSCSYKGQAAQNDEEVIGIITMEDVLEELLQEPIYDETDEYIDVHNKIKINMLPGKKYFLRSPGAASASNVNRQTPMVSPLSSAHQTPISYHHTPLLRSPISPYTPSPCARPTLYASPGKFTMNSPVRHA >CDP05840 pep chromosome:AUK_PRJEB4211_v1:5:26583737:26587487:1 gene:GSCOC_T00021137001 transcript:CDP05840 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTPERESTREKIFFVYGLRMLTISANSIPLLRSFVSFYQLNCFYAWSEKAKKQVSFFFSSRKEFWRKGYRKTNIAIQTSQGFVKSQRPMAIKCLIKCLCETWDSRENTERMITQEQRELC >CDP19220 pep chromosome:AUK_PRJEB4211_v1:5:2027099:2028374:-1 gene:GSCOC_T00009666001 transcript:CDP19220 gene_biotype:protein_coding transcript_biotype:protein_coding MTTITNLLINVVACFNEIVTKPLLEGALDTFKKYSVKEEDIDRSLESQESTKPSYVSELW >CDP11884 pep chromosome:AUK_PRJEB4211_v1:5:20463075:20464066:-1 gene:GSCOC_T00035166001 transcript:CDP11884 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFKLLMMVSPRMGHLTQALELAKLMLARNNQLSITALIMELPIDPHGTARIQSLIAATNVEGLHFHHLPAPEDTSDWNITHRMGFTFKLLEYQKPHVREIASKTKKLSGLLIDLVSTTMIDVADELGVPTYLFFTSGAAFLGLMLHFQTLEDEQNRGISDLVEGLGKFPSGPSSRNSLWH >CDP05889 pep chromosome:AUK_PRJEB4211_v1:5:26226407:26230414:-1 gene:GSCOC_T00021201001 transcript:CDP05889 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPVIRASLWTSFFHPKRPNPKLKPHIFVATGVCLNPKTPNLLRPSTTKRTCHFSLPLPSTPRPKQQTGLTTSDSSSKPFIPEYHKNPMGKKRKHSETAAVTEVNKEDSAAERPKRTLLGWKDKIEEKKESDSVVGFRNKEKVLVTCSRRISFRYRHLMLNVVSLLPHCKKDNKVESKDSKGATLNELVELKGCSSCLFFECRKGRDLYLWMAKCPNGPSVKFLVNAVHTMEELKLTGNHLKGSRPILTFSTNFDKDPHWKLLKEMIMQIFGSPKDHRKSKPYHDHVFVFSILDDHVWFRNYQISCPHTGTQKIDRADLEKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKKQKAGKYAKKIKAKTRRKMHELENPLQVDELAHMWNE >CDP11861 pep chromosome:AUK_PRJEB4211_v1:5:20197410:20199243:-1 gene:GSCOC_T00035132001 transcript:CDP11861 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPVAKRPATQPTTIDDLPENLLIRILSLLPLISAIQTSFISRKWRNLWHSLPSLKFKITKFPLNPASPLSLNVQQFSDFVSQTLINRPNTLPLRKFSLLFLYSSNHRYRSLVTTWLRYALDCSVKELNFRFAVYPKRRENNDGSENSPYYDFHLSDLMNNTSVIRLMLCRCEIIMPSRNLVRFESLRSLELVEVDLSDELLSDLILRCENLKKLVLRQFHGLRKFRIVSEKLQDLELSRYYVENAEGFDSSVEICTPNLSRIEIRYFYVANYKGDLSSVVEAELRLHERETEGYIFQCWSKPMSLLTGVQRLTVQNNLLLFIHSEMKPDESFQFKNLKHLELKTGYTHSEMVGLAALLMQAPILETLVLDYVYQTEKEASFYDDFKARPFTFDIPSLQEVKMENFRNTDLEYHVLALLGREKVVLNKVVLVPAKLERSSLSGPMVFDLTAYEDWTSAIAALLRHRLVPQK >CDP17445 pep chromosome:AUK_PRJEB4211_v1:5:2396748:2400329:-1 gene:GSCOC_T00000916001 transcript:CDP17445 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCCLRRGNSGRMISKSIFKLVMPWHRRMMDLSITILLRSSLLQSSSSTGFVSPSVHFQFLAMLHLTPLMFNYLQSTTWGLVWHKQSWEQFCSAALSYEMKHHSRSLGPLQVHSEALIFHVLFLTSQLRLLSSLSRTGIWSCNLFLTKSPTRKCMAYFSQKCPSSHFPSSQKVGVG >CDP15927 pep chromosome:AUK_PRJEB4211_v1:5:22924279:22927418:-1 gene:GSCOC_T00016846001 transcript:CDP15927 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPSPGISASPSEDNMRHFNVMILGPAQSPYEGGVFKLELFLPEDYPMAPPKVRFLTKIYHPNIDKASGICSEFLLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLADNIAKHWKSNESEAVETAKEWTSAYATGA >CDP05786 pep chromosome:AUK_PRJEB4211_v1:5:26983829:26987511:1 gene:GSCOC_T00021071001 transcript:CDP05786 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGILRAIRYHPISSRIAATYRSLSTLVLAEHEGGSIKASSISAVEAAKSIGNENSVSLLLAGSGPSLKEAAEHAASCHPSISQVLVAESDNFTYPLAEPWAKLIHVVHQKGDYSHIIAASGSFGKNIVPRAAALLDISPVTDVTEISDSNIFVRPIYAGNALCTVRYTGSSPCMLTVRSTSFSVASTSADSNSKPASIDQVDLSNFSEDDAVGKSRYIKLSSQETERPDLGNARVVITGGRALKSAENFKMIEKLAEKLGAAVGATRAAVDAGYVPNDLQVGQTGKIVAPELYMAFGVSGAIQHIAGMRDAKVIVAVNKDADAPIFQVADYGLVGDLFEVIPELLEKLPDKK >CDP13785 pep chromosome:AUK_PRJEB4211_v1:5:27588178:27589321:1 gene:GSCOC_T00038839001 transcript:CDP13785 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDALLAKKKHTPKSELEQNGIPQLQSSPSANWFSSSKSAKKVSLSSVTSIIDGLKKLYVQKLKPLEATYRFNDFVPPLLTNSDFDAKPMVMVFGSILNWENNIH >CDP17848 pep chromosome:AUK_PRJEB4211_v1:5:1218468:1220031:1 gene:GSCOC_T00013161001 transcript:CDP17848 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHHYKVDGLPGRGKSKTGASSYNKYQLRDIINQVSIITLLLLINPNIGLINFPQQSPI >CDP05986 pep chromosome:AUK_PRJEB4211_v1:5:25502837:25508117:1 gene:GSCOC_T00021325001 transcript:CDP05986 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGVGVRMSCLLLPYLAGTPLHLPCFRPFHFHRRIGRLFSTSCSSSSVDKREKVIVISGPTGAGKSKLALELAKLLNGEIISADSVQVYQGLDVGSAKPSLSERKEVPHHLIDILHPSEDYSVGQFFMDARQATRDVLNAGRVPIVVGGTGLYLRWFIYGKPDVPKASREITSEVYSELADLERDGDWDAAVRLVIEAGDPSVKLLAPNDWYRLRRRLEIIKSSGSPTSAFQVPYDSFRESLDFHLTDSSDIKSSVDGLQEDNSKKLDYGFLCFFLSTQRLDLYRSIDFRCEDMLSGDDGLLSEASWLLDLGLLPNSNSATRAIGYRQAMEYLLCCREQDGQSSARDFYTFLSAFQKASRNFAKRQLTWFRNEHIYQWIDASKSLEKVLKLIHDSYHDETGNLEVPDSLAMHKDVSNRKEASQLKAYRTKNRQFIQREDCSHILDWIRRTQRQPSLSVC >CDP17850 pep chromosome:AUK_PRJEB4211_v1:5:1307838:1308725:-1 gene:GSCOC_T00013165001 transcript:CDP17850 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLRPLFLAIISLLMISCLIRSSEGSVAGIYWGRSSREANLSEICTNSTYEIVVIASLKFVGYQAVLELDHHCAPSINGSCAFLGAQIESCQSKGIKVFVSLDGRPDFCSRDVQYVAEHIWNNFLGGQSDSRPFGDAVVDGIDFHIQSGSNRYLDALAETLSKYSTADKKVYLSAAPRCRIPDYYLDIAIKKGLFDYVWVQFFEEPSCDYSSGNITNLSISWEEWHSYVPDNTALFLGLTGISGVEGYIKCRDLRNVVIPYVEQYSKYGGLMVLEGTTYCGHYDEIIRPYVKL >CDP18733 pep chromosome:AUK_PRJEB4211_v1:5:23682942:23687899:-1 gene:GSCOC_T00002665001 transcript:CDP18733 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDSSVVARSRLAVLSAHLSASASASHDHSDAVPSSILERSCVSAQSVPPPPNVKGALTIIDERTGKKYQVQVSEEGTIKATDLKKITAGPIDKGLKLYDPGYLNTAPVRSSICYIDGDAGILRYRGFPIEELAEGSSFVEVAYLLMYGNLPSESQLADWEFAISQHSAVPQGILDIIQAMPHDAHPMGVLVSAMSALSVFHPDANPALRGQDLYKSKQVRDKQIVRILGKAPTIAAAAYLRMAGRPPVLPSNNLSYSENFLYMLDSLGNRLYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVYTALAGAVGALYGPLHGGANEAVLKMLSEIGTVDKIPEFIEGVKSRRRKMSGFGHRVYKNYDPRAKVIKKLAEEVFSIVGRDPLIEVAIALEKAALSDEYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGYLSHWRESLDDPDTKIMRPAQVYTGVWLRHYMPLKERMIAKEVDRLGQVSVSNATRRRLAGSGA >CDP13584 pep chromosome:AUK_PRJEB4211_v1:5:28921243:28924429:-1 gene:GSCOC_T00038583001 transcript:CDP13584 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVSSLIRILNAADSNDSGKSTALITRDLLGGGCPLDSKELDLDMQVPSGWEKRLDLKSGKVYLQRCDPQKASASTSDQKKQNDRTSSKLQDLNFPPAAKQPMDLFDDGSLDLKLVPSSSASASAAPSSNYQSVCTLDKVKSALERAEKETFRKRSISMSKSSSPPSNSSSSIKDGEVGDEEKSAASFAAGCPSCLLYVLISTKNPKCPRCNAIVPLPSPSTVKKPRIDLNISI >CDP05937 pep chromosome:AUK_PRJEB4211_v1:5:25872838:25876003:1 gene:GSCOC_T00021259001 transcript:CDP05937 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKCIGVVGAGQMGSGIAQLAVVNGVDVWLHDTEAEALSRAHNSISKNIERLVSKGHLSQAVEADAARRLRCTSNLDDLISVDMVIEAVVESEDVKKKLFVQLDTILKGSAILASNTSSISITRLASATSRPSQVIGMHFMNPPPIMKLVEIIKGADTSDDTYNATRCLAERMGKTVICSNDYPGFIVNRILMPMINEAFHALHTGVATKEDIDTGMKLGTNHPMGPLELADLIGLDVCLSIMKVLQAGLGDSKYAPCPLLVQYVDAGRLGRKRGVGVYDYNTRPKEKKPLAQL >CDP16058 pep chromosome:AUK_PRJEB4211_v1:5:507450:513903:1 gene:GSCOC_T00017062001 transcript:CDP16058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0623300)] MDSRDKRSNPQLQKALCYSNVERRHASSPSVIVIGGGFAGIAAARALYDASFQVTVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCKENPLAPVIGRLGLPLYRTSGDNSVLYDHDLESYALFDMDGNQVAQDLVSKTDLVRQDFREDMPISRAISIVFERRPDLRLEGLDYKVLQWYLCRMEGWFAADADTISLKFWDQEELLPGGHGLMVRGYLPVINTLAKGLDIRLGHRVTKIVRRYHGVKVTVEDGRTFVADAAIVAVPLGVLKSNRIKFEPRLPEWKEAAINDLGIGIENKIVLHFEKVFWPNVEFLGVVAESSYECSYFLNLHKATGHSVLVYMPAGQLARDIEKMSDEAAASFAFMQLKRILPNASEPIQYLVSHWGADENTLGSYSYDMVGKSHDLYERLRIPVDNLFFAGEATSFEYPGSVHGAYSTGLMAAEDCRMRVLERYGELDILHPVMGEDPPISVPLLISRM >CDP17441 pep chromosome:AUK_PRJEB4211_v1:5:2346263:2352056:1 gene:GSCOC_T00000911001 transcript:CDP17441 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGNKELWEMHWLGHKEKMMKGSGDDKTGNDQIILPPATSCSVNSPFPVESDDDRHRNEELEITEAQKLQMEVEKRLTECIEAQRQLQLKIQERSQFLRKHIENQPISGLMG >CDP13613 pep chromosome:AUK_PRJEB4211_v1:5:28712649:28714644:-1 gene:GSCOC_T00038619001 transcript:CDP13613 gene_biotype:protein_coding transcript_biotype:protein_coding MASACVNKIGMSPEKKFLDCPPVKYPSYGWLSPRISFSREFPDDDPSAGGAKPLPADKAGANKDNAQVSDPEPPSNDFADFEFRLEDPVNMLPADELFSDGKLVPLQLSSIRPSEAATPAVRSPDTPQLRRRGEISATDPCLFSPKAPRCSSRWKELLGLKKLYHSSTANSNANNSRQQEHHKATPSTSNANTHRSLKHFLHRSSKSSLSSSLDSSSSLSLPLLRETDSESVSLSSSRLSLSSSSSGHEHDDLPRLSLDSEKPTSFRSSAQTQNANGNANPPRVRVVKSRALSAENAVAMRVGRSPLRRPPESTIRGVSVDSPRMNSSGKIVFHSLERSSSSPSSFNGGHRYKHRGMERSYSANVRVTPVLNVPVCSLRGSSKSGGVFGFPLFSSSQPKKEGGGGGGGSTNGGNGTGNRGQLSSASIKSRPDRT >CDP05771 pep chromosome:AUK_PRJEB4211_v1:5:27075724:27084495:-1 gene:GSCOC_T00021051001 transcript:CDP05771 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGGFCTQFTPFKKSPYLWLCHSSCPHTFSTSRSFWALSSKALCRPEYCKTHSGYISFSNVSNSPASDHSVVKISSRSHVFVHDYQRPTYKSWGDVTQYYKRRKEAYRAERTSSLPSLTDGSDHKFRQQSTDNDLSCPSTSNSPLSFRNVHDSACSSGNLSLPNIVSVNTIAPDREMKDNFSSSRQPYTSNSQQADIHWKISPRTMQLPSKSSQPNISLPKLFEEQKEKYPSIDEGSAHNVTKREAAAAKSVGVEKINGTPTGKGSVEPEAIIRSNLRERLSCIYDKVLVVDTVSAAKEVVGLLTNQYRHMVHACDTEVSKIDVKQETPVDHGEIVCCSIYCGPEANFGNGKSCIWVDLLDEDGRNILAEFAPFFEDPSIKKVWHNYSFDNHVIENYGLKLAGFHADTMHMARLWNSSRRLEGGYSLEALTGDSSVMSDARLCLGEELIGKVSMKTIFGKKKIKKDGSEGKVVTIPSVEELQTEERELWICYSALDSISTLRLYESLKTKLSRMEWKLDGARRGSMFDFYKQYWRPFGELLVEMETEGMLVDRAYLAEIEKVAKAEQEVAADRFRNWASKYCPHAKYMNVGSDAQLRQLFFGGIQNRKNRDETLPIKRGFKVPNVDKVIEEGKKSPTKFRTITLHRLFDDHLKSDMYTASGWPSVSGDALKALAGSISDEFDLIYEAAQLQSDDSFGVADEMDESDLADKSACSSLGGDQGSEASSAISALCEVCSIDSLISNFILPLQGSHISGKNGRIHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLANCKSMLDAFKAGGDFHSRTAMNMYPHIREAIEQKLVLLEWHPQPGEEKPPVPLLKDAFTSERRKAKMLNFSIAYGKTAVGLSRDWKVSVEEAKSTVDLWYSDRKEVLNWQQDRKAEARQSRCVHTLLGRARRFPSQKSATSAQKGHIERAAINTPVQGSAADVAMCAMLEISKNARLKKLGWKLLLQVHDEVILEGPTESAEIAKAIVVDCMSRPFNGKNILKVDLSVDAKCAQNWYAAK >CDP15982 pep chromosome:AUK_PRJEB4211_v1:5:23311676:23316754:-1 gene:GSCOC_T00016915001 transcript:CDP15982 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAHSFMIALVSSCCVVLSVLAWRALNWAWFQPKKLQKHLKQQGFKGNPYKLIYGDFKQISSLFQEANSKPISLSDDIVPRIVPHFLEAVNKYGKNTYLWFGPRPMMLIMDPELIRKVTHKMDIFQKVRFRPQARLLIGGILVYEGEKWAKQRKLLNQAFHTEKLKLMVPAFYKSASEMLSKWEEMISVKGSFEVVDVWPNIQTMTSDAISRTAFGSRYEEGRKIFELQREQARHLVEATRSIYIPGSRFLPTKRNRRMKQIAKEVEGSIREIINARLKALRAGEAIDADMLGILLESTSQETDGESGMTTGEIIQECKLFYFAGQETTAVLLVWTMILLSMHPDWQERAREEVLQHFGTDMPNFDGLNQLKIVTMILHEVLRLYPPFPNIGRSVAEETKIGNLTIPAGQLLTLPMILLHHDPEIWGQDVKEFKPERFADGVSSATKGQIVYFPFGWGPRICIGQNFAMLEAKLALAMILQRFSFELSPSYSHAPHLTIAIQPQFGAHLMLHKL >CDP05945 pep chromosome:AUK_PRJEB4211_v1:5:25798860:25801796:1 gene:GSCOC_T00021270001 transcript:CDP05945 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein H [Source:Projected from Arabidopsis thaliana (AT4G27540) UniProtKB/Swiss-Prot;Acc:Q8LFP1] MVFSSNPLALSVPDPAFESWLRNKGYLEILDQRTSDLHRLSTSTSAAAAVQSSPSSSSSNAATATTTIPYGLFISLFSHLKTLFSLLTLNPFSKLTSDDFSGDTPSWTNAFIGSFNSYSFPTSPAQARLRAHENVKRFARNYASLFILFFACSLYQMPLALVGLIACLALWDVFRYCGDRWQLDQYPVFRQTLVRIAQCTTAVILFFSNVQVTLLCALSVSYAVLVLHASLRKLTPGKQSTPSDRNRSSSKR >CDP11811 pep chromosome:AUK_PRJEB4211_v1:5:19419476:19421159:-1 gene:GSCOC_T00035061001 transcript:CDP11811 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFFFLCTFLFFILMLVKIARQSKPVKLPPGPKPLPIIGNIHQLIGSVPHKILADLGRKHGPLMYLKFGEVPTVIISSAEVAKQFFTNYDLIFASRAYLLSASILNYNCTDIGFAPFGDYWRQLRKICTMELLSPRRVQSFRSIREKEVLNMIKSIALQEGSAVNLSKKISSVTYSITSLAAFGKGSKYHAKFMLVSDEALKLLGGFSIADMYPSVKVLERITGIRKKLEKVHKQVDEVLENILSEHKVKRAVPKRGSGEEAKDQDLVDVLLKVQSSGEFGAQLTDNNLKAVIFDMFTGGGETSSTTAEWAIAEMINNPRVMKRAQDEVRRIFGEIGTVDESRIRDLTYLQAAIKETLRLHPPATLLPARECSERCEIYGYEIPVKTRVIVNVWAIGRDPHYWTDPEKFNPERFLDSQTDFRGTDFNYIPFGAGRRICPGISFALPNIELPLAQLLYHFDWKLPGAAVKQEQLDMSETFGLTTGRTQDLELLPIPYHPFSNNSAAC >CDP15734 pep chromosome:AUK_PRJEB4211_v1:5:5283637:5285268:-1 gene:GSCOC_T00015766001 transcript:CDP15734 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGVKEATTQSGVDDDRIKDLKAFDDTKAGVKGLIDAGVASLPRIFIRPPDELVEELNLGYSQAELPVIDLSGIETDDQRKSIVDELRQVSEEWGFFQVVNHGIPSSVLDGMIDGTCKFHEQEAELKKEYYSRDQFQKVRYESNIDLYRSRVANWRDTMTINLLYSNEVVPDELPEICRSSAMDYINHITKLAETLFELLSEALGIKVDNLRAMECARGRTFVCHYYPACPEPDLTLGVSRHTDPAFLTILLQDHIGGLQFLHDNNWTDVPPIPGGLVVNIADLLQIVSNDRFKSREHRVIANRIGPRISVACFFIGVAVPEKIYYPAKELISDETPPVYREFTVGEYMSNFFSRPIDKSGLDHFKI >CDP16060 pep chromosome:AUK_PRJEB4211_v1:5:654802:663808:1 gene:GSCOC_T00017067001 transcript:CDP16060 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFLKPSTSISVPSSSLWSGNSSSNGNFMDGNLKICKRAEVVCLGMLAPRKFMQKRKKVEYFKDAHDEADQKSWRRLMNQIEEEGSAISVLKNHRLKNESLPKDLVLGTLVRFKQLKKWNLVAEVLEWLRTEHWWDFNEMDFLMLITAYGKLGDFVKAERILSYMNRKAYPPSVISHTALMEAYGKGGQYNKAEAIFRKMQSTGPEPSALTYQIILKTFVEADRFKEAEEIFESVLSKDASPLKPDQKMFHMMIYMYKKAGNYDKARQLFSLMAERGVKQTTVTYNSLMSFETNYKEVSKIYDQMQRAKVQPDVISYALLIKAYGKARREEEALAVFEEMLDAGVRPSQKAYNILLDAFAISGMIEQARIVFKSMRRDRCTPDLCSYTTMLSAYVNVSDMEGAEKFFKRIKQDGFQPNIVTYGTLIKGYAKVNDLDKMMEKYKEMHLCGIRPNQTVFTTIMDAHGKNVGFDSAVIWYNEMGSTGVIPDQKSKNILLSLAKSAEEKAEAYELIGYADEQKNNIIVHADNDNRDNVDEDFDDAAEQSCLIDTKEELVLG >CDP14791 pep chromosome:AUK_PRJEB4211_v1:5:22010496:22017553:1 gene:GSCOC_T00042250001 transcript:CDP14791 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLCGKSSSTIKDGGESPNGRKLAKASSQTDLTRAVSSRRDESFRLKKKFNSGEIKIHMIDRKLSGSRRVRDDYYDKNKENSGALGNYYPGPGSVPKGLEGEQVAAGWPSWLASAAGEAIKGWLPRKADTFEKLDKIGQGTYSSVYKARDLTRNKLVALKRVRFDNMDTESVKFMAREILILRRLDHPNVIKLEGLVASRTSSSLYLVLEYMEHDLTGLASLPGVRFSEPQVKCYMQQLLSGLDHCHTHSVLHRDIKGSNLLLDNHGCLKIADFGLATSYDRNQKIPLTSRVVTLWYRPPELLLGASHYGAAVDLWSAGCILGELYAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWRKAKLPHSTVFRPQQTYKRHLTETFKDFPPAAMGLMETLLSIDPAERGSAAVALKSEFFKTEPFACDPSTLPKYPPSKEIDAKLREEEAKRQGAQGVKGSKDLRAVPVPDANAELVSSMKRNAHSKNRSEQFNRHKEETSRGFPADPPRLSKGVIETRKATLEHPPQRVSYSGPLGPASGWAMSGKKYDDISFVSNKADLSTLSGLVASRTLPSEDSREKYGSQQVEIANQVKESLNLSGEPMKKHDQKHRMENLASSHHLETGRATKGPVLGHPSKATKIHFSGPLGVPPNKVDQMLKEHDRQLQEAVRRTRIERTRVGKVEGQEMQMTANPVYASTQGVR >CDP13677 pep chromosome:AUK_PRJEB4211_v1:5:28244934:28248323:1 gene:GSCOC_T00038704001 transcript:CDP13677 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWFSAVTVGANANNQQTASSSSSSPSLLSDWNSYAAARASEDSSSLLPGFDLESAVRSANDTVSGTFNVVSKGVRELPGNFQTATSNIPSGKSLLYFSLLLATGVFFVFMSFTLFLPVIVLVPQKFAICFTLGCGLIIGSFFALRGPKNQFSHMSSKERLPFTLGFLGSMMGTIYVSMVLHSYILSVVFSVLQVLALSYYAISYFPGGSTGLKFISSSLTSSLLKCFGR >CDP13765 pep chromosome:AUK_PRJEB4211_v1:5:27713971:27715845:-1 gene:GSCOC_T00038814001 transcript:CDP13765 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEIQSFIKVWFVAITSLFYSYFLVQRIPTGLPRLVSLLPIIYLFITLPLSLTSFHLGAPTAFYLLWLGNFKLLLFSFNQCPLASNPPLPLLHFISIALLPIKTKTNLQPNSSSKNSKEQDSPHFKISGRALLVFGIKFLLLAKIIVIYQYRDQLHQSVIFALYCCHLYLGVDLCLAITAVPVRAILGLGTEPQFNQPYLATSLQDFWGRRWNLMVTSILRPTVYNPIRRSSTPIVGRKWSLVPAVLATFLVSGLMHEVIYYYQSRASPTWEVTWFFVLHGVCVVAEIAVKKAFNGRRQLPRVVSGPLTIGFVAITGAWLFFPQVIRHRLDVKAIKESYIWLRLLGEKLGVPTQWLAPLH >CDP10793 pep chromosome:AUK_PRJEB4211_v1:5:18084022:18086887:-1 gene:GSCOC_T00031664001 transcript:CDP10793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:Projected from Arabidopsis thaliana (AT2G05630) UniProtKB/TrEMBL;Acc:F4IHC1] MAKSSFKLEHPLERRQAEASRIREKYPDRIPVIVERAEKTDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNVLPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGCF >CDP17447 pep chromosome:AUK_PRJEB4211_v1:5:2419109:2421755:-1 gene:GSCOC_T00000919001 transcript:CDP17447 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTLNNIWIRRGPSGKLKPWHIRMMDLSTTILLRSRKHCTGLCLMETPSLHFQLLVMLLHLTPLISSSNKLSSYSIDLTALLTIYHLGFGLA >CDP10753 pep chromosome:AUK_PRJEB4211_v1:5:16887664:16893470:1 gene:GSCOC_T00031574001 transcript:CDP10753 gene_biotype:protein_coding transcript_biotype:protein_coding MISISSYYCSRIFPKALLNLKNTKRPTSPLPFSSLLKQLLSPSSTPVLQVRAMADPAAVSSPFKKIQIQRDDTTFDAYVVGKEDAPGIVVLQEWWGVDFEIKNHAQKISQFDGGYKALIPDLYRGKVGLDVAEAQHLMTGLDWQGAVKDIQASVNWLKANGSKKAGVTGFCMGGALSIASSVLVPEVDAVVAFYGVPPPSLADPAQAKAPVQAHFGELDNMVGFSDAKTGKALEEKLKAAGVPHEVFIYPGVSHAFLNTSPEGAQRRKSMGLNDSDDAAVELAWARFRSWISKYLSS >CDP11807 pep chromosome:AUK_PRJEB4211_v1:5:19392475:19399533:-1 gene:GSCOC_T00035057001 transcript:CDP11807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] MEPVCPIDSSCSNQISLLLNPPSPPQKYFEELIKTRQSCGLEVRTNGKHGKGVYAKVDFKEGDLVLKDPVLFGIQHSSNKMDCLVCSHCFQFIGSVELQIGRKLYLRNLADASGSNSGKRKFSSALKDCSGQCNESRDHGDSDVEECDVDRCGVSDSKSNTNVIPKDVIDSLMEGGLKLPHSDIVSLPAVVSCPGKCKEAYYCSQSCAEADWNLYHSLLCTGKGSKSLSTEALSVFIQHANETNDIFLLAAKVISFTILRYRKLKTVYFEEKEKHDAPSILGNCNFSLLLEAWEPVSMGYKRRWWNCISLPDDVDCHDEVAFRLQIKELAFTSLQLLKAAIFDKECEPLFSLEIYGHIIGMFELNNLDLVVESPVENYFLYIDDLPDSEKEKAEKVTESFLDALGDEYSGCCEGTAFFPLQSCMNHSCNPNAKAFKREEDRDGQAVLVALQPIHKGEEVTISYIDEELPYEERQSLLADYGFRCRCPKCLDEELPS >CDP05865 pep chromosome:AUK_PRJEB4211_v1:5:26411937:26412783:1 gene:GSCOC_T00021170001 transcript:CDP05865 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLKRTVHLLLSHALTISWNKGCGCFMKQQNVQEIFITQVVDNYNLAVSIFFSTSPKPPPFLIFSCSICSILSPYILLETCPFFFF >CDP13704 pep chromosome:AUK_PRJEB4211_v1:5:28113701:28115227:-1 gene:GSCOC_T00038737001 transcript:CDP13704 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNNDDFSENPKATSRFNRSLTLHNPNPAYEFTKTPLLPLERTGSVKKLYGSPFGSMASAGNSLRGKVQKLRSLFEKPPPQSSPSLSPQPSSLSSSSLSSSSRPAKSLSLDPPHFRLPGTEDRVVIYFTSLRGIRRTYADCCAVRMIFKGFRVNLDERDISMDSAYRKELQSVLGEKTVSLPQVFVKGKYIGGADVVRQLNEAGELAKMLKGLPIRAVKPGLGYICEGCGDARFVPCSNCSGSRKIFDEDDEQLKRCPECNENGLIRCPECCY >CDP13665 pep chromosome:AUK_PRJEB4211_v1:5:28324780:28327349:1 gene:GSCOC_T00038689001 transcript:CDP13665 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGAEEEQVEKWVKYYSSFHEILLVGEGDFSFSLCLAKSFGSASNIVASSLDSYDDLINKYRNAKSNLELLKNLGASLLHGLDATKMKFHNDLLMRKFDRIIFNFPHAGFHGKEDGIHLIGMHRKLVFGFLGNARGMLRADGEIHVNHKTTAPFCHWNLHELGIRNSLMLIECVDFRIEEYPGYSNKRGASPRCDDSFPLGECSTFKFIVSPAVKNGKAGNLDLARGTSQALQLIPNPVHLLQKQPISFNLLHPQMISGGTLEHTGLPLRSHLRNECFRIFGKYLHHAEETFGRTDYDVSHSVREALRLGYKSYMTGDSGRCLSGYISMLQELQHQSILRSTWLRNRLAEVCDLQL >CDP16394 pep chromosome:AUK_PRJEB4211_v1:5:3775151:3775519:-1 gene:GSCOC_T00018250001 transcript:CDP16394 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKEILTRQPMAATIRLTVPAEGARPAPLMRPALGQYRLNLMAFYKDFNARIQKYKPNTPMAVVITTFKDNTFEFTVRSPLVTWYLKKAAGLEKGSRRPGHITASSLTLKHVYEIAKVKQ >CDP10774 pep chromosome:AUK_PRJEB4211_v1:5:17340893:17341321:-1 gene:GSCOC_T00031619001 transcript:CDP10774 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIISEQVACRCTWFYSFSSEVCSTQGFFL >CDP11327 pep chromosome:AUK_PRJEB4211_v1:5:14051238:14055962:1 gene:GSCOC_T00033512001 transcript:CDP11327 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMTTLMSLKSIIPVEKKSGMLVQDPFKANDIDAIFNQASQAEAVERAVEHLRPSSSSRSFTGTARLLSDDGPLPRLDDPANASFLESIGRSECPRELQPANKGAAIHVNLLRKEENYTIYRGHLPFQGVGRTLDSSSNITSAEPTVVVSSLITAPAPTTSLVVDQTTTFYVDSAKVGRWYMKGIMF >CDP11343 pep chromosome:AUK_PRJEB4211_v1:5:14622058:14632600:1 gene:GSCOC_T00033543001 transcript:CDP11343 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFIKPRIITALLLFLVVSISLQTCQVNSQCSKGCDLALASYDVWRGSNVTLIADLFSVPVSTLLSWNPATLPDRDTVIAGTRVNIPFPCDCINGNFLAHVFNYSVSSGDTYDIVASQFYANLTSTTWLRRFNSYPANNIPDTGVLNVTVNCSCGNKAISEDYGLFITWPIAVGDTLQSVASANNLSANLISRYNPTANFTSGSGLLFIPGKDLRFSGGAIAGIAVGVVVVLFLATCLYIVRYRKKKAQKISLLSNYQFQLAAPAPGVAEVKTSDSTGVAEGTSPGLTGITVDKSVEFSYEELANATDDFSLANKIGEGGFGAVYYAELRGEKAAIKKMDMQATREFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGSGREPLSWSARVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFHAKVADFGLTKLTEVGSSSLPTRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKGGPVAESKGLVALFEEVLSQPDPNDDLRKVVDPRLGDSYPLDSARKMAQLAKACTHENPQLRPSMRSIVVALMTLSSSTEDWDVGSFYGNQGIVNLMSGR >CDP14825 pep chromosome:AUK_PRJEB4211_v1:5:22317836:22320064:-1 gene:GSCOC_T00042289001 transcript:CDP14825 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSWKPDGYTYPYILKACGELSWFHWGGSVHGIAFRDGFVYSNVFVGNALIAMYGRCGQLDDARRMFDEMSQRDVFDVISWNSISAAYLQSGDAEKVLEMFALMTSKVGFSQLRADVVSLVNVLPACASLNAFGSGKEVHGHALRRGLIEDIFVGNALLDMYAKCGFMDEAETVFEQMEVKDVVTWNAMVTGYAQIGRYDDALGLFEKMRGENIHLNVVTWSAVIAGYAQRGLGKEALDVFRDMMRASNSEPNAVTLASVLSGCAASGALDKGREIHCYSIKKMLNLDWNDPEDDLMTINGLIDMYAKCRSINVANRMFEAVGQSDRNVVTWTVMIGGHAQHGEANDALNLFSEMASNQKKLLVPNAFTISCALVACARLNALRLGRQIHAYVLRNRFESAMLFVANCLIDMYAKSGDVNAARVVFDTMGQRNIISWTTLMTGYGMHGFGKEALQVFDGMRRAGLPVDGVTFLVVLYACSHSGMVDQGMNYFNRMSQDFGVAPGAEHYACMVDLLGRAGRLNEAMDLIAKMPMEPTAAVWVALLGACRTHAKVELAEYASSKLSDLECENDGTYTLLSNIYANAGRWKDATKIRFVMKQKGIKKRPGCSWVQGKKETATFYVGDRTHAMSEKIYDLLADLIDQIKIMGYVPETSFALHDVDDEEKGDLLVEHSEKLALAYGILTSAPGLPIRITKNLRVCGDCHTAMTYISRIIEHEIILRDSSRFHHFKNGSCSCKGYW >CDP13687 pep chromosome:AUK_PRJEB4211_v1:5:28202811:28204772:1 gene:GSCOC_T00038714001 transcript:CDP13687 gene_biotype:protein_coding transcript_biotype:protein_coding MDENDVPGMVDRYTTTFYETVSDQIDGLAMQYNMTQFVTEMKQFLTNQRVNSHSTALSSPSPFAEKILSLKRRVKDREWGLIYTELDALFREVLISREDIVEKAKAFALQGVDVMQRILISGKSVLGVSANIMFLIGNSIVSGAAGLLYFISQSMVFLWVLYYLITSESGGVTEQVMCMVPISHSARTRCIEVLDKAISGVLLATAEIAFFQGCLTWLLFRFSSIHFLYMSTILAFISPLFPIFPSWLATIPAALQLVLEGRYIIAIGFSIIHLFLMDYGTSEIQEDIPGHNAYLTGLSIIGGMTLFPSAIEGAIMGPLMTTVVIGIKDLYVEFVFDKPKEDDE >CDP11832 pep chromosome:AUK_PRJEB4211_v1:5:19857978:19859138:-1 gene:GSCOC_T00035097001 transcript:CDP11832 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIFGIFACFLIVALDVTAGILGIKAEAAQNQASQLQKHLRVFIFECKEPSHDAFLLGLAAASLLAIAHILANLLGGCSICTTDDVQKASPSRQISLACLLFTWIILAVALSMLVIGIMSNRKSRASCGFTHHHFLSIGGILCMVHGLFSVAYYVTTTAANP >CDP05904 pep chromosome:AUK_PRJEB4211_v1:5:26100422:26104309:1 gene:GSCOC_T00021221001 transcript:CDP05904 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLGPVIGRYPSSDGNTQMGVGIIKHNRKCRDVVFLVIFIAFWVAMIVNSSFGFNQGNPLRLVYGLDYKGNVCGDRHADPDLRELELRYWLNPNQVYQSGLKNAQVKLENARSICLLDCPIPSEDSLNWVCDYPDGDVKLSTDDWIDRNYDYFADLTPELRNSSLQLQGPCYPVIFPSVNVYWSCQFVARASNVSLRHWQQMGGVNIMEDIVIDKSIHRAINSRSAVLKRYVADVGKAWPVLLVCGGILPLFLSVIWLLMIRHFVVGMPWVTVVLFNILIVSVTMFYYLKAGWIGNDAISPIIGEHDPYYRVSARELSHLHAAAVLMTAIMIIAVLSSIAIVRRILMATSVLKASTLFQGQFGGACLIRTSNL >CDP06143 pep chromosome:AUK_PRJEB4211_v1:5:24245516:24246644:-1 gene:GSCOC_T00021536001 transcript:CDP06143 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISDVCIDHGPQNDPVRVLLGLVTHAQAKFLKKSLQGLVQTVQDQHGVHRDIEGLEGDKQNIYTMIQAHGESSGPPSDWAELGL >CDP16074 pep chromosome:AUK_PRJEB4211_v1:5:912411:913396:-1 gene:GSCOC_T00017087001 transcript:CDP16074 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFLQLWIYGTSKVLFPHEVSFPNSNPTIALDSISICMSYRNWDMMFMLGISAAASVRVSNEPGSGHPMVGKFSVIVVTTTSTLINIILAAIMLIFRVGLSETFNRRRSSH >CDP05796 pep chromosome:AUK_PRJEB4211_v1:5:26914603:26917379:-1 gene:GSCOC_T00021085001 transcript:CDP05796 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAPRSGDAIFASVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFKETAEVIAKVGFKMFLGVTATVTNWDAEGTTCSLVLEDNPLVDFVELPDTCQGLYYCNVLSGVIRGALEMVSMKTEVTWIRDMLRGDDAFEMQVKLVKQVPEEYPYKDDE >CDP13553 pep chromosome:AUK_PRJEB4211_v1:5:29118537:29123964:-1 gene:GSCOC_T00038542001 transcript:CDP13553 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRAARRNQRWCTTQPLTPLMEGPDLEMHHHHCQSGNKKETTSSWEVIREWFRAQRSLAADPAGGGGGGGSRSFSMSSAYPANINAAKRQDLKLLLGVLACPLGPIPLPINNNENGNDDNNNNYHSYYDIPIETSTAHYIIQQYLAATGCSSKSKHQHQQQQQKVPRNMYTAGTVKMMCFPTEISGGGTVKTLGTRSSGEKGCFVLWQMSPGMWSLELVVGGNKVLAGSDSKIVWRHTPWLGTHAAKGPQRPLRRIIQGLDPKSTASLFAGKARCLGEKRIGDEDCFVLKVAADRATVMERNEGPAEVIRHVLYGYFSQKSGLLIYLEDSHLTRVLPAAGRRENGSDHPSVSGAVYWETTIGSSIGDYRDVDGLLIAHQGRTVATVFRFGEVSTQHCRTTMEETWAIHDVVFGVPGLSPDSFIPPADVWDESTHYSPH >CDP16207 pep chromosome:AUK_PRJEB4211_v1:5:16363884:16367467:-1 gene:GSCOC_T00017313001 transcript:CDP16207 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNDDNRDKGLFSHLAGYAAGHYPRPHGAYPYPPPPGAYPPAGYPPPGGYPPSGYPPPGGYPPSGYPSPAGYPPAPPPGYPPHGGYPPAGYPGPSAHHHSGHGPPMGALLAGGAAAAAAAYGAHHLAHGAHHSGHGGYYGHHHGKFKHGKFGKHGFYGRHKHGFFGKHKHKFMGFKRWK >CDP11794 pep chromosome:AUK_PRJEB4211_v1:5:19190778:19191353:-1 gene:GSCOC_T00035036001 transcript:CDP11794 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSKRNLKICCGASAVGLIILVVVSVTLVFTVFKPKDPLIKPLPAKLENVQFQLFPTLSLNATMGINATIYNRNYGGFQFKNSTTFVDYRGMNIAAIPLEEGSVPARGKLNISTIANITAEKLVTSPYFWQDFGSGRLNFTSTANLHGKAVVLNVFKFDAKVFSTCDISVQVLSQEVDVDCTAKLKL >CDP13753 pep chromosome:AUK_PRJEB4211_v1:5:27801287:27807292:-1 gene:GSCOC_T00038801001 transcript:CDP13753 gene_biotype:protein_coding transcript_biotype:protein_coding MENRFLGSISASSFKNLLPNSVSRKQKAKSSIRSRTKSNSENIPPVDSNIQISDPPLLPSSSLHNKSLSKPTITHKEIIRSEAQSDAHLQPDPPVKVVVRIRPSTGPGCLDWDVKKVSNDALSVGDRKFIFDSVFDSNAAQEDVFKMVGVPLVNDALAGYNTSILAYGQTGTGKTYTMWGPQSAIVEDASCNGIHGIVPRIFQTLFAKIQQDQENSEGRQVNYQCRCSFLEIYNEQIGDLLDPTKRHLEIRDDPKNGFYVENLTEEYVTNYEDVSQILIKGLSSRKVGATSTNSKSSRSHIVFTCIIESWCKETSSQCFGSSKSSRISLIDLAGFEKCILDDAGKQCLKEGKFVKKSTSQLGYLVNALVERRQSENPDAPPYQSSCLTHLLRESLGGNAKLSVICTISPDKKCSGETVSTLRFGQRVKFMRNEPVINEITEDDVNGLTDQIRQLKEELMRAKSNVWDSVGSNHGQLKGRSVRESLNQLRLSLNRSLILPYLENDSEEVCANEDDVKELQAQFDSLNCSRDEDSTDMADGGETDLTSEQYVSCSEESEAEEINSERAHTQLEPSEVSGVNDLEEDIAGDSRNNDHTIRSNVSINAVCQSEFLQEPAISESPKIKNMHRKSIIVSANSLVNHDHAQETLRNSKSLDQCLRNSHHIQSSLRSSKVIPGPTESLAASLHRGLQIIDYHQQNSALDRSSVSFSFEHLALKPCLTVDKANASAQTLPEEGQPSNALTASFLCTNCKQRIIVSSTEVQDSLKTWMDSGKGSFKTAEREEELESVCKEHEAKIEQLNQELMKYKLEESKIQVASTNEYENEKYHSQTNGNKLLMWNGDENHDSELYIEKCEVKEIQEKLDDSQDNQSFNMNEKEALLKEIECLRSKLHLHNDGTNKSTERIRSSLLAQSIQLRKSGTFTWGKNEEELEKERQRWTEMESEWICLTDDLRIDLESHRQRADKVEMELRLEKQCTEELDDALKRAVLGHARMIEHYAELQEKYNELVGKHRLVMEGVAEMKRAVAKAGAKGHGSRFSKRLVAELSASRIEKDRERELLRKENKSLRTQLRDTAEAVHAAGELLVRLREAEETASVAEGNFTKVREENENLKQQVEKLKRKHKMEMITMKQYLAESRLPEVALRPLYREDSYSGGHDDTHSSYMHDDDQAWRAEFGAIYQEQHY >CDP05827 pep chromosome:AUK_PRJEB4211_v1:5:26700497:26702767:1 gene:GSCOC_T00021119001 transcript:CDP05827 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPQHRFLVSLGFLVLFISLPSSHPLSIHDLLKTRGLPAGLLPKDVKSYTLSENGLLEVFLEGPCLTKFDTMAFYESVVRANLTYGGLTGVEGFSQEELFLWVPVKDIIVDDPKSGLILFDIGLAHKQLSLSLFEDPPDCKQDGIFKKITRKEGGFEAQRKK >CDP16056 pep chromosome:AUK_PRJEB4211_v1:5:471968:474749:1 gene:GSCOC_T00017059001 transcript:CDP16056 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKDFEAKLGIKITCSQETEPLGTAGPLALARDKLADGSGEPFFVLNSDVISEYPLKEMIEFHKSHGGEASIVVTKVDEPSKYGVVVLEESTGQVERFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEKMLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRRKDASKLASGTHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEAGVRLSRCTVMRGVRIKKHACISSSIIGWHSSVGEWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKSEIVM >CDP05685 pep chromosome:AUK_PRJEB4211_v1:5:12273500:12273793:1 gene:GSCOC_T00020887001 transcript:CDP05685 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLPEDVLMQILVRLPVKCLFRFKYVSKSWCSLIKSLGFADLHVSQAKSGNQEDVILVKCFIKGERKTVLSFHSKDESLSLQDMGQKIRCATICIW >CDP06060 pep chromosome:AUK_PRJEB4211_v1:5:24954049:24955039:1 gene:GSCOC_T00021414001 transcript:CDP06060 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKLEDKWKLSTQEAVAFFACTAFLVIGVCFATFLKRRAKRSGLVHQEPCMNTEATDEAKRSDQKQIKKWGAVKELLMGSVRWSGASKLEERRLSGSQRERAAPLLVVGGEKCEENLGRLSHNSSSAVWQRPILMGEKCELPRFSGLILYDERGRPLDQHGDIQSIDDFQGDQERPSASVRTTLRDFL >CDP14765 pep chromosome:AUK_PRJEB4211_v1:5:21728501:21730168:-1 gene:GSCOC_T00042215001 transcript:CDP14765 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIRHNYVNVRGLKLHVAETGTGPAVVFLHGFPEIWYSWRHQMIAVANAGFRAIAPDFRGYGLSEVPAEPEKATFRDLVDDTLAILDSFELQKVFLVGKDFGARVVHHFALLHQDRVAALVTVGVPFMTTGPESSLDFLPKGFYMLRWRALPSQEPGRAEQDFGRFDIKTVVKNIYILFSGSDLQVAREDQEIMDLVDPSTPLPPWFSEEDLTNYANLYEKSGFQTALQVPYRTWLEGDGVENPRISVPALLIMGEKDYALKFASLGEYISSGKVKEYVPELEITFLPEGTHFVQEQFPDKVNQLLLTFLNKHK >CDP13666 pep chromosome:AUK_PRJEB4211_v1:5:28316188:28317259:-1 gene:GSCOC_T00038690001 transcript:CDP13666 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPPPPPPPPCTPPSQQQAAASPLKQIIITSKDSMDQHLFPSELPHSCKRQLGGYSKLQRPCRTNPLIWCGSIFCLIFSLLLIFFGIATLIIFLAIKPRIPLFDTPSASLKVVYMDSPQFLNSDFTFTANFSNPNPKLDISFDYLSIELYSFDTRIAAQALQPFTQRRKETRLVAVQMISSLVYMPPTHAFELLRQVQNNRVVYHIRGTFRVRFSLGVIHFSYWLHATCQLELTSPPTGVLVAHSCSTKK >CDP13762 pep chromosome:AUK_PRJEB4211_v1:5:27742922:27747066:1 gene:GSCOC_T00038811001 transcript:CDP13762 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERGGGRMVVSDRDIAEALDALLRESNPNSLPFNSINGIVQHLESKLGLNLSHKIDFIRSQIQLYFQPPPQHHKDHFTLHQNPNFHPHHHHHPVSAPHTQFHSPNFGFPHPQDYGFRPPPPLQQQQQQQTRPQLPPPLAAAVTSPTPAPVAAPEAPKESASSGKKRRGGPGGLNKLCGVSPELQAVVGQATMPRTEIVKQLWAYIRKNNLQDPNNKRKIICNDELRVVFETDCTDMFKMNKLLAKHIIPLEPTKQTAQNAKKAKIEVESGSESANTVPVVVISEALANFFGTDEREMSQAEVLRQVWEYIKVNQLEDPLNSMAIMCDAKLQELFGCQSISALGIPEMLARLHLLKKS >CDP05788 pep chromosome:AUK_PRJEB4211_v1:5:26973157:26974090:1 gene:GSCOC_T00021073001 transcript:CDP05788 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g20570 [Source:Projected from Arabidopsis thaliana (AT3G20570) UniProtKB/TrEMBL;Acc:Q9LJU1] MAQANLRSTGKALRVLGLFSLLLLVQEGIAFEFKVGGPNGWAVPADPNSNSHNQWAEKNRFQTGDSLLFVYPGDKDSVLYVTKEDYNNCSTDRPLQKFTDGHTVFNLKHSGPYYFISGVKDNCLKNEKIIVVVMADRSKLAPAPSNETVAASPPSNETTTSPPPSPSAEVPPSPAPATGESSPPPSGPEETNPTPAPSEQSSPHKSGASSILFGLIGSLGALSGSSLLLFF >CDP16393 pep chromosome:AUK_PRJEB4211_v1:5:3770258:3773313:1 gene:GSCOC_T00018248001 transcript:CDP16393 gene_biotype:protein_coding transcript_biotype:protein_coding MVISPGNPRLGLTALTRRLGLVERPDNPDRELITYKWDDPHVIPADMTVEVSELFTRELARYIEETEEFAMKKLMENRHILDMVAKELLEHSRITGLEVEEKMRGQSPRMFEDFVKPFQINLAEDAPLPNNDRVRYQPLDIYPAPLHRC >CDP16064 pep chromosome:AUK_PRJEB4211_v1:5:745966:747164:1 gene:GSCOC_T00017073001 transcript:CDP16064 gene_biotype:protein_coding transcript_biotype:protein_coding MQDARNRLSNTCTKDGSFDRQGKPAIKEKTGGWRSGMLLLGLAAIAFTGVEVNMVLFAMSVLRQSNADAPNTFSRWMGTLNICVLIGAFLSDSYMGRYVTCVAFQTIMFSGYFLFSIHFGLLLPVIGKKKSTINFFCLMQMAHFVHHIFTFICDYTVAFLRSWKISRAIFAVTPLTMFCGIAFKAIYGGLAVKEEDSYCRASSIAEQAISSIRTVFSFVAEDLLAEKYVDVLDKSVPLGIKIGFAKGVGIGVIYLVTYATWALAFWYGDLMISTNFVHRWNQLKIDGVFV >CDP05822 pep chromosome:AUK_PRJEB4211_v1:5:26727697:26730409:1 gene:GSCOC_T00021114001 transcript:CDP05822 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKAAAIKLKAAAAPSTGFRALLFSTSRFPFNQPSPAQKPPPAEPSTNLFVSGLSKRTTNEGLRGAFEKFGNVVDAKVVCDRVSGFSKGFGFVRYATLQEAEAGIKGMDGQFLDGWVIFAEYARPRNPSPPTQNGPSNGFSSTNFR >CDP06135 pep chromosome:AUK_PRJEB4211_v1:5:24326404:24328949:1 gene:GSCOC_T00021526001 transcript:CDP06135 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQPDAYEKSSDSEPFGYKQIQDHPVKSTQNYDVRNDHWSAPYDGFQMVSAPLPSYPERTRLAPESQQLYVNKEWKWEGTIAKGGTPVCRARCFPVGKLMDMVLPEILDCTARTSLDMLAKHYYQAAGAWVVFFVPASDPDIALYNEFMNYLGEKQRAAVAKLDDKNTLFLVPPSDFSEKVLKVPGKLSISGVVLRLEHPGPSYGSIHQQEKKKANFTSLHGSTSVVKPISPSELYHSMPTLPDIDRPPVRNTSFTENFSSGPLPASFSRSDVRNTSGNLSDSIGDTRHVNAFPQHKTMVGPKWSPHDMQNLSSNIRNIRSQTNSMVNSITEGYNPQPVSRIGNQALQENFPALSSMPPTALQPEQLVQLATTILGQQRHSGALSTGSDWAQQNNALPNYQVGPETSLSQYGQIQQLQQQIPNLPAMPQRELQPGSMVNQQQQNAGQGEGDTEKRLQATLQLAAALLQQIQQGKGT >CDP13697 pep chromosome:AUK_PRJEB4211_v1:5:28154904:28158101:-1 gene:GSCOC_T00038725001 transcript:CDP13697 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSESNTEVDSSWSGSEYEKERTPKIPVWDLPDVPKGNLPSHLQLQRTRVVCNKEAPTHTENIQYSGAYAAMGVDNSFRLDDFRKNLRVEVVRLTEDDIEFDLIGIDASLANAFRRILIAELPTMAIEKVLIANNTSVIQDEVLAHRLGLIPLQVDPRLFEYISENDIPNEKNTIVFKLHARCEKGGPRSTVKSDELKWLPNGSEFLLATENSASNTASKQKTYTSFSSSQDSLPEFSNNPIAPKYPDIIIAKLGPGQEIELEAHAVKGMGKTHAKWSPVATAWYRMLPEVVLLQEVKGVKAEELVNKCPVKVFDIEDIGKGGKRANVARPRSCTLCRECIRGDEWEKFVALRRVKDHFIFTVESTGALPPEVLFTEAVKILEDKCERVITELS >CDP15956 pep chromosome:AUK_PRJEB4211_v1:5:23159353:23160081:-1 gene:GSCOC_T00016882001 transcript:CDP15956 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEELRIFDIYWFEHCILRKKQPSTSNPVLDIDEEVEPKLKLSSTPSFVVRSFSEQNLSSKDSPSTASPDHGSPSPKSVLLLPKPQTILSGKEVGEFSEVEENKAGFDAGKKRRRRRERRRNNSKSLSELEFEELKGFMDLGFVFSDEDKESSLVSIIPGLQRLGRNVDEEEEKKDFADEKPVVSRPYLSEAWDVLDEKKAERKVKKKLMNWKINPGLGNEMEIKDQLRFWAHTVASTVR >CDP06121 pep chromosome:AUK_PRJEB4211_v1:5:24415705:24421761:1 gene:GSCOC_T00021509001 transcript:CDP06121 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNQWRDPLLITDVCTQEKEQQFNSAGGSTTSVMADPDDCSPNSIQGVSWRKRSLDDMYGENSSNSAVKRALDGRAMLGFSLTSPDLVICTGSPDIPGQNFGDSPQFFKGASTVVSLENGINGENGSPENPEVTKSSMLWQSSTDGNVPQEAEFELPTPPMTEKESPETSMPLMSINVGSTDVIVSPQGVKFSEDQYFSGGDTTNTRIAVGDGEDFNLYQSARLGNITYSLKTMGPGFYRVDLHFAEIVFIDGPSGMRVFDVFIQEQKVVSSLDIYARVGGNRPLVLLDIEARVEGEEGLSIRLEGVVGNPILCGISISCRDVNSLAAMGIPDIPQCKSLKDTGNDTADKEFQRLYLKYECQQKELMATKQALVQLQKENELKQRECQEAWRSLKDLQNELMRKSMHVGSLAFAIEGQVKEKSRWFSSLRDLTRKLKILKMDQVKLAEEALTYKGCLAELNHINSAIQSKMEEHVQIHEDLKVRFIKSSKEKKELYNKVLELKGNIRVFCRCRPLNGEEVASGASMAIDFEAAKDGELTVKSNGLAKKIFKFDAVFSPQAGQVDVFEDTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGTEEARGVNYRTLEALFHIIDERQSTFWYEISVSVLEVYNEQIRDLLACDSGLSTKRLEVKQVGEGMHPVPGLVEAQVNNMKEVWEVLRTGSNGRAVGSTSANEHSSRSHCIHCVMVKGENLLSGECTRSKLWLIDLAGSERIAKTEVQGERLKETQNINKSLSALGDVISALATKSPHIPFRNSKLTHLLQDSLGGDSKTLMFVQISPNENDLSETLCSLNFASRVRGIELGPAKKQVENNELLRCKQMVEKIKQEMKSKDFQIKKLEDTVQGLDIKIKEKDMKNKNLQDKIKELESQLLVERKLARQHVDTKIAEEQQHARQQQEEQNSALTRPPLASRTLDSFRMLGENKDQQSNITRQNNENNCKVPSFPTFDGLTKHNEMEKENNPEMTEQFPLPKRTGRASICPGAQRIPPAQLPRRNSLIPLPSVPSTAKMPSSLLALKPIQADKIEDAHGVEYDSPLEPTPWDSPKENKIGTKKLSSLLRKSIQKKMQMKSPKQQQHIRRAGVNVGMEKVRVSIGSRGRMAHRVLSNARRVAKDTQQLQRWNIGTAARAIL >CDP06104 pep chromosome:AUK_PRJEB4211_v1:5:24514090:24517703:1 gene:GSCOC_T00021490001 transcript:CDP06104 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLHTIGVASPVASGGVFPDHQSNKFAVSSLHSLPMIRSEARSNLSSLHESYRAGKLIAKAVATKGENAAASGASKPGHELLLFEALREGLEEEMERDPRVCVIGEDVGHYGGSYKVTKGLAPKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPVIEGMNMGFLLLAFNQISDNCGMLHYTSGGQFTIPAVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQLVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKERIPDEEYVLNLEEAEMVRPGEHVTILTYSRMRYHVMQAVKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLTAAINENFHDYLDAQIICLSSQDVPTPYAGTLEEWTVVQPPQIVAAVEQLCHSNGRISCN >CDP05700 pep chromosome:AUK_PRJEB4211_v1:5:11295275:11296501:-1 gene:GSCOC_T00020919001 transcript:CDP05700 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLIHPDPESLTEDICSQRFFEIQPDLCPSVVSLRLTMQENLQTCDPAKDTACLFAKAKLFCSKTPKSYPPCI >CDP13781 pep chromosome:AUK_PRJEB4211_v1:5:27600168:27605083:1 gene:GSCOC_T00038835001 transcript:CDP13781 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYGTATASTLLEFKASLLSPCPSFGSRPVNPLIQAVKNTIFFPAIKHVSSNSPSQQFKKISNTFVASASTAEVDTLVEKLPADLNVTETEEPNSRVRLSVEVPPVVCEDCYRRVIREFMKKAKVPGFRPGKNVPESILINYIGKQNIQKAIVESILKRTLPHAMSSMTGKALEDSIRIVTKFSEMENTYSSLNSLRYDIIVDVAPEVKWVPEYGYKNLKIVVEIDSEIDAKKASEEELRHRHKSLGSLRIVTDRGVKLGDVAVLDISATTIEADDSDAKSIPSAESRGFQFDTEDGDRILPGFLEAITGIQRGETKTFQYVFPESWAQENLRGIEAKFTVECKELFYRDLPDLDDSIADKLLPGCTTLEEVKKALLEKCLEVEQTAKEQAADNAILDRLCKMVEVDIPRSLFEEQGRELYGAQLLQMQANRQLNDQQLAYLSSAKAVNEFLENNKENINHVIKQNLAVGDIFKRENLQFSTEELVKEVKNSIAEFKRHNQEYDEERVKEQVQEVLEGAEVLEWLRENAEIQYTTR >CDP06035 pep chromosome:AUK_PRJEB4211_v1:5:25138345:25143874:-1 gene:GSCOC_T00021385001 transcript:CDP06035 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERSFDAWEEVQRHGQDLADRLAQGFTGLIQSHITPPSFPWPNSQPPKLFDMEFAAQNFVKRDFGLAVDNSAINGVSAIFDIGNRIGQAGVDFGACVNGMVQQFFRRLPVPFRHEENVVVSLQTEANSQRNDMGISLQEDLASVAKRFRDYGFTETKLVAEGCTDEENAGLNVKASKLFGRSQGTINVTSTYESRTRSVESSLVARGDLWRVEASQSSSTSRNDNSLFLVQLGPVLFVRDSTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAMWSKHRRWLLMSMICLNPLACSFMDLQFPNGQITYISGEGISTSAFLPLCGGLLQAQGQYPGEMRFSFSCKNKRGTCITPMVQWPDKSFSLGLAQSLAWKRSGLMVRPTIQFSLCPTFGGSNPGLRAEFTHSLKEELSLICGCALVDRPSAFASVSLGRSKWNGNVGSSGIVVKVETPLGDVCRPTFSVQLNSGIEL >CDP16055 pep chromosome:AUK_PRJEB4211_v1:5:466962:470008:1 gene:GSCOC_T00017058001 transcript:CDP16055 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTIQVSLRVWRIKEAKDRLAREREEINLNSGGGKYAKIFSGKEIKKATNNFSRDRTDHVLNEVRILCQVNHKSLVGLLGCCVGLEQPLMVYEYVPNGTLLDHLQGLNRKPLAWTARLNVAHATAEGLAYLHFSAVPPIYHRDSSKYGNILLDDKLNAKVSDFGLSRIAHTDLSHISTDVYSFGVVLLELLTSEKAIDFNRPEDDVNLAVYEQRLGNFDILSDAVMNDHSRHFDTLIQTIRRLYITFETIGGVM >CDP16032 pep chromosome:AUK_PRJEB4211_v1:5:199706:200604:-1 gene:GSCOC_T00017025001 transcript:CDP16032 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEQGRAELDRKAREGEVVVPGGTGGKSLEAQEHLAEGRSRGGQARREQLGTEGYQEMGKKGGLSATDKSGGERAAEEGTPIDESKFRTTG >CDP14775 pep chromosome:AUK_PRJEB4211_v1:5:21790244:21793062:-1 gene:GSCOC_T00042228001 transcript:CDP14775 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNTMIARNLFRSFTSSSSLNPVTKIKNYFSVDRNLGPFSFFCSSATAVPSPTSTPSSSASSDSVPKDSLFTRLVRVRKPKDSVPQVLQKWVDEGREVDLDNVRIIIKYFRSYKRYSYALPVSEWINSIQHSKLKPADAAVHLDLIAKVHGLEQAEKYFESLPDNLRVIQTYGALLNCYVKFKSLRKAEEIMQKMRQLGYNKMLSYSAMLTLYVQTRKLEKLDSLVQEMDGKGIPFDNFSYNIWLNACVVGSDIVGMEKLLMRMEADSVIVMQWNSYAIAANGYLKAGDFKKAEVVLKKSERLIEQAKATAGQAYEFLLTLYATMGRKDEVLRLWNLYKKPRKIYNRSYFCVISCLLKLDDLDGADKMLDEWLANKEYFDIRIPDLLVTAFCKKGHIERAESIISRLVDSGNEPTASTWSRMAQGYYKTNQMENTVEMTKKSIAAGVAGWEPDLPVLASCLRYLKKKGDIDGAEEMLRLLKRCEFSAEFKDVISRYIRKGDPAFQELYQAEEESETSEQDIFGDTELNFEHTS >CDP15959 pep chromosome:AUK_PRJEB4211_v1:5:23180800:23186652:1 gene:GSCOC_T00016886001 transcript:CDP15959 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS7 [Source:Projected from Arabidopsis thaliana (AT1G06410) UniProtKB/TrEMBL;Acc:A0A178WH64] MLSRSYTNLLDLASGNIPVMGREKERRRFPRVMTVPGSICELDDDQAHSVASDNPSSIAGDRMIVVSNQLPLKAKRRPDNKGWSFTWNEDSLLLRLKDGRFDRSMWEAYVSANKIFSQRVIEVLNPEDDFVWIHDYHLMVLPTFLRRRFTRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMMGLEYLSKRGYIGLDYFGRTVGIKIMPVGIHMGHVESVMKLADKEMRYEELKKQFEGKTVLLGVDDMDIFKGINLKILAMENMLKQHSKWQGRAVLLQILNPVRGRGLDLEQIQAEIQESCNRINEKFGKPGYQPVVLIDRPVPISERMAYYRIAECVVVTAVRDGMNLTPYEYIVCRQGIPGAEAGSDLSGTKKSMLVVSEFIGCSPSLSGAIRVNPWNVEATSEALNEAISMSDQEKELRHEKHYRYVSTHDVAYWARSFLQDLERTCADHFRKRSYGIGLGFGFRVVALDPNFRKLSIDDIVSAYTKAQHRAILLDYDGTVMPQNSIIKTPNPEVLSILNTLCGDPNNVVFIVSGRGRDSLSRWFEPCKQLGLAAEHGYFLRWSQHKDWETCGQNSEFGWMQIAEPVMKSYTEATDGSCIEKKESALVWQYGDADPDFGYSQAKEMLDHLESVLANEPVSVKSGQHIVEVKPQACSLLLDLHGVSKGSVAERIFTSMVEKGKQADFVLCIGDDRSDEDMFEIIGAAISRNILSYKAEVFACTVGQKPSKAKYYLDDPSEVINMLDSLADATHTPVTSEDETEDSS >CDP13741 pep chromosome:AUK_PRJEB4211_v1:5:27865535:27868693:1 gene:GSCOC_T00038789001 transcript:CDP13741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MSVSFHSSYYECAIRQHMATCPSFNSALFCAFPFQSSSPSLIFLNLSRVSSADGSRNIKNRVIFSRIGVASSHSNPNILKSKHMSRYGLPISPYDTEEDDAEENEDDDWFSDDDSPGDKTKSWNASKTRQVEHENFESRWGVRSLNSGKSLEMNKGRFGKRKGRNALYMRNTGSFHNTSKFLATAGSSAGDAKETDVGISSKTKYHRLIEELDLDEGCFALLDYLSGFGLKDSHFIQMYERHMPSLQINVVSAQERLEFLLSVGVKRRDIRKMIMRQPQILEYTVENNLKSHVAFLAGLDIPDSKIGQIITATPSLFSYSVENSLKPTVRYLLEEVGIQKSDLSKVVQLSPQILVQRIDSSWNTRLKFLAKELGAPRDSIVKMVTKHPQLLHYSIEDGLLPRINFLRSIGLCNADILKVLTSLAQVLSLSLEKNLKPKYMYLINELRNEVHSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDEHFCQQWAGTSLDKYLEFRQKLLLRDFAKKYER >CDP10761 pep chromosome:AUK_PRJEB4211_v1:5:17062339:17062776:-1 gene:GSCOC_T00031587001 transcript:CDP10761 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPKFNAKDDGDRISNLPESVLCHILSLLQAKDAVRTYVLSKAWEYKWICLHNLKFDDNLSYTNQQPKKKLFANFVDRALAHCTMSMVKEFELLCKLSSYDPSRVKSWISALLLHNNFERLHVSYRNMAHESWNFRAIFTLVIL >CDP11359 pep chromosome:AUK_PRJEB4211_v1:5:15028885:15034428:-1 gene:GSCOC_T00033572001 transcript:CDP11359 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSITFFNFMISTYLILSQISVTFMKGHALERSLAAESNYHTVHVSSILPSSACKPSAKGPSRKSSLKVVHRHGPCHQLNQESSNRETLTEILSEDQTRVKSIQARHAFRADTDKIRGSKADLPAKSGIAIGTSNYVVSVGLGTPAKSYTLVFDTGSDLTWTQCEPCVIFCHKQQDPIYDPVKSSSYSNISCNAAQCSALSSTPGTRTGCSASNCLYGIQYGDQSFSIGFFAKERLTLTPTDVFDEFFFGCGQNNQGLFGKTSGLLGLARDPLSIVSQTARKYGKYFSYCLPTNSGSNGHLTFGKGSVPNTVKFAPFSSSRSQSNSAYFLDIQSISVGGQLLSISASVFHTAGNIVDSGTVITRLPPAAYSALRSAFRQQMSQYKTAPAATILDTCYDFSGQSTVTIPKISIFFSGNVKVDLGIEGTLLASSISQVCLAFAGNSAATDVGIYGNTQQQTFEVVYDVAGGKLGFAPGGCS >CDP16043 pep chromosome:AUK_PRJEB4211_v1:5:336036:344332:-1 gene:GSCOC_T00017044001 transcript:CDP16043 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKTPSLVAFHSGTRLIGEEASNLLARYPNKVYSHLRDLVAKPYGVAKKLLESSYLNYRIVPESSRGVAFIETGEEEDGKYTAEELVAMLLKYAVGLAETHVKGKVKDCVLTVPPYMGVPERNGLLAAAELAGINVLALVNEHSGAALQYGIDKDFSNGSRHVIFYDMGASSTYSALVYFSAYNAKEFGKTVSINQFQVKDVRWDAELGGQSMELRLVEYFADEFNKQLGTGFDIRTAPKAMAKLKKQVKRTKEILSANTVAPISVESLYDDRDFRSSISREKFEELCADIWEKALTPLKEVLEHSGLKTEDIHGVELIGGATRVPKLQAKLQEYLGRKELHKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSSYGFVLDLDGPDLLSDESTRQLIVPRMKKLPSKMFRSIIHSKDFDASLAYESEGLVPPGAASLTFAQYAVSGLTDASEKYASRNLSSPIKANLHFYLSRSGTFSLERADAVVEITEWVEVPRKNLTVDNTTSAIGNISVEADSKNASEESNDKLQGDDGITGTSNATISDNNTTDLGTEKKLKKRTFRVPLKIVEKTTGPALSLSKESFADAQHKLEILDKKDEERKRTAELKNNLEGYIYNTKEKLESEEFEKISSSQERQSFSEKLEEVQEWLYTDGEDATAAEFQERLDMLKAIGGPIFFRYDELTARPAALEYARKYLTELQQIILKWETEKTWLPRERIDEVRSMAEKVKNWFNDKEAEQKKTSLFSKPVFTSEEVYGKIFDLQDKVASVNRIPKPKPKVEKPVKNETESTSENSNSTNSSSEETSQNGQTAGASENSTDETEATGSEAHDEL >CDP10802 pep chromosome:AUK_PRJEB4211_v1:5:18264352:18265585:-1 gene:GSCOC_T00031678001 transcript:CDP10802 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLVNLVFVSTWIGYGVGLRNYRLHVTFLLTGLLLFAFIFIFSCKSLHHKLPRDGNGVIGLLRNDPETVALTLFSFVAMCFLAGFSCYHVYLIAINQTSYEHFHQKYVNSGNPYDKGILDNIKEVLLASQPSSRVNFRADVEPGWFGGLSDISIK >CDP13562 pep chromosome:AUK_PRJEB4211_v1:5:29056052:29062053:-1 gene:GSCOC_T00038552001 transcript:CDP13562 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIFAFYQNKLGRGHRDKVHQFMTITGTSEKAAYQALKASDWHLEGAFDAFYSQPQPKSYTDARRLEDLYNRYKDAYADMIMADGITLLCSDILVDHQDIVMLVLSWHMKAATMCEFSKQEFIGGLQSLGVDSIEKLREKIPFMRSELKDDQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKHWPLVDHWCQFLQARHNKAISRDTWSQLLEFAKTVDPQLSNYDVDGAWPYLIDEFVEYLIENGIIQKGQLSDWTQKH >CDP05777 pep chromosome:AUK_PRJEB4211_v1:5:27033847:27039716:1 gene:GSCOC_T00021060001 transcript:CDP05777 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHSESPPKVRGSPRRRSPSRRERSPPRHRSSRSTNSTVTEKTSNRNRSPRRDRSRSPESRSPVREKPSIRKNSPPRRRSISPSSASPIRERPSNRTRSPKRSNSKSPDSRSPSPRTKRLKRAQAEREAEKGSERENQRTHGKRGDRASNRERDSEKEVANERRERRSGRDGVDNVSSRSKRDHSVSPPNYRHRSRHRSRSPHAADKRARDEETNSRGSDHRNGENDSVAKMKATESALESKEKEKPSFELSGKLAAETNRYRGVTLLFTEPPDARKPDIRWRLYVFKGGEPLNEPLYVHRQSCYLFGRERRVADVPTDHPSCSKQHAVLQYRQVEKEKPDGTLSKIVKPYIMDLGSTNGTFINDDRIEAQKYYELFEKDTIKFGNSSREYVLLHENSTG >CDP14708 pep chromosome:AUK_PRJEB4211_v1:5:21165368:21166676:1 gene:GSCOC_T00042127001 transcript:CDP14708 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSEPQNLSSSAMESSDSSIDPFFHVLKILPYSFLRPPRLRLKLPSFTLPSAMTVYALILLTYFLVVSGLVYDVIVEPPGIGSTQDPRTGSVRPVVFLPGRVNGQYIIEGLSSGFMFVLGGTGIVLLDLALDKNRAKSVKVSFASAGVAFVVIAYVMSILFIRIKIPAYLR >CDP10759 pep chromosome:AUK_PRJEB4211_v1:5:17047215:17051456:1 gene:GSCOC_T00031585001 transcript:CDP10759 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGEAPQQKQEVCNFFKKPSKGKNIRKRPAVDEDNADEDSKDESSVIFNKKKPAAADNKLHFSTGSSKRSIEAETNADSKTPFFHFESSKEIQVHNDSRATATLETETEFSRDARAIRERVLKQAEEALQGKGTSDEKVYKGMHGYTDYKAGFRREHTVSGEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDACKFMHDRGDYKSGWQLEKEWDEAEKIRKRNLALGIDNADEDTAEKSDEDEDDALPFACFICRQPFVDPVVTKCKHYFCEHCALKHHARNKKCFVCNQPTMGIFNTAFEIRKRMSAEGK >CDP15888 pep chromosome:AUK_PRJEB4211_v1:5:22639859:22641778:-1 gene:GSCOC_T00016793001 transcript:CDP15888 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARNGDHTGELFQAQAHIWNHIFNFINSMSLKCAIQLGIPDIIHKHGQPMALAQLIDALPINNAKAPFVYRLMRILIHSGFFIKAKIPDNEGQEGYALTSASKLLLANDPFSVTPFLLAMLDPILTDPWHHFSQWFQNSEETPFHTCHGTSLWELAGRQPQLNQFFNEGMASDARLVSTMVIENCKDVFMGLNSLIDVGGGTGTVAKAIADAFPHLQCSVLDLPHVVDGWESSKNLAYVGGDMFEAIPPADAVLLKWILHDWSDEECVQILRKCKEAIPSMEKGGKVIIIDMLLKSQQNGDDDAEAIETQLFFDMLMMVHVKGRERNEKDWEKLFLEAGFNGYKITPVLGLRSIIEVYYY >CDP05792 pep chromosome:AUK_PRJEB4211_v1:5:26941837:26944271:1 gene:GSCOC_T00021077001 transcript:CDP05792 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAILETQKIMNQINDQNEQGALEDCLDLLDLSVDRVTDSMMALGGGTTRISYLNVQTWLSGALTNHVTCFDGLNISGRKLMGEVVQDLISRARASLAMLAAVHTAPNEEEMMQPLKGEAPSWLTLRDRKLLEKSAEDIKADAVVARDGTGDYKTIAEAVAAAPDKSKKRYVIYVKKGTYVENVEVTKKKKNLMIVGDGMDLTVVSGSLNVVDGSTTFKSATLAAVGDGLILQDICIQNTAGPEKHQAVALRVGADQSVINRCRIDAYQDTLYSHSLRQFYRDCYVTGTIDFIFGNAAVVLQNCTLVPRLPMKDQKNMVTAQGRIDPNQNTGTSIQNCEIIASQDLEPVKSSFPTYLGRPWKEYARVAVLESYIGDEIDPAGWSVWSGDFALKTLYFGEYMNRGPGAGTSKRVNWTGFHVITDPSEAMKFTVAGLIQGGEWLPSTGVAYTEGL >CDP13797 pep chromosome:AUK_PRJEB4211_v1:5:27510339:27513476:1 gene:GSCOC_T00038857001 transcript:CDP13797 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIKRVPTVVSNYQKEEAEEGAARQGGGCGRNCLRNCCLPGAKLPLYRFKRLNEVANEKVGLSCENKEPPVPFLESLLLGEWEGRMQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDETKFNFTKVGQEEILFQFEPGDEVQFLPSALIDTENSPSVVAINVSPIEYGHVLLIPQILNCLPQSIDHDSLLLALYMAAEARSPYFRLGYNSLGAFATINHLHFQAYYLAVPFPIEKAPSKKITTTKGGVQISELLKYPVRGLVFEGGNTLKDLSNVVADSCICLQETNIPYNVLISDSGKRIFLFPQCYAEKQALGEVSAELLDTQVNPAVWEISGHMVLKQKKDYEEASEENAWRLLAEVSLSEERFQEVKALIFEAISSSIEEIDSVNPSVLEKPDVTHQALEDVETLNKGSQRTMVSV >CDP11348 pep chromosome:AUK_PRJEB4211_v1:5:14673941:14678824:-1 gene:GSCOC_T00033551001 transcript:CDP11348 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRRLRRRRPGSRFGRRVRRMMGPMLLEVEPMVAARAILTAKGEQEQLEAEGCLSRLKKEFFEFISRNCIIIAV >CDP19215 pep chromosome:AUK_PRJEB4211_v1:5:1867320:1870310:1 gene:GSCOC_T00009655001 transcript:CDP19215 gene_biotype:protein_coding transcript_biotype:protein_coding MVEILISGKTTLAQLLFNNDKVKNHFELRNWICVSDPFDQKRIAKAILENAGKSSQESELDPLIQRIKETFSGKRFLLVLDDVWTEDDSKWEPFQNSLKDGAPGSVILVTTRSHRVAAVVGTTHTHQMALMSDSDCWLIMQRIAFANKSGDLCKKVERIGQKIAEKCKGLPLAAKTMGSLLRLKDTIQQWQNVLDSEIWQLEEELSPEQQLFPHLYLSYNELSPELKRCFSYCAVFPKDHEIYVMKLILLWIAQGYVRPRQRGERLELVGREYFNNLAMRSFFQELKKVGDEYMKCKMHDIVHDFAQFLTKNECHALDGFGRNSSSERARHLTILEEGTEEEMFSSRVVDFGRLRSFLTFFEIGRVVVPLDLFRSLKCVRTLVLSSCELAEIPAEIGRLIHLRHLDLSRNPFVTLPETVCDLYYLEILDINDCQMLSCLPERIEGLVHLRHLLNHETPELRQIPQGLGKLTSLCSLSRFIARSNSDDLTILKDLNQLELLHIEIEGEIDFRNAKFRKKVNMRGMDLLFRSGAHFIETPSYIESIEPPPNLEGLWLFNYPGAQLPSWLVTKSHANNLTRLIIYGPRNISSLPALWKLSSLEELELGEVEKLECLGKEFFGSSFSAEALAFPNLRKLHFHHFQNWTNWEDLSEDDEEVAISIMPRLEELEISQCTKLEALPHRILGKISSLKNLDIRYCNKLRDRYSDKTGDDWIKISHIPQVHISDY >CDP18723 pep chromosome:AUK_PRJEB4211_v1:5:23777291:23785205:-1 gene:GSCOC_T00002654001 transcript:CDP18723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MKFYISARGINRLTISEAGGGGGGGRGAMKGGKGSAAAGGGTRRIYRRRVLLPVVLALGLLLPFLFVRTAFVVLESASFCSSSLDCMGWRIFGGNDPALLREELTRALLETSSTDGQIGIREIEDPTLLPASFKDLVKDVTSNKQDIKTFAFKTKAMMAKMEQMVQSARRHEYINWHLASHGIPKSLQCLSLILAEEYAVNAMARSRLPSPEFVSRLTDPSFHHVVLLTDNVLAASVVISSAVNSSTHPEKFVFHVVTDKKTYTAMHAWFAMNSINSAVVEVKGLHQYDWSHKVNVAIKEMLEIHHLIWKNKYDSLKEEVFEYQQELEKNLEVLSPSFVSLLNHLRIYIPELFPDLDKIVFLDDDIVVQHDLSSLWELDLNGKVVGAVVDSWCGRDCCPRRKYNDFFNFTNPMISAKLDSDRCAWLYGMNIFDLQAWRKTNITATYHQWLKLNLNHGFTLWHPGALPPALLAFEGHMQRIDPSWHMAGLGHRVSQVNKYMLEAAAVIHFSGPAKPWLEIGAPEVRSFWYRHVNFSNGFIKRCGTTG >CDP14766 pep chromosome:AUK_PRJEB4211_v1:5:21730733:21734211:-1 gene:GSCOC_T00042217001 transcript:CDP14766 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHEGNIINGNGVFGRDMEISVLPLGTKNKYKRMDSQLTDGGDDDSHHQAELENRRKTTRKFVFACAVFASLNNVLLGYGKISVFSHVGVMSGAILFIHQDLNISEIQEEILVGILSIISIFGSLAGGRISDAVGRKWSMGLAAFIFQAGAGIMAVAPSFEILMIGRILAGIGIGFGVMIAPVYIAEISPTVARGSLTSFPEIFINIGILFGYVSNYAFSGLSAHINWRIMLAVGIFPSVLIAFALFVIPESPRWLVVQNRVEEAKSVLAKTNDNDAEVEQRLAEIQLAAGNDAQKYEDKPVWREFLSPSPALRKMLITAFGIQCYQQITGIDATVYYSPEIFKAAGIEGESKLLAATVAVGVTKTVFILVAIFLIDKAGRRPLLLASTVGMTTCLFTVAFSLSLLGHGSVGIALAVLSVCGNVAFFSVGMGPICWVLTSEIFPLRFRAQASALGSVGNRVCSGLVAMSFLSVSRAITVAGTFFLFGAISATAIVFVYMIVPETKGKSLEEIEQMFENGCQSQDSEVQLGDVERLVQKQ >CDP05755 pep chromosome:AUK_PRJEB4211_v1:5:27192139:27197276:-1 gene:GSCOC_T00021029001 transcript:CDP05755 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIVRRVIPSDNSCLFNAVGYVMDHDKNKASELRQVIAATVASDPTKYSEAFLGKPNEEYCAWILDPEKWGGAIELSILTEYYGREIAAYDIQTARCDLYGQGNYYNERVMLIYDGLHYDALAMSPSDGAPEEFDQTIFAVQKDQTIGPVEGLARNLVKEQQRKRIYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYR >CDP16378 pep chromosome:AUK_PRJEB4211_v1:5:3094376:3095937:-1 gene:GSCOC_T00018217001 transcript:CDP16378 gene_biotype:protein_coding transcript_biotype:protein_coding MVPILWLDQRIPIPIPRPDSRWVNTWTLNGSKLKNGKSPSAPAFSVAVAAAPSPCPSISAVTSKGCVLKLQNVVASISCDKAVWDAILNNRAVQDLRDSISAELCLNVYNSWLSTLLTAQCAAT >CDP16389 pep chromosome:AUK_PRJEB4211_v1:5:3412142:3412705:-1 gene:GSCOC_T00018235001 transcript:CDP16389 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSALTSIQISLLLLVAIFVCSEANHRDRDYCCGGKETKFTVYLQLFSGGPNATTVAVAGAPGRPRTPTEFGTIYVNDSPFTERISINSPTVGRSQGLYVASSRDGVYSFDPFSFIFTGGQYNGSTLEFQGLGYSLQNTTVTEFSVVSGTKIFRYVRGYATFLAVLEIPARNYTVTMANVTIRQC >CDP14712 pep chromosome:AUK_PRJEB4211_v1:5:21225273:21226193:1 gene:GSCOC_T00042134001 transcript:CDP14712 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSHSFKKYQDIKRQVIDDLEAGGPSGNDDSNLNKFFDDVENVKKDMEDVEKLYKRLQESNEESKTVHNAKTMKELRSRMDSDVCQVLKRVKIIKGKLEALDRSNQANRKVPGCGPGSSTDRTRTSVVSGLGKKLKDLMDDFQGLRAKMNAEYKETVGRRYFTVTGEKANDDLIENLISSGESETFLQKAIQEQGRGQILDTISEIQERHDSVKEIEKNLIELHQIFLDMAALVEAQGQQLNDIESHVAHASSFVRRGTEQLQDAREYQKNSRKCTCIAIGLGIVLILVVLFPLWSNFLLMNM >CDP14725 pep chromosome:AUK_PRJEB4211_v1:5:21352723:21353068:-1 gene:GSCOC_T00042153001 transcript:CDP14725 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRKWRRVAQDCTHSPCYYRLGAPVTGQNQGNSSIVTRKEEQFSQLLIPDVC >CDP06184 pep chromosome:AUK_PRJEB4211_v1:5:23907962:23909257:1 gene:GSCOC_T00021590001 transcript:CDP06184 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSGSSEVTVEEKGEENLSVISEPEATVRELAFSEYGQKQDGVSAVASEAETKTQEEAPFPISSTSVAESSGVRDSVSEENVVELSEPVAVVPGDATNGGGGRDSSQNPSSTDREPAAVLTPRTRTFWTSCCGLFDALRGSNQ >CDP14783 pep chromosome:AUK_PRJEB4211_v1:5:21926515:21930358:1 gene:GSCOC_T00042240001 transcript:CDP14783 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSAGRPSNSGSKPFNFVSDDILCGPYEDYGNQDGSNGTSHSDPAIGATSAKEFHKNRMARSSVFPAASYSPPEESSFNQDVIATVERTMKKYADNLMRFLEGISSRLSQLELYCYNLDKSIAEMRSELGGDHTEAETKLKSLEKHLQEVHRSVQILRDKQELAEAQKELAKLHLAQKESSSASNLPQKEERVSAPASDAKKSENSSDSHGQQLALALPHQVPQPQQQQPPSVAPPPPMPSQSVPQAQAYYLPPHQLPNVPAAASQPSQGQYLPPDSHYRAPQLQDVSRVAPQPAQSQVNQAPQVQTIPSYQPQWPQQLPQQVQPLPQQSVQPQIRPSSPPVYSSYLPNQANPPPPEALPNSMPMQVPFSGISQPGPVRAETVPYGYGGAARPVQPQPQPQHLKATYASPADGYAASGPHPTLSPGNTYVMYDEAGRPHHPAQQPHFPQSPYPPTTMPPQNLQPNTGSNLVVRPPQFVRNHPYGDLIEKVVSMGYRGDHVVSAIQRLEESGQPVDFNAVLDRLNGHSAGGPQRGWSG >CDP13782 pep chromosome:AUK_PRJEB4211_v1:5:27596453:27598967:-1 gene:GSCOC_T00038836001 transcript:CDP13782 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGQRLSRFYSRYGLGPAPSAPAFTGPVRKWKRKWVPSEPNKNNAVAGKRGHQHQEQDAPSLLLCRWTPLNSSGESSEPSKRKFRYTPVIAFEEKKKEAVERLDDDAARIEKNQSRADVIMTIDMPFEKPHFNDNFVEEMKEPGEDHAYFENLDLQL >CDP13708 pep chromosome:AUK_PRJEB4211_v1:5:28087891:28093712:1 gene:GSCOC_T00038742001 transcript:CDP13708 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCLGSATSRDFFLFPQNGVKIRAFSKMGRISKDFPEQLKGTPKSRRAADTESPGDKRERSIHTILTIDRWESLNRMEYRMASLRPVHGRLSLKILNWVIKQPGLELSHIIHMYCITAHILIRAKMYDSAKSILMHLSEMRFGSSYVFDALMNTYRLCKSNPSVFDILIRVYVRRGMVEDALEIFSLMGCRKFRPSADSCNMILAAMMKGRRADSVWSFFKEMLANNVCPNVGTFNILLHALCLEGKLKNAGYLLNKMEESGYAPNIVTYNTLLNWYCKNGRYKSAFGLMDRMSSKGVEADVCTYNMFMNELCRNNRSAKGYLLLRMMRKRRVFPNQVTYNTLISGFVREAKIGVATQLYEEMLSFHLLPNHITYNALINGLSLEGNFEEASELLNKMEEGGLQPNEVSYGAVVNGLCKHNKVDLARNLFKRMRIKGMVISPNSYTMLIDGLCKNGMLEESIQVLGAMFQDGVNPDLITYSVLVNGFCRAGRMNSSKEIICKMYRSGLVVNYTVYCTLLYHFCQQGNIAEAMRTYALMHKSGHVPDLFVCNLLVSSLCRCGKIGEAEDFMHHMHRIGITPNSFTFNSVIGGCRNKGDGLKAFSLFDDMIQLGNHPSSYTYASLLKSLCTAGNLLIGVKFFDQLRHIPYAVNAAAYNILLAETCKSGKFQMTLSLLDEMVSNNVLPDDCTYTSLFDGLCRKGRMVTAIILFGRALERGTFFPNQVLYTCIINGLFKSGHPKIATYFYDEMLKNGLNVDTVAFNAMLDGYSRIGQLAKAKSLFSMMSGRNLCSNLATYNILLHGHSKQQRISECFSLYATMVRKDLLPDKITYHSIILGLCESGMVDIGVKFLKKMILEGTMADTMMFNMLITKYSERDKMEKVFDLLNIMRSIGVSEDGDTYSSILMGLGRASNFQASRIVLHGMSGKGFIPTERQYCSVITGLCRVGDIRGAFRLKDEMETLGLSSQNVAESAMVRGLVRRGKTEEAMFFLDCMLRGQLVPTVATFTTLMHQFCNESKFSEALELKTIMELHGRKPDAVTYNVLISGLCVSGDKLQAFDLYKEMKQRDLCPTVTTFRVLLHAVSSENDSVKGKTLLVDLQERGLISQDLDAQLWCKTSVVAMEKLDFLIKRKSSCSHEKEYSHWEYSSFGTSASL >CDP13590 pep chromosome:AUK_PRJEB4211_v1:5:28868602:28868952:1 gene:GSCOC_T00038591001 transcript:CDP13590 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPVYGCVSAISSLQNQVDLLQSQLALAQAQVLQMRISSTQSSSSLISMSQDQYYNSTTVPTDHNSAPPDHHHQNHSPTASRDHRHLEPTSSHFNTDTPPMMLDEGNIGDSLWSY >CDP13775 pep chromosome:AUK_PRJEB4211_v1:5:27647854:27652339:-1 gene:GSCOC_T00038828001 transcript:CDP13775 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSVDSAGLLVVLWLMLSSWAYVGSSEGYISYEISDKGLDFVKDLLIEKAETSLVPLELPNIEKTVKIPVIGTVSMEVSNVTLYRVHVTSSTVKTGDTGILVDVAGATANLTMHWGYSYSTWLLPISISDQGEAEVQIEGMEIGLLLDLKNQQGSLKLSLVDCGCYVKDLSIDLAGGASWLYQGLVDAFEDKIASAVEDAVLKKLIGAIQELDSFLQSLPKEVTVNNISALNVTIVGDPKLSNSSLELEINGLFSLKDEAAVSKLQRENVEVPFSCNGPARMVGISVHENVLESASAVYFEANKMHWIVDNIPDQNLMNTAEWRFIIPQLYKQYPNDDLNLNISVHSNPILKIGEKQIDATIPLKVIIDVLDDGQVVPVACISVVISAAAYPEVSCNALAVSVKLNEFTMSQDWSKIGNLHMYLVQPVISTLLRTVVLPYINLKLSKGFPIPIFHGFELQNTELLCTDSRIIIGSDVAFIETAQPHTVFV >CDP13617 pep chromosome:AUK_PRJEB4211_v1:5:28676303:28682330:-1 gene:GSCOC_T00038623001 transcript:CDP13617 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKLTPRPLRVRSEYEAKVNGALSADSDPRFLDRQKALETAMNDINNSFGKGSVTRLGGAGGALVETFPSGCLTLDIALGGGLPKGRIVEIYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHVFDPAYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAVDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRPIGKIKSVKGDEEIGLKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAEIMDIVLKKGSWYSYADQRLGQGRDRALQYLKENPLLSEEVEKMVRSAMAEGVGHVGSSYMKLTPPQHEDEDIFHEMQREG >CDP11361 pep chromosome:AUK_PRJEB4211_v1:5:15100503:15100995:1 gene:GSCOC_T00033576001 transcript:CDP11361 gene_biotype:protein_coding transcript_biotype:protein_coding MLEILIDFFLKFRSFYYVHLVDWIKDTILNFPLSTYENEVNEMEGQSKEEYIGSLRRYVYKRNWQ >CDP05943 pep chromosome:AUK_PRJEB4211_v1:5:25809352:25816467:-1 gene:GSCOC_T00021267001 transcript:CDP05943 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAALIEASGSRFSDLELIGRGSFGDVYKGFDKDLNKEVAIKVIDLEESEDEIEDIQKEIAVLSQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLIQPNQPLDEMSIACILRDLLHAVEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKARL >CDP13583 pep chromosome:AUK_PRJEB4211_v1:5:28932797:28936433:1 gene:GSCOC_T00038582001 transcript:CDP13583 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLGDDKTAKDYNIEGGSVLHLVLALRGGGC >CDP11898 pep chromosome:AUK_PRJEB4211_v1:5:20629091:20631697:-1 gene:GSCOC_T00035184001 transcript:CDP11898 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCTKKRRRFTFSLSFLVIFPALFSTFDVCSAGLLSQPAQPLKPGEYSSSNTVPAFPVQTQAQTCRLDLSDELFGGVSAACGRDLDRSRCCPVLAAWLFAAHARSALQVTSAAPPTSSDMPMMPDDSQKCVNSLQSSLLSRNIHIPQPNGSCDPVLCFCGIRLHQITSLSCPAAFNLTGSRNATPTAAVKNLEKNCHNSSYAGCTKCLGALQKLNGEVKNGTQKMDVEDGDRASKMLSRDCQLMGLTWLLARNKTAYIPTVSAVLRAVMYSAHPPHDAKCSPDQENMPLAVNSLQFEQSEASSSPSISTTSRSINILLFPILPLIITGSLFV >CDP10805 pep chromosome:AUK_PRJEB4211_v1:5:18329879:18334181:1 gene:GSCOC_T00031682001 transcript:CDP10805 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCVTCISSILDDLQALENDCPEFPNGQQKYLKRMLRYLRTFLLCARKYSNDDVQLPFDNKMNQADNHYASLEALAVRIGDAIPKWAKEIPSSDQPWDAVHDLEKDIKSFEQEICEWYAFFLGSSSQQSTNSVVRQDDLMEFMDSLLENLVNYWSWMWPAHEVGLIKALEEKLAFMKNFIRFIKLYGVENTELGPLLVHTEAVAINAARLSYKCQFKKGFGPPKDVEESISELLQKIVPVEPQVLETCIQALTASKLSRQSYGDAEEHLLRDFFNSLSCNLWETLKHGTCPVILQQLQMFYEGLNSLRTILVDKPKMFDEKVRDPTRVVNCYGGDFISPLSLNAIKDAIQAKDMDLVCSELLGIIKLIDAKITEKCPESSSFNFPKTNGLGFVDSLLEKMMDVTSSEAGSIALIDHPIQKVLEELDCLRSLLRKIVELHNEDEDVQAIWNRIVGVAYRIEFLIDSLITGNILDSSSMSIHSILEEMNIIKAAALKICDSERLGGKVKEVTKRFNHMPQEGSKPIVNDVVVGFEDETASIINQLRNGSRQVRIVSIVGMPGCGKTTLARKVYNDSSVKSHFYERAWCTVSQIYQKRNLLLQILTCIESKLPEDVFKMGEEDLALQVKRHLLKNRYLIVLDDVWDIDAWNGLEASFPDDGNGSRVILTSRLRGVAPQDKLDHEPYSLPQLTPNETWDLLKGKLYPGQDLAPPELCEIRQQVVEMCQGLPLTVVILAGILSRMDRYGWKEAVEGLSSRNVSSTEQCTATLELSYKHLPDTLKACFLYFGGFPEDHEHNTKRLISLWVAEGFVQKNQPKRLEDVANDYLMELIGRSLVTVSKPRSIDGVKACRIHDLLYEFCVTKAKEENFSRLVRRDDKLSDINVPCYLRRLCIDSNPEHFDKLGLFAPAIRRLLFLSSGMGNEVYFDFRFIFHIIKLVTVLDLSQIGLDPFPRELELLVHLRYLAILGQGKLDLPPSICNLPNLETLIWRNSSFHCSVSLPDTIWNLKKLRHLELISEGHKYYCFFFPSDNLDNSSQLRDLDFLACLSLDPEENISKLLRKFPNIRKLRCSVNLKPDVEHHVAMDCLSQLESLSLSRVLYGYQQFHIDFQFPLSIKRLTLTYFGMPWRKMAAIGNLPNLEVLKLLEEAFEGEIWEMEAEKFPNVRFLKLASLNIVKWTASSEYEYEDQDYFPRLQKLVLESCDALQEIPSCLGNSSTLEIIEVSKCPNCTSSLEEIQEEQRSNGYTDLKILIS >CDP05974 pep chromosome:AUK_PRJEB4211_v1:5:25606254:25613322:-1 gene:GSCOC_T00021308001 transcript:CDP05974 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATDDSIDIGKLYGYDHRLSEAKDKSQHKEDYECILAAANGSVKARQLAAMLIPKFFKYFPQISEQALDQYLYLCEDDELGVRVQAIRGLPLFCKDTPEHLSKIVDILAQLLVTGEIVERDAVNKALMSLLRQDTRTSLTALFKHVGSTEERGAEEPSTWENVREKVLGFIKEKVFPLKAELLKPQEEMERHIVNLVKQNLQDVTADEFKMFVDFLKSLSLFGQNASAEHVQELVEIIEGQADLDAIFDGSDVDHIERFISCLRTALPLFVRGASSSKFINYLSKQIVPIFDKLPEKWTLDLLKTLAECSLYATPQDSRQFLPSVVQLLKKYMVRRKIEEMNFTYIECLLYAFHHLAHKTPNATNSLCGYKIVTGQPSDRLGEDFSEQFKDFTERLSTIEDLTRSMIKKLTQGMDDQNKAMKAAKTEEEKDDIKTQKQSATSGLKSCNNILAMAQALHSKSPSFIGDQKINLSWKELAKPSVLPTAAAAGQKRTAAAVNGVNTSAMKKGRGAGGFQNQLLNKAFEGLSSGGRGGRNRGWQGRGRGRGLR >CDP13556 pep chromosome:AUK_PRJEB4211_v1:5:29090923:29097977:-1 gene:GSCOC_T00038545001 transcript:CDP13556 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAPKMANGSNELASRSRLTNAGGPVLASFLLTCIRKFIASKGSFFTSTNFELVLAKRKAAKKEGFHPVMNSNNTPSSSAGGPGSAAADSTAPPTTRNSKRPKYSRFTQQELPACKPILTPKWVISAFMLVTVIFIPIGVASLFASHDVVEIIDRYETDCIPEDSRKDKIAYIQSSGDKTCNRILNVTKHMKHPIYVYYQLDNYYQNHRRYVKSRSDQQLRDRDSENDTSACKPEDKTANGSTIVPCGLIAWSLFNDSYSFSRNNQNLSVNKHGISWKSDRDHKFGKDVFPKNFQSGGLIGGKHLNESIPLSEQEDLIVWMRTAALPTFRKLYGKIEVDLVAGDVINVTLQNNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLAMVFTIIYLVKPRRLGDPSYLSWNRNPGGH >CDP13801 pep chromosome:AUK_PRJEB4211_v1:5:27485806:27488450:-1 gene:GSCOC_T00038861001 transcript:CDP13801 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESKRLVCSPTFLISLLIFHALAYSAIFCQIDEWKAKFSSSDSNLDAKLTNSNNHLGTGRKVAVKDVVPKKDPLKIMLRRLLTGEQRTRFEETGFAHLSELNSDIYISSKEVRFDTNASRIYIYSDQELHKYTAQPYPLKNDEVAMKMVKPVEILHGNVRPPPCGFKHKVPAVVFSSGGFAGNIFHEFNDIIIPVFITSRHFRSKVKFMITDYQLWVLNKFRRVLTKLSHFEFINAAETSKGAIHCFPGAIVGLKYHDNLAINTSDTPGAYSMVEFRDLLRKSFNLKPRDVSQMPGTEKPQLLIVSRTRTRTIVNQGAVVRMMEELGFRVFIPTNDLMSNLDEFSRVIGSSSVMVGVHGAGLTNEIFLPNGAVMIQVLPLELDWVGNAYYGGPATGMGLHYLEYKIEPQESTLYDLYGPDHPVLKDPESIKAQGYRKSRVYYLDQQNVTINLGRFRNTLLEAMRLIGRSTASPPN >CDP20213 pep chromosome:AUK_PRJEB4211_v1:5:20804540:20806497:-1 gene:GSCOC_T00009863001 transcript:CDP20213 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVCNQTMFQFLLCFRWELLMLTMLSCHSTSVVAMLETVFSSHYPNGCLQLGMKTLMFSTLTDQAPGIVSTSRQVLTFEGRTAVQIYSDYMKSFKEAMSEILESGSISDIEVGLGPAGEMRYRSYPETQGWAFPGIGEFQCYDKYLKADFKAAAIKAGHPEWDLPDNAGTYNDTPGDTEFFGTNGTYLTEKGIFFLTWYSNKLIEHGDQILEEANKVFAGTKTRLAAKVSGIHWRYKDQSHAAEITTGYYNLDNRDGYRPLARMLCRHHATLDFTCLEMRDSEQPELLKVVLKNSFNRF >CDP16476 pep chromosome:AUK_PRJEB4211_v1:5:7603155:7603888:1 gene:GSCOC_T00018415001 transcript:CDP16476 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKRSREEALAAETVEETAAINLLMLLSRVGEATTSAAADQAATPSGRVFECKTCNKKFPTFQALGGHRASHKKLKLMAELLTQPSNSPRKPKKHECPFCGLEFPLGQALGGHMRRHRAAILEGRREIDESRGRKVAVPVLKRSNSSKRVFGLDLNLSPFENDLRQLMKDPLR >CDP05745 pep chromosome:AUK_PRJEB4211_v1:5:27261055:27263531:-1 gene:GSCOC_T00021017001 transcript:CDP05745 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVSRLLSHSPLSDEDDDIYDPPFSATSKTLLKPRAGRQHKKKNEQKKARGGKKGSSNVLDSRNALNFPVKSDLPFDFKYSYSETNPDVKPIGFREPPRFSPFGPGRLDRKWTGTSAPAEESVDSEKLAEQRNKVLGEPLMEEEIAELVEKYRHSDCSRQINLGKGGVTHNMLEDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGMIIYRQSNVLLLYRGRNYDPKNRPVIPLMLWKPYAPIYPKLVKPVADGLTFDEAKEMRNKGLNSDPIMKLTRNGVYVNVVDRVRDAFKTKEVVRLDCAHVGTSDCKRIGVKLRDLVPCTPILFKDEQIILWRGRNDQIYSSSAVELAT >CDP17854 pep chromosome:AUK_PRJEB4211_v1:5:1432738:1433458:1 gene:GSCOC_T00013170001 transcript:CDP17854 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDFGFTAQQWLRTYTFSLLFNILFVEVLTFLKPLGDNKAVILEDDEQNKDRRQVASHPGGLWSIQLQLFAYATQR >CDP14790 pep chromosome:AUK_PRJEB4211_v1:5:21995217:22000587:1 gene:GSCOC_T00042249001 transcript:CDP14790 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSFMSRMLAGNGLLYPILGFASCIAFIYLSFGDLWINFNTETKLSFVERNGTHFFLDGRVFYINGWNSYWMMDHAVDEHKRPRVKAMLQVGAKMGFTVCRTWAFNDGDYNALQISPGRFDAKVFRALDHVIAEARRQGIRLILCLVNNLKAYGGKTQYVKWAWDEGVGISSSNDSFFYDPSIRHYFKNYAKTVLTRKNTITGIEYRDDPTILAWELINEPRCITDPSGDTLQDWIEEMSTYVKSIDGNHLITVGLEGFYGPKSPKRLTVNPEFWAADLGSDFIRNSKISTVDFASVHIYPDHWFHDQAFEEKLKFVSKWMLSHIEDGDGELKKPVLFTEFGLSDENKDFTPSQRDKFMKLILDIIYKSAKRDKAGAGSFVWQFFVGGMEKYYDDFAIVPWERPSTYQLFTRQSCKLAALQGVLPSQKQRLKDFCSHKQ >CDP05697 pep chromosome:AUK_PRJEB4211_v1:5:11460336:11460548:1 gene:GSCOC_T00020910001 transcript:CDP05697 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADTTKKLETKAQAAKVAKFVKSGTTFKKKAKKIRTKVTFHRPNTFKIKIKISPKENQNQPQTNAPN >CDP13646 pep chromosome:AUK_PRJEB4211_v1:5:28476960:28479590:1 gene:GSCOC_T00038662001 transcript:CDP13646 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIHRYQFCAILVFFLSLLASNSASTFLSEGIFGHHIAQGRNLLQATTACPVNFEFQNYTIITSQCKGPQYPPNLCCKALKDFACPFAEQVNDLSNDCATTMFSYINLNGKYPPGLFASECREGKEGLECPALAPSLSANASGSQMTGKPAKALILGTTFIVLLLQVF >CDP11817 pep chromosome:AUK_PRJEB4211_v1:5:19536881:19539214:-1 gene:GSCOC_T00035070001 transcript:CDP11817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U1-1 [Source:Projected from Arabidopsis thaliana (AT3G21870) UniProtKB/Swiss-Prot;Acc:Q9LJ45] MLSGSSANSHQILHHHNHISRPVPEPSQDEPTLPRVLTILSHVLEKLVARNDQLVQLGSQNQSQQKWINETASYQLAGKSLKGFDGVRAPSISIGKYMERIYKYTNCSPSCFVVGYVYIDRLVHKYPDSLVVSLNVHRLLVTCVMVASKMLDDVHYNNAIYARVGGVTNAELNRLELELLFLLDFGVTISSQVFEIYCQHLEKEMLRNEAAIDYYYNNMARPAITNSTPDATEISIEDHAQSSSSHE >CDP05803 pep chromosome:AUK_PRJEB4211_v1:5:26863931:26870901:-1 gene:GSCOC_T00021092001 transcript:CDP05803 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDGQKPGGGNSGGGGSSGGGFIPTSFKALSSYLRIVSSGASTVASTVRSAASAASAIVERDSDSFHDQVHWAAFDNLQCDGHITRQVLLLGFRNGFQVWDVEDVSNVHNLVSRQDGPVSFMQILPKLLASKQDRDKFANSRPLLLICADGCFPGGSDFQECSSRSGTIHYGHELPNCSGVPTVVCFYSLRSHSYVHQLKFRSVVHLVRCSSRIIAVLQASQIHCFDAATLETDYNILTNPIPLGCGSGCIGFGPLALGPRWMAYSGSPVGNSNSGHVSPQQLTPPRLPSPASNGSLVAHYAKESSKQLAAGLMTLGDMGYKKLSRYYSELLPDGNNSQSGSPRLSGHGVANSQLHDVENDGMVVVRDIVEKTLITQFRAHKSPISSLCFDPTGTLLVTASVHGHNINVFRIIPRHTSGAGSGASYVHLYRLQRGFTNAVIQDICFSRDSEWILISSSRGTSHLFAISPFLGSSGIQSADVCLSSKGSGLGIMMRSPACSTPHSGAQVYDQQCFRTSDPPVTLSVVSRIRSGNNGWRNTVTGAAAAASGRMNSPAGAIAAAFHYYKGSDSYLDTSFLKTNCHLLVFSSPGCLIQYAMRVSSGLDSLTTMHELGMGRDPCLENDAKLVVEAMRKWNICHKQNCKERDENVDIYGENGYYDSSKVFPEGIRKKGVYSEARNTVSKDKMASEEKYHLYLSEAELQMHQHRVPLWMKAEIYFQTMATDGINTSEESAFEGEIEIERIPTRMIEVSSKELVPVFEYLQAPKLQPGRQVLNRSKDRQLLYQRSGMSENRKLAYMGSSGSLDSMSGGLAVTELHNGAEETGWVGLQVPTNTTKGFVNTADYSKANGRLEIVNNRESSVTETQFSFVNNSIGVLTSENQFEGSGDEVL >CDP15883 pep chromosome:AUK_PRJEB4211_v1:5:22543228:22548085:1 gene:GSCOC_T00016788001 transcript:CDP15883 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNKYSSINFNDIYEKKVGNNSSSSSSFPSPSSSLSSSASSLTSPNKTIISNSRIHGHMLVLSKPATPKPKPIVVPQNPAPKTPALTKPDKVPDQTGSEPDNISLRPQGRTGSGSPVTLSPLPSPGKPASPLTAPLLKSDRFVPPHLRPGFAGREAKLPGPEAVKGGLGSGRGKGQFGAPNHYGNEFHDPTRFKWGSPEFQWVKNSVKLRSSFLGQG >CDP18743 pep chromosome:AUK_PRJEB4211_v1:5:23615021:23623631:1 gene:GSCOC_T00002677001 transcript:CDP18743 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSVHKFADCVTCHAWSPDQSMIALCPNNDEVHIYRRSEEEKWERIHVLQKHDQIVSGIDWSSRSNKIVTVSHDRNSYVWNQETTEWVPTLVILRLNRAALCVQWSPKENKFAVGSGAKTVCVCYYEQENNWWVSKLIRKKHDSSVTSVAWHPNNIFLATTCTDGKCRVFSTFIKGVDTREAGSGPPDSKFGEQIVQLDLSFCWAFGVKWSPSGNTLAYVGHNSMIYFVDDVGPSPLAQSVAFRDLPLRDVLYLSERVVIGVGFDCNPMVFVADESGLWGFLRFLGERKAAASSAKSGSQFSEAFGKLYGQSRYGISNNSVQPSRLHGGIHENCINCIVPLNRKVGSKVTRFSTSGLDGRVVIWDLQNQEDLLDYL >CDP16057 pep chromosome:AUK_PRJEB4211_v1:5:480104:483582:-1 gene:GSCOC_T00017061001 transcript:CDP16057 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTILSIDGGGVRGIIPATILAFLEDELKNLDGPEARIADYFDIIAGTSTGGLISAMLTTPDGDDPEKRKPLFTAQEVVDFYLKESQHIFPKEQEAPTKLAAKPLNDEDMSGNISIITLLFQWFCFAVEKLGLPTFLLNYLTRFEKAFLLPKYDGKYLRSKIMGLMGVKQLTDTITNVVIPAYDVLRFQPFVFSSRQAKRDESWNFKLSDACISTSAAPTYLPPHPFTNGLNKFCMVDGGLAANNPTLLAIRDAVVNQKKRVLSPDGSLDFSKFIVLSLGTGASGDKSVDVMDPDDWGILAWLGAPSFSSTPIIDALRSANDEMIDVYMSLVIKALGITPIKNYLRIQYPGLDKNDMAFDESDPKHLQHLKELGKELLNKNVTTMNIDKEEYEPVDGQPMTNAEALKDLAARLSAERKSCQGNAVANLWIKNDDDDDDDDYYP >CDP05983 pep chromosome:AUK_PRJEB4211_v1:5:25520765:25523754:1 gene:GSCOC_T00021320001 transcript:CDP05983 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIFDDATDQISQLPELILQHILSFLEVKEAIRMSVVSKTWNNAVAMLHSLNYGDGSLFPVAKRNENEEVVFDKIRELLNGVESMIRKRQEQNVGVQKFCLRLSHSYKLLDLTPYITRLTKELSACNIKELVFKVGMYRGKPCYTLPKDVYTWCSLHKLELGGFKLKLPSRGGIISLSSLRELRLYKTDMDHRVFQLLIMSCPELEFLSVDYCFGLDRLEIFGLLKLKEIRMRNCLQNFDKIQIQAPNLECLNHHGLGTLSLVDVNSCKSLKVLVLYSLTCDNKWVEDLLCNLPLLEVFRLIGCEGLEMFKISSNHLKVLELDSCKNLVKTEVHAPLLSEFHYCGSLSVSLSVEAVAKELVSLDIEQRTDEVLLNNELVEFLQNFNHSKVIRLITGELHKLSLPKDLHPPLYDVKHLTVNSDGVDKSKILDLLDSLLWMAPCLDSLDLNLACDEKTVKFTRESPLIVEGVPCCPSVPFKCWHHTLKKVEFDNFENVEDHWKLRNYFVENAKILESIDGLIQCDRA >CDP18954 pep chromosome:AUK_PRJEB4211_v1:5:3998572:3999495:-1 gene:GSCOC_T00010969001 transcript:CDP18954 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVLACLDVREWTGRNRRSEGKGGTVAVVSNFGEDRNFNFSPQFNEALVFLPILVEYFYFPSFLYRSSLVVPILPRFLCRKLQKREIDPDFSINDDVSDVTVKAGSTETIEIPAPKAGSTLVWVLIVIGWEVNYKEEFVLMCN >CDP15728 pep chromosome:AUK_PRJEB4211_v1:5:5125150:5127421:1 gene:GSCOC_T00015751001 transcript:CDP15728 gene_biotype:protein_coding transcript_biotype:protein_coding MDILSTILVALLLLSLLSSRSLFKRKRAKDIIQNVRNTAPEPPGALPFIGHLHHLGGQLPLARILGSMADKHGPIFSLRLGSRPAIVVSSWEMVKDCFTTNDKTFASRPNMAVAKYMGNNNAIFSLAPYGPYWRDVRKMVTLELLTNQRLEKLKHVRASEFNKWIRDLYSLCSKNDRPDVDVPTKVVLNEWFELLTFNLILRMLVGRPFSTSSQGNDNSEDRRLKEAIKKALYLSGVFVFSDVIPWIEWLDIGGHIKSMKKAGKELDEVLGQWLQEHIQKAKQSHPESEAVHDFMDVMLSTIPEIGEISGHKRDAIIKSTTATLILTGSESTAETLIWALSLLLNHPNILKIAQNELDVQVGKQRWIEEADIKNLSFLQAIVKETFRLYPPGPLSGPREATEDCYLGNFFVPKGARLIVNLWKLHRDPRIWSDPLEFKPERFMNSHENISLKGQSFEYIPFSSGRRMCPAVNYGMNVVQLTLARMLQAFDITTPMGMPVDMGEGLGVALPKLKPLEVLLTPRLPVELYQKL >CDP11364 pep chromosome:AUK_PRJEB4211_v1:5:15214349:15225294:1 gene:GSCOC_T00033579001 transcript:CDP11364 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLHSFTGAPPPSSTKGKGRRPPRAVFPIAVPLIGVAVVLFIMALVFLKRRLRKSYVAMALETIADIFTAKSLQYSLTEIQIATNNFSVDNKIGEGGFGRVYKGVLGNGQEVAVKRLSRSSVQGAEEFKNEIVVVAKLQHRNLVRLLGFCLEGEEKILIYEFVPNKSLDYFLFDPENKRSLNWSRRYNIIGGIAKGLLYLHEDSRLRIVHRDLKASNILLDGNMSPKIADFGMAKICGVDQYEGNTNRIAGTVGYMAPEYMRRGQFSIKSDVFSFGVVILEIVTGKKNSSFHMMDRKVLYYFNKVWKHWRRGEPLALLDSSIGDSFAKNEVIQCVQLGLLCVEEYVSKRPTVASVVNMLNSSSVTLPTPHRPAVFRSHGSESIVEELEVEQSNTERISIPSSVNEASITEPYPR >CDP18750 pep chromosome:AUK_PRJEB4211_v1:5:23551819:23554341:-1 gene:GSCOC_T00002685001 transcript:CDP18750 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKCWNFIIIISILLSLQLGDGQPFDYPTANLSTTWINSVSANHSVDFTDGSKVVWSANRNHPVKINATLQLTSGGDLVLRDADGTSVWSTNTRGRSVAGLNLTEEGNLVLFDRNNKVVWQSFDHPTDALVPGQKLVSGQKLTASASITNSTEGGLFSMFVNNEGLFAAVKANPPQIYYQQLVVGTKANKKASYAKFQNGSLALYIHSSEPRDPDSVITLPQISSAQYMKLGSDGHLRLYEWADGWKEVFDILTGYLGDCNYPTVCGKNGICSNGQCSCPTSVNASMNYFKPINGRQPNLGCSEITPLDCNASRYHNFLELEDMTYFTFNADITSTNMDSCKQACLGNCSCKAAIFRYGSNSSNGDCYLPAQIFSLMNNEQDKTHYNSSVFMKVQITPNASAPAKTSPKDHD >CDP16059 pep chromosome:AUK_PRJEB4211_v1:5:546649:547560:-1 gene:GSCOC_T00017064001 transcript:CDP16059 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRPLFLAIIPLLMISSLIRSSECQGLGFYWASPEDGNLADICNDHTNGSYEYVAIACLNFTGGISVPPYCTTLGPDIDICQGLGIKVFLSLVGSPDLSPDIAQIVADGICDEFLNDSSGPGPLGATVNGTDFHFRSGSNNSLDILAQALRDNCIPDDKKVYLSAAPLCQIPDYHLDAAINTGVFDYVWVQFFGDPSCEFSPGNPFNLIASWLGWYSYLGKYNTKLFLGLTGDSAAEGFIPCDPELRAILYFLRLCPKFGGIMMLEGKYYCPPFSAKIRSYVNSGALAYGRKSMNKFQAI >CDP10817 pep chromosome:AUK_PRJEB4211_v1:5:18665733:18675548:1 gene:GSCOC_T00031703001 transcript:CDP10817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G05710) UniProtKB/Swiss-Prot;Acc:Q9SIB9] MYKTITSSQLLKKAASSTNSSRNFTSSSRLPRTTAAGSIVEGAATRVNSAKSFNGNSVNNPRLLSYSSALRSVRSSVPRWSHGVDWKSPSSLCSQIRTTSPACNGFYRKMATMASENPFKGTLTSLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDGFQVKKEDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMDKLGSDPNKINPLVPVDLVIDHSVQVDVTRSENAVQENMEKEFQRNKERFAFLKWGSKAFRNMLVVPPGSGIVHQVNLEYLGRVVFNNDGTLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPLSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGAGVGEISLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEGYLRANNMFVDYNEPQQERAYSSYLSLDLADVEPCISGPKRPHDRVPLKDMKADWHACLDNKVGFKGFAIPKEEQGKAAKFSFHGEPAELRHGSVVIAAITSCTNTSNPSVMLGAGLVAKKASELGLQVKPWVKTSLAPGSGVVTKYLLQSGLQKYLNQQGFHIVGYGCTTCIGNSGELDDTVASAISENDIVAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFDKEPIGVGKDGKDVYFRDIWPSTEEIAEAVQSSVLPEMFKSTYEAITEGNPMWNQLSVPTSTMYSWDTKSTYIHEPPYFKNITMDPPGSHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKLCSKDGPKTIHIPTGEKLYVFDAAMRYKADGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADTLGLTGHERYTIDLPSKIDEIRPGQDVTVTTDTGKSFTCTARFDTEVELAYFNHGGILPYVVRQLTKQ >CDP13622 pep chromosome:AUK_PRJEB4211_v1:5:28650822:28658333:-1 gene:GSCOC_T00038628001 transcript:CDP13622 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIQENLLLCCENNSRLLPRQDWDIYREALANGLLCVCKAGETDVAFVDSMEGLWSQGISLYFIGLGSAALLVIMWSCFLSLTSLSCLVYVLLSMGAAGIAVQYLGYTPGLFIVGLFAILILWMYANFWITGTLFIVGGYLFSLNHARLVVLMAMIYAMYCVKVRVGWFGVFLSINLAFLSNDVLNYVLQCCDNLSESVPIEEQTKSESFTEDGFSTECEYSVPADEPEKVQSCKSSSKPAVASSLVNKQKESTAKQVIREDENSIIEMKRILGSNNHYEALEFPRNKKVDSILLRKEYRKKAMLVHPDKNMGSTLASESFKKLQCAYEVLSDAVKKRDYDEQLKKEESKSVIQRSSSTSRQQDSSDYCLEESRRIQCTKCGNSHIWVCTNRTKAKARWCQDCCQYHQAKDGDGWVEYKGSLIFDQPRKVEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLDKSSQRSNSSRYPWDLDAEVMDEDEDFELWLQQALASGLFCETSKRRKSWSPFKLHHRKKSWRRSS >CDP16386 pep chromosome:AUK_PRJEB4211_v1:5:3284067:3307447:1 gene:GSCOC_T00018230001 transcript:CDP16386 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIHYLFLINFSLASLAMMAAGNSNVTTDQLALLALRDRMINSEPREILAKNWSITSSVCDWIGVTCGSRHRRVTALNISNMNLTGTLPPQLGNLSFLVSLNISGNNFRGELPRELVHLRRLRSLDFGINNLGGELPSWFVHLRRLRYLNFGINNLGGELPSWFGSLYKLQYLSLRNNSFTGSIPPSISNMSNLETLWLSWNSIEGTVPTEFQNLHYLKNLIIESNQLSGPLPFHAFNLSSMESVSFLNNSLSGIFPDDICHRLQKLTWLSLAENNLIGRIPSTVSQCSLLRYLALFGNHFTGSIPNGIGNLTMLEDLYLQMNNLTGKMYYDIFKILKVLNDIIRNLKGVISINVVIIYFQYKSSQSHKSRNFAGAIPNEIGNLTMLRILSFANNKLTGAIPIEIGDLAMLTELDFDNNKLTGGIPEKIGNLHKLEELHLHNNTLSGSIPATIFNISSLKTIQLCQNKFSGTIPLTMSNKLSNLQYFNIYNNFLSGVIPSFISNASRLVTLSLDNNELTGSIPDSLGSLRNLEYLNLAFNKLSSEPSSPELSIFTFLMTWRFLRYLGVDQNPLNGFLPASFSNYSTLEHLNAFSCKIKGNIPVGISNLSSLLVLDFSDNELIGSVPRTMHSLANLQMFYLDSNQVRDVLDIFCGLHSLGLLDLSQNQFFGSIPECLGNRTNLRQIFLDSNRLTSVIPAKLLSMKNLEILNLSSNFISGSLPLEIGNLKATYSLDLSANQLSGIIPTTIGELQALQNLSLAKNNLQGSIPESFSLMVSLEFLDLSHNNLSGVIPKSMEALKSLKECNVSFNRLSGEIPRDGPFRNFTGQLFMNNEGLCGDPRLSVPPCQSNSVRRSRKRKVLVISLSVIAAIMIIAIVAILVLRWLKEPKGSGGTELMSVAKYERFSYYDLLHSTDNYSESNLLGEGSFGSVYKGILSDGIVVAIKVFNLQVEGALKSFDRECEVLRSLRHRNLAMVLGSCSNPDFKALVLKYMPNGNLDKWLHFRDHFLDLFQRINIMIDVACALEYLHYGYDAPVVHCDLKPNNILLDEDMVAHVSDFGISKMFGEGESILHTNTLATLGYIAPEYGSEGIVSTRIDVYSFGIVLIETFSRMKPSDEMFSGDLSLRSWVEDCLPDALQVVDANLIRPEDEHFTHKLKCVLLIMNLALNCCRESLGERMNMKDVLANLKKIKQQLLLIVST >CDP06106 pep chromosome:AUK_PRJEB4211_v1:5:24502065:24508234:1 gene:GSCOC_T00021492001 transcript:CDP06106 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKNEMKIGRRGRAAVVVLGDIGRSPRMQYHALSLACQAGLEVDIVAYGGSEPHAALLQHQSIHLHRMTQWPSVPQSLPKILRPFMLMLKPLVQFLVLLYYLCFKIPAPDVFLVQNPPSVPTLVAVKWASCLRHSAFVVDWHNFGYTLLSLTLGRGSSFVTIYRWIEKHYGRMASGAFCVTKAMQHELDQNWGIKATVLYDQPPEFFRPASIEEKHELFCRISESLSQPFGIQDCISYGMTGTGESDPNSTLFTIHTGTNIIMKQNRPAIIVSSTSWTPDEDFSILLEAALMYDRRVAGLLNEDDVSDEEILWNEICNGKQFLYPRLLFIITGKGPEKDKYEQKIRKLKLKRVAFRTMWLTAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIEELVEINRTGLLFSSSSELADQLMMLFKGFPHECDALSSLREGVLAKASSAKWVTGWDENAKPLLSEASKSFVSSRTSSSGKIFWVKISLLC >CDP13810 pep chromosome:AUK_PRJEB4211_v1:5:27427869:27429313:-1 gene:GSCOC_T00038870001 transcript:CDP13810 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVVGRHALLFDDDAMASFVNSGDALVEWNSLLIDRYDVRHLLPGPLPPRRRRNPQTTPHLIESSHNSELDHERYLDLPPPSDEPEAEEAEKPGDAGGYRAVAFSYENTNGSADQNDADGGLECARFLPPFPVPESLLHSLMLGAGMELL >CDP06061 pep chromosome:AUK_PRJEB4211_v1:5:24947727:24950882:-1 gene:GSCOC_T00021415001 transcript:CDP06061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 1 [Source:Projected from Arabidopsis thaliana (AT3G14750) UniProtKB/Swiss-Prot;Acc:Q93V84] MAGRNRGPPVPVKGIAYGGMLPPVHESPQFVRGRGPVPHPALLEEMREPPMGMDLRQLPPHPAIIEERLAVQHDEIQGLLIDNQRLAATHVALKQELEVAQFELQRTHEYAGLLHAEKDIQMRELYEKSVKMDRDLQAVDSMRTELMRVHGDVKELTAARQELTAKAQLMTEDLARMTAELQQVPAIKADIEGLKQELQRTRTAIEYEKKGYAENYEHGQVMEKKLVSMARELEKLRAEMANAEKRARAAAAIGNPGPGYSANYGNVESIYPVNPYPASYGLNPMHPVQTSMEGYPQYGPGPGTWGAYDMQRAQGPR >CDP13667 pep chromosome:AUK_PRJEB4211_v1:5:28313001:28314230:1 gene:GSCOC_T00038691001 transcript:CDP13667 gene_biotype:protein_coding transcript_biotype:protein_coding description:SufE-like protein 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G26500) UniProtKB/Swiss-Prot;Acc:Q84W65] MRARTTIQALSSSPPFPLFNPKASLQNLSLRIPIPVPLFCSQIEKPMSISPSFRLFSTKNPHLLARRPRYSPDSETPKIASLHSKPANLFFSRPITIQRIPTKPIFSTPSASPAATLQPIEELPPKLQEIIKLFQAVGEPKAKYEQLLFYGRNLRPLDGRFKTSENKVQGCVSQVWVRAYLDSDKNVVFEADSDSVLTKGLAALLVQGLSGRPVEEILRVTPDFAVLLGLQQSLTPSRNNGFLNMLKLMQKKALQLYLEAQKAEDSVGQLSESPDESSMSNSGLNNDGGNGDVKSGVSSEEDVTDLKLDSGNGGALGSRGMRIKAKLVSELKPVELEVEDISYQHAGHAGVKGSDGETHFNVKVVSEEFEGKSLVKRHRLIYGLLQDELQSGLHALSIVAKTPSEVSSS >CDP11825 pep chromosome:AUK_PRJEB4211_v1:5:19624370:19628107:1 gene:GSCOC_T00035084001 transcript:CDP11825 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALTCLSSVLSDLQLLENLHPDPEHDDLGIMKGWLRSIKTFLLCTRNWGNDDDASLGALIERINESTYKLGLGIHTIYLTSLEVGLPENLVEVLPTESEVDFEFIQQGIKNWYLALSHCSLRQSSNSLVKKDDLMELMDSLLEILDFCFLLAGFESEDLVKLVEVLKEKLKFLKNFVGFVTLHGVEDGQLGPLFVHTEFITANAARLSFTWNSDPSSTSNHITDLLQKIIPPESQVHETCVQVLITSKFSRHPYGDSDELVLRDFLESLQCNIWSMLKSCTFRMISFKFQLQILWEGFRYLRTIFKEKPKKFDEKVRDLTGLVLCDAGLYLLSLSLNATNDGFIREMDLVSPDLLENMNLIKLTVAEECLETSPFNFPRTNALGFIDFLLKYMRELTSSEASLAALADHPVQTIQKDLGFLRFFLGKIVEMRNGDEELQALWDRVVEVAYTVEFLIDSVLVGDILDSCSLSFDSIVEEIESIKSEASKIFDSKRPDVKEKEVTKRPNPPPAQGSKPTNNDVVVGFEDEATSIINRLTRGSRQVQIVPIVGMPGLGKTTLAKKVYNDSSIMSYFYTRAWCTVSQVYAMKNLLLEILTCIESKHSEKIFEMSEEDLAAEVKKRLLRTRYLIVFDDVWDMEVWNRLEASFPNDGNGSRVIMTSRLRDFVPQDNLHQEPHYLRQLTHDESWNLLKEKLCPGKDLLPSELSELRTQIVEMCQGLPLTIVILAGILANMDPSGWKEEVQSLSSRNVSSTEQCTTALELSYEHLPDNLKSCYLYFGGFPEDHEHTVKRLIWLWVTEGFVQATDLKSAEDVANDYMMDLINRSLVMVSKQRSIGGVKTCRIHDLLHEFCVEKAKEENFLQLVRGYDELYTLNVPRYLRRLCINSTTKDFNESRLAFPTIRCLSFFAHGTWELYPWFGLSFNFHLFRLLRVLDLSQINLKDTFPREIELLVHLRYLAILGDVYIPSSIANLQNLETFLASSTSLPDTLWNLKKLRHLVWIGQYSFGGFRLPTENLDKSPQLCNLDTLSRVRIFSWENRDKIFKKFPNIRKFKCELTAPHHPAKEQGDNILALDFSSRLESLSLRVPKGFGKPYPVEFHLSLTVRKLTLSGFCLPWSKISAVANLPNLEVLKLLEEAFVGETWDLEGEEFPELRFLKLASLDIVKWTAFECENSFPRLQKLVLEKCHNLEEIPSSLGNVSTLDTIEVIDCPKSETSVNEIQEEQISMGNTGFKVMTSSKRSS >CDP06163 pep chromosome:AUK_PRJEB4211_v1:5:24073418:24073825:1 gene:GSCOC_T00021561001 transcript:CDP06163 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFVGSFFHKYLLCCPYHFFIELNFHYTETNIWRIENFQTVSLPKSDYDKFYLHDSYIVFHVHTTSNISYLYNIHF >CDP11773 pep chromosome:AUK_PRJEB4211_v1:5:18829820:18831718:1 gene:GSCOC_T00035003001 transcript:CDP11773 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLEYHCNLVTVTLLVDYDQEGQTLLANEANELKPEGHVSKLASTSFCKTCFNGLNALLGVGILSIPYALSSGGWISLILLLMIASSALYTGLLIQRCMAMDSTIRSYPDIGDRAFGAKGRALVSILMHAELYLVATGFLILEGDNLSYLFPKAGFELGGYSIDARRSFVILVGLIILPTVWLNNMSVLSYVSAGGVAASLVLLCSILWIGEFDGIGFHGKGSFVHWNGIPTAVSLYAFCYCAHPVFPTLYTSMRDQKQFSKVLVVCFFLSTLIYGLMAISGCLMFGSEVLSQITLNLPSDKISSKIVIYATLITPIAKYALMVTPTVDAIENRLLAGSKKKSSSLLIRTCLVLSSVIVALVLPIFGYLMSFVGAFLSVTGSIILPCLCFLKISGICRRCDFQVLIIGGIMCMGFAAMIIGTYTSLLEIISHFVSGD >CDP14719 pep chromosome:AUK_PRJEB4211_v1:5:21306068:21309132:1 gene:GSCOC_T00042141001 transcript:CDP14719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g03540 [Source:Projected from Arabidopsis thaliana (AT1G03540) UniProtKB/Swiss-Prot;Acc:Q9LR69] MKQFLQRHYSSFLLPKSSRPTSIPPPTTSQIFHLCRSGYLSDAIHLLNCTHSDFSKVSSQPPILYATLIQACTKLSSFSQGLQFHAHVIKSGLETDRFVGNSLLALYFKLCPNFRDTRKVFDGLFYKDVVSWSSIISGYIRMGKPGFAIDLYMEMVGCGVEPNGFTLSAVIKACAELGELRLGKCFHGVVIKCGFQFNHVIASALIDMYGRNYEPGDSRKLFDELIEPDAICWTSVISAYTRNDLFEKAFGFFYRMHRKGGLFADGFTFGSLLTALGNLGWLKHSRQVHAKAIAAGFSGNVFIESSLVDMYAKCGLVNESQLVFDRMIEKNAVSWCALLGGYCQMGDFEKVVELYRHMEEVDLYSFGTVLRACAGLAAVRPGKEVHCQYLRRGGWRDVIVESALVDLYAKCGCVDFALRIFSQMPVRNLITWNSMISGFAQNGKGAEAIKMFNEMIKLGVKPDRISFVSVLFACSHSGLLDQGRQYFASMKDNYGIKAEISHYSCMVDLLGRAGKIEEAEDLINSSEFRNDSSLWAALLGSCTTGTDPTVAERIAKKMMELKPDYHLSYVLLANVYREIGRWNDALELRRQMQDKKVNKMPGMSWVETNSGISFLSYEKPSEMPARDLEEERGSILSVES >CDP05717 pep chromosome:AUK_PRJEB4211_v1:5:10135145:10141045:1 gene:GSCOC_T00020964001 transcript:CDP05717 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLQKKKKKNCRLILTTRSEEVCNRMQCQSTFELKTLDTEEAWGLFKRTLGSETVLDGDLEGIAKSITKRCDGLPLGIVTAAGSMRGVRDICEWRNALEDLKACSVGHDEMEEKVFRILEWSFNRLNKCEKNCFLYCCLYPEDRNIQRKELIDLFIWAELMSKRGSRSKAFDQGRTILNKLTRVCLLEETKDFEGVDCVKMHDLIRDMALRITHGNSTPESGRDDVPRFLVKSLGQEHSKVTQEEWTEDLHAVSFYSQNLKGIEIPPDWSPNCPKLSTLLLSEVFIREIADSFFHHMRGLKVLNLSWCEGITELPNSVSDLVNLTALILEDCVDLRFVPPLGKLKQLRIVDLSYTQIQDLPQGLESLVNLERLNLRNCTHLRSPLIPKVIPKGTLSEFQSLQRLILPYGTVQVNDPEVLNQLQYFVGCLCFTDFYKISRWPERYYLYINDGFSAFDDDDGRSGDRDRKRLYFHQCKLGRGSNNRVLLLPSDMNHLILKECFGMGIRCLSDVFKNFTSLNLSSLHIKGLDGIEFLWQLSSPAPRDQPEDSSFSPLRNLDKLILWNLPDLVSLFFGESKSYFPPPGTFSSLTWLSISGCHKMKQLFTVQLLQKLENLIVLFVSYCEGLEEIAADDDGVGQGEGEGIQLTSSEAAANVISLPKLRRLQLERLPQLKNICKAAMICNLIQEITIFDCPNAKRLPLFLPTINGQPSCPHTFLKIRGDQIWWELLEWDNPCAKNALDPRFTTQRSRFLY >CDP05722 pep chromosome:AUK_PRJEB4211_v1:5:9685510:9692456:1 gene:GSCOC_T00020983001 transcript:CDP05722 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASFRVASRINCKAAPYRNPICNYRTLNLRFPYHHRLPHLSNASFYTLKSCYCKAVVKESSTEVVEKGRKMYSHDIKLSSLTALCPLDGRYWAKVKELALFMSEYGLIRFRVLVEVKWLLKLSQIPEIPEVPSFSKDTEAYLQGLIDGFSLDDAMEVKKIEKVTNHDVKAVEYFLKQKCQSHREIGKVVEFFHFACTSEDINNLAHALMLKEALSMVMIPAMDEVISAISDMAMENAHIPMLSRTHGQPASPTTLGKEMAIFAFRLSRERQDISKVKILGKFAGAVGNYNAHLVAYPDINWPQVAEEFVTSLGIDFNPYVPQIEPHDYMAKLFHSIIQFNNILVDFDRDIWGYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGVANGGLSHLSMKLPISRWQRDLTDSTVLRNMGVGLAHSLLAYRNTLMGIGKLQINEASLSEGLNHTWEVLAEPIQTVMRRYGVPEPYEKLKEVTRGRVVTQESMREFIKNLDIPGDAKMMLLNLTPQTYVGAAAELAKNIKTAINLVNGLTA >CDP05878 pep chromosome:AUK_PRJEB4211_v1:5:26298832:26301036:-1 gene:GSCOC_T00021189001 transcript:CDP05878 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKQGGVIGIVVNAFMYEPLTDDERDKEAANRALAFNVAWNLDPPVFGDYPPEMRRYLRNELPKFTSEERLLIRDSIDFLGLNHYGTLYAKDCTRSSCACSGSACVAGGDRAIRGFVSTTEERDGVLIGEPTGLPICYVVPRGMEELVDYIKNRYHNKPIFITENGYSPPQQEDQLDDLLDDKRIEYHKAYLASLARAMRSGADVRGYFIWTLMDDFEWTLGYGVKFGLCSVDRTTLNREPRSSAEWYRNFLRKSPRSNNNNNNTERRPTFSFI >CDP06063 pep chromosome:AUK_PRJEB4211_v1:5:24936156:24937724:-1 gene:GSCOC_T00021419001 transcript:CDP06063 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLHELPPLKRYKLIQQEEKLTQNTHPNHLNFPAPSCLPAKKRQESRNLLPIDPISTTAAYSLPAKKRVWAIQPFDLNIEYHQPFLDNTEKSQTVSEEEDKVSEREIQDKEGNIRLEELNEENKENVDNGDGDDEDDGILCAICESTDGDPSDPIVFCDGCELMVHATCYGHPFTKGIPDGDWFCAKCLASQSPYRTNTSNHIKPFSCCLCPVTGGALKPTTDKGKWAHLVCALFVPEAFFSDPEGREGIDVTKVPDRRWNQKCYICKSKNGCAIDCSEPRCPLAFHVTCALKENLCIEYSEGRNKKVIVAGFCRTHSDLWEKQQRSGKYKIVARDKHEK >CDP05704 pep chromosome:AUK_PRJEB4211_v1:5:10964575:10966667:-1 gene:GSCOC_T00020932001 transcript:CDP05704 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFETTETINKRLSAATSHRQTNSFFVGGISSSSEDPFSEPISTAHPHSNSDITSPFLQHHLQQGEGIFRGMMVSY >CDP13604 pep chromosome:AUK_PRJEB4211_v1:5:28782736:28787161:1 gene:GSCOC_T00038608001 transcript:CDP13604 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGLAAAAALGRVKLSDLVPSEGLPSDSYKLSVSTLSQSLAQYSAAIIQLPASDAALLRSCLESARLYFNQKPSYPSADVIHPDDLREWCKTSGYRADPQLWQEIYDFRPGLTPADPTNEMEFAPAGLLDIFALLGKAARDILDAISFFLNLRSSPFTEILDNVPLRNREISSSVLSVCCHARPSFQGAQHHNLTTQEDGQLVMFSDQEHQVDRSLITLVKSDKAGLHVRDFHGRWVLVDGDLGPQEAIVYPGLALYQATAGYISPAMHRPDIGNLQGNIFGRCSLAFKLMPKSMTNLNCSEMRAAGHGVEAQFQLPVPVDDFMQRSTDQVLSRNNFPTFTFPTAQDGSMKPIIKKRKNSSRCKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCTLKECENHIHSLDSPCANIRMEIGWPPGVPFVHPHDLPNKAKIGFLETYEPGWSATHDMELSLIEPGQPSQHMANCNCKSSQHCAQDWFFFFFIFYL >CDP16062 pep chromosome:AUK_PRJEB4211_v1:5:716336:717338:1 gene:GSCOC_T00017071001 transcript:CDP16062 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRIGSFKEIQWITHATHMNAVYFPDPDNFGPSRFDGNGPAPYTFVPFRGGTSNVPWERVCTGSNTYKGKLHFIPYDLEKLIPNEKVTHNLFPKLAQGLPIRLHPHKP >CDP11790 pep chromosome:AUK_PRJEB4211_v1:5:19084919:19086797:1 gene:GSCOC_T00035026001 transcript:CDP11790 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTKLIDVLLFLYLLFIALTAPVTDALTIFPSNLLPTFLLDLKKWYIKEFDDYLFAEKPHFFVGLTWVELLFQWPVAVLCVYGLAGSKSWFNITSLIYGASSLTGLIAIQAELIGSKRASTKPILIYLLPLLVAVLAILRGVLLYSGKSSPIGKRPALNQKKMAKSH >CDP06112 pep chromosome:AUK_PRJEB4211_v1:5:24471103:24472415:-1 gene:GSCOC_T00021498001 transcript:CDP06112 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKVVLLDTHVSAFGMRVRIALAEKGIEHEYKEENLADKSPLLLEMNPVHKKIPVLIHNGKPVCESLIIVQYIDEVWHDKNPLLPSDPHQRAQARFWADFVDKKLYDAGWRLWATKGEEQEAAKKELIGTVKTLEAELGDKTYFGGEDFGYVDVALIPFYNWFYTYETCGNFKIECPKLVEWAKRCMQRETVSKSLADPHKIYEFVVSLKKKFGIE >CDP16214 pep chromosome:AUK_PRJEB4211_v1:5:16240946:16241689:1 gene:GSCOC_T00017322001 transcript:CDP16214 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGGQENASCTYKGVWQQTWGKWLAEIGEPNRAARKLYGTAAKLNLPHLYQRPQHVPVYFTLNTLTPINTSGGNQGLVFKTKPEVTATGYPMGTTTSGVTSVMSNNNNVVDYNGNKVVKSEERNMEGVWNILNINLPETDDSSIWADTPAVSPFLAAMSDPGSSVANSKIAKAGMDSATLGTDVHGILNKL >CDP17455 pep chromosome:AUK_PRJEB4211_v1:5:2696282:2700742:-1 gene:GSCOC_T00000934001 transcript:CDP17455 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERLDRLLSENASSSSDDKSLDLTFSEDGRSGTFSVGNDHFPAFLLDLPGTVESYKTYDDSVLIKTADIGQMIMVKEEGDNVPDEVEYRHGLTPPMRDARRRRFRREPDLNPELVRRAEKDLQNIMAGGTAENIDILPVTLAFRM >CDP05856 pep chromosome:AUK_PRJEB4211_v1:5:26462195:26467320:-1 gene:GSCOC_T00021159001 transcript:CDP05856 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSTSSSSKISCFQCEETSSSFDHFRNGWRLRSGEFAQLCPRCASIYEEGKFCEAFHSNDDGWRDCESCGKLVHCGCIVSFNAYLLLDFGGVICMECSRINFALARSRCLFLEGQPDITRQTVIEPQYRPRSSEVEVQQISRNFDCVVTPLFEKLLSATDADLKLARLIIPKKCAEAFFPDISEPRGFLLKIQDTEGMDWDFNYRYWINGGSKMYILEGLRDFMISKRWQAGDTVTFYRVEPGGKLVIGLRKTSSL >CDP06048 pep chromosome:AUK_PRJEB4211_v1:5:25027764:25029466:-1 gene:GSCOC_T00021400001 transcript:CDP06048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 42 [Source:Projected from Arabidopsis thaliana (AT1G78710) UniProtKB/Swiss-Prot;Acc:Q9ZV89] MGTHASRCKIVVLLCLVTFLSNQSNVECEDEQGTQSESCDLFKGSWVLDDSYPLYYDALSCPFIDPGLNCQKNGRPDSMYLEYRWNPVGCELPRFDGQNFLQKFRGQKIMFVGDSLSKNQWQSLACMLHFAVPSSNYTLVGSGGGALLNLSFPEYGLSTAFLKNGFLVDLVVEGVGLVLKLDSLSRTQHWKGVDILIFNSYHWWIHTGRLQTWKYFQVGENLIKDMDRMEAYRIALTTWAKWVDSNIDPGKTKVFFQGISAVHNHGSEWNEPAVQDCRGQTKAIEGADYPGQHYPGEAVVKDVLGNMTKPVYLLDITLLTQLRKDGHPSNYANGALDCSHWCLAGVPDTWNELLYAMLLES >CDP06090 pep chromosome:AUK_PRJEB4211_v1:5:24697643:24702269:-1 gene:GSCOC_T00021460001 transcript:CDP06090 gene_biotype:protein_coding transcript_biotype:protein_coding MDETAIPPSESVASLSVSAPPPPQTSSSQMSLEERFRIVRSVGEECIQEDELLNLLEKKPQPVCYDGFEPSGRMHIAQGVMKAINVNKLTSAGCKVKIWIADWFAQLNNKMGGDLKKIKVVGEYLIEIWKAVGMNLEGGQVEFLWSSDEINSRANEYWPLVMDIARRNKLPRIVRCCQIMGRNEQDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSDPSSSIYMEDEEAEVNLKIRKAYCPPQVAAGNPCLEYIKYVILPWFNEFKVERSPQNGGDKIYKTLEELAVDYESGELHPGDVKPALAKSLNKILQPVRDHFKNDANARELLRRVKSYKVTK >CDP14770 pep chromosome:AUK_PRJEB4211_v1:5:21755500:21758092:1 gene:GSCOC_T00042221001 transcript:CDP14770 gene_biotype:protein_coding transcript_biotype:protein_coding MILIREHLDGNITFRRWKGVPLRIEIGPRDVSTGTEVISRRDIPGKQGKDFGISMDSSILIAYVKGLLDEIQSCLPERQPLQFMSFFFFGQKSCSTPEDHFCLKKELFLEAFLFCCLIKIFHNLTLGLCKLTLKF >CDP13598 pep chromosome:AUK_PRJEB4211_v1:5:28812712:28815588:-1 gene:GSCOC_T00038602001 transcript:CDP13598 gene_biotype:protein_coding transcript_biotype:protein_coding MHISSGGSRPKKKIYYRAPELDKVMDLQKKPAMILRLKSIIQSQKDESLLLRDLEKEVGFVQKWNFVAVIEKNPSIFHVTGGDRTPPRVMLTHKAGKIAALEAAARDQMEPILVKNLRKLLMLSINCRVPMETVELVQDELGLPSNFKESLIPKYPQFFSLKAVDGRDYLHLEHWDSSLAVTVREESLACKGSLYPGSHSEKGRISKDGNFQGPFAFQLRFPAGFRPNMSYLKELERWQRMEFPSPYLNASRFNIADPRARKRVVGVLHELLSLTMEKRLTSGQLEAFHSEYQLPARLLLCLIKHHGIFYLTNKGAKSTVILKEGYEGSTLVGKCPLLVFRDKFISLTGRKEIDTALELSSSAIS >CDP15742 pep chromosome:AUK_PRJEB4211_v1:5:5409231:5410888:-1 gene:GSCOC_T00015779001 transcript:CDP15742 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSKKKERWIQHYSSCHKILLIGEGDFSFSACLAKAFRNAANMVATCLHTKEMLPVRHWTSTAHLQELEKLGCLVLYEVDVHNMNEHPDLKQTKFDVILFNFPHAGHYSWLHERDSILIRMHQDLIAAYFKTAKEMVQEEGEIHVTVRDDDPYNTWEVEKLAECAGLHLKDKVEFRQENYPGYHNKRGGNINCNKKFPLKACYTYKFTLKVSSVEISDGSEVNDSASSEVTSIITTVEDLQI >CDP16083 pep chromosome:AUK_PRJEB4211_v1:5:1009698:1011204:1 gene:GSCOC_T00017099001 transcript:CDP16083 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKNLLPQKSFKGKNLSHNVTPVTDASTYEVEESNLNGHTHLPKHEVEQQMQRSFSVPVNVKVKSLRRTDSSGCLVRVISATPRPRTDENNVVDNATEIETACDDAAEDIPEEEAVCRICLVELGEGGETFKMECSCKGELALAHKECAIKWFSIKGNKTCDVCKNDVQNLPVTLLKVQNPANVVRRPPVGAQQREVTRYRQVLH >CDP11874 pep chromosome:AUK_PRJEB4211_v1:5:20363686:20366824:1 gene:GSCOC_T00035148001 transcript:CDP11874 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKKSISPPMLLLLFLYLSLKPHLSIGADTISANQTLSGDETLVSKDGNFELGFFEPGNSSNLYIGIWYKKVSVQTVVWVANREIPVKDKYSSELTIQDGNLVLLNESKMPVWFTNVTSASSRSSPVIAVLLDNGNFILTQGSSSSSLNLSDPSSIPSLWESFDNPTDTWLPGGKLSYNKITRKLQILTSWKNSEDPAPGLFSLELDRSNNQYLIKWNRSQEYWTSGPWNGNIFSLVPEMRLNYIYNFTYINNTNETYFTYSLYNPSIISRFIMDVSGQIKQLSWLDNTKEWNMFWAQPRQQCEVYAYCGAFGACNENSLPFCNCLDGFKPKSEEDWDLKDYSGGCERKMELQCPSNSSGKVKKDKFWEYPQMQLPKNPVSVTVDSAGECESTCLNNCSCSAYYFDSNGSCSNWNGGILGLEQLSANDGSGRTINVRLAASEFSSGKNTTGIVIGAVVGSVVLVLVLLCLVLFVIWRRRSKMVGSGKAVEGSLLAFSYRDLQNATKNFSEKLGGGGFGSVFKGTLPDSTVVAVKKLESIGQGEKQFRTEVSTIGTIQHVNLARLRGFCSEGDKRLLVYEYLENRSLDSHLFRDNESMVLDFKTRYQIALGTARGLAYLHEKCREVIIHCDIKPGNILLDAEFCPKVADFGLAKLMGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMLFEFISGTRNSENSLDGVVKFFPTWAARVIIEEGDILSLLDPKLDRVADAEEVSRLCKVACWCVQDEENHRPSMGQVVQILEGLLEVNLPPIPRSLQVFVDSQEHIVFFTESSSNQSSETQSKTSSTSANSKSTISSKDSPV >CDP13606 pep chromosome:AUK_PRJEB4211_v1:5:28772058:28777223:1 gene:GSCOC_T00038610001 transcript:CDP13606 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRAFHSKILRCYSLGTAIGFRIRRGVLTDIPAILVFVSRKVHKQWLTPIQCLPTALEGPGGVWCDVDVVEFSYFGAPEPTPKEQLYTEIVDDLRGSDPCVGSGSQVASQETYGTLGAIVRSQTGNRQIGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGAVERATSFIRDDLWYGIFAGINPETFVRADGAFIPFSDDFDMTAVTTSVKGIGEIGDVKIIDLQSPISSLIGKQVTKVGRSSGLTNGTVLAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIILKGEDGEKPRPIGIIWGGTANRGRLKLKVGQAPENWTSGVDLGRLLNFLELDLLTTNEALKVAVQEQRAASATVVGSTVGDSSPPDVMLPKDKSEPLGLRIQQIPLEDGACCPDMNSSPVEAAFLSEDGSNVGPSVEHQFIFGTSSGRSPLHRDDLQDRPVSENLSALWNGSDEDIRFSLQLGDNEPKRRRSEPSPSAQEPN >CDP11887 pep chromosome:AUK_PRJEB4211_v1:5:20499620:20501050:-1 gene:GSCOC_T00035172001 transcript:CDP11887 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPVLVATVAPLIGHLTQSIQLAKLILEKNNQLSISLLVIKVPIDPQGTNKIQTFIDGCNVERLHFHHLPQPENTDSWTSHRGLFVNQLLEFQKLHVREYVSKIKGLCGFLLDVMTTTMIDVADEFQVPSYIFCTSGAAFIGLMLYVQSLQDDHNQDTIELFKTSKELIAPCFLQPIPVSVLPIIITDKLQWSIRMHKWAQQYRRAKGIIVNTFAALEDYALKSFLKGSAYGKSGVPQIYPIGPILNRFESKIKNRSEIMEWLDNQPAKSVVLLSFGSLGSFQLDQVKEIANGLEQSGQRFLWVLRQPPKEKGGFPTEYENVELVLPEGFLDRTASIGKVVGWVPQLAVLSHFAVGGFVSHCGWNSILESIWCGVPIATWPLAAEQELNAFQLVKELGIAVEISLDYNEAMQHQLVKAEQIGKRVRQLMDGENEVRKRVQEISGKSRAAVQEGGSSHLCFENLMQTICRIFQNGS >CDP16035 pep chromosome:AUK_PRJEB4211_v1:5:224887:226004:-1 gene:GSCOC_T00017031001 transcript:CDP16035 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSSIVLPIKLHFKLTNSIPIPFNSIYPSIHHEQLQPKTGSSGISLPSSTGTTTTPCTLNSPTASRISRQRCSSSLQFRSGTRQNYVQRRRRHLLCVLQLLFPLTTQNRRDDTTISSSARAISCTVASFFVCFQFMAWTSFTFNLIV >CDP13791 pep chromosome:AUK_PRJEB4211_v1:5:27539472:27540645:-1 gene:GSCOC_T00038850001 transcript:CDP13791 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTTQEEDKKPSEQHINLKVKGQDGSEVFFRIKRSTQLKKLINAYCERQSVELGSMVFLFDGQRLQGEQTPEQVSMEDGDEIDAMLHQTGGAFA >CDP11877 pep chromosome:AUK_PRJEB4211_v1:5:20416133:20417203:-1 gene:GSCOC_T00035154001 transcript:CDP11877 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQSTVIGKFTVCKLKTATGHLSVENVIGMGGYGVVYKGTLESGQSTNLQISRTICSILLLLTASSITKFKNEVEILRTLRHPNLVKLYGYASEGNEKFLVHEFMPGEELPKASTISIAAPARPSSIHRDIKSSNILLDNQLQAKLADFGLARYEPPKVLDKSHVTTRVLGSQGYCAPEYLDSGKLTFKCDI >CDP06168 pep chromosome:AUK_PRJEB4211_v1:5:24050616:24052442:-1 gene:GSCOC_T00021567001 transcript:CDP06168 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPAFKVVLFIIFLFITADASTILPHKIFNVDNYGARADGSTDDSQAFLRAWNDACQWRGRSKVLIPLGNYMLNSVTFVGPCNGEMIFVIKGTLRAPTNPALFFTNTWIGFRYVDNLTLEGGGYLDGQGAAAWPHNDCSKNIGCLPLPISLRLDFITNSRIQKLRSINSKNAHVNLFACNNINISHVRLSAPGNSPNTDGIHIGFSNNIKISSVNIGTGDDCISMVSGSQNIVISDVFCGPGHGISIGSLGRAFAKEYVMHINVVNTTFRNTQNGVRIKTWSPSSPSLASDINFQDIIMDNVNNPIIIDQDYCPFCSPTGGESISQVQIRDVTFRNISGTSSSKVAIYLQCSRVVPCHKVKLVDIDLAYREAGGPAIASCSNVYGASYGKQVPSGCL >CDP13614 pep chromosome:AUK_PRJEB4211_v1:5:28699096:28705577:-1 gene:GSCOC_T00038620001 transcript:CDP13614 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNGKSMFSCKTASETLEWINAVIEFIEPYRFLIDAHVVNFFKDGLWKAVPKDWMDCLSKDPIQNLLSIPSGLVHDHWPVSLKEFVLTLKSLSFPRDQANLFQEFPGLDMTSLNNVLAQGMNRKKKHEIEALAAVVSSVARNIGSTRVVDVGAGQGYLAQVLSFQHGLSVYAIDACLHHGKVTDARAERVRKYYASKMRKSGLESRELSIPKTVTCQVLSANMLNNLSNSFIQGEHATKPNVVSGIMSEQRKGPHESELPSTSDVFCSSSLILAGLHACGDLSVTMLRTFLESDQVKSVISIGCCYNLLSEDDSDKASSVCGFPMSKGVKFTGFALGRSARDLACQSAERWKCLGEFAGLHNFELHAFRAAFQMVLSQYYPKLLMESPSIGRQGKALRRQQHQKILESNLSYEEPKFFSASSSTNISKEGSRFAFACTESRDTEKKVAGSCCDIDGLSCESFVESSMNEETRSFDRFSLFLEFCHSGLNRLGLHYLSDIELHTVWEETEPFSVLIGPYWSLRAALGPVLETIILLDRLLFLQEQGSIVEAVMLPIFDPVLSPRNVALIAKKRTTPV >CDP05702 pep chromosome:AUK_PRJEB4211_v1:5:11135712:11140215:-1 gene:GSCOC_T00020927001 transcript:CDP05702 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRGYKCEEHEVITEDGYILNMQRIPFGLAGEAPRKRPPVLLQHGLLMDAITWLLSPPDQSLALILADSGFDVWLVSSRGTKYSLSHQTLSPDNAAYWDFTWDELAAYDLPASIQFVNDFTGQKLHYVGHSQGTLIALASFSKGQLVDKLRSAALLSPIGFLGEMTSPLARIGVESFISQKCMILNDNILLPRDIIKFALYWLGINEFNPRGDAVIQLLMNICKNPGVDCTHLLTSFTGANCCMNSSIIDVFLRHEPQPTSTKNMIHLSQMVKGGTIQMYDYGNEDENNKHYGQPTPPVYNMKNIPKDFPLFLSHGGADALSDVKDVQHLVDNLKDHDKDKIVVQYIENYAHADYVMGVNARETVYEPLMAFFRLY >CDP13691 pep chromosome:AUK_PRJEB4211_v1:5:28189578:28192133:1 gene:GSCOC_T00038718001 transcript:CDP13691 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 8/9 homolog [Source:Projected from Arabidopsis thaliana (AT5G55940) UniProtKB/Swiss-Prot;Acc:Q9FG71] MGGETKYEIHQNAYIKLVLHALKHKTSAVNGVLLGRLSGDDTVEIVDSVPLFHSQIGLLPPLEIALIMIEEYYNDKGLSIVGYFHANERFDDFELSNVAKNIGDHISKYFAGAALLLLDNKKLEALPKGKDRNPVMQLYTKDASRSWKLVGSDRLTVKEPSANVILLDFISSKKWKDITDFDDHLDDISKDWLNSDLFN >CDP14767 pep chromosome:AUK_PRJEB4211_v1:5:21741588:21744188:-1 gene:GSCOC_T00042218001 transcript:CDP14767 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELYKATIGGDLLEFVREMERLANDRHQCYLPASCVQLGPQKNTILHLATSYGHHEIVKLVCKDLPHLLAEKDARGDTALHLAARAGDTNLILLLTDSDYAVLALLGATNEEDNTPLHEALIHRHENAATTLIDKNRNMNYSVNHEGKSLMYLAAEAGYVAIISLLMDNPVGNYALDGKHKTKSPVHAAILGRNIARMVLLNFSLSDFYTLKSFVFSKYVLKLLWRKDRSSFYKRCEKGGNPLHCAAYIGFTDGISFLLKKSYILAYLRDRQGLLPIHMAASQGHVDIIQLMVQDRPDSREQLTLQGQNILHIAAKSGKCRALERMLKMPELEKLINEKDADGNTPLHVATIYGHPKVVSSLTWDERVILQLENKDGLTALDIAEEQMKPYMASFQKRLTWMALRVVGAPKAPHSKSATYVNLMLEEQPTTENYRDKVNVILLVATLVATVTFSAGFAMPGGYKNSDPSQGIANMLEKVKFQEFVICDSLAMYSSIAVAVMLLWAQLGDQKSMHVALKLALPLLGIALAMMSIAFTAGVYLVVSKLNWLAHFVLFMGLNFVIVLVLLFLPLCFLGSSNYHRFRFLSYCPFCLMLYALGSYAENEAAE >CDP06068 pep chromosome:AUK_PRJEB4211_v1:5:24893166:24896222:-1 gene:GSCOC_T00021427001 transcript:CDP06068 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSSPKPDSAQATSNVDYSSLSEEEWKKQLTEEQFHITRQKGTERAFTGEYWNTKTPGTYHCICCETPLFESSTKFDSGTGWPSYYKPIGNNVKSKLDLSIIFMPRQEVLCAVCGAHLGHVFDDGPPPTGNRYCINSASLKLKPSKGPR >CDP15741 pep chromosome:AUK_PRJEB4211_v1:5:5406638:5408991:1 gene:GSCOC_T00015778001 transcript:CDP15741 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGNKHPPPQPTPPSRAASAATPPIDEDQAKWGTRIMAQPAAPTTHPDNQRAASWRAEDQQHPYVVYSPVEKPSDNPLESVVHIFNCWSYRAETVAKNIWYNCDENWTSCLKNCVKKLNLTTKALTEGGFELLLVTFQPQQVLLLELSTLQVAFCSDSPLSFRAPSGQETWNYYMVMIPLANIANVNPVVMKEGPPEKYIQIVTID >CDP05872 pep chromosome:AUK_PRJEB4211_v1:5:26357801:26358115:1 gene:GSCOC_T00021180001 transcript:CDP05872 gene_biotype:protein_coding transcript_biotype:protein_coding MESDPIPLMEVTITVERLPVVADESWHGPKVATQRSRIMQASRLARGLYPEMASLAPRYYDKFRRKQAKKEILRRALTPPVRRPTLRWLDFRPTPSRLRNMSME >CDP05927 pep chromosome:AUK_PRJEB4211_v1:5:25940218:25940679:1 gene:GSCOC_T00021249001 transcript:CDP05927 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLKLLTVLSLSLALLHSQTSVSSSSATILEDDDDQEEYILDAPFAHTHLRSRFLASVKKGSSCNSQKKNKCNGVWANGGTSLLYCCKNHCRNVLGDANNCGRCGSKCKLNEKCCGGRCINVMYDRYNCGKCGGRCKKGLKCGHGYCGYA >CDP18046 pep chromosome:AUK_PRJEB4211_v1:5:8625708:8627144:-1 gene:GSCOC_T00001628001 transcript:CDP18046 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAELVFVTVPGIGHLVSCVELAKLLVECDERLSITVLMMKQPFDSKVSSYTNSLLETPNLHIRYLELMKEEPSSQLSSFLSIFFRFIDNHKSCVRDVLAEISNSVSSHLGGIIIDMFCTSLIDVANEFGVPSYIFYPGGAATLGVLFQLQSLRDDLNEEVSYYENSDVELALPTYINPVPAKLLSSALFEKDGGVDMVLDQAQRYRKTKGIIINTFLELESHAIQALSNDKTIPPVYAVGPVLNLKGSNSQNQETEMIMKWLDLQPKCSVVFLCFGSGGSFDADQVKEIAYALEHSGYRFLWSLRTPSPKEKFEFPSEYENLDEVLPEGFLQRTAAVGKVIGWAPQAAVLSHPAVGGFVSHCGWNSILESVWCGVPVATWPLYAEQQENAFLMVKDLAMAVEIKIDFKRDFVMGVSSEILSADVIERGIKHLMDPENEIREKVKEMKEKSRLAPSEGGSSFSSLRRFLEDVADNIP >CDP15949 pep chromosome:AUK_PRJEB4211_v1:5:23121015:23126493:-1 gene:GSCOC_T00016874001 transcript:CDP15949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G30970) UniProtKB/Swiss-Prot;Acc:P46643] MALRRIILGQVGRVGERSVSSWWRNVQPAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLECVREAERRIAGNLNMEYLPMGGSAKMVEETLRLAYGENSDLIKDKRIAAIQSLSGTGACRIFAEFQRRFCPDSQIYIPVPTWANHHNVWRDAHVPQRTFHYYHPESRGLDFASMMDDIKSAPNGSFFLLHACAHNPTGVDPSEEQWREISYQFKVKGHFPFFDMAYQGFASGDPERDAKSIRIFLEDGHLIGCAQSFAKNMGLYGQRVGCLSVVCGDEKEAVAVKSQLQQLARPMYSNPPTHGALIVSTVLGDPNLKKLWLKEVKGMAERIIGARIALRQNLEKLGSSLSWKHITNQIGMFCYSGLTPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVGYLANAIHEVSKSA >CDP10813 pep chromosome:AUK_PRJEB4211_v1:5:18613959:18620594:-1 gene:GSCOC_T00031699001 transcript:CDP10813 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKTKYDRQLRIWGEQGQAALERSSVCLLNCGPTGSETLKNLVLGGVGSITVVDGSKVEVGDLGNNFMVDESCVGQSKAKCVCSFLQELNDAVKAKFIEEYPQALIESNPSFFSQFTLVVATQLVEDSMVKLDRICRDANVALVFARSYGLTGFIRISVKEHTVIESKPDHFLDDLRLNNPWPELRSFADTIDLNTSDPVVHKHTPYVIILVKMAEEWAKSHGGCLPSTRDEKKQFKDLIKARMIAIDEDNYKEAMEASFKVFAPRGISSELEKIINDGSAEVGSSSSEFWVTVAALKDFIDNEGNGEAPLEGSIPDMTSSTELYVNLQKIYQAKAVADFIAMEQKVRHILKIIGRDPYSISNAYIKSFCKSARKLRVCRYRPIEDEFNTPVQAELQKCLTDEDYSYAAGFYILLRAADRFAANYNSFPGEFEGGMDEDISRLKSIAVGLLSDLGCNGSSLIEDLINEMCRYGAAELHAVAALIGGIASQEVIKLITRQFVPMSGTFIFNGIDHKSQLLLL >CDP18049 pep chromosome:AUK_PRJEB4211_v1:5:8801954:8802796:-1 gene:GSCOC_T00001634001 transcript:CDP18049 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDQAQRYRKTKGIIINTFLELESHAIHALSNDKTIPPVYAVGPVLNLKGSNSQNQETEMIMKWLDLQPKCSVVFLCFGSGGSFDGDQVKEIAYALEHSGYRFLWSLRTPSPKEKFEFPSEYENLDEVLPEGFLQRTATVGKVIGWAPQAAVLSHPAMGGFVSHCGWNSILESVWCGVPVATWPLYAEQQENAFLMVKDLAMAVEIKINFKRDFVMGVSSEILSADVIERGIKHLMDPENEIREKVKEMKEKSRLAPNEGGSSFSSLRRFLEDVADNIP >CDP05764 pep chromosome:AUK_PRJEB4211_v1:5:27146372:27152409:1 gene:GSCOC_T00021040001 transcript:CDP05764 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQLKKSHHGCLGFIIYAFFFASQLHALKVPFHPLDVLPVLPRRVSWPIMNYLNSAVDLLPSFVGAASSTNNSLEWKGACFYENTAWLEFNNKSGSEFGGGTLHIKVSKAHSWTCMDLYIFATPYRVTWDYYFLSREHTLEFEEWESEQEYEYVRHKGVAIFLMKAGMLGTLQALWDVFPLFTNTGWGENSNIGFLKKHMGASFEQRPQPWVMNVSVDDIQSGDFLAVSKIRGRWGAFETLEKWVSGAYAGHSAVCLRDSEGKLWVGESGHEDKEGQDIIAILPWDEWWDFELTKDDSNPHIALLPLHPHFRAKFNETAAWEYARSMEGLSYGFHNLIFSWIDTIEGNYPPPLDAHLVASVMTVWSQLQPTYAANLWNEALNKRLGTQGLGLPEILVEVEKRGSSFAELLAIPERDNWTYVDGKSASCVAFVLEMYKEAGIFGELGNSIEVTEFTIKDAYSLNIFENDSSHLPQWCKDGDTVELPFCQIRGKYRMELPGYNTINPYPHMNERCPSMPPEYYRPEGC >CDP13690 pep chromosome:AUK_PRJEB4211_v1:5:28193019:28193372:1 gene:GSCOC_T00038717001 transcript:CDP13690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid transfer-like protein VAS [Source:Projected from Arabidopsis thaliana (AT5G13900) UniProtKB/Swiss-Prot;Acc:Q9FFY3] MKLVPRYCSIALVFILSFSSRVEEIRAQDQSCIQRLQPCMDYLNGNRDPPSSCCDPLKVVIRSEPECLCSMISIRGANQAERAGINITQAQQLPGRCGVHINPLGCILGMLLNFLPD >CDP05689 pep chromosome:AUK_PRJEB4211_v1:5:12119640:12120410:-1 gene:GSCOC_T00020891001 transcript:CDP05689 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWDVKENLPASSKRHTNEKNFVGFTVNNEFIACGSKTNEVLVYHKAIARPVTWHKFGSPKMDDADEDAGSYFISAVCWKSDTPTMLAANS >CDP15866 pep chromosome:AUK_PRJEB4211_v1:5:22377235:22383229:-1 gene:GSCOC_T00016764001 transcript:CDP15866 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVISRSTDEFTRERSQDLQRVFRNFDPNLRTQEKATEYVRALNAAKLEKIFARPFIGAMDGHIDTVSCMAKNPNHLKGIFSGSMDGDIRLWDLATRRTVCQFSGHQGAVRGLTASTDGRVLVSCGTDCTVRLWKVPVATVMESEESSHNSSEPLAVYVWKNAFWAVDHQWDGNLFATAGAQVDIWDHDRQAPVNSFEWGKDTVVSVRFNPGEPNVLATSASDRSITIYDLRMSSPARRVVMRTKTNSISWNPMEPMNFTAANEDCNCYSYDARKLDEAKCVHQDHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKFSCDASYVISGSDDTNLRLWKAKASEQLGVLLPRERKKHEYLEAVKNRYKHLPEVKRIVRHRHLPKPIYKAASLRRIMADAARRKEDRRRAHSAPGSRPKEAFRKKRILQEVE >CDP19212 pep chromosome:AUK_PRJEB4211_v1:5:1829675:1830672:-1 gene:GSCOC_T00009651001 transcript:CDP19212 gene_biotype:protein_coding transcript_biotype:protein_coding MWFNIIRVVYSQIAAPTTGQPIAPPPPPRLPLKRPRSISPPPRLPFMGPFKDRLNYFYNEGKDEYVKSRVQKYQSTVSKTNMLPGPFISSNPNVIVLLDGKSFPVLFDVSKVEKKDLFTGSFMPSTELTGSYHILSYLDPKVFLLLTLKSGKLIASFTFDAGTTSSRSSRRATPSYLTALSRNCHQWQSQSQ >CDP14411 pep chromosome:AUK_PRJEB4211_v1:5:6873073:6888687:-1 gene:GSCOC_T00040814001 transcript:CDP14411 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGGGGWSGDRDVVRVSIPSNVRKIIQSIKEITGNHSEEDIYAMLKECSMDPNETTQKLLLQDTFHEVRRKRDRKKENLNKEPADSRWKSGVQGRGNRGRGTHSSRNISHDKAAGARKLASAKDNESNLDIDKGVSMSSLNTPWESEGKEPNSAASSLGATANGPTAIVSRNLTVMHDNEKLEEHLGPSATSSENRDQFAEQMPDSSNFSTSMSSSQPSGAYFLSSDPVSLSLQDSRQSSAVGTMKHGVGIQSSAVEQISAVSEIASGLDESGNSNVHGKMPSKAHGNGKNQHLDSSHTAASAVSRPSSNYSNRSQVIGPQKVGPNKEWKPKSTIPSLSQGSGTLASSEAPALSVEASTKMATALNDLDSKEANVRLQRKFEELHVSDGQHVIIPHHLHVPEAEKLGFCFGSFDTSFGISTSSINAPENDHSASSSETSDGNEAAEEQFSSNQNAFVAVEEGNSPENNHSSTDALENLSSGEGGVSSSNALDYDESKQESTPGGNQYTASHTTPNYGFGFMPPIVRSQLAPFESSESQTRDVSRLPAFVVQPTIDPTSYYAQFYRSGADSDGRISPFHPAGVASKYSGNFAMLSPQTSQSPQEPSNPLVLSTATPTPLITQAAGVMQSSIAATQQPVSVFRPPAGLHLPHYPSNYVPYGHYISPFYVPPAIHQFISNGAFPQQPQGSNLYPAPPAAAAKYPLPQYKPGTNPNNSSHVGIPGSYMPYGSSMANYNPNAASATGNSTTNEDLATSHLKENSLYESSQQSEGSGVWIAPPGRDFSSLQASSFYNFPQGQMAFAPAQPGHGTFAGIYHPAQPVNATTVNPLLQQSQTMASPLDVVGPTASAYQQPQHSQMNWPNNF >CDP05743 pep chromosome:AUK_PRJEB4211_v1:5:27266022:27268849:1 gene:GSCOC_T00021015001 transcript:CDP05743 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGLILVAGGIVGYARKGSTASLAGGLGTGLVLLLAGYLSLQAFHKRKNSYLALILETACAALLTWVMVHRYLQTSKIMPAGVVAGISAVMTVFYLYKIITGGNHFPTKSE >CDP13671 pep chromosome:AUK_PRJEB4211_v1:5:28281552:28282586:-1 gene:GSCOC_T00038697001 transcript:CDP13671 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFPVETASSLDPEQLEQRRLTEAVANESIENFVTCIHRARLAGLSRDIMVSWSKSLMGHSLHILVENPSEENHSTCKIDLKTWQFWGKKGLKSFKVGERRVDVFWDLRTAKFSSSPEPVSDYYVALVSEKELVLLLGDQKTEAYKRTRSKPSPMDAALVHKKESVFAKRCFCTRTMLGKGKREHHIIIESALSGPYDPEMWISVDGVESLRIPNLHWRFRGNETLLVDDVPVQIFWDVHDWLYNSNESGPGTFIFMQGTLECEFDNDYGGRNLDHDAPSGENCDLRPEELSCTEFCHFLYAWMTE >CDP17864 pep chromosome:AUK_PRJEB4211_v1:5:1655572:1660385:-1 gene:GSCOC_T00013184001 transcript:CDP17864 gene_biotype:protein_coding transcript_biotype:protein_coding MLMISRIKWVLQNPLFLHQHPIKNPSFLLKTPTRNPRFFAVKPLLNPQPSHQQHHHHCQMRDLKSEATSAAAASSSGEIHVIVGPMFAGKTSTLLRRIKTESSNGSFQADAREIALQLDKSLKDLCFSGRVVEAVRMLCHARVQVESETYSLLLQDCIFRKDYSLGKKIHWQMIIIGCVPNEYLNVKLLILYAKAGDLNLAHILFDKLQMKSLVSWNSMIAGYVQIGLEEVGLSMFHKMRKNGLIPDHYSFASVFRACASLAILEQGRQAHALWIKCQISGNLVVNSALVDMYFKCSSLSEGHIVFEKFLDRNIVTWSALISGYGQHGRVVEVIESFHRMLDAGFRPNHVTFLVVLSACSHGGLVNKGWEYFTSMTRDYGIQPKGKHYAAMVDLLGRAGRLADAYEFVVNSPCKDHPVVWGALLGACKNHGNMDLAKIAAKNFFKLEPGSAGKYVVLSNAYASFGLWDNVAEIRSVMNESGMRKEPGYSMIEIQREAHFFFMEHDTHEKTEELHQLIEDLTCVLTDMGMLLISVAVIKSDKDTRYALDLIVTHDGEKLPCWPLANLSSFRQKLGSEAYDKLDVIGIDEAQFFEDLYDFCGEAADLDGKTVIVAGLDGDYLRRSFGSVLQVIPLADSVTKLNARCELCGRQAFFTLRKTEETETELIAGAEVYMPVCRKHYVSGQVVKEAAKTVLESQRVQCGAVV >CDP15995 pep chromosome:AUK_PRJEB4211_v1:5:23513124:23515583:-1 gene:GSCOC_T00016937001 transcript:CDP15995 gene_biotype:protein_coding transcript_biotype:protein_coding MALKHTPYHAAMLLSSLLIISSSQFLCVKALDYNSAASLSTSWLNHPSQMVNSTELAFVTPILLRKTNGPWFMCGFYCKMDGRSCLFGVLIFQNLNTTYLQFPQLVWSANRNTPVQTHAALHLRQDGELVLKNFDHTVVWSSNTGGKPVSGLNLTETGNLVLFGKNNETIWQSFDHPTDSLLWGQKLVPGQKLRASVSESNMSEGLFSLSVTPDGLLAYMESNPPQRYYTSRFHEGRSFAFNKGRFYRWDIPYNSSAQILKFAPEGHLKVHQLDSDGMHWKVVADLLRPEAGDCGYPMVCGRYGVCKQGQCDCPHAANYRSNFFRQMDSRHPNLGCSPLAPISCDRAKDQSFLELKSTYYFAFDSSSYNHGTGLGECKNSCLNNCSCKAALFLYGGNATSQGGCLLLNEVFSIINNENHAVSVHNTTLFVKVSNVKNSRQSKATLLLTLGAFSASLCVVGCCLFLFRKRLKESKEIEMDLLDHLPGMPTRYSYEMLKKMTENFSRKLGQGGFGSVYEGILDNGTKIAVKYLDGFGQVKDSFLVEVNTIGSIHHINLVKLVGYCSEKSHRLLVYEYMANGSLDTWIFGGTEKSPLPWHTRRKIILDIAKGLAYLHEECCQKIIHFDVKPQNVLLDQNFNAKVSDFGLSKLLEKDQSRVVTRMRGTPGYLAPEWLHSAGMTEKVDVYSFGVVIMEIICGRRNVDWSMTGENSHLLDVFKRKALEETLQDIVDKKSEDVLIHMEEAIDMMKIAAWCLQSDINRRPSMSLVVKALEGLVTAETNLNYDFTNSSVVNMVTTAAEEKEAVDDATPLLPSVLSGPR >CDP05756 pep chromosome:AUK_PRJEB4211_v1:5:27185096:27188370:1 gene:GSCOC_T00021030001 transcript:CDP05756 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLAAAKSFHLPAVDVTALRSRAPLAVGCFGCVSMAGAGIGRSSSAFRSKLFASLSISADASIKEAIQTDKAPAALGPYSQAIKANNLLFVSGVLGLVPETGKFVSDSVEDQTEQVLKNMGEILKASGASYSSVVKTTIMLADLKDFKKVNEIYAKYFTSPAPARSTYQVAALPLDAKIEIECIAVL >CDP05867 pep chromosome:AUK_PRJEB4211_v1:5:26403555:26403966:1 gene:GSCOC_T00021173001 transcript:CDP05867 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQKRMVRRCKPVAGSCSRCGRGASVAEMRTSTRFCYIPFYWKSWKAIICSFCGAVLKSYR >CDP17861 pep chromosome:AUK_PRJEB4211_v1:5:1581731:1587728:-1 gene:GSCOC_T00013180001 transcript:CDP17861 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGFSWKLNDHPKLPKGKVIAMVVLDGWGEANPNQYNCIHVAETPVMDSLKNGAPEKWRLVKAHGKAVGLPTDDDMGNSEVGHNALGAGRIYAQGAKLVDLALESGKIYDDEGFKYIKESFETGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHALTDGRDVLDGTSVGFMETLENDLAKLREKGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFTNAVEAVKKLREEPKANDQYLPPFVIVDENGKSVGPILDGDAVVTFNFRADRMVMLAKALEYEDFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVHNGVSTFACSETVKFGHVTFFWNGNRSGYFDPSKEEYVEIPSDSGITFNVKPKMKALEIAEKARDAILSGKFHQVRVNLPNSDMVGHTGDIEATVVACKAADDAVKMILDAIEQVGGIYVVTADHGNAEDMVKRNKKGEPLLDKNGNVQILTSHTLEPVPIAIGGPGLAPGVKFRQDLPGAGLANVAATVMNLHGYEAPSDYEPTLIEVVDN >CDP14750 pep chromosome:AUK_PRJEB4211_v1:5:21643562:21646555:1 gene:GSCOC_T00042197001 transcript:CDP14750 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLYPAPSSLLRQSSCKRQAKVSMNMSKVNKEATGLEVANSRGGIGDLMLMFELRKKIMTFRDILHLPPCANATAIAELVVRTLEDLKKLYPSILPNIEESKEVSLQQEMSNLYEALKSIGDVWDNNRMLVADSVHSADVSSNATNSKQLGGRVIEELDNMIKTARQNFDVKHEDEKSNKDVLREMSADIKNTCSSPASPNSVPPGLKKPSAVADASNPLPTLLQTVEKCISTDICPVVSLQGTVPVKEGRKKADEQTENFSKAKSTTEGSPRIATNEVTSSPKSGINPKSNLSDMLNARRPKEASRSLSLPSIPTSPVPLAAPRPPPPPTVGVSRLNGTAPPPPPPPGLGVRKFPSLKRSNSKLRRSNHMGNLYRNLKRKLEGSNVTDAISNKINRRVGGLTSIKQGMAAALAEMTKRSAYFHQIEEDVHKHSEMIMKLGDDICSFKTKDMAELQKFRRDVEKHLEELSDETQVLARFEDFPTKKLESLRIAAALHSKLEGIAFTLEHWKTGPPVEMMLDKVERYFNKIKVDIELLDRSKDEDSKHLRSHDIDFDFNILIRIKELMVDVSSACMELALKVTIQERREATEGKRKALTKLLWRAFQLAFRVYTFAGGQDERADSLTKELGRVLHSDA >CDP16210 pep chromosome:AUK_PRJEB4211_v1:5:16334693:16338093:1 gene:GSCOC_T00017317001 transcript:CDP16210 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIELSRSDKKKKKNKHSKTEQEPEEIQKTQQPDENADDFSIKPQKFTPTIDTSEWPILLKNYDRLNIRTGHYTPISSGFSPLKRPLLEYIRYGILNLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVLPDAAKVGRALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDASRHLVELRRVRSGILGEKDNMVTMHDVMDAQWVYDNYRDESYLRRVIMPLEVVLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPRASMKKKLIAEGKLDKHGKPTENTPAEWLRNVVLPPGGDSIVAGLAALRKLRKKRRRRKRKRMMMMLYLLMERNQRKRRKRKTNRRRMLHHQMRTSLRRRKRRRSTKMQRMVILFCLWLMQEMMTIQKRARRKRKRRKRRIRMQSRPSLEDPDLLINTQLIAGAKERCELEGRVITLLKGIKKVSIAGNVIVFIDEVHTLIGSGIVGRGNKGSGLDIANLLKPSLGRSEL >CDP16202 pep chromosome:AUK_PRJEB4211_v1:5:16412663:16412956:1 gene:GSCOC_T00017306001 transcript:CDP16202 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQSFLRRILTGISFSICFHPCSILLPGIPRFNMYGTEFGLRKPVAVPNGRTNNFDGKLKVNPGAEGRGSMDFEICPLPHVMTFLVCDKEFMETVA >CDP05830 pep chromosome:AUK_PRJEB4211_v1:5:26669662:26672091:1 gene:GSCOC_T00021123001 transcript:CDP05830 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKDEGAYAHAHDTNTIYSVAEEKNKKFLQFIEEVTANADEVQKRVLAEILSRNAHVECLKRHGLNGQTDRETFKKIMPVTTYEDIQPDVNRIANGDKSQIICSQPISEFLTSSGTSGGERKLMPTTEEELERRSLLYNLLMPVMSQFVPGLERGKGMYFLFIKSEAKTPGGLVARPVLTSYYKSSHFKNRSFDPYMNYTSPNETILCPDSYQSMFSQMLCGLCLNKEVLRVGAVFASGFIRAIRFLEKHWSLLCNDIRTGTLNPRITDPSVREAVMKILKPDPQLAEFIEGECGMESWQGIITRLWPNTKYIDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECFFGVNLNPLCKPSEVSYTLIPTLGYFEFLPVDRNNGVTNCISMPKSLNGKEKQELVDLADVKLGQEYELVVTTYAGLYRYRVGDVLRVAGFKNKAPQFNFICRKNVVLSIDADKTDEVELQNAVKNAESHLVPFDAQVTDYTSYADTTTIPGHYVLFWELSLNGSTPIPPSVFEDCCLAVEESLNSVYRQGRVSDKSIGPLEIKIVEAGTFDKLMDYALILGASINQYKTPRCVKFAPIVELLNSRVKASYCSPKCPKWIAGHKQWNINVK >CDP13641 pep chromosome:AUK_PRJEB4211_v1:5:28499552:28503659:1 gene:GSCOC_T00038656001 transcript:CDP13641 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDPSTENPVAAIATQGQGQFNSHITGDNKSYPKAEAEYGEIVQNPDVFLQKLQSFHSLFGTKFKVPRLGGSSLDLHHLFVQVTSRGGIEKVIRDRRWKEVTGAFRFPSSITSASFVLRKYYLSLLYHFEQVYYFRKEEPSTSVADSASRFVNGSAASQASVDIATVNQYSEIADLEAGSLVTGTIDSKCDYGYIISVDLGSEKLKGVLYHIPEVPQMSQRSKTQSGHTRRRRRKHQLALDPSRPKPNRSGYNFFFAEHYNRLKPSYHGQERAISKRIGVLWGRLSEAEKQVYQQRGLRDKERYRSEMQEYNASHL >CDP16045 pep chromosome:AUK_PRJEB4211_v1:5:355496:359211:1 gene:GSCOC_T00017046001 transcript:CDP16045 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRCISMAFSDFYASHSHYKTRLVLHTRDSNNSVIGAAHAAGDLMTSAKVQAILGPQTSMQANFVINLGQNAEIPIISFSATSPTLSSLRSAYFIRATLNDSCQAQAISSLLQTFGWREAVPVYVDTEFGEGIIPYLADAFREAETHIPYRSVIHPSATDAEIAAELYKLMTMQTRVFIVHMPPILGYRLFDRAKEVGMMTEEYVWIITTGITNHLSFLHLFAKESMQGVIGVKPHVPRRKKLNRFIARWKRKYVKDNVDVTFFGLWAYDAATALAIAVENALAKETRPSSAFHLESGVSQIGKHLPEVISRTKFNGLTGTFNVLDGQLQSSSFQIVNILSNGERGVGFWTPDRGITRTLQPTTSESHSSSKANLKGIIWPGDSTSTPKGWVIPTNGKKLRIGIPVRSGPNQFVNIIRDPCTNKTTFTGYSIDVFDSLMKMLPYYVPYEYVPFAKSDGESAGTYDDLVYQVYLKNYDAAVGDITIRANRSTYVDFTQPYAESGITMVVPVYDRRSKNAWVFLKPLTWDLWVISACFFIFIGFVIWILEHRTNEEFAGSSPHQVGTGFWFSFSILVFAHREKIVSSLARFVVIIWCFVVLILTQSYTASLASMLTMQQLEPTITHMQDLIRKDDNVGYAKGSFVLGFLKHMKFAESRLKEYDTLDDLDVLFTKDKAHGGIAAVLGEVPYMKLFLSKYCSKYAMVSPAIKTDGFGFAFPIGSPLVPDISRAVLNLTEGDKIVGIENEWLGQQTSCPEQTTLVSSHSLGLNSFWGLFLIVGIASFTALIIYGAMFIYEHRLSLSKLHAKDLWDKITICFRKREEEQDSGGLQMMNRSMQSSRHLQKTNHSILSMRTSSSSSSVSSRSSSGLLTVADVNLFALREGGNSFV >CDP11895 pep chromosome:AUK_PRJEB4211_v1:5:20580955:20582353:1 gene:GSCOC_T00035180001 transcript:CDP11895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclin-D6-1 [Source:Projected from Arabidopsis thaliana (AT4G03270) UniProtKB/Swiss-Prot;Acc:Q9ZR04] MEFEFNLENPLPISQELLKEDSTVTSLFNIEADHMPSETYSQSLKHLSLQYNVREKIVSLILNFSQNFDPLLSYLAINYLDRFLSSQPLSEEKPWILGLVAVSCVSLAMKMRKTESSVSDIQHDGGFIFDAQTIERMELFILGALKWRMRSITPFCFINCFISLFKFKDLPSTQALKSRATKIILKAQNEIKLWEFKPSVISASALLSASHELFPLQFPCFRNAISSCSYVNKENLLNCCKMMQEMAMEDYESVLDMVSSSNTPANVLDLHCSSSSSSDIEQTNPSEATFRLEKVPKRRKIGGFKSQNAYNEKLSQRQKR >CDP16053 pep chromosome:AUK_PRJEB4211_v1:5:446809:452316:1 gene:GSCOC_T00017056001 transcript:CDP16053 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFISSSACLFSGLSQRKALRVRAVASEDATAVKTVGEEDKVKLGGSDLKVTRLGIGAWSWGDTSYWNNFEWDDRKMKAAKGAFDASIDCGITFFDTAEVYGSRVTFGAVNSETLLGRFIKERKERNPQVEVAVATKFAALPWRFGRQSVLTALKDSLCRLGLSSVDLYQLHWPGIWGNEGYIDGLGDAVEQGLVKAVGVSNYTEKRLRDAYEQLKKRGIPLASNQVNYSLIYRAPEENGVKAACDELGVTLIAYSPIAQGALTGKYTPENPPSGPRGRIYTSEFLVELQPLINRIQEIGEAYDKTPTQVVLNWLIAQENVVPIPGAKNAEQAKEFAGALGWRLGNEEIDELRSLASKIRPVVSFPVEKL >CDP05726 pep chromosome:AUK_PRJEB4211_v1:5:9514293:9523333:-1 gene:GSCOC_T00020990001 transcript:CDP05726 gene_biotype:protein_coding transcript_biotype:protein_coding MRLINVATCNLNQWAMDFDCNMKNIKESISKAKEVGAVIRLGPELEITGYGCEDHFLELDTVTHAWECLAELLLGDWTDGILCSFGMPVIKGSERYNCQILCLNRKIVMIRPKMWLANDGNYRELRWFTAWKQKDYLEDFLLPAEVSDALMQTTVPFGYGYVQFLDTAVAAEVCEELFSPMPPHAELTLNGVEVFMNASGSHHQLRKLDLRLRAFIGATHTRGGVYMYSNLQGCDGGRLCYDGCSCVVVNGDVVAQGSQFSLKDVELVVAQIDLDAVASLRGSISSFQEQASCKKKISSVPVPFKLCQSFNLQMSLSSPLKIRYHSPEEEIAFGPSCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVQEIENNDEQVKADAIRIGHYTDGQFPTDSKEFAKRIFYTVFMGSENSSEATKARAKVLADEIGSWHLDVSIDGVVSALLSLFQTLTGKRPRYKVDGGSNIENLGLQNIQARIRMVLAFMLASLLPWVHSKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKADLRTFLKWAAIHLGFSSLAEIEAAPPTAELEPIRANYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFKNLCYKWGAKLTPVEVAEKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDELARDLDGDKVAITKSTDQEREDGTTNGGMGVVAAGSGDPRAGF >CDP11793 pep chromosome:AUK_PRJEB4211_v1:5:19181233:19182321:1 gene:GSCOC_T00035035001 transcript:CDP11793 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSINKFIGSRGTAQSTAEESGWTQYFDDFSSDQKEHDSSSYSNGSPSLVSDAASPNKKESGPCSAFSDQQVPRRLNVKKQRTKKYSCDDLEDTASSPVNSPKVSSLKPMDSTYRKTDESIGNFLGKESRPVALHQSDERSSINFDGKNNGYVELKKKGLCLMPFSMVLHYLG >CDP11860 pep chromosome:AUK_PRJEB4211_v1:5:20192143:20196329:-1 gene:GSCOC_T00035131001 transcript:CDP11860 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSNFFFFFLHIFKLFFSFFFLLNPPSRHHWQITTTKMETSNSISATIEDLPESILLHILSFLPTTTAVQTSAVAPKWRNLWHSIPVLHFNIRKFHSCVPDRSAAAARQLFAELISQTLLRRPRHSPLRKFRLKFDYRNYDSIRSYVNSWIRYAVSSRAVELDLTFGLYLSVKAEEDDDGETSADYCFDFSDLINSSVKVLKLRGCKFSLPRSRAFPIKIESLTALYLFGFDFGFVSMDMDVSKLVSLCVNLEFLCIEDVSGLNNLKIVSPKLKELKLSISRQTTLWDKSVEIFAPSLQSISFIWFYMGNYVLKDVSSLIEAHVEFDSREELEDFACWSNLVSLLSGVENLTVQNMWMSRFMQMAELASDNFEEVNKMLEVQFDAESEDNQEELHGKLEVFCLQLSVEEEVFSETFAFNNLRHLVLLTGYTEYDLLGLEAVLKACSTLESMVLEYSYETDFDDILGEEIMERPIVMHMPSLRLVKMEKYRGVKNEVYVVGLLKKYGLVLEKIVAFPAKVGEILSPPFVL >CDP11882 pep chromosome:AUK_PRJEB4211_v1:5:20440705:20442047:-1 gene:GSCOC_T00035161001 transcript:CDP11882 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVAEAFDVPCYVFFTCGAATLGLMFHFQTLEDEQIKEISNLVHVEMKLVIPSLTNDVPSSVIPIFTTRKEIWRCWFLKATREYRRAKGIIVNTFADLEPHAINSFLMEYSYGTSRLPPIFPIGLVLNTSQIQTQSTNDHSEMMEWMDIQPEKSGAFLCVGSIGSFKLDQVKEIAVGLEKEFENHGLALPEGFLDRAALIGKVVGWIPQMAILSHPAVGGFVSYCGSWFAISGYLVWCANCCMAIVGEQQFSAFQLVKELAMAVEISLDYNETNEHQTNRERNKGSHGW >CDP13592 pep chromosome:AUK_PRJEB4211_v1:5:28838561:28840730:-1 gene:GSCOC_T00038595001 transcript:CDP13592 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETMSSVVTTNSKDEDDDVPLPGFRFHPTDEELVGFYLRRKVEKKPISLELIKQIDIYKYDPWDLPKASTVGDKEWYFFCKRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAGGEGRDCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPAEHDNIKSTKHIDAKNIAQEAEVWTLCRIFKRNVSYRKCMPDWKEISGKRLSNAVDASSKTCSMEFDNNDKKPLINNNNNNNNNNSVHGLGHVDITNQLLMSQLSSFSQAPSSTTASYASFASSDATDFLKHGDWDELRSMVEFGAGPTFF >CDP15858 pep chromosome:AUK_PRJEB4211_v1:5:22332922:22333584:-1 gene:GSCOC_T00016753001 transcript:CDP15858 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKEKSKDVKPSTGKANAVKEVHFRGVRKRPWGRYAAEIRDPSKKSRVWLGTFDTAEEAARAYDAAAREFRGPKAKTNFPSPSEIQSPSNSSTVESSSGDGSTHAPVELDLTRRLGVAAGGGGAGSEIPILHHPQAMAVLPNGQPVVFFQPMALNRADYPYRFGPVAMDYANVVGRGRGAVHSDSDSSSVVDDNISDGDNMKRGGGLDLDLNFPPPVEA >CDP21257 pep chromosome:AUK_PRJEB4211_v1:5:15289708:15292026:1 gene:GSCOC_T00003711001 transcript:CDP21257 gene_biotype:protein_coding transcript_biotype:protein_coding ESDKDALLDQVLSKSSSQGRKGSSSQVISVVGVGGSGKTTLAQLLFNDDRKRIARAILESLEKSSLGSLELEPLLQLLKETFSGKRFLLVLDDVWTEDDSKWEPFQNSLKDGAPGSVILVTTRSHRVAAVVGTTHTHQMALMSDSDCWLIMQRIAFGGRSEEWRKKRESIGQKIAEKCKGLPLAAKTMGSLLRLKDTVQQWQNVLDSEIWQLEEELSPEQKLFPHLYLSYNELSPELKRCFSYCAVFPKDHSIVVEELIWLWMAQGYVRPRRRGESLELVGREYFDNLAMRSFFQELQKATIYRRMTCKMHDIVHDFAQFLTKNECHALDGTGRNSSSERPRHLTILEVGTEDVDFGRLRSFLTFSEIGRVVVPQNLFSRLKCVRTLTLSDCGLDEIPAEIGRLIHLRLLDLKRNPFVALPEAICDLYYLETLDISFCDKLSSLPEKIEGLVHLRHLFNYEYTDDLRQIPQGLGKLTSLCTLSGFIARSNSDDLAILKDLNQLENLVIKIKGEVDFGKTPSCIETMQPPPNLELLALVGYPGAQLPSWLVTKSHANNLTKLVIGRPHNISSLLALRKLSSLEELKLVEVGKLECLGKEFFGSSSSAEAVAFPNLRKLHFDACNNWTNWEDLSEDDEEVAVSIMPSLEKLKISKCYRLEVLPHRILSKILSLKILDIRSCDKLRDHYSDKTGDDWKQISHIPQVDISDKW >CDP05729 pep chromosome:AUK_PRJEB4211_v1:5:27351628:27354221:1 gene:GSCOC_T00020995001 transcript:CDP05729 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRSSSTVATSSGFFAFRNMSLFFFFALISFSEGQTVPAVYVFGDSLVDVGNNNYIKDSLLKANYPYNGIDYPGGKPTGRFCNGKNAADFIAEKVGLPTSPPYLSDTSDVFLEGVSFASGGSGLFNTTGQGFLRKTLSLAQQVDYFTALHDRLVKQLGPAAAQQRLSKSLFLVVIGSNDAFAYFESLNNRKVMKVTPDQYVDQMISILQGLLKQIHSLGARKFVVVGLGSLGCCPGQRHDTGNEQCNQDINALAIKYNQGLASMLAGLKSVLNDFSYSLFDTYTVLLDIIDNAATYGFTEAKAACCGLGKLNADVFCTPLAVYCPNRTDHVFWDKVHPTQATDKIMVDTIYSGSQPYVSPINVKQLVAL >CDP15889 pep chromosome:AUK_PRJEB4211_v1:5:22644241:22648565:1 gene:GSCOC_T00016794001 transcript:CDP15889 gene_biotype:protein_coding transcript_biotype:protein_coding MILPVAKLGTLALKTICKPIARRIKKEATFHPQFRLFITNIAQANHRLTTTIQRQIYGHSTDVAIRPLNEEKAVQAAADLLGELFVFTVVGVAVLFEVQRNARSEARKEEMRKQELEALKQRDEDLSKEVEILKYKVVELEHLVKGRRHNIFSLRQADSMNDKVKT >CDP05861 pep chromosome:AUK_PRJEB4211_v1:5:26429379:26431229:-1 gene:GSCOC_T00021164001 transcript:CDP05861 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWDELQFSVSRLVNRKPSGPSKRPSDTITALHGQPATALVSRATKFVDAVRSNGAQKLDDYFPGPHRREKISRVLTNLGKFAVDSAIEKCLESVTGGIQVYQIVREGLKDQPKSRPSNEIKKQDLTVATEEMQAKMEKMQEDVNILKQQNQTCAECSRELEPLKELSDEPIQTPTSAQTDKKKVVIRSRL >CDP13725 pep chromosome:AUK_PRJEB4211_v1:5:27965437:27968443:1 gene:GSCOC_T00038768001 transcript:CDP13725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-pore potassium channel 1 [Source:Projected from Arabidopsis thaliana (AT5G55630) UniProtKB/Swiss-Prot;Acc:Q8LBL1] MTYITSGKVLRVLLYICWMAESGVKQPLLTESLDVAPKTNQKINHRRRFRRCKSAPLAEFTPGELNGKDGSLPRSESIFNKLHPSIRKVAIFLFLYLAVGAFCFYIVRHQIAGERTDGVLDSVYFCIVTMTTVGYGDLVPNSVATKLLACVFVFSGMALVGLMLSRGADYLVEKQEVLLVKALNLRPKVGPTETTEEIETQKVSYKCATVFIFLLVLIVVGTAFLTIVEKLDIVDAFYCVCSTITTLGYGDKSFSTKAGRIFAIFWILMSTLCLAQFFLYIAELNTEKRRKELVRWVLTKPMTNVDLEAADLDDNGIVGAAEFVIYKLKEMGKISQEDISVVLEEFEYLDVDQSGTLSTSDISLAQSS >CDP05855 pep chromosome:AUK_PRJEB4211_v1:5:26468378:26470390:-1 gene:GSCOC_T00021158001 transcript:CDP05855 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKKKLKNGSAPMDLTSDEQVFHVPQAMDTSEPGASRTSIGGPHRKTKGVQMKRTKNVRKMKAIAKAISKNEQSEQKISKNGSKITRIQSAKKLYD >CDP15967 pep chromosome:AUK_PRJEB4211_v1:5:23222926:23225505:-1 gene:GSCOC_T00016897001 transcript:CDP15967 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYMPEEVVTRILARVPAKPLLRFRCVSKSWKSLISSSDFISLHTRQAFLSEPAVPDDRVLVRHYSKPQRTELYSVHHDNEDFTVASEAKIDFPFRRLAQFYFRIVGFSNGLLCLSDDIFGYTNVIMLWNPLIRRKITLPLPRAIYKNLGPFMFVLGFGYDLKSNDFKVVRIAYDQSDSGYNLPPIVEVFALSAGNWREIEVNLPQNWIIEHFWTQAYVNGKVHWVAYRLNVEEYRTENLIMAFDLSNEVFEELLLPDALVVECPINLCTSVCKDSIAVLHYDKHVETGCCTIWLMQTYGDVKSWSKMYTVDFEGGLGRILSFRKDGTILLTARDGDLYSYDPNPRIQEIKYIGILGTKDSFFVDRYTESLALLIDGEQVLEGLPNVAESDSSGDENEGEDDRVEANELWKQSMMVEFLKALLEQTTMMQDPFV >CDP06019 pep chromosome:AUK_PRJEB4211_v1:5:25288673:25290936:-1 gene:GSCOC_T00021363001 transcript:CDP06019 gene_biotype:protein_coding transcript_biotype:protein_coding MAGITQDWEPVVIRKKAPTAAARKDEKAVNAARRSGAEVETIKKASAGTNKAASSSTSLNTRKLDEDTENLAHQKVPTELKKAIMQARQEKKLNQAQLAQLINEKPQVIQEYESGKAIPNQQIISKLERALGVKLRGKK >CDP13652 pep chromosome:AUK_PRJEB4211_v1:5:28429762:28431326:1 gene:GSCOC_T00038671001 transcript:CDP13652 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKDAHVVVEIPVDEEHQQKLISAMSTTSSAIQHHPLMEISQSPGHLLLLKLWQREEDLFGRKIAIKEARLDNIRKEIFQLCCFYFIFHGLFLTISFTSYNSDENQHRNMCHRWWIPSVLSMCTSLVIVFLVQMKLFRYWKVTNQLQREKNDNRTLTRCIQELRMKGASFDLSKEPQNGKRMKSSSVEIKWKPLSSLSQYLITLCLVCFAGLIFPTSRFILCA >CDP05843 pep chromosome:AUK_PRJEB4211_v1:5:26555856:26559893:1 gene:GSCOC_T00021142001 transcript:CDP05843 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKLIFRPNCLPFSVLSFPPRLFSRPLNFSLLSTSTTTAVAAVSSTLPYGPSLRKGHSPSPFPPTLHQCPSSEQESDSNLFDEDSFTRVFDLAALRVPSELCFGLENRLRGHLLNWPRVRNIARVPGDEIDAELKKLFPDCSSSNNLNTDNDALVTLNRRIYGKADGDGELLSPVLYRDKLARTFNSRGFVNFRNLAKISRPKKVKKKEEERKEKGKKGGVGRNEMAVVAVVEEEESDVSGLLGDEFKGKSWRGSTRLLLLDETYANKGINEMPEAIKAVLKEYVGDKGDSTFELVKCKLTLFYSYWQMNEVLEALLPNGMIIPSAFETVGHIAHLNLRDEHLPYKKIVAKVVLDKNKPKIQTVVNKTDIIHNDYRTMQLEVLAGNHSLVTTVVENGLRFHVDLATVYWNSRLSTERQRLLNYFTRDDVICDVFAGVGPIALAAARKVKHVYANDLNPYAVDYLERNSVLNKLEKKIEVFNMDGRRFIDTIFASHKTRPVTQVVMNLPNDATEFLDAFRGLFRKHHKDRGYTLPRIHVYGFSKAQDPEFDFHERIRIALSEVAFDVEMHRVRIVAPGKWMLCASFTLPERVAYSKTVSIL >CDP13808 pep chromosome:AUK_PRJEB4211_v1:5:27447694:27448161:1 gene:GSCOC_T00038868001 transcript:CDP13808 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGLIFTVALAMALAITLVTMKNTAEESVMKNDKNSDSERGKILMPSKRLSRFLAQKPKPKNPRAADHCKKHNDICGNIPGEEGRNSTCCNNKCVDLVYDAYNCGACKNKCLPFEACCNGRCVNLSFDKRHCGFCNKKCMPGGYCIYGICDYA >CDP16073 pep chromosome:AUK_PRJEB4211_v1:5:911958:912363:-1 gene:GSCOC_T00017086001 transcript:CDP16073 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNPYCLGWPLGVDGKLLMAILTWLPTIYHWSSNWMCSMLQDQLRSCRNLVGNDCPSFSAITHTVYPCCKNKLGL >CDP05682 pep chromosome:AUK_PRJEB4211_v1:5:12486516:12488668:1 gene:GSCOC_T00020880001 transcript:CDP05682 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYVSLNIIALNIMEEVQEQTVNHNFNSCLLALLKSHTLLLKTPQPIDNDCNDERWRCFQNCLGALDGTMSKVTPPKEEKSRYRTRKSDLAMNVLGVCCYYLVDGGYCNSEEFLAPDWEQRCHLNQFEAHRPKILDDSLIRGRGRNKCFWTANESKVLIEALQEIACDPM >CDP06133 pep chromosome:AUK_PRJEB4211_v1:5:24344143:24347818:-1 gene:GSCOC_T00021524001 transcript:CDP06133 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSIFKRKYWAAFVYLVLVSNICNGFYLPGTYMHTYTTGEVLYVKVNSLTSIETELPFSYYSLPYCHPQGGIKKSAENLGELLLGDQIDNSPYRFKMNVNESLYLCTTPPLSEHEVKLLKQRTRDLYQVNVILDNLPALRYATQNGVRIQWTGFPVGYTPLGSENDYIINHLKFKVFIHEYEGAGVQIIGTGEEGMGVISEADKKKASGYEIVGFEVIPCSIKYDPDKMEKHHMYDSIEPTSCPSELDKAQIIRQQERVSFTYEVEFEKSNTRWPSRWDAYLKMEGARVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKETQAQMNEELSGWKLVVGDVFREPNHSKLLSVMVGDGVQILGMSVVTIVFAAFGFMSPASRVPLTLLGGFLGTRAEPIQYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLVVVCAEVSVVLTYMHLCVEDWMWWWKAFYASGSVALYVFLYSINYLVFDLKSLSGPVSAILYLGYSLIMAIAVMLATGTIGFLTSFYFVHYLFSSVKID >CDP05899 pep chromosome:AUK_PRJEB4211_v1:5:26135657:26143030:1 gene:GSCOC_T00021216001 transcript:CDP05899 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLKDARISGSLNLSNRSLSEVPNEVYKSLDAIGEGEKWWEAVELQKLILAHNEIELLKEELRNLPLLSVLNVSHNKLTSLPASIGELHILKSLDVSFNLIENIPEEIGSAAALVKFDCSNNRLKDLPSSLGQCVALSELKASNNSISSLPDELANCSKLIKLDVEGNKLIMLPKEMIASCTMLSEINASKNMLSAMPDNIGSLSRLIRLDLHQNRISSIPSSINGCLSLLEFYMGNNDLSSLPAEIGALTKLGTFDLHSNKLKEYPVEACTLQLLFLDLSNNSLSGLPPEIGLMTTLRKLLLNGNPLRTLRSSLVNGPTAALLKFLRSRLPTDEGSAATATAKEDVITMAARMSFSSKEISLEGLGLNVVPSEAWKSSDIIKVNLSKNAIEELPVEFSSCISLEALILSKNKIKEWPGGILKSLPKFSCLKLDNNPLRQIASNAFQTTPKLHILDLSGNAGCLPEHPEFSSMPELQELYLRRMQISVFPAEIMSLKQLRTLDLSQNSLQHIPQGIKDMTSLTELDLSDNNISALPPELGLLEPSLQVLKLDGNPLRSIRRTILDRGTKAILKYLKERVAED >CDP05715 pep chromosome:AUK_PRJEB4211_v1:5:10756914:10763199:1 gene:GSCOC_T00020948001 transcript:CDP05715 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCKEYIFWLILAMKIFLAHAKVPALIVFGDSSVDAGNNNHISTVLKSNFQPYGRDFYGGLPTGRFCNGRIPTDFMSEAFGLRPFVPAYLDPSYTIADFAQGVCFASAGTGYDNKTSDVLNVIPLWKEVEYFKDYQKKLKAYLGTAKANFIIHEALYVISVGTNDFLENYYTLPHRRSQYNIDQYQAFLLGIAEKFTLDLYQLGARKIVLTGLPPMGCLPLERTTNYFGGNGNECIKSYNVVALNFNGKLNGLVQKLNGELTGIRAVLSNPYNTLLQMIQKPSAFGFESAAVACCASGLFEMGYLCHRLNPLTCTDANKYVFWDSFHPTEKTNRIISDYVIKHVVNVFL >CDP13686 pep chromosome:AUK_PRJEB4211_v1:5:28204888:28207968:-1 gene:GSCOC_T00038713001 transcript:CDP13686 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLASHTALKPRKEGQDTQEELQRRNLRDELEERERKHFSAKDDRDRRRGSHLLLEGAKREADDRIVPRSVDADDADVGANSSDESEDDDDDDEDDTEALLAELEQIKKERAEEKLRKERQMQEEEIKAKEAELLRGNPLLNNPTSFNVKRRWDDDVVFKNQARGEMKTPKRFINDTIRNDFHRKFLQKYMK >CDP13637 pep chromosome:AUK_PRJEB4211_v1:5:28524628:28525453:-1 gene:GSCOC_T00038652001 transcript:CDP13637 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHIMNSISPPPADADADADAEVPTYTTIPISGADVITRSIQNLTASFSRCRPWPDFLATGAIDLPSSLSAASLRLRKNVRYFSTNYAVVASTCAAVSLIGSPVALIVVGFGFALWLVLFFFREDPMVIMGRHISDRAVIVALVLCSAAIIWFTGALTSLLIGVSVGVEVVAIHGLLRNTEGLFLDENDGVTDGLVSTSHNPNGGLS >CDP05783 pep chromosome:AUK_PRJEB4211_v1:5:27002945:27005757:1 gene:GSCOC_T00021067001 transcript:CDP05783 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLPETSSKNRKIFEGEEISNEAQDGISQLPDNILCHILSLLPTKFAAQTGILSKRWKELWLSVPVLEFQLHLWANYEGNMKAFDRFAKTKIESFTNFLNRLLAVRDTSGIKKFRLVCDHPVDSRCLDNWLSALHNVQELDLQIWELGEFQWSPFADNSLEILKLGCGLLLNFPSTVSFPRLKILHLHSTTYAGNASVEKLLSSCPVLEDLQISRTNQDNVTNFVIAVPSLKRLALDFSTNEGELYNEDDYENAVEHKLIITAPNLEYLSLVDYMSDSIQVSSMASVTESRIAVCKILEIDSRTAEQISNYGSNVCGIFRSIPNVKRLSIGEFTMESTSESLDRRLPVFQSLVHLEINLDGVNGAMLLPELLEISPKLESLSLPQGITSPGLMGFNPQENQGLSYSWKPPQNVPECLLFSLKNVEIRRITGRVEEEVKLLKYFLKNALVLEKITICYEEFEVSGGPMDTTNYPAARRLDDRVSLIDKLMNCAKGSAACQLDVQMPELSL >CDP19669 pep chromosome:AUK_PRJEB4211_v1:5:7174273:7174833:1 gene:GSCOC_T00013792001 transcript:CDP19669 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLHEKDEMETGSIFEDEVSKKPKLCINDNMDVLIEILKRADGRSLGVAACVCRLWRAITRNDSLWEHLCFRHFSPPPEAVKKMVVALGGYKRLYMVCVRPVLNRLFGKFRRMKVLKGSGEGGCESDSDLVRRVWTRQEVELSLSLFCVDYYERVLLSAGGGGGSGRIGDSSALSLMFLCEAVNV >CDP15885 pep chromosome:AUK_PRJEB4211_v1:5:22555293:22556195:-1 gene:GSCOC_T00016790001 transcript:CDP15885 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGGGDRSWCFRPWNGKGIQYPDHEEVLKFLNRFARGFGLNELIQLNAEVIRVEQKDNEWMIESKTSDDQLNEEELFDAVVVCNGHYTQPKLANSPGIKKWPGKQIHSHTTIEFLSLTEIRY >CDP06001 pep chromosome:AUK_PRJEB4211_v1:5:25405968:25411096:1 gene:GSCOC_T00021342001 transcript:CDP06001 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC35 [Source:Projected from Arabidopsis thaliana (AT1G78870) UniProtKB/TrEMBL;Acc:A0A178WFI9] MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >CDP14700 pep chromosome:AUK_PRJEB4211_v1:5:21078810:21080455:1 gene:GSCOC_T00042117001 transcript:CDP14700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MGSYSSNYLRLLSYTKLLASHVNGGRHQKALSLFHHIHASLSFALDPFVFPLALKSCASLHCPDLGTSIHALTYKWSFVSNPFVASALVDMYGKCISISSARRLFDEIPQRNAVVWNAMISLYAHSSDMPAAMDLFEVMDVLPSVSSFNSIIAGFLEMDDGFYKAIGFYRRMLKLGLAPNLITVLALLRACLGVSALNLIKEIHCYAVRNEFEPNMHLRSGLIEAYGRCGCLRNADSVFQQMKERDVVAWSSLISAYALQGEARTALEIFAQMEMVNVKPDGITFLAVLKACSHAGLADEARVYFTRIQNCYGVEANSDHYACLVDVLSRAGRLREAYEVIKGMPVKVTVKAWGALLAASRTYGEVELGEIAGRALLEMEPENPANYVILARIYANAGRPTKAEEIRREMKERGLRATPGSSWTIHQN >CDP05946 pep chromosome:AUK_PRJEB4211_v1:5:25791433:25794717:-1 gene:GSCOC_T00021271001 transcript:CDP05946 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVINSLRSHFFKHLLCTSTAAAAASTATALIFGHRTRGLVGQSRFSDSVFFFSERYKLLSQLASKTATFSTSRSSSSLETLPTDSYTSPYLSVTIRCQKNVADMLSEALLCFGANSTSIDEHDDSEVGDEICISSIFSVSQDVKESISLAVDSIGLKEIPSYDVVMHDHTDWIKESQESFHPVEVIEGIWIVPEWRKPPDLQATNIILNPGLAFGTGDHPTTKLCLMLLYDVIKGEVFLDYGTGSGILAIAAIKFGAAFSAGLDIDPQAITAAQHNAALNNIQPEKLFLSLVPSTGSATFTHEIVSKPTDRQHLNDKGVFTETEKFHVVIANILLNPLLDLADQIVSHAKPGATVGLSGIISEQVPRIIQRYSPFLEDIKVSKMDDWACLAGFKKINLG >CDP18728 pep chromosome:AUK_PRJEB4211_v1:5:23723876:23727264:-1 gene:GSCOC_T00002659001 transcript:CDP18728 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLFSSALATLRYYSSATYHSTKWSKNRYNYKSKWGGLSSPIKPKTRWTNEQSQVLNKSKMNSPKMPRKPRMKWTDEQTQVLNAILEGKSVFVSGSAGTGKTALLEHVIKKLKKIHGRSQVFVTASTGVAACALNGMTLHSFAGTGLGGVDRASLLSRVLSDRRAYRRWIKAKVLVMDESSMIEADFFNDLEFIASEIRGQDPLLKGKVWGGIQLVVSGDFFQLPPVLKKKKKKGAKVFAFEADCWNASFDLQIELTKVFRQSEADLVKLLQGIRRGDSDPEDLQILKQRCFSSEEDPSAVQLFPRNEDVNRVNKKHLQSLGEQIFVYSAVDSGEEPSKKQLRSGIAPDQLELCKGARVMLCKNINPWLKLVNGATGTIIDFHDKIDAFYESAGDCIDSDIHSICSDGYLLPVVKFDSGQVLKIGLETWVVMDGERVVAKRKQIPLILAWALSIHKCQGMTLDRLHTDLRRAFGCGMVYVALSRVKTLDGLHLSGFNPAKIEADPKVLQFYQNLSSSRY >CDP13625 pep chromosome:AUK_PRJEB4211_v1:5:28632306:28635758:-1 gene:GSCOC_T00038637001 transcript:CDP13625 gene_biotype:protein_coding transcript_biotype:protein_coding MGICYSSIGKKSREREWKSKNDDAAKIDLMLRKANSGSTDFVSFISKISGGGGGGTASAAEERALHQIPGRLFANGASSVASLYTQQGKKGTNQDAMIVWENFCSKTGTIFCGVFDGHGPYGHMVARKVRDCLPLLLRKEWESTTASTADQGSGKIENGDSTGSTRFDEILDDECCELPDNEATEKFPEIHQLLRKSFLKAFRLMDKELKLHPTVDCFCSGTTAVTLVMQGQDLVLGNVGDSRAVLATRDNDNSLMAVQLTVDLKPNLPREAARIQKYKGRVFALQDEPEVARVWLPNSDSPGLAMARAFGDFCLKDFGLISVPDVYYHQITEKDEFVVLATDGVWDVLSNKEAVDVVASAPSHATAARAVVDCATRAWRLKYPTSKNDDCAVACLFLEHVVSSNAAQAQNGLIKEPEEEATILAGTGDSRICNATDVSSASQENPIETSNAVGEIEQIVESTEEKPPEKNLGQSKRSLAECLSTAEDEEWSALEGITRVNSLLSLPRFLSMDKRSASWRKWL >CDP16369 pep chromosome:AUK_PRJEB4211_v1:5:2884804:2885169:1 gene:GSCOC_T00018204001 transcript:CDP16369 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAATPAQELFVANGKKPKGSTAAATPSLLSFGNPVIGGSPPSQGASSDSSDDNGSPLNRSSGPYNNAQTIRNIPMYTNMCWPNSTLKMHPN >CDP16471 pep chromosome:AUK_PRJEB4211_v1:5:7370280:7370474:-1 gene:GSCOC_T00018404001 transcript:CDP16471 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTTTMVLFLFIIVFLSTALASFTANVTLDHCALVIDGKRKVLISDAIHYPRSTSQGRTALLP >CDP06065 pep chromosome:AUK_PRJEB4211_v1:5:24913542:24915625:-1 gene:GSCOC_T00021423001 transcript:CDP06065 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDDLRLAIEAWNQCNEVGEEAPRMGSPRKADCFEVNYSTSPISLVHKVGEKDNALGISSAPSQGIGELDVNQYAAWKELYLGSICQVQDKSKPWQFWKIMLKNGNMDTLAAICPANGKKASPFRKSVFPCFGKGCMNMPSMYHNYTSVQGYQGSHTLRGSFYGTWDLNCDMNKTTIRNGTSYYAVTWEKVVGKASWNFHHVLKTSPKYPWLMLYLRADTTEGFSGGYHYETRGILRVIPKSPYFKVKYTLDVRRGGGRRSQFYLLDIGGCWKNNGKPCDGDVTTDVTRYSEMILNPEVYSWCNPSSLGSCPLYHTFPNGTRVHRTDEKNFPYKAYHLYCSPGNAKHPEEPHSFCDPYSNPQPQEILQILPHPVWSDYGYPTEAGEGWIGYPKTWELDAGRLSQALYFYQDPGSPPAKRYWPSINVGTEIFGSEDEIAEWTVSDFDIVVPEEQYSRGTRMSVKIYLVCCFLISYPIIYQIFRT >CDP06171 pep chromosome:AUK_PRJEB4211_v1:5:24037064:24041050:-1 gene:GSCOC_T00021570001 transcript:CDP06171 gene_biotype:protein_coding transcript_biotype:protein_coding MRASPSIIRFISILSALLIPTFAEKKSYVVYLGSHSRDSEIEVSAVEYERVRNSHFTLLGSFLGSEEKAQESIFYSYTRHINGFAAVLDEAEVDEISKHPDVISVFLNRPKKLHTTRSWEFMGLEHDGQIQPSWTEARFGEDAIIANLDTGVWPESKSFSDEGFGPIPPRWKGICQNGQDPTFKCNRKLIGARFFNKGYFAAVGGTVNSSIFDSPRDTEGHGSHTLSTAGGNFVPGASLFGLGNGTAKGGSPKARVAAYKVCGPMTFEGSCYDADILAGFDMAISDGVDVISVSLGGRPQPYEEDSIAIGSFHAVKHGIVVVCSAGNAGPDLATVSNVAPWLITVGASTMDRKLATFLNLGNNISLVAEIIPYLLFPSSCSTFCMAGCLDPIKAKGKIVVCLTGENGIVEKGINAASAGAVGMVLANDVSDGNEISSDAHVLPAVQIRYTDGAAVFAYINSTVRNPTAYISPPSTLLGIKPAPVMAPFSSRGPNTVTPEILKPDITAPGVDVIAAYSEAQGPTGESFDKRRTPYFVDSGTSMSCPHVSGIAGLLKTLHPDWSPAAIRSAIMTSGKTRDNAVERITDDGHIKATPFAYGAGHVHPSQAMDPGLIYDLTNQDYLTFLCSLGYNETTILLFTHETFTCPKPISLVNLNYPSIAVPKLNGSITVTRTVKNVGSSPATYTALVVNPPGLSVDVQPKTLAFATIGDQKSFNVTITATKAGANRDYVFGMLTWSDGKHYVRSQIAVKTSD >CDP05693 pep chromosome:AUK_PRJEB4211_v1:5:11631927:11635688:1 gene:GSCOC_T00020898001 transcript:CDP05693 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLANASYFQKGGSTSSDDCSSLETWLSKKDAGHIIEFITYYVAYERAKVSRYILSQNLEYLTSEISFSPSVSRQNIEIHKRREKQLLTLLEVVPDTDWDAPYLLHLCERCQFHQVCGLIHSNRCQYIAALDSYIKAVDESIHAFSFIHDMLQRLSETDSEAFQAAIFSRIGDLVKLDSNTEFLKLGGSVKDRVATKIIKEINAI >CDP13734 pep chromosome:AUK_PRJEB4211_v1:5:27899970:27906281:-1 gene:GSCOC_T00038781001 transcript:CDP13734 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLNKMHRALDKILENIVDDHAQKSRQIVPTDGATKEDLVDVLINAQKSGDSGIPLTKDNIKAVILDIFSAGSETSSTAVEWAMSEMLKNPGVMKKAQEEVRKAFDGSGNVRESGLHELKYLQAVIKETFRLHPPVPLLLPRESSEQCNINGFEIPTKTRVIINAWAISRDPRHWTDAEKFKPERFLDSTIDYQGKDLKYIPFGAGTGRRICPGIALGLASMELLLAQLLYHFDWKLPGKLKNEQLDMAEAFGLTVRRKNDLQLVAVPYKTDQSHETLPLIQFYDSNPLSDRNYMALHKPQDQSQIDKIVCPRAVIEPSSRAVIEPKAMLEGSTSLIEHFNFNYLIYYYFIIIKLPLYPKELSNLRNVSIKLLNPYKGKESALKLPPGPKPLPIIGNLHHLGGSQMHHILRDLANQYGPLMHLKLGQASTLIVSSPEVAEEFMKTHDIIFANRPRLLSGRILNYDCTDIAFSPFGNYWRQLRRICKTELLSPQRVQTFRSIREEEVMNLIESISSNRGSTINLSSKILSLTYGITARAAFGKKSKYQEDFISLVKEAIIIAAGFTIADMYPSVEIIQVISQLNPKLRRLHKNIDAILENIVNDHKEKSLKTRGTDEKAEEDLVDVLLNIQKSGESGTSLTNSSIKSVVMDIFSAGSETSSTTVEWVMSEMLKNPDIMKRAQDEVREVYDRRRNVDESRLHELEYLQAVVKETLRLHPSAPLLLPRENSEQCEVNGYVIPVNTRVIINAWALGRDSKYWTEAEKFKPERFLDCPSDYHGNDFKYMPFGAGRRICPGISFALASIELQLAQLLYHFDWKLPNEQKPEQLDMSEGFALTVRRKNDLYLIPIPSSCSFLE >CDP05678 pep chromosome:AUK_PRJEB4211_v1:5:12868590:12871826:1 gene:GSCOC_T00020872001 transcript:CDP05678 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFNVPLLLLLILSIFSHSDGGSIGVNYGRIADNLPSASKVAELLKSQGLDRVKVYDTDPAVLKALAGSGVKVTVDLPNELLFSAARRPSFAHSWVQRNVAAYHPATQIEAIAVGNEVFVDPHNTTRFLVPAMKNIHSALEKYNFHDDIKVSSPIALSALQNSYPSSAGSFRPDLIEPVFKPMLDFLRQTGSYLMVNAYPFFAYESNSDVISLDYALFRENPGVVDAGNGNHYFSLFDAQIDAVFAAMSAIKYDDVSIVVTETGWPSKGDPNEIGASVENAAAYNGNLVKRILTGGGTPLRPKENLTVYLFALFNEDKKVGPTSERNYGLFYPNEDKVYDVPFTVEGLKHYHDNPTPVTGDRRNSKGTSGNSHSYSGQTWCVANGDEEREKLQVALDFACGEGGADCRPIQPGSTCYDPNTLEAHASFAFNSYYQKKGRAIGTCYFGGAAYVVSIPPKYGKCEFPTGY >CDP16078 pep chromosome:AUK_PRJEB4211_v1:5:940731:946926:-1 gene:GSCOC_T00017091001 transcript:CDP16078 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRPYRNGEIWDFEAEMELSNNDSRYRQQAVILGLDGGTTSTVCVCIPFNYTCTDNNVNSEDGPLPEPPSVLARAVAGCSNHNSVGETAARETLERVMAEALLRSGSTRSAVLAVCLAVSGVNHPTDEYRILSWLRQIFPSHVRLFVQNDSVAALASGTMGKLHGCVLIAGTGTIAYGYTEDGRKAWAAGAGPVLGDWGSGYGIAAQALTAIVRAHDGRGPHTALTNCILHALDLSSPDELIGWTYEDPSWARIAALVPYVVSCAEAGDQVANRILLDAVQELASSVKAVVRRLGLCGEDGTDHFPLVMVGGVLEANKRWDIGKEVINCISKDFPGAVPVHPKVEPAVGAALLAWNFLMRQSRKDAIRS >CDP05845 pep chromosome:AUK_PRJEB4211_v1:5:26534031:26541778:-1 gene:GSCOC_T00021145001 transcript:CDP05845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) UniProtKB/Swiss-Prot;Acc:Q8L6Y1] MEILRSNLSRVRIPEPTNRIYKQECCVSYDTPKSEGGLFVDMFTFLAFGKDYVGWNYEKTKDPVYLHIKQTVKPVGEDRPSKKPTLLAIGVDGGFDNNDPEYEESYEIVILPDYVSLPFPSVELPEKVRLAVDAVLMAEGAERKEQVAAWTADKKNISKYAMNLNQLDNGIIVPPTGWKCAKCDKTENLWLNLTDGSILCGRRNWDGSGGNDHAVNHYKETNYPLAVKLGTITSDLEGADVFSYAEDESVEDPLLAQHLAHFGIDFSSLQKTEMTTAEMELDQNTNFDWNRIQESGEDIEPLFGPGYTGLVNLGNSCYLAATMQVVFSTRSFCLRYYEDQSLKRAFDTALSDAAVDLNMQLTKLAHGMLSGKYSIPAAEKQEGIRPRMFKSVIAASHPEFSTMRQQDALEFFLHFIDQVERTHSESPSIDPSRSFKFGIEERLQCPSGKVAYNQRSDYILSLNIPLHKATNRNELEAFEKLKAEKHAEGKEVSAEEIVRPRVPLKDCLDCFSAPEEVHDFYSSALKSKTTAIKTAGLTSFPDYLVLHMRKFVLEAGWVPKKLDVYIDVPDIIDISYMRSKGFQPGEELLPETTDEDMPLADETIVAQLTSMGFNHLHCQKAAINTSNTGVEEAMNWLFAHMEDPDINAPMSKKAQSSDAPLFDQSKVEALVSFGFPEELARKALQASGGDIEKATNWIFDNPDASNSAPASDAALPDGGGRYRLIGIVSHIGTSTHCGHYVAHVYKNGGWVIFNDEKVGVSKNPPVDMGYLYFFERLE >CDP16220 pep chromosome:AUK_PRJEB4211_v1:5:15983730:15986543:1 gene:GSCOC_T00017335001 transcript:CDP16220 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKSKPHQTGIKDRLSALPDAILCHTLSFLPTKNAVVTSLLSTRWKYLYRSVPKIDLDDYLPTEAARRNCSEKTSNGFIRFTNRLFSLRNSANIVTFYLRCSNTYEDWIINDWICAALCRNVQELDIHVEYPAGDHLTGEIFRHERLSSLKLHGFSVKVPEQVFLPNLKILHLVYMTFLADNYHMSKFLLGCPLLEDLNLTDCDLENLEVLDLSIPSLKSLTLRDNYYIATIIISNPNLEYLMLHTSSAEHVVKNLKSLASAELLSDDLEISSKLINELYNVSFLAISGSCFKKLVASGSLSKLQCLTHLDLSPFSEDEFRILPRLLDGTPNLEQLTLGMASIGEFEPQGIVSDLLEVKPLCLAQKLRKVDIFDFVDSETQFNVVEYLLQHGALLELMSFQFVTTKTPEKWPFSMLRRLLMFPRCSKACKIALVE >CDP11308 pep chromosome:AUK_PRJEB4211_v1:5:13566435:13567107:1 gene:GSCOC_T00033479001 transcript:CDP11308 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATKEAIEDVVKQVVSEDDDERDTSQIVMQIYFLQEWKIVKSLLDNIVAAGCVSEFSSGRKI >CDP14792 pep chromosome:AUK_PRJEB4211_v1:5:22017692:22019924:-1 gene:GSCOC_T00042251001 transcript:CDP14792 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGKTTTLLRRIQTESTNGRSVAVIKSNKDTRYALDSIVTHDGEKLPCWPMADLSSFRQKLGPEAYDKLEVIGIDEAQFFEDLHDFCREAADHDGKTVIVAGLDGDYLRRSFGSVLEVIPLADSVTKLTARCELCGKRAFFTLRKTEETETELIAGAEVYMPVCRKHYVSGQVIKEAAKKVLESEQVPCAAVL >CDP11324 pep chromosome:AUK_PRJEB4211_v1:5:14000215:14014095:1 gene:GSCOC_T00033507001 transcript:CDP11324 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPGRLASFYSELQASRLDVSLPLPSVLKNSFNVVDGPPSSAAGNPDEIAKLFPNLFGQPSAMLVPGDSSGALSSNQSLKIGVVLSGGQAPGGHNVICGIFDYLQERTKGSTLYGFRGGPAGIMNCKYVELTTDFIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAQKLNLDGLVVIGGDDSNTNACLLAENFRAKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKVYAEMIGNVMIDARSTGKYYHFVRLMGRAASHIALECALQTHPNITIIGEEVAAKKLTLKNVTDYITDVICKRAELGFNYGVILVPEGLIDFIPEVQQLIAELNEILAHDVVDEEGNWKKKLRSQSHQLFEFLPQAIQEQLLLERDPHGNVQVAKIETEKMLIQMVEAELENRRSKGLYNKEFKGQHHFFGYEGRCGLPSNFDTNYCYALGYAAGVLLHSGKTGLISSVGNLGAPVEKWTVGGTALTSLMDVERRHGKFKPVIKKAMVELQGAPFKKFESLRDDWALKNRYFSPGPLQFTGKTANEINYTLMLELEAQA >CDP15906 pep chromosome:AUK_PRJEB4211_v1:5:22773276:22775743:1 gene:GSCOC_T00016816001 transcript:CDP15906 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNPTDPIVLYNNIISKYASLGEVVTARKLFDKMPQRNVVSYNTMIKAYNRNGILEEAWKLFCELRILGLKPTQFTFGGILSSALMNLVQGFQLLALIVKSGFLHADAIVGTALLGVFGSHGCLDEVTRVLEDMTIKNLVTWNCMISLFGQQGFVTESTEMFLELLRSGVELSQSTFVGVLAGFTGEFDLELGEQIHGLVVKYGFEDSVSVANSLINMYAKCGEMCSAEKMFEENDVKDVVSWNTIIGAMTKSDMPDKAFSIFLQMCGNGTFPNQTTFVSVLHSCLCLKDPSYGKCIHAKIIKRNLESDVYVGSALIDFYAKHDILEIALLCFDKISHKNLVCWNSLMAAYSNRNSSVALLLLREMFHCSYRPNEFSFSSVVKSSVALEVQQLHSLILKMGYHDNEYVSSSLICSYAKNGFIDDALKFVDAKSTPISVVTSNAIAGIYNRTRQYEKTQELYSALEEPDIVSWNILIAACSRNGDYRETFDLFDHMQRAKIRPDNYTYASLFNICTKLCNLALGRSLHGLVFKTDIKCCDTFVCNVMIDMYGKCGSLECSFKIFNEMTNRNVITWTAIISALGLHGHAHEALQKFKEMEAEGFLPDKVALIAVISACRHVGLVKEGLELFEKMKLEYGVEPEMDHYLLAVDLLARYGNLREAEELIAGMPFPPNALVWRSFLEGCKRKRTTHNVALLM >CDP13715 pep chromosome:AUK_PRJEB4211_v1:5:28034183:28042563:1 gene:GSCOC_T00038753001 transcript:CDP13715 gene_biotype:protein_coding transcript_biotype:protein_coding METVEKLLAQIFERKNRIIRQVKQQTDNYTQHLASKCLVDGITPPPWLCYDNQSSDPNKEDLIFEILLPHQRPTIRFPSACCSLYNEPVAAAGDNGELVDGFFRETSSYSKCFGSGDKQATAAGCLENNTGCTMNMVSELAVSISSPQDDAEARISNIYSAPDQSVARIQRSKSRQKALELRNSAKASGRSLLNQKYDTVGSPSRTRLPMFSSEQGQVTGSEEFGEPCVMARESCGDWESNERDTENMNKEKRTTFCTGTVRRSISSGEQPSSMKDLDNGNSFTCNLQKSGNSLRKDLHDFQNCPNVVNVSVGVINSAVNGESGSFIEARTEDHLEHKGKGRDTHVDRISRTSFSSPKPCLLDEAPEVDISMHPAMVEAGISAKRNRKSVQQFNDANNFLELSHKRTRSGTPAGDRGMSMLLDTFSSDHHGDTRSNSGAIEKSQATKYAVENSSDGILPFLDSRDANEMGNFGIVIVDDPVNNQSANPRSNIDDAALESLLTNPSDCVVFMEPKQLVFDDKEECNLKDKFRPTMEYEILERSPHKKFYNSLHSASSVDYQVISGDMISNKPSTVQPDVTKEDEIRQGFSESNNENVDVQMKQKESDEYEEISLNTFAVDSEKMLHIPDVKYTCLAMEPNEVENPCLENHVNTYAEEVCEPELHKEVSGNFSYKTKERSPRSSHSYGSKHFSKSDLSCPATKAIGLSEDCSVKEEEAPAPTDIIIDATGQHCLGENLNLSSAGAKLYSGNLNNEYGSPKVLGSSSLGGNDLLTPGSVGFPLDHESLDLSKSEEPKIAKKSVVQRDLRSLQVASWPKSKRRKIQDQEADSFSASPSFRVKKHYPSDLNSTIMNPKKAGDNIVSVPGHASLATDSTEKEVYQKIACQLIEEIEPSPKVQSEKNALNSKGHGELTETSSSSALKQLGEPIASNSMEDSARASQGCLFEEMNLAGPSSSARREGDEGDSQYLLHHEGGDNLAYSEGLTMERSLLEGKSYLGDKGQPSCCLVSSSTKKDPNLTHPGEMLPVLESFIIEPQTENADVNISPREIDLDKFEFSRTSIERARILEQICKSTVMQTPLTQFSSALKVHGSQKFCHSAPNGLLECMDLRSTLSLNEDAHNQLRVISGNNSDCNLQKMSYSDRLSYTEARFCWDSRNHYRSPVGKLWERMSQKTGSSEKRLGSNPELTCFPIEEDPSTSEEKENTSGVTEKIQEDNGLLNDTCAKRELLADITDANAATSFSAAEKFVNRATADFVSTEVSFKKGVHKKVKPKLQNYSRNKRVEENDVLSIASNGIKKAKESTSSKFSKADALSKTTLKTERQKLSEKNPKYSNIVSNVTSFIPLVQQKQAAAVCTGKRDVKVKALEAAEVAKRLEQKRQNERKMRKEALKLERARVEQENLRQMELNNRKKDEERKKRDADIIAKKRLREEDKKEKERKKRQTEEARRLQKEEITLCSQKGGKDDIMKKSDNLLKKSQSMVQESRYDVMLEKPDNNTRPEELTVDFQQAAICTEACGTSGDSCQHQKTMPALDEPAAKENSVVQKIPEKSYDISPYQCSDDEEEEEENIPNNKYIPSWASKNCVAFVLPMQQRIDPDVIFPLESFCTIDEVYPSPKAIRVMTKKGPR >CDP15868 pep chromosome:AUK_PRJEB4211_v1:5:22394758:22396816:-1 gene:GSCOC_T00016766001 transcript:CDP15868 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSCAYAGTGNVLKVQHFLGQCAQHLEKGETFQGPAVLGIAMVAMAEELGLEMAIRSLEHLLQYGEQNIRKAVPLALGLLCISNPKVNVMDTLSRLSHDSDTEVAMAAIVSLGLIGAGTNNARIAGMLRNLSSYYYKEPSLLFCVRIAQGLVHLGKGLLTLSPYHSERFLLSPTALAGLVTLLHACLDMKAVILGKYHYILYFLVLAMQPRMLMTVDENLKALPVPVRVGQAVDVVGQAGRPKTITGFQTHTTPVLLSAGDRAELATEKYIPLSPILEGFVILKENPEYRDDQ >CDP10795 pep chromosome:AUK_PRJEB4211_v1:5:18099155:18104882:-1 gene:GSCOC_T00031666001 transcript:CDP10795 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSRGGEQQPSRSVISQKWTIFLCIGSFCVGMLFTNRMWAVPESKGITRSAGVEAESLNLVSDGCNPKFLQHKYVKRDSKDILGEASKTQQAMQTLDKTISNLEMKLAAAKAAQESILSGSPISEDMEKTGSSGRRKYFMVVGINTAFSSRKRRDSVRATWMPQGEKRRKMEEEKGVIIRFVIGHSATLGGILDRAIEAENKKHGDFMRLDHVEGYLELSAKTKSYFATAVTMWDAEYYVKVDDDVHVNIATLGDTLARHRKKPRIYIGCMKSGPVLAQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISVNQHVLHKYANEDVSLGSWFIGLDVLHIDDRRLCCGTPPDCEWKAQAGNICVASFDWTCSGICRSVDRIKEVHRRCGEGENAIWTAAF >CDP14694 pep chromosome:AUK_PRJEB4211_v1:5:21038633:21040474:1 gene:GSCOC_T00042109001 transcript:CDP14694 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQYPEEMNSSDLQIWNNAAFDNGDSEDSSAILKRSWSPLKPRFLNPSDSLESFSSKENENQTHQFQHSSISSVSSLKSPMSQPLKVLDPNGAVESSRIKSIKGNYNQGFTEKTILRSNNEGEVHDEKKIDKEIEEIEMEISRLSSRLEALKIEKAEKSVKMSEKKGRIVAAKFMDRKLVGKNAEEKKKIEESLATSAKTKVPRRGLSLGPSEIMAGARRGVSLGPSEILSDVKSRQFGKKESTITPVQPIQSRRKSCFWKLQDIAEEKVTKERRKSLSVSPKSRKNLAGKTQGSRQAVTTVASKKTVKKEEMFGNSVQPKKLFKDAEKSVTATNKKPLRPGRVVASRYNQSSSQTSAMRKRSLPENDKDENKRCDKKRSLSAGKSRETLPEKKNLGTESSRVKKRWEIPTDLVVHSSEAESSSPTTSVVPEILPRIRIARCINETPRDSGPAKRVADLIGRKQYFAEDEEEEPSSLCQALSFADDEV >CDP13716 pep chromosome:AUK_PRJEB4211_v1:5:28023030:28026694:-1 gene:GSCOC_T00038754001 transcript:CDP13716 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVFVVNGVRKDELLPAFNDDHGGVIVELKEPMDSNNFRSRLRASLFQWKLQGKKGVWIKIPIGLVNLVETAVKEGFWYHHAEPDYLMLVNWIPVIDNTIPANATHRVSIGAIIVNDKRELLVVQEKNGKLKGKGIWKIPTGVIEQGEDIFAGAIREVKEETGVDTEFVELLAFRQMHKALFGKSDLLFLCMLRPLSYKIQKQDLEIEAAQWMPLEEYAAQPFTQKHGLYKYINDLCLAKLDGSYAGFTPRPVTSIFSDSISYLYVNKQDLNCRIFSLN >CDP13681 pep chromosome:AUK_PRJEB4211_v1:5:28227574:28232149:1 gene:GSCOC_T00038708001 transcript:CDP13681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 7 [Source:Projected from Arabidopsis thaliana (AT4G26560) UniProtKB/Swiss-Prot;Acc:Q9SUA6] MSQCVEGIKHLGASLLRCCDLDFNRQSRGLEDPEILARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPHAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSRVEDT >CDP15729 pep chromosome:AUK_PRJEB4211_v1:5:5159548:5161051:1 gene:GSCOC_T00015754001 transcript:CDP15729 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDTFHDETKRLSFRKDQKQSNPPSTPIPPLLLLIHGRKREKEEQETTHQHPNDQTSKPTTLSDLAFKPSFEVKRLQFGGQFIVKSFIIHSARPLEFLRLLSLLPTLNLNHHQNQEKANRQEVPSSSQSKAYHTFLNISSRHEITLPMVCLAWGPKKSKVVVFVFEFENMEAAVDRVWLPEIPLGEVNKKLTRGQARCKMARFKFRKGAITFYVYAVRRIGNMGFSFADDLRTILQSVVALNDCLDQSLVFQLPCTFRRHNGFDWDDGCFCPFSYYLRIKLSFA >CDP06077 pep chromosome:AUK_PRJEB4211_v1:5:24820762:24824068:1 gene:GSCOC_T00021436001 transcript:CDP06077 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGKESYRSHHHHHISHHHDDEAKQESPPPPLPPPEYGTFQGVANYPPQPVIGFPQPVPPPGASDRPYYAHGYQAVPGHTVAEGTPVTGRQRRLPCCGIGLGWFLFIIGFFLAAIPWYFAAFIILCAKVDPREKPGYITCTVAAILATIAIIVGATKMDW >CDP14823 pep chromosome:AUK_PRJEB4211_v1:5:22312448:22314373:1 gene:GSCOC_T00042287001 transcript:CDP14823 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSLEAQQEGLLHAIVLCRAATYLTLHANSLYYAATHHSAHPSHHPRHSFTPSLSPLTFSLNYEYINPFFNLYVFVHPPAPSLSHIFSPFSTLLFHVPHPLERNEKSFTVFLNPMSLSTFSSSSDMTSVFADQQQQHKFHQLSYLNKKSPREIEIPPRKLLSRRAAASGSMHLDSGDMFMDSPKAEEALLQKFLPYNNIDDDDADPYSSDHFRMYEFKVRKCTRSRSHDWTDCPFAHPGEKARRRDPRRYHYSGTVCSEFRRGNCSRGDNCEYAHGVFECWLHPSRYRTEACKDGKNCKRKVCFFAHSPRQLRVLPANCHETASSPVNSPVEKQQQHHRNVNHCCMYCHSVAASPTSTLMGMSHLSPPLSPPLSPPISPAKAAQFSPVSRYKDHFASVEPCGMAQFGSGGMGGMSYKDALAELMSSIEAMRVNESSSRAAASNNGISLPWIDVNFNGDDQQQFILSPSTPSPGTSKFLSRNFPSRNFIEENKFTENGLAGPDLEWVNDLLT >CDP17458 pep chromosome:AUK_PRJEB4211_v1:5:2774949:2776433:-1 gene:GSCOC_T00000939001 transcript:CDP17458 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTFSSQRSSPPPPPPQHHYSPKKTKPSLLKTTFNSIKSQPGFRLTGWLVITLIFQALIIVFFLRDPSSAPAPSRLQSFQAPTDKECKFGRIYVYDLPPEFNADLLKNCNDLDPWKSRCNAVSKGGFGPKATNLGGVVPENLLPAWYWTDMYIGEVIYHVRVMDYKCRTLDPLQATAFYVPFYPGLAVGKYLWFNYTSKDRDRPSEMLLDWLTKQPYWKKSNGADHVFAFGRLTWDFRRQTDKDTDWGTSFIYMPLMKNVIKITVERSPWDELEFSVPYPTSFHPRSESDIVQWQSYIRSRTRHSLFTFVGATRTKIKNDFRGLLMDYCKSESGSCRVVDCSVTRCSDGATAILEAFLDSDFCLQPKGDGSTRRSFFDCMLAGSIPVYFWEGSFQGQYEWHLPINAKTYSVFINHNDVRNGENGTVRIRKVLEGYSKDEVKKMRETIVDLLPRLLYAESSKGLGNNTKDAFDVTMERVLRRIQLQNLRKKQNF >CDP15928 pep chromosome:AUK_PRJEB4211_v1:5:22928030:22933233:-1 gene:GSCOC_T00016847001 transcript:CDP15928 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLHSSFRPTKTQIKAVIFDLDGTLLNTEQVTEGIMKEFLARYGKVADKEKENRRMGMTQKESVSAIIKDYDLPLTPEEFTQEIMPLYQGMWLKAKPLPGANRLMQHLHKHGVPLALASNSLRRNIDVKISHQQGWKEYFTVILGSDQVKSGKPAPDMFLEAAVIMGVQALDCLVIEDSLVGVKAGKAAGMNVVAVPSLQTETDKFSIADSVLHSLLEFQPELWGLPPFGDWVCNALPIEPIQMKALYSTGILHELSDDGTRLPDQLQGVYFGWARLDVDKIIKIVISITWERKCCSYGRKIQAFIINGSNENVYDEEMELLIVGFIRGSSCMGNTNNVEITDEDKLTADAALDLPVFSQDKCNSFLPEFAAGDSTQTKNK >CDP18741 pep chromosome:AUK_PRJEB4211_v1:5:23635402:23635806:1 gene:GSCOC_T00002675001 transcript:CDP18741 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPCLSRNNLEGPNSVKPISNYTNMIRMILFFSVLHCLFFFFFFNSMIVISVFFFKKIFFFSRREFLLALDKARKFVSALAAIIFNSQLWTG >CDP17443 pep chromosome:AUK_PRJEB4211_v1:5:2384972:2385089:1 gene:GSCOC_T00000914001 transcript:CDP17443 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAGGRRRFLRCTRLI >CDP17442 pep chromosome:AUK_PRJEB4211_v1:5:2367719:2368893:1 gene:GSCOC_T00000912001 transcript:CDP17442 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKFIDIEGQQIAETINNFPVIICRRLKVKFFNGVTLSTRLDSTILVDPPAHEARQLKIWAGQNAVLFAQIIKEKSYTQYNPDLFLQSPQKFTLIFYLQPTQKMPF >CDP06164 pep chromosome:AUK_PRJEB4211_v1:5:24069557:24073197:1 gene:GSCOC_T00021562001 transcript:CDP06164 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLCSGFFLQRAVWGYSLSISKLKQLEFLILKNNQLIGPIPSTLSQIPNLKVLDLAQNSLSGEIPRLIYWNEVLQYLGLRGNKLGGTLSPNMCQLMGPWYFDVRNNSLTGSIPENIGNCTAFQVLDLSYNQLTGEIPFNIGFLQVDTFVQCLQVMLEQKEARRVTQSEAYQKRKQEKQLHQGVEEVPVSYELLQNSDYNNQQPIVIEPLLQQNGKKRADRQQCIAIEPVVQQNSEDNFLFKVITQSEGKLKKHVSVLSTFEEGSREIGYGRYLYESSQHVSGLPTLFCHNHITKHSLGHVNHQPTGAIQNLKTTGPLSFKSLVRYFLQRVSSKKHLIRYLAL >CDP13565 pep chromosome:AUK_PRJEB4211_v1:5:29041160:29046933:-1 gene:GSCOC_T00038557001 transcript:CDP13565 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYSKDMICAIATLESDRQPLATQYNKKSKETTVGIMQILPKTAQWLVRDLGYQRYEISGNPEVLYKPFVNVYLGAAYLKWLSNYDQKERSEEFMVRAYKAGTKKAAHKSTLQFWKQYLAVKESLPSRKVFEVSPLPTASSAAPAVTKTKAGPLNTTWDSRTSKEDMEDMWIHPNVNKEWMKSGEKKGKVRFSHDTEKRPYLSRVELRAVSEIILSKHFSTRRVEPTVLCAIAEIVSMRFVNGVGQRTGLMGIDYPTARWLNKDVGYKAYIVDSVDDLCKPFVSVYFGAAYLAWLSEYEGRERTPQFVVQAYLAGPQNVNLQETGPLWLKFEEALSHYEDVKKGGGDCRIL >CDP16237 pep chromosome:AUK_PRJEB4211_v1:5:15529729:15534905:-1 gene:GSCOC_T00017364001 transcript:CDP16237 gene_biotype:protein_coding transcript_biotype:protein_coding MAYELPTDLIQQLKRATRAEAGLSHYDPTPKSFPPLPSIPESVSTLDPSPPYLRCKNCNGRLLRGVQSLLCIYCGRPNDIVPDCISFKDTFAYRWLLESLNLDGSETVGPPLEIGDLNRGQSTPKVEISLSELLDFKISWPAEEAKGEINVSNQNPVERSYLKLTGIDLDNFFHDSRRIDASNAPEEHSVTSNNVVTAKPKGVATHDNLSFFENSKPSELAVQSSTYQKNDAFSGWEADFQSANFGDRFGASNSFDPSADSAAATIHHESPKPPEPFGGSEVDISSHLDSVFGTKKESKDGRLEDSSAASPSIGHWTSDYLWNNSKLEASAQNEQPDPTIRVKDAQPQDNLTNVDLSLQLDSVFGPVKESKDGNQKVDLATSPSIGDWTSNDLWTNLNKDAAAQTEQHDATIELKDISPQQHMSNPSTSFDWFQVDKWQSDASTPANNMKSGDVVLFDDWNDFTSSTHVQDSQTAPTHSHEQSASESTSELNVFSSDKDLEEMDFGSFSQANPLPSSSSKGGLSAEVNNIRLEVSASDRVIDTKSDLGEGSAEPDAIGDVRIASTQTKEDVEMLLSQMHDLSFMLEDKLSVPPKSEGVNSFP >CDP11865 pep chromosome:AUK_PRJEB4211_v1:5:20222588:20225141:1 gene:GSCOC_T00035137001 transcript:CDP11865 gene_biotype:protein_coding transcript_biotype:protein_coding METRSAKRKKLLLNAISEKTPQGHEDRISDLPDAVIHHILFLLPIRSIAQTSILSKRWRQIWYTFPDLDFTSIGAVADAPVKNATDSKKLHSLLAKGAEFISQVLALRDHNKYSDLRVLRFRACLSFSRLNGLIRRAIRFNVQELDIEVTTNDFFNFPRSVVLSQSLRIFRLKSQYPGFRLPPVTILKGGFGSLLSLSLSRVILYDQPSLLNLFSGSSFPMLKKLSLEIFIGLKHLSVSCRGLEDLTLENCFQLEDLEICSPKLENLRIVGCFDAYSSSSWLKIDGPRIKMIFWSHNSIPANCSVENLISLHEAFVGFFVLHEDISAAKLRSVSNFLSGLSHSQSLILESPCIEILSKNYHFGGVFQYPFSRLISMELQTGFNTHNLPGLAGLFRNSPKVHTLIMKIDEVQNAERRKWNRDLWESSSSGEERFWESQSQAMNSFLHHLKVVKIHGFSECENDISLVKFFLKHGKVLQEMFLSSSLSKPGNSLEREKIKSQIMGFSRASSNAKIWFQ >CDP14782 pep chromosome:AUK_PRJEB4211_v1:5:21916426:21923236:-1 gene:GSCOC_T00042239001 transcript:CDP14782 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNDEFIDQNVNGNDGKEEYDIDDSDEITQEDAWAVISAYFEDKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQSDFVETVYRISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSSPLYVDVTKRVIRKGHDCEEVTEAQEFTKVFIGKVPIMLRSSYCSLFQKPEKDLNELGECPFDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSIAESQNKSPSSMFVRMLSRTSARGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFSDMQMMELLRPSLEEAFVIQNQQVALDYIGKRGSTVGVTRDKRIKYAKEILQREMLPHVGTGEFCETKKAYYFGYIIHRLLLCVLGRRPEDDRDHYANKRLDLAGPLLGGLFRMLFRKLTRDVRSYIQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWSTENFEEISPAVIPQATKIFVNGCWVGIHRNPELLVRTLRQLRRQVDVNTEVGVIRDIHLKELRLYTDYGRCSRPLFIVEKQRLLIKKKDILALQQREYTEEFGWHDLIAKGYIEYVDTEEEETTMISMTINDLMNSRLNPDEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLGYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLSFRSYRDEEKKMGTLVKEEFGCPNRENTMGMRHGSYDKLDDDGFAPPGTRVSGDDVIIGKTTSVSQEEAQGLALRYTRRDHSTSLRHSESGIVDQVLLTTNADGLRFVKIRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGICPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFERVYNGHTGRIMGDLIFMGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCEYCGLIALACFKKSSFECRSCKNKTDIVQVYLPYACKLLIQELMAMAIAPRLLTKEVNLLKEQSKKGA >CDP11869 pep chromosome:AUK_PRJEB4211_v1:5:20319548:20320978:1 gene:GSCOC_T00035142001 transcript:CDP11869 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKMRKKCELCRGSARMYCESDQASLCWSCDFQVHAANFLVARHLRTLLCHVCQSPTPWTATGTKLGPTVSVCDNCCLRKRNRLDDGEEEADVNESEGEDVGEQDDDDYDEKKDNEDFQVVPWSPTAPPPPASSSSNNDEEASNSSSSASSSLASSPRDRKRMRKNASDPHAQENRCWIDLNKPPEMAAKEVACACGGGGERADCVDSLSTRSLKRRRRESGRIGISRPNSRAIVESVKLLQRQEMGSPAAIAKLCRLSEDQSAVDCDSSKCA >CDP15859 pep chromosome:AUK_PRJEB4211_v1:5:22343092:22348314:1 gene:GSCOC_T00016754001 transcript:CDP15859 gene_biotype:protein_coding transcript_biotype:protein_coding MATENEFSPKEWSFKGDELLVRSSAVTVRGVLDKLMGNLNPNDPRPTIPLGHGDPSPFPSFRTAPEAENAISDALYSAKFNGYSPSVGTLPARKAVAEHLSRDLPYKLSPDDVYLTSGCTQAIEVILTVLARPNANILLPRPGYPYYEARAIFSNIEFRYFDLLPEKDWEVNLHTVELLADENTVAIVIINPGNPCGNVYKYEHLKKVAETARKLGILVISDEVYYHLAFGKNPFVPMGVFGSIAPVITVGSISKRWIVPGWRIGWLVTNDPNGILKKHGVVDSIIAFLNISSDPATIVQGAIIQVLEKTKEEFFLKIVNLLREAAETCCSKIKEIPCFACPSKPEGALFALVKLDLSLLEDIQDDLDFCLKLSKEESVIVLPGLAVGLKNWLRITYSVEPSALDDGLNRIKAFCLRHAKKQ >CDP06117 pep chromosome:AUK_PRJEB4211_v1:5:24451898:24453982:1 gene:GSCOC_T00021503001 transcript:CDP06117 gene_biotype:protein_coding transcript_biotype:protein_coding MSMITGSGSRITIPAPEIPPSHAIFSAKIKRQSKKKNQLKFIKTLDCKTLQMCAAAREPFGEARSMRHKNQRKSASMIFQSSLAARCVAIQALASVERHPTLTMPRIKGDIMAPKLSDNGPRVPPGGGGGPGRGGGGGSGGNGGGGYWYLYGGFFLLLFLAFLSYLKDHEEPGM >CDP13780 pep chromosome:AUK_PRJEB4211_v1:5:27605526:27609036:-1 gene:GSCOC_T00038833001 transcript:CDP13780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G26770) UniProtKB/Swiss-Prot;Acc:Q1PE48] MTQRDHGPASPSTPRFRHRRRSTESFPDVRKLSGSYLLVDDENKYRSMRIRTASTFWMLISILFILYMGHLYICAMVVVIQIFMTGELFSLSRRVNEERQLPGFRLLNWHFFFTAMLHVYGRILSQHLVKTVTSERAFYKLVNKLVKYQMVICYFLYIAGVVCFILTLKKKMYKYQFGQYAWTHMILITVFMQSSFTVANIFEGIFWFLLPASLIAINDIAAYLFGFFFGRTPLIKLSPKKTWEGFIGASVATVTSAFLLANIMGRFQWLTCPRKDLSTGWLECEPGPLFKPDYYSLSGLLPEWFPWKEISILPVQWHALCLGLFASMIAPFGGFFASGFKRAFKIKDFGASIPGHGGFTDRMDCQMVMAIFAYIYYQSFIASQDNPVEMILDQIVRHLSFEEQNALYLKLSQIFEERQFITSLSR >CDP05862 pep chromosome:AUK_PRJEB4211_v1:5:26425177:26429275:1 gene:GSCOC_T00021165001 transcript:CDP05862 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFLQISTNFSALNFPPQDCLRKQSLLGLKVSEPINIRSLSRRKIRLVCKYWLKTGVSERKLTFLRVNRIKDGTFIGEDGWKKSKRVLLVRFNGLGGGGGGGGGGGGRDNARVLGNLALAIGLIYLSVTGQLGWVLDAIVSVWLLAVLLPIVGLGAFLWWAGRDIVQGTCPNCGNVFQVFKSTLNDDLQLCPFCSQPFSVVGDKFVRDPVKFSNQSTTFEDAFNDFFPRAKKGKESSVSVVDVEAEVKDAE >CDP20454 pep chromosome:AUK_PRJEB4211_v1:5:5522758:5527269:-1 gene:GSCOC_T00007324001 transcript:CDP20454 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATRVILLLKDGADDGGFASSISNALQPNPNSNLRRLEESFELSLEKYGIKDHKASGNMIHFLNSNGVSEVSVLLLEHTEPPLLACALNEVLVSFLGGTSSDIPNLVVPFLVEASKLKLENKNAISSYEVSLYGLEFGQLHNLTHALASKIQKAPQSLQIYHEQLACLLHLVRVLDIPALVLVGQHTRHSYSNNLEQYPEVIYGIGELLAEYSSLCFLRERVVWNPPKSSKASKEPWHALYG >CDP10801 pep chromosome:AUK_PRJEB4211_v1:5:18255473:18256121:-1 gene:GSCOC_T00031674001 transcript:CDP10801 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVAPAGFSYGRPPFSASEKPRRTVVVRAEAINPASHCLLQQVLAVWDFPLCDGSHVKHNKATGDNVGPLLVKKQ >CDP14747 pep chromosome:AUK_PRJEB4211_v1:5:21623037:21629672:-1 gene:GSCOC_T00042192001 transcript:CDP14747 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRRHYIPSCASHRRVDEDEIYWDAKKQDEESALSPNSPRAISQLAQCFTNAMVGPRAWIGGIFSRSTNRRFGSGRFFYYNFSPDQEESLRNLQERIGVPFDETCFNHQQALRALWDLSFPNVKLKGLISDQWKDMGWQGVNPATDFRGCGFISLENLLFFARTYPASFHRLLFKQTGERAKWEYPFAVAGINVSFMLIQMLDLYSAKPKCLPGVNFIKMLGEDKEAFDVLYCIAFAMMDAQWLAMHASYMDFNEVLRVTRIQLERELSLEDVHKIQDLPAFNLLFH >CDP05686 pep chromosome:AUK_PRJEB4211_v1:5:12220759:12223418:-1 gene:GSCOC_T00020888001 transcript:CDP05686 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRRLLLHSAAYVQKLKDCEVSIKEQRKREKLEQEDAERNMQILLDFLHILRKQKVDELNEIQNDLQYIKEDINAVERHRIELYRAKDRFSLKLQTLSDDCLGVRSRSSSIDRTSSGLVSSSRSTHGGATGSFQYKKGDSKAQFSSPANHRKDASLSGLNTQPMSQSGLAVVRKKRVHAQVSTFNKLWILSVTCKRVLFNQGEKGNTIVHREGYSTGLADFQTVLSTFTRYK >CDP11786 pep chromosome:AUK_PRJEB4211_v1:5:19052474:19054860:1 gene:GSCOC_T00035020001 transcript:CDP11786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monomeric G-protein [Source:Projected from Arabidopsis thaliana (AT3G21700) UniProtKB/TrEMBL;Acc:Q9LSZ4] MDKTLSVKGARISYSIWEVRGDNTSHDHIPVACKDSVAMIFMFDLTSRCTLNSVIRWYKQARKWNQTAIPVMVGTKFDDFIQLPIDIQWTIASEARKYAKALNATLFFSSATYNINVNKIFKFITAKLFNLPWTLERNLTIGEPMIDF >CDP06009 pep chromosome:AUK_PRJEB4211_v1:5:25344226:25345572:-1 gene:GSCOC_T00021351001 transcript:CDP06009 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTFPFSPCLSILLLLCFLAISTRAQVPTNNTFKIVNTGPLGEFQNFVPTAEYGATYRIITNDVYDFYTFPFRLCFYNTTPTSFVLGIRVGIPDDEGLMRWVWDANRNHPVKENATLSFGRDGNLVLADSDGSLVWQTNTANKGVTGIKLLQNGNLVLYDTKGKFIWQSFDYPVDSLLVGQSLRGSGVNKLVSRTSDVDGSDRKYSLVLGDDGLLLYLNNAGQQVRYNGWPGNFGSTVRFNTQPSTWEPTPTAWNLIFEIFESPAPKPQVDGIQQLSKINYNATYSFLRLETDGNVKAYTYNDKVRFDRWMQTYTFFPSNLATECALPTKCGNFGLCQNGMCVACPTPKGLLAWTENCQPPKLGSCGKKPKAKYFKLDGVQSFLNHQWSSDSQEVEMEFGACRDKCTNDCNCKGFVYKQDSSKCLLMPVLLTLVKDVNTTSAYVKY >CDP18953 pep chromosome:AUK_PRJEB4211_v1:5:3991614:3998137:1 gene:GSCOC_T00010968001 transcript:CDP18953 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G48230 protein [Source:Projected from Arabidopsis thaliana (AT5G48230) UniProtKB/TrEMBL;Acc:B9DGQ1] MAPAASENSIKPTDVCIVGVARTPMGGFLGSLSSIPATKLGSIAIERALKGANVDPSLVQEVYFGNVLSANLGQAPARQAALGAGIPNTVICTTINKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNVPKYLGEARKGSRLGHDTLVDGMMKDGLWDVYNDYGMGVCAELCAENHSITREEQDDYAVQSFERGIAAQTSGAFSWEIAPVEVSGGRGRPSTVVDKDEGLGKFDAAKLRKLRPSFKETGGSVTAGNASSISDGAAALVLVSGKKAVELGLQVIAKITGYADAAQAPDLFTTAPALAIPKAISNAGLDASKIDYYEINEAFAVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLKQKNGKYGVGGVCNGGGGASALVLELV >CDP16227 pep chromosome:AUK_PRJEB4211_v1:5:15737346:15737716:-1 gene:GSCOC_T00017350001 transcript:CDP16227 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSHSSVMFLPSSGKYVFVLSLYSGFQYGSLVKLFLVVQLEVRFADTKLPLLVYLHDGGL >CDP14757 pep chromosome:AUK_PRJEB4211_v1:5:21701032:21704274:-1 gene:GSCOC_T00042205001 transcript:CDP14757 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTFTFHFYERDLRDKPKLSDFSIFSIHLFLLLPLIIFRSHTQKHAKKHTQLSILRQRKNHKENIHQNIATTMAFDQGYMATDGGADEINQPPSHLFDEGGYGGYDFDAPPPTTPENYEYDGGAARPPSPPPVAMDYNMHAGNFGFSHSPKRDDYSASPFQENGGGGDGKGYDMAADTDGIFSSAPSDGPLLPDPTEMREEGTAFREWRRQNAIYLEEKEKREKELRNQLIEEAEEYKRAFHEKTRQNKETTQAQNREREKLYLANQEKFHKEADKQYWKAIAEIIPREVPNIEKRRGKREEEKKPSVVVIQGPKPGKPTDMSRMRQMLQKLKQNPPPHMIPPPPAKDAKDAKEEKDTKEGKDAKDSKDAKDAKEGKDTKDAKEGKDTKDETNATPKSAKAVKDDKAAKVGTPKSASASPSAAAGTNAVSPAKDAANDGTHKATTPDAASIAEGEQTVENQPTSA >CDP13732 pep chromosome:AUK_PRJEB4211_v1:5:27914911:27918008:-1 gene:GSCOC_T00038777001 transcript:CDP13732 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G13120) UniProtKB/Swiss-Prot;Acc:Q9LK61] MSSSSLSTTLFPICNSSSTTISAKPKLSLLSLPSNAAKLPTLFGKSSRFLVSTLSAAPEVLDTADSTGFQGEDSGVETLSAGGDSDRVAPKQKIRIKLRSYWVPLIEDSCKQIMDAAKNTNAKTMGPVPLPTKKRVYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >CDP14756 pep chromosome:AUK_PRJEB4211_v1:5:21694594:21697858:1 gene:GSCOC_T00042204001 transcript:CDP14756 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTNFLLAILVAISAMLATAAPLHLNFDPHWYPATATWYGSPDGDGSDGGACGYGSLVDVKPFRARVGAVSPVLFKGGEGCGACYKVKCLDSSICSRRAVTVIITDECPGGYCSNGRTHFDLSGAAFSRMAVAGDGGQLRNRGEISVIYRRTPCKYPGKNIAFHVNEGSTDYWLSLLVEFEDGDGDIGSMHIREANSNQWLQMTHIWGANWCIIAGPLQGPFSVKLTTLSTARALSARDVIPRNWTPKATYPSRLNFF >CDP16238 pep chromosome:AUK_PRJEB4211_v1:5:15464322:15464870:1 gene:GSCOC_T00017366001 transcript:CDP16238 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNEPAILIPISIFVIFNTYCVSEYIQTNQSIKSWWNNHRMARIIAMTAWLCGFLSVIFKILRLSETVFEVTKKEQSSSIEDSDEKPGRFTFDNSPVFVPGTVILMVNLIALGIGFSSFIQRRGGQIEWGIGELVCSIWVVLCFWAFLKGLFEKGKYGIPSSTIYKSAALVFVFVQIYKSS >CDP10810 pep chromosome:AUK_PRJEB4211_v1:5:18592311:18596750:1 gene:GSCOC_T00031694001 transcript:CDP10810 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSVTCISSILDDLQALENDCPEFPNGQQKYLKRMLRYLRTFLLCARKYSNDDVQLPFDNKKNQADNHYASLEALAVRIGDAIPKWAKEIQSSDQPWDVVHDLEKDIDSFGQEICEWYAFFLGSSSRQSSNSLVRQDGLMEFMDSLLENLVNYWSWFWPALEEDLIKALEEKLAFMKNFIRFVTLHGAENTELGPLLAHIEAVAINAARLSYKCQFKKALGLPRDIEESISELLQKIVPVEPQVLETCIQALTASKLSRQSYGDTDEHLLRDFFNSLLGNLWETLIYGTCPVILKQLQMFYNGLNSLRTILVDKPKMFDEKVRDPTRVVNCYGGDFISPLSLNAIKDAIQAKDMDLVCTELLGIIKLIDAKITEKCPESSSFNFPKTNGLGFVDSLLEKMMEVTSSEAGSIALIDHPIQKVQEELVCLRSWLRKIVELHNEDEEVQAIWNRIVGVAYRIEFLIDSLITGNILDSSSMYIHSILEEMNIIKAAALKICDSERLGGKVKEVTKRFNHMPQEGNKPIVNDVVLGFEDETASIINQLRNGSHQVKIVSIVGMPGCGKTTLARKVYNNSSVKSHFYERAWCTVSQIYHKRNLLLQILTCIESKLPEEVFKMGEEDLALQVKRRLLKNRYLIVLDDVWDIDAWNGLEASFPDDGNGSRVILTSRLRGVAPQDKLDHEPYSLRQLTPNETWDLLKGKLYPGQDLAPPELCEIRQQVVEMCQGLPLTVVILAGILSRMDRYGWKEAVEGLSSRNVSSTEQCTATLELSYNHLPDTLKACFLYFGAFPEDHEHNTKRLISLWVAEGFVPKTQLKRSEDVANDYLMELISRSLVIVSKPRSIDGVKACRIHDLLYEFCVTKAKEEKLLQLVRRYDDLSAFTVPCYLRRLCIDSKVEHFDNLRLFSPAIRSLLLFSHDEDSRSSFDLRFIFHIIKLVQVLDLSQIYLGGTFPRELELLVHLRYLAILGDGRPVPASIGYLTNLETLIWRNSRSHGSVSLPDTIWNLKKLRHLQLMDEVDKNYHFRFPDNNLENSSQLCDLDILSCLSLNPRKNINKLLRKFPNIRKLRSSLYLDQGCEYHVAMDCLSHLESLCLSCVVYGGDRYQLDFQFPLTIKKLTLSYFRLPWSKMSAIGNLPYLEVLKLLDRSFEGEIWEMEVEKFPKVRFLKLASLDIVKWTASSEYEYEEQDYFPRLQKLVLDRCGALQEIPSCLGNSYALEIIEVSKCPSCTSSLEEIQEEQRSIGNTDLKILIS >CDP05900 pep chromosome:AUK_PRJEB4211_v1:5:26129034:26134294:-1 gene:GSCOC_T00021217001 transcript:CDP05900 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKERSVNVSGKPKHSLDVNRDGSSSKTKGGRSSATVRRLKMYNSRPKRDKKGKIVKHDLQSKELPSTRIQPDRRWFGNTRVVNQKELEFFREELQSRLSSNYNVILKERKLPLSLLNDHQKQARVHLLDTEPFADVFGPKGKRKRPKLMAADYESLVKKADGSQDAFEEKHSTSTSVEENEDGVKDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCHHLEKHLKEHCKHKHMVLLLNKCDLVPTWVTKGWLRVLSKEYPSLAFHASINKSFGKGSLLSVLRQFSRLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLEDAAEHIGEVLNRVKKVHLERAYKIKDWEDENDFLVQLCKASGKLLRGGEPDLMTAAKMVLHDWQRGKIPFFVPPPKEENDSSEGPNESGLENDKAVNDDEVSAARKAIADVISSQQLKDVPVQEGLFTENELKGNDIEQLPATGS >CDP16224 pep chromosome:AUK_PRJEB4211_v1:5:15844837:15846970:-1 gene:GSCOC_T00017346001 transcript:CDP16224 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASEINLNEEIVMEILLRLPVKSLIKFNCVCRGWRDLINSRHFINMHLCLAQSTEYIIVKRLRDEDNKNVLSFHSPADESLLAAAPELELPELDEANWPLQLIGPCNGIVCLRDFHEGIHLCNPMTRKFRTLPQSSFGSPGGFLRQTHVVGLGFDSTIDGYKVVRIFESSLYDFRAEIYNLSTNSWRQVDAILPPVILRDCFDLLFNGFFHWSAGPKSSPHILSFDTGAEVFKEIKYPNGWLGEQAEPRSVEHSLVVLDDSMALILFSRGRLLDSELYDKSEQYIEIWAMMEYGVEESWVKKFFLGPFSGIQCVLSFWSNDKLLADCCKQLVSFGIQNDSKLKKYDIKGFYLQLVILKEISHILLKSIPSTSNCSLAIRIIHINKVAMLAEMFKLLILILALCPSAIDSSFQYFNMVEQWPGGYCQFHRCRRVPWPNDFTIHGLWPANHTGTVENCKKTGFAPIQDENKFKQLDSIWPDLDQPRPE >CDP05950 pep chromosome:AUK_PRJEB4211_v1:5:25776963:25779811:1 gene:GSCOC_T00021275001 transcript:CDP05950 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRPS20 [Source:Projected from Arabidopsis thaliana (AT3G15190) UniProtKB/TrEMBL;Acc:A0A178VMN1] MAAATHCISSCWGLQHKFKTLSLSNPTTTALKPLSFSANTSLSLFSQGAVTMSPVQRVPRHSIVCEAAPMKKADSAAKRVRQAEKRRIYNKARKSEIRTRMKKVLDELEVLRKKPDAQPDEVLSIEALIAEAYSVIDKAVKVGTLHRKTGARRKSRLARRKKAVEVHHGWYTPAPVPMA >CDP05834 pep chromosome:AUK_PRJEB4211_v1:5:26620113:26620475:1 gene:GSCOC_T00021128001 transcript:CDP05834 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSQSNFHDFLPLMAEKLGGDGLIGELCHGFQLLMDSDKGVITFESLKRNSTLLGLQDLNDEDLRSMLEEGDFDGDGALNQMEFCVLMFRLSPELMEQFQFLSEEALQQEFNDHDFVL >CDP15740 pep chromosome:AUK_PRJEB4211_v1:5:5392186:5394059:-1 gene:GSCOC_T00015777001 transcript:CDP15740 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNETKERWIQHYSSSHKILLVGEGDFSFSACLAKAFGSAANMVATCLHTEGHYRWLRETDSILIRMHQDLIAAYFKTAKEMVQEEGEIHVTVRDDYPYNRWKVEKLAECAGLQLKDKVEFRQENYPGYHNKRGGNINCNKKFPLKACYTYKFTVKVSALENSDGSEVYESARSDFTSIITTVEDLQI >CDP11777 pep chromosome:AUK_PRJEB4211_v1:5:18913991:18917834:-1 gene:GSCOC_T00035010001 transcript:CDP11777 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDANNEASYAASPVAPFRPLDPEEFRKQAHLMVDFIADYYKNIENYPVLSQVEPGYLRTRLPETAPYLPEPFETILEDVQKVIIPGMTNWLSPNFFAYFPVTCSSAAFLGEVLCTGFNSVPVNWLASPAATELEMVAVLCTLVAALDRALETIGIENIGKLVVYESDQTHSFFIKTSKLAGIFPCNIRTIPTTVEDKFSLSPKALRKQIEANIADGLVPLFLCATVGTTSTTAIDPVGQLAEVANEFGILGNTWMGGIERVDSLSFNPHKWLLCFLDCCCLWVKKPELMVKSLRTNPEYLRNKRSEFDAVIDYKDWQIGTSRRFRALKLFEIIVPIFTCYPDFSGISPFYITWALDFL >CDP05999 pep chromosome:AUK_PRJEB4211_v1:5:25437852:25439206:-1 gene:GSCOC_T00021339001 transcript:CDP05999 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNEDVTIGAWMLAMNVNHENNKLLCQPECTSSSIAVWDIPKCSGLCEPEKKLLELHAEEICSKSPTLSSDEDD >CDP05853 pep chromosome:AUK_PRJEB4211_v1:5:26485509:26493058:1 gene:GSCOC_T00021156001 transcript:CDP05853 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLAALGGGSSGIECEVLQTSCILEAFGNAKTSRNDNSSRFGKLIEIHFSAVGKICGAEIQTYLLEKSRVVQLARGERSYHIFYQLCAGAPPSLRERLKLKEAKEYNYLNQSGCLAIHDVVDAHKFESLKEAFNTLRIHKESQEQIFAMLAAVLWLGNISFQVIDNENHIEVVDNEAVTNAASLIGCNAQDLMVTLSTRKIQAGKDSVAKRLALQQAIDTRDALAKFIYSSLFDWLVDEINHSLAMGKHRTGRSISILDIYGFESFKKNSFEQLCINYANERLQQHFNRHLFKLEQEEYELDGIDWTKVEFEDNHECLNLFEKKPIGLISLLDEESNFPKATDLTLADKLKQHLDTNPCFKGERGGAFIIHHYAGEVLYDTNGFLEKNRDPIHSDTIQLLASCSRHLSKLFASSVLNVLPKTASPLEQSGALGSQKQSVGTKFKGQLFKLMQQLENTTPHFIRCIKPNNKQLPGIYDKDLVLDQLRCCGVLEVVRISRSGYPTRITHQDFSRRYGFLLLEDSESQDPLSSSVAILQQFGVLPEMYQVGYTKLFFRAGQIGALEDTRKQVLQGTLEVQKCFRAHRARRHFHDLKQGVTALQSFVRGEIARKEYDVLVNLSKQVAESKFEEQLVAVVQIQSVIRGWLARRNIDRLQNSKMMIFDKRRRGKKFSEVMGLAQENLPSVVEELQRRVLIAEANLGKKETENAALREQVQEFEVRWLEYEGKMKAMEDTWQKQMASLQVSLAAAKKSLGADHTAVQPAGRLDGLTSPRYYDSEDTMSGGARTPGGEANGGLNSVGHLAKEFENRKQIFDEDAKAIVEVKSGQNPGEEYRRLKHRFEAWKKDYKARLREAKSRLQKHGHLEGEKRNRKWWIKKSRFQL >CDP13680 pep chromosome:AUK_PRJEB4211_v1:5:28232430:28234522:-1 gene:GSCOC_T00038707001 transcript:CDP13680 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGTSIGAVFFVIASALLLLSPSPSADASPLLSACRFDQVYQLGDSISDTGNLIRESPLGAALPFARNPYGQTFSHHKATGRCSDGLLMIDYIAQALGLPLLNPIKDTKADFEHGANFAVAGATALSSAVLARHHVRNPATNSSLDVQLQWMKDHFHKFCHNDCERMLQNALFMVGEIGGNDYNYAFLQYFDEARDTLRIQELVPLVVAKIKHAVEKVISFGARTIVVPGNFPMGCLPIYLTKFGLESEVDEFDENHCIWLLNSFATFHNDRLKKAIAELQDKYPYVTIVYGDYYAAYEQLLKLGETTGMFSFELHKACCGVGGLYNFNETRMCGFPGVKACRDPKRYVSWDGIHLTQEAYRMIVDWLQADLFWKLRCHH >CDP06079 pep chromosome:AUK_PRJEB4211_v1:5:24800792:24806191:-1 gene:GSCOC_T00021439001 transcript:CDP06079 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLEATLLHHVGIVLIVLWMLNSFNYCNPVAYFISFVYLFLVHEMYVVRLRKTLEFEEKRNSNQRKILSDSETVRWLNHAVEKMWPVCMEQIVSQKILLPIVPWFLQKYKPWTVKEGVVQELCLGRSPPMFTEMRVLHQSKGDDHLVLELGLNFRTADDMNALLAVKLRKRLGFGMWARLHLLGMHVEGKVLVGVKFIRKWPFLGRVRLCFVEPPYFQMIVKPIFTHGLDVTVLPGIAGWLDKLMAVAFEQTLVEPNMLVVDMEKFASPQAESWFSIDEKQPVAYAVVEVIEAAEMKPSDLNGLADPYVKGQLGPYRFRTKTQKRTLTPKWHEEFKVPIRTWESPNVLGIEVRDKDRFVDDKMGECSINLNESRDGQRHDMWLSLQNVKVGRLHLAITVTEGNGKGSNETFGKENLDEYKRESFATDSTNQGSFSSVTSEKSLKVADAYEPIDVEGQQGTGIWVHRPGSEVAQIWEARKGKSRILDTHIHGEGVDSAGTFKSTASGSRNNDSKNAEETAGAEKEHSPNAVKRGLHKMSSLFHRGQRSEKSVCVEEPLPPRHINLRSMNSDEIGVKFIVDDPVTAPSAQNIPQPDGKESSEDSGPESPHRRKVKDRAKSILKQSAYGIKHALSRKGSKKFYFESKSQPTERDVSVESTTSEEDLLPSVVYTPRVEPISVVSYPISDHDKDSSSPSKNRIQLFPNLK >CDP15869 pep chromosome:AUK_PRJEB4211_v1:5:22396956:22402495:-1 gene:GSCOC_T00016767001 transcript:CDP15869 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQDPNSTGGSSAGATKDEASLKVPSKDLKKKDDKKDEDLSEEDLALKLQLELYVERVQDSDPGLQKVALESMRQEIRNATSSMTSVPKPLKFLRPHYGTLKAYYESMVDSDLKKLLADILSVLALTMSAEGERESLKYRLLGSDGDIGSWGHEYVRNLAGEIAQEYTKRQSEDAPFDELMELVQQIVAFHMQHNAEPEAVDLLMEVEDLDLLVEHTDKTNFKRTCLYLNSSAKYLPGPDDNLVLDIAYMIYMKFEEFPRALQIALYLDNMQYVKQIFTTCHDLLLKKQFCYILARHGITFELDEEMCADDEEREGLQEIINNAKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASMDSARQNLAATFVNAFVNAGFGQDKLMTVASEASSGSSSTNWLYKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSTDTPVIAGALLGVGIVNCGVKNDCDPALALLAEYVDREDPAIRIGAIMGLGLAYAGAQSEQIRSKLIPILNDAKASLDVIAFTAISLGLVYVGSCNEDIAQAIIFALMDRSESELGEPLTRLLPLGLGLLYLGKQARKLLLYSACLK >CDP06176 pep chromosome:AUK_PRJEB4211_v1:5:23988321:23991931:-1 gene:GSCOC_T00021577001 transcript:CDP06176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 4 [Source:Projected from Arabidopsis thaliana (AT2G42910) UniProtKB/Swiss-Prot;Acc:Q680A5] MEKRDKKQVLLYHCVEAEDLARKVAAQSEFIQLQTINWRSFDDGFPNLFINNAQEIRGQHVAFLASFSSPAVIFEQLSVIFALPRLFVASFSLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSLVIYDIHALQERFYFGDQVLPLFETGIPLLKQRLHQLPESEKIVIAFPDDGAWKRFHKQLDHFPMVVCNKVREGDKRIVRLKEGNPKGCHVVIVDDLVQSGGTLIECQKVLAANGAAKVSAYVTHGVFPKHSWERFVHKSEDLEKAFAYFWITDSCPLTVKAIADKAPFEVLSLAGSIADALQT >CDP11826 pep chromosome:AUK_PRJEB4211_v1:5:19643870:19644121:1 gene:GSCOC_T00035086001 transcript:CDP11826 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQGSTCTGRWAEAEGASSLHATSWIFPLDNVVNGQVFALSAVVAFNFLIVHAMMKSEKQIICSIFALPHTAPSKCPLQRLN >CDP06130 pep chromosome:AUK_PRJEB4211_v1:5:24357495:24359992:1 gene:GSCOC_T00021521001 transcript:CDP06130 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGDGSHGALGLPSSVMGLGSDAYEPTPIQGLPDNISSIAAGHYHSLAVTSDGHVWSWGRNHESQLGRSPLSSRETWNEPKKVEGLDQVRIEATFASGVISAAIGEDGSLWVWGKSKRGQLGLGGGVIDAVLPTRVEALAGEDVVKVSLGWGHALALTQHGKLFGWGYYADGRLGRIGKSFESSPLDSVTGKFRPSKEISNPMLEAAEKLVLEGIEKEKDMPIIWEPSLIEELRDVEVVDVSCGLDHSLVLCGDGTLLSSGSNVYGQLGRVNQDSGMHPVDIKFRPLSIASGMGHSFAICQNHASDFTEDSPIIVSWGWNHNSQLGRNGPANIPLVVEALSSETPITVSGGRAHSVAITARKELWTWGCGRNGRLGLGSSADEPEPMVVEDLEGSEVMEAVAGFDHSLVLIGE >CDP17452 pep chromosome:AUK_PRJEB4211_v1:5:2606511:2610312:1 gene:GSCOC_T00000926001 transcript:CDP17452 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQRGRPPSGPSLLPDELLCEIFTRLSATTLSKIRCVSKSWLSIISSQEFVKSHFKKWDNDDEFTHHSIIAKYINPHLHVKQCSVYSLLKNPATPAARIDYPGENPPHSASVVGSCNGLICILLDEQDLYLWNPCTRQSRKLSDPGFTGNSRSFNFYGFGYNESNNDYNVVLGSISSVERKIEVVIYSLKTDSWRMIDNFPTCLPWLETGAFVSGKLHWAVSSCVCDCDARIVSLDLVRLEYGEVQLPGCVEDASVLTLGVSGKCLCVSILYCYQPNVYSIWKKNVGLWVMMEYGVVESWIKVASVWPYHAPLCISAKGEILLTSSSDFGLYNLGDESMRYPRIEDVDDVYLEGHIYVESLVSPFADEERVHDQQR >CDP15881 pep chromosome:AUK_PRJEB4211_v1:5:22530246:22535445:-1 gene:GSCOC_T00016786001 transcript:CDP15881 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGDRQCLRLLQKPFFSAPSTAEFAKMNFNNMKVPKVPGGGAASALIKLGLVAGLGVYGVANSLYNVEGGHRAIVFNRVVGVKDKVYPEGTHLMIPWFERPTIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPEADQLPTIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKVLTERAAQFNIALDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAIIRAQGEAKSAQLIGEAISNNPAFISLRRIEAAREIASILSHSNNKVYLSSDDLLLNLHDMKLSTGKK >CDP06094 pep chromosome:AUK_PRJEB4211_v1:5:24669901:24670542:1 gene:GSCOC_T00021468001 transcript:CDP06094 gene_biotype:protein_coding transcript_biotype:protein_coding MWYIYNKVLKRKNIETQDWLNSSFIFFNEAARPVRVTVKDSTNLATLGYTYPDLQPSWLTCKPTARRNGLNLTKLSFNAPKASEVLPMKLEKPISFVVERPKKARSGQEKAEAEEVLKIKGIEFDKGETVVFDVFVNEDNTSPCNPCKAESLGSSRTLAHGHGKKSTTSRSCAISEALEELGADDFDSILVTLVPRRGVVTIGGVEIPFVPKS >CDP15964 pep chromosome:AUK_PRJEB4211_v1:5:23198503:23200562:-1 gene:GSCOC_T00016892001 transcript:CDP15964 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSGANSQTNFLKPIPTSLITHHSSQHSSPACLSLLKNPCSDSCSFASKQSSFLRGQFKTGHLLGFNSNQRHRKHAGTVVSPSCVLPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQIVDTETGLELNEENVEKVLSEIRPYLAGTGGGELELVQINDYVVKVRLSGPAAGVMTVRVALTQKLREKIPAIAAVQLIE >CDP16081 pep chromosome:AUK_PRJEB4211_v1:5:1002640:1004261:-1 gene:GSCOC_T00017097001 transcript:CDP16081 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTLLYWFEAYKLIDLTHYSTHVIKTFYQPPWELKKDSQFWALIFVALGVVSLLAYPARTYFFGVAGGKLIRRNRLMCFRKVVHMELSADAATLPALVGDALVLLVQSTSAAVAGLIIAFRSSWQLALIILAMMIPLIGLNGYIQTKFMKGFSVDAKIMYEEASQVASDAARSIRTVASFRAEEKVTDIYEKKCERRMRAGIRQGLISGIGLGWQNDILRVFFALISPAMAVTQSNSIPTDSSKAKSAVASMFSILDRNSKIDANDESGLTLETVKGEIELRHTVALVGDVG >CDP11335 pep chromosome:AUK_PRJEB4211_v1:5:14475764:14481248:-1 gene:GSCOC_T00033531001 transcript:CDP11335 gene_biotype:protein_coding transcript_biotype:protein_coding METAYSPIAVLCSCILLYFLMLAWKVFNCAWLTPKRLEKRLKEQGLRGNPYKFLHGDFKQISTLLKEAHSKTINLSDDLFPRVIPHLHEAVKKYGKYYLLFNYNTYIWLGPKLRVVIMNPEHIREITSKLSIFQKPGGTPDTKLLVQGLASYDGDKWAKHRKLITPAFHVEKLKHMVPSFCTCAGEMLSKWEKIVSTNGSCELDVWPDLRTLTCDAISRTAFGSNYKEGTRIFELQTEQAQYHLKAVQSVYFPGWRFLPTKRNRRMKQIAKDVHESIRKIINARLEAMEAGDACADDLLSILLESNSKEIDYHGNKGFGMSIREVIEECKLFYFAGQETTSVLLVWTMILLSRYPNWQARAREEVLQHFGTNKPDLDGLNHLKLVTMILHEVLRLYPPVPELARKAAEETQLGNLTLPSQVQVSLPAMLLHYAPEIWGDDVKEFKPERFADGVSNATNGKVAFFPFGWGPRICVGQNFAMLEAKVALAMILQRFSFELSPSYRHAPRVVLTLQPQYGAHLILHKL >CDP13567 pep chromosome:AUK_PRJEB4211_v1:5:29026507:29030730:1 gene:GSCOC_T00038561001 transcript:CDP13567 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIQVDQNFPLFSRRGKTNKATPSQINPAPPETDSDDPIPTTPNHISFSDLGLAEWAVQTCKELGIKRPTPVQRHCIPRILAGQDVLGLAQTGSGKTAAFALPILHRLAEDPYGVFALVITPTRELAYQLAEQFRALGSGLHLRCAVVVGGMDMINQAQTLMQRPHVVIATPGRIKVLIQENPDDVPAVFSNTKFLVLDEADRVLDVGFEEELRVVFQCLPKDRQTLLFSATMTSDLQTLMELSANKAYFYEAYEGFKTVETLKQQYVFIPKNVKDVYLLYILSKLEDMGVRSAIIFVSTCRSCQLLSLLLEELDVEAAALHSFKSQSLRLSAVHRFKSGQVPILLATDVASRGLDIPTVDLVINYDIPRFPRDYVHRVGRTARAGRGGLAVSFITQNDVDLIHEIEAELGKQLEEFECKEKDVLAEITKVYKAKRVATMKMMDEGFEEKAKARKAQKLKALAEKGLLKSSKKRKRKKGVSDQLVKVKKAYFHHLP >CDP14804 pep chromosome:AUK_PRJEB4211_v1:5:22159667:22161323:-1 gene:GSCOC_T00042264001 transcript:CDP14804 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPQAEVFEVPAEVFEAAYWLRVQSNSLKQTKALVSELSLQFYKLGWLSGTGGSVTLKVHEHNVPKQNQFIVMSPSGVQKDRMSPEDTYVLSSGGSILSLPPTKSYPNNPPKCTDCAPLFLKVYEMCNAGAVIHSHGLDACLVTMINSSSNEFRIRNMEMIKGIQGHGYHDELVVPIIENAPSEGQLVESLTKAIRGYPKSTAVLVRRHGVFIWGDTWISAKTQAECYHYLFAAAIKLRQLGLPY >CDP05684 pep chromosome:AUK_PRJEB4211_v1:5:12274289:12277120:1 gene:GSCOC_T00020886001 transcript:CDP05684 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRQLSQAFISISQCFRELIMSRIIYLRGLLIAKPFKFHGFWMSHNDFPQLLHKAWRTEFQGDPMRTFCLKLKEVKTALKELNKKEFWDISAKTIHAQEAL >CDP15979 pep chromosome:AUK_PRJEB4211_v1:5:23292290:23295708:-1 gene:GSCOC_T00016912001 transcript:CDP15979 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPAAYLSSIVLVTALSSAIFLVALAWKLFKWVWLNPKKLEKLLRQQGFRGNSYIPVLGDVIAMSTLLKQAHSKPINLSDDIVPRIIPEYLDLVKKHGKNTYIWYGPEPSVCIQDPELIREASQNINLFHKPVVNQLTRLLAPGLISYNGDKWAKHRKLINPAFHGEKLKLMLPSFCTSATAMLRKWEEIISPTGFCELDVWPSLRSLSSDAISRTAFGSNYEEGRVIFELQGEQCELCIKALWSMLIPGWRFLPTKRNRRMGQIFKDVTDSIREIINSRLNEIRAGEFGDDDFLGLLLQSNSQEIDRHGNKDFGMTIEEVIEECRLFYVAGQETTSVLLVWTLILLSVHQEWQTRARDEVLQVFGTRIPDFDGLNHLKLVTMVLYEVLRLYPPIPVNGRITAAETKLGNLSLPSGVLVLIQTLLVHHDQAIWGEDAKEFKPERFSQGASHATKGNIAFFPFGWGPRSCIGQSYAMLEAKLVLAMILQRFWFKLSPSYSHAPISLVTLKPQYGAPLILHKL >CDP16026 pep chromosome:AUK_PRJEB4211_v1:5:18507:39351:1 gene:GSCOC_T00017009001 transcript:CDP16026 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP97B3 [Source:Projected from Arabidopsis thaliana (AT4G15110) UniProtKB/TrEMBL;Acc:A0A178V2Z2] MTALTLALTATNSCNRNGTGSNRCCCSGRFWYPSFHHSDFYSSSTACPSFRKPKRGTSAFARAIRCHSAKTNAPKPKMNLLDNASNLLTNFLSGGRMGSMPMAEGAVSDLFGRPLFFALYDWFLEHGSVYKLAFGPKAFVVVSDPIVSRHILRENALSYDKGVLAEILEPIMGKGLIPADIDTWKQRRRVIAPGFHALYLEAMVKVFTDCSERTMLKFEKLLAGEGRKGGKTIELDLEAEFSSLALDIIGLGVFNYDFGSVTKESPLIKAVYGTLFEAEHRSTFYIPYWKFPLAKWLVPRQRKFQKDLKFINDCLDDLIRNAKETRQETDIEQLQKRDYLKLKDASLLRFLVDMRGADVDDCQLRDDLMTMLIAGHETTAAVLTWAVFLLAQHPSKMKKAQAEIDSVLGQRRITIESIKKLEYIRLVVVETLRLYPQPPLLIRRSLKSDKLPGGHKGDNNGYAIPAGTDIFLSVYNVHRSPYLWDNPNDFEPERFLVKRVSQGIEGWAGFDPSRSPGALYPNEIMSDFAFLPFGGGPRKCVGDQFAFMESTIALAMLLQEFDIELKGSPESVEIVTGATIHTKNGLWCRLMKRQDIQSL >CDP10769 pep chromosome:AUK_PRJEB4211_v1:5:17315024:17318147:-1 gene:GSCOC_T00031606001 transcript:CDP10769 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSALASIQISLVLLLAIFVCSEANRLDPYYCCRGKETNITVYLQVFTGGPNTTSVAVAGAPGKPRTPSNFGTIIVNDFNITQGISNNSPTIGRAQGIEIASSRDGSRSLGIFSLIFSNFKYNGSTLEFQGAGYNLQVGSPAREDPIVGGTKTFRFARGYAFFQTVLRRPARNNTVIRGDITVISCPGGGKF >CDP05995 pep chromosome:AUK_PRJEB4211_v1:5:25465339:25468651:1 gene:GSCOC_T00021335001 transcript:CDP05995 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSCFTFCFKQKASSPTRGTIELEEEGLNVDNARLYSYEDLRIATEDFSPVNKIGKGGFGSVYKGRLKDGTLAAIKVLSADSTQGIREFLTEIVTISDLEHENLVKLYGCCAEGDHRILVYGYLENNSLAQTLLGGHRSSIQFSWKTRTKICIGIAQGLAFLHEEVQPHVIHRDIKASNILLDKDLTPKISDFGLAKLFPSSLTHVSTRVAGTLGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRCNTNKRLPVGEQFLLERVWVLFEKGELVQMVDPDLGGDIDVDEATRYLKISLLCTQDVPKNRPSMSTVVKMLTGEIDLDDKQIAKPGIIEELMVLRHNSTTTYTVSAGSGKKGNSSSSENTTMTYPSMSFTSIYDRDTSIYDRDS >CDP15975 pep chromosome:AUK_PRJEB4211_v1:5:23255889:23260013:-1 gene:GSCOC_T00016908001 transcript:CDP15975 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGYIRIAAISSCAVILVLAWRVLNWAWLRPKKLEKQLKEQGLKGNPYRLLYGDFKEISTLIREAQSKPINLSDDILPRILPAFPDALKKYGKSTYVWLGPTPMVYILEPELIREVMQKIYLFQKPRLNPLSLLLIEGLVNYDGDKWAKQRKLINPAFHVEKLKHMLPSFYTSASDILNKWKEVVAPNGSSELDVWPDLETLTSDAISRTAFGSNYEEGRRIFELQREQSEYWLKVVQSVDIPGWRFVPTKRNRRMKQIAKAVQDTILEIINSRIKAVREGKAYGDDLLGILLESNFKEINNHGQDGAGMTIREVIEECKLFYFAGQETTSVLLVWTMILLSRYPDWQARAREEVLQLFGTRKPDFDGLNRLNLVTMILHEVLRLYAPVPALSRRVAEETKLGSMRLPADVLLSLPVMVLHHDTEIWGDDAKEFKPERFAEGVPHATKGQVAFFPFGWGPRVCIGQHFAMLEAKLVLVMILRSFSFELSPSYSHAPRAIITLQPQHGAHLILHKL >CDP05870 pep chromosome:AUK_PRJEB4211_v1:5:26362260:26368082:-1 gene:GSCOC_T00021178001 transcript:CDP05870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MALPLCLSIPSRTRFFFEISSTPSCPKHTFVTPHFAFPLARFNGLVQFPQRRPFHSKLTCIFAKKNLSEESRNWSNTLAYTQNFWDQTVKRFDGAFAGILIFLQVSCPVFLAGWDSWSVSPAEAVLYSPETKIPRTGELALRRAIPANTDMKAIQESLEDISYLLRIPQRKPYGTMEGNVRKALKIATDEKQSILSSIPPELRENGSALYTSLVDGKGGLQSLLQSIEDQDPDKVSVGLASSLDTVAQLELLQAPGLSFLLPNEYLNYPRLTGRGVVEFTIERGDGSTFSPEAAGEPRSVATLRVVLDGYSAPLTAGNFAKLVIDGAYDGVKLNCANQAIISDGELGNSTGYSVPLEIKPSGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHSDVSEEYSSPNQFFIYLYNKRNSGLGGLSFDEGQFSVFGYTTLGRETLPQIKTGDVIRSAKLMEGQDRLVLPKNDNGLEE >CDP14737 pep chromosome:AUK_PRJEB4211_v1:5:21454895:21455995:-1 gene:GSCOC_T00042172001 transcript:CDP14737 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISRLSRESQQNLLNNYRVYASFCGKDPTSVANISNWIECYNPSNNSWHRVTSIPGLMQNQVLKGFSMVSIGDILYIIGGRLCLKETTNDFSNITDEIDMKVLPTVLKYDARRDLWLECAQMNMPRFDFACTVCKNKIFVAGGQTTIGSAKGISSAELYDPGLDEWKSLPDMSTLRYKCAGVTWLEKILIVGGFAEKGDNGSPGPYIMERSSAEVFDCQLEKWDYKAMMWKLDIPPNQIVAVNERLFSSGDCLNAWKGHLEFYDGKLNMWVIVRGSSLDNLSAPICTETDWSPLQRLFLTMAPIGTYLYFLAGYKVPGENSRTRTEVHVFDTSVNGNGWRSFEPVEEEGEKELCCHSCVLKHDH >CDP14776 pep chromosome:AUK_PRJEB4211_v1:5:21795104:21799394:-1 gene:GSCOC_T00042230001 transcript:CDP14776 gene_biotype:protein_coding transcript_biotype:protein_coding MINSFKNLLNFCKKSHYNWNEASGFFSFSTKTSVSPSPNTLFKRILPAGDPQVSIVPILDQWVREGRPVHRSELKTIFKILRKNRRYTHALQACLLGYARKISNLSPEDVAVRLDLISKVHGLQEAENYFFNVPDSLKTFKVYGSLLNCYAKKKLVEQAEAIMRVMGRFGCSTKLSYCIMLNLYSKLGKREKLEKLVRQMEQEGITFDKPAYCILLNAYALNSDIEAMEKLLKKMEDDLLVNVNWNACVTAAKGYLGANLQDKASDMLKKAEKLIRGSNRGLAYQILLSMYASLGDKDEVTRIWHLYKKRGNMTNNGYFHMISSLIWLEDIDEAEKIFQEWESVNTSYDFRIPNTLINAYTKKGLLQRAEEFINRAINCGRKIPASTWDYLATGYYKDNQMEKAVDAMKNAIFSWKGTSWTLNRTTLTSCLEYLKEKGDAEKEKFERLLADQGIVLEQVSCLHESRSPRTGIFFELDENDEEMDSSFSGSD >CDP11791 pep chromosome:AUK_PRJEB4211_v1:5:19093699:19096023:1 gene:GSCOC_T00035027001 transcript:CDP11791 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTGQSYTASTAFDHPLQNQFSSSKPYLSAFFSKQIAVRKCDNINLLSRKPLYISAVRNFGYIDESKETSKPRGSLVQCNAYEARRSQPIPISIEFDEEAQQAAAQKLKIGIYFATWWSLNVIFNIYNKKVLNAFPFPWLTSTLSLAAGSLLMLVSWATRIAEAPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGEAFPLPVYLSLLPIIGGCALSAATELDFNMTGFMGAMVSNLAFVFRNIFSKKGMNGKSVGGMNYYACLSMLSLLILTPFAIAVEGPQVWAIGWQKAVSQIGPNFIWWVVAQSVFYHLYNQVSYMSLNEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAILGTFLYSQAKQ >CDP06100 pep chromosome:AUK_PRJEB4211_v1:5:24585827:24590329:1 gene:GSCOC_T00021483001 transcript:CDP06100 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLGRRRQVVDEKYTKPQGLYQHKDVDHKKLRKLILDSKLAPCYPGDDECSAYDLEECPICFLYYPSLNRSRCCMKGICTECFLQMKTPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGLEQIEEQRVIEAKIRMRQQELQDEEDRKLKRREFNSSNSIAGPTESELCSTAAPSFSSGVEEGDEIVTSQEPCAAPVVRQPLRSRQNREDEFDLDLEDIMVMEAIWLSIQDNGRRRNPVYVETAPSEQYTAEEQCNTAIMGPIAGSSSSPSGGLACAIAALAERQQMGGETSNSYGTNMSAYNMHPGCDRFQNTQHESENFAAAGNSIADVGTSYAGSDDVEDDAAHAALPSQDESDGTFHPVGGAIVPETFEEQMMLAMAVSLAEARNRSSPPGVAWQ >CDP16030 pep chromosome:AUK_PRJEB4211_v1:5:189482:191215:-1 gene:GSCOC_T00017022001 transcript:CDP16030 gene_biotype:protein_coding transcript_biotype:protein_coding MSRENCLFKAYAPRHRLLVTKCAYTFCQFLLDKYPHKVLRLLILSSPFLPPHEPYNRYSPQARSPPLPPPSFPVHTNQAIISPLPAALSSSITASNSIYPSIMLHSLLHSNSTATSAANPINSVPIPLPPSRNARPSSMQSKRSSSAHHDDPSSSLLKRRRSSLGPDNPSTSADRTTELDNEDDDVAELEAAAAAASSGGAAAGDTESAGLRLLGLLLQCAECVAMDRLNDASNLLPEIAELSSPFGSSAERVAAYFADALSARIVSSYLGTYAPLTIKSLTKSHNQKLFNALQTYNSISPLVKFSHFTANQAIFQALHGEDHVHVIDLDIMQGLQWPGLFHILASRPRKIKSLKITGLGSSMELLESTGRRLSEFASSLGLPFEFNPVEGKIGNVKDLGQLGVMAGETIVIHWMHHCLYDVTGSDFGTLRLLTLLRPKLITIVEQDLSHGGSFLGRFVEALHYYSALFDALGDGLGTDSVERHTVEQQLLGCEIRNIVAVGGPKRTGEVKVERWGEELRRIGFRPVSLAGNPAAQASLLLGMFPWKGYTLVEENGCLKLGWKDLSLLTASAWQPSD >CDP18748 pep chromosome:AUK_PRJEB4211_v1:5:23578254:23581812:1 gene:GSCOC_T00002682001 transcript:CDP18748 gene_biotype:protein_coding transcript_biotype:protein_coding MENQVVRRRVNIIASHFGSPEDLSAAATHLFPTGCSNSLNSVIRRCDSKMYFARQTSSSQPCFMRPVANKQICHTYGNYAESTPRSKSSGSLNKDLYAYEAPMFSRPSITEPSMQNVEELQWLQQACNFHQPAPDPPTFARPSPVDYHAKERTEASKVKGFEWMPKMDVAESGCNYVVTIELPGARASNIRVEVNNQNLRVTGYRSIEWGKVASCSIDSTSAYHRREISQGPYEIVWPLPKNVNKENISAELVEGLLLINVPKLSEARRQLKRVYI >CDP05699 pep chromosome:AUK_PRJEB4211_v1:5:11322840:11323880:-1 gene:GSCOC_T00020915001 transcript:CDP05699 gene_biotype:protein_coding transcript_biotype:protein_coding METLEEISKMPNYFLVEHKKPKQTTNVAEYYEEFEEWRNEMLALKPELSEEYFIDGFNFGLHWNIRMKLKGFRNPPKIMYEAYVRAKIEEAVMEKHDSALDLPKGEQLMRENEVMEAEHNDIITNLKDESVVSHMFDELCQRTPMDFLEENVNGEEQSAEKVQDKSEGNSEYVTEANKGSGHDSNPAEGTHEANQEQGNGNETTQQESGESSNPTEGTKEANQQQSISDNSKADADQNQRNAVGDVLPGGDGAQSTQEEQTENKDAATNNDKSDTSSNMKEGSAYGEGSNDVGNRQNAGSDTVGGTEKSSENSSANQVNEKVEIQKSDAHSETGPEEKINPSNDNG >CDP17849 pep chromosome:AUK_PRJEB4211_v1:5:1293221:1294234:-1 gene:GSCOC_T00013163001 transcript:CDP17849 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLPLFLAIISLLMISSLIRSLEASGIGIYWGQNGDEGDLYETCNRRTYDYLIIASLATFGSGQTPVLNLAGHCIPSPCTFLSSQIQFCQSLGIKVFLSLGGGGSGRPVLSSPDDAREVAAHLWNNFLGGQSDSRPLGDAILDGIDFDIESGSNLYWDDLARALSNYSTPERKVYLSAAPQCPLPDYYLDRAIRTGVFDYVWVQFYKNPPCQYSLGDTNNLFNSWSQWASYPGAAPSSGYIPPQVLIDQVLPFVEQISNYGGVMLWSKYYDTYYSELIRSYVNPDVVAYDKKVHDKVLCHRLSSSRYLLRDEM >CDP15871 pep chromosome:AUK_PRJEB4211_v1:5:22437412:22441444:1 gene:GSCOC_T00016771001 transcript:CDP15871 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKILGVDKKATDDDMKKAYRKLAMKWHPDKNPNNKKDAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGGVPPPDTAGGPGSATFFSTGGGPTSFRFNPRSPDDIFSEIFGFSGFGGMGGGSGMRGSRFGGMFDDSMFSSFEGGGSGPGGSMHQQTIRKAPAIEQNLPCTLEELYKGTTKKMKISREVLDTNSGKIMPVEEILTINIKPGWKKGTKITFPDKGNELPGVAPADLVFIIDEKPHRVFTREGNDLIVTQKVSLTEALTGYTAHLTTLDGRNLTIPVTSVIHPTYEEVVRGEGMPLPKDPSKKGNLRIKFDIKFPARLTASQKAGIKELLGS >CDP17856 pep chromosome:AUK_PRJEB4211_v1:5:1484489:1485442:-1 gene:GSCOC_T00013173001 transcript:CDP17856 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRPLFLAIISLLIISSLTRSSEGAGIAVYWGQNGYEGSLEEACRSGCYDYVNIAFLISFGSGQTPELNLAGHCIPSPCTFLSPEIEVCQSLGIKVFLSLGGGGSGRPVLSSPDDAREVADYLWNNYLGGQSSSRPLGYAVLDGIDFDIEHGSNLYWDDLARALSGYSTPERKVYLSAAPQCPIPDNYLDTAIKTGLFDYVWVQFYNNPRCQYTSGDPSKLFASWDQWAPYPGVNKLFLGLPADPQAVYSGGYIPPEVLISQILPVVQSYPNYGGVMLWSTFYDQNYSRAIRPYVNGDPLTYTTKSVKKSHAVA >CDP10796 pep chromosome:AUK_PRJEB4211_v1:5:18114184:18115013:-1 gene:GSCOC_T00031667001 transcript:CDP10796 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRSSFGLGTIEAGKSRRRSRVIVINGIEVKLKYCKIYNIYPSPRTFQCAIFNNCFQQFDHHCVGLRNYLLDVMFLFTGLLLFTFIVFISSCKNTASRIVRRWKWGDWIVKRLPRDTGIDIIQFSSHVRSCWPILSSCLSSCYKLCIL >CDP05739 pep chromosome:AUK_PRJEB4211_v1:5:27284767:27289028:-1 gene:GSCOC_T00021011001 transcript:CDP05739 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWAKIVGLFRNQTMAGMDKAGNRYFTRMEEIDGVMKEKRWVVFKGEQEPTSVPVEWICWLNGQRKIAPTPEEMAELEARRERVRLNVALLKKEEEERGAKGLSQEAAKTGKVVGPDLKSFIRQLPVTSEGDGRGEALDASGRTSKATESEKQPPYEVRQKQEDSSEPTGTGESFRPGTWQPPT >CDP14760 pep chromosome:AUK_PRJEB4211_v1:5:21709411:21710006:-1 gene:GSCOC_T00042208001 transcript:CDP14760 gene_biotype:protein_coding transcript_biotype:protein_coding MENIQHNYVEVRGLKLHVAEIGSGPAVVFLHGFPEIWNSWRHQMLACLQNQKRQLSRTLWTTFSEFLILLGSRRFFLWERILVLEWFVILHSFTKTGFQHL >CDP16087 pep chromosome:AUK_PRJEB4211_v1:5:1088087:1090337:1 gene:GSCOC_T00017104001 transcript:CDP16087 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQDLTCNNPTIIRYHHFPLSFTLFNSPSSARTIIQTRWKKKPANTAQTRLHARTRDPKLDPLTAHFVRLSRILNLHRLVSARKRGPFVSLQLLSRWAPHVGLDTIPVGAFLRKYPHVFDVFTHPILRNVCFKFRQEFVELLKEENDVIRGLEFESLMRIKKILMMSVNGSIHLHALRLARKELGLPEDFRESIIQKYDHVFRMVNLEVVELVRGISYNSGPDDSDENERFIGVAEVEKWREKEYREKWLSEFEVKYAFPMNFPTGFKKEAGFKDKLRNWQRLNYVKPYEKKEVVRVQTCGGVERYEKRAVAIIHELLCLTVEKMVDVQHLVHFRKDLGIEVNLRELVLKHPGIFYMSTKGDTQMVFLREAYSKGCLVEPNPIYDVRRKMLDLILLGPRCTRRLRTEWEVSNQGQDVICTESRRKVSDEEQDISCIERQGEAKDGDFVIPILEDFADCSHQNGHQ >CDP05762 pep chromosome:AUK_PRJEB4211_v1:5:27154390:27159370:1 gene:GSCOC_T00021038001 transcript:CDP05762 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGIAAQNLGADVIQLLDQLERHCLAPDGSLIAKSTHYDLQLAREEMCKERQRYLQALAIYIEAIAMVEEYQQAVSVANFGGIRDVQGLYSQLGLKNPPQVYEALEHRMVMAEAAQKLRLPLISKDGEIHEEEIEKWSIMSRSSLDSTCTSVTISSSSNSTNYSNISALGTSAPASTMISGVVADASEPEVGGAPNRYLGITPAYLWQTQLQQLPLPMDIAEYQMSLAREIGSRLDIKSDKLIDAMVTGDIDSPTSGPNSTARLPERIKLIIEEIEREETAWREDLYSSDRKFAEYYNVLEQILGVLIKLVKEIKLQHQHKYDELHKTWLCKRCETMNAKLRVLEHVLLYDTYTPEAIGALHKIRKYLVDSADEASLAYNKAVTRLREYQGVDPHFDSIARQYHEIKLENLRWTIHQVEMDLNRST >CDP18736 pep chromosome:AUK_PRJEB4211_v1:5:23663724:23666196:-1 gene:GSCOC_T00002668001 transcript:CDP18736 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEPCAAHGQEIKHPFHSQHTLSLVLYYVRPPRCCSLCGGGGRNGYFFTCSSCDFCLDVDCANLGETTTICKADQGHIQIQPVTHPHPLIQVEVMISMKNFRSCCFACGLRFEDLVYACLSCGILLHKSCADLPNEITSHLHPNHLLKLVKNSFWYHKRFSCQVCLQSTGHFFYSCGECDFGLDVKCTRSAFPLIMSKYHEHALAYIDIETHNFECVLCIGICRQFYFRCLRLDCNFSIHDHCYPSLPLSIKDDCHIHPLTFADYPIKGVPDDPEKEAELYCYACEEGRAFDRITYFCSSCHFVAHVHCVITAIISYLHKEPSHLAITSIGEQLGSTGDSADEDAVLHQLDEELMRLEKDVQALMAKIGELRQRRYEHISKRTTSRRNYLLEKRDQL >CDP17444 pep chromosome:AUK_PRJEB4211_v1:5:2392176:2395498:1 gene:GSCOC_T00000915001 transcript:CDP17444 gene_biotype:protein_coding transcript_biotype:protein_coding MGKALCLVINRSGKDIKFTEWRLGYEEKLSQWVRKAVDEKTIKSGDHYAFSASEFAKHWERIQEVVRMYPEWTVSLESEDVKGIRLPVSELKDNRAFVFKMGENNELVKLTVSREEAKSRGIKKGFFGSLEKMERQLISLVNERNNM >CDP16470 pep chromosome:AUK_PRJEB4211_v1:5:7266401:7273211:1 gene:GSCOC_T00018402001 transcript:CDP16470 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAHGLPRGFPYRLIYNQRSLWVKRRRRKSILRPCSAEKLLSHSTKELEPEKEHEHAVSKGEERKKDMAKARKEETKAGDSEDVLPGIPPVPSSNHPKSGVAFVLEKASLVPAYVGRTYEILNPDKHADFLRKKNMNPYDYRPDIVHEILVDILGSRLNMAGMVQAVFVKTDLGHLIKIKPHVRIPPTLGKFCAMMSQLLQKFSIKARGGGEKLMQLIDNPLVKHLPVNSRIIGLSVSSPKAVRLRDYVDDVGNDCTPVFVIGAMAHGKINSDYTDDLISVSALPLSAGVCVRRICYELERKWRIL >CDP13651 pep chromosome:AUK_PRJEB4211_v1:5:28431411:28435733:-1 gene:GSCOC_T00038670001 transcript:CDP13651 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G56130) UniProtKB/Swiss-Prot;Acc:Q9FKT5] MEERDTIPFRNLHGRDYQGHKKKVHSVAWNCSGTKLASGSVDQTARIWHIEHHGHGKVKDIELKGHTDSVDQLCWDPKHADLIATASGDKTVRLWDARSGKCSQQAELSGENINITYKPDGTHIAVGNRDDELTILDVRKFKPLHKRKFTYEVNEIAWNMTGDKFFLTTGNGTVEVLAYPSLDRVDTLMAHTAGCYCIAIDPLGRYVAVGSADSLVSLWDIKEMLCLRTFTKLEWPVRTISFNHTGEYIASASEDLFIDISNVQTGRTVHQIPCRAAMNSVEWNPKHNLLAYAGDDKNKYQADEGIFRIFGFESA >CDP14798 pep chromosome:AUK_PRJEB4211_v1:5:22070130:22071038:1 gene:GSCOC_T00042257001 transcript:CDP14798 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFHASASNTKTGKKISEKNRRDQMKSLCAELYRLLPNDISKGFCPLPDKLGEAINHIKSMEKKLENYKEWKEKLLFGKRSCSSTSSESNNSSKLTNVEVQDIGPDANVILISGLEEQASFYGIIPRLHEEGFEVVNANFSNNGTSMLQVVHQKVGASTSGSETTAVSKRLKELIYGYSQGEVESNLDLWDFRIEPDLLTSDFFGPFTTDNFCLPQQH >CDP10768 pep chromosome:AUK_PRJEB4211_v1:5:17313356:17313784:-1 gene:GSCOC_T00031604001 transcript:CDP10768 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIISEQVACRCTWFYSFSSEVCSTQGFFL >CDP13688 pep chromosome:AUK_PRJEB4211_v1:5:28201463:28202758:1 gene:GSCOC_T00038715001 transcript:CDP13688 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYSDPKSESSSSSLPWQEMFRSASIRKPDASPQNHQASAEPQMPSASTSQENPNNFPSGDPQDLCWNPG >CDP15988 pep chromosome:AUK_PRJEB4211_v1:5:23483010:23485679:-1 gene:GSCOC_T00016928001 transcript:CDP15988 gene_biotype:protein_coding transcript_biotype:protein_coding MEILYSLVAVLSASFLVILSWRILNWAWFKPKKLEKCLRQQGLRGNSYNLVFGDMKETVRMTQEAKSKPMNFTNDIVSRVMPFIDKTIKTYGENSYTWAGPMPAVLLMYPEHIKEVMNKSFNYLKPPGNPLTKLLATGLANYETDKWSKHRKLISPAFHLEKVKFMLPAFRWSCFEMVSKWEQLVSEKESCELDVWPELQTLTSDVISRTAFGSNYEEGQKIFELQKEQAELILLAARSPYVPGWRFVPTKRNKRMKGIAKEVRSLVMDMINNRVKAMKAGEAKNDDLLDILLESNFKEIQQHGDKKFGMTLDEVIEECKLFYFAGQETTSSLLVWTLILLSKHQDWQDRARDEVQQVFGSKKPEFEDLNHLKVITMILNEVLRLYPPGVMLGRMTTETTKLGELTLPAGVQLLLPAILLHHDSKIWGDDAKEFKPERFSEGILKATKGQLTYFPFGWGPRICIGQNFATVESKLALAMILQRFSFELSPLYAHAPHTIITLQPQHGAQLILRKL >CDP16384 pep chromosome:AUK_PRJEB4211_v1:5:3207298:3211736:1 gene:GSCOC_T00018225001 transcript:CDP16384 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAESLKLDMEVESNTSLRKPRILLAASGSVAAIKFANLCHCFIEWAEVKAVATKASMHFIDKAALPKDVTLYTDEEEWSSWNKLGDDVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTLMWNNPFTERHLMLIDDLGINLIPPVTKRLACGDYGNGAMAEPSLIFSTVRLFLESSGQSSNGSQ >CDP13662 pep chromosome:AUK_PRJEB4211_v1:5:28343201:28343803:-1 gene:GSCOC_T00038686001 transcript:CDP13662 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGVRICMFCTCLILAVIVIGFLFGFGVFKHGFHKLEDTLHACDPAAASSCGGSSMKRPFLGFNAPPPY >CDP16380 pep chromosome:AUK_PRJEB4211_v1:5:3102803:3105346:1 gene:GSCOC_T00018220001 transcript:CDP16380 gene_biotype:protein_coding transcript_biotype:protein_coding MWYPISNSETVMNSLNCSLRYVLDLLQCFPLLIQELITFIELIKNPRYNFWSRTFTRCAPETENLHGQGGKIFILASFFLFNFSNGKIFLYWKDLEPSKLRKWVVMNLGKDYYDASLCRTSWVTKFNRSSGEYEYIDVMMKNDATSDGAVRLIVDIDFRSQFELARPTPEYEELSNSLPSIFVGTELKLEKIISLVCSAAKESLRERGLHVPPWRKARYMHSKWLSENCKKISFPELGTI >CDP11883 pep chromosome:AUK_PRJEB4211_v1:5:20460012:20461862:-1 gene:GSCOC_T00035164001 transcript:CDP11883 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKKKKTKVCNKRTLIIYRKNNYYCCQLTSTNYLNIKDFKNESDSTGLWTVLSHPAVGGFVSHCGWNLMLENIWSGVPMATWPLHAEQQMNAFQVAKELGIAKALEAQIYKGDAKTKKTETEIRMLIRGGSDQEARGSGIREDMSLHSDKHNDFVRNVGSNLGKMQDVGKLRGVDTNHKGLSTNEGLMQSGEKGTTEIGFEFLMMEEEQGNLIVQKP >CDP11845 pep chromosome:AUK_PRJEB4211_v1:5:19995315:19995857:-1 gene:GSCOC_T00035111001 transcript:CDP11845 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLVGEDMWDVVGGDKTKPPESNEQNAEAIKKWRSLNGKAEFALKRSNSRGLFEYIIKGKSAKEIWETLNQLYNKKDVNRLQMLKNELANATQGELSISQFFVKIKNLCSEISLLNPDEPISEARLKRHIVRGLKLDTLLLLHLFKDGHSNHLWKSWRTNNGIEFTSNDFFLSVDNLE >CDP11907 pep chromosome:AUK_PRJEB4211_v1:5:20754832:20755840:1 gene:GSCOC_T00035210001 transcript:CDP11907 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSDVQRLKQIVLEKCPKLSRVSTFTVTCAYVWTCLIKSRGASGEDIKENDLEHFMIGADCRSLIAPPLPANYFGNCLVNRIITAKTVELMQESGFIKAAGLIGEVIREKLHNKGGVLNGAEKWLSEIEGLNPERVVGVAGSPKFAVYDMDFGWGKPRKSEVISIDTTGSISLNECRDGKGDLEVGLSLPKVFASGIMEAKDQH >CDP19581 pep chromosome:AUK_PRJEB4211_v1:5:18711002:18714785:1 gene:GSCOC_T00007009001 transcript:CDP19581 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGRWFAVALVMLLVLLLGDCIIAEDPYRFFTWNVTYGDIYPLGVKQQGILINGQFPGPAIESVTNDNLIINVFNNLDEPFLISWNGLEQRRNSWQDGVWGTNCPIPPGKNYTYALQVKDQIGSYFYFPSLAFHKAAGGYGGIKIASRSVIPVPFAPPAGDYTILAGDWFNQSHNDLKAILDSGHNLPFPNGLLINGRGTNGYVFTVEHGKTYRFRISNVGLATSINFRIQGHKMVLVEVEGTHTLQNTYDSLDIHLGQSYSVLVTADQPIQDYYLVASMRFTPQVLTATSVLRYSNSQKSVSGPPPGGPTIEVDWSLNQARSLRRNLTASGPRPNPQGSYHYGLINTTHTIRLANSAPIINGKQRYAVNSVSFIPADTPVKLADYFNIPGVFSLSSIPQNPTGGGGYLQTSVMASEYRGYAEIVFENSEDTLQSWHIDGHHFFVVGMDGGEWTPASRFVYNLRDTISRSTVQVYPKSWTAVYMPLDNAGMWNVRSQDWARQHLGQQFYLRIFSPANSWRDENPIPSNTLLCGRAVGHKA >CDP14686 pep chromosome:AUK_PRJEB4211_v1:5:20850210:20854100:1 gene:GSCOC_T00042097001 transcript:CDP14686 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANEKPPMSEVKVWSFCRLPFWQSTNNAAAGGGGGSGSSSLQQNHLAAADHQPSIKVASVAKSFLPTRRRLGLDPPNKLYFPYEPGKQVKSAIRIRNISKTHVAFKFQTTAPKSCYMRPPGGILTPDESLIATVFKFVEPPESNDKLDGLKSRVKFKIMSLKVKGDMDYVPELFDEQRDQVAVEQILRVVFLEPDHPTPALEKLNRQLAEAEAELEIRKRPPPEDKGPRVLGEGLVIDEWKERRERYLARQLVEGVDSV >CDP05921 pep chromosome:AUK_PRJEB4211_v1:5:25989774:25995596:1 gene:GSCOC_T00021241001 transcript:CDP05921 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVSSGLRSLSFGSLQLHSQNGGLQSQNSSTLRKTSRTLSGSREKERYLPFIFKYLGRRKVIMLILVIIAILALTTGFLTASKEAASESSMLGFDGWFENRTYPVSREVEGTSENGSNVNIHGVCSTNNGSYLPTAHNSTATIAMPAPVMLSHPCKTFAFPPPPPGDARRIGPRPCPVCYIPVEQAIAALPRSASASPVLHHLTYFYEENPMKTEPHGGSDFGGYPSLKQRNDYFNVNKSMTVHCGFIKGCRAGDNTGFDIDLDDLKEMDEFHEIIVASAIFGNYDIIQQPTDISEIAKKNIPFYMFVDEETEAYMKNSSLLDNSKRVGLWRIIVVHNVPYTDPRRNGKVPKLLLHRLFPNVRYSIWIDGKLQLVVDPYQILERFLWRQNATFAISRHYARFDVIVEAEANKAAGKYDNVSIDNQIDFYRKEGLTPYSKAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIMAKANWTINMFLDCERRNFVIQAYHRDLLERRPPPLPRARVYSPPSSVRYNTPTETARKKSPVKRVRGGKKPGSRRHRKVVAGNRDNPLLRLLSP >CDP13653 pep chromosome:AUK_PRJEB4211_v1:5:28402555:28418876:-1 gene:GSCOC_T00038672001 transcript:CDP13653 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYNAWLPPAVAEETKKEKESFHKVLKAVKESYKVDDPESVYATLKWVSVIDLFIKAKSELLMEDVTAVVETGLELFQISENKLHVQVRWGNLLVKILNKYRKKLSLKVQWRPLYDTLIHTHFKRNTGPEGWRVRQRHFSTVTSLVRSSRRFFPPGSAFEIWSEFRSLLENPWHNSSFEGSGFVRLFLPTNLDNQDFFSQEWMNLCLDHWDSVPNSQFWNSQWASVTARVIKNYKFIDWEKFLPTLFNRYLNMFEVPVANGSGSNPFSVDVSRNTRFLFSNRTVTPSKAIAKSIVYLLKPDGAAQKYFEKLVNLLEQYYHPSNGGRWTYSLERFLAYLVHIFHKRLLHEQMSSRDDGKKSELFLQQPDRLSFINALLKLIDRGQYSKNENLSDTVAAATSVLSYLEPSLVLPFLASRFHMALETMTATHQLKSAVTSVAYAGRSLFLTTLSCSPFKQDDFGGTDSFSDLLMIALSNALFGLDANDPPKTLATMQLIGSLFSNMALLEDSTNELSPLPEFQFSEWLDEFLIRLFSLLRHLEPSGVLNEGVHSSTTSGTILVEDGPYYFCMLEIVLGRLSRALYKQALNKISKFVKSNILPGAIAEVGLLCCACVNSNPEEAVLHIVEPILLSVISSLRETPVTGYGGRRTSVAIGRSKQCFFQEKATLSPALETNIEYQLKVLSVAISYGGPALLRYKDEFKEVIFSAFDSTSWKVNGAGDHVLRSLLGSLIHYYPIDQYKCLLRHSAAASLEEWISTKDFSNAVPPVGPKWHIPCDDEVEFANELLKLHFDCALDDLQKICQSKIHCDPGNEKEHLKVTLLRIDSSLQGILSCLPDFRPSVGNGMVEDSGLFSFLIAGATGSLVGSTELREKAAEIIHAACKYLLEEKSDDSILLLLVIRIMDALGNYGSSEYEEWSSHRQAWKLESAAIIEPPINFIVSSHSKGKRRPRWALIDKAYMHNTWRSSQSSYHLFRTSGNISPSDHVLILLDDLLNLCLHSYETVRTLAGKSLLKMLKRWPSTISSCVYALSENLKAPSSPEYAVLGSCAVLSTQTVLKRLTSDAKALCCFLRGILSSSHHESLKSQKAITELFVKYNIYFEGIPRSILRTSGIHSDATDFSDLVSEIGSMSFESTNLHWRYNLMANRVLLLLSMASANDPHSSTNILKETSGHFLKNLKSQLPQTRILAISALNTLLKESPYKVSAGNPVFIGDVQGNTKSSLEGALSIIFQEEGFFNETLSSLSHVHIIADADSASSRGHHGNSSFQSLADKSITHFYFDFSASWPRTPNWISLLGSDTFYSNFARIFKRLTQECGMPVLLALRDALIEFVNSQERSKQCVAAEAFAGVLHSDVAGVSEAWDSWMMTQLQNVIYSQSVESVPEWAACIRYAVTGKGKYGTRVPLLRQRVMDCLMTPLSQTVTSSVVAKRYTFLSAAFIEISPQRMLRGETELHFTLLKELVCNMSHSSAQVRESIGVTLCVLCSNIRLHESCSPSNSLEGVRGDVYGNFEGGSWDEYIVQRASELAVNIHNISACDILETSSDKTLHNGISKDHSRDDVNWMETVFHFVISSLKSGRSSVLLDVIAGLLYPIISLQETSNKDLSTLAKAAFELLKWRVFHGTRTQKAISLILSLGSDSNWRTRSATLTFLRTFMYRHTFILSNVDERQIWHTVEKLLADNQIEVREHAAAVLAGLMKGGDADLAEDFRNRAFREANVILKKRRQRNLRSGLSVASIHGPVLALAACVLSIPYDMPSWLPEHVTLLAHFVSEPSPVKSTVTKAVAEFRRTHADTWNIQKDAFSEEQLEVLADTSSSSSYFA >CDP06169 pep chromosome:AUK_PRJEB4211_v1:5:24044992:24050355:1 gene:GSCOC_T00021568001 transcript:CDP06169 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQEIQKQHLSRDVMLGGKLWTDGLICAFEFVRGCRKAAGTRRFLQQNSVRATKRPPNFALAKNLMEEIDKSAQQAASFVESDSDSFDGQNHNQNYHSGHLHPRERFTGNYWIPIGWARISELLRTVQIDVDWASQPNEFTDEEEEVTVADVAAPYWECPVGPTWWCHVDAGHPFIDAWLSNAQWLHPAISIGLRDESKLISERMKHLLYEVPVRVAGGLLFELLGQSVGDPLAEEDDIPVVMRSWQAQNFLLTSLHVKGSSSSINVLGIAEVQELLAAGSCNVPRTIHEVIALLACRLARWDDRLFRKYIFGAADEVELKFMNRRTHEDMHLFSIILNQEIRRLSTQVIRVKWSLHAREEIVFELLQHLRGNTVRSLLQGIRKSTRDMIQEQEAVRGRLFTIQDVMQSTVRAWLQDKSLRVQHNLGVFGGCGLILSIITGLFGINVDGIPGAEKSPFAFALFSGALVLLGAVLIAIGLIYLGLQKPEFEEQVEVRRLELQEIVRMFQQDAESHAQVRKSTSPEEVSSNAKALFSGGKNYLIMT >CDP06165 pep chromosome:AUK_PRJEB4211_v1:5:24061335:24069395:1 gene:GSCOC_T00021563001 transcript:CDP06165 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFPFNLQAETTRILLIGPIPSTLSQIPNLKVLDLAQNSLSGEIPRLIYWNEVLQYLGLRGNKLGGTLSPNMCQFTGLWYFDVRNNSLTGSIPENIGNCTAFQVLDLSYNQLTGEIPFNIGFLQEQGRKQRKRENEKTYCMRDWEDKKL >CDP11837 pep chromosome:AUK_PRJEB4211_v1:5:19898257:19899189:-1 gene:GSCOC_T00035102001 transcript:CDP11837 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSELGSCWGVAPVTPAAETTPSALTSRKQEEVVGGGLGQGGNSSHAAVMAPADSLRRVKSASRHWKPSLHMISEDSVLVSDKGRTSRVGSDDKKSSAKVKANSRCKAAKPTYHGEDYWKSSSLWAIPAFAPSPF >CDP15915 pep chromosome:AUK_PRJEB4211_v1:5:22862215:22864682:-1 gene:GSCOC_T00016828001 transcript:CDP15915 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCAQSKLSNLNLWIKTSVGSSSSDNVGGHHDSLRRRAPKIRAKSRMMVKMTASTSGSSGQGSSTVAFEEGQLERPRWTGETPLSRLVGALISFRPLFSIMKFGARQVLISTAEKTNIPWREMTRQILESDVYKEMGSIEDPSLVYPDYYLNPFHAYDEGNLSWLAAAEAEAATMSMMRRAIPYASSLDEANQVVRGNWLNAIEEHHQKYSEDNIVRQILDIGCSVGVSTRCLADKFPNARVTGLDLSPYFLAVAQYKEKKSSPRMNPICWVHANGESTGLPSKSSDILSIAYVFHECPERAIKNMVREAFRLLRPGGTFAITDNSPKSKILQASSLLRFLFSAYSLCD >CDP06058 pep chromosome:AUK_PRJEB4211_v1:5:24967737:24971382:1 gene:GSCOC_T00021411001 transcript:CDP06058 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYKPQNILITGAAGFIASHVANRLVRSYPDYKIVVLDKLDYCSNMKNLLPSQSSPKYKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVSGGVRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKKERRVIDVAKDICKLFNMDPETNIQFVENRPFNDQRYFLDDEKLKDLGWSERTVWEEGLKKTMEWYISNPDWWGDVTGALLPHPRMLMMPENSHQSKMVVPTAKSSNPPNKPVLKFLIYGRTGWIGGLLGKLCEKQGISYEYGMGRLENRSQLLADIQAVKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVTGTLTLADVCRDNGLLMMNFATGCIFEYDAAHPEGSGIGFKEEDIPNFAGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVDIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKKYIDPEFKWANFTLEEQAKVIVAARSNNEMDATKLKTEFPELLPIKESLIKYVFEPNKNTSA >CDP13719 pep chromosome:AUK_PRJEB4211_v1:5:28008647:28010403:-1 gene:GSCOC_T00038758001 transcript:CDP13719 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFSLFPLLISVYACMTSSARPFMPMSDATGWRSTKNSTTQPGTESCRTGNPIDDCWRCDPDWETNRKVLADCAIGFGRNAVGGRDGDFYVVTTEADDPKNPSPGMLRHAVIQDEPLWIIFDHDMDINLDEELLMNSYKTVDGRGHNVQISNGPCITIQNVTNIIIHGIYIHDCVQAGNAVIADGPNHYELRGISDGDGISIFAARDIWIDHCTLSSCHDGLIDAVFESTAITISNNYMFKHNEVMLLGHNDNFTADENMQVTIAFNYFGEGLIQRMPRCRHGYFHIVNNVYTGWEMYAIGGSANPTINSQGNVFIASNDDETKEVTKRESPLGYEDWKNWNWRSEEDLLLNGARFTASGQEAPDSYTKASSMVARPASILTNIVPFSGVLNCKIGQQC >CDP17446 pep chromosome:AUK_PRJEB4211_v1:5:2414613:2417224:1 gene:GSCOC_T00000918001 transcript:CDP17446 gene_biotype:protein_coding transcript_biotype:protein_coding MLLISKGSVLHNFSELRNYFLWTSSSKSHANLCRELCPCYLLPVARNSLVSNVFFKYMPAIAVFSQNYRKVIQKAERERERHEPFIR >CDP11795 pep chromosome:AUK_PRJEB4211_v1:5:19196436:19203910:1 gene:GSCOC_T00035037001 transcript:CDP11795 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRPNFFIFFLFSIQEVDKQVDKLSELLKKLKEANEESKSVTKASAMKAIRKRMEKDVDEVGKIARGVKAKLEALNRDNLANRQKPGCGKGTGVDRSRTNMTNALTKKFKDLMIEFQSLRQRIDDEYREVVERRVITVTGTRPDEETINHLIETGSSEQIFQTAIQGMGRGQVLNTLEEIQERHDAVKEIERKLLDLHQIYLDMAVLVEAQGDMLDNIETQVSTAVDHVQSGTTALQNAKKLQKKSRKWMCIAIIILLIIVAIIVVGVIKPWKSKGA >CDP05716 pep chromosome:AUK_PRJEB4211_v1:5:10194400:10206352:1 gene:GSCOC_T00020957001 transcript:CDP05716 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPEKQLSVTWRGKKFILEMKHGANLKELGDKLQQLTNVKVDTLRLIVPTNKGSKMLYPFSDEHSYLSLEAASAIEGKPIRMMGVPENEVEEVLENAKKDPRIAGFDEEEKRMRQRTLDGHNSFVRLPQGTYIFSEFHTLSIPGIELSPPASEALKLMHRLAADPGIVAIMNKHRWQVGIMSEMAPVGYVGVSPKCILGFNKNHGEEISLRLRTDDLNGFRKYESIKKTLLHELAHMVYSEHDANFYALDKQLNKEAVALDWTKSTGYTLNGFRHGLHAEDEFDGHVSLSRKLGGNESHFSNARVSAVAAAYHRVANACTNVSAAVNKRDEPDPDDSGFTNCCEPDSLHAEGETKDGHSNIASSMKLVDEHEIHDNEAEPDPDDSESMGAMESESYLVSGGSQITIEPDPDDLEVLTSAADKESVLCNLKVPLTDHSVVGPNFFGAKEGTGNLNQLDVIHVEPDPDDSQAGDSDDKMIVIAERMSMGQGDEPDPDDLELKRIKDPVTAVYGRIQKAVGKLITEVNHSDAGGVLQTLCKIIRNIVEHPDEMKFRRIRKANAIIQRNILQYGAATEILQLVGFSDDVIFDVTGKAETYLALKRNDPGLLWLVKSSLESSTT >CDP16480 pep chromosome:AUK_PRJEB4211_v1:5:7660131:7662978:-1 gene:GSCOC_T00018421001 transcript:CDP16480 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLVRDMALRITNGNSKLKMKWTEDLHAVYFHTFGSAEIEVPPGWSPNCPKLSTLLLPHFSIKRIPDSFFRHMCGLKVLNLEGCKDITELPNCVSDMVNLTALILGGCARLMFVPPLGKLKQLRDLDLSCTSIQDLPQGWGSLVNLERLNLKKCQTLGLKIIPKGTFSQFHRLQLLLLPPFGKSLSPLRDLEQLGLSRLPNLVGLFYGGSEPYLLPVGTFSSLKILWIHECHNMKQLFTVQLLQTLQNLETLDIKNCEGLEEIAADGNGVGQGGGERIQLTSSGATATVILPKLRCLSLNRLPQLKNICKAAMICNSIKEIEIFDCPKVKRLPLFLPTTNGLPSPPSTLRKIRGDVEWWELLEWDSPYPKSALDPLFIGKG >CDP05735 pep chromosome:AUK_PRJEB4211_v1:5:27305064:27309770:1 gene:GSCOC_T00021005001 transcript:CDP05735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:Projected from Arabidopsis thaliana (AT4G26940) UniProtKB/TrEMBL;Acc:A0A178V3W5] MSGKSRGGAESSYKSVVSRRLTVWLCIGCFCAGMLFTDRMWTVPEAKGISRTSSVEDEKLKLVAEDCKNNMKSKSNDIPGEVSKTHHGIQTLDKTISSLEMELAAARALQDSLLTGSPVSGDLKIPELIKRRKYLMVVGINTAFSSRKRRDSVRATWMPQGDRRKKLEEEKGIIIRFVIGHSATSGGILDRAIEAEEKKHGDILRLEHVEGYLELSAKTKSYFTTAVALWDADFYVKVDDDVHVNIGTLGATLSRHLSKPRVYIGCMKSGPVLAQKGVRYHEPEHWKFGEYGNKYFRHATGQLYAISKDLATYISINQHVLHKYANEDVSLGSWFIGLDVEHIDDRQLCCGTPPDCEWKAQAGNICVASFDWRCSGICNSVERIKEVHRRCGEGENALWNAVF >CDP05925 pep chromosome:AUK_PRJEB4211_v1:5:25960153:25964085:1 gene:GSCOC_T00021246001 transcript:CDP05925 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKRWVFPLAISSLVCLFLIATSFNMGMVSSLQSINSIFSMFPSRATANQTSQLFVEAKVNQSPPPPAAPPVPRFAYLVSGSRGDLEKLWRTLHALYHPRNYYVVHLDLESPAEERLELASRLEKNPIFSKVGNVFMIKKANMVTYRGPTMVSNTLHACAILLKRHKDWDWFINLSASDYPLVTQDDLLHTFTGLRRDLNFIEHTSRLGWKENHRAMPLVVDPGLYQDTKSDIFWVQPKRTLPTAFKLFTGSAWMILSRAFVEYCVWGWDNLPRTLLMYYTNFVSSPEGYFQTVVCNAPEFVPTIVNHDMHYIAWDNPPKQHPKTLHLNDTAPMIASGAPFARKFRANDPVLDKLDNEFLGRKNGSFTPGGWCRGKPRCSKVGNPAKLKPGPGVGRLRGLVDNIVLSPRFSENQCK >CDP06155 pep chromosome:AUK_PRJEB4211_v1:5:24156308:24159477:-1 gene:GSCOC_T00021550001 transcript:CDP06155 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGEDEILKSTGGGGSEGGEKVVLMENKEEGHHPYAFHVSGPRNVSSPNWRDLISSSWKDGNYKRTVIACFIQAVYLLELDRQEKRTPENALAPKWWIPFKYKLVQTLVDERDGSIYGGILEWDRSAALADFVLMRPSGAPRAVLALRGTLLKSPTIRRDIEDDLRFLAWESLKGSVRFHGAMKALKSVAEKYGSSSICIAGHSLGAGFALQVGKALAKEGIYVEAHLFNPPSVSLAMSFRNIGEKAGFVWKRVKSMLPLNSENQGSTDPAATSIIAGMKQWVPHLYVNNSDYICCYYTDPDGAENSQTEKENTRPCSGNFAAKLFVMSKGNQKFLEAHGLEQWWSDDLEMQMAVQHSKLISRQLKSLYSGPPQQTQGKLQ >CDP14752 pep chromosome:AUK_PRJEB4211_v1:5:21662748:21665730:1 gene:GSCOC_T00042199001 transcript:CDP14752 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFVSMASPKNSGKSKKYVEESQHLAGMEWDRDRRGQGIREFDLSLERQQQWRPVFDEASMENRPFKKIKSPERQDPFHQSSSSLAQQTSQPSLSLTPPYDSRGSNPLAFPSPSPSSSSSRHVFPFAFDGTQQSLESLQHLRAHTFDRNQQNTVPLFHPLQQNEQQMISFAPHYHHHQQQQQQGFAFPPYFAGDAAAGSQHQQQQLLQYWSDALSPRGRMMMMNRLGQGQDPRTLFRPPIQPINTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAALAYDREAFKLRGENARLNFPELFLGKEKAESSSVAGPDSSASDPPTPHDNSASRSGDQPQQPDQAPEGLNMDVYIPELPAAALMGDNPDDDSGLGSSEVTASDEVPAFAESSSAAAEGALHPQSSELVWGEMADAWFNSIPAGWGPGSPVWDDLDSSNNLMLPPDLPFVNLHQQSSHDSDSQRQLDNAASSSSTSYPVRPFFWKDRE >CDP10778 pep chromosome:AUK_PRJEB4211_v1:5:17535210:17536292:-1 gene:GSCOC_T00031632001 transcript:CDP10778 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLAIASLQILSVLLLASLGQSKTLFTNLTMYLHEFQSGDAQSIFPVAGLPNVTWGFGQFGTVFVDDNIFTQGVSIESALVGRSQAIPVVASLDGNNTALAATILFTNGKYKGSTVEFKGIALRSVDANEFAIIGGTKQFRYATGYIIFELVSAVGGYIISRVDLYIRQDIPDDYPGQDGIEIFSLQNFSIHTFHLYYLIDRAYANPTERLRILLRLGGGIKQESG >CDP18732 pep chromosome:AUK_PRJEB4211_v1:5:23688943:23693896:-1 gene:GSCOC_T00002664001 transcript:CDP18732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G30920) UniProtKB/Swiss-Prot;Acc:O49354] MASKLLLSQLRNITRPTHHRLINPNFIFPLKNQTFIHSRPYSDAPQPPPVGSKPPPTKADSAPTHKKISSSLNQAELAKFSAIAETWWDAEGPFKPLHVMNPTRLAFIRSTLCRHFGKDPYSAKPFEGLKFVDVGCGGGILSEPLARMGATVTGIDAVEKNINIAQLHADLDPGTSSIEYLCTTAEKLVEEQRKFDAVLALEVIEHVAEPAEFCKSLSALTVSGGANVISTINRSMRAYATAIVVAEYLLHWLPKGTHEWSSFLTPEELVLILQRASISVQEMAGFVYNPLTGKWSLSDDISVNFIAYGTKNTE >CDP13569 pep chromosome:AUK_PRJEB4211_v1:5:29019601:29022212:1 gene:GSCOC_T00038563001 transcript:CDP13569 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVQLLVASVCLIELKILYPSQLHLMLCTLKKQKNLQ >CDP11848 pep chromosome:AUK_PRJEB4211_v1:5:20014978:20016351:-1 gene:GSCOC_T00035114001 transcript:CDP11848 gene_biotype:protein_coding transcript_biotype:protein_coding MARNCPVPFSSFSKLSLLVFINLILYPFTEGQTSGFSTHLIHRDSPKSPLYNPSDSNFERLHEAFHRSFARAQYFNKRISHSRSKLLSHFSSDSIQSNITSVTGDYLIKVSIGTPAVDVLAIADTGSDLTWTQCRPCIQCFKQDAPLFDPSKSTTYEQVLSCHAPLCRLDPGITVCYPGNICGYGVAYGDKSFSDGDVATDTFTFESSSGGNISIANVAFGCGHQNGGTFEETSSGIVGLSRGALSIIRQLNASIAGKFSYCLVPRDSNFSSKIHFGTNAVVSGPGVVSTTLYKKAGDTYYYLDLVGFSVGNTTIPYQGFTKLDNSSPDDEGNVVLDSGTTLTFVPRQMYQPFESEIIDLTKGTRVPDPTGADLRVCYNKDNNLQIPKIVAVFLDADLILPPNNTFAEVSDGVVCLTIVPSDDTPILGNQLQINFLIGYDLVNGTVSFLPTDCTKNH >CDP06072 pep chromosome:AUK_PRJEB4211_v1:5:24874035:24875618:-1 gene:GSCOC_T00021431001 transcript:CDP06072 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAIALFFLLLSLISVCNSSIVSGQKTWCVAKPSSDQAALLNNINYACSQVDCRILQKGCPCSSPDSLINHASIAMNLYYQSRGRNHWNCYFGNSGLIVITDPSYGNCIYE >CDP16370 pep chromosome:AUK_PRJEB4211_v1:5:2885707:2887512:1 gene:GSCOC_T00018205001 transcript:CDP16370 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKENDAYEEELLDYEEDGEKAPDSVIAKVNGESVKKGYVRTWYRQLRIPRLATEATASACYCGFWV >CDP15904 pep chromosome:AUK_PRJEB4211_v1:5:22735110:22735883:-1 gene:GSCOC_T00016813001 transcript:CDP15904 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDPGNFFPNNDPTLFPRKNKYDLNSKIMLAAIISLSLVVFLVAILHIYARIILRRQARRRAAFRQLGIIASAQTHSVVQPKRGLDPSVIAKLPVFVFKYTASNEDMAEGSSIECSVCLSSLEEGEMARTLPNCKHVFHAECIDKWFSSHSNCPICRTEAEPRVQVLVPEPEPREGMIIGASVAIPPTPPVSDYANFTSMEGTSSGGQSSAKLVKESSPSSRFSSLRRILSMDRSPRRIQPSTQETDYSEDLERQ >CDP19671 pep chromosome:AUK_PRJEB4211_v1:5:7215496:7221809:-1 gene:GSCOC_T00013796001 transcript:CDP19671 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHLWHKVAAISGMAALGLGTYGSHVFKPKNPGYKEVWQTASLYHLVHTAALLAAPTTSRPNIFGGLLTTGILAFSGTCYAVAYLEDRKYATLAPFGGFAFIGAWASLLF >CDP11818 pep chromosome:AUK_PRJEB4211_v1:5:19543803:19545722:1 gene:GSCOC_T00035071001 transcript:CDP11818 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLCELCGVMRGVVYCRSDAARLCLQCDSCVHSANSLSRRHDRSLICDKCNSQPATVRCLEDKISLCQGCNWNGNGCSGPGHHRQKLTFYSGCPSLAELSRIWSSILDAPVPSNFDSSYSTLNMNENCMRSCSEPRGGEGSVGMVANRLNELASCVKFEPWITPPPVIPANLDHIVPCSRDQTPLFSEGSSLPKGSPTLKDLGLQDGDVLCEGVDVDDVALIFDSGYEIPGNSEVHSRYNSEDGALSSLVMEKNLSVSESNGHVENTFEASSAGQAEGIGFQSSRIVGSASLMQTMNASPNCMLMNPSCNRNIGLGFPTGQVPSSISLSLSNITGESSAADYQDCGLSPAFLTGESPWDSGLETSCPQARDKAKMRYNEKKKTRTFGKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLRTGEF >CDP05977 pep chromosome:AUK_PRJEB4211_v1:5:25575536:25578958:-1 gene:GSCOC_T00021311001 transcript:CDP05977 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTASLVGFLLLLLLTANVAAGRVLLGHDNHLELVSDGVDDFREKESSSFIAFKGMDSSEGCKQLYGFLPCSNTLLGHLFLIVVYEYLLFRGESLVASGGERIFKILGPGIFGASAFHVLGSLPEALILLASGLLNSRETAQEYVLTGAGLLAGSTILLLTIVWGTCVIVASQEFPNGKKRTSFASSSTDNRSQFKRLFSRSKGYGLTMDTRTSHTARIMVLSVMPFITIQVPVVFGLSSSGELAFIIISLLISVTFLLVYFFYQIFQPWIQRRRLEYIKHEHLVVDILNHVQNHEIGAVLTPKGAPNVTAIRRLFRNTDHDGDNFISVTELQELLKEIKFRNVELDKNEATAEMLRDFDLDADEKISIDEFVSGVTKWVDDTKQALGKRYLSKKSLKHLYEVLQPWIQKKREEREIMKHIVSEILEHVQSSALGTLLTEDGEPDIIAIKRLFDSIDEDKDNRISFSELKTLLTEIKFGPTSLSVDDAVAKMMEELDTDGDRMLNEEEFATGLSKWLQKNDNASTNSKESEDEIFQKSWEQTDQLLEVESINKSLLAWTKAIFLLVLGTGMLGILAEPLIESVQNFSEAANMPSFFISFILVPLATNARVAISAISEARRKKPRTTSLTFSEIYGGVFMNNMLGFSVLLSLIYFRGLTWIFSAEVLIVLIVSAIMGLLGSFRSIIPLWTSLVAYFLYPLSLVLVYLLHA >CDP11867 pep chromosome:AUK_PRJEB4211_v1:5:20239526:20239888:-1 gene:GSCOC_T00035140001 transcript:CDP11867 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAKVNEFQDMLPMMADKLGGEGLITELCNGFQLLMDKDKGLITFDSLKKNSALLGLQELRDDDLLSMLKEGDLDGDGALDQMEFCVLMFRLSPELMQESEAWLDQALRQGFRSTRGQ >CDP15896 pep chromosome:AUK_PRJEB4211_v1:5:22693999:22696803:1 gene:GSCOC_T00016803001 transcript:CDP15896 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLLISLLIISLFHLADSSASPLPSGAHPLDEKFYQSEVIKCKDGSKSFTKDQINDNFCDCPDGTDEPGTSACPAGKFYCKNVGSTPKFLFPSRINDQICDCCDGSDENDGTVICLNTCIMGGTVAYDSLNYRSTIRHQSSAGARRTKVNLNSEDSLGKLKGLKTLATLQVALIIIVVAIRKFPRRVRARRRHPR >CDP06138 pep chromosome:AUK_PRJEB4211_v1:5:24279989:24288245:-1 gene:GSCOC_T00021530001 transcript:CDP06138 gene_biotype:protein_coding transcript_biotype:protein_coding MMATWPSWTKARFGEDTIIANLDSGENFSGRVGQVSAKWKGICQNDYDPSFKCNGSYFCPFCSSHPTAFIYSFSLQDLEIFFINMEKLKILNYEGHGSHTLSTAAGNFVLGASFFGSGNGTTKGGSSKIPYLNYQEMGKNKQLCFEKKEAMRTIASTLFLLPLLFSALISPSFATKKPYIVYLGSHSRDQNVEVSAVEYDRTRESHYSLLGSFLGSSEKAKDAIFYSYTRHINGFAAILDEAEAAEISKHPDVLSVFLSEQVKLYTTRSWGFLGLEENGQIQPSWAQARFGEDTIIANIDSGVWPESESFSDEGYGPIPARWRGICQNENDSTFKCNRKLIGARYFSEGFFSMLTPNANRSVFNSPRDVAGHGTHTLSTAGGNFVAGANLFGLGNGTAKGGSPRARVAAYRACQSEGCQMADILAAFDMAIDDGIAIASLHAIKRGIVVVAAAGNDGPNPDTLDNDAPWQITVGASTMDRQFPSYLVLGNNLRIRALACMMHLLIRNYSLKGESFSDKVLPNNTFFPIVPARSVKAANASDPDADICKDGALDPTLAKGKILVCLRGGGIGRLDKGVTAAMAGAVGLVVADYQGLNEVISDAHVLPALEISYDGAEAVNAYINSTRNPTAYITPPTTELGIKPAPAVARFSGRGPAGVARDILKPDIIAPGVSVIAAYTEAHGPASDGHGFDKRRVPYFAQTGTSMACPHVAGVVGLLKTLHPDWSPAAIKSAIMTTGNTQDNTGKPITVLDDQLHPKGTPFAYGAGLIRPSRAMDPGLVYDLTDQDYFTFLFTCPPPIKLYNFNYPAIAVHNFNDSVTVTRTVKNVGSSPATYTVHVRNPAGLSVDVQPKTLAFATIGEQKSFNVTITATKAGAAREYVFGSFTWLDGKHSVRSHIAVKAFCTKCPPY >CDP10809 pep chromosome:AUK_PRJEB4211_v1:5:18572528:18574516:1 gene:GSCOC_T00031693001 transcript:CDP10809 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDLDVFKMGEEDLALKVKRRLLKIRYLIVLDDVWDIDAWNGLEASFPDDGNGSRVILTSRLRGVAPQDKLDHEPYSLRQLAPNESWDLLKGKLYPGQDLAPPELCEIRQQVVEMCQGLPLTVVILAGILSRMDRHDWKEAVEGLSSRNVSSTEQCTATLELSYKHLPDTLKACFLYFGAFPEDHEHNTKRLISLWVAEGFVPKTHPKRSEDVANDYLMELISRSLVTVSKPRSIDGVKACRIHDLLYEFCVTKAKEENFSRLVRRDDKLSDINVPFYLRRLCIDSKVEHFDNLRLFSPAIRSLLLFSHDEDSRSSFDLRFIFHIIKLAKVLDLSQINLGSTFPRELELLVHLRYLAILVNARPVPASISYLTNLETLIWRNSSDHVSLPDTIWNLKKLRHLELIDEADKNYHFRFPDNNLDNSSQLCDLDILSCLSLNPRKNINKLLRKFPNIRKLRSSLNLDQGCEYHVAMDCLSHLESLSLSCVVYGGDPYQLDFQYPSTIKKLTLSYFRLPWSKMATIGNLPNLEVLKLLRRSFEGEIWEMEVEKFPKVRFLKLASLHIVKWTASSEYEYEEQDYFPRLQKLVLESCDALQEIPSCLGNSSTLEIIEVSKCPNCTSSLEEIQEEQRSNGYTDLKILIS >CDP11881 pep chromosome:AUK_PRJEB4211_v1:5:20436473:20437912:-1 gene:GSCOC_T00035160001 transcript:CDP11881 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFKLLMMVPPLMGHLAQALELAELMLARNNQLSITALIMELPIDPHGTARIQGLFTLKLLEYQKPHVREIASKTQKFSGFLIDLVSTTMIDVADELGVPTYLFFTSGAAFLGLMLHFQTLEDEQNRDIPDLVKGVSHLILPSFAKPVPIGVLPDAATQKEYWSTFVLKMTRGYRRAKGIIVNTFSDLESNAISSFSLDSYYGKSSLPPIFPVGPILNRSQIHTQSSEDCSAMMKWLDCQPKNSVVFLCFGSLATFHPDQVQEIAYGIERSGHRFLWVLRQPPANEGGFPRDYENLELALPEGFLDRTASIGKVVGWVPQLAVLSHSAVGAFVSHCGWNSTLESIFCGVPIATWPVQAEQQLNAFQLVRELGIAVEISLDYNQQKENQALVRAEQVEKGIREIMDVENEVRIRVKEFSEKSRLATKEGGSSYFALGNLIQDICSRSSA >CDP16036 pep chromosome:AUK_PRJEB4211_v1:5:247472:248864:-1 gene:GSCOC_T00017032001 transcript:CDP16036 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYNQNQAPVAYPYPAAQAQPQPPAYLTPPPPLGYPVRDAAQASHSAPAPGKTTSKSTSIEEGGCCAACCCCFC >CDP13770 pep chromosome:AUK_PRJEB4211_v1:5:27681281:27683458:-1 gene:GSCOC_T00038822001 transcript:CDP13770 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLLNSKSVFNAGHYMHRYIILNFSERIGEGQCSEHNSEIFTECKRISGHSLHALEAIERLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDERYNGEIHVTIIATGFTQSFQKTLLTDPRGTKLAEKGTGTQDSLKFPVTIKSSAASPLNSRPPRKLFF >CDP19578 pep chromosome:AUK_PRJEB4211_v1:5:18696811:18699100:-1 gene:GSCOC_T00007005001 transcript:CDP19578 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAINVKQQKHFVLVHGACHGAWCWYKLKPLLESAGQRVTAIDLSAAGINPKRLEEVYTLEDYSLPLLELMASIPPTEKVVLVGHSYGGMNLALAMENYPEKISVAMFVTALVPDIVRPLSYPLEQFFAGETPEMELDREVSSYGAPEKGGKSLHFGPIYLSTRLYELCSVEDLELAKSLARPTLLLVDDLGKEKPFSAEKYGSVKRAYILCKEDKTISLDIQRSQIENIGMTEVKEIEDAGHMVMLSKPQELCQNLLEIALKYT >CDP15938 pep chromosome:AUK_PRJEB4211_v1:5:23027975:23030235:1 gene:GSCOC_T00016860001 transcript:CDP15938 gene_biotype:protein_coding transcript_biotype:protein_coding MATCQTTVNDLPDVILTNIIAAISDVRSRNAAALVCRKWLLQERSTRTSLTLRGKILDLFFLPTCFRSVTDLDLSLLSPWGHPLLPPHIPTTTATLMALVLHQAFPAVTSLTLYARNPSTIQLLAPQWPRLKHIKLVRWHQRPPLGSGEELLALFQENTSIISLDLSSFYCWTDDVPAALVETSNLTILDLLNPSFSEGFKANEIMDITKSCPNLKVLRAACMFDPRYIGYVGDESLVSISANCPKLSVLHLADTSALVNSRADPESEGLTPEDAKITVAALIELFSGLPSLEELALDVCNNVRESGPALEMLKVKCPKLRSLKLGQFHGISVPIESRLDGVALCSGLESLSIRSVADLTDMGLIAIGRGCWRLAKFEVQGCNKITVNGMRTLASLLRRTLVDVKISCCKNLKASTSLKALEPVQDRIRRLHIDCIWDGVDQFDGIQYDVDLNGLDQGGASNQLDGSVNYFADYDSEIMCSKKKRCRHSYDLNCSPLQFNSSGNGFCSNSWDRLQCLSLWIGVGELLTPLTAAGLEDCPNLEEIQIKVEGDCREWSKHTEYPFGLSTLVQYPRLTKMHLDCGDTIGYAQTAPSGQMDLSQWERFYLMGIGDLSLNELDYWPPQDRDVNQRSLSLPAAGLLHQCLTLRKLFIHGTAHEHFMSFFLRLPNLRDIQLREDYYPAPENDMSTEMRADSLSRFEASLNRRHISD >CDP13611 pep chromosome:AUK_PRJEB4211_v1:5:28725706:28732746:-1 gene:GSCOC_T00038616001 transcript:CDP13611 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVGGKSYISSPPGFSNDTNKLLVCTGNTVSIFSASTGLLINELEGHTALVTSVVVVPPTSPANKILAYCWTASLDGTIRYWDFSAPELMKTINIRVPIHSMVVPGLLRKPADHGEQPSHLFAYLCTEEVKKQGHRNASAFWQIRKCNLTQSRFLDKFTLAETLKPQIITISPFGNYIGICEKHKLRIWEIPARDANHVALKKMRLHHTKTFTTLAFHPTERIVAAGDVTGRILVWRGVGEKTFSGGNNNLVNGASHGDAEEKPGVRQDDDADSCTTWHWHSAEVNVLLFSADGAYLFSGGKEGVLVLWQLDTGKRKFLPRIGSPLLYFTNSLDPSLSSVSCADNLIHLLKMPSMVISRSISGIKPPSSVLQFNEGSCSGVAFNHSDGLAAICTENYRIQFYSLFDDREISEVQVCERNHQPGEEITVIVNLVALSPDGYSMGTVETRLPEEGIGGLVSLKFWECSSQSKEFSLSTVVYEPHRDAGVSDVAFHPTRQMAVSTSYGADFKVWKCGYGVSGKDQKPQSNGWTCHAAGSYKQKPMTAATFSMDGSVLAVAAENVITLWDPDRNFLVAVIGESIEPIRKLSFVGKSDYLVSASQGSNPELFLWSMSNLSVLWSYKLHAEAVSCATDGSSFAVLAHLPTSSKSTECLYRSTHGVDGVILLFHVDKAIPVSTWFVQKAQGGSLAFIDASSRLEDGNAGRKSVEVLTYINGAHEYVLLDPFGESAPIRCTSQPESLVDFGETAGRYGYTSIYGKLPEFNLERERSASIATAASERSWEMILDGPSHNLPPLTTLCSAFLESLLERRTATVE >CDP05883 pep chromosome:AUK_PRJEB4211_v1:5:26267598:26274358:-1 gene:GSCOC_T00021194001 transcript:CDP05883 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTHLDYVLFQLTPTRTRCDLVIFAGNKNEKFASGLLEPFLSHLKSAKDQISKGGYSITLRPTSNNASWFTKATLERFVRFVSTPEVLERFVTIEREITQIEDSILLNEQANGQIDADAGNMSLAVANTKGSAAQAKVESSGNGDATQEENSKVQLLRALESRRAVLRKEQAMAYARALVAGFEMDYIDDLISFSDAFGANRLREACNNFMELCNKKSDDRIWMDEVAAMQAFSPEFSYLGTSGIIIAGEGNDGALSGRQPNGQVDAPASDSTTSPGSLETNPDNGLLKATCAQSSQVPPWAQYMHNFQGPAFQQFPPYQGYFYPGMQVPQSYFPGNGPWPSGTEESGLGHHADDDRKSKSVSKNKDKFSNRRKERTSKHSDSNEPSYSSSASDSEEYEDDGKRHSLDQLPKKSGKSSSRKVVIRNINYITSKRSGERESNSEVDSSDEDGFIDANSLKQQVDEALGSFDKRHKSTSGKNRKRDGIKKHINETDGLANRDIENISAAITDGEKRTQEWDVFQSLLMQDADSDSRAIDTSQSTGEYHEYMTNKFSGGQKFSSFSVEAEDVPRNRGIATDAFLLGKRSVADEGIPNMANFDAGENVRAVVKRGTADEELLLSQRFVGSEAHRQATLSDWGTESSIMKSQREENWFVGNRPDISGDHAMFNGQNTPAYGVEPLQIKESNKDVLVDDSFMIQAGPVGGPSIDEQKTDIFLEADIAGANKHDTSRPDDAQDKIRTNNGYEPDDLYMVLGRHSVAEQVAAPWNPEMDYNENSLAEAVKQQSDVETNDSIDVKKLQNVKSTNTTTGKSQVKSKTTAGLLSRSRSELLSKSGNTPSRSKTMVHRSKTDQEEENRKKMEELRIQRQKRIAERSATKASPAVTSRMTTKENKKATLSANNGETKVQASTQETAKLHKPVFRSSTIDRLSAARTRQWTSEQEVKSLQNKQSRLKATPGGLREEAEDIKELHSISSIDKTERERDLPVPIENHSAQTEPFSVNVEDTTKASLVTNDYTGSKPEMSGYELPSTASDVIEDIMVSENFPVLPKVSVSKVSTPPQKCDTSPEYHSRKKWNNGETSPKISKGFRKLLLFGRKS >CDP15923 pep chromosome:AUK_PRJEB4211_v1:5:22907641:22909185:-1 gene:GSCOC_T00016842001 transcript:CDP15923 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSLDLQDIKMGYLVVYYVGLCIWLSLFSYSSIFVYSEIVKDTGTAQGFVFVDGLSAIGEIDDDFICATLDWWPPEKCDYGTCSWDHASLLNLDLNNIILLNAVKAFSPLKIRLGGTLQDNVIYQTQSNQRCHSFVKNSSELFGFTQGCLPSS >CDP13648 pep chromosome:AUK_PRJEB4211_v1:5:28453955:28457763:1 gene:GSCOC_T00038666001 transcript:CDP13648 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELKDLQKDPPASCSAGPVGEDMFHWQATIMGPSESPFSGGVFLVSIHFPPDYPFKPPKASVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >CDP11856 pep chromosome:AUK_PRJEB4211_v1:5:20157092:20162086:1 gene:GSCOC_T00035126001 transcript:CDP11856 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSALAGAVAQELVKDLSTEIQDQSKYAIQFPAQFSELREQLKFMQSFVSDASKLKDKQETVKMTLQELQKLIYEADDLVVDCQIREDYTETKASSCSLCLSEMAFRYKTGKKLTEINSHIKRMRENLNSYYTPIAKHSDSESKNGRKTLWSSPVFDQSEVVGLTENVATIRDWILGHNEPLLRLAIVGLGGLGKTTLAKMTYRDVNLTKRFQEKIWVSVSQPVNETEIMKSMLRQLKSDDSGSSKGDMLSRISQLLSEKTYLIVLDDAWSIEDGWWERISSGLPKTEGLNNCIIITSRIKKVVKKMGVRDAQIHRPRLLNDEESWKLFCKVALISKEDEENTKLIEEGKEIVQKCGGLPLAIKTIGGLLSSEAKCYSEWTRIHKNFHEKLTSAEGSRAGGNQHVIASLQLSYDELPPRLKQCILCFSIYPEDHEVDVDQLIRWWEGEGFVRCRSTEAVTESALNCLSELINRCLVEIAERRNFDGSVYTCKVHDMVRDLTIKIAREEDFCSFDENGKHVANAGSRRLGVTKETKFQTLRGNSNLRALLLTATDYIGFNSNIELANVKSLRVLDLSRVKLDSICMKDLWHWITSLTRLAYLSLRYVAQLSEIPNSVGKLWGLQVLILGECKDLKNLPPSIVNLPRLIILDVGNCPNLQCLPQGFSRLSKLQELYGFKIAGTGNAAGSHLGELKALTELRVLQMDITEESTINDQELEILAQLEKLKILSINAGDCEDEDILSKLNKLSPPSSIEELYLKHYVGETTPGWINPKSLRQLQYLCMEDSRINKMNQDFWGDEEHKWDVKGLCLKFCQRLEVSWEELQAVMPEIRHLEVSQCNLLVSFPCDVKSVGFWCKDEKKKDVRRRMFSVKKIESS >CDP05857 pep chromosome:AUK_PRJEB4211_v1:5:26455762:26461688:-1 gene:GSCOC_T00021160001 transcript:CDP05857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) UniProtKB/TrEMBL;Acc:F4K0A8] MTCQRLHYIVASKYPQRSILSHGNYKKHSEMPMKCTSEVGAADKLKLTTFVTAANLVTSQAANALSNESFMEVPTSLFILADGNITDWFGGLLYSAGQQANEAVLDQLSALSFTRHVFIYLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAYGSGRSRVAIVGDSLAFALGLATTLALLGIAASFAGKAYGQIGQGLPLAASCLAIVMGFNLLEIIEIQLPSFFNNFDPRAAAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAASRDPVVGGSVLLAYTTGYVTPLLLAASFAGALQSLLSFRKFSAWINPMSGALLLGGGVYTLLDRLFPATSMAM >CDP05815 pep chromosome:AUK_PRJEB4211_v1:5:26791689:26797149:1 gene:GSCOC_T00021106001 transcript:CDP05815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G54590) UniProtKB/Swiss-Prot;Acc:Q9FIU5] MKAESSGLIIGISIGVVIGVLLAIGGFFCFLYHRKRSQIGNSSSRRAATIPIRQNGIDSCTALSDSSVGTESPKTSINNGISFWLGGLKKANIISASGILEYSYKDLQKATVNFTTLIGQGAFGPVYKAQMLTGETVAVKVLATDSKQGEKEFHAEVMLFGRLHHRNLVNLVGYCAEKGQRMLIYVYMSRGCLASHLYSEELEPLSWELRVQIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDESMRARVADFGLSREEMVSKHVSNIRGTFGYLDPEYISTRAFTKKSDVYSYGVLLFELVAGRNPLQGLMEYVELAAMNTEGNVGWEEIVDSRLDGKYDLQELNDVATLGFKCVNRAPKKRPSMRDIVQVLSRVIKLRNQRKHHKKSLSATADEVTINIDQSTHRSPMSGHRRMESVESTADSCEV >CDP05734 pep chromosome:AUK_PRJEB4211_v1:5:27310434:27312213:1 gene:GSCOC_T00021003001 transcript:CDP05734 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEENVSGLKVLDCALRLFLVPFSIAAIWLTLTNHQDNSSYGELVSSYFMGLKYMVYISAVSAGYALLAAVSLWVRCLVSKAWIFFVSDQVVAYLMVTAMAALGEILYLSYNGDQKVTWSEACTSYGRFCHRMKLILGFHVVALWCFLLLAVISAYRLFSRFGPPTLSSKEPEEERT >CDP13602 pep chromosome:AUK_PRJEB4211_v1:5:28793521:28798172:-1 gene:GSCOC_T00038606001 transcript:CDP13602 gene_biotype:protein_coding transcript_biotype:protein_coding METVSTCTLNQHPFLTSNPRPFASNFAYASSVSALGFTLPSSHKTSAPPNQRRKTLVTSSSIAVEHHHTQTKTAVIRIGTRGSPLALAQAHETRDKLIASHPELAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINADIDIAVHSMKDVPTYLPDKTILPCNLPREDVRDAFISLTATSLADLPAGAVVGTASLRRKSQILHRYPSLKVLENFRGNVQTRLRKLNDGVVQATLLALAGLKRLNMTENVTSILSIDDMLPAVAQGAIGIACRSDDEKMAGFIASLNHDETRLAVACERAFLETLDGSCRTPIAGYASRDEDGNCVFRGLVASPDGTRVLETSRKGSYTFEEMVAMGKDAGKELLSKAGPGFFDS >CDP06127 pep chromosome:AUK_PRJEB4211_v1:5:24368721:24376662:-1 gene:GSCOC_T00021517001 transcript:CDP06127 gene_biotype:protein_coding transcript_biotype:protein_coding description:F20D23.9 protein [Source:Projected from Arabidopsis thaliana (AT1G17210) UniProtKB/TrEMBL;Acc:Q9SHI0] MKDEAVSSSRDPIIPRKSSSPPPTPTPAASSAGASSPALPANAGSTDWLGQGQGSKGGSLSRIGSQPMWTSLSTSAGGSALGTSQPSCRPWERGDLLRRLSTFKPENWFGKPKAASSLACARRGWVNTNLDTIECESCGGNLNFISPATWTPSEADCAGEDFSKKLDEEHKVTCPWRGNCCAESLVQFPPTPPSALIGGFKDRCDGLLQFPSLPVVAASAVEQIRISRGSEVDRLLAQPQIFVGGESGFRSDFTSGNENTRDDIFFPYSRAQKLISLCGWEPRWLPNVQDCEEHSAQSARNGHSVGPAKCYGPPRDTSRGKKAMSTSTRKKFVKNDVLGPNSKGESRSPLLDCSLCGATVRIWEFLTVARPSGFAPNSIDVPETSKKMQLTRGVSAASGISGWVATDVLEKEQTEDRDEAATTDEGKSLSNMGVDLNLSMAGGLPSSQLGMNVTSENYQDVHRGRDIIIGQPSSSEVGDRAASYESRGPSSRKRNLDEGGSTVDRPQLVMQQADSVEGTVIDRDGDEVDDGKEYSAGPSKRARGLDIFDTHYSSYQMDSSGAGPSQQFGFEIGSDAPRDDLFNQGHELMLGIQSTRDSTHVSSVIAMDTVCHSPDNDSMESVENYPVDVDDVNFPSTSYLRFTDLNETSELNYSNQAQQSTCPGVMRNVGEMGVSSTNDEEVVNADTATAHGRDGPSFGISGGSVGMGASHEAEIHGTDASVHRADSVVGDVEPIAEITENQGMTGEFAPDPGLMGDFVPEEMDREDAHGDSQDLMSGSVGRADSGSKIIGSAKAESFESGEKTSNVHLNPQENRIHPSLSCNAILCSGFDASKEEVTQAGKTAPSEEYGFFESGYLVVNGTGPPNGESNYEETIEFDPIKHHNHFCPWVNGNVAAAGCSSSSGSGSSAGALAVCGWQLTLDALDAFQSLGNVPIQTVESESAASLYKGDHLAPGRKLLAHHSFSKSHGQS >CDP11329 pep chromosome:AUK_PRJEB4211_v1:5:14107839:14111114:1 gene:GSCOC_T00033515001 transcript:CDP11329 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKIIGKFLKKSSSNKDKDSNKNKDGQHFNGFSSVKSDVGMSVNNSYNSVGKSSSVMRSGGFYGLNPCSYSHGNADLMNLKKFGSKMGTKVGPVGRLDIDSLPRFGEVPSSEKVGLFIKKLNFCCVVFDFNDPMKDLKEKDIKKQTLMVSANLLRTLPVSCQDAKRLPVNMYDMEEDEPIVDPSWPHLQIVYEFLLRLLSSSEMDPKVAKRYIDQSFALRLLELFDSEDKREREYLKNILHRIYVKFVMHRPFIRIAIDNILYQFIFETEKHNGIAELLEILGSIINGFDFPLKEEHKLFLVHVLIPLHKPTCLSMYHQQLSHCIMQFLEKDFKLVDTVIRGLLKYWPITNSVKEVTFLGELEAALEATQNTEFQHFMVPLFHQIARCVNSSHFQVAERALLLWNNDHVRNLIIQNRVVIMPIILPYLERNSHIHWHQSVQNLTFKVKKMFFDADKEFYEECMVRVQEDEIKAAVAREKQVLTWKQLEDLAMSKAAREDAVNVSRIASSPVTAASTV >CDP15942 pep chromosome:AUK_PRJEB4211_v1:5:23069003:23075231:-1 gene:GSCOC_T00016865001 transcript:CDP15942 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVQKLYNACKVSLMPNGPISEEALEKVRALLDKIKPSDVGLEQEAQLVRGWKGSVRGHNGVPESMPPIKYLHLHESDSFSMGIFCMPAYSIIPLHNHPGMTVLSKLLYGSLHVKSFDWIDIPGPSDPSEARPAKLVRDCEMTAPTETTVLYPTSGGNIHCFKAITPCAIFDILSPPYSSEDGRHCTYFRRSPRGDLPGSLEVDGETITHMTWLEEFQPPDNFVIRRGQYKGRVIKT >CDP16376 pep chromosome:AUK_PRJEB4211_v1:5:3076582:3076773:-1 gene:GSCOC_T00018215001 transcript:CDP16376 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEACLFAMHLASASVLPMVLKLAIELDLLELIATAWIAVLAFAGTAKNLTLGNLLVALEE >CDP14778 pep chromosome:AUK_PRJEB4211_v1:5:21829115:21841609:1 gene:GSCOC_T00042233001 transcript:CDP14778 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARPFIFKACLLSAETPNLLKKNRMDPKPFSEKDQFFSTTAEKVTTNGAANISLQEWQGWGAISPVPAMVIEVIQDLKLLEKDIGAHLDFGGNHGKLQGDFKVQEDKKHRAKFQSLGNSEKKLQFFSARQIACRLLGSRGYLCQKCWLPMEDCMCSRLLPFPLWHRIRFWLYMHPKDFLRQNNTGKLLCQVFGTHAATLCLFGISELEEIMWSALNFSGRRNVWCLYPNKNAMPMSVQDTFIQNSSRDHQSEQNSVLGDERMNFILIDGTWSNSQAMFKRLKDKAQVVWGEDLPCISLNAGVQFLSLSESRPQPSWDRTCTAAAAVGLLYELHLVPEFSSHGLDSQAEAIEDALEILFESLTARRLRMGRSISRKERHYSNLC >CDP05814 pep chromosome:AUK_PRJEB4211_v1:5:26798388:26801227:1 gene:GSCOC_T00021105001 transcript:CDP05814 gene_biotype:protein_coding transcript_biotype:protein_coding description:SVR8 [Source:Projected from Arabidopsis thaliana (AT5G54600) UniProtKB/TrEMBL;Acc:A0A178U954] MAALQSSFTSLSLSSNSFLGQRFSVPLCPPQVVPTENPCSISAKLKRWERKDCKPNSLPVLHKMHVKVGDTVKVISGRDKGKTGEITEIFKHNSTIKVREINLKTKHVKSRGEDEPGQIIKIEAPIHSSNVMLYSEEQNVASRVGHKTLDNGKRVRYLIKTGEIIDSAENWKRVIKEKKEEKTEAVAAS >CDP19216 pep chromosome:AUK_PRJEB4211_v1:5:1873850:1875548:-1 gene:GSCOC_T00009658001 transcript:CDP19216 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEGFRPNHVTYLAVLAACSCGGLDTRYALDSIVTNDGEKLPCWPLANLSSFRQKLGSEGYDKLEVIGIDEAQIF >CDP13763 pep chromosome:AUK_PRJEB4211_v1:5:27730381:27737269:-1 gene:GSCOC_T00038812001 transcript:CDP13763 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFVGVLVSDQWLQSQFTQVELRSLKSKFASIKNQNGKVEVGDLPPLLAKLKAFGEMYNEEEIRKVLGESNPDMRSEIDFEGFLRAYLNLQSQANARKGSPKSSSSFLKATTTTLLHTVSESEKSSYVAHINSYLRDDPFLKHFLPIDPASNALFDLARDGVLLCKLINVAVPGTIDERAINMKRVLNPWERNENHTLGLNSAKAIGCTVVNIGTQDLVEGRPHLVLGLISQIIKIQLLADLNLRKTPQLVELVEDNNDVEELMGLAPEKVLLKWMNFHLKKAGYKKTVSNFSSDLKDGEAYAYLLNVLAPEHCSPATLDAKDPVQRANLVLDHAERMDCKRYLTPKDIVEGSTNLNLAFVAQIFHQRNGLSTDNKKISFAEMMTDDEQMSREERCFRLWINSLGITSYVNNLFEDVRNGWVLLEVLDKIFPGSVNWKQATKPPIKMPFRKVENCNQVIKIGKQLKLSLVNVAGNDFVQGNKKLILAFLWQLMRCNILQLLKNLRSRFQGKEISDADILKWANKKVKSTGRSSQMESFKDKSLSSGLFFLELLSAVEPRVVNWNLVTKGESDDEKKLNATYIISVARKLGCSIFLLPEDITEVNQKMILTLTASIMYWSLQQPVEDSDSSPSTTRTPDASPGSSTNGYPSPSIIDSPGVSSGLTFNGSSSPVATASPGESPAPSVNGEDDSSLGGGEVSNSTIDDAASDSTVSSSQVENEDTHPLVAEE >CDP18719 pep chromosome:AUK_PRJEB4211_v1:5:23844967:23845536:1 gene:GSCOC_T00002648001 transcript:CDP18719 gene_biotype:protein_coding transcript_biotype:protein_coding MELFQKAKTIRLRSYRDKYLTAEDDEENVIQDRDGSTKNSIWTVEFVPGRDVVRFVSCYGKYLTASNMPLVPRMPGRKVIQTLPNCRDPRIDWEPIRDGFQVKLKTLWGNFLRPNGGVPPWRNCITHDIPHFSTTSNKILWDVEVVETRPIPAALKNHKRTHSDFTFDRSRSRSAASSSFMSHQPNAMN >CDP14701 pep chromosome:AUK_PRJEB4211_v1:5:21096797:21098392:1 gene:GSCOC_T00042119001 transcript:CDP14701 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMSYSTMLQFAFLLLCSISWASASHPSRGDFVQCLLNYTDSPSYFAKAIYTQNSSSFTSVLDQYIHNLRFLLPEVPKPLVIITALTENQIQTAIFCSKKYRLQMRIRSGGHDFEGSSYTSNVPFFVLDMSNFRSISIDVKGQTAWVGAGATVGEVYYSIYEANSTLGFPAAYCPTVGIGGHISGGGYGPLVRQFGLAADNVIDARVIDANGTVLDRKSMGEDLFWAIRGGGGASFAVILGYKLKLVEIPEKVTVFSINRTWEQNATQLLYKWQYIAPKLPLNLVITPQIVSINSNQTGKRTVQVTFVSVFRGEVDELLSIMNQQFPELGLKKEDCTEMLWIQYFAYASGLPTSNITESLTSRVSSAKLYYKAKSDFVKEPIPENGIEEILRKLNELPPFMGMLEWNHFGGGVMETIPESATPFPHRGNLYLMCEGVSWDEQVVSKQRIDWLRKLYKVIGKYVPNNPRAAYANSRDLDLGVNNKGITSVEKARIWGAPYFKNNFDRLVQVKTKVDPYNFFKNEQSIPISQ >CDP15723 pep chromosome:AUK_PRJEB4211_v1:5:5003827:5022654:-1 gene:GSCOC_T00015744001 transcript:CDP15723 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSERYCYEPSLKWNPKVEEYFINAYGPEHFSRISEALTRPSCYSCIRVNTLKSTSDAVIKKLSAILQERGLHNGMIRISNDRKHMEDFCSKPIEIGESADTRQIYAQNNLVSKCMIPGLDHVIFVRGSGPHAIDYGLSGGKSPKEVIVSRKCAEAVLRGAQVYVPGVLACSAHVEKGDVVAVSVAVEQPGADGGWGANITRGTILQGSPAGKNFMRENPYYFERNGLYIGQGITMLSRAGMFRVPVGVAVDMNCRVFDLPSFHDLLEGEIFLQNLPSIIAARALDPQRGERILDMCAAPGGKTTAIAILMQDEGEVIAVDRSHNKVLDIKKLAAEMDLTCITPYKLDALKAVRRSESDDFAASHFPQDVVGIEERIEKTSMAASDDLDAELVPQSCDVNSKNGTYSSKAVERKIMRKMRNGPGRNHCSGGRVEKSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEETVESLRNHAKYQKRMFDQAVQLVRPGGVIVYSTCTINPGENEGLVRYALDTYKFLSLASQHPRVGGPGLLGGCQLSDGYTEEWLRPGEEDLVQRFDPSSPLDTIGFFIAKFNVSSKAA >CDP05703 pep chromosome:AUK_PRJEB4211_v1:5:11054750:11061691:-1 gene:GSCOC_T00020929001 transcript:CDP05703 gene_biotype:protein_coding transcript_biotype:protein_coding MACTITHIVLAVQLCVLAVGARTNLDKQSSDFNLSKILPLVDEDGICNLVVEKQGYVCQEHKVITKDGYILSLQRIPEGRAGKAANKIPVLLQHGLLMDAVSWMINSPDESLAFILADNGFDVWLANTRGTNYSRGHTSVDPNDPSYWDWSWDELVAYDLPATVQYVHDQTGQKLHYVGHSLGTLIAFAAFSQEKLLNSIRSAALLSPIAYLAQMSSFLAKTAADAFIAEDLYWLGIKEFAPRGEAAVKLLEDICKNPENNCSDLMTSFTGPNCCVNSSKTNDFLDHEPQSTATKNMIHLAQMIRQGTIAMYDYGSADENNKHYGQPTPPVYNMSSIPKNLPLFLSYGGQDYLSDVDDVKTLLNALKDHDADKLLTQYREDYAHADFVFGENAKQVVYDPLMAFFKLQ >CDP16489 pep chromosome:AUK_PRJEB4211_v1:5:8244879:8246604:-1 gene:GSCOC_T00018437001 transcript:CDP16489 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHLSSIVNDVILRCAQVLDTSVDALIKEFESGLDPILHGYSRKLVEYCCSKVLKNLCNSMHERVSDGSFSRFTFDMMLAWEMPNSTDEESYMVGVLFLAFRYNHPLCALKSN >CDP06099 pep chromosome:AUK_PRJEB4211_v1:5:24596959:24598713:1 gene:GSCOC_T00021480001 transcript:CDP06099 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMPTLSSNLAYSSSIAHFISLAKPTHPNRKTGPKLTCNSTKGDQNSSDHDTAGSKNGETSLLKLDRRNVLLGIGGGLYGASTFVGNPSAFAAPLSPTFTACHDATDPDSKEIDCCPPSATAADITDFVPTAPSVIATRPAAQQVDSTYLKKYKTAIEKMRNLSSDDPRSFTAQANVHCAYCNGGYYQDGYADSSLLLDVHNSWLFFPFHRWYLYFFEKICQNMVDDDTFALPFWNWDNPPGMYLPAIFKDSSSPLYDSLRNPSHLNTVLDLSYEGTDSTDSTITVIKNNLILMYKQMVTQSTTPLSFFGKTFRAGETSDPGAGSIETSPHTNVHRWTGDPNESHGENMGSFYSAGRDPVFYAHHANVDRMWSLWNSLGGQNFTDSDWLNSSFYFYNEQAKPVKVYVKDCLDTSVLGYTYQTVDIPWLNSKPSPRRTAVALPTAPTPSQVFPTTLEKAITVLVKRPKKKRTKKEKQSAEEVLEISGIQYNIGEFVKFDVYINEDTPDESGPEKTELVGSFINVPHGHSMISTTTKSFDISEVLQELGADEFESVLVTLVPKSSTVTISGVKIKYDSTKVSA >CDP14698 pep chromosome:AUK_PRJEB4211_v1:5:21069614:21072703:1 gene:GSCOC_T00042115001 transcript:CDP14698 gene_biotype:protein_coding transcript_biotype:protein_coding MIMCNVASPSRFSLQKQRLGSTTPHIGGLYHHWHLKYKRSIIITKIRSMKANEIKKFKSTAIVSDLSLIKIVISCIGAITTPQILSSNIFHRFHQNNFFLFPVLLKIKDKQKKRPDFCLS >CDP16390 pep chromosome:AUK_PRJEB4211_v1:5:3665681:3668858:1 gene:GSCOC_T00018241001 transcript:CDP16390 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKEILTRRPVAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPDTPMAVVITAFKDNTFEFTVRSPSVTWYLKKAAGLEKGSGRPGHVTASSLTLKHVYEIAKVKQQDPYCQYMPLESICKSIIGTANSMGIKVQKEFD >CDP13618 pep chromosome:AUK_PRJEB4211_v1:5:28674575:28676149:1 gene:GSCOC_T00038624001 transcript:CDP13618 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVMSSLSLKPAVFTAEKSAVRGLPSLARTSSSFRVQAKGKKIKTDKPYGINGGMTLREGLDASGRKGKGKGVYQFVDKYGANVDGYSPIFDTRDWSPSGDVYVGGSTGLAIWAVTLAGILAGGALLVYNTSALAQ >CDP16382 pep chromosome:AUK_PRJEB4211_v1:5:3172571:3176976:1 gene:GSCOC_T00018223001 transcript:CDP16382 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPTYYRNLKSRAGDVLSDEQIKECEELGILVDRDDQGTLLQIFTKPVGDRPTIFIEIIQRIGCMIKDDEGKIYQKGGCGGFGKGNFSELFKSIEEYEKMLEAKQIVQTAAA >CDP06136 pep chromosome:AUK_PRJEB4211_v1:5:24316102:24317802:1 gene:GSCOC_T00021528001 transcript:CDP06136 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFLLQSGRGGRDRFRREHPLRSEEKSHHGRSNPPSRHLWVGNLSHNLDESSLTHHFLQFGELENVAFQPGRSYAFINFKNEEDAFAAMRELQGFSVAGNPLRIEFTKAVSFVNLCLISFMVLLYKVHSKTCSYIISDFNRFPPKFESFPAFQNSYELAIFSL >CDP13660 pep chromosome:AUK_PRJEB4211_v1:5:28359613:28361282:-1 gene:GSCOC_T00038683001 transcript:CDP13660 gene_biotype:protein_coding transcript_biotype:protein_coding MREREPTASSLGPTPHSLSLPRFLHFQNTPLLSPCRPPLTSIYMSTPSSISHLKLTTHHLNYLNGRNPKVFLWLLESLCQILTRKFPKSRGKRKMSFAVNRITICAAVSEASPPALETRTKPGNLYQVLRVKQNASQVEIKTAYRTLAKIYHPDVAPVAAKHPEESLDGCDFIEIHKAYSTLSDPDSRAVYDLTLTIGSQPQPLGVNYSAPGGFRRHAGFYATRRWETDQCW >CDP06041 pep chromosome:AUK_PRJEB4211_v1:5:25071255:25082520:-1 gene:GSCOC_T00021393001 transcript:CDP06041 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKVIYCPTPFLANNSTYLLYHLVVSAYIYIYIYVCIHGHFLFLFCLFAVVRALRQIAGTLGKRDWNFSVDPCSGRQNWATPNPVKGLENAVTCNCSFVNNTVCHVVSIVLKAQNLPGTLPPEFVKLPYLREIDLTRNYLNGTIPLEWGSMQLVNISLIGNRISGPLPKELGNISTLANLTLEFNQLSGNIPPDLGNLRHIERILLTSNNFTGELPATLANLTTLKDFRIGENQFVGSIPNFIQNWINLEKLVIQASGLSGPIPSGIASLTKLTDLRISDLNGNDTTFPPLIGATNMKTLILRSCNIAGQLPEFLGSFTKLKLLDLSFNKLSGPIPSTFFGLSKTDNIYLTGNILSGAVPDWMLKDGDSIDLSYNNFTGSSKASNYQPGNLNLFASSSKGNTSGIVSCLRSFSCPRSWYSLHINCGGREVKVDDNTSYDDDIDSGGASKFFQSRSNWAFSSTGNFLDDDRPRDSYTWTNNASISGTNSGLYMDARLSPLSLTYYGFCMLNGNYTVNLHFAEIMFTDDRTYSSLGRRIFDIYIQEKLVLKDFNIEDEAGGVNRPVIRNFTAVVTDNTLAIRLFWAGKGTTGIPYKGVYGPLISAVSVDPDFTPPSEHGDSFPVGAVAGIVLAVLFSITMVLVILWWKGCLQCRDSMENDLKGLDLHTGSFTLRQIKAATNNFDAANKIGEGGFGSVYKGHLADGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLIYEYMENNSLARALFGPEDHKLELDWPTRHKISVGIARGLAYLHEESRLKIVHRDIKATNVLLDKYLNPKISDFGLAKLDEEENTHISTRIAGTFGYMAPEYAMRGYLTDKADVYSFGVVLLEIVSGRSNTNLKPKQDCFYLLDWANLLKEEGKLMELVDPRLGSNFNKEEVILTIHVALLCTNASPTIRPSMSTVVSLLEGRVVNKELLSELRVSSTKVEPGKEISDQHEVPNSNESCAQHVSMEVPFTASSTSTGDLYPVTIDSDFLMNRS >CDP05816 pep chromosome:AUK_PRJEB4211_v1:5:26773948:26778551:-1 gene:GSCOC_T00021107001 transcript:CDP05816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitin-inducible gibberellin-responsive protein [Source: Projected from Oryza sativa (Os07g0545800)] MDSHQFFGYSVAGADISSYPSYSTAPSVPNRLYGSLKFELRNSPNSPFLSHFDSETLTTLSDGQEQHSSTENLSEASPSCNSSLNYTSSCYQQLSSSPDSSQEKPQISSGGTTLFQNPNNGHNIKYTLQQLETALMGPDEEATTSAPSVGENKGPQASGQKSRALSQENQPLGSQIIHSQPSYALHLRKSGNELPMEKRLRAIEELSLKGIPPTNLKQLLMECARAFYENELENFEKLVETARGAVSITGEPIQRLGAYMLEGLIARKEASGTNIYRTLRCKEPEGKDLLSYMHILYEICPYLKFGYMAANGAIAEACRNEDRIHIVDFQIAQGTQWMTLLQALAARPSGAPHVRITGIDDPVSQYARGEGLAAVGKRLAAISEKFNIPVEFHAVPVFAPEVTRDMLCIRPGEALAVNFPLQLHHTPDESVDVTNPRDGLLRMVKALNPKVVTLVEQESKTNTAPFLPRFWETLEYYSAIFESIDVTMPRDRKERINVEQHCLARDIVNIIACEGKERVERHELFGKWKSRFTMAGFRQYPLSSYVNSVIRGLLRCYSEHYTLVEADGAMLLGWKDRNLISASAWH >CDP13659 pep chromosome:AUK_PRJEB4211_v1:5:28366528:28367932:-1 gene:GSCOC_T00038682001 transcript:CDP13659 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKETFGHLKIFLLSTLIKPKPKRSSCLVAQPSDICIGTSAAPTYLPGHYFWTRDGQANVQEFNLIDGDVVANPTKLGTIQVTKKVLDQNPDFFSIEAKDFGHFFLVISLGSWHRTGNIPTQAQDSILFLDVFTQASADMVDICMDTEFRQHILLWTIYLRIQDDTLIGTESWVGYCHKRKTWIEIGGEIRENLSTGEGSFKCWLRALWRS >CDP13635 pep chromosome:AUK_PRJEB4211_v1:5:28533234:28537891:-1 gene:GSCOC_T00038650001 transcript:CDP13635 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVENPPRDPSQISQLNSSSDEKASSDKQQPHLVDLLKSDLDDHNKDIHNPTPKFSIRDYVFNTRGKDIKNSWPFSQENLQLCLKHGVTNLLPPFQSSDTLRNPSLESFQVSSFLSEKENTSNSNGEPSGQSDRVVLVDSRNAGCNPKLAEDCSNTNPSGSEGDKEFPSTITSQSCSAIDSVPTNKSPSLESETDILPEVSVAKPEVISSAENTNTQAPAKKCRLIVKLSNIANSSTKEDTAVNNFLVSEAMASKICPVCKTFSSSSNTTLNAHIDQCLSGESTTKRTTNSKVIKHRVKPRKMKSMVEIYVTAAPCTLEELDRRNGTNWATNSILPAQEVSTSVDQKKEGSSPTNLEETPDEGAVYIDANGTKVRILSKSNDPSVFSKVLNGQLLKRSINRDKVHKHLSAKKKKKQNQVQKQRKILKHGKKICPQSHHSSKVNNYQDRNFSEEEGLGKVECVRQWIKAQGEVKFSRPDMIQGWACSKRTGLTKKFNDRDDNQHSGSWDDRDLAVENNQLSLCDSRVKKSFERTEKICENSLPSPSSSKRIDISSSLEHNEDYREQLRKRPGPSSVQSHASHDRKRSKVLPRYPDNKVKQTRSNEHHNQEDLVSLLSKRKIEMSAGVGRNADHPVVDPKVSAKARRFSSLRKKLPTGRKSMPASKFNLKGKCSPSKKFRDGDKIERTCHIESVEGNGVSKIRKSGGGSMVSRKEETMALKISHSESMSYHYDAGDHDRHLSYSCGKV >CDP05942 pep chromosome:AUK_PRJEB4211_v1:5:25830784:25834053:1 gene:GSCOC_T00021266001 transcript:CDP05942 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGASDRSKEAVGMMALHEALRSVCLNTDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVGECLDEIEGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRNSSSQSGVPMKQPTMPIRSPPPLFSWGPRPIPSANAVLASPSFQNSARLGIPPSKDETHMFLLPHSSEARMEDMMGDHENDIKWPNGLTFFNALTGRSDDAKLLFNPDGLGSKAEQNHHPNPNSDASSLHNNSGANPNEFLSLDSHPDSVRKMENKFKRSFTLPARMNSSSSSTSLDHHQHNPGEYRNEAGMYSDVMETFLE >CDP11850 pep chromosome:AUK_PRJEB4211_v1:5:20043564:20044931:-1 gene:GSCOC_T00035117001 transcript:CDP11850 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFPVFSMFSKLSLLVFINLFFYPLIEGKDGGFSTHLIHRDSPKSPLHNPSNSFFEMLNKSFHRSFARAEYFKKRVSQSRSKHSSNSSSAPIQSHITSAGGEYLIKVTIGSPPVDFLAIADTGSDLTWIQCKPCKRCYKQDAPLFDPNKTTTYRHLSCNSPLCSDPGTTFCDFRNKCGYRASYGDGSFSNGDLSTETFTFESCSTRNVSIPNVALGCGHASGGIFQETSSGIVGLGGGALSIIKQLNGSIGGKFSYCLVPRDSNFSSKINFGSNAVVSGPGVVSTPLIKQIPYKGLSKPDNSSPSDFPGGNIIIDSGTTLTFVLQDFYQRLEAKVIKITRGTPVSDPGGQFSLCYKVEKRLKIPKIVAHFANADIKLPPDGTFLEVSKGIVCLAIVPTDGIAIFGNVLQINHLIGYDLVNKKLSFLPSNCTKYK >CDP13574 pep chromosome:AUK_PRJEB4211_v1:5:28990291:28993825:-1 gene:GSCOC_T00038571001 transcript:CDP13574 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSVGDLAAADLKGKNVFVRADFNVPLDDNQNITDDTRIRAAIPTIKHLISNGAKVVLSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVVKADDCIGPEVEKLVASLPDGGVLLLENVRFYKEEEKNEPEFAKKLASLADIYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKVDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLDLATSLLEKAKAKGVSLLLPSDVIIADKFAPDANSQVVPASAIPDGWMGLDIGPDSIKTFSEVLETAKTVIWNGPMGVFEFDKFAVGTEATAKKLADLSRKGVTTIIGGGDSVAAVEKVGVAGVMSHISTGGGASLELLEGKQLPGVIALDEATPVPV >CDP13737 pep chromosome:AUK_PRJEB4211_v1:5:27888666:27891722:1 gene:GSCOC_T00038784001 transcript:CDP13737 gene_biotype:protein_coding transcript_biotype:protein_coding MYMGWPMKILKAKSCKSTITSTPNIPSGSHLSIEIGDTSVQDPVLSSSAGKNQNEQDQVDMPYFSVSPERLAELVESNGLNSPDHPRPTIQEIGEALRSSIDSGITGDEKDLDCRIKTFGSNQESDKQPKLGTKGLNRLVLEAFKDGTIILLVCCAILSLVIDVKRYGPEKAFLDGAIVCLAMFVAVNFGHIFRFLQQKRILKISQKHQKGVNVVRRGKMQEIPASQVVVGDVVLLGTGAQVPADGLFIDGSSCKLDDGLFERGANYASMFTGAKVVEGNCRMLVTSVGKNTEISRLINMVSVGNQRKLMDSKLQDGIDRLNSRLEKLWLSLSLLILMVQVLRCFVWKCACDESHNPDPKGQGGKINGLVSMLCILLFATRDGLPLGIFILFFVVSKKMKFFSAMIQKLSTCATLGLVTTICLTKTSDLTMKHAEMAEVWIGLNHIKDISQEISGQVLGKLQEAVCMNSSGGQIEDSLLYWAQQVLDVDVDEMYRNSEILHNGPFHLEKDQKLLVLKRLEEKQEILDLHWRGAPDCILPQCSKYYEADGTMQALDEGKRAMFDKIVEQIASSNLPSFAFAWEKLIPEEPETPKPEKEGYLDDEKTLQVDGGLTLIGLVCLKNPYPPEVRQAIKALRDLGVNTKLLVDDNPKAARIMAIHSGILRREDDSELTVIDGSDFRNISEEVRMNMIDKIQVIANASPVDKLLMVQCLKKKDEVVATIGSCIRDFPSLVNADVGIFMGEKNAEIAKDYADIVAGLSIATVGNILRLGRYACQSIEKFLELHLTLNISAFTTNLIFEASSIEVQLSSIQLLWTNLIVEALGAFALAVLMVEMEPSKSAQSQGKDRKSPPPVFGAGPVITKTMWRNIVIRSMFQVAILMVLGMKGKDMFHIDEDVLETMSFNSYVMCQVFTLIAAMKVSKKSIGNISRGEFSIENLLFLAVAGMMLALQMLLIVIMVAVAHWGSLNFKRWLICIGLAALSSPLASAAKRGSDVVFPCN >CDP13794 pep chromosome:AUK_PRJEB4211_v1:5:27521199:27522215:-1 gene:GSCOC_T00038853001 transcript:CDP13794 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLLQFYNLSQTCRIRYLPVKPITFRVQMYSQLSKQGQKENGSKKKPDHHRDGRPAERAASTAEEFRRVAEEKARQGTTSQTVEKEADAFQETMAGDTSFETVKESFKKPPGRGNIHKTGDESDPVEK >CDP05721 pep chromosome:AUK_PRJEB4211_v1:5:9766402:9768620:-1 gene:GSCOC_T00020978001 transcript:CDP05721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 7 [Source:Projected from Arabidopsis thaliana (AT2G04780) UniProtKB/Swiss-Prot;Acc:Q9SJ81] MEWHNTTPTPPQLCTYSSFFTSPAATQDRNFLSLVLLYTLSLALPNPEPPPSSQLQLLCLNCSGPGKGKMGGKLFFMIISMLVVWCSTSVNSQSVGSPPISSPAPAPAPEHVNLTHLLSYAGPFHTFLNYLEKTKVLETFQNQANNTEEGITIFAPTDDAFSKLKNPSLSNLTDDQIKSVLLFHALSHYYSLSDFKNLSKMSPVMTYAGGQYTLNFTDVSGDVSIDSGWTKTKVSSSVVATDPIAVYEIKNVLLPEAIFGTDIPPTAAPAPAPHIAPSADTPVADVGGSNSSPKSSPSSSCKISNLGLLTQLILAIASGVVLMFS >CDP15992 pep chromosome:AUK_PRJEB4211_v1:5:23503639:23504118:-1 gene:GSCOC_T00016934001 transcript:CDP15992 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTPGYLAPEWLHSAGMTEKVDVYSFGVVIMEIICGRKNVDWSMTGENSHLLSLFKRKALEERLQDIVDKKSEDMLIHVEEAVDVMKIGAWCLQSDFTKRPSMSLVVKALEGVVAAETNLNFDFTNSSVVNMVATADQEQEAVDDASPLLPSVLSGPR >CDP05959 pep chromosome:AUK_PRJEB4211_v1:5:25703761:25712587:-1 gene:GSCOC_T00021287001 transcript:CDP05959 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSRSESVVERSEDNVLRSSRSKKKHKRLDAICENVYTRNHSGVEKRELLNAGHVDGDGTERRRSTRVRRAPEVLDASPLPPKKRRRLDKKGRVSSVHKGKRVVRIGVKNENTCSTSKELEEEEENLGSWRSRLRTRGKSVSFGEYGGREKGHFSLKGRRKLFQDFDGVKEEGALEIKEIDIKEGFLGADSSAAEGKVKVLSLLESEQQQVGLGGGMVDEKMLDEEEWAQLSDNRSDLLLKTEKGCGNDDGTKDGLGSSKSVEKEQIEVQTGSQLEKHDSNDSVQLQVDKVACVMEEHPNNALEVDGATTDQAKVEEANWKPLQEAYGATADQAKVEEADWKPLEEENGTMVDQTKLEEANWKPLEEESSEKFGTKNHVSNGLLNSKLKPIRIKEGRHCGLCGGGTDGKPPKKLVQVGNGTDDEASSGGSASEEPNYDIWDGFGDELGWLGRLLGPVNDRYGIAGIWVHQQCAVWSPEVYFAGLGCLKNVRAALYRGRVLKCTRCGRPGATIGCRVDRCPKTYHLPCARASGCIFDHRKFLIACTDHRHIFQPQGSQYLLRLKKMKLKKMKLEIRKMSNDALRKDIESEEKWLEQCGEDEEFLKREGKRLHRDLLRIAPTYIGGSSGDSEIQFQGWESVAGLRDVIRCMKEVVILPLLYPEFFGSLGLTPPRGVLLHGYPGTGKTLVVRALIGSCSRGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKSQPSIIFFDEIDGLAPCRTRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPDAIDPALRRPGRFDREIYFPLPSTEDREAILALHTQKWPKQISGSLLKWVARRTVGFAGADLQALCTQAAIIALRRNYPLHELLSGAGDNACLDRLPRLPSFTVEERDWLDALSSAPPPCSRREAGIAANDLVTSPLPAHLIPCLLQPLSKLLVSLYLDEHVWLPPPLYKAATVIKHVVLSALDEKKVVGDNWCSHLHDFLQDADIVGKIQDSLSSAAVLTDAMNCSDPLEDVADDRYLKFKPSRAQCVYAHPSLLHTMSYQPGTKSGFRILISGEARCGQRHLASCVLHCFSGNIEIRKLDLATLSQEGHGDVVNGLTLILMRCASLDSCMLFLPRIDLWAVETCIQSCDGQTASPSSSQSATVEFAGNSESQGAVKNVSCLWNSFVEQFESICVRTPLMILATSEVPFVELPSRVKQFFRSEMLDCALSNPWKDTMPRFLVEVDQHLNYDSIIDTSATKLLMDLVQYFIHLSRHSIHANSSSQKKYHSVGELSLNAIHQCSGPKSNFESSSKHPIAPVPSVAACNTVKGKSNLLAAISTFGYQILRYPHFAELCWVTSKLKQGPCTHINGPWKGWPFNSCIFRPLNSTEGVAVASSSNAAKNTDKSGVVRGLIAVGLSAYRGEYASLREFSLEIRKVLELLVALVETKIQAGKDKYKFFRLLSQVAYFEDMVISWAYTLRSLEVDAQSLNGSPSLIGAGSFSNQVTCNDSLPEGSGCKQTIPSEILHEPVGPGEISQEVKANHVGAHTMADDNLRLQNGDDASVHKEDSLQGFLDHSSSVERMQSHLQNGISNEHCMLIDAKNPTEIVEDEECNKHSNGFVERDSSVLLKDGLGVFGDKHGMELSDAGKTGNQESWPLAPNGLPFDNAKENSLGCSSRISTGSSDAVLVCIYRCCAQCLVNLYQLLLKLLNYEWRLEGSTATVEDFHDSIASLSVSLQSAVRKLFATDSSNDVGDEKLEDSKYSRSTEMCACQLKSPGKRLVVPMECGCHPASESITTKARFFPNSRHGFDFKYVFKDGVLVTTMDTDYNVPFHCKFEKLCLCSLLECIVTTKDPSG >CDP05775 pep chromosome:AUK_PRJEB4211_v1:5:27058657:27061811:1 gene:GSCOC_T00021056001 transcript:CDP05775 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSPGVSVVGQSSWTPAMLQSRLYQNSSKPLSRALPGKLMANCCLDHPIARKAKFRQVLSGVAASIILLSQANLAVAEDLTWNNNLCHLASAINSLPPLPLDKGDGEASGKVRMMSGMTAKDFDPVRYAGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDMTAPAIQVDTFCVHGGPDGYITGIRGKVQCLSGEDLEKEATNLENSEMIKGKCYLRFPTLPFIPKEPYDVIATDYDNFALVSGAKDKSFVQIYSRTPNPGPQFIEKYKAYLANLGYDPNKIKDTPQDCQVMSNSKLAAMMSMQGMQQALTNEFPDLELKAPVALDPFTSVFDTLKKLIQLYFKQ >CDP14685 pep chromosome:AUK_PRJEB4211_v1:5:20847832:20848286:1 gene:GSCOC_T00042096001 transcript:CDP14685 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMHQLAKKNLMSLSQTKQLSPSALASSSSKAKAHEKLKGAEESLRKVMYLSCWGLN >CDP16233 pep chromosome:AUK_PRJEB4211_v1:5:15573846:15576895:-1 gene:GSCOC_T00017359001 transcript:CDP16233 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNTSMILTPFFLFKTKFLISCYCYIIELCDSHDFLILYFSWVFFFFLHVYFHQNLGTVIGIDLGTTYSCVGVYRNGNVEIIANDQGNRITPSWVAFTDTERLIGEAAKNQAALNPESTVFDVKRFIGRRVDDPEVQRDMKLLPYKVANKDGKPYIDVKMKNSEMKLLSPEEVSAMVLQRMKKTAESYLGKEVKNAIITVPAYFNDAQRQATKDAGTIAGLNVQEKERNILVFDLGGGTFDVSILALDGGVFEVLSTNGNTHLGGEDFDQRHQVRVEIESLFDGIDFSEPLTRARFEELNMDLFKKTMAPVKQALKDAGLEKTDIDEIVLVGGSTRIPKVQQLLKDFFDGKEPSKGINPDEAVAHGAAVQGAILGGHDVLVIDVTPLSLGIETVGGVMTKLIPRNSGIPTKKSQIFTTYQDQQTAVSIRVYQGERSLTKDCHELGKFDLSGIPPAPRGVPQIEVTFEVDANGILQVTARDKAAKKSNSITISNEKGSLTQEEIDRMVKEAEEFADQDKELAAKIDSDDKESIETALKDALEWLDENQNAEKVDYDEKMAELEAVFNPIIRRAYENNSGSSADSKDEPHDEL >CDP10771 pep chromosome:AUK_PRJEB4211_v1:5:17324203:17324787:-1 gene:GSCOC_T00031611001 transcript:CDP10771 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSALASIQISLVLLLAIFVCSEANRLDPYYCCRGKETNITVYLQVFTGGPNTTSVAVAGAPGKPRTPSNFGTIIVNDFNITQGISNNSPTIGRAQGIEIASSRDGSRSLGIFSLIFSNFKYNGSTLEFQGAGYNLQVGSPAREDPIVGGTKTFRFARGYAFFQTVLRRPARNNTVIRGDITVISCPGGGKF >CDP06095 pep chromosome:AUK_PRJEB4211_v1:5:24657810:24658142:1 gene:GSCOC_T00021471001 transcript:CDP06095 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRREEKKKSGKTSAGKFERRDVLLGLGGLYGATTFGTKQSSLALPVSPDISNCTDARETPNGVPINCCPPSAAGSSDYTPSAREVYTRMPAHTVSHDYVKKYSSAIAK >CDP05794 pep chromosome:AUK_PRJEB4211_v1:5:26933006:26935797:1 gene:GSCOC_T00021079001 transcript:CDP05794 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFDLQTPAAFDPFAEANADNSGAGSKDYVHIRIQQRNGRKSLTTVQGLNKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSSFLVQAGIVKKEHIKIHGF >CDP16392 pep chromosome:AUK_PRJEB4211_v1:5:3763664:3770118:1 gene:GSCOC_T00018247001 transcript:CDP16392 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTLNYAAGGHPFQLSSTSTPPTITAKQHLLLSPNTPPPSSTLSNFFLLPVSRPFAPFSRFTYMSKLSCHKKSQRTPILASSSSSSDSTSNDNPLDHSASDAAAGFSWLHLSRRFFTNFKQQTGIDFQHDTIAALARLTAPLRRSVQLPHSALERFRSHLLADFVNWNKLDCWKDVKNWEAKRIGVLILYALVMVVSSQGIYKAIQAPVIDRERRELAEAYMEALIPEPTPTNVRKFKKGLWRKTTPKGLKLKKFIEGPDGTLVHDSSFVSFEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAERIVFGDDITDGGQDDLEKITKVSK >CDP13693 pep chromosome:AUK_PRJEB4211_v1:5:28175916:28184766:-1 gene:GSCOC_T00038721001 transcript:CDP13693 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNASDVHRVAAGNLEKTIQDIKEIAGKHSDDDIYAMLKECNMDPNETAQRLLYLDTFHEVKKKRDKRKSNVSIQANERPRWTSGMQRRGARGGRGNDYYTNIPNDAGCRRQVSARQENSGPSHAERSAKLLLPVQPRTASNAAPHSTNSSVTPVYGPRSVSNGGSSNEHIPRASAVNIMDLGRGTSVADVNKLIKRPALPALAVKQLSNLNPGPTPTSTPTTASNSSSGARFDGRNSESRSSHLLTSMNSAPVSGVYSSASDPVLLPSLNPRNPGAVGTIKRETGNQRCAVEIIGSSSESRLTAGQDVRNRSQAGTETNNRASQEVEKSQYPEPSRTSSLLNHDKSSTVNNKQDGWPTQQVNGPSKVMKSQGVTVALGANMQSLPELNSSVLEQATPQLEMKLEKLNISNRQPVIFPDHIQVPEAFRNGLTFGSLDDASGLSKNGIKDSKCVERTVLMNHDAAREPPIRHHVASSAALEDDCPGHSQTHSNAPENLSPLEVDASSAAAVKSHHLKPEMQPLTGGSQYPHLQTASEYSFGFVPPMLGPHVVQVEATEVGNSPVPSTSGSSQPATQPFGVGQNSVAISPQLIPLLRQPYPSNYIYNPYFPQLYLSHSAHQFLGHSGFAQQASTGNIYMPPPPAATGVKFPVPSMYKPGAIAGNMAQFGIPSGYGSYGSSAVGYGLGAAMTPGSSAGNEDLAAAELKEKNIYSTLKQSEEPHVWTSAPGRDMSTLQANIFYNFPQGQHLAYSPGQGPLSGVYHFTQTTGAPTTVQSLAQQPQAVAGSVESVVDPTGTYQQPHSMINWNTKFLNRENV >CDP05864 pep chromosome:AUK_PRJEB4211_v1:5:26414144:26417710:1 gene:GSCOC_T00021169001 transcript:CDP05864 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGQLTFMGSTVCVMLTLHFTIQLLTQHFMSWKKPKEQIAIVIIILMAPIYAIDSYVGLLDLLGSKPFFTFLESVKECYEALVMAKFLSLMYTYLNISISKNIVPDEIKGREIHHSFPMTLFMPHTTRLDHNSLKLLKNWTWQFVVLRPVCSVLMIALQLLGWYPDWVSWIFTMILNVSVSLALYSLVVFYHVFAKELAPHKPLAKFLCIKGIVFFCFWQGLLLSGLVSVGIIKSTHFWLDVEHLQEALQNVLVIVEMVFFSIVQQHAYSAEPYRTYAVSGSGDKKKE >CDP13587 pep chromosome:AUK_PRJEB4211_v1:5:28883047:28886643:-1 gene:GSCOC_T00038587001 transcript:CDP13587 gene_biotype:protein_coding transcript_biotype:protein_coding MYREGKYQEALVYYTEALSAAKSIPQQIALHSNRAACFLKLHNFKKAAEECTWVLELDHNHTGALMLRAQTLVTLKEYNSALFDVNRLIDLNPSSEVYQNLQARLKTQLSLAPIPEDDAELEEDEDDTELQVDKEVKERKALEECEDGVEQVTEAVVPDEQKAESCGVTARGEVAAQNSAVGKLSEQDSSGWQAIPKPKGHSQLDYSRWDRVADESSEDDDEDSDNDSQPQYRFRVRTVGVRAVK >CDP05757 pep chromosome:AUK_PRJEB4211_v1:5:27182130:27183774:1 gene:GSCOC_T00021032001 transcript:CDP05757 gene_biotype:protein_coding transcript_biotype:protein_coding MNELIFRQTFFYFPGKGGATVGTISGAIKGQTTETGLFRGAGVGAVAGAITAVQLLELMVNGEPFSKVALICSLVNGKIFMEWVSPAVLKAYQWQISDMESNLREISDIFEVNSIRGLSREAINDLPTCNLHSIETTNPSCHETICAICLTDFKNGDCARMLPTCGHSFHLNCIDEWLNRNGTCPVCRRDI >CDP16038 pep chromosome:AUK_PRJEB4211_v1:5:271372:282042:1 gene:GSCOC_T00017035001 transcript:CDP16038 gene_biotype:protein_coding transcript_biotype:protein_coding METSGGEKYGIAGRASATSSLSTSSSLYSSGDDSTEDDPAIAAALAEDGNSANINNTYGQQHRLGRRLSHLDSIPHTPRVNNEIPDANDATFDHERLSERLSTYGLAELQIEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKRFKRLYEGYVPMRYKDYLKKMKKLGEWGDHVTLQAAADRFGAKICLVTSFRDTCYIEILPKDKNPSREIWLSFWSEVHYNSLYETGEVPTRVPKKRFWLF >CDP15878 pep chromosome:AUK_PRJEB4211_v1:5:22498881:22502405:-1 gene:GSCOC_T00016782001 transcript:CDP15878 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGCYVNSDKPTPLPQKTRKEKSKEKLSCRLQLSLFTASHERIAFYLDRKHTLNSHLSSFTLLLIPITGCHKHIKTQRILHFSASLVKVDIIAKRLNQALQKAKDSSEDDRGSNLKWFHPNSNRRSESSSSKEEEKPSRNTRQRILPFYIPFLFTFFFDPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKRVYVCPEKSCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEETARVTAASNVVAANSINYHFVGASLGPGMGQHFASMFKPISTNNETPANPIRQGLSLWMGGHGLSQTQESIGNNNLQEIHHQLNPVVSTSGLVFTTDPFVKLTNNISSLPLSNVAKDGGSQVISVPSLFSTQHQSHQTHSTANMSATALLQKAAQMGATTTDTSFLGSFGLKCNDSQIQDGNRYCSPYGGTATSVATALQSSVTDHLSTLNELQMYPSKRRHIQVDQDSTPTTGGGQTRDFLGVGIQSICHPSSINGWI >CDP14789 pep chromosome:AUK_PRJEB4211_v1:5:21987976:21988998:1 gene:GSCOC_T00042248001 transcript:CDP14789 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIMEEEPTTFPPSTSPPSQNQAQQELPTPSNTSMVLLRIMSKRRTWVCLFFGVYTVLLSLSWNFLKSVLAWYETTLMNSSSSSASSSVYSGWPALYASVLLGVAFGVLSMVTALVVAVPATLVTWITVLVLLTFCGKPRRTLVMEGKKLTADITGFVVKVLLKEGNIVAALCAVLGYFAFVRRGKENATAGDY >CDP15930 pep chromosome:AUK_PRJEB4211_v1:5:22941551:22942169:-1 gene:GSCOC_T00016849001 transcript:CDP15930 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHMDCAGCVSKIRKALQNLKGVDNVEIDMSMQKVTVTGSVEQKKVLKTVRKTGRRAELWQLPYNPVLRNHNYTVYNPHSYGGCGGPATYYATSQPPAASSYNYYKHGYDHSSQDYGYFSSYSSHQFGHSTIFGSRVGEVFSEESVHGCSIM >CDP15978 pep chromosome:AUK_PRJEB4211_v1:5:23273314:23278277:-1 gene:GSCOC_T00016911001 transcript:CDP15978 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVYNPIAVLSSCILLLILVLAWKVFNQVWLTPKKLEKRLKEQGFRGNPYKLLYGDFKEISTLFKEALSKPINLTDDFVPRVIPHFYAATKKYGKHTYLWQGTELTVVIMDPEHIREVTQKVYIFQRPHSNPLLKLLVQGLASYNGDKWTKHRKLINPAFHVEKLKHMLPSFYTSASEMMCKWEDIVSSNGSSELDVWPDLQTLTCDAISRTAFGSNYKEGSRIFELQREQTEHFIEAARSLYIPGWRFIPTKRNTRMKQIARDVQESIKDIINARLKAMKAAKACDDDLLGILLESNSKEIDHHGNKNFGMTVLEVIEECKLFYFAGQETTSVLLVWTMILLSRYPEWQMRAREEVLQLFGTDKPDFDGLNHLKLITMILHEVLRLYPPVTALFRRAAEETRLGNLTLPAGLLVSLPVMLLHHDPEIWGDDVKEFKPERFADGVSKATKGQFAFFPFSWGPRICIGQNFAMLEAKLAMTMILQRFSFELSPSYTHAPRAMATLQPQFGAQLILHRL >CDP11901 pep chromosome:AUK_PRJEB4211_v1:5:20668169:20668735:1 gene:GSCOC_T00035196001 transcript:CDP11901 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSALASIQISLVLLLAIVVCLEANLLDPYCCYRGKETKITAYVQLFLGGPNVTTVPVAGALGRPRIPEEFGTISVNDARLTEGISINSLTVGRSQGLYVSASRDNLNSFDVFSFLFTNAQYNGSTLEFQGPGLDLQNVTTVREVSVVSGTKTFRYAQGYAAFETVLRRPAMNYTVIRANVTISHY >CDP05825 pep chromosome:AUK_PRJEB4211_v1:5:26707964:26712926:-1 gene:GSCOC_T00021117001 transcript:CDP05825 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSYPESSSSGSFEPPQSKPRYNPDGDGHFLEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYAKKGDAVLDLACGKGGDLIKWDKAKVGYYVGVDIAEGSIEDCRTRYNGDADHHQRRKKFSFPARLMCGDCFEVRLDKVLSDDAPFDICSCQFAMHYSWSTEARARRALANVSALLRPGGIFIGTMPDANVIIKKLREAEGLAFGNSVYWIRFDEEYSEKKFKSSNPFGIKYKFHLEDAVDCPEWIVPFHVFKALAEEYDLELVFVKNSHVFVDEYLKKPEFIELMRRLGALGDGNQDHSTLSPDEWEVAYLYLAFVLRKRGQPNQTRDNNRRDKGKMHVDKEDIMYIS >CDP05793 pep chromosome:AUK_PRJEB4211_v1:5:26937526:26939783:1 gene:GSCOC_T00021078001 transcript:CDP05793 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRYMMDMITYADTDVVIVGAGSAGLSCAYELSKNPSVQVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGVDYDEQDNYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGSRVGGVVTNWALVSMNHDTQSCMDPNVVEAKVVVSSCGHDGPFGATGVKRLKSIGMIENVPGMKALDMNAAEDAIVRLTREIVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALRSLGLPNALDGTYAGSIHPELILAAADASETVDA >CDP11353 pep chromosome:AUK_PRJEB4211_v1:5:14734063:14739068:1 gene:GSCOC_T00033558001 transcript:CDP11353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM22-1 [Source:Projected from Arabidopsis thaliana (AT3G10110) UniProtKB/Swiss-Prot;Acc:A2RVP7] MSSQNKDSASSTGSSQEQQKPPQIEPIRLPTVEEMRGQDIWNNCAVRSVVSGVMGGGLGLFMGLFLGALDNPLMQEEMTGRQMFIYQAKQMGRRSWSSCKAFALMGLVFSAAECVVEKARAKHDTTNTVVAGCVTGGTISARGGPKAACAGCAGFAAFSVLIEKFLDRHA >CDP15961 pep chromosome:AUK_PRJEB4211_v1:5:23188181:23188624:1 gene:GSCOC_T00016889001 transcript:CDP15961 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHALTTKTGIPLKITATAKLPTRNEEHQYQREAIQATFSLKVLRVELMLACYNLWHREKKQD >CDP05956 pep chromosome:AUK_PRJEB4211_v1:5:25730739:25731982:-1 gene:GSCOC_T00021283001 transcript:CDP05956 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCRPLGFLISLPFALLSLILSLVGAVVWVIGSFLSCICPCCICCTGLVNMAVSLVKLPVKIIRWFTDQIPC >CDP05938 pep chromosome:AUK_PRJEB4211_v1:5:25865750:25869639:-1 gene:GSCOC_T00021260001 transcript:CDP05938 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRELFPMTIMNLLLLACFMCLLSGLEAQSQGCHPNDLAALKEFAGNLTNGSIISAWSNDLSCCNWDGVVCGGSRVIMLNLSRNGLKGVISESLGNLDQLRLLDLSHNDLEGGLPSDLSNLQLLESLDLSHNKLSGQVVAALIILRSIQSLNLSSNLFTGNFTDFGKFPNLVEFIISNNSFSGELDSQLCSISRKIQVVDLSLNRFSGGLEGLDNCSTSLQQLHLDENSFSGPLPESLYSVTSLEQLSFSANNFSGQLSPQLSKLSNLKSLVLSGNVFSGTLPNVFGNLTKLEQLVAHSNSFSGPLPSSLAQCSKLRVLDLGKNSLSGVIDLNFTGLPNLYTLDLASNHFYGPLPDSLSTSQELKILSLAKNELTGHVPECYANLTSLVFLSLSNNSLTSLSGALSVLQNCRNLTTLILTKNFHGEKIPTNVGGFQNLMVFALGNCGLNGQIPSWLLNCSKLEVLDLSWNHLNGSIPPWIGQMDNLFYLDFSNNSLTGEIPKSITELKGHITAKSNPPSLNSSTSIPLFVKRNQSSSGLQYNQASSFPPSILLSNNKLNGTIWPEIGRLKQVHVLDLSRNNITGTIPPSISDMGNLEVLDLSFNDLYGSIPSSFNKLTFLSKFSVAYNHLQGAIPIGGQFFSFPSSSFDGNPGLCGKIISPCAVNNVGLQPVIPATSNNKFGRSSILGLTISIGVGIAILLALVLLKISRRDMGNPIDDLEDEISRPPRLSDAFGPSKLVLFQNADCKDLTVADILKGTSNFGQTNIVGCGGFGLVFKADLPNGTKAAIKRLSGDCGQMEREFQAEVEALSRAQHKNLVSLQGYCRHGNDRLLIYSYMENGSLDYWLHERIDGSSFLRWETRLKIAQGAARGLAYLHKEPNIIHRDIKTSNILLDERFEAHLADFGLSRLLHPYDTHVTTDLVGTLGYIPPEYSQTLTATFRGDVYSFGVVLLELLTGRRPVEVCKGKNCRDLVSWVFQMKSERREEEIFDSSIWDKDFEKQLLEVLAIACKCIDQEPRRRPSIDQVVSWLDAIETGRARK >CDP16225 pep chromosome:AUK_PRJEB4211_v1:5:15844417:15844758:-1 gene:GSCOC_T00017347001 transcript:CDP16225 gene_biotype:protein_coding transcript_biotype:protein_coding MYNQTQYFDLAIKLKNRYNLLSILEQGGLSRGHSHELSDVNSTIWRTTHGTPDLKCLNDARVHRNVPVLQEIGICYRPSKNRSGQVSFSVINCPHSRTRTCYRGLGNGKIVFP >CDP05941 pep chromosome:AUK_PRJEB4211_v1:5:25835689:25836720:-1 gene:GSCOC_T00021265001 transcript:CDP05941 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHRRKSSSGDKFSFPIIPVQDQDFEFGCVTPGSPNSTADRLFFNGILLPHAFPCQPTNNVISFSRSTSRTSSVCSKDSLMSSRSNSTNSSRSSSCSSARTSTSEAAGPYTSDSKLFSNNQTKIAGKNPVEKDLYQATRKPVLTPHYGSSQRWQFIAPAPAMMHQVSRARKAKISVRDQVCKSKKQGQDWTIARRTWFGLKFLRAVASACKECHAVKPSSRKCFEKK >CDP13609 pep chromosome:AUK_PRJEB4211_v1:5:28741028:28747511:-1 gene:GSCOC_T00038614001 transcript:CDP13609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAT1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79090) UniProtKB/Swiss-Prot;Acc:Q0WPK4] MDRFEGGDGIKESSNSQDLGQFGENPTGDAVFDASQYAFFGNDAVEEVELGGLEDDEDEIPQVGLADEEYQLDREEGEVLGSFTEIDDLARTFSKLNKVVSGPRDAGAIGDMGSRESSSAAEWVREEDFPSWADQNAFDTESTQDSKSWSSQPYPASAYYLDSKSLYRTSSYPEQPQQYPQQQLNIHQHYSSEPILIPKSSFTSYPPPGGRSLQGSPNDQARQANIPYHPGGPQIPRSSTNLSPFPNPQLQMTALPHGSPVGGNMPQFSPSGLPISSRLQNQWLTQTNMYPGDHSNLPNSMLQQHLPHQNGLLPPQLMSQQQLQQHRLQNPFQPAFGHLPGLPSQVLNHHLSSSPQVTNNFDMLGLADLRDPRIKSMVRGRQGMRYSPGFDINSQKSDNGWPVFKSKYMTADEIENILRMQLAATHSNDPYVDDYYHQACLAKKTASAKLKHHFCPNNLRDGPARARANNEPHAFLQVDALGRVSFSSIRRPRPLLEVEPPNVSGTGTTEPKVCEKPLDQEPMLAARVTIEDGICLLLDVDDIDRFLQFNQLQDGGAQLTQRRQVLLEGLAASLQLVDPLGKNGNTVDRAPKDDLVFLRLVSLPKGRKLLSRYLQLLPPDDELVRVVCMAIFRHLRFLFGGLPADPGAAETTNDLAKMVAFSVHGMELKALAACLASVVCSAEHPPLRPIGSPAGDGASLILKSVLERATDLLRDPRASGNCSMPNRAFWQASFDAFFGLLTKYCFNKYDTIMQSFLSQTLPDMSNVSSDAAKAISKEMPVELLRASLPHTSEQQRKLLLDFAQQSMPLKTCWKTCQ >CDP11355 pep chromosome:AUK_PRJEB4211_v1:5:14886174:14889545:-1 gene:GSCOC_T00033561001 transcript:CDP11355 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKNSEDWRRQKYDRLSALPDAVLCHILSFLPMKYVVATSILSTRWKYLYMSVPVIKLDDTVHSQEEEESQDNIRSDSFRRFIDRVLMLRNADIIQFHLKCTTTFESSVINAWLCAVLLHKVQVLNLFMKYDNPRCLTSELFTCKTLQWLNLDSSITIKPKLVHLPNLKILRLFGVELTDDGSIPQILAGCPKLEHLHMCGCQLHGIQVLDISTPSLKSLEFFNCYGAFRVVIDTPNLEDLCYVDFLNGDPLVKNLKSLVKAYLFPYSVTLQNTDDACLCGVHVSKFLNAMANTKSLTLLTVCLEGLLHSSCSIPKFQKLTYMKLVPRHNCHWELLPILLHSTPNLKTLALDMEFPDNDICQWPKFPVTQNQPICLAQYLKTVDIFNFKGMQFELDLLSYLLQYGQVLEKINLIFSQNNGFSNWSDAVRKISALPKTSSTCQLEFLCTSKNTFCKPVCLKCMRS >CDP10764 pep chromosome:AUK_PRJEB4211_v1:5:17101983:17104366:-1 gene:GSCOC_T00031590001 transcript:CDP10764 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFEKLEKVGEGTYGKVYRAREKATGKIVALKKTRLHEDEEGVPPTTLREVSLLRMLSRDPHVVRLMDVKQGQNKEGKTVLYLVFEYMDTDLKKFIRSYRQTGDIPPNIVKSLMYQLCKGVAFCHGHGVLHRDLKPHNLLMDRKTMMLKIADLGLARAYTVPIKKYTHEILTLWYRAPEVLMGGTHYSTGVDMWSVGCIFAELVTKQALFPGDSELQQLLHIFRLLGTPNEKVWPGVSKLPNWHEYPQWSPQPLSSAVPNLDEKGLNLLAEMLQYEPTKRISAKKAMEHPYFDDLDKSLL >CDP13551 pep chromosome:AUK_PRJEB4211_v1:5:29130393:29131957:1 gene:GSCOC_T00038540001 transcript:CDP13551 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASPFKLHVHPFSEILGIIIIAFVSRRGDRDWVCVSVCENGGERKTQIQGFGFVHMPIEDSDFWGFYCIQMGDQLCVSASQKCSKRKA >CDP10786 pep chromosome:AUK_PRJEB4211_v1:5:17960360:17962368:1 gene:GSCOC_T00031654001 transcript:CDP10786 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLANSSKQKHFVLVHGAGHGAWCWYKLKPLLESTGQRVTAIDLSASGINTKSLDEIHTLHDYAEPLMEFMAAVPPDQKVILVGHSFGGYCLALAMEHFPEKISIAVFVAAFMPDTIHDASYVGNQFAERFPAEDMLDTEYFVYGSSEEPRTVMSFGPKFLETNAYQLCSMEDLELAKLLVRPTHTLNQHFPKANKFSADKYGSVQRAYIICSQDRTFLTSFQHWLVENIGATEVREIKEADHMAMLSKPQELCKYLLDIANKVHLS >CDP05949 pep chromosome:AUK_PRJEB4211_v1:5:25779903:25781741:-1 gene:GSCOC_T00021274001 transcript:CDP05949 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRFQPLGRKFSRQIQLLSKSKCSTQPTANLLQNPFSPRIIPSYLSTQSYNQQARPDLDNSAAEYQDLKIPDANSHLDDDAIKIQNLLKLKVDEPVEEIEQSLSKSALSFSEDLVLNVLRRHRSDWRPAYTFFKLVSKENVSNGYSPGTGVYNQMLDILGRMHRFGELSNVLDEMFRRKIMINERTYGIVVNRYAAAHKVEEAIQFFYKRKELGLELDLIAFQTLLMSLCRYKHVEAAEFLFHNKKSEFMDHIKTWNIILNGWCVLGNLREAKRFWNDIVTSNCRPDKFTYGIFINSLSKAGKISTAVKLFQAMWEKGCKPDVAICNTIIDGLCFKKRIPEALEIFREMNERDCLPDVASYNSLIKHLCKIKRMDKVYELLDEMEMKGEDVLPNARTYGYLLNSAKKPDEVYCILERMERRGCKLDGDIYNLLLRLFMGWGIQESAQSFWNDMERSGMGPDKRSYTIMIHGLFEKGMMKESMRYFEEMTLKGVVPEPRTKLLVDAMNIKLNSEDSSKNLDNKGRKNRKTI >CDP05818 pep chromosome:AUK_PRJEB4211_v1:5:26746571:26750238:-1 gene:GSCOC_T00021109001 transcript:CDP05818 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVEKLPVPRRSANYHPSVWGDHFLAYAPQHKENFFEGEGELQRLREEVRKMLTETPDEFPGKLDMIDTIQRLGVSYHFESEIEASLQKIFDAYSELNHKDGNDLHTTALRFRLLRQKGFHASCDVFDKFKNPEGDFKESLASDARGMLSLYEAANFGVHGEKVLDEALKFTSDNLESMVPNLSNFLAAQVVQALRAPIQKTLTRLGARQYISLYQQHESHDKLLLKFAKLDFNKLQKLHQKELSGLTKWWKGLDVATNLPFARDRLVECYFWVLGVYFEPKYCFAREVLTKVISITSIIDDIYDVYATPDELIVFTDAIERWHINELDRLPSYMKHCYRALLDIYKEFEEKLAKEGQSDRVNYSKLEMKKLAKGYLQEAIWFHNGYVPKVEEYMKVALVTAGYMMLATTSMVGMGDSLTAQTFDWVTNEPLIVRAASVIGRLMDDMAGHELEQEGGHVATAVECYVNEYGVTKREAFDEFNKQVANAWKDINGECLNSNAVPMAVLERVVNLAKVINLLYKDEEDWYTHSATKLKDTITTALIDPIPM >CDP06118 pep chromosome:AUK_PRJEB4211_v1:5:24441731:24445544:-1 gene:GSCOC_T00021504001 transcript:CDP06118 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLIFEIQKHLLLFLICSCMVISVQSVNEEGSILLDFKSFLSDPSGNLQSWDGLDSNPCNWTGIGCTDEYKVTSINLSRLNLSGALSSIICKLPYLTEFNVSTNFISGPIPADFAICRSLEILDLCTNRLHSKIPAQLYNITSLRELYLCENYIFGEVQEEIGNMASIEELVVYSNNLTGIIPSSIGRLKRLRIIRAGRNYLSGPIPAEISECESLEVLGLAENQLEGSFPVELQKLVNLTSLILWKNLFYGEIPPEVGNFCRLELLALHENAFIGSLPKELGKLSHLKRLYVYTNQINGTIPRELGNCSSASEIDLSENDLTGFIPKELGQIPNLWLLHLFENLLQGDIPRELGQLKQLSKLDLSINNLTGTIPVELQNLQSLENLQLFDNHLEGIIPPSLGLNSRLQVVDMSMNNLVGSIPAQLCRYHTMMFLSLGSNNLSGNIPHGLKTCKSLETLMLGDNLLTGSLSIELSKLQNLSALELFHNRFSGFIPPEVGNLTNLERLLLSGNYFFGNIPSEIGKLVKLVAFNVSSNRLSGGVPHELGNCVKLQRLDLSGNLFTGYLPEKLGMLTNLERLKLSDNKLNGLIPSSLGGLIRLTELEIGGNFFSGNIPVELGKLTALQISLNLSHNMLNGTIPVNLGSLQMLESLYLNDNQLSGEIPSAIGGLMSLTVCNLSNNNLVGIIPNTPAFQKMDPSNFAGNAGLCGLGSYHCHPSTNTSSAPKLSWLKQGSSKEKLVSIVCAIVGLISLTFILSLCWVIKHQKPSFISLEDQVRPEVLENYYFPKEGFTYQDIVEATGNFSDGAVIGSGACGTVYKAVMADGEVIAVKKLKGRGEAPSSDNSFHAEVSTLGKIRHRNIVKLYGFCYHQDSNLLLYEYMENGSLGELLRGSRSCLLDWNARYKIALGAAEGLCYLHYDCKPQIIHRDIKSNNILLDELFQAHVGDFGLAKLIDLSYSKSMSAVAGSYGYIAPEYAYTLKVTEKSDIYSFGVVLLELITGKSPVQPLDQGGDLVTWVKRSIHKVVQISEVYDKRLDLSVRRTSEEIALVLKIALFCTSTSPLSRPTMREVVAMLIDARENASNSPSSPTSETPLNEDGSYKGS >CDP05695 pep chromosome:AUK_PRJEB4211_v1:5:11611671:11615382:-1 gene:GSCOC_T00020900001 transcript:CDP05695 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKVSNANRHSGIVIRSRTSTMLSMFATLASFKLPAGELWQDAEERVLLTKELDRITGQGHSAISVDDTLKIIACREQKKKLSALEMELVAARQEGFVSILTVQTAWTEALTMKIKRPMIFSFLYVTHLKNSQTRQGHFLLMLQKIGMLTSFAKINDDVFLNIDALGNTLARYADKSRVYVGCMMSGEVFSEQ >CDP05998 pep chromosome:AUK_PRJEB4211_v1:5:25439892:25443271:-1 gene:GSCOC_T00021338001 transcript:CDP05998 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKFFPARPSSSKSRRSTVLILCLLVGLAGFFLGFLVVSKQGLGYSCKYAKPISVSVVWDRTNSGNSFSSSSDGDNGANGNSVRGQKRYKATGFVGIQTGFGSAGRRRALRQTWFPSDPQGLQRLEEATGLVFRFVIGKTSDKSKMSELRREVAKYDDFMLLDIEEEYSKLPYKTLAFFKAAYALYDADFYVKADDDIYLRPDRLSLLLVKERSHSQTYLGCMKKGPVFTDPKLKWYEPLGHLLGKEYFLHAYGPLYALSADVVASLVALRNNRQAAFVLIITAVHFLFLFFS >CDP14824 pep chromosome:AUK_PRJEB4211_v1:5:22317053:22317444:-1 gene:GSCOC_T00042288001 transcript:CDP14824 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESFAFSSRSLMLSEWSRVDSDFVQNNLNHLQDLLHWHHHQRAKLQHQ >CDP16089 pep chromosome:AUK_PRJEB4211_v1:5:1096544:1097488:-1 gene:GSCOC_T00017108001 transcript:CDP16089 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEVSPYSPQTPLLSPISTKSRQLRKSQLHHKFYKGEGKMNLLNKKKLIPLLVCILLCASVFRLLKITIVTSSTTRLPLSLSPVHLNNVSYNARGQEPSKNHSISHANSNNLTEKEMGFLLNLISHKSPCNLLVFGQEHQYSVLASTNTGGMTIFLEDDPEKLSTITTANNTLVYKLKYPTLAKDAYKLLQHARKSKKCSPSSGLLKQTKCKLALKNLPKVIYELKWDVVLVDGPCGHRPLCPGRMASIYTASLLARENHGNVTNVVVHDIDRMIEKWFSWEFLCENNLVSSKGKFWNFGIQGESNATTFCSK >CDP16092 pep chromosome:AUK_PRJEB4211_v1:5:1146201:1149524:1 gene:GSCOC_T00017114001 transcript:CDP16092 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDSVFDPLRDFAKDSVRLVKRCHKPDRKEFTKVATRTAIGFVVMGFVGFFVKLIFIPINNIIVGAS >CDP11836 pep chromosome:AUK_PRJEB4211_v1:5:19882709:19883391:-1 gene:GSCOC_T00035101001 transcript:CDP11836 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYEFTERERFVFSYSYFSSLSKKFSKKIKNKSIFPSFLVKGSLRLALYSSILSRPGSIRLGYLNSPAILLVSTHLNSTVDPSVRLNSALQGFDCSEAGWRLFFAAVEEKYEQGKPRRSGELGSIF >CDP05782 pep chromosome:AUK_PRJEB4211_v1:5:27007733:27010783:1 gene:GSCOC_T00021066001 transcript:CDP05782 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTNSASIATSSSKRRKYLEAGKTVESEDRISYLPPEVLCRILSLLPTKYAVGTSVLSTRWKYLWVSVSSYDFDDELLFLPAKHSGEDKCHFLNFVDRVLILQKAPSVKKFRLKCSQEYDTSRINMWISFAIMHDVEELDIRVFMKDSLALPRSFFDCKTLVVLKLSSNLNIGSSLPICFPNLKTLDLCSLDCLDDSLMKKIIAGCPILEELRIKRSALDKIQNLCVQSSSLRRLMLYCCIACPKDHKYSVVIESPCLQFLDLGDYISEDFNVNELSQLSEARLSVAQIYKQSIPSDDYGENLIGNLLCKISNVRRLKLSHHINKSVACALEAGSCLCTYPNLTCLEVELEGSWQFVFDLLESSPNLEVLVCTKVRRCTQIGKGPQVPHCCIWKPPDSIPSCMSSRLGKIQLAGFDGCQVEMRLVQYILKSAKFLQELKITSHKLDLKLQSSILKELLLFPRGSVSCQIEFS >CDP05698 pep chromosome:AUK_PRJEB4211_v1:5:11419193:11420712:-1 gene:GSCOC_T00020911001 transcript:CDP05698 gene_biotype:protein_coding transcript_biotype:protein_coding MCRICSTASSSLQIPQLHSQFEGVAGDFRLLCGQLHCGEAGRKGGKSSQKIWFVLQLDKVFFLVCPQIR >CDP14742 pep chromosome:AUK_PRJEB4211_v1:5:21495379:21501751:-1 gene:GSCOC_T00042183001 transcript:CDP14742 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTSSQSTCSSRSNGEKFAPSCLEMMFGRKRTRTFSDHITKLQHLASIPNRIFTNGRTRSSCIFTQQGRKGINQDAMIVWEDYMADDVTFCGVFDGHGPHGHLVARKVRDALPLKLLSFLHSNDTKQSGSSANCCNGDLKSDVVDPEKNGSVEDQVDNSWREAFLKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAILASKDSSDKMVAVQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVQRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRVLSERDKFIVLASDGVWDVLSNDEVVEIVSSAPTRSSAARILVESAAREWKAKYPTSKMDDCAVVCLFLDGKMDSESDYEDQGFSSATLQSYHSGNAAESDDGQNSEPSLQRNFTVRSSEENEAYKRIPVEGEGNGEAVVPEDLDWSGLEGVTRVNSLVQLPRFSEERPRP >CDP06066 pep chromosome:AUK_PRJEB4211_v1:5:24906197:24912604:1 gene:GSCOC_T00021424001 transcript:CDP06066 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKSSKEADFFTEYGDANRYKILEVIGKGSYGVVCAAIDTHTGEKVAIKKINDIFEHISDAIRILREIKLLRLLRHPDIVEIKRIMMPPSKREFRDIYVVFELMESDLHQVIKANDDLTHEHHRFFLYQMLRALKYMHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLELITDLLGTPSADTISGVRNEKARKYLTDMRRKQPIPFIEKFPNADPLALRLLQRLLAFDPKDRPTAEEALAHPYFKGLAKIEREPSCQPISKLEFEFERRRVTKEDVRELIFREILEYHPQLLKDYMAGNDGANFLYPSAIGHFRKQFAYLEENGGKSGPVIPPERKHVSLPRSTVNSSVIAPKSDQNDSAFDNRRSSQEVSSSVNVSETISGTPAKVLRAPPRVPPAKPGRVVGPVIPYENVRNINNAYDGRAYIQNPVLPPQAMSPQYFFRTANTLKNQDKHHVPEAVKDSSAQAKLPHQQHYPPAKSTPVITTDINSHHQQHSLYYQSQAKSVQLNSQIALDAKLLQAQSQFGAVGAAAVAVAAHREVGAIQYGLT >CDP16235 pep chromosome:AUK_PRJEB4211_v1:5:15548433:15553733:1 gene:GSCOC_T00017361001 transcript:CDP16235 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKLICLVKELILCLTHYWKLAYLVNWVKRNEFFSDFWMTNCFCLSNNSFADCAKHDPDVSEDTEKNFVELLSEELKLRETEALENQQRADMQLLEAKKMTSQYQKEADKCNSGMETCEAAREKSEAALAAQKKLTAMWEMRARQKGWKEGAAKSRTQENVQAM >CDP13702 pep chromosome:AUK_PRJEB4211_v1:5:28125857:28127323:-1 gene:GSCOC_T00038733001 transcript:CDP13702 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCVQPYTEREYLGYTKILTCCVSCITLPFLLLLLLLFFSWGVFFWGAGGVGVNHKKDLRLNI >CDP11342 pep chromosome:AUK_PRJEB4211_v1:5:14593461:14594141:-1 gene:GSCOC_T00033542001 transcript:CDP11342 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFFIKPRIITALLLFLVVSISLQTCQVNSQCSKGCDLALASYDVWRGSNVTLIADLFSVPVSTLLSWNPATLPDRDTVIAGTRVNIPFPCDCINGNFLAHVFNYSVSSGDTYDIVASHFYANLTSTTWLRRFNSYPENNIPDAGVLNVTVNCSCGNKAISKDYGLFITWPIEVGDTLESVASANNLSADLISRYNPTANFTAGSGLLFIPAKGKLLQLDVIELD >CDP15876 pep chromosome:AUK_PRJEB4211_v1:5:22488866:22491310:1 gene:GSCOC_T00016779001 transcript:CDP15876 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCSDCSNERTASRRLPTSPDHHDNANNHESPKSKQMMACPSCGLTLQSPEKAGIHDLPGLPAGVKFDPSDQEILEHLEAKVRSDVHKLHPLIDEFIHTLEGENGICCTHPEKLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHTDIDGCETRWHKTGKTRPVAVKGKVKGFEKILVLYTNYGRQRKPEKTNWVMHQYHLGNNEDEKEGELVVSKVFYQTQPRQCGSLIKDSPVKGNGRIGHEGSHLNNSTFVEYYNSALISFDQCEEV >CDP15996 pep chromosome:AUK_PRJEB4211_v1:5:23529556:23532687:-1 gene:GSCOC_T00016938001 transcript:CDP15996 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDHLPGMPTRYSYEMLKKMTENFSRKLGQGGFGSVYEGILDNGTKIAVKYLDGFGQVKDSFLVEANTIGSIHHINLVKLVGYCSEKSHRLLVYEYMANGSLDTWIFGGTEKSPLPWHTRRKIILDIAKGLAYLHEECCQKIIHFDVKPQNVLLDQNFNAKVSDFGLSKLLEKDQSRVVTRMRGTPGYLAPEWLHSGITEKVDVYSFGVVIMEIICGRKNVDWSMTGENSHLLSLFKRKALEERLQDIVDKKSEDMLIHMEEAIDVMKIGAWCLQSDFTKRPSMSLVVKALEGVVAAETKLNFDFTNSSVVNMVATADQEQEAVDDASPLLPSVLSGPRVTMLVDLQCQWWLRSWRVLWILKQIWIMALKDQASPPRAYHKLAQKNSMITKKVDVYSFGVMVLEILCSRKNLDRCQSEDDAHLLGLFKRKAENGELLDLVDKDNKDMQLHGSLVVEIMIRLLHGACKVITG >CDP05988 pep chromosome:AUK_PRJEB4211_v1:5:25494332:25499366:1 gene:GSCOC_T00021327001 transcript:CDP05988 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSKGRTWVGNIYHKFEAICQEVDDFVNKDTSKYVENEVQTVGESVNKICPNVVHDFHPYLEDNKQAKAQGGTRTQNDVERTSFKSRVAFKEKHRHVSEKKSSKEQDRFDVKGCDPNEVDHLGQFSPPPASDSAQVAEKDSHWKETSDAAICSNTELVLQENVRWEDYSASSYLNFPRAEYSSKLPLCPRDNLLIDNLESPVDGILYGPSEISNEEYLRNSILDEFSSRIFVQGVGLRTSEMDRMTCNSTDLSKESETGLFLEQDGESTIYKKLEIGAEENAKVKEHHASELASSLEGKNSWELLWTQEQHPVGLEDKNSSFRPSADEDHKIPDLEKCSPETLVPNTVLRVVPVKQVADDNAEPADEAVVNLSSQHDDHVEFIKCGTGLEANVRKEHLVVDNRGYLSHESSSDLSLHPREKHPDAEDSNLLQDKSLYKSLPISTNEDYWSTIPAKLSPETSVNDEILRPFQQKEGECNSPQCSNETKTDLSLDCYRSCMSSDFSFDKNCLVEKHASSEHLRFPECSRKLHVETDELSSLNSGYSSGLSLIYRTEDHRNTMPTKMSPVNSVNDVGLSASQKDKTMCNNLSDVLSTNPSSELVSSGISWKHKLAETEASSSSMSTELLDPPEFSHGNCTKKTEEVCCEYTDSGGCASTLSSSSCASLFGLTSSNKDVNLVPAFPGTSSSADSSSTDKSMLDSFGNKLQQSFEGIVDDSVSDISDADMETIDLSDKAKLEESCVIVDNELLYAASCRPRKFRSYKKLIQEAFASRKRIIKEYEQLSIWYGDIDSDTSFHSDQHLSPHMKSASRPSQARDLGESEWELL >CDP15987 pep chromosome:AUK_PRJEB4211_v1:5:23363769:23365559:-1 gene:GSCOC_T00016927001 transcript:CDP15987 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIREHLDGNITFRRWKGVPLRIEIGPRDVFTGTEVISRRDIPGKQGKDFGISMDSFILIAYVKGLLDEIQSCLPERQPLQFMSFFFFLEF >CDP13620 pep chromosome:AUK_PRJEB4211_v1:5:28669851:28670294:1 gene:GSCOC_T00038626001 transcript:CDP13620 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPSVATKVAVIGSGISGAVCASALAKNGISVTIFESGRGPGGRMSQRRWNYIYIYISFLPPSIAFH >CDP06126 pep chromosome:AUK_PRJEB4211_v1:5:24379379:24382147:-1 gene:GSCOC_T00021516001 transcript:CDP06126 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHSFTHNGQKVFEWDQTLEEVNIYIPLPANVPKKLFYSKIESKHLEVGIKGNPPYLNHDLTNPVKTDCSFWTLEDDTMHITLQKRDIGQTWPSPIMGQGQLDPYATDMEQKRLMLQRFQEENPGFDFSQAQFSGSCPDPRTFMGGIRSS >CDP11351 pep chromosome:AUK_PRJEB4211_v1:5:14720245:14724207:1 gene:GSCOC_T00033556001 transcript:CDP11351 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQFENFPKWLDSFLQRTFFGSCLNHELQKNELNRYCITCDLDACRYCVSSGLHNEHTLLKIHRHVYKDVVPLDEMETHMDCTKIQPYKCNKKWVIALNPLPHCGSGAQIEGDVSCDVCKRRLNDPDQYRYCCIACKVE >CDP11778 pep chromosome:AUK_PRJEB4211_v1:5:18920444:18922510:-1 gene:GSCOC_T00035011001 transcript:CDP11778 gene_biotype:protein_coding transcript_biotype:protein_coding MDETAENSHSIAIPLLDGANESNELKPEGHLSTLGSTSFWKTCFHGVNSLSGVGILSVPYALSSGGWLSLFLLFIIACSTLYTGFLIQRCMDLETTIRTFPDIGQRAFGAKGRTLVSIIMNAELYLVATGFLIIEGDNLSNLFPDVGFAIGGFNIGARQSFVIMVGLIILPTVWLNNMNILSYVSASGVVASVVLLCSILWTGAFDGIGFHEKGRLVGWSGIPTAASLYAFCYCSHPVFPTLYTSMRNRRQFPKVLLLCFFFCTLTYALMAILGYLMFGSKVHSQITLDLPTNKISSKVAIYTTLVNPIAKYALMVTPIVDAIENRLLSGHKKRSDSLLIRTCLVFSTVIIAVAIPFFGQLMSLVGAFLSITASVILPSLCFLKISGTHQRFNLEFLIITGMVLMGTAIMITGTYTSLLELIINFAM >CDP18722 pep chromosome:AUK_PRJEB4211_v1:5:23792163:23794288:-1 gene:GSCOC_T00002653001 transcript:CDP18722 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKPENILITGAAGFIACHVANRLIRNYPRYKIVVLDKLDYCSNKKNLDPSCSSPNFKFVKGDIASADLVNHILLTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGNIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGQNLPIHGDGSNVRSYLYCEDVAEAFEIILHKGEVGHVYNIGTDKERRVLDVASDICKLFSLDPNAVIKFVNNRPFNDQRYFLNHEKLNNLGWSERTTWEEGLRKTMEWYVKNPDWWGDVSGALVPHPRMLMMPGMGKSSSDVGSIDTSSVSNNSALKRMVNPSSKNIHHARKSSLKFLIYGRTGWIGGLLGKICDKQGIAYNYGKGRLQDRVQLLADIQNVKPTHVLNAAGVTGRPNVDWCESHKPETISTNVVGTLTLADVCREHGLLMMNFATGCIFEYDAGHPLGSGTGFKEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKIAKYDKVVNIPNSMTVLDELLPISIEMAKRNCKGIWNFTNPGVISHNEILEMYRDYIDPKFKWTNFALEEQAKVIVAPRSNNEMDASKLKKEFPELLSIRESLIKYVFKPNKKNLTAGTAI >CDP15886 pep chromosome:AUK_PRJEB4211_v1:5:22558654:22560311:1 gene:GSCOC_T00016791001 transcript:CDP15886 gene_biotype:protein_coding transcript_biotype:protein_coding MERVENLTELLAAQNHVGNQMLNFRKSASLKCAIELGIPDAINQHGKPITLSELVSALPINPSKANHIYRLMRFLSNAGFFVLQDQGYALTAAGRLLLKEEPFNLRAFIFYMSDPVLVKPWNSLTEWFRNDDPSPFHTAHGKNFWAYAAEEPNFANLFNEAMANDSTLIVQVMMTQCKFVFDGLTSLADVGGGTGAVARAIAQNFPNLKCVVCDLPHVIAGQEGTENLDFVAGDMLEKVPAADAILLKWILHDWSDEDCVKILKNCKEAIPGRDKGGKVIIIDMILESQVKDDDSVETQVGVDMQMLMCYGAKERTEKEWAKLFQDAGFSDYKILPALGVCCLIAVYP >CDP10770 pep chromosome:AUK_PRJEB4211_v1:5:17322535:17322963:-1 gene:GSCOC_T00031609001 transcript:CDP10770 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIISEQVACRCTWFYSFSSEVCSTQGFFL >CDP14787 pep chromosome:AUK_PRJEB4211_v1:5:21979610:21980383:1 gene:GSCOC_T00042246001 transcript:CDP14787 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGKFYGSSLPRPRFYTDVKLNDERVDPPVPVMDPLMSWAQEAHWSMGGLSFTRHRLQGRIEGNVKKLRAEREKLFKKAQKEKGSGSPVTPPAPVAVKRRRRMLVDEEEEEEEEEEEEVVEEKRMELRKTARKLGDDFDRVANEKKRSPARAGGGGAAGRKRGRVGEEEEGGQDEIKGKLKGKKEIGKKKGEKDMAVAAKGTRTSPRLAKRG >CDP13675 pep chromosome:AUK_PRJEB4211_v1:5:28259452:28263768:-1 gene:GSCOC_T00038701001 transcript:CDP13675 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSANLIPRTAIQLQRQVLLIPWVKKKMKMGIISCSRRRDYSNSVPVRYIPKKLLENKEPEASFYSPSNGLGDVKIHGTNSSESNGKRFELSKSEQTSCSTRGFVLGSKLRNLNENAIHNISNDVTLDGGRVVESNVPRIGISDSAQTSCSSRGFVADTEFQYQKEREKPVTGLDTSKVVQSNLEGMEHFKGAQTSCSSTSFVVDMEFQSQIEKPTNSLKADVGLDTITVAGSNEDRRELSKRAQTSCSGRSFVVGAEFLNENEKPIDNLDCDVGSASNRAVRSNIQRSELSKSVQTSCSSRSFVGDTEFQDQNENPINSIKNNIEFGTSRVVQSNEQRRDLSKSAQTSSCGQICIVDNKFQSQYAKRIDYDAGLGIGEEPDDHMQYDSYEVMEELEGFSEEESNQDHRIQGSRIKKDVEKLAIELLATRAYTAVELRKKLLGKEFPVWVVDAVITDFQTRGFIHDGLYAETFSRSRWSSSTWGPRRIKKALYSKGVSIMDTEKAIKLVFNDASACEDEESGLGISKRSMDHLFSQASKQWLRSCGASTETRKSRIVRWLQYRGFNWSIINSVLKKLESHYPS >CDP13568 pep chromosome:AUK_PRJEB4211_v1:5:29022408:29026377:-1 gene:GSCOC_T00038562001 transcript:CDP13568 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFKGDVLKGKVALLTGGASGIGFEISRQFGIHGASIAVMGRRKSVLDSAVSSLQSLGIPAVGFDGDVRKQEDAKRVVESTVKHFGKLDILVNAAAGNFLVSPEDLSPNGFRTVLDIDSVGTFTMCHEALKYLKKGGPGRRSCSGGLILNISATLHYTASWYQIHVSAAKAAVDSLTRSLALEWGTDYDIRVNGIAPGPISDTTGMSKLSPEEISSKAREYMPLYKLGEKWDIAMSALYLASDAGKYVNGTTIAVDGGLWLSRPRHLPKDAVKQLSRTVENRSRATPVGLPTSKL >CDP13673 pep chromosome:AUK_PRJEB4211_v1:5:28265364:28267573:-1 gene:GSCOC_T00038699001 transcript:CDP13673 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMILSSFKSELVDATEMGSELSKTALYSKGVSIVDTEKASKQWLQSCGVSTETRKSRIVRWLHSHGFS >CDP06026 pep chromosome:AUK_PRJEB4211_v1:5:25243678:25246215:-1 gene:GSCOC_T00021373001 transcript:CDP06026 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNKISPFRLSSLLRLQKDPKLAFQLFLNPNPNDPNPSSKPFRYSPLSYDLIISKLGRAKMFDELEQIIQKLRQDTRIIPKEIIFCNIITFYGRARLPQKALQMFDEIPSFRCQRTINSVNSLLNSLSICREFEKMREVFVGVEKCACPDACTYGILIKACCRQGDLGNALNLFDGMLKRGIVPSVVTFGTLINGFCANAHLDDAFRLKRMMERDFKLKPNAFVYVVLLKGLCKSNVVDSAIKLKKEMMRKKVELDSTVYATLISALFKVGRREDVFGLLDEMRINNCTMNTATYNAMIHGYCMEKDFDSAFGILNEMEAKGCKPDVISYNVIIGGLCMAGKLKEANELFEDMPRRKCFPDVVTYRELFDGLCDGMQLKEAALILDEMVFKGYLPRSSSICKLVDGLIRGEDTDRLWKVLSSLVKGKFSDITTWSMVISVVLNKDGLSNTCQFIDSLLKGTDDALSVL >CDP11822 pep chromosome:AUK_PRJEB4211_v1:5:19586852:19588731:1 gene:GSCOC_T00035078001 transcript:CDP11822 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGAGFAISYPLAKVLAEHLDSCIDRYPHLYGSDGRIHACLTELGVTLTHEPGFHQMDVRGNIFGLLAAHPTRPLVSLHHLDAVKPIFPKMTAMEALKHLLEATKVDSQRTLQQTVCYDRWFSWTLSVSWGYAVQLVGFNEFLPVAVLMQETYVPWKRGPLYINHNLNTAKYQPDPCKRPVVFFFDRVSSSRDGIASIYKKTENNCTFDKIQEVRVFSKKLDLDIKQLQAPRRQCCDVLPSSADRVMEINIRECGAEELIYMHA >CDP11827 pep chromosome:AUK_PRJEB4211_v1:5:19647177:19650164:1 gene:GSCOC_T00035087001 transcript:CDP11827 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGNLALDRGRRYVNLDDNLRSLQRKLQRLGGRKSDIELQVTNAERSGTKKRKREVEIWFEEVATVESEFGALKKSIREGGFLENAISSGDRVAKMDAIVEQLMEQSNHFDGLLLEAFENRGEQRVTTKLFGEMFDRGLKAIWAWLVMDSISNIGIYGMGGVGKTTLAKHIHNHLLERTQFKVYWITIGIPLGANKCRVILTTRSLELCSRISCQRVFEAKTLATNEAWDLFKHTLDTKTVPDGDVEGAAKSVAKRCSGLPLGIITVAGSMRGVSDICEWRNALEQLKACSVGHDEMERDVFPILEWSFNRLDKYERNCFLYCSLYPEDYKIKRKELIDLFIWAELMSKRGSRSKAFDQGQTILNKLIRVCLLEETKDFKGDDCVKMHDLVRDMALRITHGNSKPKRRRDDVPRFLVKSLGQEDSQVTLEQEEWTQDLRAVSLYSQNSKGIEIPLAWSPNCPKLSTLHLSWVFIKEIPDSFFQHMCGLKVLKVFGCQGITLLPNSVSNLVNLTALILGSCGDLRFVPPLGKLKQLRELDLSWTKIEDLPQGWESLVNLERLNLNQCQTFSRKIIIPKGTFSQFHRLQRLLLPPYGWVKVNDPEVLNQLESFIGCLSFTDFYKITRWPKYYNVYINDILTENQYYEDNDCGYQKKLCLSDVFKNFINLSDLSELEIVDSVRIEFLWQLSSASPCDQLEVSSFNPLRGLEWLRLHRLPNLVGLFYGESEPYVLPASTFSSLKILWISKCHNMKQLFTVQLLQKLQNLEELDVYDCEELEEIAADGNGVGQGGGEGIQLTSSGATAIVIILPKLRRLRLNRLPQLKNICKAAMICDSIEKIKIFDCPKVKRLPLFLPTINGQPSLPSTLRKIKGNNEWWESLEWDYPSAKNALDPYFSTWRSLFDY >CDP15860 pep chromosome:AUK_PRJEB4211_v1:5:22351102:22356479:1 gene:GSCOC_T00016755001 transcript:CDP15860 gene_biotype:protein_coding transcript_biotype:protein_coding MENGKTANWNFKGDETVRKASAWTIKFALKTLRENLNANDKRAVIPLGYGDPSQFPSFKPSKVAEEAVIDAIQSGKFHCYAPGDGTPEAKRAIADHLSRDHGMEIFPKDVFLTLGGRQAIDIMLTVLARPGANILLPKPGYSFYEARATFSQLEIRHYDLLPEQGWEVDLSHVETLADDKTVAIAIINPGNPCGNVYTYEHLQKVAETAKKLGFLVIADEAYAHLTFGKNPFVPMRVFSSIVPVLTLGSLSKRWMLPGWRLGWLVTSDQNGILQRHGIIDSIKSCLDITPEPVNFIQAALPKLLKEIPEDYHLRTLNLLRETADVCYDRLKDIPCFICPYKPEGSMFVMVKLNLSLLEGINNDMEFCLRLANEESVVVLPGYPMGLKNWLRVTFAVELSLLEDGLERIRAFCARHAKKH >CDP13571 pep chromosome:AUK_PRJEB4211_v1:5:29016629:29017912:1 gene:GSCOC_T00038566001 transcript:CDP13571 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKDEASSSSYVLNVPREDTPLVATNGHHLSSRSKTFANVFIAIVGAGVLGLPYTFKRTGWAMGVLMLSAVAFLTYHCMMLLVHTRRKLESSLKSPKISSFGDLGFVVCGPVGRFAVDAMIVLSQAGFCVSYLIFIANTLAYIFNYAPTNPNPKILGIFAPKSVYIWSCFPFQLGLNSIPTLTHLAPLSIFADLVDMGAMGVVMVEDVMIFLRNRPALEAFGGFNVFFYGLGVAVYAFEGIGMVLPLESETKEKQQFGRILGWAMAFISLMFGGFGVLGYFAFGEETKDIITTNFGQGLLSCLVQLGLCINLFFTFPLMMNPVYEVAERRFCEARYCLWMRWVTVLGVTLVALLVPNFADFLSLVGSSVCIVLGFVLPALFHLIVHKEELGWQGLALDVAIVALGSAFAIFGTWTSLIEITAAKA >CDP16040 pep chromosome:AUK_PRJEB4211_v1:5:311259:311852:-1 gene:GSCOC_T00017041001 transcript:CDP16040 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCYYNLKNFKPLVSTSILQISATSHSSVIFLSSSGKYLFVLSWYSGFQYGSLVKLFLVVQLEVRFGDTKLPLFVYLHGGGFLIKSAFSPTYHAHLNVVVAEAGVITVSINYRLAP >CDP15727 pep chromosome:AUK_PRJEB4211_v1:5:5115135:5117547:1 gene:GSCOC_T00015750001 transcript:CDP15727 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRFFPKKLKFITNQSIIYLLPYKYVGSIHDSNRSKKEPFISVNNMDILSTILVALLLLSLLSSKSLFKHKRAKDIVQNVRNTAPEAPGALPFIGHLHHLGGQLPLARILGSMADKYGPTFSLRLGSRPAIVVSSWEMVKECFTINDKTFASRPNTALAKYMGNNNAIFALAPYGPYWRDVRKMVTLELLTNQRLEKLKHVRASEFNKWIRDLYSLCSKNDRPDVDVPTKVVLNEWFELLTFNLILRMLVGRPFSTSSQGNDNSEDRRMKEAIKKMLYLGGVFVFSDVIPWIEWLDIGGHIKSMKKAGKELDEVLGQWLQEHIQKAKQSHPESEAVHDFMDVMLSTIPETGEISGHKRDAIIKSTTATLIMTGSESTAETLIWALSLLLNHPNILKIAQNELDVQVGKQRWIEEADIKNLSFLQAIVKETFRLYPPGPLSGPREATEDCYLGNFFVPKGARLIVNLWKLHRDPRIWSDPLEFKPERFLNSHANISLKGQSFEYIPFSSGRRMCPAVNYGMNVVQLTLARMLQAFDIATPMGMPVDMGEGLGVALPKLKPLEVLLTPRLPVELYQKL >CDP06114 pep chromosome:AUK_PRJEB4211_v1:5:24460950:24461779:-1 gene:GSCOC_T00021500001 transcript:CDP06114 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVILLDAYASMFGMRLRIALAEKGVKYEYREENLPKKSPFLFQMNPIHKKVPVLIHNGKPICESLIALQYIDEVWHDKNPLLPSDPYKRAQARLWADFVDQKVHILGRKIWSAKGEEQEAAKKEFIEALKVLEGELGEKPYFGGEEFGYVDVALIPFYSWFHAYETCGNFKIGTQCPKFVAWAKRCMQRESVSKSLADPEKVYDFVLILKKHFGVE >CDP05916 pep chromosome:AUK_PRJEB4211_v1:5:26024888:26030224:1 gene:GSCOC_T00021234001 transcript:CDP05916 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPPPLPPPCYISQGSKKRVFPGGSSTCMEADVVEIPPPGNSSSKLKSLKLKEKEVIFPEVIDVDMDDDYEDIMLLDREVDTKRKGKDVFSKLPSGSSSGINDGSGNGVQSPEKNYVSGSHYLINGEDFDADFFYGENEYVDMSQDDPLYDDQYAIIQAHLDSWDIPPGVEVSVPWFTGHEENKLKPAVASTSNHSSSPIDVDGVVFPPNSNSSSSIWPMEFEQSSDKSIIGENLISGDKVKGSGQPKKWEPSSSWLLKDPAHDYLTSSSSMDMHDSRHHLAESWRHHLAESWRSKTKRNRQLGYNMLEGGSHNQFSHHISSFTKHPVSGPLTGLASRFVDQSGPLPVFPDDMAFGPWDMDPIVGQKSATASGNSSGLLSGKAKYGDPGEFLKNFDLFKKFDTVQDFTDHYYSKNGFSVRQPSKNWAKKIQEEWRILENDLPDTIFVRVYESRMDILRAVIIGAEGTPYHDGLFFFDVFFPSNYPNVPPHVHYHSGGLRINPNLYNCGKVCLSLLNTWSGSHKEKWIPGVSTILQVLVSIQGLILNAKPYFNEPGYASMSGTPGGESNSLQYNESTFILSLKTMAYNIRRPPKYFEDLIAGHFYKHARDILVACKAYMDGAQVGCLVKGGVQDVDEGDKSCSQHFKNSLAGYIKTLVDAFAEIGVKDCDEFLALAQKTNRMVPSQPVAQNFYYY >CDP05725 pep chromosome:AUK_PRJEB4211_v1:5:9523982:9524743:-1 gene:GSCOC_T00020989001 transcript:CDP05725 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLKLNINRFYENVLPCISSFFFFFFSSSHSSGNRNPQYLLLNQIPPASSSPPILLHILFLPIKFTRKDNTPRFRERLKRILQSPFFAILVRIKKLTGLSSLFRYWGYAQKQISC >CDP13759 pep chromosome:AUK_PRJEB4211_v1:5:27771420:27776313:-1 gene:GSCOC_T00038807001 transcript:CDP13759 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQVEQGRREMVGVGGLMNHQQKPSDHRKALKRSPTMNLKRLFTKAMRTASLFKSKKSRPKTPIDIVRHATSLLSYLHSASHLSGQKHSDKMSELDVLIREMKLILYGNGESEPVAEACAQLTQQFFKENTLRLLIVCLPKLNLEARKDATQVVANLQRQPVNSRLIASDYLEFNLDLLDLLISGYEDPAMALHYGGMLRECIRHQTVARYVLKSVHVKKFFDYMQLPNFDVAADATATFKELLTRHKSTVAEFLAENYNWFFAEFNSKLLESPNYITRRQAVKLLGDILLDRSNAAVMVRYVSSRDNLRILMNLLRESSKNIPLDAFHVFKLFVANQNKPPDIVNILVANRSKILRFFAGFKIDREDEAFEADKAQVVKEISELEATASIGNSGELRKLKFY >CDP16048 pep chromosome:AUK_PRJEB4211_v1:5:387112:389249:-1 gene:GSCOC_T00017050001 transcript:CDP16048 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIFYHFLQGLFLLYFVSASLAMTTTNITTDQDALHALRAHITTQDPHQILLKNWSVSSPVCQWVGVTCGSRHHRVTALDLSNMSLSGIIPPRLGNMSFLVSLNMSRNNFHGELPHEFARLRRLRVLDLDVNNLGGEFPDWFGSLHQLRLLSLNNNSFTGFISTSLANVSTLETLSLSFNYLQGNIPTEIFKISSLELIFLQGNKLNGQIPSSIYNCSQLQVLRLSLNHFTGFIPRGIGTLKAPERLYLTYNKLQGALPQEINKLCNLEELYLALNNITGFIRMQVFNLSQIRILSLTGNQLSGNLPSRMDKGLPNVEELYLNSNNLGGPIPDSISNCSKLKMLELSANNFTGPIPHYFGDLRHLEFLDLSHNNLMMDDNPLNGFLPNSIGNLSTSLEKMYAYKCNIGGSIPDEIGNLSGLTILSLYSNKLSGMLPITMKNLEKLQGIDLRDNKLSKTSLDYLCVWQNLAVIYLGENQISGSIPECIGNVTSLRYLYLGSNLLNSSLPTTIWNLKDLLVLMLENWLYSL >CDP16213 pep chromosome:AUK_PRJEB4211_v1:5:16289579:16294495:-1 gene:GSCOC_T00017320001 transcript:CDP16213 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQRLHKRSLHELALHVSLMGFNCIRLTYATHMFTCYANLTVAQRLQNLNLTNAIAGIKENNPDLLNATVVDAQKAVIEELGLNGVMVLLDNQISKPMWCCNDDDGNGFFGDKYFDPNEWLQCLSLVSTRYKDTQTVIAMSFRNELRGPLQSERVWYQYVEKGAQTIHSGNPNVLVLISSLNYDLDFQGLRNRSFFFFFWQSPKLGTYGKIWNSKHIPDQSNEIIHMRVTHICSRSHLFIEIMNQQFRKLEPYRSNGQFFVNMKRYEVGFLTKGKNPAPLFVTEFGVDQMGENPSDNKLLPCFMAYLARHGLDWALWALQASYYLRDGHQDHDETYGMFNSNWRHLKSLEFHSKLHTITLLTNFGNGLIYPKSDGRSYKILYHLLSGKCARANKSNDIHVSECWEMSKWDHQGEGTPTILQGTRSCLKAIGDGLLVALTIDCKSNQSKWTLASNSQFQLASKHENGTELCLDWDPYHS >CDP11815 pep chromosome:AUK_PRJEB4211_v1:5:19523847:19525295:-1 gene:GSCOC_T00035067001 transcript:CDP11815 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGVELNVYSFSCLIKSFAGACALFQGLKTHGLLIKNGLMSSNILRTSLIDMYLKCGKVKLALRVFEEVEERDVVVWGAIIAGFAHNRLQREALEYVRWMSMEGVEVNSVIITTILPVIGEVGARKLGQEVHAYIIKTKEYSKQLFIQSGLVDMYCKCGDMASGRKVFYSSKERNAVSWTALISGYVSNGRLEQALRAIMWMQEEGFMPDFVTVATVLPVCGELRALKQGKEIHSYSIKNGFSPNVSVATSLMMMYSKSGLLEYSSRIFNSLENKNVISWTAMIDSCIQCGCIPEAFGVFRSMQLSKHRPDSVATARMLSACSELGVLKLGREIHGQVLKRDFQSIPFVSAELVKMYGFCQAVDKAKLAFHAIPVKGSITWTAFIDAYKCNGQYQEAINVFKQMISDGFSPNYYTLQVVLYICKKAGFVDEACQYFTLMTRKYKIKPSEDNYSSIVGLLRHSGRDKEAEKYVRLQASLTG >CDP16397 pep chromosome:AUK_PRJEB4211_v1:5:3862455:3865991:-1 gene:GSCOC_T00018255001 transcript:CDP16397 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPMCFSNPPKLSSTCGAGNVEEIAGLQTYVTGRRDSHRAILLIADAFGYEAPNLRKLADKVADTGFFVVVPDFLFGDPADVGNPNFDPEAWMNAHPSDKGSEDAKKVIAALKSKGYTAIGAAGFCWGGMVVVKLANNLDCIQAAVVLHPGPMTEDEINEVRVPFAILGAEVDRIFSAEKIKHLASILAQKSEVDSFVKIFPGTEHGWTTRYKDEDEAAVKKAEESHLDMLNWFTKYIK >CDP13793 pep chromosome:AUK_PRJEB4211_v1:5:27524317:27526693:1 gene:GSCOC_T00038852001 transcript:CDP13793 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFKAFKACVPIEWSDKLYITLVRGIPGTRELHRRTLEALRLRKCNRTVVRKNTPTVRGMLQQVKRLVAIETKEMHEARKRREANHRALRPPLVISHHPAAKTESFQLS >CDP13776 pep chromosome:AUK_PRJEB4211_v1:5:27638289:27646097:-1 gene:GSCOC_T00038829001 transcript:CDP13776 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVESGVKLGEKRSAEDTAGGGGGGEDVPPAKKLRHGGAVVGNMRKVAEMVLVLAAMGKMRGGKVPTGVEKEIMAAAREKLVEVCELFPPKDVFPRDVFGALIEDLGLNKVREQRLGFRPPKITIAEKLLLSKRKMEKAEDFTLPSAQHSSQRLHTKSGVAVENRAPPPAGRVFATDKPSHIPISSGSFQPSPLGHVAGTTLTSLPYQLPTSEVRPTTPSGLPSSNMARDATPVALPRIERFRLDGRPNGSLPTSQVQANSSGDQPSVKTPAWSLQPQSTAPPKIGAEKVPAKATVKVEGATDAKSRIGPQIAISKPPVTQITTGNHAVGVNQHLQGTNTIPAPIPRNTHAEIGKIVQKLLQPRVSERPVWTPPSRDYMNKASTCQTCKSTINDVDSVLVCDACEKGYHLKCLHINNPKGIPRAEWHCVKCLQLSNGKGLPPKYGRVMRNNNVPKVPSGAAALPSTPDKKAAALDVKVNQQKIMVNGNAASQRASTGNRVSSHSDPTSALKVENTTEIRGDTIVGKGKMDDKSSSRISLNNLTEASCPDSVSPTLSSVRGLCEEKLLESNSQTPLKSETVLSFSAPSQSPGNAEDNRQPGAPNSAVLLQQSSQNDQGTVRAIPAETSAASSGFAEHGKFLPDYVHNVDWIGGILKVDEEKAFYQSCCIRGVVYKLHDHVLIQFNDRLIPSKLQAMWEDIKMKTRWVSVNKCYFPADLPQAVGRPCGLESSEVYESTISCTVMAGLVQGLCEVLPPGRFIEEKEKRTNGGKRPNESLRPLYVCKWIYDEPKGLFRDVNC >CDP11323 pep chromosome:AUK_PRJEB4211_v1:5:13990348:13995909:1 gene:GSCOC_T00033506001 transcript:CDP11323 gene_biotype:protein_coding transcript_biotype:protein_coding MNFINRPIQHTYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYMCVCDTIFSSFLSIVHKNKMVLIDSNADKDAANNGEVVDFRGNPVDKTRTGGWFAAGRILGSELSERIVVMGISVNLVTYLVGDLHLSSSKSANCVSNFMGSLNLLGLLGGFLADAKLGRYLTVAISASICALGVTFLTLTTSLHSMRPPRCSDPRKQQCIEASSGQLAMLYISLYTIALGGGGIKSNVSGFGSDQFDNSDPKEEKAMVYFFNRFYFCISMGSLFAVTVLVYIQDNVGRGWGYGISAGTMIIALTVLLSGTPLYRYKKPQGSPLTIVYRVIFLALKKRSLPLPSKPNMLNGFYNSKVPHTEKFRWVEKAAILDDFAVANDNQNNPWIVSTVSEVEEVKMVLKLIPIWCTCILFWTVYSQMNTFSVEQGTFMNRKIGTFEVPSGSMPFFLFISILLFTSLNERLFVPLARKITGQTQGITSLQRVGAGLMFSVVGMVVSGIVEKQRRESFVVHNKIISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGVFLATLSMGYFVSSLLVSIVNTVNGSWLRSNLNRAKLENFYWMLAVMGVINFLVFLIVARRHQYKIQHYNSSSGQLEELPCWKDDKLDLKEIKFDIEAKEVAG >CDP10783 pep chromosome:AUK_PRJEB4211_v1:5:17797426:17800514:-1 gene:GSCOC_T00031648001 transcript:CDP10783 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLFFTVIFCEMAVILLLLFKTPLRKLEIMALDRVKRGSGPLVVKSVGATVLVMMTYSVYTIRELNSRPVESFNPTDQVLLAYEVLDTSLMGFSLFLALMIDRLHHYIRELRILRKTMEAAKKQSREVDEGKKANGAEVNALKEEVTAMKTMINILESECKAKEKELKAAESNSESLKKQSEGLLLEYDRLLEDNQSLRSQLHSLDQSLANSDGKKNM >CDP06154 pep chromosome:AUK_PRJEB4211_v1:5:24160834:24162300:1 gene:GSCOC_T00021549001 transcript:CDP06154 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSTVPLYQKPNSIIVPKYKPFLCSSPSPSSAIAAVSEKTGGGVAEEDRPAVSGSSSTRMQLDLLEQLTGGSPVDGYESDGSSPGLTIRDQLANLVGERDDDFIVPLGKNLKKVSPKFLTISQKRNIKRQAYLDEVSQRNDSVFFATIGAFVILPPVIILGIAVATGYVQLFHDI >CDP15870 pep chromosome:AUK_PRJEB4211_v1:5:22418658:22423696:-1 gene:GSCOC_T00016770001 transcript:CDP15870 gene_biotype:protein_coding transcript_biotype:protein_coding MESFENGGGDDFPDFSCGNLLDSIDFDDLFVGINDEDVLPDLEMDPEILAEFSLSGGEESEITTTSTSNEKMEENSAKEEVDKANYSGPDASSGSTLTQTDDMVTSKRDESVAANPSAKEADKARKSSTQSKANPQGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILEIMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAANWTQRRQMYGGAAAPAAAGGKREMNHWIAPTMGFPPMAPMPHFRPLHVWGHPSVDQSLMPVWPKHLGPSPPPPPVTWAPAAPAHPPTPPPDPCFWHSHHARVPNSLTPGTPCFPPHLAPTRFPTPPVPGIPPPALYKVDPGIAVPTAPAGQPVPQPPIDFHPSKESIDAAIGDVLSKPWQPLPLGLKPPSVDSVLVELHRQGISRIPPTACV >CDP16061 pep chromosome:AUK_PRJEB4211_v1:5:667451:668791:1 gene:GSCOC_T00017068001 transcript:CDP16061 gene_biotype:protein_coding transcript_biotype:protein_coding MKESTSFPRLKNDDVLNILDKYGISPPTKGLPRDRSEAAVHYYYYYGVFQHLAQEGYLSTTSKSLCIRGTKLDQEVNALKNAGISDVIMISGQSFDRFGNNTFDFAFFGPRTSQDFVLVDQPFEIASEVCRILRHGGYLVVHIAVKDEYSFNSFVSLFTCCRLTTFRDIKGLNSTSSLREVVLRKILEDSIWDDIAQRCDNNTVPEYKRDLIGDLEPLEEKEPMEDWTEQGKNRESIRSFRYLPTMVDLIYKRRYIYIDLGARTYDSTIGNWFKKLYPKQNKNFEIFAIEADKSFHEEYERKKDTLVFGTRNKKREYTGRIQSGQPQVLQDYKEEQNVVQAFDFADWLIRSFSKQDFVVLKMDVEGTEMDLIPRLVKSGAICLIDELFLECHYDRWVKCCSGKRTKRYNSTYDQCFNLFSKLRQAGSLVHQWW >CDP14788 pep chromosome:AUK_PRJEB4211_v1:5:21981582:21982888:1 gene:GSCOC_T00042247001 transcript:CDP14788 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFFIICTLHSVIAITCGALIMFYLNEISAFGHGIETAKKMLGSTPHDQLLIEISNSFAGLLLFVIGFLLLMVAFVKDRDFQSFFAKGLILIHVLVALWRVYFERKLEDLAHDWPRQLVGDFALALSWVFFLVYSWREKYD >CDP05842 pep chromosome:AUK_PRJEB4211_v1:5:26573720:26575402:1 gene:GSCOC_T00021139001 transcript:CDP05842 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQNQNHHQSTAESDLPPLAKIKVRSSSPRFPPPTTPSSTETPTANAQRKIGIAVDLSDESAFAVKWAVHHYLRPGDAVILVHVRPTSVLYGADWGSVDLSIVDAENEVSQQKLEDDFDTFTTTKASDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGATRRGSDGRLGSVSDYCVRHCVCPVVVVRYADDHEGGGNAAPGPVVSVGSVAEEDEEEQEYHDASTEDRKDS >CDP05849 pep chromosome:AUK_PRJEB4211_v1:5:26512408:26515107:1 gene:GSCOC_T00021150001 transcript:CDP05849 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLFSCFGRGASSSSHQGTSSEIATADISAEEQRRAGPVVVELFTSQGCATSAQAELLFSRVGRGDFELEMPLVLLAYHVDYWDYLGWKDPFGSSLWTARQKAYVEALNLDTMFTPQVVVQGRAQCVVNEEDPLLSCIKSAPRVPAPSFQAAFQMPTPESLQVSLTGALRSKVDQHGVNIMVALYESGLVTDCQKGENKGRVIANDFVVRKLEKLCSVKDIAAKKTVSGTVNFSLWEGFNSSKCGVAVFAQNASHHIFGSQNFRLPETL >CDP11905 pep chromosome:AUK_PRJEB4211_v1:5:20739725:20741218:1 gene:GSCOC_T00035207001 transcript:CDP11905 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGEPTTVLDRCLATPPPGLVSRMSLRLSFFDVLWLHAPPVQRLVFYEIPEITKTNFIEEIIPKLRDSLNLTLKYYIPLAGNLIIPPNSGPDSPEILYKRGNSVPLIVAESNAMDFEWLVANHARDSSDFHCLVPKLVQSTDDSGSILSSVLALQVTLFPNMGFSIGITSHQAAGDACTIFRFSRIWAFHAKAGEAEAEEKVNAPCGGPLGPPCYYRTIIKDPKGLSSIFWNRWNSISKLDRGSGISSNSYKVRQTFLISPEQVENIRKLVPPMLRGQAYMKSFKVVCAYVWICLVKSRGEEAVDEEEVENFVCFGNCRRRLDHLVAENYFGNCITLCIAKMKNGELVGGGGIPRAVGLIGAAMNEKLQSQEALSNGAENWLVDLQNLNLDRTFMVAGSPKFNFYQLDFGWGRPRRFEFVSIDKTGAVSLCGGRDRNRDIEVGLSLPKARMDAFAAIFNQGLKDL >CDP13636 pep chromosome:AUK_PRJEB4211_v1:5:28530775:28533157:-1 gene:GSCOC_T00038651001 transcript:CDP13636 gene_biotype:protein_coding transcript_biotype:protein_coding MIREDVHVMAEPDSSDGHGNYFTEVDPIPIPGPPGSFLPSPGHMDSEDIQGNSSLTSSRVQSSDDHQELVDHSSDSPVSATSTISNSNLARSDSKSSGKLSVGHPPFLDEMRSGCISAANGDPSLENSSAALKPSDAGIQRVSLDELKVSSSITEKGAFRFSSDQPCCCSRKEGFTQNVSLRSQESQLLRRRSTAAAIVPASGKELAGDIDRSLDSLRSEISSISGLSPRPETAVRSTMGHSLSRVSADSDVKFPNRDDSEPCCPSASNPVLRLMGKNLLVVKKEESISPQIKPCQLSSMISHTNPPVVALSGVSVGNARTDNQRIGHHMVSQSPFYTDQAESRKEVMQHPEMRWSDGLGSHDNMGILHLSPLASHAALSSRGAVRGGFMGTSMHHDYMAGCHLTIEKRQPLNRLDSSITCDVDKFVESPNFRWRSANTTANAIKEIIVIDDTPENEVNSTVATHSEGMIHGMGSCSGGKQIMMASACDSRHASSFHSYQQFEAAATFNESSVDASFWMPPPSPSGVDKSAVKWNWTPEGSNSLHASPLASAAQQRSKLYSSSNF >CDP15721 pep chromosome:AUK_PRJEB4211_v1:5:4650468:4651085:-1 gene:GSCOC_T00015740001 transcript:CDP15721 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAIHFIAKNVPGHGPSDGAGLPYRGSNKNSAKIKHLRCTVRNTSPVCRASSGDHWRDPDFSRQNKHGFSRNRNRQNEDREGFDSLEESEMFSSKNGPLLTTSGIPRFQATATPGPREKEIVELFRKVQAQLCERAAVKEERKIEESQRIGKEGETVGSLLKLLRKHSVQQGKRSSDIDSGRLAETSFWTSLSRIAHSLKRKIL >CDP11311 pep chromosome:AUK_PRJEB4211_v1:5:13677486:13680584:-1 gene:GSCOC_T00033488001 transcript:CDP11311 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIDANLLCKSFVNQLSALNRRRWHSAFVTIYCSRAFEQALAARNSKVLLIPSQRATKKTTKISSLCDHVIINVKDYPNFYNTYQPSLTKLVKEKSIHQLNELGGVLGIATSLNTKIQHGLNFNDYEDISRRVEAFGSNTFRKPPKKGFFPFVWEAFQDPTISILLACAALSLGFGIKENGPKEGWYDGGSIFVAVFLVISVSAISNFRQNRQFERLSKISSNIPVEVVRNGKRQQISIFEIVVGDVVYLKTGDQVPADGLFLEGHSLRIDESSMTGESDHLEVNHSQNPFLISGTKVADGYGQMLVTSVGMNTTWGQMMSCVSHDFNEKTPLQSRLNKLTSAISKVGLAVAFLVLVVLLVRYFTGHTKDTYGNKEFNGSKTKADDVINAVVKIIAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATTICTDKTGTLTLNRMTVTEFWLGKDSMDKDSYISISTNVLKLLHEAVSLNTTGSVFGSISSRTEGLKFSGSPTEKAILSWAVMELNMDMERIKQNCSILHVEAFNSDKKRSGVLMQRTADNLINVHWKGAAEMILAMCSHYYDAEGEVKLLDHLERKKFEEIIQCMGASSLRCIAFAYKQISETSGDIGEIQQSLEDKNLILLGIVGLKDPCRSSVKKAVEYCQYAGVKIKMITGDNVFTARAIATECGILKVNQEANEGLVVEGVEFRNYTDEERMEKVDKIVVMARSSPFDKHLMVNCLKAKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLTWGRCVYNNIQKFIQFQLTVNVAALVINFVAAFSAGEVSLTAVQLLWVNLIMDTLGALALATERPTKDLMHKPPVGRTEPLITNIMWRNLVSQALYQIAVLLTLQFRGESIFGVSKKVNNTLIFNTFVLCQVFNEFNARKLERKNVFEGIHRNKLFLGIIGVTIILQVVMVEFLQRFANTERLNWGQWGACIGIAAASWPIGWIVKCIPVPERPVFSYLMWKNLKGM >CDP05791 pep chromosome:AUK_PRJEB4211_v1:5:26945613:26948808:1 gene:GSCOC_T00021076001 transcript:CDP05791 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIFIAILSMLLVFALVPLYLWKRRLDSRSPDQPREEEEPQVRQRETVVRGSGARRMRRRPAASGASSSSAGATVEEAVDESDEEADGNEYYPAKVSKKKEKKRQEREAQRQADEASRESRRTKQDRYEEMRRRKDEEREAQELKLEEEARARKAKEEEAAALEFEKWKEEFSVDAEGTTENEVQDGGQGLLSGFVDYIKNHKCIPLEDLAAEFKLRTQDCINRITSLEDMGRLSGVMDDRGKYIYISQEEMNAVAEYIKREGRVSISHLASKSNQFIDLEPISQFVDDISSVEEIAVA >CDP15907 pep chromosome:AUK_PRJEB4211_v1:5:22823781:22827097:1 gene:GSCOC_T00016818001 transcript:CDP15907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE4 [Source:Projected from Arabidopsis thaliana (AT1G06230) UniProtKB/Swiss-Prot;Acc:Q9LNC4] MASGTTVGGGDGEKEGSKEKQRWAEESKVYKRKSVKGLKDSSDKNNMSGGNGMGKGGFLRQENKVTINLAMKSKREARELRKRLESELDEIRRLVKKIEGQEGKKSSKAGRGVDNGGKLKRVHSEVDSVGTPRISKPLHQLSVSVLENSNDNGDKEKRTPKANKFYKNTDFLLAKDKFPSAEGNKKSKSGAGKKAGGGESGHGFVSGKFSNQMLKNCSSLLDRLMKHKHGWVFNKPVDTVHLGLHDYFDIIKNPMDLGTVKARLSNNGYKSPKEFAEDVRLTFQNAMTYNPKGQDVHVMAEELLKNFQDKWAAIEAEYMHEMMHGPDSEVGLPTPKSKKAPPAVMEPPEMRTLSRSKFISNPVDPKTKTMISAGSGRTAAPKKPKAKEPNKREMTYDEKQKLSTNLQSLPSEKLENVVQIIKKRNPSLCQNEDEIEVDIDSVDTETLWELDRFVTNFKKSLSKNKRKAELANQGKGEAARSVPEKDLAPAAAETLKERKMEAKKNYSSQTEVEKKRDNASRSTNSSGSNADSGSSSGDSDSGSASDGSDA >CDP15963 pep chromosome:AUK_PRJEB4211_v1:5:23191799:23196744:-1 gene:GSCOC_T00016891001 transcript:CDP15963 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSIASLCFNVSKIHHLGIIIISVERAFKMASSSVSVVGNGLSSHSGFSKEIYGRQLCLASNLPSLSRRSKVIIVKSSLDQRSHEGRRGFLKLLLGNVGLGGAALLNDGKAYADEQGVSNSRMSYSRFLEYLDKDRVNRVDLYENGTIAIVEAVSPELGNRVQRVRVQLPGLPQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDIRGRTEILKVHASNKKFDSDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGKAAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDAVQKVTLVPRGQARGLTWFIPADDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLYQITGLAKQMVVTFGMSDIGPWSLMDSSAQSGDVIMRMMARNSMSEKLAEDIDAAVKRISDTAYEVALIHIRNNREAIDKIVEVLLEKETLTGDEFRAILSEFVEIPAENRVPPAVPTPVAV >CDP05890 pep chromosome:AUK_PRJEB4211_v1:5:26224787:26226327:1 gene:GSCOC_T00021202001 transcript:CDP05890 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFHKAFAHPPDELNSPASHHGSKKPKMPEETLKEFLASNPSDAFSLSFGDAAVLSYVRQDQPSLLHKNQRLFCGHDEIYCLFMGSLNNLCAQIKQYGLSKGSNEAMLVIEAYRTLRDRGPYPADQVIKDLDGSFAFVVYDSKRGTVFAALGSDGGVKLYWGIAADGSVVISDDIAVIKAGCAKSYAPFPKGCMFHSEGGLMSFEHPMNKMRAMPRVDSEGAMCGANFKVDLYSRVNSIPRVGSETNWVDWNTEQI >CDP16051 pep chromosome:AUK_PRJEB4211_v1:5:429553:432383:-1 gene:GSCOC_T00017054001 transcript:CDP16051 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSYHFLQGLFFLLYYVSASLAMTTTNITTDQHALLALRAHITVQDPHQILLENWSASSPVCQWAGVTCGSRHLRVTALDLSNMNLSGIIPPQLGNMSFLVSLNMSKNNFYGELPHEFARLRRLRVLDLDANNLGGEFPDWFGSFHQLRLLSLSNNSFTGFISPSLANVSKLETLSLSFNYLQGNIPTEIFKISSLELIFFQGNSLSGSVPDDMCRHLQRLKWIDLSRNKLNGQIPSSIYNCSQLQVLHLSWNYFTGFIPKEIGTLKALERLYLTYNSLQGEIPKEMGNLTVLKTLGFGVNHITGAIPQEISKLCYLEQLYLASNNITGFIPMQVFNLSQIRTVSLTENQLSGNLPSRVGNGLPNLEELLLNHNNFGGSIPDSISNCSKLKMITLAYNNFTGSIPNSFGDLRLLEVLALNGNNLMSDYSSSNSELSWFNSLANCKHLRLLVVSDNPLNGILPNSIGNLSTSLEDMYAINCNIRGRIPDEIGNLSSLTILSMYSNQLSGMLPITMKNLEKLQGIDLQDNKLSKTSLDYLCVLQNLAVLYLGANQISGSLPECIGNVTSLRYLYLGSNLLNSSLPTTIWNLKDLLELDLSSNSLSGSLPPKIRNLKAAILIDLSINEISGGIPSSIGDMVSLQNLSLAHNRLQGSIPTSIGTTLSLEWLDLSRNYHTGTIPISLENLRYLVYFNVSFNNLRGEIPSKGPFTNFSGESFISNEALCGAPRFHVPSCPSISGGRLRTRKLRRTISIALVAFISVAVAIFVGFMYLRHAKKDQVPSEGVSSLVPTDDRISYYKLLQATDGYDESNLLGTGSFGSVYKGTLDDGRIVAVKVFKLQQE >CDP11319 pep chromosome:AUK_PRJEB4211_v1:5:13889474:13897837:-1 gene:GSCOC_T00033501001 transcript:CDP11319 gene_biotype:protein_coding transcript_biotype:protein_coding MLETTTTSALHQHQNFPLLSTAKSTPVLVIHNYNLSISKKRKNPCFHSLSCSDYKKRLQVSATRKQQQEQQEQQEEQKLLSGLSDQIWNGKKSLQENGSDEATDLGWLPAFPYALFASMFNFLFGYHIGVMNGPIVSVAKELGFEGNSFLEGLVVSTFIGGAFVGSISSGFLVDKLGCRRAFQGDTIPLVLGAIISAQAHSLDEILWGRFLVGLGVGVNTVLVPIYISEVAPTKYRGFLGTLSQIGTCLGVIASLFLGIHSESDPHWWRTILYIASIPGFILAIGMQFAVESPRWLCKAGRSDEAIEVIKKLWGPSEVNRAIENIQSVIRSDGADLDTSWLELLEEPHSRVAFIGGALFLLQQFAGVNGVLFFSSLTFADVGITNSALASLCVGFTDLAGALNATYLMEKQGRKSLLIGSYLGMTASMLLVVSAISLPVEKDIGNTLSVLGTTMYIFFFASGAGPVTGLIIPELSSTRTRGKIMSFSLAVHWVCNFLVGQFFLELVEKFGVGSVYAGFGGFSLMAATFGYYFTVETKGRSLEEIEMSFGNK >CDP05947 pep chromosome:AUK_PRJEB4211_v1:5:25788102:25791327:1 gene:GSCOC_T00021272001 transcript:CDP05947 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKRKSAKKKSSKLSSQARKMKKSGRTKSKKLGRRHDSRTDDSMSSDSISSPGNDSYASNSDDDSMSSASISRSSSEDTYRRRKNKSRSRGKLKKTRKRARRRSSSRDNRKKLAPMKKRKRSRKDSDSKTRKKYGGKKRRRDSSASPTSSNSHSCSTCKGGNSDSEESERESVRSRPRENKRDKRDLSKDKRRTKLGFRRSPSHSSHSRSKDQSGSVSYSEEKFLNENNSRRLRSVITFVEQRSEGEGNEWKKDLQNEEIVYDNDDYPSRSSSGGGSKKESTPRSPIAFDVEKRTESPIFVSEHEVTELSGSVNDAHDMNEFVTCSSAKENGNYTPLVGAGSGGDDLEAILRQKALQNLNKFRGGHKSNTKPTSDQKNKDDGNVNASSTSKAAVERVVLDSGHTEKVYGIVKQNIDHPADGSGLSRNPKEDGLNSGNPVVGKSVSGTSPFRGRSSGLDMRYQATASGASPDKILREIKSEGKTTATVQTTSVAGNSSFWESSSGLDTPNKTTTSVASPEKILIETESDRKTELKITQPLSPSVGINKGSACSSATVEPALSATSGGQSLNNQKDEAKDGGQYQQKTMSVMRGGEMVQVNYKVYIPQRAPALARRQLKR >CDP15934 pep chromosome:AUK_PRJEB4211_v1:5:22955158:22957381:1 gene:GSCOC_T00016853001 transcript:CDP15934 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKTTLGLIRSSLCAYCSSKFFCFFAFFDVTIVLESYPFQPENFFLPFCLGKDVYGAGLLGSLAYMRMLGKTVDSMADGAKGLIKGAVGQPRLLVPVVLVMIYDRWNEILVPEYGFMHLELIPMLVGFFTYKIATFFQAIEDTLTVSGEKRQA >CDP05752 pep chromosome:AUK_PRJEB4211_v1:5:27223100:27227012:1 gene:GSCOC_T00021024001 transcript:CDP05752 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGIGSFLRNRRFGNYLEASFGVNFASPSKVAVKEEVEKKIQSVAFVEDDGIGAVLISWVRIVVCFVTMMFTTFIWALIMLVLFPWPYQRIRQGNIYGHLTGRLLMWILGNPIKIEGSEYDEKAIYICNHASPIDIILIMWLTPTGTVGVAKKEIIWYPLFGQLYFLASHLRIDRSNPSAAIQSMKEAAHTILKNNLSLIIFPEGTRSKNGLVFFPVKNGFVHLALQTRRPIVPIVFTGTHRAWRKGSLHVRPAPLNVEYLPPIRTDDWTADKIEDYVKMVHDVYVKNLPESQRPMH >CDP13792 pep chromosome:AUK_PRJEB4211_v1:5:27527193:27531716:-1 gene:GSCOC_T00038851001 transcript:CDP13792 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERKCKAPRRWAAAVVSIFAFFHLLPFSESSNLSRYREALSKSLLYFEAQRSGHLPYNQRVPWRHHSGLTDGLEQGVDLVGGYYDAGDNVKFGLPMAFTITMLSWSVIQYGEEIAAAGEYRHALEAIKWGTDYFIKAHSQPHVLWVQVGDGDTDHYCWQRPEDMTTSRRAYKIDEDHPGSDVAGETAAAMAAASIVFRRTNPRYSQLLLDHAQQLFEFGDKHRGKYDSSIGAAKGYYPSVSGYMDELLWGALWLYKATDRADYLNYAIGKAQFFGGITWAMQEFSWDVKYAGVQVIAAMLGMKKKHEEHSQILQEYRFKAEYYICACLNKNNTTNIHRTPGGLIYIRQWNNMQYVSSATFLLMAYSDHLRAANQKLNCQGGVLVGPDDISSFAKSQVDYILGSNPMAISYLVGYGSRYPQRVHHRGASMESYRNNKGFIGCTQGYDNWYGRQESNPNVLVGALVGGPDIKDQFRDGREYYVQTEACTYNTAPLVGVFANLHALEQKNTSHVFDPPLIASS >CDP14805 pep chromosome:AUK_PRJEB4211_v1:5:22168395:22169183:-1 gene:GSCOC_T00042265001 transcript:CDP14805 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDQRIAFTIFLVTFLGFFLSASQSYTFYVGGRDGWVLKPKEDYNQWAGRNRFQINDTLIFRYKKGYDDVLEVDKDDYYNCNKENPFLALKDGESVFKFNRSGPFFFISGHADNCQKGQRLIIVVLHPRGNPTDAPSPVAANPPSPSPSPSPSPAPIFAPTPSPINPNTPPPAAASPPAPVIVQPFAPAQSPSAASITFGGLIGSLLGISFFLVIFAFGY >CDP18726 pep chromosome:AUK_PRJEB4211_v1:5:23747920:23750093:-1 gene:GSCOC_T00002657001 transcript:CDP18726 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein f [Source:Projected from Arabidopsis thaliana (AT2G42820) UniProtKB/Swiss-Prot;Acc:Q682H0] MGALGALARHLDALIGPGIMLLYPLYASMRAIESPSTLDDQQWLTYWMLYSFLTLFELSIWKILQWLPFWPYVKLLFCMWLVLPIFNGAAYIYENLVRKYVKVGINVSSSYPERQRRVLQMMSLDARKSVERYIERYGPDAFDRVVKAVRKECTYMLIYNNMSETECVAG >CDP16063 pep chromosome:AUK_PRJEB4211_v1:5:719147:721740:-1 gene:GSCOC_T00017072001 transcript:CDP16063 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATEYQPLLLGLDSHSQIRDLTSSVIEEFLEHRPVPIRWYPKLFAWESRLLWLLSGASIIFYVFNFMLSFATLAFTGHLGALELAGASIACVGIQGLAYGIMLGMASAVQTVCGQAYGARQYGAMGIICQRAIVLHVGAAVILTFLYLYSGSFLKLIGQSDSIAEQGQIFSRGLIPQLYAFAISCPQQRFLQAQNIVNPLAYIAVGVFLLHILLTWLAVYVLNYGILGAALTLSLSWWLLAIFQGLYILLSPSCRETWTGLSLRAFKGIWPYFKLTAASAVMLCLEVWYFQALVLISGLLSNPTIALDSISICMNYWNWDLQFMLGIAAATSVRVSNELGAGHPMVAKFSVVVVTTTSILISIVFAAIVLIFRVGLSELFTSDSEVIQAVSNLTPLLAISVFTNGIQPILSGVAIGSGWQAVVAYVNLITYYIIGLPIGCVLGFKTSLGVAGIWWGMIIGVFLQTLTLCILTARTNWNSEVAKAAVRLRDSANEEGRDNSDGI >CDP11341 pep chromosome:AUK_PRJEB4211_v1:5:14579075:14590140:-1 gene:GSCOC_T00033541001 transcript:CDP11341 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVQLILAFATLGSGLSGGAISGISLAVVGVLFFAACGYLVVYRKRKAEKISLKDQFEQPASDTAAKAPEAANDAKRASPGLGGVVVERSFEFSYEELAIATKGFSLANKIGEGGFGSVYYAELGGEKAAIKKMDLKAKREFVAELRVLTHVHHQNLVRLIGYCVKGSLFLVYEYIDNGNLSQHLRGSGCDTLSWSSRVQIAVDSARGLEYIHEHTIPAYIHRDIKSANILIDKDFRAKVVADFGLAKLAEVRGSSLQSHLVGTFGYMAPEYANCGTVSPKVDVYAFGVMLYELISAKAAVVDGCSTTDTKGLIFKLEGIKLNIYQITGSFLFEHVPILPDSNDDLRKLVDPRLGDNYPIESVSKMFQLAKLCTHKKPESRPSMRSVIVALMALSSPTKDSKIFVPHLETEILKYEYSMIKSMETEVRGSETFTVTSVESEAYTIEEGIED >CDP05679 pep chromosome:AUK_PRJEB4211_v1:5:12780209:12782794:-1 gene:GSCOC_T00020873001 transcript:CDP05679 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWLVGIKTLRIQPYTLPPLGPHDAKIRIKAVGICGSDVHHFKHMRCANFVVKKPMVIGHECAGVVEEVGSQVKSLTVGDRVALEPGISCGRCNSCRVGRYNLCSEMKFFGSPPTNGSLATMVLHPANLCFKLPDNVSLEEGAMCEPLSVGVHACRRANIGPETKVLIVGAGPIGLVSMLAARAFGAPKIVMVDIDDCRLSFAKKLGADGTILASTKIEDVQDEVIQIENAIGARVDVSFDCVGVDKTMTTALSATRAGGKVCLVGLAQSQMSIPLTPAAAREVDVIGIFRYRNTWPLCIDFLRTGKIDVKPLITHRFSFSQQDVEKAFETTARGGNAIKVMFNL >CDP06011 pep chromosome:AUK_PRJEB4211_v1:5:25329054:25329933:-1 gene:GSCOC_T00021355001 transcript:CDP06011 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSHGHPGIIELGEGSSSSSSRSSASAVQAPATHDHPDQQQQPAQGQLSRYESQKRRDWNTFGQYLRNQRPPVPLSQCNFNHVLDFLRYLDQFGKTKVHLQGCVFFGHPEPAGPCTCPLRQAWGSLDALIGRLRAAYEENGGLPDKNPFASGAIRVYLREVRDSQAKARGIPYKKKKKRKSIPMKPNNESSNFQMQSS >CDP15913 pep chromosome:AUK_PRJEB4211_v1:5:22855720:22860477:-1 gene:GSCOC_T00016826001 transcript:CDP15913 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKPDEKEEIEEAIELILFQVSECYVYLIPPRKSAASYRADEWNVNKWAWEGALKVISKGEECIIRLEDKTTGELYARAFLRDGEPHPVEPVIDSSRYFVLRVEENIGGRLRHAFIGIGFRERPEAYDFQAALHDHMKYLNKKKTAEEMEQQHQHTSSVDYSLKEGEKLVLQIKNKSGRSSGSKFFEQGLNDLSLEEKGSKKEPVISIKPPPAPLSPVVSPKTSPSELPSKLSLKESSAAEDSVSPQEQSKELEPPENQNTQDIPDDDFGDFQAAG >CDP05836 pep chromosome:AUK_PRJEB4211_v1:5:26609958:26611249:-1 gene:GSCOC_T00021131001 transcript:CDP05836 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYRFPTVQYDNHIPSQFVFFFLKKKILSCLECSCGQCEFSPRISIYGSSLPRSCKVTFDPKKKKTKWSASYLKGQRVGRGKESVVNEGSESAKLKLIGFSYSYVTKAIQLGNLMAIEVQLTFLEKKNKKEKVTIALNSKLSFKFNVEKKIC >CDP14414 pep chromosome:AUK_PRJEB4211_v1:5:6475855:6478210:-1 gene:GSCOC_T00040821001 transcript:CDP14414 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRSQILLSRNGNFRPENLGPNALAMIGNLCFSMFVLGVLIFTIIAATYKPEDPLFHPSTKITNFLTSTSNATFKSDTTVVKTGEDFMAANQTAFATFINITDVENAVPEAATESSKDSNDRECEGKTDEPVDCTDPEIFHLLMKAAIEKFKDIHFYRFGKPVRGNDDSSCHMAWRFRPMEGKTAAFYKDYRSFVVSRSENCTLSVVRIGDYHSGGNARKRKRNQKGFEKPMAQAPKQTIVLPEVGESVNDTLPVVESEGSFSRGKYLLYTNGGDRCKNMNHYLWSFMCALGEAQYLNRTLVMDLSICLNKIYTSSGLDEEGKDFRFYFDFEHLKESASVLDQGQFWEDWKKWYQKDGLTLHLVEDFKITPMKLVGVKDALIMRKFGSVEPDNYWFRVCEGETESVVQRPWHLVWKSRRLMDIVSSISSRLNWDYDSVHIERGEKARNKELWPNLDADTSPEALLSTLQDKIEDGRNLYIATNEPDTAFFDPLKDKYATHFLDEYKDLWNETSEWYEDTMKLNNGNPVEFDGYMRNAVDTEVFLRAKKQIETFNDLTKDCKDGVNTCTASS >CDP13682 pep chromosome:AUK_PRJEB4211_v1:5:28218604:28226376:-1 gene:GSCOC_T00038709001 transcript:CDP13682 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKERRLAALSAAGRRLKLDLIAEPSGDLGGSSVQKEVGGDDNTKNHAGLPNSPSSSGGFRQFSSKGLIFVQDMLFVPQKNLFSDCKQAENPLVLLGQYSDDELDDGSSGEQNKAEDSCARGVDDQEKLAAGRASEDFGVKEGNGSAGDKLGQLAVENGPVLMDSLENLEGVIAGIHAAGTDVLHDAHGLTEQVTAATTSDTQVVGDVSSGWKVVLHEESNQYYYWNIATGETSWEVPGVLAQATEPKGNASEQKEKDVSEVKNHNLKTIEGNIDVPITNTLDEGYVRDTLDDKKQDHGGDALDDLRTLHGTNVSPGQSDNVLPTDGNATSIQLLKPGGRYETGTDFPSLLMKQSENLLGQLNTVKGLKGYFKGIDHITKCILEVEMRLSDMKSLACHGSLLLPFWEHSERRLLELEAVIYNIVQELKSERVHEVDTTSILPESIGEDIKANSSEEKAVDVASDDFGASESAGITEFQKNNQELDNGGATGSENVPSDPSLIERLVNAGGKVEARNAVHQELTPKALLHTGEEVDMDVDMEVEDVDPSNNLIVSVLSEQHNDQTLPANLQSSVPLELCSIPPPPDEDWIPPPPPDNEPFPPPPPDEPPEISSPQPSDLVSTQSFPYAEPYNIYPSSHFQYYAQGNTNIPASNMYAPTDGCQPTVSQPPLYFEALSDTYAPATLAVNPIEPGLYYGLQDGITQPVSLESSVFHGDSVQNSISDPTGSLEVQAAVGSASLLKGDIDVPAISVDAKKASLEPSSSEVTLASATISELEGVSEPLVPATTSSITASTTAPKAQSKVQRSKKRTVAVVSSLRSNKKVSSLVDKWKAAKEELQEDEKEPENAYEILEKKRQRQIEEWHAQQIASGEAKDNANFQPLGGDWRERVKRKRARLAKESVQTPKDDVFEGNQQPDLGELSKGLPRGWQAYWDVSSKQVYYGNTLTTETTWVRPTT >CDP06014 pep chromosome:AUK_PRJEB4211_v1:5:25311281:25313071:1 gene:GSCOC_T00021358001 transcript:CDP06014 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNFSFLFHLIVSLFFLLNQPIFSFANNLHKTKPLFNSQLFSEDNGTAPTTYFEVTKPIQLPKTKPCSYLILQHDFGFTYGKPPVFANYTPPSNCPSQKFSKIVFEWKASSKGRQFDRIFGVWLGGVEILRSCTAEPRATGIVWTVEKDITRYYSLLMTNQTLAVYLGNLVDKTYTGIYHVNISVHFYPAEENYGFSGLNIDDSANSVADLILPISRNLPLNDGLWFEIQNSTDVESKEFRIPPNVYRAVLEVYVSFHENDEFWYGNFPNEYIAANNLTGYAGNGPFREVLVSLDDVLAGSIWPFTVVYTGGIDPLLWRPITGIGSFDLPTYDIEITPFLGKILDGKTHKLGFSVANALNVWYIDANLHLWLDKKSAKTEGELLSHDSPPLTFSFAANFTGLDGSFFINASRTITSEGWVKSSSYGTIITKATQDLKYRNFMVLGNEANRQIVNQTIEYNDMVSARTLSSVKSIKSLKHFPFYLYTDVTDRANGTYVSVSNVALGFDEKKIKKSDQGLSVSCLKNIQKGNSSMLVKGNLVISGLGTTNQAYSYKDNEFCYLRNVGSSNYTILYDKVSNICSKRQKHHLFNRFLPS >CDP06102 pep chromosome:AUK_PRJEB4211_v1:5:24554766:24561740:1 gene:GSCOC_T00021486001 transcript:CDP06102 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNCIEPQWPADELLMRYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHSRTVAIVMTAAKVLTAAVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPTRSGVELQLSYTLHHQNPVGFTVPIQLPVINQVFSTNRAVKISPNSPVAKLRPSGKYMQGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMDQNVALDLARREAETAVRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLDIEPFDLHGLFKEVINLIKPIASVKKLFVTLSMSSDLPEYAIGDEKRLMQIMLNVVGNAVKFSREGGISISASVAKSDSLRDPRAPDFFPVLSDNHFYLRVQVKDTGSGISPQDIPKLFTKFAQSQSLAAKNSGGSGLGLAICKRFVNLMDGHIWLESEGLGKGCTAIFMVKLGLRGRSNEAKLQYVSRAPVNHVRTNFTGLKVIVMDDNGVSRMVTKGLLVHLGCDVATVSSGDECLKVVNNEHKVVFMDVSIPGIDIYAVAVRIHEKFARRRDRPLIVALTGNTDRVTKESCMRVGMDGVILKPVSVDKMRSVLSELLDHGVLLEAQ >CDP06134 pep chromosome:AUK_PRJEB4211_v1:5:24329479:24339305:-1 gene:GSCOC_T00021525001 transcript:CDP06134 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDESAINWIKQGSDTEKSQMRSPLTEKYDKTYPIPRSPLGYSSGSSHALPPLKFHSGLLGPHKPVALSLDSSEDEYGDDDGGDVDSESVASVPDELDGICSDEEEFDKPIPLHTTSDHIRGTQTGVRYRAMLNRGSKQSLRIEVPENTRRYTDTELGFDGCGRRNAASSGSCHLRKVVVQPHSTYATPVGKLSNVVDLGTPSAPPIMEIRRDGQSSEVVSECSESSGAVREFDQTNEDSNVSTQSAKQHAGIKDVFPDQNEESFEHEVGERDKNGMTPAEMEALKGTWETKPRNCSPYYDASDQNAWQILIAYDACIRLCLNAWARGCAEAPEFLKDECLLLRNAFGLHKFLLQPQGTQSVESTRKKIEQALPLKPKKIAGKIRVEVKKLRIIPRRRLKSTNSLRGAMYMQVGAEYVRHVSSLVKSGMNSLRLASFSLPTEESLSCLLKLKSSLEENQDETGSTTSLQPGTGDYHDFFPEHQGDSILLEVHDLKKNIQGQATIPVSSMADNPTDKIRWWPIYHDDHECIGKVQLSITCAFTYDETTQLKNGPIVETLAYDLLMEAAMRAQKFHARNLHLDGPWKWLLIEFSEYYGVSNSYTKLRYLSCVMNVATPTKDCIELVYELLSPVIKARNEKSLTRQEKSMLLNCETQVEGLLADVFQNYKSLDEKSPTGIADMSAPILESAAPSLAPAVQVYKLLHDILAEDSQTILRNYLQTAAMKRCRKHMLETDEFLSSNSEGFVIDSMTMTTAYLKMKNLCFKISSEIQADLKIHNQHILPSSIDLANITATVYSTELCTRLRAFLSAWPPSSPMPYVNGLLIATADFERNLESWNISAVWGGVDSRDLYHNYIMVWIQEMQLSLLDQCKAEKVPWSGVITNYSTSPFAEEMFEKLKVMLHEYEVVINRWPEYTMVLESAVANIERAIIKALEKQYNDILTPLKDSVPKKLGMQVQKLARRQSTALYSAPLQLGTFLNTLKRVLDVLHPSTEDFLKAWASYLPVNGEKKSSFGEQMNGVTVLLRTKYKNYMQAIVVKLASNMQSSRNTRLQRILEETKVTDGEAEIRERMQVLSSQLSESISNLHEVFTSRIFVAICRGFWDKMGQIVVKFLEGRKENRVWYSGSYHALGVLDDIFASQMQRLQGNALQEKDLDPPRSITEARSILSRDTANGMDSSTYLYF >CDP11819 pep chromosome:AUK_PRJEB4211_v1:5:19548788:19550318:1 gene:GSCOC_T00035072001 transcript:CDP11819 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDIPRRWRLLSGQDNWKNLLDPLDIDLRRYIIHYGERAQATYDTFDHEKASKYAGSSLYKPDVLFKSVGLCKRNPFKYKVVKYLYATSKISVPDAFIEKSLSKDSVCKESNFMGYVAVATDEGKIALGRRDILVAWRGTIRNIELAKDFDFPLVPASMILGKDINAKVHKGVLSIYTSSDPQSTYNKQSARVQVLEAIKSLVEEFKKEEVSITIAGHSLGAALSTMNAADIVANGYNKTRGMPNKSCMVTAFAFGSPRVGDSNFRNAFESMNDLHLLRVRNIPDLVPQVPPIPYADVGEELLIDSRKSPYLKRIGNLEIWHNLEAAYLHAVAGTQGSKGGFHLEVKRDISLVNKILDAVKDEYLIPTGWWCLKNKGMVQQDDGSWKLEDHDRDT >CDP13752 pep chromosome:AUK_PRJEB4211_v1:5:27815151:27817497:1 gene:GSCOC_T00038800001 transcript:CDP13752 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFKSSAVFAEPEKQTADLFVKSPDYLSRGIGAHRKEAEVYNLEESVGTLEVYIHQARDIHNICIYHKQDVYAKLCLTSDPENAVSTKIINGGGQNPVFNENLRLGVRTVDTSLKCEIWMLSRVRNYLEDQLLGFALVPLSDVLVKSGKLEKEFSLSSTDLFHSPAGFVQLSLSYSGALPDVLAISSAPPSVVTDVAVHDSKAAESVPDELDKIEFPDPKILNENHLMVSEYFGIPCANLDSQSSDSFVSSDTENQLSSEAGVQFVESLSMGTVGSTQFPKLDSPPSSGSTYGSPTASLPVSSQSSETPVVSKSATEEYALPPKENVKERSADAGDAESKASENLPGESFVKPVVTVKIEPEQKMVQQDIVDMYMKSMQQFTESLAKMKLPMDFESGPTSSGNSSSDQKLDSSKNSGNRVFYGSRAFF >CDP05877 pep chromosome:AUK_PRJEB4211_v1:5:26301089:26302575:-1 gene:GSCOC_T00021188001 transcript:CDP05877 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTILSSSCFWLFVLLNSANPCATVFYKGGNEKTEELEDVKRSHFPGGFLFGVATSSYQIEGAILEDGKGLSDWDVFVHKNGNVENGDTGDIATDHYHHYMEDIEMIHSLGVDAYRFSISWPRILPNGKLGDVNAAGIMFYNSIIDNLLVRGIRPFVTIHHGDLPQVLHDRYGGWLSPLIQDDFVHFAETCFKNFGDRVRYWVTINEANLVSEFAYEMGIFPPGHCSPPFGNCSKGNSDTEPLTAMHNMLLAHAKASKLYREQFQASGWLAISSSLRIP >CDP14699 pep chromosome:AUK_PRJEB4211_v1:5:21073379:21077678:-1 gene:GSCOC_T00042116001 transcript:CDP14699 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g28210 [Source:Projected from Arabidopsis thaliana (AT4G28210) UniProtKB/TrEMBL;Acc:Q9M0I6] MPDRFRPLTKEAPDKPVRWPWFIALAFALYAWRTVLWELSNWKKAVAAIFQFIGYILKLALALVFHFIGDPITSLIRGIETTFYTIRASYSWVIAYAPIPDLTTVIVLSSAILAISEATVPDSVNSQPYMLTLAGLIGLAAVRSYISELFFWILLLGLFSFSRFVKKRDYVSSALPAAAVLAGVGEPWIRIIVLASYLALAIIQHSKQRSDGKENEVTGPVRRVPIPLLCAALAIGLRVAAKWAGYRHLTWMIA >CDP06185 pep chromosome:AUK_PRJEB4211_v1:5:23904043:23906230:-1 gene:GSCOC_T00021591001 transcript:CDP06185 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVPRERVVAAVNALQKWKEARSASQMPQLLPEDDFIYLNLTLKKIPPKPRTNPFKIPLPKPLFDPDSELCLIIDDRPKSNLTSEAAKKIVKSQNLPVAKVLKLSKLKSNYKPFEAKRKLCDSYDLFLVDRRVVHLLPKLLGKFFFKKKKLPLPLDLSHKNWKEQIERAMGCGLLYMRTGTCCVMKVGKVSMENEEIVENVVQGINEVVKVVPKKWGGVRSLHLKFSDSLALPVYQALPDVKLKIDGVKKTEAVNDSEGEVVEVKEESSGGEVIMGRTKKKKGRIHEVRYMDVGVGVGELESEEDDDDEGKLKIDKEADEIFDSDDSGVKKRKKGKSVKGLQKKAGNIEKVAKSYSEKKEKKKSKLESRLRGSSIYKKAKRIKSSV >CDP10798 pep chromosome:AUK_PRJEB4211_v1:5:18158590:18159284:-1 gene:GSCOC_T00031670001 transcript:CDP10798 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNAKPQGGKVKFALFFFRVDCHKFNLIDRDDFRDFAELCFKEFGDRVKSWSTFNEPWSFSTGGYDSTTFIGCPAGDSSTEPYLVAHHIILSHAAAAKLYREKYKPSQKGQIGIVLVTNWMKGKLSLYPPWFTLFLHNPPMVSKAIHNPLMVWIKVSK >CDP13555 pep chromosome:AUK_PRJEB4211_v1:5:29100015:29110220:1 gene:GSCOC_T00038544001 transcript:CDP13555 gene_biotype:protein_coding transcript_biotype:protein_coding MACFRCVFSTRFPQSHRLFLHLASPLPRAPAPTAAAAAPQPPFFIRQINMQAQNVAARLSSSGFLHAQGLVGGKWTDAYDGKTIEVRNPATGEVIANVPCMGRNETNDAITSAYDAFNSWKKLTAADRSKRLRKWYDLLMAHKEELGQLITLEQGKPLKEAIGEVGYGAGFIEFSAEEAKRVYGDIIPSPLPDRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVIKPSELTPLTALAAAELSLQAGIPPGVVNVVMGNASEIGEALLSSPKVRKITFTGSTAVGKKLMAGSAGTVKKVSLELGGNAPCIVFDDADLEVALKGTLATKFRNSGQTCVCANRILVQEGIYDKFADAFSTAVQNMKVGNGFGEDVVQGPLINEAAVQKVESLIKDAISKGAKVILGGKRHSLGMTFYEPTVISDVKNEMLISREEVFGPVAPLLKFKTEEEAIHMANDTNAGLAAYLFTTNVQRSWRVAEALEYGIVGVNEGIVSTEVAPFGGVKQSGVGREGSKYGMDEYLEIKYVCLGNLS >CDP10790 pep chromosome:AUK_PRJEB4211_v1:5:18051191:18053038:1 gene:GSCOC_T00031661001 transcript:CDP10790 gene_biotype:protein_coding transcript_biotype:protein_coding MIINLLSTPPCSSSSSSFSIINFMNSRTPFSEFSLRTKTHFSKSFLSSVYCSPSSPHSSFHCQNQFLCPKEKRPHGRSKILSTWAVPGLDFAGFESAQSILVAAGVLTAIIIVHESGHFLAAYLQGIHVSKFAVGFGPILAKFNKDNVEYSVRAFPLGGFVGFPDNDPDSEIPVDDVNLLKNRPILDRVLVVSAGVIANIVFAYVIIFAQVVSVGLPVQEAFPGVLVPDVRPLSAASRDGMLPGDVILGVNAIGLQKTGPNLVADVVDEIKKNPKKKVLLKVERGGQNVDINITPDMNSDGTGRIGVQLSPNVRISKVIPRDTLEALSFSGREFWGLTSNVLDSLKQTFLNFSQSASKVSGPVAIIAVGAEVAKSNIDGLYQFAAVLNLNLAVINLLPLPALDGGTLALILIEAARGGRKLPLEIEQQIMSSGITLVIILGIFLLVRDTLNLEFIRDML >CDP05749 pep chromosome:AUK_PRJEB4211_v1:5:27240784:27246918:1 gene:GSCOC_T00021021001 transcript:CDP05749 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 32 [Source:Projected from Arabidopsis thaliana (AT5G54910) UniProtKB/Swiss-Prot;Acc:Q9FFT9] MRRPKPKSKKFKIQSRQAEVEELELLESWIESGKPGSGSNPLSLQPLPDESPVGRLSDGSFSRYAGCKKFSQLPLSKETKDGLAAAKYKNMTDIQRASLPHSICGRDILGAAKTGSGKTLAFVIPILEKLYQARWGPEDGVGCIIMSPTRELAGQLFEVLKSVGKYHGFSAGLLIGGRKDVDTEKEHVNDLNILVCTPGRLLQHMDETPNFDCSQLQVLVLDEADRILDVGFKKALNAIISQLPKDRQTLLFSATQTKSVQDLARLSLKDPEYLSVHEEAETATPNRLQQTAIIVPLEQKLDMLWSFVKAHLNSRILVFLSSCKQVRFVFETFKKLRPGIPLKCLHGRMKQEKRMGIYSQFCEQRSVLFSTDVASRGLDFDKAVDWVVQMDCPEDVAAYIHRVGRTARYLSGGKSVLFLLPSEMKMLKKLEEKKIPIRFIKANMKRLQPVSGLLAALLVKYPNLQQLAQRAFITYLKSINKQRDKEVFDVMKLPIDDFSASLGLPMTPKIRFLKQKVKGKASEELSLVQESTVGDNLNEDQIESFDTGKAEKDRVEAKEDKFLLLQEDTQRGEKVTEIGDAGPPATRVLKKKKLKINVHRPVGTRVVFDEDCNTLPPLAKLADVKRSADLVHLDKDKVKQRFADLRKELKIVDEEDKILDRKRRKEKRIKEKMKWKKGREGEEADVGSEVDISASDTEESGDRVNKKTKIYLDSDSDDGKRTRKDKQGGSADSISLAEQEQLALKLLSSMHS >CDP05858 pep chromosome:AUK_PRJEB4211_v1:5:26453467:26455452:1 gene:GSCOC_T00021161001 transcript:CDP05858 gene_biotype:protein_coding transcript_biotype:protein_coding MSILFPEASNNFILSLKLVLISTTVLSAAVALKLSAPAITEFAVTEVPSLYHGLVSWLKPPYLYLVINCIIITIVASSKLQSAAKLHHDVTPPLPTHPQLQPTPFQVVAYYQPQPQPVSLVVPHLQPHEFAHVDRLAEAAGTASVRAPPEVYERANDTISSISNINSNYYGNKQVLVGDNGKEEFVGVSEVAELGNGGYGDESNYADASKPSWSSTSSSPGISRTDSLELSLNSNEKPPVSARFGHRRTAKASPDQGGKAALGVLKPRRQETLESTWKTITEGRAMPLTRHLRKSDTWETHGGRHHHNQAPQNPRMIKSETFADHRKTDASTGGGSNNSLLSPSPGSGKLKREASPSQDELNRRVEAFIKKFNEEMRLQRQESLNQYMEMINRGAH >CDP15897 pep chromosome:AUK_PRJEB4211_v1:5:22698802:22700136:1 gene:GSCOC_T00016804001 transcript:CDP15897 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVATRLYSSPNLIRHSLLRFSSVSKFSPPNYLEESIKAAIEANNYGEIPNILAASKGSCQNANPFSFLSAFPVKTRTNIVDEILQSFSSLRPRSRPGFAYSCLLSYTLQSPNPLPLALAVLQRTLRSGCLPVPQTHVLLSTAWLESCCHAKLVSNILLEMQSIGYNPDCGICNYLILSLCKVDQLKEAIKVLKGMSGAGCFPDLDSYGTIICEMSELGRTADAVGMMKEMVATFNLSPRKEILVKIAAAFRANKETLRAVEMIEFLERKNVDVGFDVYDLVLEGCLECRQFVLAGKVVMRMTGKGFIPYISVRQRVVAGLASVDEWELASAVRQRFSDMNS >CDP06073 pep chromosome:AUK_PRJEB4211_v1:5:24868590:24872948:-1 gene:GSCOC_T00021432001 transcript:CDP06073 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTCHNLEFVRTRLDFVACGCFSSSSFDRLHVKDSKKGLLRSVHRGYKARKLQCCRRDVGRCRVFSTKTPEALLGGVVPGPPPALDLKRESTSPISLPHLFEAVADDLQTLNKNLLSIVGAENPVLMAAAKQIFGAGGKRMRPALVFLVSRATAEIAGLKDLTKEHKRLAEIIEMIHTASLIHDDVLDESDMRRGKETVHQLYGTRVAVLAGDFMFAQSSWYLANLENIEVIKLISQVIKDFASGEIKQASSLFDCDVELDEYLIKSYYKTASLISASTKGAAIFSGVDSDACESMYQYGKNLGLSFQVVDDVLDFTQSAEQLGKPAGSDLAKGNLTAPVIFALEKESKLRDMIESEFCEAGSLEEAINVVKSSGGIERAQELAKEKGDLAIQNLQCLPSSPYRLALEEFVKYNLKRIA >CDP06089 pep chromosome:AUK_PRJEB4211_v1:5:24708793:24710765:-1 gene:GSCOC_T00021458001 transcript:CDP06089 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPTQAMEQFSLVKNSCKATTLFPGIPLIDLSKPDSKHLLVKACEEFGFCKVINHGVPTEFISKLESEAIKFFSLPLSEKEKAGPPDPFGYGNKMIGPNGDVGWVEYILLTTNPGFNYQKFESVFGVTPEKFQGAVNDYISAVKNMACSILEQLAEGLNIQPQTVFSKLLMDEQSDSVFRLNHYPPCPDLQELHGRNLIGFGEHTDPQIISVLRSNNTSGLQIALKDGSWISVPPDQNSFFINVGDSMQVLTNGRFKSVRHRVLANSSKSRVSMIYFGGPPLSEKIAPLPSLMEGEDSLYKEFTWFEYKKSAYKSRLADNRLGLFEKIAAS >CDP05907 pep chromosome:AUK_PRJEB4211_v1:5:26071611:26078762:1 gene:GSCOC_T00021224001 transcript:CDP05907 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNVIKHSDLLKSSNFPSFFRIRSHFTAIKNSSKSNNLFSRISPLRQSSAVVPVLDQWVEEGRKIQKIELQRIIHDLRSRRRYSQALQVSEWMSHESRWTFSPSDCAVHVDLVGVVQGWDAAERYFDSLKDQEKNDKTYGALLNCYVREGLLEKSLLHVQKMEEIGYASSTLVYNNLMCLYKLTGQLDKVPELLAEMKKNGVSPNNFSYRICINCYGEKSDLTSLEELLEEMENQPDISMDWTTYSIVANYYIRDNQKEKAIVFLKKLEDTLLKNAVGYNHLISLHGQLGNLDEVTRLWGVQKIVCRKQINRDYITMLGALVKLGELEKAKELLQEWESSCHTYDFRVPNILLIGYCQKDLTEKAEDMLRQIVKKGKVPTPNSWGIIAGGYMNKGNMEKAFECMKEALAVQEQNPKWDPKPRLVFNILNWLGNRGELEEVQALVRSLRTVVPANRNLYHALIKANIRDRKDVDWVLESMKADGVEEDAKRRRKEKEEKRRRKKKEEEKKKKKSDKGKTGAVTNLWGKYGIIRETDMWNKRPEFTAWLAEVKKVNLESLPNWEEKQMFKEFMEDHNTATFPSKKYYNLDAYHRRQMEKEIKRGSKKVRVTERTVFNDEEQRRLEMLQERERQKEGQVEALKRSMQSGMAQAMKEQAQLKEEMAYQYKIGNFEAAAAIQRRLDPDVAM >CDP05719 pep chromosome:AUK_PRJEB4211_v1:5:10043357:10044769:1 gene:GSCOC_T00020969001 transcript:CDP05719 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSVGKALAEKAAGKMAELIVVDYMGRSDMDNRQSLKRNWQELSCKASDIEEEVHREEMSGKKKRKREVDSWLKNVKNLSPEIDAFETRGSSWRLPLKEDPVGKLQLQVKELVDQSRHFDGLVLDNYDNIGEPCLPTKLFGVKFEAAKQRIWPCLVTDDISSIGIYGMGGVGKTTLAKHIDYHLSEKPSYQVLWVTVSQDFSVTSLQDKIANVLGITLSNRDEEDARARILRGAFSKMERLVVLILDDVWEEFCLDRVGIPLHPNKCRLILTTRSREVCDRIKCQRKFDLQTLDTDEAWDLFKYRLGSETLLQGDLENIAKSIVEECGGLPLGIITVAGSMRGVSNICEWRNALEQLKTCSIGYHEMERDVFPILEWSFNRLDKYERNCFLYCCLYPEDSKIKKKELIDLFIWAELMSKRDSRPKAFDQGQTILNKLIKVCLLEETKDFKADDRVKMHDLVRDMAIRIT >CDP06172 pep chromosome:AUK_PRJEB4211_v1:5:24034661:24036241:1 gene:GSCOC_T00021572001 transcript:CDP06172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g42920, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42920) UniProtKB/Swiss-Prot;Acc:Q9SJG6] MLKCSCSYLPQSASISKFISDNPYLSMLETKCTTMKDLKLIHAQLIKTGLIQDKIAASRVLAFCATSPAGDVNYAYLVFSQMDNPNLFTWNTMIRGFSQSSSPETSLSLFVQMLESSLVQPERLTYPSVFKAYTQLGLAAEGAQLHGRIVKLGLVFDPFIRNTMLNMYANCGCLNEARKLFDEDEIVDVVAWNSMISGLAKYGEIDYSWRLFNKMPFRNDVSWNSMISGFVKNGKWMEALDLFGEMQEQSVEPSEYTLVSLLNASAFLGALDQGKWIHEYMMKKTSIKKNAIVITALINMYHKCGDIEMARQVFETAPGKGLSCWNSMIFGLGINGFETEAIQVFSRLESSGLAPDSVSFLSVLTACNHSGLVNEARNYFRLMKDKYEIEPLIQHYGCLVDALGRAGHLGEAEELIRSMPMCPDATIWGSLLSAAQSHGNIEMAKWAAMNLIQLDPDDSCAYLSMLNAYAASGYFEEAIHERILMKEKQIEKRPGCSSIEVDGEVHEFVAGGMLHTRVNEIYSLMD >CDP05753 pep chromosome:AUK_PRJEB4211_v1:5:27211679:27212095:1 gene:GSCOC_T00021027001 transcript:CDP05753 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIVKEPSTEWGGDDWGSLSTLPSEAQKTVFSGGAGQDNNRLYMKKKPTKMEGQSSLRGKQEAKSFPSSTTAGTEVKIKITKKQLEELLSKVDVQGKPVKEVLTQLLNNLSDHGNETHHPSSWRPALQSIPEAEVY >CDP05835 pep chromosome:AUK_PRJEB4211_v1:5:26611348:26615607:-1 gene:GSCOC_T00021130001 transcript:CDP05835 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLVKGILLLFPISILFLTQKIACATDTLTTTQFIKDGETIISAGGSFALGFFSPSNSNNRYVGIWYQKISIPTVVWVANREVPLVNTSGVLEVIKPGLLVLRNGTNSIIWSTNSSTSVPNPVAQLLETGNLVVKDENQDNLEMFLWQSFDYPTDTFLPGMRLGQNFVTGLEVYLSSWKSYEDPAPGGYTYHCDPTGYPQNFIKKDSSLEFRTGPWNGLGFSGVPSLAKNPIFSYQVVIDNKERWTWDNQTGSWLIYLSAPTDNCDSYGLCGAYGTCNTGNSATCGCLDKFSPKNPEKWANGDRSGGCSRRIPLDCKSGDGFLKYSSLKLPDTRNSSYNTNISLEECRTVCLKNCSCTAYSILDISNGGSGCLLWFGDLIDIKVMSQGGEDIYIRMAYSESVSLQSSVGKKGKKLAISLTLSLAMVLFALGLILYLQRRKKKLAKQKKEELSGHNFSMAYTDEHNKKDLELPLLELSRIIKATNNFSFQNKLGEGGFGPVYKGLLEDGQEVAVKRLSEYSMQGLDEFKNEAICIAKLQHRNLVKLLGCCIEGREKILIYEYMPNKSLDFFIFDQTGRYSLDWPMRFHIIQGIARGLLYLHQDSRLRIIHRDLKASNILLDADMNPKISDFGTARSFGGNETGANTNRVVGTHGYMPPEYAVDGIFSVKSDVFSFGVIVLEIVSGKRNRGFLHHDHQFNLLGHAWKLYKEGRELELVDLHLGDSYNSLQVLRSIQVGLLCVQHGPDDRPDMSSAIFMLGNDGVLPEAKHPGFFMERNVVNEHSSSTQTSSSRGEITMTLLEAR >CDP16385 pep chromosome:AUK_PRJEB4211_v1:5:3213962:3216688:-1 gene:GSCOC_T00018227001 transcript:CDP16385 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKHSKAEKKIAYDQKLCKLLDEYSHILIVGADNVGSNQLQNIRRGLRAESVILMGKNTMMKRSIRVHAENTGNKNFLSLIPLLVGNVGLIFTKGDLKEISEEVSKYKVGAPARVGLIAPDDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITAVELIKKGDKVGSSESALLSKLGVRPFSYGLIVQAVYENGSVFSPEVLDLTEDDLAVKFLVGLSMATSLSLEISYPALSAAPHMLINGYKNALAIAVETEYSFPQADEVKEYLKDPSKFAAAVSAAPAPAPGDGGGAAANEEKKPEPVEEEEEDEDLGLSLFD >CDP13709 pep chromosome:AUK_PRJEB4211_v1:5:28070841:28086055:1 gene:GSCOC_T00038745001 transcript:CDP13709 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRAVEVPPRIKYFINNVTSTPLENIEEPLKSFVWEFEKGDFHHWVDLFNHFDTFFEKYIKPRKDLQLDDNFLESDPPFPREAIIQILRVIRVILENCTNKHFYSSYEHHLSSLLASTDADVVEACLQTLAAFLKKTIGKYIIRDTSLRSKLFAFAQGWGGKEEGLGLVACAVQNGSDAVAHQLGCTLHFEFYAVNESLNELGTAEQHPQGLQIIHLPNVDTRKESDLELLNKLVVEYRVPPSLRFSLLTRLRFARAFSSLAMRQQYTCIRLYAFVVLVQACTDADDLVSFFNAEPEFINELVTLLSYEAAIPEKIRILSILSLVAICQDRARQPTVLTAVTSGGHRGILSSLMQKAIDSIANSSSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDMDPQHLHLVSMAVHVLEAFMDYSNPAAALFRDLGGLDDTISRLKVEVSHIENGSKQLSSSIVDLDSSESSSSQAVTESSSDLDNMQPLYSEALVAYHRRLLMKALLRAISLGTYAPGATARISGSEESLLPHCLSIIFRRAKDFGGGLFSLAATVMSDLIHKDPTCFGALEAAGLPAAFMDAIMDGVLCSSEAISCIPQCLDALCLNNNGLQAVKDHNALRCFVKIFTSRTYLRALTGDTPGSLSSGLDELMRHASQLRGPGVDMLIEILNNIAKLGSGPESASSADSPGSTGHVPMETDVEDKCMAVADGRDLCKVESSEQAVETSLDASIVNIETFLPDCIGNAARLLETILQNSDACRIFVEKKGIEAVLQLFVLPSMPLSVSVGQSISVAFKNFSPQHSVSLARAVCSFLRDHLKATVELLVSVEGMPLAQLEVAQRSKFLRCLSSLEGILSLANSLLKGTTTIISELGSADSDVFKDLGRVYRDTLWQVSLCCDTKADEKRNVEAEPESAEGGVSNAAGRESDDDANIVSYRYTNPVTVRTSSHSPWGLEREFISVVRSSEGFNRRSRHGLARLRGARAGRHLESLQIDPESAANGTEPATQDLKKKSPEVLVLETLTKLASSIRTFFTALVKGYTSPNRRRTETGSLSSASKIIGSALSKVFQEAFGFSGYSYSSGHEISLSVKCRYLGKLVDDMVALTFDGRRRTCYTVMINNFYVHGTFKEVLRTFEATSALLWTLPYSLPASGLDHEKNAEEGKLSHSSWLLDTLQSYCRLLEYFINSSLLLSPTSASQAQLLVQPVAVGLSIGLFPVPKDPEVFVRMLQSQVLDAIVPIWNHPLFPNCNPVFITSIISLITHVYSGVGDVKQNRSGLLGNANQRLVAPPPDEATIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHAEDPLLEDDELARALALSLGNSSEASKVDNTEKSVDVLAEEEQIKTPSVDDILAATMKLFHSSDSMAFQLTDLLVTLCNRNKGEDRDRVIPYLVKQLKQCPMEFSKDNSALCMVSHTLALILSEDENARQIAVQSGIVPLAIDILMNFKARTTSGNEILSPKCISALLLILDNLLQSRPRISRESTEEAAAGPIPDSSEEHVASPVLEDVAEKKSTPLLQDKESSTIFEKTFGKPAGFLTMEDCGNVLIIACDLINQHVPALVMQAVLQLCARLTKQHALALQFLENGGLAALFGLPRSCFFPGYDTLASAIIRHLIEDPQTLQTAMELEIRQTLSGNRHAGRVSVRTFLTSMAPVISRDPGVFMKAATAVCQLESSGGRTTIVLSKEKDREKEKEKQKASGVEAGIASNDSVRISDNKMHDGPAKCSKAHKKVPVNLTQVVDQLLEIVLTFPLKKSDEDFAAYENAMEVDESTTKIKGKSKVDETRNAETNSFSEKSAGLAKVTFVLKLLSDILLMYVHTAGVILRRGIELSQLRGSNQLDCSGQGGIIHHVLHRLLPLPIDKTAGPDEWKNKLSEKASYFLVVLSSRSGEGRRRVINELAKALSPFSNSESNSSSCSFLPDKKVLAFVDLVYAILSKNSSSSNLPGPGCSPDVAKSMIEEGMAQCLSGILQKLDLDHPDAPKIVNLILKSLESLTRAANASEQLAKSDCLNKKKAVGVSGRSDENINVTSASRTAESNGHGNSQQEATGAASSEQEPPESSQNGGDGGRDSMQSMEHEMRIEESANGNPPLELGLDYVREDMEEAGVMENRDQIGMAFHAENRVRWREALDGLDHLQVLGQPGTGGGLIDVAAEPFEGVNVDDLFGLRRTFGFERRRQINRNSFERSATDRTGLRHPLLSRPSPSSDLVSMWSATGNSSRDVEGFSTGNLDASHFYMFDAPVLPYDNAPSSLFGDRVGGSAPPPLADFSVGLESLRVPGRRVSGDGRWTDDGQPQAGGQAATVAQAIEELFISQMSNNAPNHLNERLSENTSAKQQADTLLVADSQVPLDGDSTVVTEQAGDPHQLSEPAANLISENTPEAQDIMETGEENGSAMEQLSVMQGSVAPSIPSAADSQSSNHALIITGSDMPDATLSHASSVNADVDMNAVFMEGDQSYQLLSTSDVNGEEPSYRQIEVVQEASQTDETNVNNDASNGNGIDPTFLEALPEDLRAEVLASQQAQSTQPPTYTPPAAEDIDPEFLAALPPDIQAEVLAQQRAQRVAQQAAGQPVEMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRFNHRRNGLGFDRHAVMDRGVGVTIGRRASSSITESLKLKELEGEPLLDADSLKALIRLLRLAQPLGKGLLQRLLLNLCAHSSTRAILVRLLLDALKPVNEGSVGGLTTSNSLRLYGCQSNVVYGRSQLFDGLPPLVLRRILEILTYLANNHSAVASLLFFFDSSLVPEALNADTSETKKDKGKEKMLEGDNQSNSLGCSEKGDISLILFLKLLSQPLFLRSIAHLEQVMGLIQAVVHTAVSKLECQSHSEEAAAGIQNPPSGGNIGDVEKDSSLLPVESNHQDDESNPARKSTPHCEKNMNICHIFLQLPQSDLHNLCSILGHEGLSDKIYMLAGEVLKKLASVAAAHRKFFISELSGLAQELSSSAVNELITLRNTHMLGLSAGSMAGAAVLRVLQTLSTFTSVSNDSNRDTMTIEEQEEHANMWKLNVALEPLWQELSECISAMESELTQSCLSSVMPNVNIGEHIQGSSSVSPPLPPGTQRLLPFIEAFFVLCEKLQAHNSFIHQDYADATAREVKESAGSPVLFSSKYSLDSHRRIDGTSTFTRFSEKHRRLLNAFVRQNPGLLEKSLSMLLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPTQDLKGRLNVHFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDVPDLTFCMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFMEGFNELVPKELISIFNDKELELLISGLPEIDLDDLKGNTEYTGYTAASCVVQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGAQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >CDP06088 pep chromosome:AUK_PRJEB4211_v1:5:24723144:24725567:-1 gene:GSCOC_T00021456001 transcript:CDP06088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 8, vacuolar [Source:Projected from Arabidopsis thaliana (AT1G17120) UniProtKB/Swiss-Prot;Acc:Q9SHH0] MNNNNASASHQNFSQEHLKSPLILPFAAAAKMEQPPEPTAKRSYWRFSKEDFFPEPTFENFSTYRSAVAKTPQRLKDRLLSRSSDDTELVVLKKQSENSMHQCLTWWDLMWLGFGSVVGSGIFSLTGQEIHDHAGPAIVLSYAISGLSALLSVFCYTEFSVDIPTAGGSFSFLRIELGDFLAYIAAGNILLEAIVGAAGLGRSWSSYFASVISSNPDLLRIKIDSFPEGFNLLDPLAVGILALCNGIAMSGSRRTSILTWVSSLISAGVIIFIIIVGFIHADTSNLVPFFPYGPKGMFTAAAVVYWSYTGFDMVATMAEETKKPSRDIPLGLVGSMSMITIIYCLMALALAMMVKYTEVDVNAAYSVAFEKIGMKWAKYLVGICALKGMTTSLLVGSMGQARYTTQIARSHMIPPYFALVHPKTRTPIYATLLVTTLSCILSLFSSLDVLSSVFSFSTLFIFMLMAVAVLVRRYYVKDVTPRNDHVKFLGCLFVIFGSSIGVTVFWCLNKGGWIGYVVTGVFWLIGTLGMAFLPRKRSPKVWGVPLVPWLPSLSIFINIFLIGSLGVVAIWRFVIASVVMLVYYVLVGVHTTYDVSHPEEPELKIEEGKANENQGTS >CDP14819 pep chromosome:AUK_PRJEB4211_v1:5:22264717:22272223:1 gene:GSCOC_T00042281001 transcript:CDP14819 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKRTSIPQGGEEHSAPHSSRPRRKQSRRIGGDQAAKMAADQEPQLQQQPVQEAEDQPPPQAPDDQEPVQPNPDEDSGGSGTDPEEFDEIIDVVRGALRTNVQCPICLGIIKKTRTVMGCLHRFCQECIDKSMRIGNNECPACRIHCSSRRSLRDDLDYDTLIEAIYPDLAEYELEENVFHEEEKARNKQVQASIAEISRRQSEALNKRRRTYRDADDLRVPRSRNATSRRRSNQRTDIEPDNVSERENDLQGNLDPSTSDRSHIEIKNRRPKRRASTQPSPTSPSAANPDSEYLKNPVEPVREDLVNPLGVAHNPEMLTWGRGGARSHTRHGGGSAVRGTRGSRMSKLMDQLESSSKIDYMTETPFALVSLDKERIPNLEKPWLCLQSSSSVNQLRKLVADEAKLQAEDVKMLLVKEAGVDKTSADYSHMIDRLDPLSRMVNWSNICLEILEGQETLAGLRCSTNTIYLILAYQCKKDNQQIQPSPL >CDP15986 pep chromosome:AUK_PRJEB4211_v1:5:23360205:23363428:-1 gene:GSCOC_T00016926001 transcript:CDP15986 gene_biotype:protein_coding transcript_biotype:protein_coding METPYGVSMFTVYSSCLLLLLVVAVSWKALNWVWFRPKKLEKRLKEQGFRGNPYKLLHGDFKEMSTMHTEAGSKNLNLSDDIVPRVIPQYLGAVKKYGKNTYLWFGPRPAVVIMDPNLIKAVTQKVDVFHKLGVNPLGRLLAQGLRTYEGEKWAKQRKLLNPAFHVEKLKLMLPAFYKSASEMVTKWENVVSPKGLAEVDVWPNLQALSSDAISRAAFGSNYEEGRRTFELQREQTEHVMQAARSVSINIPGFRFLPTKRNRRMKQIAREVNGSVREMINTRRKAMRAGEAGSDDLLGLMLQSNSQEIEKHGNKDFGMTTEEIVDECKLFYLAGQETTSAVLVWTMVLLCRYPEWQARAREEVLQQFGTKDPDFEGLNHLKIVTMILHEVLRLYPPPERFAEGVSHATKGQALAYFPFGWGPRTCIGQNFAMLQAKLAMSMILQRFSFELSPSYTHAPRTASLALIQPQHGAHLILQNT >CDP18718 pep chromosome:AUK_PRJEB4211_v1:5:23848551:23850452:-1 gene:GSCOC_T00002647001 transcript:CDP18718 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRSKLQASLLLWATVAVLLSQNLLVSAISAATVEDQKNYYPPDPNAGTPHTGSPSHNPPHSSGGGHGSTPSHGGGGGGGSYGTPSHGGGSYGTPPANCGTPPSEGPATPTPSTPSGGGGSYQPPPTTPSTPTPIVNPPIVNPPTIVSPPTTPGIDPGTPTIPGVTVPPAPPYGFDPNSPPFSCNYWRTHPTLIWGLLGWWGTVGQTLGATSIPGFGSNMSVLQALSNTRTDGFGALYREGTASFLNSMVHRNFPYTTQQVRDTFVGALSSNKAAAHQAQLFKLANEGRVKA >CDP05922 pep chromosome:AUK_PRJEB4211_v1:5:25974999:25981762:-1 gene:GSCOC_T00021242001 transcript:CDP05922 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATEGEQVAAGWPPWLAAVAGEAIRGWVPRRADSFEKLDKIGQGTYSNVYRARDLDQGKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGLKFTEPQVKCYMQQLLRGLDHCHRHGVLHRDIKGSNLLIDNNGILKIADFGLASFFDPHQSQPLTSRVVTLWYRPPELLLGATYYGSAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWRKSKLPHATIFKPQQPYKRCVADTFKDFPAPALALMEVLLSIDPADRGSASSALQSEFFITKPLPCDPSSLPKYPPSKEFDAKVRDEEARRHAAAGSKGHRYDQERKGTRESRAVPAPDANAELVLSMQKRQGQSNSKSRSEMFNSHQEEVASGFPIDPPRPSQAVDEASNDPQGNLHKRGSHSGPLVHRAAWAKAGKNMEDAPKISNGADLSAMSGLVAARRSVLSEERSEKSGPSQQEVPKLIARFPGSFKETSNSSMKQDQKNQVVTGSYQNEDGRTSNNDPVLIGYGSKGNKIHYSGPIIVPSGKMDQMMKDHDRQVQEAVRRARLDKAKLRKSQADGNQLSINSLFVSGR >CDP06067 pep chromosome:AUK_PRJEB4211_v1:5:24897372:24901460:1 gene:GSCOC_T00021426001 transcript:CDP06067 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWPNILSDKSLEVVKNAGILLLQREIPDSVNIQVAKAAQSAGVSVILDAGGVESPIPPELVAVVDILSPNETELARLTNMPTEDFEQIKQAVGKCHNVGVKQVLVKLGAKGSALFTEGEEPIRQPIISAPKVIDTTGAGDTFTAAFAVALAEGKSQKECLKFAAAAASLCVQVKGAIPSMPERKSVLQLLHSN >CDP16239 pep chromosome:AUK_PRJEB4211_v1:5:15351470:15355127:1 gene:GSCOC_T00017368001 transcript:CDP16239 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTAADPASPSPPPPQPPRSSFSFLASCRALKEEKYDAHSAILPLLQVSNFCCEWKKYLEEEARIMKDVPNLWKVGENVCNSGRWMPPVTGELRPDIW >CDP06170 pep chromosome:AUK_PRJEB4211_v1:5:24042441:24043253:1 gene:GSCOC_T00021569001 transcript:CDP06170 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGADVTPSVVASNGNMERSPELDGGSNHRPGVEARGLLATPTRPKAVKSLSSTGDGEANRRPRGRPAGSKNKPKPPIIITRDSANALRAHAMEVNSGCDVSESLLSFARRKQRGISVLNATGCVTNVTLRQPTSSGAIVTLHGRFEILSLLGSVLPPPAPQGVAGLTIYLAGPQGQVVGGGVVGALIASGPVVIMAASFMNATFDRLPLDDDEVVPAAAVQSQQYHNSRQRHHVDCSDIYGLPQNLLTNGTLPTEFYSWAPGRTLSKS >CDP06122 pep chromosome:AUK_PRJEB4211_v1:5:24401435:24408580:1 gene:GSCOC_T00021511001 transcript:CDP06122 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASLVNLGSGCTCTCSSGKFEGSFSLIKRVSYSRNYRASPRICVGKRWRYVSVCRFSVTTDYIADQGTSISLDSTFRGSNSDDADLVLKPAPKPQLKSDSRAENLLGIDSLDWDGSKLSSDSEDEKVNNDEEERNKVIESLGEALEKAEKLETSKKVSVSVSKSPANGGANKSDGNLVNSGSSSNKKSKTLKSVWRKGNPVGSVQKVVEPAKQRPKNDVAGKIASQTVSPLGTPKPSQNVRPRLQAKPSVAPPPVARKPVILKDVGAALKPSSANVTGSSSQTKERKPILIDKFSSKKPVVDPLIAQAVLAPPKPGKGRAPGKLKDGKLKDEKSKDEFRKRVGPSGGLRKRIVDADDISDEEIPELDVSIPGAATARKGRKWTKASRKAARLQAAKDAAPVRVEILEVGEEGMLTEELAHNLVISEGEIFRSLYSKGIKPDGVQTLSKDMVKMICQEYDVEVIDADPVKVEEMAKKKEIFDEDDVDKLEDRPPVLTIMGHVDHGKTTLLDYIRKSKVAASEAGGITQGIGAYKVQVPFDGKPQTCVFLDTPGHEAFGAMRARGARVTDIAVVVVAADDGIQPQTEEAIAHAKAAGVPIVIAINKIDKDGANPDRVMQELSSIGLMPEDWGGQTPMVKISALKGDNIDDLLETIMLVAELQELKANPQRNAKGTVIEAGLEKSKGSVATFIVQNGTLRRGDVVVCGEAFGKVRALFDDGGKRVDEAGPSIPVQVIGLNTVPSAGDEFEVVESLDLAREKAELWAESLRNERLSAKAGDGKITLYSLASAVSAGKQAGLDLHQLNLILKVDLQGSIEAVRRALQVLPQDNVTLKFLLQATGDVSTSDVDLAVASNAIIFGFNVKVPGSVKSYAENRGIEIRLYRVIYELIDDVRNAMEGLLEPVEEQEPIGTAEVRAVFKSGSGHAAGCMVMEGKVVKDCGIQVVRKGKEVYVGKLDSLRRVKELVKEVNAGLECGIGVDDFDGWAEGDVVEAFNSLQKKRTLEEASASMAAALEEVGIKL >CDP16383 pep chromosome:AUK_PRJEB4211_v1:5:3200400:3206233:1 gene:GSCOC_T00018224001 transcript:CDP16383 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSGSAAGMATSSSGGIRIGGGGIVAGGGSSDGGGGRRSWPSTTSVSASGKRIQREMAELNMDPPPDCSAGPKGDNLYHWVATLIGPSGTPYHGGIFFLDITFPSDYPFKPPKVVSKTRIYHCNIDSSGNVSLDILKDNWSPALTISKVLCALISIFTNPDTYKPLVPGIAHLYLTDKSKHDELAAEWTMRFAR >CDP06178 pep chromosome:AUK_PRJEB4211_v1:5:23942737:23950641:-1 gene:GSCOC_T00021583001 transcript:CDP06178 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLGNVLFPLAVLAAAGGTAAQIAIKFLLLRAKAFPLLEKHEEDFIQKVDYAVTNEDKISQLPDDLLSDILSRLDLREFFRMKILSRRWKNVCKFRCELRLDCLDVFRVNQSHDKCSRQDQFRFLKAVDNCLRLCSCQTITCLEISCCFLKRFTPDFIRWMQSIATLDIQELHLRFLCSSLPLCEHVKSSMIDLFPISFQHLSKAATLKHLHLRACVLQPRFTSQFNSLKSLYLDLVPMSNGELPRILSSCVNLQTLSVAYCKLSPKLCISGQCLQLKCLYVHSCPGLQEIVICAKNLNTFSCFAEGMVKFSLDVPNLEDARVHVRGPNAMPYMFGEVLKDSTKLKFLLFQIRTNEIRYVPTKMDMFSNLRTLSFVPIIDALADRLEIAPILVACPLLEKLRLQFLCVGINQKRGRKWPTEPLACLKEVDLDGFNASLNEIDFACYLARNAPVLERLTTRPTYRIYVEDFAVTTVPSVGYLRTLVTYLHGLVMLHAISNKLEVNILELP >CDP13650 pep chromosome:AUK_PRJEB4211_v1:5:28439533:28446325:1 gene:GSCOC_T00038669001 transcript:CDP13650 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYMAYSPSPSAPHSPHIGPAAASGLRSAASALAEQEKYLSELLAERHKLNPFMPVLPHSYRLLNQEILRVTTLLGNASVLDQSGLEHASPLASGGIYSHGGANVNRWASPFQSEISGLMQPPSAQNWLGSQASSSGLIVKRTIRVDIPVEQYPNFNFVGRLLGPRGNSLKRVEANTDCRVLIRGRGSIKDPTKEDMMRGKPGYEHLNEPLHILVEAELPVEVIDARLMQAREILEDLLKPVIGISNDEAFCPLQMQDESQDFYKKQQLRELAMINGTLREEGSQMSGSVSPFHNSLGMKRAKTRG >CDP06023 pep chromosome:AUK_PRJEB4211_v1:5:25264298:25269063:-1 gene:GSCOC_T00021369001 transcript:CDP06023 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGSSKLNVAIIHPDLGIGGAERLIVDAAVELASHGHKVHVFTSHHDKTRCFEETLSGIFSVTVYGSFLPRHIFYRLHAVCAYIRCIFVAVCMMLFWRSFDVILVDQVSVVIPLLKLKKSTKVVFYCHFPDLLLAQHTTLLRRIYRIPIDLLEEKTTGIADLILVNSKFTASTFARTFKGLNAFGIRPAVLYPAVNLDQFKEPNSIKLNFLSINRFERKKNIELAISAFAMLQNLACDELQGAILDGGYDKRLRENVDYLEELKDLAQREGISDRVNFITSCSTSERNALLSECLCVLYTPKDEHFGIVPLEAMASCKPVIACNSGGPVETIKNGVTGFLCDPSPRDFSLAMAKLIQKPEMAEGMGREARLHIAQSFSTKTFGNHLDQYLVDVARGKKE >CDP13707 pep chromosome:AUK_PRJEB4211_v1:5:28094309:28096948:1 gene:GSCOC_T00038741001 transcript:CDP13707 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPSKADAAAKQGVDPVKPQGKNWFCCMQSPHAES >CDP19219 pep chromosome:AUK_PRJEB4211_v1:5:2015201:2016252:-1 gene:GSCOC_T00009665001 transcript:CDP19219 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFNRAGGKSGNKGAEAVLTAIEMASLFEHHLNFRKQVLSTNIRALYCCNSSLLNLLSRQNRIPYSKDFVL >CDP15912 pep chromosome:AUK_PRJEB4211_v1:5:22849095:22853124:-1 gene:GSCOC_T00016824001 transcript:CDP15912 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGLAWIGNIYDKFEAMCLEMEEVMYEDAVKYVENQVQTVGASVKRFYSEVMKDLHSDSYVDPVKVAAADLSLNPYAHSKMILKANAKKDGRDTNWELSDESKVISGKSKTGLYRRPVASRKSNSMVNFMPPVTGPVAPFSENLRNLSSFSQMKKSCQMASGCVDVLSSPAGAEERSRIANEKLCKPMDDTSLPMSRASFNSPATAAKTIVSVVSAEQKQADSPSDGLSSESSAVGTCTNSTVVSQTQKTIATGTDSIKSVEEEVFMAHQERLDDYSVNAAKNDDTVDPEVEIIEPFNESKLEETCVLVEGDQPHFLPQGKGNHKSYKKKLREAFSSRMRLNKKEYEQLAARYTEQSSNQEGAERAMTDRPAESNTKSFPVHSSETEWELV >CDP10784 pep chromosome:AUK_PRJEB4211_v1:5:17801757:17811472:-1 gene:GSCOC_T00031650001 transcript:CDP10784 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRSRASKGKDIVQYIESSGDDEQGCTDSSDSDIYIISDCEDEVCMHMLDEHEGKDIPDINLEPTFEISDDDIQDKVSKRRKVGPVKRRGRRRNKNLDVVLVSVDRDRECNQMDTVFEDIADQSEEKSLKRKSKGHKRPILVWEVLQHEIERWIKENEANDVDLSNQNEILAETVEPPANLIIPLLRYQKEWLAWALKQEESTTRGGILADEMGMGKTAQAIALVVAKQEIQKAISEPSLVASAPSSSSTFPAVKGTLVICPLVAVVQWVSEIDRFTTKGSNKVLVYHGSNRGRNIDQFSEYDFVITTYSIVEAEYRRNVMPPKHKCQWCGKLLYEHKMSVHLKYFCGPDAIKTAKQSKQQRKKSNSKTTTSKQNLEFDEDDAFDSNGDMQKRGRKKGAKTSNGIGGSGNAFAYGIPADIGESSSTKKSILHSVKWERIILDEAHYIKDRRSSTTRAVFALQSLYKWALSGTPLQNRVGELYSLVRFLEIVPYSYYYCKDCDCRSLDYSTSTDCPHCPHKSVRHFCWWNRHVASPIKYAGNLGEGRKAMLLLKHRILKSIVLRRTKKGRAADLALPPRIVTLRRDELDIKEEDYYTSLYNESQAQFNTYIEANTLMNNYAHIFDLLTRLRQAVDHPYLVVYSSTAAGRGGSIRNAPSGEVCGLCHENAEDAVVTSCTHVFCKSCLIDFSASMGQISCPSCAKLLTVDFTGNKENEDQMPKTTIKGFKPSSIINRIRLDDFQTSTKIDALREEIRFMVERDGSAKGIVFSQFTSFLDLIHYSLQKSGVSCVQLDGSMSMTARDAAIKRFTEDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQFKPIRPFNLYPCRIVRFVIKDTVEERILKLQEKKELVFEGTVGGSSEALAKLTEADLRFLFLT >CDP06144 pep chromosome:AUK_PRJEB4211_v1:5:24234763:24238916:1 gene:GSCOC_T00021537001 transcript:CDP06144 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABB1a [Source:Projected from Arabidopsis thaliana (AT4G17160) UniProtKB/TrEMBL;Acc:A0A178UXW9] MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLSHRRAVSTEEGEQFAKENGLIFMECSAKTAQNVEEAFISTASTIYRKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGAAAQGGGCCS >CDP11774 pep chromosome:AUK_PRJEB4211_v1:5:18845927:18847394:-1 gene:GSCOC_T00035004001 transcript:CDP11774 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPGIVLLLAQLSLFIEQSAIPRITEVTFCSEIASSFSSGGARGYEYGPAFIPAVICRTFQVAGEKCLDHYVRLRTQKISVLLRTRFTTPNWVKHKEPREVHMFVDLLLQEFEAIRGEVKQILPPELSRKHRRTDSNGSTTSSYSNPLRDDRMNRSNTQRATSQLLESHLAKLFKQKMEIFMKIEFTQVW >CDP13749 pep chromosome:AUK_PRJEB4211_v1:5:27834698:27836557:-1 gene:GSCOC_T00038797001 transcript:CDP13749 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGSIPWLAALAGLALFVSGCNGGELSEIELFFNFIRALDPKTVLRIEWSRSLRQPCSNQWNVVVRCKMVSLFGRPVTEIRLQNLNLSGILDAETLCKLPILCVLIFAKNRIRGTVPDSIADCKSLMHLDLSNSLLTGSLPAVALSKLKNLKRFDISDNHYGSTDPQLNREARPPEDVSHGKQSSKNDDNPRKHWQSWMVVLAMGVTFVLLLIFFTKLKAVQAAKDTEITRELALSLSPPKSPHVEIVVEEKAEERHSELVFFIEKHERFGLEELLEASADLRWQGHCSSLYGVRLKNNATFAVKRLKKLQVSFEEFGQTMRKIGNLKHQNILSLVGYNSSKEKKLLIYRFQNNGSLLTLFNDYIEGKRNLPWKLRLSIAIGIARGLNFIYQWPEDGEIIPHGNIKPSNIMLDEKEEPLISEYGIAKFLVSNKARFFNSSSYAAPEKRLTEQADVYSFGVILLELLTGKFAEMQKNGLDLPKWVKAKVREEWTGEVFDKEIEKFEMYGFSLLNISLKCVSELPGDRPSISEVLEKIQEVVTAQEDISSSSTNSVESI >CDP16041 pep chromosome:AUK_PRJEB4211_v1:5:318088:327649:1 gene:GSCOC_T00017042001 transcript:CDP16041 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDSKDFPDASSSSSSSAAPASASSFSENALFDASQYAFFGKDIVEEVDLGGLEEEYDDSASIPVLGGFGARDDDLQEYHLFDKDEGSGLGSLSDMDDLATTFSKLNRVVTGPRHPGVIGDRGSGSFSRESSSAAEWVQESDFPEWRDHMSDSEFYPEGKRWSSQPQLSSGKPLYRTSSYPLQQQQLQRTSSYPQQQPQLQHFASEPIIVPKSSFTSFPPPGGRSEQASPSGYSPHMNISSLASGSHSPFSAPNVSHLSNSNMHLAGLPHGFPYGRNMAQLTPLNARSQNQWTGPGNLFDGGHSNMLNSFLYQQLPFQNGLLPPHLMSTQQQLQQARLHLSVQPSVAHFSALRSQLYNSFPSPAHLSKYGLANMKDSRPKSTKKGKHNVRFSQQGSDAGSQRSDSNWPQFRSKYMTADEIESILKMQHSAAHSNDPYVDDYYHQARLAKKAAELGSEHRFCPAQTRESSSRSRNSTESQPHLHVDALGRVSFSSVRRPQPLLEVDPPASACGDGTGEQKTSDKPLEQEPMLAARITIEDGLYLLLDVDDIDRLLQFSQPQDGGAQLRRRRQVLLEGLAASLQLVDPLGKSGSSAGLAPKDDIVFLRLVSLPKGRKLISRFIQLLFPGVELARIVCMAIFRHLRFLFGGLPSDSEAAETIINLAQTVSACVSGMDLNSLSACLAAVVCSSEQPPLRPLGSAAGDGASIILKAVLERATHLLTESKAAGNYSIPNPALWQASFDAFFGLLTKYCLSKYDSIVQSIFNQNQPDAEMNVPEAARAVSREMPVELLRASLPHTDERQRKLLLNFAQRSMPLTGFNAHGGSSGQINPESVKEGYHNALVSFLWPRLQHDIPPCLPSLFLSPPYLSLSLSSHFPSSLSLFPAYSDEHIVVINCVICCKILKGFRNEIFFIQGALFLCSHDPHEPCIDKGSQGMKKEESYSSAVPDSIAKMKVV >CDP13739 pep chromosome:AUK_PRJEB4211_v1:5:27870767:27875864:-1 gene:GSCOC_T00038787001 transcript:CDP13739 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSCIHCLVPLFFFLFQYFPLLFIFLQKIANFTVSLFSKKSYFGFLTPFLSYQHNKMDSIEAAKVVHDRIMKLEPEHDVARKIVGYIYLHDFPDQEMIRLALGPDQLIRSLVQKAKAALMLGSTPVPSPPISPNMNPTPISDHSLQYTTFSPASPRRFSSPAAFRVSASYWERQLATDQQPLSNGDFIPVTYPDSVPDDHRFQNQFLGMEEQLEPVNLRNLDFPGDFYYPEAAFCNANGGGSRVPLSQLEFPIKTCHYFNRGFCKHGSNCRYFHGQPFPDSYPRVFGPSSNENAYEDQLLSPGSLEKLEFEITELLKCRRGNPVSIASLPMIYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKGSIRLIDRPHGQHAVILAEDAPKYMESRGEKNDPGPIVSGSRQIYLTFPAESTFSEEDVYNYFSTFGPVQDVRIPCQQKRMFGFVTFASADTVKMILSKGNPHYVCGARVLVKPYREKSKLVDRKFPEKLESPMCYHLDHINMDSELQARWHSPRVRKIQGQEQEQQVIELERRMSQLKLARKPLASRSFFGHSMDELKLSEDCFKLSLPENYYHFLDVLSYGSTSDDNLKRRGTNFTDQGSNEGLNLPDSPFELPVASSISSVI >CDP11864 pep chromosome:AUK_PRJEB4211_v1:5:20213073:20215295:-1 gene:GSCOC_T00035136001 transcript:CDP11864 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKLSVSLEMEDHPLEDDIVEEREEIMASASGGFLQKRTAHFLKPAVPCNKASNLKLPYLSPKPWWLLHVEFNGWRDPQKKWEKWVDRMQSKHQSLWKKAGIFEAIMCSKYRFHRNKDLIFGLAERWCSETNTFVFPWGEATITLEDVMILGGFSVLGGPVLLPMEEKELVEIERSLKKVLANFVRMKRHSHLQWLNFFMKSVNRHEHEAFLSLWLSRFVFPGNEFDQIGEHVLPIAVHLSRGVKIALAPAVLASIYRDLSLLKESMAVSCSLEDESGEGSFLALGLWAPLQLVQLWAWERFLMFRPEPNFLSFGDPRAARWHDMKRSAIGNVRPIIDSSGDIFLWRPYTLAVDQWKFPEFYRETEQWVDIGRCLDEALESFARCLRACVLVGIDCEEPYQPHRVAMQFGLDQDIPGLVPRSIEILEVAWINYSKPLNHGASLYVPARLFESDLSIQYQQWWSKSVLVPTDSFQGVSRGQRSSRIQQRRNLLISRQTGLGGTGYRGNTIVAKERASIEAQIKLESNDSDVSPLHLRKGNHPPIVRIQNFPQKQIKTEGNDADVPPGFPPKNNHSPFVRTETSTKQYKQEVFNLNLPPAFHPELNSLSEQNQVPGRVLKWCNNCASSYCAAVDELKISSGSQIQGKSSSAAAANGNSGSLQPSWQPVGRNIYTGNPGNTGHAHDMSIHGNGSHSSCTFKFSSLILANMQAYMNRIGSEEGNKPHGSRIGDVCQLGGKPML >CDP13774 pep chromosome:AUK_PRJEB4211_v1:5:27654494:27654934:1 gene:GSCOC_T00038826001 transcript:CDP13774 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDQNKRLCHIRGKMHKKVWITTGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPDNVRLNEGIAGEMDDDDDGHGDISYLEFGDDDIDQI >CDP15917 pep chromosome:AUK_PRJEB4211_v1:5:22880446:22880893:-1 gene:GSCOC_T00016832001 transcript:CDP15917 gene_biotype:protein_coding transcript_biotype:protein_coding MQYRYIIQSNILDSYNFDHESELSPVLFTLMKSTEPFLDEYYLTDLERVMREAGFINVNTVLTDPRHRTVTATVPY >CDP05879 pep chromosome:AUK_PRJEB4211_v1:5:26293852:26297804:-1 gene:GSCOC_T00021190001 transcript:CDP05879 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSSALSSLVFLLLLLLTSVLDFVPNIIVEGGEENAEQAEDVKRSQFPDGFLFGVATSSYQVEGAVHEDGRSLSNWDVFAHTKGNIENGDNADIADDHYHRYLEDIEIMHSLGVDSYRFSVSWERILPRGKFGDVNPAGILFYNKIIDNLLLRGIEPFLTIHHHDLPQELMDRYGGWLSPLIQEDFVRLAEACFKHFGDRVRYWITINEPNLVSEFAYERGKYPPARCSPPFGNCSAGNSDVEPLIVMHNMLLAHAKAVKLYREQFQASRAKQGGTIGLVVSTHMYEPLTDDELDREAASRGLAFYVGWSFDPVVFGDYPPEMRRYHGSELPTFTSDERQLMRDSSDFLGLNHYATLYAKDCIHSSCTCFVQSCSPGGDRAIRGFVSTTGFRDGVAIGEPTGNPRFFVVPRGMEEAVDYIKERYHNKPMFITENGYSSPKGQQEQVDDLQHDIKRVAYHKSYLSALARAIRNGADVRGYFIWSLIDNFEWTDGYDIKFGLYAVDRVTMDRIPKSSAKWYRDFLHNISFNHQEPRIAIPFSSAADGFLPNIKNGTAEMA >CDP11318 pep chromosome:AUK_PRJEB4211_v1:5:13879969:13881987:-1 gene:GSCOC_T00033500001 transcript:CDP11318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat only 1 [Source:Projected from Arabidopsis thaliana (AT4G34940) UniProtKB/TrEMBL;Acc:Q9SW41] MADIVKQILAKPIQLADQVTKSADDTSSFRQECLEIKTKTEKLAGLLRQAARASSELYERPTRRIIYETEQVLDKALTLIFKCRANGLKRVFTIIPAAAFRKISQQLENSMGDVSWLLRVSTPADDRDDEYLGLPPIAANEPILCLIWEQIAILCSGTLEERADAATSLVSLARDNDRYGKLIIEEGGVAPLLKLAKEGRMEGQENAARAIGLLGRDPESVEQIVNAGVSSVFAKILKEGHMKVQVMVAWAISELAANHPDCQDHFAQNNIIRLLVSHLAFETIQEHSKYAIASKQAMSIHTVVMANSSSNNAIQIHRNNNNNINMKGPASATADDEYLHGQISHPLGSDTPSQMHNVITNTMAMKSTAFPKTQQAQDNNAVINHNNNLKHQSNQQHQHQHHHQARHVALAGSSIKGRELEDPATKTAMKAMSARALWHLCTGNISVCRSITESRALLCFAVLLEKGPDEVQYNSAMALMEITAVAEENADLRRSAFKPTAPAARAVIDQFLRIIEKEDQDLLIPSLKSIGNLARTFRATETRIIGPLVRLLDDREPEIICEAAIALTKFACTDNFLHVNHCKAIIEAGGAKHLIPLIYFGEQMVQIPSLILLCYIAMHVPENKELAQQDVLIVLEWATKQEALMHDPTVQHLVGEGINSLTFYQSGVLKFH >CDP14732 pep chromosome:AUK_PRJEB4211_v1:5:21427231:21431535:1 gene:GSCOC_T00042166001 transcript:CDP14732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G20860) UniProtKB/Swiss-Prot;Acc:Q9ZWT1] MNSRLTGLFTRSLKATKFFSTLNPSLPPQPSPQFARTLEGLRQRLAEESPTLADFIKLQSENEYSVEVGTKKKPLPKPKWMKESIPGGEKYTHIKKKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPTNVAEAIASWGLDYVVITSVDRDDLPDQGSGHFAETVQKLKALKPNMLIEALVPDFRGDPSCVEKVATSGLDVFAHNIETVEELQSVVRDHRANFEQSMDVLMKAKDYAPVGTLTKTSIMLGCGETPDQVVKTMEKVRAAGVDVMTFGQYMRPSKRHMSVSEYITPEAFEKYRVLGMEMGFRYVASGPMVRSSYKAGEYYIKSMIQSDRAASSS >CDP13796 pep chromosome:AUK_PRJEB4211_v1:5:27514283:27515281:1 gene:GSCOC_T00038856001 transcript:CDP13796 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLEKAARQKGIYFYGEAKKLVLVWFLLILQSHKRKRRRTSTKLSSRPCVGHCARSNLDFEEKMKKGIHPQRQWISYVTQSGRLINVMMTKIHQVGKVYHIRGRQERTEGIGQIAKFKRRYEQQKVEETEEK >CDP11325 pep chromosome:AUK_PRJEB4211_v1:5:14041146:14041331:-1 gene:GSCOC_T00033510001 transcript:CDP11325 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAVISQLKILMFPWLAYCHIWPTLELAKRLADRGFAMYICSTSSILDSSRKSSADYTL >CDP05691 pep chromosome:AUK_PRJEB4211_v1:5:12020036:12025900:-1 gene:GSCOC_T00020893001 transcript:CDP05691 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIEVQHNGGVSKSSNLFAGKERVDEAMGVLKIEDNGDDTGGAYGAVCFPDRPGEPDCIYYLRTGKCGYGSRCRFNHPSYSSQGHQNSGVLPEREGKPECRHYIRTGICKYGSACRYHHPQDRHNSDPLLLNIWGFPMRKDEKPCPYYMRTSTCKFGVMCKFDHPQPVSAANGLHVTGSTAYGSTTLAFMTSSSIPYVGGVSDLSIPKTNSIINPSVQGSQSYMPLYLSPFQCWSTYMGTMDGSSILDDLADQTFDGQTPLLSSSSHQPDRPDQPECHDFVNTGSCKYGADCKYYHPGNKVAPTTSNLLGPLGLPLRPGQAVCSYYAMHGLCRYGPTCKYDHPLAGYVGVPSLVFNTSVLPYQWNSPTVPSTETSPSLSSKLPDLSRSSEVTMQKGQNSNTEKLLHIGALPSTSQRPLEVQQDESD >CDP21838 pep chromosome:AUK_PRJEB4211_v1:5:22323408:22324683:1 gene:GSCOC_T00002390001 transcript:CDP21838 gene_biotype:protein_coding transcript_biotype:protein_coding MILFVTAIFVADATTDLPSDSASKLPVLQMGTSLAVSFAICKASTSLTRFFGIQNCDLPVITTIVVILATSFPGYFRPIAPTADAIAVVLMQVFFAVVGAGGSIWNVINTAPSIFMFAFVQVTVHLILILGLGKLFCVDLKLLLLASNANIGGPTTACGMAKAKAWDSLVVPGILAGIFGVSIATFLGIGFGILVLKHL >CDP13582 pep chromosome:AUK_PRJEB4211_v1:5:28940998:28943878:1 gene:GSCOC_T00038580001 transcript:CDP13582 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCDKSKVKKGPWSPAEDYRLISFIQKQGHANWRALPKQAGLLRCGKSCRLRWINYLRPDVKRGNFTPEEEQTIINLHNALGNKWSKIASHLPGRTDNEIKNVWNTHLKKRLVIGNDDANCHQKIETSNSFTSYSASPSPSSIDEQQEPCISPTHPNAPNLENFGQPAEETCSLDSCINSKASPEVRKTTTEEPKEMAQDGNTMEIPLEPDADFWNFLDGLDPMDKPTECQPQQEHGVSQSSNFGENQQEDVHEYKRWLRYLENELGLVGGNDGECPQKGAGAEQQQQQLVTDTSDDEMMSRPEFDPAAMAYFSMWPSSPQNFGI >CDP11893 pep chromosome:AUK_PRJEB4211_v1:5:20550122:20551702:1 gene:GSCOC_T00035178001 transcript:CDP11893 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPYIDKKGLKKGAWSEDEDNKLRAYVLRYGHWNWRQLPKFAGLSRCGKSCRLRWMNYLRPGLKRGNYTVEEEDLIIKLHEQLGNRWSAIAGKLPGRSDNEVKNFWHTRLRKRINQDPRSTKIIKQTSDQKTGHMHDANQIKLSAGISDSDSNEKREMEPNIAAAAPVADTKMSSLICDSSLSDSNSSVEYSFAESFESFWTQPFILDASHNSNVLALPPMEEEFTFLSPPMFIYDGMD >CDP13657 pep chromosome:AUK_PRJEB4211_v1:5:28384482:28387513:1 gene:GSCOC_T00038678001 transcript:CDP13657 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLEISGLKSDGHLQPEDRDLKNDIPLKRVGATGIINRDDTEGYYEETAAFLMTNSEPFEVEQSAVPDQEPSKRTGTVWTATAHVITGVIGAGVLSLAWSTAQLGWIAGPLSMIFFALITLFSTLILCECYLTPDPEKGPIRHPSLTGAVKFFLGEKRQKICALFVLESLYGTAVAYTITVTQSVSAIEKSNCYHKEGHDSSCGRINTNMLMLIFGGAQIVVSQIPDFHNMAWLSVVAAVMSFTYAFIGMALGLAKVIGNGMVKGSISGVSANSGAEKSWLVFQGIADIAFAYPYSVILLEIQDTLKSPPSEDQTMKKASRISIVTTTIFYLCCGCFGYAAFGDKTPGNLLTGFGFYEPYWLVDFANACIILHLVGGYQIYSQVIFAMVERWFAAKYPDSGLGRNLELKLPLCSRLEFNIFRLVFRTAYVVSVTGIAMLFPYFNQVLGVLGALNFWSLGIYFPVEIYMVQKSIGAWTRKWLLLEAFSLVCLVISVVGLIGSVEELIRARFR >CDP14815 pep chromosome:AUK_PRJEB4211_v1:5:22243225:22244634:-1 gene:GSCOC_T00042276001 transcript:CDP14815 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFHQPSVIQKMQGRFYVCAQPSPYMHRRNASIENFTVTGLHHVSQVSPVLIPSAKETGFSSFMVDFLMGGVSGAVSKTATAPIERVKLLIQNQEEMIKAGRLTERYKGITDCFARTVKDEGVLSLWRGNNTNVIRYFPTQALNFAFKDHFKKMFNFKKDKDGYWKWFAGNLASGALAGATSQLFVYSLDYARTRLTNDAKAVTKGGERQFNGLIDVYRKTIRSDGIAGLYRGFNTSLAGIIVYRGLYFGLYDSLKPVVLDSFFASFLLGWGVTNGAGLASYPFDTVRRRMMMTSGEAVKYKGSVDAFAQIIKNEGAKSLFRGAGANILRAIAGAGVLAGYDKLQLIYFGKKY >CDP15984 pep chromosome:AUK_PRJEB4211_v1:5:23330547:23332936:1 gene:GSCOC_T00016920001 transcript:CDP15984 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEAYSQPINLSDDLVPRVIPMVTKTIKKYGGNSFIWLGPYPAVIILDSESIREIMVKYNLFQKPYFHPLGKYLIQGLVASEGKKWAKHRKIINPAFHLEKLKLMLPAFHLCATEMLSKWEESVSSEGTCELDVWPHRQTLTSDAISRTAFVVIMRKEERSLSFKKNRQSRLKILTFLISKLIQSVSLLVYWFLPTKRNRRIKELHKKVGAAVREVIDERLEAMKAGESSDNDLLSILLDFGLSINDVIEECKQFYFAGQETTSSLLVWTSVLLSRHQKWQSQAREEVLQVFGRDESNFNGLNLLKVVTMILNEVLRLYTPLPVIDRTVQEETKVGKYTFPSGVRLMLPVLLLHYDVEIWGDDSEKFNPDRFHEGVSNATKGQASFFPFGWGPRICIGQTFAMIEAKLAMAMILQRFSFELSPSYTHAPYTIVTIQPQHGAHLILRKL >CDP05971 pep chromosome:AUK_PRJEB4211_v1:5:25631952:25637638:-1 gene:GSCOC_T00021305001 transcript:CDP05971 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFLFSQDLQAWHELLGDPPRSSGIKDTIFFTNMGQRNMHMIDLESDQRGQDYIHPESCVFYGAMTNYPQPNVHTVVPPPGNASSFNLHHPSEHHEVAFFYGMPQYNQYPAANVDLAGGSSSNLYNPFMIPPSAPRDFPVPVNHGTHDQFSFSSTHRMVGVPTDSYGRTNPYVDGVRGSFKRKTAEGLPWNIQYCDSLAGSSSSVAPVSARPLESDVTLTDTMPFVPPEYGGNETSAIIESGSSRSVRNTSGVVGPDSVLAHNSNHLIQGNFVSQPFQLPSNPWMGPDVNSVTWNQASSVPYLHGSMNGSSMEIGNMGLHGFQLPSNRSSTGFLHTPVPQGHPGIHHPLPPVQGARGQNINFSSQVVTSSRRFSANSTAVSPFQGVVEAGPRYMGPVPPTGFRLYRPHRREVTPEMNTRHRNLPNLRLLPEDGVAILEVPGFHDGGDPMDQHRDMRLDIDHMSYEELLALGEQIGSVGTGLSEDAITTNLKTRSFTLSATCLDLDVAACMNQEVDFCVICQTDYKDQEKVGTLDCGHEYHVDCIKKWLLVKNTCPICKSAALTAGRKDLRH >CDP13757 pep chromosome:AUK_PRJEB4211_v1:5:27782972:27785633:-1 gene:GSCOC_T00038805001 transcript:CDP13757 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNSPVQEARPVVRKFLARPQPEGVGAIVRRSIGRFELKYFDPFLALDEFSVSAPGGFPDHPHRGFETVTYMLQGAVTHEDFKGHKGTIKEGDLQWMTAGRGIVHSEMPAAQGTQRGLQLWINLCSRNKMVEPRYQEMMSKDIAEAAKDGVKVRVIAGEAMGITSPVYTRTPTMFLDFTLKPGAHLQQPVPASWNAFVYVLEGEGTFSHSRSSPVTAHNLLLLGSGDGLEAWNKSSKPLRFVLVGGEPLGEPVVQFGPFVMNTQEEIDQTIEDFENCTNGFESARHWRSASIRGLDY >CDP15864 pep chromosome:AUK_PRJEB4211_v1:5:22369671:22370175:-1 gene:GSCOC_T00016761001 transcript:CDP15864 gene_biotype:protein_coding transcript_biotype:protein_coding MAISATCVAARSIFRASPVRTAAARLASQAKPSRSSFTFPSRANILSNRISRSPVEMSACIESIQPYHTATASALMTSLLTVSRCGYGWIPEGRNYMYITILEFYFRRIIFLFLCVLSLFWRMHRFFCW >CDP18724 pep chromosome:AUK_PRJEB4211_v1:5:23765277:23774276:1 gene:GSCOC_T00002655001 transcript:CDP18724 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPSQDHQFDQSSSSQLRKTGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRGTIERYKKACADSSNPGSVSEANAQFYQQEASKLRKHIREIQNSNRHILGDGVDGLNFKELKNLEGKVEKAIGRIRTRKNELLFAEIELMHKREIELQNANTYLRAKIAENERAQQHMNLMPGSEYQPLASQPYDVRNFLPVNLLEPDQHYSRQDQTALQLV >CDP05961 pep chromosome:AUK_PRJEB4211_v1:5:25699446:25700786:1 gene:GSCOC_T00021289001 transcript:CDP05961 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWFATGHMTPFLHLSNKLAEKGHRISFLLPNKAKHQLEHLNLHPSLITFYTLTVPHVEGLPPGTETASDVPIFLTSLLATAMDNMRDRVRDLLQKLKPSIVFYDMAHWIPELASEIGFKTVNYNVVSAASIAIALVPSRKPVEDRTITGAELMEPPPGYPSSTVLLRRHEAQGLSFIFLEFGKDITFYDRITIAMKRSHAISIRTCRELEGSLCDYIAREYHKPVFLTGPVLPESEKEDLQEKWANWLKGFEPGTVVFCAFGSQVVLEKQQFQELVLGFELTGLPFLIALKPPFGTTSVEEALPEGFEGRIRGRGIVYGGWVQQPAILSHPSVGCFVNHCGFGSMWESLMSDCQIVLVPHLADQILNTRLLAEELKVAVEVERDNKSTWFSRESLCRAIKSAMDRDSEVGGLIRENHAKWKEVLASPTFMGDCIEKFIQDLQEL >CDP15737 pep chromosome:AUK_PRJEB4211_v1:5:5369015:5370538:1 gene:GSCOC_T00015771001 transcript:CDP15737 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPAAPTTHPDNQRAASWVNQHHPYVVHSPVDKPSENPGESVIHMFNSWSHRAETVAKNIWHNLKTGPSVSGTAWGKLNLTAKALTEGGFESHYKQIFATDPNERLKKTFACYLSTSTRPVAGTLYLSTVRVAFCSDRPLSFRAPSGQETWSYYKVMIPLANIANVNPVVMKQNPPEKYIQIVTVDRHEFWFMGVVNFEKASHHLLDSVSDFGARQNASTPIAS >CDP13615 pep chromosome:AUK_PRJEB4211_v1:5:28687828:28691965:-1 gene:GSCOC_T00038621001 transcript:CDP13615 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAKLPPGFRFHPRDEELICDYLMKRVACCDQPPLMIEVDLNKCEPWDIPAENACVGGKEYYFYSQRDRKYATGLRTNRATLSGYWKATGKDRPVLRKGTLVGMRKTLVFYQGRAPKGRKTDWVMHEFRLEGPFGPPKLSSLKEDWVLCRVFFKNRDNNIIAAKQGMDMNSYDGETSPSPLPPLMDPYFKFGQTQTNTNEHEQVPCFSIFSPDQANNNSQPFSYLAHMDVPSFSTKNMPTFNFGGIPDFGAYFSSSCREQKVIKAVLNQLTRMENASPNVKGSPSFGEASTSDSFLSEVALSTMWNNQY >CDP06042 pep chromosome:AUK_PRJEB4211_v1:5:25061290:25070058:-1 gene:GSCOC_T00021394001 transcript:CDP06042 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGRLGFSVASAAAIIFIFWPVKLASGATLVPDEVDVLREIAKTLGKTDWNFGVDPCSGESNWRNATAPKEFANAVTCDCFFQNSTTCHVVSIVLKSQSLPGELPPELVKLPYLREFDVTRNYLSGSIPPIWGTMQLVNISLNSNRISGRIPKELGNISTLANLTLDFNQLSGTIPPELGNLSRLQKLTLTSNNWTGELPRTLADLTALKIFWIGDCNFTGSIPNFIENWKSIENLVIHGSGLKGPIPPGIASLTNLTDLRISDLSGNDTTFPNLRGATNMRTLVLRSCNIVGQLPDYLGSLTKLKVLDLSFNKIDGPIPRSLDALSNTVFIYLTGNNFTGTIPGWMLNTGEYVDLSYNNFALANSGSTRCQAGNLNLFASFSKNNKSSTFSCSQSFRCQQDWSSLHINCGGKGVKIGDTNYDDDTQPGGPSKLYQSGTNWAFSSTGHFADDHHNLDSYINSSDPGILGNEMQLYSDARLSPLSLTYYGFCLVNGNYTVKLHFAEIMFTDDRTYSSLGRRVFDIYMQGKLIQKDFNIENAAGGVNKPVVLNFTAVVTDSTLEIRFYWAGKGTTDIPQKGVYGPLISGISVDPDFPVPSDHGLSVGAIVGIVLAVLVIISVALAILWWKFGPQLRQTLQLDFKGLDLQTGSFALRQIKYATNNFDSANIIGKGGFGSVYKGILADGTVIAVKQLSSKSNQGNREFLNEIGMISALQHPNLVKLYGCCIEGNQLLVVYEYMENNSLARALFGPEEHQLELDWPTRRKICLGIARGLAFLHEESRLKIVHRDIKATNVLLDKELNPKISDFGLARLHEEDDTHISTRVAGTFGYMAPEYAMRGYLTDKADVYSFGVVLLEIVSGKSNTGFKPKEEECFNLLDWAHLLKEEMKLIELVDSRLGTDINEREIILTIEIALLCTSVSPAGRPSMSTVVSMMEGRVKDQKLIPDSSVLGAKVREISDEKYLLTTFNESQLQSTSSDRPLTASPASAPFTASSASASDLYPINPKSDFLDNRL >CDP14797 pep chromosome:AUK_PRJEB4211_v1:5:22058039:22058618:1 gene:GSCOC_T00042256001 transcript:CDP14797 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFHPSTSNSKPEKRIKEKDRRHRFKNLYSQLYSLLPSDSSKEVLPVPDKIGEAINYIKSMERKLENYKQMKEKLLCGRRRYSSIKSSELMNVEVHDMGPDTDMILISGLKEPASFYGKIRLLHEEGFEVVNANFSNNGNSMLQVVHEKVSI >CDP05987 pep chromosome:AUK_PRJEB4211_v1:5:25499511:25501974:-1 gene:GSCOC_T00021326001 transcript:CDP05987 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL31 [Source:Projected from Arabidopsis thaliana (AT1G73140) UniProtKB/TrEMBL;Acc:A0A178WIY7] MTTQPIQYHRRMQLVFPVALASLLVLGAVRVALDSLKNNELYFLWQSRLAGSRGPGLPIIVSEDEVIEDGCNVFEGKWVWDNLSYPLYREDSCPYLVKQVTCLKNGRPDSLYQNWRWQPNGCNLPRFDALKLLEILRDKRLMFIGDSIQRGMFESMVCLVQSVIPEGMKSLKRIPPRKIFVIEEFNASIEYYWAPFIVESISDHATNHTVLKRMVRLDSIAKHSKEWEGVDILVFESYVWWMYKPLINATYGSPDDVREYNVTTAYKLAMETWANWIETGINPRNQKIFFMTMSPTHLWNWEWKAGSDGNCFNESHPIEGPYWGTGSNLDIMGSVKDVLGKLKVNVRLLNITQLSEYRKDGHTSVFGERKGKLLTKEQRSDPKTYADCIHWCLPGIPDVWNEIFYAVLLQDYRNNSGIA >CDP05787 pep chromosome:AUK_PRJEB4211_v1:5:26974218:26981003:-1 gene:GSCOC_T00021072001 transcript:CDP05787 gene_biotype:protein_coding transcript_biotype:protein_coding MISGSKLKSVDFYRKIPRDLTEASLSGAGLSIIAAFSMIFLFGMELNDYLTVSTSTSIIVDNSSDGDFLRIDFNIRHVRFPALSCEFASVDVSDVLGTNRLNITKTVRKYSIDSHLNPTGSEFQSGPILDAIKHDEEIDEEHGEGAVSLYGRNFDRIAKQHPILVVNFFAPWCYWSNRLKPSWEKAAKIIRDRYDPEMDGRILLAKVDCTEEVDLCRRHHIQGYPSIRIFRKGSDLRDEHGHHEHESYYGDRDTESLVQTMETLVASVSLESKRLALEDKSDKTKDPKRPAPSAGGCRVEGFVRVKKVPGNLVISARSPSHSFDFSQMNMSHVISHFSFGKKINPRERSDVKRLLPYLFRSYDRLSGLSYISNPSDSNENVTIEHYLQVVKTEVMTRSYKIVEEYEYTAHSSLAHSLDIPVAKFHFELSPMQVVITENSKSFSHFVTNVCAIIGGVFTVAGILDAILHNTMRLMKKVELGKNF >CDP05701 pep chromosome:AUK_PRJEB4211_v1:5:11144512:11156899:-1 gene:GSCOC_T00020924001 transcript:CDP05701 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGMNRFRGSGSSVYRYLTMPQMRRKVSNSWSAMQDTYFSTKDIFEKHKVVFTMATSIASVATAWIGYSLRHFHETRVDQRLERIESAMKNKYEIGDPEFKKLVSSSVSIPACIATAGTTLFIGYGLGWRGGRWYALRKVRREQMKEQMKILGQVNSRQWPFKFLKAKLPLLARIRPRRWPVRILRRPRLAESAGKTSET >CDP11775 pep chromosome:AUK_PRJEB4211_v1:5:18892094:18897644:1 gene:GSCOC_T00035007001 transcript:CDP11775 gene_biotype:protein_coding transcript_biotype:protein_coding MIGISPFYIFSGHLGNLELAAANLWNNGIQTFAYGVMLGMGSAVETLCGQAFGAQKLDMLGIYLQRSTILLSITAVCIMFVYIFCKPILLLLGESEKIASAAAVFTYGLIPHIFAYSVNFPIQKFLQAQSIVAPSAFISAGTVVLHAILSWVAIYKLGLGLLGASLVLSLSWWIIVIGQFIYILYSKRTRETWRGFSFQAFHGLWGFFKLSVASGVMLCLEAWYFQILVLLSGMLPNPEVSLDALSICRIFNHVRISGFYNLLALIMTILGWVFTVSIGFNAAASVRVGNELGAARPKAAAFSVTIVTSLSFVITLIIALVILALRHQISYLFTGGQVVSDAVSD >CDP05909 pep chromosome:AUK_PRJEB4211_v1:5:26062124:26064792:1 gene:GSCOC_T00021226001 transcript:CDP05909 gene_biotype:protein_coding transcript_biotype:protein_coding description:RMA3 [Source:Projected from Arabidopsis thaliana (AT4G27470) UniProtKB/TrEMBL;Acc:A0A178V4H3] MDSEQFFSDSSISFEFEEDESVKQKSNSVPAPTVASENLNGGFDCNICLDSAHDPVVTLCGHLYCWPCIYKWLHVQSSSLDSEERPKCPVCKTDISNSLLVPLYGRGTSSPESEARKCQLDLAIPQRPSAIGINALPSNASSIGSHSHQQLHANLFQSQPDSFQQPQYFQPQLQPFHHQPYFPHAFGGYASMGPSGFGNTAMTSYYSPTIVMFGEMVFPGMFASSGTSLFSSSHPATVNGSPRRMRRQELQVEKSMRNLLSFLVCCFVLCLLLF >CDP06103 pep chromosome:AUK_PRJEB4211_v1:5:24532346:24534703:-1 gene:GSCOC_T00021488001 transcript:CDP06103 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTMILPPSSREMMGLGLGCSPSSKTLAFRHPNTLHNYINCSLQTPSILHFPKQSSATTSSPPPSSSAKTAYPALFLPGSSAAIATPSKTPTGTATVPTPSPSISASPSPSRSPSTTPQWNVLQRAAAMALDAVETALTARELEQPLPKTADPRIQISGNFAPVPEQPVRHALPVTGKIPNSIQGVYVRNGANPLFEPAAGHHFFDGDGMIHALQFQNGSASYACRFTETQRLAQERSLGRPVFPKAIGELHGHSGIARLMLFYARGVFGLLDHSQGTGVANAGLVYFNNRLLAMSEDDLPYHVRITPSGDLKTVERYSFNGQLKSTMIAHPKLDPVTGELFALSYDVIQKPYLKYFRFSKAGEKSKDIEIPVPEPTMMHDFAITDNFVVIPDQQVVFKMSEMIRGGSPVVYDKEKVSRFGVLDKYAEDSSAIKWVEVPDCFCFHLWNAWEEPETDEIVVIGSCMTPPDSIFNECDEGLKSVLSEIRLNLKTGKSTRRAIISNPEDQVNLEAGMVNRNKLGRKTRYAYLAIAEPWPKVSGFAKVDLFTGEVRKFIYGDEKYGGEPLFLPRDPNCEAEDDGYILAFVHDEKEWKSELRIVNAMTLELEASVQLPSRVPYGFHGTFISAKDLASQA >CDP05990 pep chromosome:AUK_PRJEB4211_v1:5:25488466:25489997:-1 gene:GSCOC_T00021329001 transcript:CDP05990 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSGKHVCRPDDWQVAATLIAPADLCGHCFTISKRKGTSEPYRMAISNIPRWGQYSISHC >CDP05832 pep chromosome:AUK_PRJEB4211_v1:5:26633808:26637519:-1 gene:GSCOC_T00021126001 transcript:CDP05832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH123 [Source:Projected from Arabidopsis thaliana (AT3G20640) UniProtKB/Swiss-Prot;Acc:Q8GXT3] MADEFQVGSGSWWDSSTSSRTRFDRGSTAAASTSVNSSINMGSFGWPTEIVAARSSMDSSVSMSGGSMLFPPAVDHSSQKLQAHESSSAGGSGEGSMLPDPNLQIMGLALSSQTMDWNQAALFRGEKAQSSFRSMLQEDASTNFQQESGVTSSQDHQWRHKLYAGSPDDSSVITEFKPMNQRGLSLDQPQFSSNMSSSNSTITCQGLSPNFQVESASYAASPNSILQGLLVPDHHHQQQQQSMNYSYPTSYGMESTSNQLMPSNSWSKFPQFLRNSPPKQQPPHGQLHFSNNTPFWNASSTGMSDVRSSLFPPLQTQLPMPNFEEKSKNASEVRDLPVAKKSSSSSSAPSNKRPRNETPAPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHDQVNVLSTPYMKSGAPMQHQQNPDKSKDPEGPKQDLRSRGLCLVPVSSTFPVTHETAVDYWTPTFGGTFR >CDP15971 pep chromosome:AUK_PRJEB4211_v1:5:23233973:23235846:1 gene:GSCOC_T00016902001 transcript:CDP15971 gene_biotype:protein_coding transcript_biotype:protein_coding MICFAIVQDFAVLAFPLFIRHFVCCYCQCVNLQASNYKICLLKKLFNCIYDLNVLSYYKKLRKETANLLGQYVLVAH >CDP13760 pep chromosome:AUK_PRJEB4211_v1:5:27760492:27767231:1 gene:GSCOC_T00038809001 transcript:CDP13760 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLRSLFSLLLLLSSAAALVSARGSTRKWQTLSGSAPLAIARGGFSGLFPDSSYNAYVLAVGTSLSDLVLWCDVQLTKDAAGICFPDLKLDNASDVNVVFNNRASTYIVSGVSTRGWFSIDYNLKDLRLVSLKQRIYSRTNRFDGTQQILTVDDVATQVQPSALWLNVQHDAFYSQHNLSMRSYVISVSRSVVVNYISSPEVNFLKSIAARFRPSVTKLVFRFLGPADIEPSTNQTYSSLQKNLTFIKTFASGILVPKYYIWPVDSSSQYLLPHTSIVLDAHEAGLEVYASEFSSDVTFAYDYNYDPVAEYLSYIDNGNFSVDGVLSDFPITPSETIDCFSHIGKNETDQAKVLIISHEGASGTFPGCTDKAYDQAITDGADFIDCPVQMSQDGVPFCMGSINLIERTTAAQSAFRSFTKTIPDLNIQDGIFAFNLTWSQIQTLKPSMYNPNTIYALFRNPKAQNDGNFVQLSDFLAIASNATSVSGVLIGIEHAAYLAQNQGLDVVDAVLTTLNKSSYNKKVLIESNDSSVLVNIGKKSKYELVYSINETISDITNSTILEIMKFASSVLVTKGSVYPSEEAFLTGVTKVVPKVQALGLRVYVQLFSNEFVSQAWDFFSDPYVEINTYISNMTINGLVTDYPATAARYRKNRCLGYKQAPSYMLPVQPGSLISVMDRSLLPPTEAPKPVLKENDVTEPPLPHVVVRPPAGTNNRTTAQGPTMVANTFLSSLAILLVTFAVLIS >CDP17860 pep chromosome:AUK_PRJEB4211_v1:5:1535385:1547960:-1 gene:GSCOC_T00013179001 transcript:CDP17860 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDDEPPSKRVRISSCKLEGLSNGTSLREPASGASCDLMARPLASEGDDEVVGPKGVVRKVEFVRIIAEALYSLGYKKTGAHLEEESGIPLHSSAVNSFMQQVLEGKWDESVVTLHRIGLVDESIIKLASFVILEQKFFELLDGENFMDALKTLRTEIAPLCVNSDRVRELSSCIVSPSHCDLFRFSGKDTITVKPRSKLLEELQRLLPPTVMIPEKRLVHLVEQALDLQRDACKFHNSLVEEMSLLTDHQCGRDHIPSQTLQACSNFIIILNEHSDEVWFLQFSHNGQYLASSSNDRTVIIWEVKVDGRVSLKRKLAGHQKPVSFISWSPDDHQLLTCGVEEVVRRWDASTGECLHTYEKGGLGVVSCSWAPDGRTVFTGLADKSLIMWDIDGKELECWKGQRTSKMSDLGITSDGKQIVSVCKDTTILLFGWESKAEKIIEEDQTIISFALSRDGKSLLVSLLNEEIHLWNIEGHVRLLAKYKGHKRSRFVIRSCFGGLEQAFVASGSEDSQVYIWHRSSGELVMTLPGHSGTVNCVSWNPSNPHMLASASDDRTIRIWGLKEVKMKHNGAHTNGVHYCNGGS >CDP16234 pep chromosome:AUK_PRJEB4211_v1:5:15559938:15564444:-1 gene:GSCOC_T00017360001 transcript:CDP16234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive linolenate hydroperoxide lyase [Source:Projected from Arabidopsis thaliana (AT4G15440) UniProtKB/Swiss-Prot;Acc:B3LF83] MSAMMASMMGASPGTSSSSSIASTPSIPSQPSSLPVRKIPGSYGWPLLGPISDRLDYFWFQGPETFFKKRIEKNKSTVFRTNVPPTFPFFLDVNPNVIAVLDVKSFSHLFDMEIVEKANVLVGDWMPSTKYTGDMRVCAYLDTSEAKHTQLKKFSMDILKRSSAIWVKTMVSKLDTMWATIESDLSKSGSSNIIPPLQQFLFNFLTICITGADPSASPEISKAGFALIDTWLGLQLLPTVKVGILQPLEEIFLHSFQYPFWLVQGGYNKLVKFIEEESTEAIQRGEKEFNLTAKETVHNLLFMLGFNAYGGFSLFFLALVNALGDDQNPGIQEKLRNEVREKIGTDSSKLSFEAIKELELVQSFVYETLRLNPPVPLQYARARKDFKLTSYDSVYEIKKGELLCGYQPLVMKDSKVFDNPENFEPGRFTEEKGQELLNYLFWSNGPQTGSPSESNKQCAAKDYVTLTASLFLADMFQRYDSITISSGSITAVKNAN >CDP13807 pep chromosome:AUK_PRJEB4211_v1:5:27450904:27452644:1 gene:GSCOC_T00038867001 transcript:CDP13807 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDNRFRVAFVLSLLLPVFADVQDCSEEFREEAAKKINITSCRKQSLGAEFAWNYNNGTRRLEILFGAKLHGGMGWLAWGLNPGKHAGMVGTQALIGIKLSNGTSSHDTYSITGSTKLGCKLLPSKIDLEVGDFSFSFPEADFAVIQATIVLPREYNDSSTNVVWQVGNFADGKQPFMHPKNIWHLSSKETINLISGSVRGDGAHKLQKLRKVHGILNIVGWGTFLPVGAIIARYFRKFPVEWSQWFRLHVSCQILGYLLGTSGWIIGLWLGNASKYYGYHTHRVLGIVIFTFTTLQMFALRLKPKRNDDYRVYWNMYHHFLGYSLLAIISVNIFHGISILNVDHTWKWAYIGLLCLLGSIAVVLEIYTWIKFWKRESPGIHKTQDQDQKDLHRGNKNDSGASTASHS >CDP14796 pep chromosome:AUK_PRJEB4211_v1:5:22045668:22047369:1 gene:GSCOC_T00042255001 transcript:CDP14796 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAKFGDTNIYESRDVGEMIFHFFDEVEEFSSGSSSCGSSSTGFDGGEGVYHEAEEEDDEAAAAAAADTEGNGCKADAAKAFWDSQEELLLSTLRRTTSFESKIRKATKEALMELNAICVNCACQNRAVDGCRKCMLKEVCDRLQKDGFSCSICKSKWKSSQEIPAGEHTYLEVVQNTTSKGEVKVIIELNFKSEFMMARGCEEYNRLLERLPDVYVGKTERLKTLIKILCSASKKCMKENKMHMAPWRKHKYMQAKWLGKPEKQRPTSILPELHMKIRPSRPKASMLTFDFSDNLKTLLPATMIKVL >CDP05773 pep chromosome:AUK_PRJEB4211_v1:5:27065229:27068118:-1 gene:GSCOC_T00021054001 transcript:CDP05773 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTLEEIRGGGGSIKVGTMGTIGALMSRELENVKPELQVNAPADCDFLPIGTSKQKTFKSRPQVNKASSSGHTNHGNPENIRKTKHYTRKSQIPMLDSDNISIDGTPIRQKSDKRLSYMAEVVDIKCGSQDRAWASPITNRLKKLGFSKLSESFI >CDP16209 pep chromosome:AUK_PRJEB4211_v1:5:16344427:16345704:1 gene:GSCOC_T00017315001 transcript:CDP16209 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPHIISTVLVVVTTLLVSCSTSVFVQANNYGGFTADLIHRDAPKSPFYDPLLTPSQRLKNTFRRSLDRANQFASASVIVSGGEYLMKISYGTPPFETQAVVNTADASTWTQCLPCTQCSQEKFPRFDPQNSSTYESVSCHSRTCDQFSSSMCTQDSSACALFVLYGDDSNVYGELARETITLEGSAGDRVSFPDFIFGCGQGGDFPKEGSGIVGLGFQHQSLISQLNSSLNGKFSYCFGPSNDVSKPGKIGFGDNPELLGREGVVSTPLHFDFTYSLRLEAISVADTRLEYHYDTPSSTSGNIFIDSGTPYTFLPQELYSDFKAAIQREIGSQNIVVDQEHDFTLCYSSLLDSNIPNVTLHFTGADLKLNPENIFDGTSSSPVCLGFAPNDIGILGNIAQANSWVQYDLGNKIISFKPADCTK >CDP11340 pep chromosome:AUK_PRJEB4211_v1:5:14548480:14562149:-1 gene:GSCOC_T00033540001 transcript:CDP11340 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLSPLVLLVLFFSISLQTCQANSQCIKGCDLALANYYVWEGLTTAFLSQLFSIPVSTINSWNPVNSPDQYIVQAKTRINIPFTCDCINGDFLAHIFSYNVSQGDTYDLIANTYYSNLTSSTGLQRWNRYPGNAIPVNSVLNVTVNCSCGDKAISKDYGLFVTWPIRDGDTLDSVAAANNLSADVVRRYNPTASFNEEGHQLFIPGKDESGSYRPLSSGSGLSAGAIAGISVAVPGLLFFAACLYFVVDRMRKALKISPKDQFKRAASSKASNSVGVTKGASPGLAGIVVEKSVEFSYEELATATNDFSLANKIGEGGFGSVYYAELRGEKAAIKKMDLKATREFLAELRVLTCVHHQNLVRLIGYCVEGSLFLVYEYVENGNLSQHLRGSGRDTLSWSTRVQIAVDSARGLEYIHEHTIPPYVHRDIKSANILIDNDLHAKVADFGLTKLAEVGGPSLHSRLVGTFGYMAPEYANRGTVSPKVDVYAFGVMLYELISAKAAIVDGGSATGPKGLVTLFEQVLPDPNDDLRHLVDPRLGDNYPLKSVRKMFQLAKLCTLKKPQLRPSMKSVIITLMTLSSSIEESMIGSSSGK >CDP11885 pep chromosome:AUK_PRJEB4211_v1:5:20477445:20491266:-1 gene:GSCOC_T00035168001 transcript:CDP11885 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRSKYTLYFDIDCVHAYKPQEVLYKMEFVWGATIECPSNWQLPAKNGEFAGEFENHGLALPEGFLDRTALIGKVVGWIPQMAILSHPAVGGFVSHYGWNSILESTWCGVPIAAWPVFGEQQFNAFQLVKELGMAVEISSDFSGANEHQPLAKAEQIEKGIREVMDGENDVRKEVKEFTEKCRQAMKTTIPSPLNLLLLYQMEKFKLLMMVSPLMGHLAQALELAKLMLARNNQLSITALIMELPIDPHGTARIQSLVAATNVEGLHFHHLPTPEDTSDWNITHRGLFTFKLLEYQKPHVREIASKTQKFSGFLIDFINTTMIDVADELGVPTYLFFTSGAAFLGLMLHFQTLEDEQNRGISDLVKGVSHLILPSFAKPVPIGVLPAIATHKEYWSTFVLKWTPVGGFVSHCGWNSTLESIFCGVPIATWPVQAEQQLNAFQLVKELGIAVEISLDYNQQRENQALVRAEQVEKGIREIMDVENEVRIRPCCRTPAVGGFVSHCGWNSTLESVWCGVPMATWPLQAARGATAECFSGGERVGNCIENVIGMGGCGVVYKGTLESGQKFLVYEFVPRGSLADHLEGIGKKQPLDWKTRVNIALGVAKGLHNLHCSACPPVIHRDIKSSNILLDNQLQAKLGDFGLARCGPPKVLDKSHLTFKCDIYSFGVVLLELIASRVDLNTDRQTT >CDP11350 pep chromosome:AUK_PRJEB4211_v1:5:14703339:14705525:-1 gene:GSCOC_T00033555001 transcript:CDP11350 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHQNHPSADNPESHNQEDEAKNKTLQEEEELMMDESSQEEIEEIIGYKFHDPSLLQQAFTDSSFKENCLSYERLEYIGDSVLNLLMAKEHYFLYPELLPGNLTKLRAANVNTEKLARVAVKCNLHKFLRHKKPLLSRQIQEFSEAISAYPLHSTGLVAAPKVLADIVESLIGAIFLDSNSSLDTTWQVVKNLLQPMITLTTIKTHPVTKLHEICQKNGLKVEFVDMWKETGEIEVYVGHEYAGTGKYCSKRLIALNRAAANAYNHILKKLSTDGLI >CDP06045 pep chromosome:AUK_PRJEB4211_v1:5:25041862:25046570:-1 gene:GSCOC_T00021397001 transcript:CDP06045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 41 [Source:Projected from Arabidopsis thaliana (AT3G14850) UniProtKB/Swiss-Prot;Acc:F4IWA8] MNFVWAQMLLIPLLLHLVLELHQANAGSCNFFQGSWVPDSSYPLYNYTACPFIQKEFNCLKNARPDQMYLKYRWQPQDCQLARFDAREFLLKFKGQSVMFVGDSLSRNQWQSLICMLYTSVGRTKYNETRVGDVSIFTFMDFGVKIMLDRNVYLVDVVMEKTGRILNLDSIEGGKLWKGLDVIIFNTWHWWNRRGEGQPWDYIRVGNQTFKDMDRMVAFEMALNTWAKWVDDNIDPAKTTVFFQGISPSHYNGTDWNEPTAKSCVRQEEPVVGSRYPAGLPPALTVLKRVLNTIKKPVQLLDITNLSLLRKDGHPSIYGLGAATGGMDCSHWCLPGVPDTWNLLLYNLLIL >CDP06087 pep chromosome:AUK_PRJEB4211_v1:5:24731852:24733939:1 gene:GSCOC_T00021454001 transcript:CDP06087 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFSWCCGKTKCDLPCQRGFRGKRDMIVLVRTCLSQGKLIYQLCFWSLLCFPWLHISC >CDP13643 pep chromosome:AUK_PRJEB4211_v1:5:28491124:28496326:1 gene:GSCOC_T00038658001 transcript:CDP13643 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHQGDDADYMADEYEMEDVDDDMDDEFRGRDIGGSDSDVDEYDYMNNRMQDTSAAQARRGKDIQGIPWERLSITREKYRQTRLEQYKNYENIPQSGEGSEKECKSTDKGSTFYEFRRNSRSVKSTILHFQLRNLVWATSKHDVYFMSHFSVIHWSTLTCNKSEVLNVSGHVAPCEKHPGSLLEGFTQTQVSTLAVKDNLLVAGGFQGELICKFLDRPGVSFCSRTTYDDNAITNAVDIYTTPSGAVHFTASNNDCGVRDFDMEKFQLSKHFRFDWPVNHTSLSPDGKLLIIVGDHPKGILVDSRSGKAVANLCGHLDYSFASSWHPDGITFATGNQDKTCRIWDMRYLSKCVSALKGNLGAIRSIRYSSDGRFMAMAEPADFVHVFDVKSGYEKEQEIDFFGEISGMSFSPDTESLFVGVWDRTYGSLLEFGRRRNYSYLDTII >CDP19217 pep chromosome:AUK_PRJEB4211_v1:5:1940247:1942103:1 gene:GSCOC_T00009661001 transcript:CDP19217 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPGSVILVTTRSHRVATAVGSTDTHQMTQMSDSDCWLIMQRRAFAGKSGDLCKKVERIGQQIAKKCKGLPLAAKTIGSLLRFKDTVQQWQNMHDIVHDFAQFLTKNECHALDGTGRNSSSERPRHLTILKGIEEEMFSSRVVDFERLRSFLTFFEIGRVVVPQNLFCRLKCVRTLTLCGCGLAEIPAEITRLIHLRYLDLSMNPFVTLSEAVCDLYYLETLDIIGYLSEDDEEVAVSIMPSLEELEIYYCEKLETLPHRILSKISSLKNLNIRGCSNLTDKTIIICSGMIFHTFFFMYLSSLKSNNYDL >CDP06150 pep chromosome:AUK_PRJEB4211_v1:5:24175852:24180612:-1 gene:GSCOC_T00021545001 transcript:CDP06150 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQVNSQDEEVVLAEEMSHVRLITLNRPRQLNVISSRVVSLLAQYLEKWEKDDNAELILIKASGAGRAFSAGGDLRMFYDGRTSRDSCLEVVYRMYWLCYHIHTYKKTQVALVQGISMGGGASLMVPLKFSVVTEKAVFATPEASIGFHTDCGFSYMLSRLPGHLGEYLALTGARLSGKELVAAGLATHFVPSEKLPELEKRLVSLNNGAETAVKSTIEEFSSDVQIDEESVLKKQKMIDDCFSKDSVEEIIKSLEAEVTKEGNGWIVPVLKGLKRSSPTGLKITLRSIREGRKQSLPECLKKEFRLTMNILRTAISGDVYEGIRALTIDKDNSPKWDPSTFDRVGDERVNVVFQPFEEDLELKIPEREDCRWEGKYENSVYAVPK >CDP16485 pep chromosome:AUK_PRJEB4211_v1:5:7922476:7923478:1 gene:GSCOC_T00018430001 transcript:CDP16485 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHIKITKWHGIVLSFLDHSIIPGSLHSKKVAVKKFQFGSIIGGNGLDLNQKFYLQMFSWDFKPI >CDP17844 pep chromosome:AUK_PRJEB4211_v1:5:1212031:1214131:-1 gene:GSCOC_T00013157001 transcript:CDP17844 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPSGHFRKHWQNYVKTWFNQPARKTRRRNARQKKAAKIFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFTLEELKAAGIPKKLGPTIGVSVDHRRKNRSLEGFQANVQRLKTYKAKLVVFPRRARKFKAGDSAPEELATATQVQGAYLPIVCEKPCVELVKVTEEMKSFKAYDKLRLERTNERHIGARLKKAAEAEKEEKK >CDP16066 pep chromosome:AUK_PRJEB4211_v1:5:790619:793375:-1 gene:GSCOC_T00017075001 transcript:CDP16066 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLFFWSRSLPNDEVCIFFLLDIVFKVFILSFTQYNFQDCICICLKVGNWPP >CDP13633 pep chromosome:AUK_PRJEB4211_v1:5:28560570:28566897:1 gene:GSCOC_T00038648001 transcript:CDP13633 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEYGDRNVLLRSNSSASEDDLEAQPTPRSGNKGIKDLLKRLDRGFSNRRFISFNRRSFDGNRHHQLQQNSVHSHSHSDPGVSGDAGEEEMLGDFAPPEWALLLIGCLLGLATGLCVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVLGGVIVGMLHGLLDILGQIQQSTSQGQGFDVLAGIFPTVKALQAAITLGTGCSLGPEGPSVDIGKSCAYGCSVMMENNKERRIALVAAGAAAGISSGFNAPVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNAVLGEKQAFTVPTYELKSAAELPLYLILGMLCGVVSVALTYLVAWFTKAFQFMKDRFGIPDVVCPAIGGLGAGIIALRYPGILYWGFTNVDEILHTGKTASAPGIWLLAQLSAAKVVATALCKGSHLVGGLYAPSLMIGAAVGAVFGGSAGELINSAMPGNAAIAQSQAYALVGMAATLASVCSVPLTSILLLFELTKDYRILLPLMGAVGLAIWVPSVTNESKDTELSDSKSLARNYSVISPNEDKNEEGSWRQTDEGKEMELSVVGNPSNCAGMDGEFLLEDLKVSQAMSNDFPKVPPNQTIKEALKCMHDGQQNCVLIVDAENYLEGILTYGDIKRFLFKNFGDASNSNSSLQDVNTCSVSSICTRGISYRGRHCGLLTCYPDTDLSIAKQLMEAKGIKQLPVVKRGADFQKERKRRVLAVLLYDSIQNALRDEIDRRRSVGQQTLENNQ >CDP05903 pep chromosome:AUK_PRJEB4211_v1:5:26104580:26107112:1 gene:GSCOC_T00021220001 transcript:CDP05903 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAKVIGEVQALIIFPVIPYAILAIFYMFWFSAALNLFSSGRVIQNDCSTNCCAYDLKSKKVSCDNCCGYSIHYTPHLTAAILFHLFGCYWATQFFVACSSTVIAGSVASYYWTRGEAQQEIPFLPVFASMKRLIRYSLGSVALGSLIVSFVESVRFILEALRRKLKVANSMPESWVGRVLFRSSQFCLRCIGWTIKSVNHNAYIMIAITGKGFFKASEIATELIISNILRIGKVNVIGDVILFLGKLCVSLSSALFAFLMLDTNKYKSAHNKISSPIFPVLVCWSLGYVVATLFFAVVEMSIDTIILSFCQDSEEHQGTAQYAPPLLIETLSDQNEMQRLTQ >CDP16211 pep chromosome:AUK_PRJEB4211_v1:5:16317630:16325844:-1 gene:GSCOC_T00017318001 transcript:CDP16211 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLSFHTTLTIPAGLNFLGYSCLVATYDPNSTAGSIYGTNLNLTLDILYSNASRTDINGFYNFSTGNDPSNTTVYGLFLCRGDVNTDVCKVCVRNASIQALQVCPYQKVAIVWYDQCFLRFSDQTIFSKAAFTPGYSSYNRLNITGLASYQFGMNLGNLLNQPANQAADDTWGKKFAAQEGNVSLQSADQPADQARNLYTLAECTPDLSADDCKSCLRKAIEEIPTYGFFGSIPIGGRLLFPSCSIRYELYRFYNTASSAPPLSPKLHVPASPPPNSAPPPPPPPNSSKAFPIAVPVVVVSVVLFIMALVFLKRRSRKSYVSMPLEATVAEILTAESLQYSLTEIQIATNNFSVDNKIGEGGFGRVYKGVLGNGQEIAVKRLSRSSGQGAEEFRNEIVVVAKLQHRNLVRLLGFCLEGEEKILIYEFVPNKSLDYFLFDPENKRSLNWSRRYNIIGGIAKGLLYLHEDSRLRIVHRDLKVSNILLDGNMSPKIADFGMAKICGVDQYEGNTNRIAGTVGYMAPEYTRWGQFSLKSDVFSFGVVILEIVTGKKSSDFHQSRDSEDLLSYAWNHWRRGQTLALLDSSIGDSYARNEVIQCIQVGLLCVEEYVIKRPTMASVVSMLNPSSVPLRTPHCPAVFRSCGSESRVDDLKVDQSNTQRISAPSSVNEASITEQYPR >CDP11784 pep chromosome:AUK_PRJEB4211_v1:5:19030789:19036795:1 gene:GSCOC_T00035018001 transcript:CDP11784 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVLMLVIFLLLVLLDNSVDCQRPSVVKIGAVFTFNSVIGRAAKAAMELAVSDINADPRILNGTQLKLIKEDAECNVFLGSMRAFQVLDNEVVAIIGPESSPIAHMISQIANGLHVPLVSYAATDPTLSALQFPYFLRSTHSDFYQMEAVADFINFYGWKEVIAIFIDNDYGRNGISVLGDELTEKMAKISYKLALPSQFDVNFITDVLNNSKYLGPRVYVVHINPDPMLRFFHIAQQLNMMTSEYVWLATDWLSSTLDSLSKDNQDLFSILEGVIVFRPHTPTTTLKKAFVSRWRKLQQRDTVHSGLNVYGLYAYDTVWAVAHAIDKILKQHINISFSSSGTLQDAKTNRMQLGKFKVFNGGELLLKILSETNLTGLTGQIRFNADRNIIITGYEVVNIVRMVTRVVSYWSNHTGFSLLPPEFRKENQTSYSHPDQKIDNVTWPGGMTKKPRGWVIANGGRPLRIGIPKRASFTEFVTELDKSHNVQGYCINLFDEARQLVPYDVPSTFVPFGNGLSNPNYDALVKMVADGVFDAAIGDIAIVTNRTRNADFTQPFAATGLVIVVPIDNSKSTPWVFVKPFALKLWAVIAISFVVIAVVIWILEHRVNDEFRGPPKQQLRTMFLFSFSTLFKTNQEKTISPLSRMVMVVWLFLLMVVTSSYTASLTSILTVQQLSSSTITGIDSLIASDWPIGYQVGSFAYSYLKDNLNIRKSRLVSLGSPEEYEKALRQGPKSGGVAAIVDELPYIELFLSNRTDFIIVGQPFTKGGWGFAFQKDSPLAVDMSTAILKLAESGKLQEIHHKWFCKPECPAQRIHNPDPNQLHLRSFLELFILCGVFSLGALLLFLFRVARQYARYQKKQMETPTSSTTLSSNVHCSKVLHNFFDFIDEKEEAIKRYFNQHNNSQSQVS >CDP13588 pep chromosome:AUK_PRJEB4211_v1:5:28879103:28882249:-1 gene:GSCOC_T00038588001 transcript:CDP13588 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEGGRGSPDFYLPDEILSVIPTDPYDQLDLARKITSMAIASRVTKLESELGRLRQKLYDKDRLVVDLEDKLSQLQHAYQETDLRLKIALDDNMKLTKERDSLALAAKKLSRDLAKLETFKRQLMQSLNDDNSSQADTVDIGTYDQSVPKACPAKDEEVNGYTTQHYSSSGTDTASISGDASKQSGLKFSMTPYLSPRLTPTATPKIVSASVSPGRYSAAGSPQKTSGATSPTKSQYEMRGSLSSWYPSSQQSSAANSPPRGRPMPARTPRIDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQSREETLRKAEQIFGMDNKDLYLSFQGLLNRNVH >CDP13616 pep chromosome:AUK_PRJEB4211_v1:5:28682559:28684712:1 gene:GSCOC_T00038622001 transcript:CDP13616 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETPEGHLVESMSAEEEASPNKNDGNDGTSSEESSLGRCLSTLISTIIQDFDNRAEHTLRSQDQLSFALHRLTAELDQLLDDAPLPFVMQHAARISGVRKRFTSLNSVLKSIQRRIDNIDRTLSAASLQEKVLTESGGTH >CDP11879 pep chromosome:AUK_PRJEB4211_v1:5:20424827:20425675:-1 gene:GSCOC_T00035157001 transcript:CDP11879 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVVEAFDVPCYVFFTCGAASLGLMFHFETLEDEQTKEISDLVQVEMKLVIPSLTNNVPSSVLPIFTTRKEIWRCWFLKAIREYRRAKGILVNTFADLEPHAINSFLMEYSYGTSRLPSIFPIGPVLNRSQIQTQSTNDQSEMMEWMDIQSEKSVVFLCFGSMGSFKLDQVKEIVVGLEKVATDFFGFLGNSPLKMENLQGNLKIMDLLCPKDSWNERLLIGKVVGWIPQMAILSHPAVGGFVSHCGGYLVRCANCCMAIVWKATIQRIPIGERVRNGCGN >CDP11909 pep chromosome:AUK_PRJEB4211_v1:5:20771572:20777169:-1 gene:GSCOC_T00035214001 transcript:CDP11909 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSVSTFSGIKRQSFDSFKKISARNLSSNFEAFKLRLSFFYGLDSVNISYSNSEKSRCFAVHCMSTARDVPTVSETKLNFLKAYKRPIPSIYGTVLQELLVQQHLMRYKRTYKYDAVFALGFVTVYDRLMEGYPSDEDREAIFQAYIKALKEEPEKYRQVSDAQKLEEWACTQNAGTLVDFSSRKGEVESILKDIAERAGSKEGFSYSRFFAIGLFRLLELANATEPAILEKLCAALNVNKKSVDRDLDVYRNLLSKLVQAKELLKEYVDREKKKREERAESQKASEAVKKCLVESQYAGS >CDP14741 pep chromosome:AUK_PRJEB4211_v1:5:21492207:21492602:-1 gene:GSCOC_T00042182001 transcript:CDP14741 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIHPSIAAFLFILNVLLSLTGVAFSGREIPMKDSKNLEKIQPESFIGNDGSVLIPGMGRYMFPRPGTHFDPINYNPITGTNGGNGLPGIGSFGGPFPGQSYIPGGDDTFVPIPGVEVPVGGSIPASASP >CDP13789 pep chromosome:AUK_PRJEB4211_v1:5:27562578:27563655:1 gene:GSCOC_T00038848001 transcript:CDP13789 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRAAAVAKQAAAAAAAGETNGSGGSKEIRFRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARTLRGPKAKTNFPLPSTSSYHHPHQFSNYQNPNNPNFNNNDPAMFIDHPQNRLYHQEPHQILHPQRPTSSSLSSTVESFSGPRPQTTAAAATSMIPSSSRRHPRSPPVVPDDCHSDCDSSSSVIDDGDCDIASSSFRKPPLPFDLNLPAPVDVDADDLHCTALCL >CDP05997 pep chromosome:AUK_PRJEB4211_v1:5:25444076:25454029:-1 gene:GSCOC_T00021337001 transcript:CDP05997 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGKEFEGLQDDALEGSLDEHKIFTEIFIEPDSGGRKKRCLVSGVINFEVDGTQQTDGTFCSNNANSQLTRPEDPSRLSGGDFDAKPSSGVILKVSGPSEGGDSGVSQPASLAVTCHLVESSSQGVTSYSYLLKHHQAVNAGDVISENEALNCNLSSLDGNEGKDIAVSNALASPVFQENSATKVLAATLPAIVANKLGPGRPAKPRWKDSCFLELDEAELSLPRSNKNDPRPLLRYHISCLLRAAGWVIGRRKRNNKHNLVGEHVYKSPEGRPIREFHRAWSMCRERLFSDANNVMRGTDYIQWTDMTQFWSDLSSITSVIEKQLDNWDSTAALAHLWCLLDPFANVVFIKKSLRLFKEGKPVKARRNVVIHPFGKCDSFFCSDAMQGLLSHDSYYSEKSCMDSLKAVSGTATKSRSISGNERITLRQNSLQVCGPDCSCEQTGICLFDVPLSSGNANMSLGEHETVSPGQESNRSSVTCDKERYEHNEDLPVRGAISMQRVKEEDQTFDVQMNPIGWSCVGANSNCRTYSLKAKIGDTSFARAGVRRRKTPKKSRKISEMKLTTPYKGGFNEIDGNGFKIDSGAKESYLGENSLGRRSRMSKKCGLKDDDLLISAIIKNKSCKSSHKRSISKTKHLRKRKSQKGSCKLLVRSLNRGGKHLMEGKWSLYSQRTILSWLIHSGVISRKEVIQYRNPKADVLVKDGFVTSDGILCKCCNKVLSISEFKRHAGFTLSRPCLNLFMESGKPFTLCQLEAWSAEYKVRKGAPRTVQVEEIDENDDSCGRCGDGGELICCDNCPSTFHQACLYAQELPEGNWYCPQCICQICADLVDIKDSSRCPGTLKCFQCENRYHEACLQGRDTIVEMASDTWFCSETCEQIYSGLQSRIGMMNLLSDGFCWTLLKCIHGDQKVHSAQRFVALKAECNSKLAVALTIMEECFLPMVDPRTGIDMIPQVVYNWGSQFARLNYDGFYTVVLEKNDILMSIASIRIHGVIVAEMPLIATCSKYRRQGMCRRLLNSIELMLKSLKVEKLVISAIPGLVETWTEGFGFKPLEDYEKKGLSNINLMVFPGTVWLKKSLCENESDQKPGPSAASIARVDDPTSSGGCSQGGFSQEPGQQCDQYPFEVANGVENFGSADRMKELTVKNQENGSLHHEESQHGDSFLVSEPAQHSDQGECHEEAHPGGEIRPVDSDFQLTEGQDFSCMDNHHPAKVSLDETAPLLENAQLHIVSCVESQEMYDRQNNFVEIYSLAKSM >CDP05694 pep chromosome:AUK_PRJEB4211_v1:5:11623327:11629973:1 gene:GSCOC_T00020899001 transcript:CDP05694 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLWSIFCGASDCTDSSRKFCGANLVSAALSSTCINHALIISFDVLLLIVFLAIFFSRTSSKFPWMHAQTRAISGLQLISATFNGLLGLLYLCVGIWMLVRELRKTHGALPLHWWGKVFSQAPLRLLSILVFLFSGIACGFSLVTAILDKEVSFRMGLYILSLIGACLLLLCTYKGYKNEDSTENDLRAPLNGVASGKGQLDSVGCVTPFEKAGFISKMSFLWLNPLMKRGKEKTLEDEDIPNLREEDRAESCYLLFMDIYNKRKQVDRLAQPSVLKTILICHWREIFTSGFFAFLKIVTVSAGPLLLQAFIQVAEGKESFEYEGYVLAVLLFISKNLESLSQRQWYFRSRLVGVKVRSLLTAAIYKKQLRLSNTAKLMHSSGEIMNYVTVDAYRIGEFAFWFHQTWTTGLQLIFALLIVLKLYAWESHVKKVIENLRQVEEKWLSAVQLCKAYNSFLFWSTPVLVSATTFGACYFLGIPLYASNVFTFVATLRLVQDPIISIPDVIGVVIQAKVSFNRIVKFLEAPELDVANVRQLCLGENTSRNIIIRSASLSWEENSLKPSLRNINLEVRPGKKVAICGEVGSGKSTLLAAILGEVPVTCGTVRVHGTIAYVSQSAWIQTGSIRDNILFGSPMDYQRYQQTLEKCSLVKDFELLPHGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATSLFNDYVMGALTGKTVLLVSHQVDFLPAFDCVLFMSDGEILNAAPYHQLLACSKEFQSLVDAHKETAGSERISEVTSSPSYKTPNKEIHKTYSDKGSKASAGDQLFKQEEREVGDTGFRPYIQYLNQNKGFLFFSLAAFSNLTFVIGQISQNSWMAANVDNPEVSTLKLIVVYLVIGFSSTFLLLSRSLSTVVLGLQSSKSLFFQLLNSLFRAPMAFYDSTPLGRILSRVSVDLSIVDLDVPFNLIFAVVATLNFYSSVVVLTVFTWQILVVSIPLVYLAIRLQRYYFASAKELMRINGTTKSFVANHLAESLAGCMTIRAFEQEERFFVKNLELLDTNASPFFHNFAANEWLIQRLETVSATVLASSALCMVLLPPGTFSSGFVGMALSYGLSLNMSLVYSIQNQCTLANYIISVERLNQYMHIPSEAPEVIECNRPPTNWPIVGKVEIQGLQIKYRSDGPLVLRGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPVGGRIVVDGIDICTIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLGQHPDPEIWEVLEKCQLKDAIQEKEEGLGSLVVEDGSNWSMGQRQLFCLGRALLRRSKILVLDEATASIDNATDMILQKTIRAEFADCTVITVAHRIPTVMDCTKVLAISEGKLAEYDEPMELMKREGSLFGKLVKEYWSHYRSAGLQ >CDP10773 pep chromosome:AUK_PRJEB4211_v1:5:17332835:17333966:-1 gene:GSCOC_T00031616001 transcript:CDP10773 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSALASIQISLVLLLAIFVCSEANRLDPYYCCRGKETNITVYLQVFTGGPNTTSVAVAGAPGKPRTPSNFGTIIVNDFNITQGISNNSPTIGRAQGIEIASSRDGSRSLGIFSLIFSNFKYNGSTLEFQGAGYNLQVGSPAREDPIVGGTKTFRFARGYAFFQTVLRRPARNNTVIRGDITVISCPGGGKF >CDP13689 pep chromosome:AUK_PRJEB4211_v1:5:28196434:28199890:1 gene:GSCOC_T00038716001 transcript:CDP13689 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRPDEIEDSSPATLGSLQIAGSPEFMSQAYSINSSHIDIEDASSFEEKDCPLPVYLKFADVEYKVKFSRASSNINIVTTVASKVASQLSFDQDNYKHILNGISGSVAPGEILALMGPSGSGKTTLLKVIGGRLRERVKGTITYNDVPYSPALKKRVGFVTQDDVLFPQLTVEETLVFSAFLRLPSSMSRNQKYERVDMIVKELGLERCRHTRIGGGFVRGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANRILQILQRLAKAGRTIVTTIHQPSSRIFHMFDKVLLIAQGYPLYCGKAREAVEYFSSLRFIPEMAMNPAEFLLELAAGQVDDISVPEDLPAPQGTAEYERIVIRFLHHKFKVQLEPKEKNENHNMSKISEQLRVAIQIKKDWTLTWTDQFMILLKRTYRERCRDYFDTIRLVQALAIAVLLGLLWWKSNFTTEAQLRDQIGLLFYICIFWTSSSIFGAVYVFPFEKIFLVKERKADMYRLSVYYVCSTLCDMVAHILYPTFFMSILYFMAGFKRTIDCFFLSLSTILLIAVTSQGAGELFGAAAMSMKRAGMIASLVLMLFLLTGGYYVQHIPKFMKWLKYVSFMYHGFRLLLKVQYDGNELYECGSKVGCRTLQSSPSFDTVNLDGGLEEVWIMLAMALAYRVGAYIFLNRKINAANL >CDP05690 pep chromosome:AUK_PRJEB4211_v1:5:12039580:12048577:-1 gene:GSCOC_T00020892001 transcript:CDP05690 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDGNECDSQPLPAPPVIPPSVKPEKADRPNRSIISRPGFGKTGRPISLLTNHFRVSLKHPDEIFYQYTVSISSELGKTVDSKGIGRKIMDKLYQIYFSDLAGKRFAYDGEKALYTVGPLPHNNLEFPVVLEESMVKRGSPSQTGSPSESGKRAKHSLQSKTFKVAISYAAKVPLKSISLALQGAEPEKVQDALRVLDIVLRQQAANRGCLLVRQSFFHDDSRLFTEVGGGVTGCRGFHSSFRPTHGGLSLNMDVSTTMILTPGPVINFLLANQNAKEPRFIDWVKAKKMLKNMRVKARHNNMEFKIIGLSEKPCNQQFFSMKVKSGTDQHDGGETVEVTVYEYFTKHRNIELHSSAYMPCLDVGKPKRPNYLPLELCSLVSLQRYTKALTSMQRASLVEKSRQKPPERIQAVTNAMKNYRYDDDPILSACGICIEKQLTQVDGRVLEAPKLKVGNNEDCFPCNGRWNFNKKKLVDPIRIERWAVVNFSARCDTSYLSRELINCGRNKGIHIERPYSLIEEDPQNRRLSPAVRVEKMFELIMSKLPGPPEFLLCVLPERKNSDLYGPWKKRNLSDLGIVTQCLSPTKINDQYLTNVLLKINSKLGGINSLLSIERPSRIPLIKDTPTMILGMDVSHGSPGRSDIPSIAAVVGSRSWPLISRYRAAVRTQSSKVEMIESLYKPLANGEDGGIMRHDQNEILLELLLDFYGTSNGYKPAQVIVFRDGVSESQFSKVLNFELNQMIKAYEHLGEVNFPKFTVIVAQKNHHTKLFQNNASENVPPGTVVDTKIVHPRNYDFYMCAQAGMIGTSRPAHYHVLLDEIGFSPDDLQQLILSLSYVYQRSTSAISIVAPVCYAHLAAQQMGQFIKFEDFSETSSGHKSGITSVGSISVPELPRLDEKVAGSMFFC >CDP05766 pep chromosome:AUK_PRJEB4211_v1:5:27139731:27143600:1 gene:GSCOC_T00021042001 transcript:CDP05766 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIGGLQNAAAARDQYRLLGENVAKLRTDLMKEQLSTFRSQLEDFARKHKNDIRKNPAFRSQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVDICLSTRAHNGGLISLEELCKLLGQRRKGAREAVSEDDCLRAISKLKVLGSGFEVISVGKRKVVRSVPTELNKDHNEILELAQAQGFVTVDEVQRRLSWPSGRATDALETLLDEGLAMIDDGDKDGRRRYWFPCVSSISSYAGADTS >CDP06158 pep chromosome:AUK_PRJEB4211_v1:5:24111641:24115651:-1 gene:GSCOC_T00021555001 transcript:CDP06158 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQSRPPNPTLNPYPNPNPNPKAAPLPITKSLTFDQVSKFFSLPLSDAADTLGVCPSVLKKICHENGLVRWPHRKFLSGKSIEQIKEDAARAKNKQLNEVPKDAGQGSDALANSTVSLLAGTGTELQNKTTSSVAEVSKAQSVMQLQGNKNPQIGSPPKLTGLNLTKGTSTNEDEFKYGFPSHGLSTVSYKWWGNSSNSGSKDTPGTAKDETQGNRKSPVNSANGSTLASRTDGQKCENEARESSTDSPGEGVLSSLRKRAATEGHKALKLGAHRRYVMELDQTKRSVLQQIFKSSLPSEWGDDSL >CDP05984 pep chromosome:AUK_PRJEB4211_v1:5:25515215:25519633:-1 gene:GSCOC_T00021321001 transcript:CDP05984 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTLGTNDSNSDLNVRERVRWGDNSKVYTRRNFRKKAQLESANNDSATTSTTAAAEPTSTAAAAASTAAAAASTAELSSAETLAAQNGICSQEQPQSRSEGEPSTSDVVRPLPEEGTSGQELLPSGGEDPISNGDREDQEVLPDVPEAVPSGGREEPSPSSGREALPEMQPTGGQEQVLPNGGEEEPPRCNGPEAEQEALPNTAREDPPEREQSEVAAPVDEVPEVTGSHGPVNGAASGALVNGFRKPVITRVDDRVRINITRSRSKDEMRKLKRKLESELDQVRTLVGKVEAKEHQLTAYSTSNVNTNSRYVGGSVGNFGGYNQPQLLRANSEVGTLGHQYSRPQLLRVNSEAGSGGYQETRPFRHLNVSVMENNHGAAEFVEKEKRTPKANQYYRNSEFLLGKDRLPPESNKKLKLTAKKHGGDSEYGYGFGFDRNRDKVFKSCSNLLQRLMKHKHAWVFNEPVDAKKLNIPDYHIIIKHPMDFGTIKTRLGQNWYKSAREFAEDVRLVFRNAMTYNPKGQDVHTMAEELSKIFEDRWIAIEKEHFPYQMYYDAGLPIPTPRKAPPPSFTHIPAPILAPFSIPPAPPMRTLDRSESMTVPVDSRLKPTYSNLPHTGRTPVPKKPKAKDPNKRDMTYEEKQKLSTNLQSLPSEKLDAIVQIIKKRNTTLSQHDDEIEVDIDSVDAETLWELDRFVTNYKKSLSKNKRKAELALKRRAEAAQAVPTTNPIPAVVEPQKESKGDEKNSSPVVEVEKQGDNASGSSSSSSSSSDSGSTSSDSDSDSSSAYGSDAGHSPKT >CDP06167 pep chromosome:AUK_PRJEB4211_v1:5:24054139:24055892:1 gene:GSCOC_T00021566001 transcript:CDP06167 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPLLSKNTVAEEPKQPLPESRASPSYADIRPPSQQSNHHLVNTDSFIFPAPRTKSSLANLLANLNEKQKITRRSNSAPSILTDVKEGIHDSLDPRPAIKSVPSIVKQASLLLSTVDENRLNAVFRAYMIDTAKGRMRIRIKVGLALAVVVGCIAIGTVMVHKLEEQNWIDSFYLSVTSVTTVGYGDYAFSTLQGRCFAIIWLLVSTLAVARAFLYLTELRIDKRNRKIAKWVLQKKMTPGDLVAADLDHNGSISKSEYVIYKLKEMGKIAEKDIQQICNQFDMLDSNHCGKLTITDIMGSDSD >CDP06173 pep chromosome:AUK_PRJEB4211_v1:5:24028625:24031774:-1 gene:GSCOC_T00021573001 transcript:CDP06173 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRIVSCHIFIQLMTYLLLCSASVAREKEAEVQNKIPHHKVGKLQAFLSISPTEKDITTPITTLPTTNPLNPTATNPTLNPTVSNPDSASTTTNPANPLMTTSPLSSSASWCIASQSASQTALQVALDYACGYGGADCQAIQAGGNCYYPNTVHDHASYAFNSYYQKNPIPNSCNFGGTAVPTSTDPSYGTCQFQSTSTSSSILNTTNSMGSRVYGAGPITPTSSGATLACCMIHLHILTFLLTFAIHHSQMKSVKKL >CDP13811 pep chromosome:AUK_PRJEB4211_v1:5:27425240:27427721:-1 gene:GSCOC_T00038871001 transcript:CDP13811 gene_biotype:protein_coding transcript_biotype:protein_coding MNTASSVVSHGSEKTDSSSNAPEKKEPLAKRPVHTNDKLSALKKNPSIAASKPGRTTNVKKDHVSGSYLASSEKLKEPLFLEPPSDLKRLIDKVVDFVLKNGKQFEAALREQDSKQGRFPFLVLSDQYHPYYLKSLQKAQESKVSAKNTYGEDDSARLGLDKNEYMSSKYLCSDIPDESDRKEKFKMIIGKSRKDLQDPPSKATQQECGVSVDAEAAAAILQAATRGFRIPNSVCSSTARSDNSGGHDKDVGQASGFGSNSLGYKAEEKAAVFETTGGADSEAHLTREQKLKAERLKKAKMFVAMLKSGAVPSKTEPLRALSVEPSEPGLSGSPAEVNDGIKERECSSAPTDIIMLEKNENPERNSLSDERRSKRNYRSRPERCEENDDENNDEEELEQDNSKEEHEGGQDEERKNHKHSRKKHHSHHSSREKDYDDDYKKEKSHRRSRKRHRSHRSSHEDDDGEKDTDTSYHKQSSKKHSSRRSSHEKEDKKDHKHCRKKHQSYRSSHRSGGSPKRQKVYSSEDGDIQLKKRDCSSSPENEHYDRYVGDRKRSYSEKDELEEGEISPKFSDHSRVAGGHHSRETSVDMASTYSRASSQPSETTQISDDLRAKIRAMLMTTSKRHGQK >CDP17438 pep chromosome:AUK_PRJEB4211_v1:5:2240609:2242811:1 gene:GSCOC_T00000905001 transcript:CDP17438 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNKTGVRQYKKSACPRLRWTPELHEHFVEAVEQLGGKHEATPRRIIQMMGVRGLQVSHVKSHLQMYRSMKKRTTIHLVVPAMLHEKETPDSVVPSPPR >CDP11803 pep chromosome:AUK_PRJEB4211_v1:5:19316037:19317918:1 gene:GSCOC_T00035049001 transcript:CDP11803 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIQRFDDVRIFFFFLNGKSHRACRGSRARCAYMAHKIHHSPNPPPFFLSVIKCREDPLLLLCLFSIEIPSDSVENWHCCECNE >CDP05778 pep chromosome:AUK_PRJEB4211_v1:5:27029010:27032374:-1 gene:GSCOC_T00021061001 transcript:CDP05778 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSTASVTPETAATPLSLPKPYRPSSAAFLRSLPRKFNRSPKTTSPSVFCTLTKQPMTSTEEEVILQRPDSFGRFGKFGGKYVPETLMYALSELEDAFKSLSADNEFQNELDGILRDYVGRETPLYFAERLTEHYRRPSGEGPHIYLKREDLNHTGAHKINNAIGQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLECVIYMGAQDMERQALNVFRMRLLGAEVRPVHSGTATLKDATSEAIRDWVTNVESTHYILGSVAGPHPYPMMVREFHAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFDDFFDDKDVRLIGVEAAGFGIESGKHAATLTKGEVGVLHGAMSYLLQDEDGQIIEPHSISAGLDYPGVGPEHSFLKDIGRAEYFSVTDEEALEAFKRLSRLEGIIPALETSHAVAYLEKLCPTLPDGAKVVLNCSGRGDKDVQTAIKHLRL >CDP10767 pep chromosome:AUK_PRJEB4211_v1:5:17305845:17306429:-1 gene:GSCOC_T00031601001 transcript:CDP10767 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSALASIQISLVLLLAIFVCSEANRLDPYYCCRGKETNITVYLQVFTGGPNTTSVAVAGAPGKPRTPSNFGTIIVNDFNITQGISNNSPTIGRAQGIEIASSRDGSRSLGIFSLIFSNFKYNGSTLEFQGAGYNLQVGSPAREDPIVGGTKTFRFARGYAFFQTVLRRPARNNTVIRGDITVISCPGGGKF >CDP15920 pep chromosome:AUK_PRJEB4211_v1:5:22894078:22895895:1 gene:GSCOC_T00016837001 transcript:CDP15920 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPTVTPISGHQQVLYSIKTKNLSYKISTTSYDQLGCGVNQKPRCILKNVNCEAKPREITAIAGSSGAGKTTLLEILGGVIVPSRVSGHVLVNDQPLNVTHFRRMSGYVTQDEALFPLLTVEETIMYSARLRLRVGREVAKKRVQELLKELGLDHVADVRIGSESGRGISGGEKRRVSIGVDLVHDPAVVLIDEPTSGLDSASALHVMLLLKSMAKNQGKTILLSIHQPGYRILELFDKVILLSNGICLHDGTLHCLEERLISTGHFIPHHVNVLEFAIEVSDSLHVEETDIETGESEHNTNLDSNSSVISNVEEKHISYSNSMFKEILILTQRFSKNIFRTKQLFAARTIQALVAGILIGTIFLNAYNNPKRSDVQTQFGFFAFSLTFLLSSTTEALPIFLQERRILMRETSRGAYRLSSYIIANTIVFLPFLLLVALLYTTPVYWLVGLRRDIDGFLYFSLVAWMVVLMSNSFVACFSALVPNFISGMSLIAGIIGAFFLFSGYFIPKENTPKCWIFMHYLSLFKYPFESFLINQFGGDKGRRKCVASVGRVCILHGNEFLRRQGIEESQKWNNLIIMLAFILGYRLLCFLILWCRSYRSRN >CDP15935 pep chromosome:AUK_PRJEB4211_v1:5:22957969:22966210:-1 gene:GSCOC_T00016854001 transcript:CDP15935 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNIEKMASIDAQLRLLAPGKVSEDDKLVEYDALLLDRFLDIFQDLHGEEIRETVQDCYELSAEYERKRDPQKLDELGRMLTSLDAGDSIVVAKSFSNMLNLANLAEEVQIAYRRRSKLKKRDFSDEASATTESDIEETLKRLVGQLKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARIRNCLTQLYAKDITPDEKQELDEALQREIRAAFRTDEIRRNPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPVIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSEELRARADELHRSSRRDAKHFIEFWKQIPPNEPYRVILGDVRDKLYNTRERARQLLATGISDIPEEAAFANLEQFLEPLELCYRSLCACGDRPIADGSLLDFLRQVSTFGLSMVRLDIRQESDRHTDVLDAITRHLGIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPSDNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLQAAPAAVARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELVKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAIVATKEYRSVVFQEPRFVEYFRLATPELEYGRMNIGSRPAKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFAAAFKYVIDKDIRNLQMLKDMYREWPFFRVTIDLIEMVLAKGDPEIAALYDKLLVSEELWSFGERLRSNYEETKNLVLQVAGHKELLEGDPYLRQRLRLRDPYITTLNVCQAYTLKRIRDPSYNVKVRPHLSKEITDSLPSSKSASELVKLNPSSEYAPGLEDTLILTMKGIAAGLQNTG >CDP15994 pep chromosome:AUK_PRJEB4211_v1:5:23509518:23511968:-1 gene:GSCOC_T00016936001 transcript:CDP15994 gene_biotype:protein_coding transcript_biotype:protein_coding MALKHKPYHAAVLLSSLLIISSSKFLCAKVLNYSSTAYLSTLWPNHPSQMVNSTELAFVTPILLRKTDGPWFMCGFYCNMDGSSCLFGVLIFQNLNSAYLQFPQLVWSANRNNPVPTHAALQLRQDGDLVLINFDLTVVWSSNTRGKPVSGINLTDTGNLVLFGRNNETIWQSFDHPTDSLLWGQKLVPGQKLRASVSESNMSQGLFCLSVTPDGLMAYMESNPPQRYYTSRFHEGHSFEFNKGRLYGWDIPYSSSSQFLKFNPDGHLKVYQWDGMHWRQVADLLRPEAGDCGYPMVCGRYGVCKHGQCDCPDAANYRSNFFRQMDSRHPNLGCSPLAAISCDRAKDQSFLELKNAYYFAFDSSSYNHGTGLDECKNSCLNNCSCKAALFLYGGNGTSEGGCLLLNEVFSIINNENHAVSVHNTTLFVKVSNVKISKRSKVTLALTLGAFSASLCVVGCCLFLFRKRLKESKEIEMDLLDHLPGMPTRYSYEMLKKMTENFSRKLGQGGFGSVYEGILDNGTKIAVKYLDGFGQVKDSFLVEANTIGSIHHINLVKLVGYCSEKSHRLLVYEYMANGSLDTWIFGGTEKSPLPWQTRRKIILDIAKGLAYLHEECCQKIIHFDVKPQNVLLDQNFNAKVSDFGLSKLLEKDQSRVVTRMRGTPGYLAPEWLHSGITEKVDVYSFGVVIMEIICGRKNVDWSMTGENSHLLSLFKRKALEERLQDIVDMKSEDMLIHMEEAIDVMKIGAWCLQSDFTRRPSMSLVVKALEGLVTAETNINYDFTNSSVVNMGTTAAEEKEAVDDATPLLPSVLSGPR >CDP11303 pep chromosome:AUK_PRJEB4211_v1:5:13408239:13411467:1 gene:GSCOC_T00033466001 transcript:CDP11303 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPSTNPTLPPLKEPPAETPAEALDKITRLLTSLLPSTLSITSFTSRWQVLRSKLASLKSMLSELSDSAHWSDNLLLQSLLPNVLSTLQRVQTLCQQCSDPSFTPGKLLMQSDLDMCTGWLSKQIHDLDLLLRSGVLRQSNAIVLSHPSVNSSKEDLSLFVKDLFTRLQIGGTEFKKKALDSLVQLLSEDEKSAGLVAKDGEVSYLVSLLDMNANTFIREQAVLAISMLASNSDQSRKCVFEEGALGPLLRIIESGSMPLKEKAAMAVECITSDAENAWAVSAYGGVSILVELCKSGSSVAQSHAIGAVRNVSTNEDIRLALADEGAIPVLLQLLVSGTASAQEKAANCVAILASSSEHFRNLLLQEKSLHRLLHCLHASSSSDTLEHILRAIYSLSGMDSAFRMLSASTTFIVQIAGLIKQGNVMLQHISASLLAKLSISEGNKRAISGCMGSLVKLMESAKPDGLQEVAANALVSLLAVRSNRKDLVKDEKCLMRLVQMLDPRNDFVSKKFPIAVVAAIMASGSKGCRKRLVVAGVYGHLQKLAEMEVTGAKKALQRLSGNRLKSIFSMTWRE >CDP14681 pep chromosome:AUK_PRJEB4211_v1:5:20827981:20828250:1 gene:GSCOC_T00042092001 transcript:CDP14681 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRAWIAALSVGAVEAMKDQGLNRWNHTMRSVHQLAKNNLRSLSQTKQLSSSALASSSSEAKDQEKLKKSEESLRKVMYLSCWGPN >CDP14702 pep chromosome:AUK_PRJEB4211_v1:5:21101318:21104036:-1 gene:GSCOC_T00042120001 transcript:CDP14702 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSRVLIYLIFSFKIVLEFLKYFTLFSERKQKQTSQNEEISLGIPIHFHVSALESDIADIFGDFKLQHKSLKEKVKDCNKKEPPYKEEKE >CDP13575 pep chromosome:AUK_PRJEB4211_v1:5:28980272:28988483:-1 gene:GSCOC_T00038572001 transcript:CDP13575 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRSMGSLKEPDLKGKRVFVRVDLNVPLDDNFNITDDARIRAAVPTINYLIAYGAKVILASHLGCPKGVTPKYSLKPLVPRLSELLGVEVKMANDCIGEEVEKLVASLPEGGVLLLENVRFYKEEDKNDPEFAKKLASLADLYVNDVSGTAHRAHASTEGVAKYLKPVVSGFLMQKELDYLVGAVANPKKPFAAILGGSKFSTKISVIESLLDKVDILLLGGGMIFTFYKAQGHSVGSSLVEEDMLDLALSFIEKAKAKGVSLLLPTDVVVADKFAADANSKVVAASRIPDGWMGLDIGPDAIKSFIEALDTTKTIIWNGPMGVFEFDKFATGTEAIAKKLAELSGNGVTTIIGGGDYVAAMEKAGFADKMSHISTGGEGRRRKEIEEDERQTRKKGEMAVTTCSTDGEQKVLAAAQHILKSLNSSANINTDDMILLFSSIDARFANLSNIMQTSSASAAAAAASPSSTSSPSVVAGGSGGAGGWDDNMSSFSPNSQADLQLEAAEELIHRWDSNSDEFVIDDYFQAVDYVIQLMEDLALESVELERVENALQLAMSRLEEEFRHTLIQNTVPLDVERIDEFLVRLTSDSSSTPADGVTQIPDLESSSSGGDGDGIVYCRYNRHVAKGSVGSDELFHDLVNPDAILDLKGIADRMIRAGYEKECCQVYSSVRRDVLDECMSILGVEKLSIEDVQRIEWKSLDEKMKKWIHALKIVVRILLTAEKCLCEEIFSGLDVIEDVCFIEATKGCVMQLLNFGEAVAIGRRSSEKLFRILDMYDALKDVLPDLKKLCSDKEAGDMVYSEAQGVVDGLGEAAIGTFVEFQNAVQNESSRRPIQNGEIHPLTRYVMNYVKLLVDYSDTLNALLEAECEDAKEAEAKDAKRAETMTGPPKMCDSKFQSGPSCRVLIKITWYSGVRFTGWCRFKPKKSICCWCGWFKGFLKDWRD >CDP11354 pep chromosome:AUK_PRJEB4211_v1:5:14811223:14824922:1 gene:GSCOC_T00033559001 transcript:CDP11354 gene_biotype:protein_coding transcript_biotype:protein_coding MATIADISVAASINILSAFAFFVAFAILRIQPINDRVYFPKWYLKGLRSSPLQSGAFVHKFVNLDFHSYLRFLNWMPAAMRMPEPELIDHAGLDSAVYLRIYLTGLKIFVPIAVIAFTVMVPVNWTNSTLEHSNLTFSNIDKLSISNIPHGSKRFWTHIVMAYVFTFWTCYVLKREYETITSMRLQFIASEHRRPDQFTVLVRNVPPDPDESVSELVEHFFMVNHPDHYLTHQVVYNANKLSELVNEKKNKQNWLDYYQLKYARNQSRRPTSKSGYLGFWGKTVDTINFYTSEIERLSKEVTHQGVKKLIAAERLKIDNNAKYIMPAAFVSFKTRWGAAVCAQTQQSRNPTLWLTEWAPEPCDVYWNNLAIPYVSLTIRRLVIAVAFFFLTFFFMIPIAFVQSLANVEGIEKALPFLKPIIEKKVIKGFIQGFLPGIALKIFLIFLPSILMLMSKFEGFSSISALERRSATRYYIFQFINVFLGSIITGTAFQQLNNFIHQSPNEIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLRPLIIYHLKNFFMVKTETDREEAMNPGSLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIVIFFGLAYIVYRHQIMNVYNQEYESAAAFWPDVHGRIIIALIVSQLLLLGLLSTKDASQSTPFLITLPVLTICFDRYCKGRYEPAFVRYPLQQAMMKDILNRTREPNVNLKDYLRSAYIHPVFKAGDDYENNLVSEDEEQEPTLVPTKRQSRRSTPFSSKYSGSSQSLPYEVDQNLQP >CDP14794 pep chromosome:AUK_PRJEB4211_v1:5:22034543:22036331:1 gene:GSCOC_T00042253001 transcript:CDP14794 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHFFDEVEEFSSGSSSCGSSSTGFDGKEGVYHEDVEEDDDAAAVDTAENSSKAEAAKAFWDSQEELLLSTLRRTTSFESKIRKATKEAIMELNAIGVNCVCQKRAVDGCRKCMRKGVCDRLQKGGFSCTICKSKWKSSQEIPAGEHTYMEVVQDTGPKRSELKVIIELNFKSEFMMARGCEEYNRLLERLPDVYVGKTDRLKTLIKILCSASKKCMKENKMHMAPWRKHKYMQAKWLGKPEKQPTLILPELHMEIRPSRPKASMLTFDFSDNLKILHPATMIKVL >CDP18717 pep chromosome:AUK_PRJEB4211_v1:5:23857718:23859668:1 gene:GSCOC_T00002646001 transcript:CDP18717 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLSFVLPLFSAVVALALAVVFYNFTSSNNKSKKNLPPGELGLPWIGETLQFHKAQRNNRLYEEFVQPRIQKNGKTFKTRLMGSPTVVVNGAEANKFFLSNEFKLVVSSWPTSSVQLMGSDSIMEKQGDAHRCVRGIIATSLSCSGLEAMVPMICNTVQSHLDENWRGKDVISLYRLTKTLTFTIVLECMLGIEVQPEMLGMFEKVLEGVFSLPFRFPGTAFSRAKNARIEVEKMLLNIVRKKREEIAFQQVVEGGGMLLFRLVKAMIQGEMSEKEVVDNMVLLVFAAHDTTSFAITMTFRMLAHHPNCYSQLLQEHLDIKDNRRPGETLTLEDIKKMNCTWQVARESMRMFPPIFGSFRKAIVDIEYDGFTIPKGWKVLWTAYGTHYESEYFEDPLRFNPSRFEVPVQPYAYLPFGGGPRQCAGYQLAKLNILILIHFVVTQYEWSLVNPQEPIAVDPLPFPSQGMPIRISPKLS >CDP13800 pep chromosome:AUK_PRJEB4211_v1:5:27489545:27491374:-1 gene:GSCOC_T00038860001 transcript:CDP13800 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKKQACSLSSFLISLLIILPLVYSAFFQLDQWKANLANWNSNLGFENKLDEGAPEQEPLKFLLRRLLRGEQRIQFEDTGFAYPSELHSDIYVSSKQVRFDTNSSKIYIHANQAAAIHIVRPHALKGDEAPIQHFGSHVIFMVTDFQHWFFNKYKKIFSQLPSYEAINAAETANGTVHCFPGAVIGLKYHDNLYLNRSDVPGGYSMFEFRDFLVKSFDFNIKNVSQLIEEEKPKLLLLSRAKTRKILNQVEVVKLMEQLGFRVVPATLDMMMNFEEFAHVVNNCSVIVGVHGAGLTNELFLPNGAVVIQVVPLGLDWPGNACFGGPAVDMGLQYLEYKIEPQESSLYDLYAPNHPVIADPESMKAQGYQAFRAIYIDKQDVKINVERFRKTLVETMRLLGRPTNPLP >CDP16031 pep chromosome:AUK_PRJEB4211_v1:5:197162:197569:-1 gene:GSCOC_T00017024001 transcript:CDP16031 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKQNKAVIMLQGRYAGRKGVIVRSFDDGTRDRPYGHCLVAGISKYPKKVIRKDSAKKQAKKSRVKCFIKLVNYNHIMPTRYTLDVDLKDIVTADCLQSRDKKVSAAKDAKTRFEERFKTGKNRWFFTKLRF >CDP06148 pep chromosome:AUK_PRJEB4211_v1:5:24194333:24195136:1 gene:GSCOC_T00021543001 transcript:CDP06148 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKVVIRLSMSDEKSRKKAFKTVVGHAGVESTALQGKEKDQIEVVGDGIDAVKLATLLRKNVGFAELVTVSPVGEKKDGDKKEDGKKDPSSTEPPVVWSTYPYVYSSVPHHLYQARDPYYDSNCTIM >CDP05774 pep chromosome:AUK_PRJEB4211_v1:5:27062171:27064774:-1 gene:GSCOC_T00021055001 transcript:CDP05774 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPCVLQNPLTSSAPILNTSSSHGSNSIPILCKLTPQFVGAPRSSSKGLGWCRPTRIGTTSGFSRTTCWFRFGKNGVDAEGAGIYGSQSRDDFDSDDVEQYFNYMGMLAVEGSYDKMHALLKQNIHPVDILLMMAASEGDKPKIEELLRAGADYTVKDSEGRTALDKAASDEIKDFILGFSVQKA >CDP13777 pep chromosome:AUK_PRJEB4211_v1:5:27632982:27636876:-1 gene:GSCOC_T00038830001 transcript:CDP13777 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMERGLKIPQSERTKTTNSLLVSLMKQLEKDKKSLQLGPDDHLHLEGFAMNVFSKADKQDRAGKADLNTAKTFYAASIFFEIINQFGELQPDLEQKQKYAAWKAADIRKALKEGRKPVPGPPGGEKDLSVPPSTSSGEYDLESRSTDPALQDVEQRRTDVPFQDIEPRSDTAIRPSTGADYPPQPGSDAATRPTFESDSSPQTYDTVNFHHSANIPPPSNLVASPSSNLPPSPYPADDYPTHTFHQPPSTNGSENYSQFYHQQAYRHEAQQHYPSHDVPSYSYPHFQSYPSFTESSLPTAPSHYPSQYQGSDASYSTTSAPSTTNYASGTQYNSPGGNGSVSEAKITAAQTYHYDSSYQPPPEKIAEAHKAARFAVGALAFDEVSVAVDFLKKSLELLTLPSAGH >CDP13804 pep chromosome:AUK_PRJEB4211_v1:5:27458250:27469564:1 gene:GSCOC_T00038864001 transcript:CDP13804 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTSSTKISLLSTNTNGYPPLKFSFQVGKKNTFRKCNSFGFRSPKKQSWSCSASEQPQPLNSPQQNEKRQPKFKKKQTKHADKDKGVDPVGFLTKHGISHKAFAFFLRERYKALKDLRDEIFNRYLNLKELASGYELLGMQRNAQHRVDFLEWAPGARYCAIVGDFNGWSPKENCAREGHFGHDDYGYWFIILEDKLREGEEPDEFYFQQYNYVDDYDKGDSGVTVEEMFKKANDEYWEPGEDRFIKSRYEAVAKLYEQIFGPNGPQTEEELEEIADPETRYKAWKAQHRDDPPSNLPSTHVIDTGMKEYDVFNIIDDPVWLEKFRAKSPPIAYWLETRKGRKAWLKKYMPGIPHGSKYRVYFNTPTGPLERVPAWATYVVPDADGKQAFAIHWEPPPESKYKWKHKHPPKPKSLRIYECHVGISGHDPKVASFDDFIQKAILQVLPHIKEAGYNAIQLIGLVEHKDYFTVGYRVTNFYAVSSRYGTPEDFKRLVDLAHGLGLLVFLDIVHSYAAADEMVGLSLFDGSNDCYFHSGKRGNHKFWGTRMFNYADQDVLHFLLSNLNWWVEEYQVDGFHFHSLGSMMYTHNGFATFTGDLEEYCNQYVDKDALLYLILANDILHALHPNIITIAEDATLYPGLCELTSQGGLGFDYFVNLSAPEMWSSFLENTPDHEWSMSKIVNTLVGSQQNTEKMLLYAENHNQSISGGQSFAEVLFGDTIGCSSVTEESLLRGSSLHKMIRLITFTIGGSAYLNFMGNEFGHPKRVEFPMASNNYSYALANRQWDLLSKEGVHSQLFTFDKDMMHLDEVEGILSKGSGGRSNIHHINDPTMVIAYIRGPFLFVFNFHPTNSYHSYSVGVEEAGEYHIILNTDEKKYGGQGSFEHDQYVRRTINRRVDGLHTCLEVFLPSRTAQVYKLTRILRV >CDP13669 pep chromosome:AUK_PRJEB4211_v1:5:28301760:28309262:1 gene:GSCOC_T00038694001 transcript:CDP13669 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPVEDLIEASSGVHFSGFHLDGLISSTSEVEQPTTSAEDVHKQPFVIGVAGGAASGKTTVCDMIIEQLHDQRVVLVNQDSFYYNLTPEELTRVQEYNFDHPDAFDTEQLLCAMEKLKHGQAVDIPKYDFKSYKNDIFPRRRVNPSDVIILEGILIFHDPRVRDLMSMKIFVDTDADVRLARRIRRDTAEKGRDIGMVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNPVAIDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDILNRHVLLLDPILGTGNSAVQAISLLLRKGVPESNIIFLNLISAPKGVHVVCKRFPKIKIVTSEIEVGLNEDFRVIPGMGEFGDRYFGTDDD >CDP16228 pep chromosome:AUK_PRJEB4211_v1:5:15667835:15668311:1 gene:GSCOC_T00017351001 transcript:CDP16228 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTYLESQLVARDRTIVELQDKILASVELLKKFRLENKNLKVELQKALRARDSPLPYFICPIQEEVMQSPITAADGFTYKEAAIRAWLDGGQETSPMMNLSLEHQNLVPNPALRSAIQEWMESSA >CDP14733 pep chromosome:AUK_PRJEB4211_v1:5:21434556:21436751:1 gene:GSCOC_T00042168001 transcript:CDP14733 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNYCTKLKLLGPKPDESCSSSRHDSLVADLSAAAAAEAEEAELAMGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >CDP15968 pep chromosome:AUK_PRJEB4211_v1:5:23228212:23228588:1 gene:GSCOC_T00016898001 transcript:CDP15968 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAVALKLAMIKAREQQWRRIPLLTKCIVHTQIQ >CDP14689 pep chromosome:AUK_PRJEB4211_v1:5:20979733:20980574:-1 gene:GSCOC_T00042103001 transcript:CDP14689 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETLKNTIPKAVVYCQVQEAKRTLLDHFYTQVGKKELAQLLDEDPVLMERRQQCSRKLELYKAARNEIDSVLWTR >CDP13676 pep chromosome:AUK_PRJEB4211_v1:5:28254294:28258346:1 gene:GSCOC_T00038702001 transcript:CDP13676 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPLRNPLLSPPLNYFFFTSLLSINYLFFSPCYSIDVQGQALLTWKNSLNNSRYALKSWNPSDQSPCNWFGIQCNSNGQVVKISLKSVDLQGPLPSNLQPLKFLNTLILTSANLTGAIPKEFGDYQDLTFVDVSDNSISGEIPLEICKLSQLQTLYLNTNFLEGSIPSEIGNLSSLKVLTLFDNQLSGEIPTSIGQLRNLEVFRGGGNQNLKGQLPSEIGNCYNLRVLGVAETSISGTLPSSIGMLKRIQTIAIYTSQLSGPIPEEIGNCTELQNLYLYQNSLSGSIPWQIGNLRKLQSLLLWQNSIVGLIPYELGNCKDLKVVDFSENLLTGSMPTSLGGLSMLEELQWSVNQLTGTIPSEISNCTALTHFEIDNNGISGEIPTQIGQLKSLTLFFAWQNKLTGNIPDSLSECENLQALDLSYNLLFGSIPKQIFALQNLSKVLLLSNELSGFLPPDIGNCTNLYRFRVNSNRLGGTIPSEIGNLKSLNFFDMSKNHFVGGIPPSISGSENLEFLDLHSNALSGSLPDTLPKSLQFLDISDNGFTGPLSPSVGTLTELTKLNLAKNQFSGGIPAEILSCSKLQLIDLGNNGFSGNIPKELGQISSLEISLNLSCNQFTGEIPTEFSGLSKLAILDISHNQLAGKLDVLTDLQNLVSLNISFNDFSGQLPNTPFFRKLPLNDLAGNQDLYISGAVVTPADAMGSGGHAKSAMKLAMPILISASAVLVLLAAYMLVRTRIADSRQMEVDTWEMTLYQKMEFSVDDIVRSLTSANVIGTGSSGVVYRVTIPSGETLAVKKMWSSDESRAFTSEIQTLGSIRHKNIVRLLGWGSNQTLKLLFYDYLPNGSLSSLLHGAGKGAAEWETRYEVILGVAHALAYLHHDCVPPIMHGDVKAMNVLLGPRMEPYLADFGLARLVNGQSDSDMLRQQSQRPQLAGSYGYMAPEHASMQRITEKSDVYSFGVVLLEVLTGRHPLDPTLPGGAHLVQWVRDHLHSKKESAEILDPKLRGRADPQMHEMLQTLAVSFLCVSSRADDRPIMKDVVAMLKEIRHVDPTRSEPDLLKGGLMASPKSPPTRKVISQGSSNCSFTFSDDSI >CDP05976 pep chromosome:AUK_PRJEB4211_v1:5:25590810:25599454:1 gene:GSCOC_T00021310001 transcript:CDP05976 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAMVQSWGWRRVNVIYEDTDSAVNGITPHLYDALQEVGAQISHLTALPSFPNASMLCGELAKLKAEQCRIFVVHASLALAERIFQMAKGMKMMEQCYVWITTDSVTGLVHSMDVSMMSSMQGVLGVERYYHDKGRKYQDFYGRFQYEFGLKYPEEKNHEPGISALEAYDATWTVALAMKEGKINHQHLLDKLSITDFSRLSGKIQFSEQRLAPSVNIFRIINVVGRSYLELGIWSDGIGFSVEVGENAKNNVSMEIFGKLFWPGGPLNAPRGWDIATVANAMRIGVPNASLIKRFVDVEYDPLTKSYAVSGFSIDVFKETVSYLPYFLPYSFIPFDGTYDALVEQVRLKNFDAAVGDIAIISKRCVDADFTHPHIESGLVLIVPIQSQSNRSWLFLKPFTKAMWFLIASINVYNGFVIWMIERNYCSELKGSPLNQIGTLLWLAFATLFSPQGEKLHSNLSRAATLVWLFVALIISQSYTASLTSMLTVPRLEPKVANIDTLRNSNAVIGYSRKTFVKDYLLNVLHFNPNNIKNFSSFKECAEDLKNGRIAGAFLEVPTSKVFLAKYCKSFMTTGPTYKFGGYGYVILYPFSFIELYSMAPTYADLLLLLLSALAIINLDQIFMANGGMHNSKTENVEAVKGIDVGAIVDLSARIGKEEAVAMQMAAEDVGNQTRRRLTLLLKNSQGEAVQAVLAARHLIKKKQVLAILGPGSGEETFSVAEVGTQFDVPILSLADSCPSWGTKRWPFLVQASPSKNLQMKAVAAIVQSWGWRRVNVIYEDIDSSADGITPQLYDALQEVGAQISHLTALPSLANASTLSEELHMLKRDQCRVFVVHVTLALGERLFNMAKEKKMMERGYVWITTDSLTSLVHSMDASTISSMQGVLGIKSYYDDKGQKYQDFYQRFQYKFGLKHPKEKNHEPGNSALEAYDAIWTVALALQEGNINHQLLLDKISTANFTGASGKIHFSEQRLAPVTVFQIINVIGRSYREVGFWSYGKGFSMSVDETAQWNVSMGVLGQLFWPGGPPDTPKGWDIPTVSTPLRIGVPGAPLVKYFVKVEIDPITKGYSFSGFSVDVFRESVKYLPYFLHYDFIPFEGTYDALLEQVRLKNFDAAVGDIAIVSERYVDADFTQPHTESGLVLIVPTQSQSKKGWLFLEPFTRAMWLLTALVHIYNGFVIWMIERNYCPELKGSPLNQIGTLLWLAFATIFSLHGDRLHSNLSRMATVVWLFVAVIISQSYTASLTSMLTLPRLEPKVANIETLRNSNAVIGHSSKAFVRSYLLNVLHFNPNNIKNFSSFEECAEDLKNGRIAGAFLEVPTSKVFLGKYCKSFMTAGPTYKAGGYGFAFSKGSPLIADMDEALLKVFESGRLKELEDNMTAIEKCVEIESESETLRLSPSSFYILFMFTGGTSTAALAIYFVHSKYKADNTAMPEHKRIWLLILLVLKHWRNKRAQFPRNVSLAEPPVDASSHV >CDP14758 pep chromosome:AUK_PRJEB4211_v1:5:21705451:21707983:1 gene:GSCOC_T00042206001 transcript:CDP14758 gene_biotype:protein_coding transcript_biotype:protein_coding description:LanC-like protein GCL2 [Source:Projected from Arabidopsis thaliana (AT2G20770) UniProtKB/Swiss-Prot;Acc:Q8VZQ6] MADRYFPNLMPDFVQEDPTLEQEIQQSGHRIPEQGTEESLFRLLATPYTTLSEKLKRAALDLKETIVVVVDTWGLTGQKVDDFTLYSGALGTALLLFKSFLVTRNGNDLILCSQIVNACDSASLHSTDVTFICGRAGVCALGAVVAKYLGYDQLLHYYLNHFKEIRLTRDLPDELLYGRAGYLWACLFINEHIGRGTILPTEMGAAVKEIFRSGRKLGGRGRSPLMFEWYGEKYWGAAHGLAGIMHILLHFELKADEREEVKETLNYMIKNRFPSGNYPASEEDKRRDVLVHWCHGAPGIALTLVKAAEVFGDKRFLQAAIDAAEVVWNRGLLKRVGICHGVSGNTYVFLALYRLTGNVEFLYRAKAFSCFLLDRAYKLISKGEMHGGDSPYSLFEGIGGMAYLFLDMVEPTNARFPAYEL >CDP05944 pep chromosome:AUK_PRJEB4211_v1:5:25803427:25809239:-1 gene:GSCOC_T00021268001 transcript:CDP05944 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSPYLLIYTQYACNCADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLDDHFSRLMKEFVSLCLKKNPAERPSAKELLKHRFIRNARKSPRLLERIRERHKFQAKEDVETPRNGIKPFGEASGTMKVTRDAGVEDTVRISGQGKTLRNAGWDFSLGATPGTGTVRSAVRPPQVRDRKPEAFLSQASSRKILDSGNQWSSASGKDARDAYQDDKQDYYHEDEELSVGGTGTVVVRSPRRVSPSSLFSDQSSLSSSTYNSVEDSSTSGTVVYRGQHDDSDSPRKSRLGIQERTSSASVEDSSINLAEAKAAIQAGLKKGNMRERSMLGKANRDEQESKRREQSSSDLSRHTEEYLDAQKALPRSHQTSDEEDAARSSAASSSAPLSILLIPSLKEVITDDSERLMMRTLANSFMDIERSKPGSCELLVTRLLQRLASSKDSSLKDLQDLAARIFSKGKAEAESTKAEADSKKKPQSKDMHSNSNLSPLARFLLSRWQGHVSRDLSN >CDP13735 pep chromosome:AUK_PRJEB4211_v1:5:27896809:27899920:-1 gene:GSCOC_T00038782001 transcript:CDP13735 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVEASLLGRSTSVTVPKPPFRNFRTLTFKIFPKFPSRNGVYTYRPTIINSTSSHSSRNLFNSQRIRISPKIEAFSGSLAISRDYEGENVEKSSGTAVAGTNSGFVEVSLRRGLVLAVFICGFMVLSCRKAVAVEGVLNAGWNGVFERSGMALRSSWPKVLQVLRVFKEQGLVLAALLGLSAFFSMAETSITTLWPWKVRELAEKESENGVFKMLRSDVTRFLTTILIGTTVVNIGATALVTEAATAIFGEAGVSAATGVMTVAILLLTEITPKSIAVHNATDVARFVVRPVAWLSLILYPVGRVVTYLSMGMLKLLGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQASNALTSFFHNVSCIEAI >CDP06084 pep chromosome:AUK_PRJEB4211_v1:5:24749512:24752831:-1 gene:GSCOC_T00021451001 transcript:CDP06084 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLDLFKVSFLWSLLSIGSNLGTLKGSSSGHVGVFPPTCNRIECPSFDVIHSGNGFEIRRYNSPVWMSTSPIDDISFVGATRTGFLQLFDYIQGKNEYQQQIEMTGPVITEVKPSDGPFCASSFVVSFFVPKENQANTPPAKGLHVQRWGQTHVAVRQFSGFVADEDVGKEAASLYNSIAGTIWSDAIDKSHAGENTTLYIVAQYNSPFEFENRVNEIWLTFDMNEDEI >CDP14693 pep chromosome:AUK_PRJEB4211_v1:5:20993595:20994916:1 gene:GSCOC_T00042107001 transcript:CDP14693 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANEKPPMSEVKVWSFCRLPFWQSTNNAAAGGGGGSGSSSLQQNHLAAADHQPSIKVASVAKSFLPTRRRLGLDPPNKLYFPCMWANLFSLMHASFSLMLYIYVREAEVVHFDACLHCE >CDP05761 pep chromosome:AUK_PRJEB4211_v1:5:27159421:27159961:-1 gene:GSCOC_T00021037001 transcript:CDP05761 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVVADSSNGRFAPQLLLNSVDFPVLFLMYSTPELTDHLGQPSHHNPIQSCRALVPFPRLFDIHKS >CDP06006 pep chromosome:AUK_PRJEB4211_v1:5:25369230:25375720:1 gene:GSCOC_T00021348001 transcript:CDP06006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRNLEKLASIDAQLRLLVPAKVSDDDKLVEYDALLLDRFLDILQYLHGEDLKETVQECYELSAEYEGRKDPKKLEELGHVLTSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRNKLKKGDFADENSAITESDIEETLKRLVLDLKKSPQEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSRIEDLMFELSMWRCSDELRVQADILHQSLKRDAKHYIEFWKHVPPNEPYRVILADVRDKLYQTRERSRHMLAHGTSDIPEEGTYTNVEQFLEPLELCYRSLCACGDQPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITNHLEIGSYKEWSEERRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVLAELPSDCFGAYIISMATAPSDVLAVELLQRECHVKIPLRVVPLFEKLDDLEAAPAAVARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKEYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEITVIATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGVGAAFRYAIKKDIKNLQMLKEMYNEWPFFRVTIDLVEMVFAKGEPGIAALYDKLLVSEDLWAFGERLRTNYEQTKTLLLQIAGHKDLLEGDPYLKQQLRLRDSYITTLNLLQAYTLKRIRDPNYHVELRPHISKEYMESKLAAEYIQLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >CDP15993 pep chromosome:AUK_PRJEB4211_v1:5:23504873:23507407:-1 gene:GSCOC_T00016935001 transcript:CDP15993 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPCNHLRCLLVHFFPTFIFFLAKNLLYNLHSTNQQMAFKHKPYHAAVLLSSLLIISSSKFLGAKVLNYSSTAYLSTLWPNHPSQMVNTTELASVTPILLRRTDGPWFMCGFYCNMDGSSCLFGVLIFQNLNSAFLQFPQLVWSANRNNPVPTHAALQLRQDRDLVLINFDLTVVWSSNTRGKPVSGINLTDTGNLVLFGRNNETIWQSFDHPTDSLLWGQKLVPGQKLRASVSELNMSQGLFCLSVTPDALMGYMESNPPQRYYTSRFHEGHSFEFNKGRLYGWDIPYSSSSQFLKFDPDGHLKVYQWDGMHWRQVADLLSPEAGDCGYPMVCGKYGVCKHGQCGCPDAANYQSNFFRQIDSRHPNLGCSALTPISCDYAKDQSFLELKNAYYFAFDSSSYNHGTGLDECKNSCLNNCSCKAALFAYDGNGTSEGGCLLLNEVFSIINNENHAVSVHNTTLFVKVSNVKISKRSKVTLAKRLKELKEIEMDLLDHLPGMPTRYSYEMLKKMTENFSRKLGQGGFGSVYEGILDNGTKIAVKYLDGFGQVKDSFLVEANTIGSIHHINLVKLVGYCSEKSHRLLVYEYMANGSLDTWIFGGTEKSPLPWHTRRKIILDIAKGLAYLHEECCQKIIHFDVKPQNVLLDQNFNAKVSDFGLSKLLEKDQSRVVTRMRGTPGYLAPEWLHSAGMTEKVDVYSFGVVIMEIICGRKNVDWSMTGENSHLLSLFKRKALEERLQDIVDKKSEDMLIHVEEAVDVMKIGAWCLQSDFTRRPSMSLVVKALEGLVTAETNINYEQLFMQSSSICIRWQWYFRRRLFITE >CDP15933 pep chromosome:AUK_PRJEB4211_v1:5:22953171:22955087:1 gene:GSCOC_T00016852001 transcript:CDP15933 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNCYISLTLSQDSSAAAQPAARSPRETKILLPKKKPLKWSTGVAPGEWGGPPTTSKLRKYWGGQDEDPLTSDDFIWNKDFMGRMKRLIEDPADGVGNSSFDPIASPAKGQSSGFLSLNRVMSLDSLEVDLSKELTAPSKALVEEQVEETQANGTASRRWRPAPTRREQEKWDRATKAATGGSDVMFREIRRPKGDPEVLAAQSREQYFKLKKKLQFLTLGIGGIGVLSAYISYTPEIAARQVFMIVIFIFRV >CDP11888 pep chromosome:AUK_PRJEB4211_v1:5:20507631:20512696:-1 gene:GSCOC_T00035173001 transcript:CDP11888 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVLVFNAAPYMGHLAQSVQLAKLMLEKSNQLSVLFLVMKVPVDPEGTIKVQNIISGCNFERLRFHHLPTPENTDNWSSNKGLFINQLVECQKPHVREIASKIEGLSGFILDATTSTLSDVAEEFRVPSYIFFTSSAASFGLMLHVQSLQDEHNQDTFELLKTSQELTLPSFVQPVPITVLPTGITDKLLWSSRMHKLIQYWRKPKGLIINTFSELEDFALDSFVKDSAYGKTGLPRIYPIGPVLNRSETKIKSHSEIIEWLDNQPPNSVVLVSFGSLGSFDLDQVKEIASGLEQSRHRFLWVLRRPSAEKGGFPREYENLELVLPKGFLDRTASIGKVVGWVPQLAVLSHSAVGGFVSHCGWNSILESIWCGVPIATWPLAAEQQLNAFHLVKELGIAVEISLDYNEAKEHQELVRAEQIEKGVREVLGSENEVRKRVKEISEKSRAAVQDGGSSHLCFENLLCYKSRMEKSVLVFIAAPVMGHLAQSVQLAKLMLEKNNQLSVLVLVMKVPIDPEGTIKVQNIISGCSVERLHFHHLPTPENTDNWSSNRGLFMNQLVEFQKPHVRGIASKIEGLSGFILDFGTATSIDVAEEFQVPSYIFCTSGAAYFGLMLHVQSLQDDHNQDTIELFKTSEELIVPSFVQPVPISVLPTATTDKLQWSVRMRRYQYYRKPKGVIMNTFSELEDHALNSFLKDSAYGKSGLPQIYPVGPILNRSEMNLKNHSQISEWLDKQPQNSVVLVSFGSLGSFDLDQVKEIASGLEQSGHRFLWVLRRPPTEKGGFPAEYENVELVLPKGFLDRTASIGKVVGWVPQLAVLSHPAVGGFVSHCGWNSILESIWCGVPIATWPLAAEQQLNAFQLVKELGIAVEISLDYNEAKEHQELVRAEEIGKGVRDLMDGKNEMRKRVKEFSEKSRAAVQENGSSHLCFENLVQTICSGSHKWRGEGARLTSFLIPERLTSFFLGMIRN >CDP06085 pep chromosome:AUK_PRJEB4211_v1:5:24743450:24745062:-1 gene:GSCOC_T00021452001 transcript:CDP06085 gene_biotype:protein_coding transcript_biotype:protein_coding METKGVPLIDMQDPHGLPEKLVKACEEWGCFRVVNHGIPSTVLSEMKVVCRSLLDLPFKIKQGDSPPGPGQRYTPPYMASPYFEGLNIYDMASPGAVDDFCTQVHASPHQREIILKHSQALFELAKDLGGKMAEGLGLGGLEAFQDWPCQFQMNKYNYSPESVGSTGAVMHSDAGFITILQDDELVNGLEVVNKFTGDLVSVDPIPGTLVINIGDVGMVWSNGRFNNVKHRVQCYKATVRISIALFVFGPRGKRVEAPSELVDSEHPRLYNPFDFEAYRKLRHSTGSPTGEALELFRAALPIDS >CDP11892 pep chromosome:AUK_PRJEB4211_v1:5:20546196:20547597:1 gene:GSCOC_T00035177001 transcript:CDP11892 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPSVDKNGVKKGAWSEEEDNKLRAYVLRYGHWNWRQLPKFAGLSRCGKSCRLRWMNYLKPGVRRGKYTIEEEDLIIKLHEQYGNRWSAIAAKLPGRTDNDIKNHWHTHLKKRNKQTQLSERSQNMDDADQNEQSSAMSTSGSSTDQKREMDPNASAADSLDAYTDISSLSCDSTLFDCVDWVADDSNSSVESLTEPFESFWTEPFALDTSFSNYISVDPWLPSTEEEFLYPFSSFLDDGIDCFHELNNRKGDD >CDP11839 pep chromosome:AUK_PRJEB4211_v1:5:19930929:19934482:1 gene:GSCOC_T00035105001 transcript:CDP11839 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSCDLEVDVNGEEVFMVDKKVVSFYSGRINKLFSKSKGLSRTLKVIFNDFPGGAESFELMTRFCYNKGKIDISPVNVSTLYCVAHFMEMKGSDSGNPNLLEQTEKSLEEVRYWTWSELLLAVRQCQDLQPVATTSGVLKKYMDSLSGRIACSGAELSPCPSTSSPESSGFRLSSDTRSTESLKNSSFKGTWWFEDFVALDPSLIEMLVKSMLSKNVDHSIVSKFLFYYQKSRFAAVATSEEKCKITEAVVQMLHTLDPALVSFKSLFGLLRIALNVNKSKCCRNQLETLIGSQLDQATLDNLLIPSPAGTKYLYDVNLVLRLVKSFIGKGACCLPLTRLRKVATLMDLYVAEVAPDPCLKSSKFLALIKALPDSTRMSYDGIYSAIDIYLEAWLSEEEKLTVCSGLNYEKLSSEACSHLTKNKRFPSRSAVQALISQKCKLKSLLHETNRPCSFTESPSSLVEAKGKAKKDESCQQIVLYAGKLDISTENERLRAHLQGMQWRVLELEKVCRKMQIQMAKMMRSKLSAQSNAKSLPRLCS >CDP13649 pep chromosome:AUK_PRJEB4211_v1:5:28446759:28449612:-1 gene:GSCOC_T00038668001 transcript:CDP13649 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLQKGESPKAYLPETKLEAKIIGAMQRRESEGSSAKSFNSIILKFPKIDENLRKCKAIFQEFDMDGNGAIDPQELKQCFRKLEISFSDEEINDLFEACDINDDMGMKFNEFIVLLCLVYLLKNDPAAEHAKSRIGLPNLEATFETLVDAFVFLDKNKDGQVSKNEMVEAINETTSGERSSGRIAMKRFEEMDWDKNGMVNFKEFLFAFTRWVGIEDNDDDDDDEGA >CDP13699 pep chromosome:AUK_PRJEB4211_v1:5:28136625:28141462:-1 gene:GSCOC_T00038728001 transcript:CDP13699 gene_biotype:protein_coding transcript_biotype:protein_coding MVFITEESIKEFQTLMEEIAQPLKKTFQNVHQGYPTGTLIRFLKARDGNVSKAHKMLVDSLNWRIQNEIDDILTKPIIPTDLYRGLRDSYLMGMSGYSKEGLPVIAIGVGLSMFDKASIHYYVQSHIQMNEYRDRVILPSATKKFGRHISTCIKVLDMTGLKLSALNHIKITTVLSTIDDLNYPEKTDTYFIVNAPYIFSACWKVVRPLLQERTRKKVQVLSGSGRDELLKIMDYASLPHFCKKEGSSSSRYSRNGTYDDCFSLDHSFHQQLYNYTKDQAELLEADALIKQGSFHVDFPEPDPEGAKIAQTIETEFQRLGNGNQNGVSNSLCGIKINAD >CDP14711 pep chromosome:AUK_PRJEB4211_v1:5:21220659:21223772:1 gene:GSCOC_T00042133001 transcript:CDP14711 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQEGEPLVLDHQRLRHRKAKSREVSSRFLSSPSSTPSVENVVNSPNHSPSTLRQKPGRSPTDTRKKSNLDSTGFMRGLWPSSSPSSTTSTQPSKSKNGTLAECIGNERLIDLAQRKNPEKSNNPSFLSRQRSCTEFKRFENENKICKENHKPLFGASMRYTGKFKFSRKSSNSPSSSKSPNVSDDNIAPGRLSVDETALRRRRSDYLADMVVDSESDQSEMHSGTSFDSAVTGKSFPASYMAPTVSSRMYGLEIPSKYLQDSSLRSRRWSSDSGTVQKPVSGDNSPKIFTLKNAMKRSNSLKAYGSETSKLGTSPLRSGSPAGSEENKGKTMSNMKPPTSPSKVKGVGNLLTMGLELLKGKKHSPGVSSPLGLGIGESVHQLRMFHSRLVQWRYANARAEVVNSKITKQSESNLIYAWNGIAKLQHSVRQKKLRLEREKQEMKLNYVLQSQIKSLEAWGSMERQHKSAVNVTKDCLQSAVCKVPLVEGAKMEPQSLSMVLRAASDLTSSIKLTNSKFPAMADKTATACRELAEIVTQEKLLLEECLELFKFISALEVRV >CDP05908 pep chromosome:AUK_PRJEB4211_v1:5:26064894:26069271:1 gene:GSCOC_T00021225001 transcript:CDP05908 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWRTTFGINVEARGWLHARATFYGINQDPGTLGGACGYENTYQAGFGVYTTALSGALFRGGEACGACYQVMCNSRLDRRWCLPRASVTVTTTNFCPPNHHGGWCDPPRHHFDMSMPAFLRIARQGNEGIVPVLYRRVSCRRSGGVRFTLKGQSNFNMVMISNVGGGGDIKSVWIRGSGTRTWAAMHRNWGANWQISVDVRGQTLSFRVTLVNGRTLEFFNVVPSSWQFGQTYAARNQFI >CDP06124 pep chromosome:AUK_PRJEB4211_v1:5:24392911:24396831:-1 gene:GSCOC_T00021513001 transcript:CDP06124 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKKADSSICMTFAMVKIALWPYFSRLLCSKIRIILSLSECAYPIPTTTTTAAFSFLYSHLTEKRTM >CDP11842 pep chromosome:AUK_PRJEB4211_v1:5:19959720:19964609:1 gene:GSCOC_T00035108001 transcript:CDP11842 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVLVKFPLTCIQPNLNILKNNSRSGPLHHAYRVQKTWQTRCSNQSAELTIDRRTANYQPSSWSHILFEPTSETDNEWENQTKILNELENEVGSMLDCEDLEPQALIELINDIDQLGLSYRYRQKIKTALKKLRDLEDATGEKIKSSLHTSALYFRLLRQHGFEVSPDILERFKDQNGNFNENLAGEIRGMLSLFEASHLAYEGENILNEAKPFASLHLKDSKEFVGSNMSEQITHALELPYHHRMRRLEARWRIEAYAKRSAKNQVLLELAKLDFNLVQSQLQSEVQEVSRWWKVVGLADKLDFARDRLMESFFWSVGMAFEPRFSKCRMAVTKAFTLITVLDDIYDIYGSLDELEQFTDAIVRWDLDAMKDLPEYMKLCFLALYNTISDLAYDTLKDKGEIIIPQLKKAWADLCKAFLQEAQWFYKKATPNFDEYIENGWISVSGAVQLIHAYFLVTENISKEAIECLDYHHDFLHWPCIIFRLTNDLSSSTAEIERGETTNAITCFMHETGLSEEFARQHISKMIEECWMKMNKQLLSQSPYEENFIQVAMDLARTALCQYQHGDAHSAPDVKAKNRIMSVLLDPIRLRETEDNATKYRDNTRGHFLTCS >CDP05744 pep chromosome:AUK_PRJEB4211_v1:5:27264459:27265936:-1 gene:GSCOC_T00021016001 transcript:CDP05744 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSGSSKRPMCPKCSKPARICLCTRLKAPSLENSVAVTILQHGLEKKHPLNSTRIASLGLRNLTVVSVSDVNFEGRFVLDFSYPDSKTGSSHWDRNITDFGGIGNGDAVVAFTIEKYGAIASLCNQMQKQNKFDQLLASQIAGNDLGKGFSVVKFQRKQLHGIDEYEEFQEFEIKVPPGSVLLFPSERAVRIEAINFEVKNLIVLDGTWAKAKRMYNENPWLKLLPHLKLDLDELSLYSEVRHQPKTGCLSTIESIVYALKALGEDPEKLDGLLEVFKSMVGDQRQCKDERLSKFSVKPSQS >CDP18720 pep chromosome:AUK_PRJEB4211_v1:5:23840929:23844147:-1 gene:GSCOC_T00002649001 transcript:CDP18720 gene_biotype:protein_coding transcript_biotype:protein_coding METTNSHNGGIMWFFKDKGFDDKSIQEMLKKCKRLEGVPREKASENWAYLRTIGIQERKIPSVVGKCPKILTLGLHEKLVPTVECLATLGTKPKEVASAITKFPNILSHSVEEKLCPLLAFFEAIGVPEKQLGKMILLNPRIISYSIEKKLSEIVQFLASLGLSRDGMISKALVRHPFIMGYSVDKRLRPTTEFLRSLGLNETDLQRVVINFPEVLSRDVNKILRPNLAYLKSSGFDYGQIAALVAGYPPVLIKSITNSLGPRINFLVNVMGRRIDEIADYPDFFRHGLKKRLELRHKLLQERNIHCSLSEMLDCNQKKFLSKFCLVEQFA >CDP06069 pep chromosome:AUK_PRJEB4211_v1:5:24885674:24892345:-1 gene:GSCOC_T00021428001 transcript:CDP06069 gene_biotype:protein_coding transcript_biotype:protein_coding MVKILDGANVLEEMNYGLLKDLRVELKDSDTEENGAFCFSFWLYLANYGSPLPCGILHQEHIDINSSVPFLLLNEKKKMMLLPVIFLHKEASMLVGLNPLTEVPSIVTQFDIPLKKWVHVGCEASQNSLRLRVNGEIVGEKHLTPVLNDELHADGMKRRALPCIIGEYQGFQGYVHFAKLSPSGLPLKTHCIEDPPLQLSIDSSSASDIEEDGDGVWSIVGGKASCRRNFSLDVTLLDAFDQPLNKEMEVVASLLYADNNEPVPETNDGEAPLLTSYDGIEYASSDRPSKLISGRASFKLKMSQLSSKCDNRLFRIRFEIPKIRYPFLEAFSPPIRCISRSRNARASSITLKKLPSGVHLLNGSQSPGLDDRSSELLNSVVREAKPSPSSKRVKLGQEKPFEIFADSFTSKRADKECKSYAFTTTEGNHTYGSNLVRKPENHDGSDNFSSDSETSETTKSDLMSIPSSTNQLSDMVVFKYCLGGLSERAVLLKEIALSAREEELVKFADQVSLFSGCSHHRHQIMIAKRLIEEGIEAWNLISQKNDHVLWENLLSGVKEHFVKIVPCGTRSLTHQDVELLRGISGCQELVSQDHFEKMWFWLYPVALSLSQVWINALWSSVSPKWIEGFITKEEAESSLQGPGGFQEPGTFVLRFPTSRSWPHPDAGNLVITYIGSDYAIHHRLLSLDLMYSSRDRTVRPLQDMLLEEPELSRLGRHDIFY >CDP11801 pep chromosome:AUK_PRJEB4211_v1:5:19302533:19304073:1 gene:GSCOC_T00035045001 transcript:CDP11801 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFGYFWLVILAILGAINSSQADLQLNFYAKTCPKAEEIVQDYVHEHIPNAPSLAATLIRMHFHDCFVRGCDASILLNFTSSSGNQTEKIAIPNQTVRGFDFIDRVKGLVEAECPGVVSCADIIALVARDSIVVTGGPFWRVPTGRRDGQISNAPEALTNIPAPFFNFTQLQTSFANKGLDLRDLVLLSGAHTIGIAHCFPGFSNRLYNFSGILGNQDPSLDSEYAANLKARKCKTINDTTTIVEMDPGSFRTFDLSYYRLLLKRRGLFQSDAALITSSTTLSYINQLLQGSLLNFYQEFALSMEKMGRIEVKTGSSGEIRKHCAFVNS >CDP15898 pep chromosome:AUK_PRJEB4211_v1:5:22700988:22702405:-1 gene:GSCOC_T00016805001 transcript:CDP15898 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLSALRNACTTTSTTATTTTTTFVLLCILFLCPSASFGEGRKLYDRGPVFKRYEEWLKKYGRTYANGDEWEMRFGIYQSNVQYIDYINSRNLSYQLTDNQYADMTNEEFISTYLGYKTRSLQRDGQNFTSDPVKLPATVDWRKQGAVTPVKDQGACGSCWAFSAIAAVEGINKIKTGKLVSLSEQELVDCDYNRNNEGCNGGFMDKAFEFIKTNGGITTESDYPYKGKKDKCNRAKEKDHAVTITGYGKIPKSNELALQTAAAKQPVSVAIDASGFNFQLYSKGVYSGYCDKNLNHGVAVIGYGEEGGKKYWLVKNSWGTKWGEAGYIKMERGTKDKDGLCGINLEASYPVKQS >CDP16054 pep chromosome:AUK_PRJEB4211_v1:5:455000:458975:1 gene:GSCOC_T00017057001 transcript:CDP16054 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCFPDWNFGVEFPEPILKNKPLGMDNELVELLWENGQIVLHPQSHHHHHPKPGTADQQENQSRQVDKHNHDQSVSRGSTGGGSCQNQVTSLIQDTETVSWIDDPFDKEFTSDFLSEFPISNPVEQGPHEDDKFKKFGISQDLHNHPVQLPNDKPSDVINSLPPGFHNFDSAQPNHSHLPRAANAPLSAKADLRSSSDGVSNRTLGGEAREYSSAKTVGTSHCGSNLVVNDTDTSRVSSGGIANHRGFSGAMAKDHRVGKMSSQSDGLQTDQTEETAITSSSSDGSETSFGRTCNQSTGTNSHKRKSRDAEDSECQSKAAELESAARKKPAVKSGSSRKSRAAEVHNLSERRRRDRINEKMRALQELLPKSNKTDKASMLDEAIEYMKSLQLQLQMMWMGSGMAPMMFPGVQHYMPRLGMGIGPLAMPSIHSQMHLPRLPLLDQATIPNQAALCHQTTMFNPMNYHAQMQNSKLSEQYANYMAFHPLQNASQQLNVFGFGSNTAQQHNHSLAPSGNSNGPSVG >CDP11824 pep chromosome:AUK_PRJEB4211_v1:5:19616789:19619063:1 gene:GSCOC_T00035083001 transcript:CDP11824 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIRNQRDYTDQIIKGIILVIYYWALSLLLNHPEVLEKARAKLDAQVGIDRLVDEHDLSNQHILYPIIRTLFQKHFACTQQHQCLCHMSRLMTVKLRDTIFRRAQFCQLMHGQFTGTQTFGMIQQASNQSDSKASQRVVGLALGSLIQSFDWKRIGEEEIDLTEGTGVSMPKANPLEKNVF >CDP13698 pep chromosome:AUK_PRJEB4211_v1:5:28148301:28153175:-1 gene:GSCOC_T00038726001 transcript:CDP13698 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSGTKGSLDKQNKAFGIETLEGISRKPSPLLASKTSKSGTASVAQEVPPKQLNIETTANKKLHNAGQNGLLDSLAGKLDTSLRLGNTKQTQASLSPPATETKGSVEKIADHEKKTSEHGTVKDGVSSAKVSDGASSLAKTSGSAKISDRADFVESGKSSICRGSTSSNVSDESTCSSLSSSINKPHKANDSRWEAIQAVRSKEGVLDLRHFRLLKKLGCGDIGSVYLSELCGTKCYFAMKVMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQRQPGKHFPEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSSLETEPLRKNPVYCVQPACIEPSCIQPSCVVPTTCFTPRIFSSKSKKERKPKNEIGNQVSPLPELIAEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSSRDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPRPFEIEKIPVPTASTGEKPAPGAMPFQQNSDNYLEFDFF >CDP10762 pep chromosome:AUK_PRJEB4211_v1:5:17069130:17079543:1 gene:GSCOC_T00031588001 transcript:CDP10762 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKCGATAVTFVLLLLLSPAVFSASNDKLIRVALKKTKLDQISRFSGNVDLTEGGFARINRRRGNVVQSDTDIIALKNYMDAQYYGEIGVGTPPQKFTVIFDTGSSNLWVPSVKCYFSVACYFHSKYKSGHSSTYKKNGKSAEIHYGTGSISGFFSQDHVKLGNLIVKDQDFIEATREPGITFLAAKFDGILGLGFQEISVGNAVPVWYNMVKQGLVEQPVFSFWFNRKAEEEQGGEIVFGGVDTNHFKGDHAYVNVTQKGYWQFNMGDVLIGGETTGFCAEGCSAIADSGTSLLAGPTTVVTQINHAIGASGVLSQECMSVVTEYGKTIIEMLLAKVEPQQICSKIGLCSSDGSHDVSMLIESVVETDMTSDGPRDNMCTACQMAVVWMQNQLRRNQTEEKILDYINQLCDRLPSPMGESAVDCSALASMPKISFIIGSKTFELSPEQYILRVGEGAAAQCISGFTALDVLPPRGPLWILGDVFMGQYHTVFDYGKMRVGFAEAA >CDP05848 pep chromosome:AUK_PRJEB4211_v1:5:26515194:26519116:-1 gene:GSCOC_T00021149001 transcript:CDP05848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 4-1 [Source:Projected from Arabidopsis thaliana (AT5G54110) UniProtKB/Swiss-Prot;Acc:Q1ECE0] MAIADHSSHRSGHYDGKLWRLCPFWQSGTASSSSSSTQNLQTNSQHSQQNGGVGLSSGSSANSVSSVARSLLPARRRLRLDPANNLYFPYEPGKQVRSAVRIKNSSKSHVAFKFQTTAPKSCYMRPPGGILAPGESIIATVFKFVEHPENNEKPTEQKSRVKFKIMSLKVKQGTDYVPELFDEQKDQVTVERILRVVFLDPERSTSALEKLKRQLAEAEAAIEARKKPPADTGPRVVGEGLVIDEWKERREKYLARQQVEAVDSV >CDP16472 pep chromosome:AUK_PRJEB4211_v1:5:7419946:7421562:-1 gene:GSCOC_T00018408001 transcript:CDP16472 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYLKTCWMTKGNRLKQGGEENASNKVFSISGSNGVGSSREIQKKCIACGSDDTPLWRKGPHGPRTLCNACGLRYARLMKRLA >CDP05688 pep chromosome:AUK_PRJEB4211_v1:5:12207941:12208354:-1 gene:GSCOC_T00020890001 transcript:CDP05688 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSKLSCLSWNKYTKNHIPSSDYEGMVTYCISKILVCLIQSIMKYEEHEKWAWSVDFSRTEPSTLASVIRSSIHTFETRSTFAQGSSEVVQRLGCGT >CDP13612 pep chromosome:AUK_PRJEB4211_v1:5:28722054:28725371:-1 gene:GSCOC_T00038617001 transcript:CDP13612 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLNSVSPIKHPSSEHSRNSAGFSSKVLKFHSFSLNNGFPRLLASTQTAFASKDTVFTLPNWRSGRNDPRTKELRMNDAFLYLEYMVGKRHMPDVVQATQLLYDLCKSNKLRKATRVMEMLIASGSIPDAASYTFLVNHLCKRGNVGHAMQLVEKMEENGYPTNTVTYNSLVRGLCMRGNLDQNLQFVDRLMQKGLVPNAFTYSILLEAAYKERGVNEAMRLLDEIIAKGGTPNLVSYNVILTGLCKEGRVDEAIQLFRNLPSKGFNPNVVSYNILLRTLCYEGRWAEANELLAEMVGDDRSPTIVTYNILIGSLAFHGRTDHALKVVDEMHRGGQLKPTAASYNPIIARLCKERKLDAVIKCLDEMMYRHCNPNEGTYNAIALLCEEGMVQEAFSTIQSLRPKQSSSNYDFYRTVISSLCKKGNTYAAFKLLYEMTTCGFNPDSYTYSSLIRGLCMERMLGVAIDVFRIMELSGYRPDTDNFNALVLGLCKSRRTDLSLEVFEMMIEKGYMPSETTYTILVEGIIREEERELAAAVLKELHQRQVVSRSTVERIVMQYDIEELSI >CDP16377 pep chromosome:AUK_PRJEB4211_v1:5:3081398:3090285:-1 gene:GSCOC_T00018216001 transcript:CDP16377 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGAPLGDGDTRTSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPAVKGTIVFDANSTITISPVNFHGLPKYDGCCFYIGTPQKKDYFLCAETPGAARAWVSTLHATQLVLKAHKEAVNSLSGNGSTKLGTVATVVAAANSTAIEASKEIEAAMQITVRNALGNMLNRTADGPMDDLSIMKETLRVKDEELQNLARELRARDSTIKELAEKLSETAEAAEAAASAAHMMDEQRKIACAEVERLAKDYGKQLESSMLKLRESEDRVVVLSKDRDQLIKQRDSAMQEAHLWRTELAKARERVVILEGAVVRAEEKARVAEAEAEARIKAAAEKEAAAIKEKQELLAYISALQEQLKRQQVDTKQVFEEKSESCSDSSNVPLTKHVDPSEENVDKACLSVSRAIPMNRESIVHLAVDPANMEPSGDGEWNDIQTTEARIADVREVALETERSSLDIPVVSLPVDTEHDQGVNSSHQP >CDP14811 pep chromosome:AUK_PRJEB4211_v1:5:22200718:22204134:1 gene:GSCOC_T00042271001 transcript:CDP14811 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALSCVSVILNKILPLAADEVSRVWGAKKDLEKLAKKVEMMEALIFDAKCKQPTSKAVQLWLKRLQSIARHAEIVLDDFGYEVLRQKVENRKRDKMANNIKNVSASLEEAYREANQIGLHPAQLPMTSADHKEDRLTVPFVDESEMVGREVEVSQVVSMLISSDYKKDLPVISIVGMGGQGKTTLAQLVLKNESVTKHFDKKIWVCVSDDFKVERLLNEMLQSLGEKNAETTNKEALVRKLQENLKGKSCLLVLDDIWNDDREKWDRMRICLLAIGGAPGSKILATTRSDEVASAMQTSGVHHLDILLDDHSWMLFEKLAFADGGARKTRDLVDIGRRILKKCGGVPLAIKVIGGLLYSKKDASEWLTIEKSEIWNESTNIANGVISILKLSYENLPSWSVKQCFASCSIFPKDTDMKKESLIQIWMAQGLINDAKGGGHLQMEDIGSDYFNILLRSSLLQAAPKYGIEYYRMHDLVHDLSLQVSNNCFLNTEDGMEVSHDDEVMHLTIINLSRGKVLKKIERIPPNLQTLYYLWGGGIMLKDILKRSRYLSVLKVDCYDVTHLPNAVGNMKHLRHLDISETGITALPDSITKLYNLMTLKIRYLEEIPKKFSNLINLRHIEFSKVLRGRSQCLFPGIGQLANLRTLPHFVVSQAKGCQLEELEHLRNLGGELEIFGLENVSSFESAAKAKLSEKSSIQSLEFSWNGTKEDCDDNNINGVMEGLQPHPDLKSLAIRGFEGSRYPSWMVAKDHLTVLRNLVHLTLGGLGKCEQVPPLGDLPCLESLKMISLHNVKRIGAEFYDGKPVTLFPKLSRFVLWGMGSLEEWSDAMSFPNLRDLDISGLPKLAVLPDMENLTSLKELRIWRCGSLACIRNLNSLTSLECLVLSISGCDKLNPSLNNNLEKFTSLEWLRVVSDDPGSWPSMVLHHLANLRELELGGFSDILDLDHFPWPHSITNLVSLETLRLRGWPKITSLPDQIQHLSTLTSLEIEEFEGLEVLPEWMGSLRNLRELVIRDCSNLRQLPSAEAIRHLTNLNKLYIDTCPLLAERCTKGSGAEWPKIAHIPLCIGSRL >CDP13745 pep chromosome:AUK_PRJEB4211_v1:5:27850806:27856452:1 gene:GSCOC_T00038793001 transcript:CDP13745 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAKLPISRSPCTLTIPPGLSPTSFLESPVLLSNIKAEPSPTTGTFSMPQMMQGSSGTSGFSYLTNCSSLNAVNKTNSCNFEFQFHADSGDAAGLRPIGLAVSSGLVQQHNKSLGQVPDSGLSQSLVSSSLVKHEVVMAKESNFSAPTTISDCATTAVDSDVLNQKNHPNISNQVSNSDSKDTTSSVANDRSSDDGYNWRKYGQKLVKGSEFPRSYYKCTYPNCEVKKIFERSPEGQITEIVYKGSHDHPKPQPSRRFTPGALLSIQEEKFDKDSTFTGQEDKLNVNSQNTSVEPNSTPVPSPQQENDDGLDGTLSKLQCVNDEIDEDDPFSKRRKLDGSLDVTPVVKPIREPRVVVQTVSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTHAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARTSSHEMAGPATMGAMSRIRSEEDDPISLDLGVGISYGAEHRPDAQLQMLNSEPLQSQVPAAASTRMVVQASTLPACYGVVNGGITLYGSKENLEAVVLGQHLCGLQTNVHKILEE >CDP06013 pep chromosome:AUK_PRJEB4211_v1:5:25313465:25314488:-1 gene:GSCOC_T00021357001 transcript:CDP06013 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIRLSKQLYSHKSRILVFSSKLSVSNPCLPNSPVTSPKINISSNSTANPKPNLKKTNEVDTLMTFQKQEGECIHNTLQFLSPSQLLQVLEVAAVLHPFILHTILSILDCNPSLRPKTTSENLRKFFSKNGDSEEGKVVISNEVTTGKSKVCGFVSFKNGMVGGRGGQRGTRIKENTSSIFGPIP >CDP10756 pep chromosome:AUK_PRJEB4211_v1:5:17004764:17006852:1 gene:GSCOC_T00031577001 transcript:CDP10756 gene_biotype:protein_coding transcript_biotype:protein_coding MEITFPALLVSVLCFFVLWKLTGLFIKSSERTDSPRKLPGPWKLPLIGSMHHLAGSLPHHALRDLAEKFGPIMHLQMGEISTVVISAPQEAKEVLKVHDIIFADRPEFLASKILGYDNLDIAFSPYGDYWKQMRKICLLELLSPKSVRSFGTLREDEALKVIRSIKSSNSPVNITDKAFTFTNDIVCRAAFGKSFAHQDRLITLINGAISASGGFDIADLFPSLKFLHSLSGLKPKLIKLHNEIDQMLETIISERKQKRANQSTPVGSQSEVEDLVDVLLRLKESGDFNIPISTDSVKAVIWDIFAAGTETSAATIDYAMAELIRNPGAMEKVQAELRQVLKGKETVQETDLKELNYLKAVIKETLRLHPPLPLILPREGREPCKIGGYDIPAKTKVMINAWAIHRHPEVWPNPEKFKPERFLDSGSDSIGMNFEYIPFGGGRRICPGVSFGSAGVELLLAKLLYHFDWSLPNGTVSPETPDMTEVFGATARRKSSLILVATYHDPFA >CDP06180 pep chromosome:AUK_PRJEB4211_v1:5:23921381:23927510:-1 gene:GSCOC_T00021585001 transcript:CDP06180 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDHRKKSSTEMDFFSEYGDASRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNDKARRYLTSMRKKQPVPFSQKFPNADPLALRLLEKLLAFDPKDRPTAEEALADPYFRGLAKVEREPSCLPISKMEFEFERRRVTKEDVRELIFREILEYHPQLLKDYMNGIERTNFLYPSAVDQFRKQFAHLEENGGKNGTVIPFERKHVSLPRSTIVHSNAVPPKEQLRAPTLKDRQNGEEPCCRNPRDSDGLPGSLSRTLQTQQRIPQAKPGKAVGPVLPYENGTVNKEAYDPRTVVRNPVLQPPPQGIPSAYCYHGSGTVKPEKSVMENERKLSSQGRQMPQCGMAAKMAPDIAINIDGNPFYMTRTPGTKVDQVDDRITIDTNLLQAKAQFSGIGVAAAASAAASRKVGTVQFGFSRMY >CDP16473 pep chromosome:AUK_PRJEB4211_v1:5:7558186:7559145:1 gene:GSCOC_T00018409001 transcript:CDP16473 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLTYGDLQAVVPDSAEEVAMGSDEAAMTDNSSIVYGAGGDLSSRREPLFYTAQSFLFLLRSAEAFLSKPPPFDFSCSFLCSVFNQNLSRPSLFSFLLPAFSPHFHFLAFLSRFLFSHHKLAIAFSFLLFFVFSFLLCRTPHAQNVAAAFFSFFFFSAP >CDP14739 pep chromosome:AUK_PRJEB4211_v1:5:21477452:21479425:-1 gene:GSCOC_T00042177001 transcript:CDP14739 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLDKPLLTFTPSLIPQHHHYSNAKPPAPVPKPKNPSAKSHFISNSKWVFPNTSPLPPPEWVQPFTDLSDLIKDPRNLLPSPWVPQILNLLDGSPAMEQNLSTYCHKFLIKLSPNFIAFLLKSEKLAEQSVKDTAFRLFVWAGKQTGYAHTLDCYVSLIEVLSVSSDFDRISCIFGELKDKSFLINVNAANSLIRSFGNLGLVEELLWVWRKMKESGVEPSLYSYNFLINGLVNAMFIESAERVFEVMEGGKILPDIVTYNTMIKGYCKSGKVVKAIEKFREMEVQNVEPDKITYMTLIQACYSEGDFNSSLGLYHEMEEKELEVPPHAYSLVIGGLCKDGKSFEAYAVFENMCRRGSKPNVAIYTALIDSYVKNGNLEGAMKIFDSMKHEGFEPDEVTYGVIVNGLCKNGRLVEAMQWFEYCESNNVPINAVLYSSLIDGLGKAGRVAEAEKLFHEMVEKGCPQDSYCYNVLIDALAKTGKVDEALVYFKRMGDEGCNPTVYTYTILITGLFKEHRNEEALKLWDAMIDKGITPTAASFRALSTGLCLSGKVARACKILDDLAPMGVVLETAVEEMINVLCKAGRIEQACKLADGVVDRGREVPGRVRTILINALRKAGNADLAMKLMHSKIGIGYDRMGSIKRRVKFRILVED >CDP15874 pep chromosome:AUK_PRJEB4211_v1:5:22451811:22465630:-1 gene:GSCOC_T00016774001 transcript:CDP15874 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEVDGGGSGGSAAAAAADAGAEGGGYDVVKKNSTPEGEPRVKRKMKTPSQLEVLEKAYSVDTYPSEAMRAELAMKLGLTDRQLQMWFCHRRLKDRKPPGEKRVKKEASPGMVGALSNGVGKELVGGYGGGMKNDQSLGLVRTALGPTEILQQHRVVHRSGTALARIGTEMPAVKRYYEPPQALSELRAIAFVEAQLGERLREDGPVLGMEFDPLPPGAFGSPIGLQKPGVGLYEAQVYETPDAKVIQGAKRTIHEYQFLPEQPSIRDDIRERALPFQYYGSSTDAQSSRTTLSAGRSFMHGTEQLTPGYSFQGKLSGGPCLSLLPQLGNQGHHLSPPSGEVDIFPQRNSVLNIDIDRPGAHLTRGLETPLIPTEKRVIHDEERLERKRKSEEARIAREVEAHEKRIRKELEKQDLLRRKRDEQLRKEMERQDKERRKEEERLLREKQREEERYQREQRREMERREKFLQKESIRAEKMRLKLEMRREKEAARLKAATERATARRIAKESVELIDDERLELLELAASSQGLPSTLALDNEALQNLESHRDSLTEFPPKTVCLKRPFGMQPWVESEESVGSILMIWRFLITFADVLGLWPFTLDEIVQAFHDYDSRLLGEIHIALLRIIIRDIEDIARTPATAVGANQNAAILGGGHPQIVEGAYSWGFDILSWHQHLNPLTWPEILRQFALAAGFGPKLKRRNVEQSHPHDENEARFIFLLKNDISYIIAGLRNGAAAENAVAKMRQRGISNLGRSRHRLTPGTVKYAAFHVLSLEGSKGLTILEAVDKIQNSGLRDLTTSKTPEASVAAALSRDTKLFERTAPSTYCVRAPYRKDPADADAILATARERIFAYKNEFLDAEEPDEAEKEDAERDEDSESDMADDPDINDLDTERNLNKESLHSFEPSTSGVKHHSGNIKEKSHVQFTESSGSSIANTNGSFDIVQPEGMEGASGSQSAATVGIHIEAANKEDNVIDESSTVELWVQGLTEGDYSDLSVEERLDALVALIGVANEGNSIRVVLEERLEAANALKKQMWAEAQLDKRRVKEEYALKVQSSSFAGSRSEQNSSITSSDGRQSTFLNIDGRNESLSTNPKNHQMDLGDSSRIPNSSASTTAERNMMLQEFSGPDVLLQQSAYAAEKSRLEMKAYIGQKAEEIYVYKSVPLGQDRRRNRYWQFIASPSQNDPGSGRIFVELHDGRWRLIDSAEGFDGLLASLDVRGTRESHLHSMLQRIEVSFKETAKKNLCSRSTSQDADDVKEEVYEESPNSMVPDMMEPLASIRIKCESYGLDKNVTLERYRDFEKWMWDECFSIMKLRALKYGKTLRTRLLSICSHCHDLYFFENQHCPFCHKNCSILVGTFNFGEHVSYCKEKQEEVQNRTLVKLEPSSPLRIRLLKAQLASLEASLLPEAVKAFWSEDYRRSWGMKLEIASSAEDLLQILSLLENAVKRDFLGADYETANELLGFENLKGGMVDNTPTLEMTAVPPWIPQTTSAVALRLMDLDTSICYTLQQKDDSEKVKGARHIIRIPTRNTGATNAAENVRTERPQEAGTVPEDTWDGPGAKLNSSRRAHRQVARPQRRVSESRNGSRQGTSAAKRDKFGPLLGWKGRPNGRGGRKRGRRTVRSRQNPTKKRTDIASKRASAGADIYDKTPSSHQHEWDEEGTTQIQAEVAGNESSSERSGFDDDNDLMVEDYSGCFDTKSENLAKGIDYGMGVENGNDELDDDEEDDEEEADERPGEQVVEEYFDSDSEEDANVSVSGEKMGNLNKGSEFSSSDYSD >CDP05797 pep chromosome:AUK_PRJEB4211_v1:5:26912538:26913734:-1 gene:GSCOC_T00021086001 transcript:CDP05797 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSSSGGGCCRCCCSFILTSGLTALFMWLSLRGSKPSCSIEDFYVPSLNATDNSTTTRSNHTLYFDLRLKNEMKDKGVGYDDLNLTFFYVQNGSLGIANYTVPSFYQGHDKKARRKELVQTYGVPWEAAYRAVSNGSTVTFRVGLTTRVRYKILFWYTKRHGLKVGANLDVNNSGKKINKKGIRLKSGAPESVRCPGLVVISIALYCLVLLL >CDP15931 pep chromosome:AUK_PRJEB4211_v1:5:22944816:22948871:1 gene:GSCOC_T00016850001 transcript:CDP15931 gene_biotype:protein_coding transcript_biotype:protein_coding MGFERGEQVEVVMEDEGFKGSYYPAIIISKKGTSRYKVEYTTLLKDDESGPLEAVIDVDQLRPVPPPMPVMDFDLHDLADAYEHDGWWGGIIYKKFELDYLVYFPTLGKALAYPSEKLRIHQEWANGRWTCTKSFQAGEEVEVMLKEEGFEGSYYAAKILSKEDASQYKVEYKTLLKEDDSGPLEEVVGVHQLRPSPPPFPVSYFSLYELADVYESDGWWAGIINGKFQSDYFVYFPTTQEEIPYRFEQLRLHQDWSDGQWISSKEATASPSNSSLISFFFFFQIFSFYFQTEKHEIANSSTIYLAMG >CDP14690 pep chromosome:AUK_PRJEB4211_v1:5:20980680:20982934:-1 gene:GSCOC_T00042104001 transcript:CDP14690 gene_biotype:protein_coding transcript_biotype:protein_coding MIATRHREREFFATSPEYGHLASRMGSEYLAKLLSKHLESVIKARIPSLVSLINKTTDELKSDLTHFGRPVSIDEGAQLYTILELCRAFDRVFKEHLEGGGRSDLCKSVCQADGYQPHLIAPEQGYRRLIESSLSYFRGPAEASVDAIHFILKELVRKSIRETQALRRFPTLQAEIVAAANEALERFRDDSKKTVLRMVDMEASYLTGFL >CDP05993 pep chromosome:AUK_PRJEB4211_v1:5:25473722:25476189:1 gene:GSCOC_T00021333001 transcript:CDP05993 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQKVKMLRHCAFYSGLSLVKTWWLALLVSWSQHASETWFLFGSTHQSQAICLHFKGLCEVKMSDANNELSVDVNDLSEASTGEKRKREVKLRSKAWEHFTKLIKEDGTYEKCQCNHCNKLFTCSSRSGTTHLLRHITEGICPVYNRGKKDRPPTILNYMRGSSEPRNNMTSWKFNQGLDQAGHESVDMRDELLSAGLEDLERQTREVLEDDYVGQPSPPVSVKYPQQSALKRQSRGDSWMTELRACVSKLVDLTTERMPNGSSIKSSLAVTAPDYSIAAALRCLNEMEDIPQSSEMYLDAFELLKDDGERECFICLPAEPRRRWLQRMLHRRYPLRYNCNF >CDP15895 pep chromosome:AUK_PRJEB4211_v1:5:22679936:22688630:-1 gene:GSCOC_T00016801001 transcript:CDP15895 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLRSLLSAATKKSLSSSTSYFQHNHLSIKTHLFTRHAGISRAFSAAATATSAASAASAPSGAIDPSRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSISWKENELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPAVTEERCNEVESLVFDLFANLGASEEQLDFPVLYASAKEGWASSNYTKCPPDDAKNMSQLLDAIIRHVPPPTASLDAPFQMLVSMMERDSYLGRILTGRIYSGIVHIGDKIHGLRESDSGTVKIEEGKILKLMKKKGTSMVLVDSAGAGDIVSMAGLTSPAIGHTVANVEVMTTLPTVQLDPPTISMTFSVNDSPLAGRDGTHLTGGKIGDRLIAEAETNLAINVIPGLSDSYEVQGRGELQLGKFYLFIFNEISLSNLFFVLLSPRRKIMFVL >CDP05802 pep chromosome:AUK_PRJEB4211_v1:5:26880080:26889237:1 gene:GSCOC_T00021091001 transcript:CDP05802 gene_biotype:protein_coding transcript_biotype:protein_coding MESILARALEYTLKYWLKSFSRDQFKLQGRTVQLSNLDINGDALHASVGLPPALNVTTAKVGKLEIVLPSVSNVQIEPIVVQIDRLDLVLEENDDIDAPTSSSSAQTSASAAKGSGYGFADKIADGMKLEVRTVNLLLETHGGARRQGGATWASPMASITFRNLLLYTTNENWQVVNLKEARDFSINKGSIYVFKKLEWESLSIDLLPHPDMFSDAHLARSQEGSSRKDEDGAKRVFFGGERFIEGISGEAHITIQRTELNSPLGLEVQLHINEVVCPALSLRALLRFFSGLYVCLNRGDVNPNAQRSMESAGRSLVCIIVDHIFLCVKDVEFQLELLMQSLFFSRASISDGENSKCLTRVMIAGLFLRDTFSRPPCTLVQPSMQAASDDILHIPEFGKNFCPPIYPLGDERWQFSIGPPLICLHTLQLQPSPAPPKLASQTVIDCQPLMVYLQEESCLRITSLLADGVIGKSGSVLPDFSINSLLFSLKGLDVTVPLDIGKPQYDSRSGVADFRCPFAGATLHIENLFFSESPSLVLRLLNLEKDPACFCLWEGQPIDSSQKKWTSGASLINLSLETSSHSAGMSSHLWRCVELKGACLEAAMGTVDGRPLMNIPPPGGIVRVGVACQQFLSNTSVEQLFFVLDLYAYLGRVSERMAVVGKTNRNMEVPNESLGGTLIEKVPGDTALSVALNDLRLRFLESPSGDCLGPPLVQFSGDDLLIKVTHRTLGGAIVISSSIGWESVEVDCAETENNLPHENALKLASDKKGPMSGNGYPHLRAVFWVQNRKNLHENSRAVSVPFLSINVVHVIPYDAHDVECHSLNVSACIAGVRLGGGMSYAEALLHRFGILGPDGGPGEGLTRGLEKLSGGPLSKIFKASPIVDELRDSRKSGNVEDEKQNTALQLGAPDDVDVLIELTDWLFAVEGEEEIAERWRFNSEHASREDMCWHMTFQNMLVKAKSSPKHLMNDERKFHGKQKYPVELVTVGVQGLQILKPLSQMGSLENGVGNKQIVETCGVNTEVDIVISQDDDDGGAQCVVNNLKFSVKQPIEAVVTKDEFHYLALLFKSEVESMGRIAAGILRVLKLEGSVGPAAISQLSNLGSEGFDRIFTPEKLSRGSSPSSIGFNLSSDTNGGIRDSCLESTLSSLEEMVLDSQAKCAALTSEIGSPEFSAENLRNVKKLSQKLESMQKLLMRLRTQI >CDP06059 pep chromosome:AUK_PRJEB4211_v1:5:24957253:24960395:-1 gene:GSCOC_T00021413001 transcript:CDP06059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT3G14770) UniProtKB/TrEMBL;Acc:A0A178VM79] MVSQASIQVLEICKDAAGGAGNIFAFGLFVSPIPTFQRIIRNKTTEQFSGLPYIYALLNCLICAWYGSPLISLDNILVTTVNSVGAVFQAVYIVLFIAYAEKAKKLRMLGLLLAVCSAFAVIVLCSLLIVDFEVRRIAIGFLSCASLISMFASPLFVINLVIRTRSVEFMPFYLSLSTFLMSTSFFLYGIFNFDPFVYVPNGIGAFLGTVQLVLYAYYKSASKEDTREPLLESCS >CDP11344 pep chromosome:AUK_PRJEB4211_v1:5:14657107:14657853:1 gene:GSCOC_T00033546001 transcript:CDP11344 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIFLNWRKISQFIFIFLLFFSPQHSPLFLHENICQVFFLKCCFLGVTSFILQSELPQYLFYGGFCRDGGVIGITQPRRVAAVSVAKRVAEECGVALGQKLYFDISRESIFTVDCFFQNVNTIVDNREALLDQCLSKYSVIIVDEAREE >CDP15932 pep chromosome:AUK_PRJEB4211_v1:5:22951616:22952137:1 gene:GSCOC_T00016851001 transcript:CDP15932 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHRGDQVEIMSKEEGFQGSYFHATVVTKLEMDEYIVQFKTLLEDDKPAPLRQVHTLDEIRPIPPEIPRKKFYVKQKVDAYEGDGWWVGIITGIEEAESEEEFKYTVRFQTTGEQRSFKLENLRVHQEWVNGNWIFSKRGRKKKVWNFIRSVFFDDVFYSHLFVMRLSQGTA >CDP13710 pep chromosome:AUK_PRJEB4211_v1:5:28065395:28067857:1 gene:GSCOC_T00038747001 transcript:CDP13710 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLKLQEKLVFAVTNLGTRIAVGVSQESTVGDFKRKFEMAHLNCFPEHGKVRVCGLKVRKKSCFYYLSESFVLKHAFQGANGWFLYIAVCHIQDQTAAKKNSRKLRRRKLKMKKLSCYKAACLDIPRTAYFSKREKKNCNRVMKNITAKCPTNRIKQRHLSSQESILPFAPRADKQGDRSSAIECPSETISGTVSISGIINRYFSGYDEVTSNLAFSCMELQQNNKENMKAQFAIGSQNIQVGIPSSLVPKTPPQRHSSSVLAPNSRASRDAPREKVGMHLVEASVNLGLFKTKQNPALSLCKDVDGRSGLSLSANNPIRTLVFEITDEDD >CDP13740 pep chromosome:AUK_PRJEB4211_v1:5:27868749:27869426:1 gene:GSCOC_T00038788001 transcript:CDP13740 gene_biotype:protein_coding transcript_biotype:protein_coding MLSILWSKQAKRPSANLFSSNIKVVPIIERSKPSNLNRTTIIPEPVCIRIRGMVWHPKEGLISS >CDP11333 pep chromosome:AUK_PRJEB4211_v1:5:14168698:14169117:1 gene:GSCOC_T00033523001 transcript:CDP11333 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLAFTKEDASGLQPYEQFQRLEHDYEALAAKKRKARLQAIPQGYPLSLLLTIC >CDP05844 pep chromosome:AUK_PRJEB4211_v1:5:26554085:26554879:-1 gene:GSCOC_T00021143001 transcript:CDP05844 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAREDVREDEAAAVDDENKLDLLESDRGLSPSDSLFRDIDDFLSRNDFHNLSNFEGLQGASFSDFLLPFLLACIKKPMKTIIRRMNISSDYVEKNFSVCCFHFFKKRKVSNIYI >CDP06047 pep chromosome:AUK_PRJEB4211_v1:5:25030267:25031077:-1 gene:GSCOC_T00021399001 transcript:CDP06047 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVAFEKGLKTWSRWVDSNVAPSKTQVLFQGISPTHYDGKEWDAPIAKSSCIGQTRPILAPEYPAGPPPAAAVVKKVLANMSTPVTLLDVTTLSQLRKDGHPSIYGDGPDSHEGKDCSHWCLPGVPDAWNALLYGILVRNGQLK >CDP18731 pep chromosome:AUK_PRJEB4211_v1:5:23699756:23701257:-1 gene:GSCOC_T00002663001 transcript:CDP18731 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSLIFLSLRFLLLLLFTFTSFSSASPSVNFPASDSRMDPLELETLFKIMETVSSDQSWRTAHPNPCKPGSSWIGIECKSSGNDNLIHVSRLDFGVPPNPSCKSTATFPSQIFQLPHLESVFFISCFTHARTTISFPIKVSSSSLQQLSIRSNPALVGLIPFQLSSLKSLQILTLSQNLLSGTIPAQIFSLSSLLHLDLSYNMLTGRISFQVGNLKKLVGLDLSYNKLTGTIPSTIGQLGMLQKLDLSSNKLTGRIPETIGNLHSLVFIALSSNELKGLLPKGVSMLQNLQCFLMDDNPMFISLPSEFGELQKLQELRLANSGYSGKIPPTYSKLSNLSTLSLQNNRLTGEIPASFGSLSHIYHLNLSRNFLDGVVPFNSSFLNRLGKNLDISGNPGLCLSPSEANGFNLGVNVCGSNKSGSSILPWEKSEAHQQGIPRPLFFLLVLLSTMISVALSNI >CDP16065 pep chromosome:AUK_PRJEB4211_v1:5:761227:761997:-1 gene:GSCOC_T00017074001 transcript:CDP16065 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIYGFNVNFSFSDSRTRRCCNPSASGSNVNNNNNSGTPEPYPRLHPGYLVPITNLLRIMKRILPPNAKVSEEVKTTIQECLSEYIHFITGEVNERCHCEQRKTITAEDLLYAYARLGFSNYVGPLTLFLERYRQNEAAKNIIHGDASVRRTTYFPDAQGPPPLLTSTPPLLPLMNLTFQVGEDHQGFFINPALMLNDLYFQNVRETDHGDRFDVVGSSSNPDFPALAPFSYGFHTRASSPPGSYTYGHGPNHGQ >CDP17858 pep chromosome:AUK_PRJEB4211_v1:5:1513908:1519049:-1 gene:GSCOC_T00013176001 transcript:CDP17858 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQKQAEEAIVPGDISVNDGTQGGEEERVDHEPRHSFKSLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQIFYGLLGSWTSYLISVLYVEYRTRKEKENVSFKNHIIQWFEVLDGLLGPQWKAVGLAFNCTMLLCAAVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFFGLGMTTYTAWYLTIAAFIHGQVDGVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLFATIYVFTLTIPSAAAVYWAFGDQLLDHGNAFSVLPRTRFRDAAVILMLIHQFITFGFACTPLYFVWEKAVRMHDTKSICLRALVRLPVVIPIWFLAIIFPFFGPINSAVGAFLVSFTVYIIPALAHMLTYRKASDRQNAAEKPPFFLPSWTAMHVVNTFVVGWVFVVGFGFGGWASMSNIIKQVDSFGLFAKCYQCKPPPPTHQH >CDP17851 pep chromosome:AUK_PRJEB4211_v1:5:1371039:1372001:-1 gene:GSCOC_T00013166001 transcript:CDP17851 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCFRALVIAITLLLMISSAIRSSDAAGIATYWGQNTDEGSLEDACRRGTYDYVNLAFLINYGNGQTPELNLAGHCERSACSSLSSEIKACQQRGIQVLLSLGGAPNLSSRDDAKEVASYLYNNFLGGDSENRPLGDAVLDGIDFHIQGGRRDFLDDLAKALSEYRTTERRVHLSAAPQCSYPDYYLDAAIRTGLFDYVWVQFFNNPPCQYSMGNANNLINSWSSHWASHPGVNKLFLGLPASPEAAPSGGFIPHRMLIREVLPQIQDYPNYGGVMLWNVYYDENYSQAIRPYVNPETLTFGRRSKYPRKSMIKSFVDE >CDP11798 pep chromosome:AUK_PRJEB4211_v1:5:19221987:19222861:-1 gene:GSCOC_T00035041001 transcript:CDP11798 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDQSTTLNEKSAGSQEDKSQDSELHFSEDEEILIIRMFNLVGKRWSLIAGRIPGRTAKEIEEYWNTRSATSP >CDP06082 pep chromosome:AUK_PRJEB4211_v1:5:24778909:24779532:1 gene:GSCOC_T00021444001 transcript:CDP06082 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKTLTTEVNFKLFTSFFQCSFPILSYQIISSSIYMASIVPENMSCTNNEERLIPEIPQRDDTILMSFLEDSVQLECCDDERLNSVIQSLEAAINPGLMNDHGSNVDDGYQLQDCLFDQLMSIDEEYTYGDQANSASQDLDFSWMDLEISSSSSSPSDHGLSCWYEQVGEEDVEHNVAQYGGVIDYSEILLEEPTCSSIWQEAYRL >CDP13640 pep chromosome:AUK_PRJEB4211_v1:5:28506800:28508701:1 gene:GSCOC_T00038655001 transcript:CDP13640 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDDKEDQISESIKREHPDHKSSVLGDHGELWIKLKINPSSDEDGDEEEEDFEKDQPERPPQSKPKSNSNTRICQVCSKVFGSGKALGGHMRIHFQTNKDNKDLWKIKSSSSDHDSAVAGFNKNNTNPTCSICGKNFPSMKSLFGHMRCHPDREWRGIQPPSWAAVKPSPSSSLSDAEPQKTDVDDDDYQHHQVDSADGDGDQTVDLTKTLGGWSVTAKRGRKAIVENIEEDEGMRDAVYHLMSLAQGGDSSSDVKLQVKNRHKFEEFEATNSNSLAYKSENDEDLMTKSAPGSKKKRKKESLADHPLRDLDQFNPVLTLTTSLEKYRCATCDKCFPTHQALGGHRSSHNKFRMVIQNSYGESTFAAATDEYGTLGNYTPNPGVDESKESDEGAASSHECRIGNKKFLTGQALGGHKRCHWPAAGQMDGPSSQVTSAGEVSGTDRRVMEFDLNEVPPLEEDAGVECDHAAGYGCASSSFNSVEFQGLCA >CDP11302 pep chromosome:AUK_PRJEB4211_v1:5:13369925:13382331:1 gene:GSCOC_T00033463001 transcript:CDP11302 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAFII59 [Source:Projected from Arabidopsis thaliana (AT1G04950) UniProtKB/TrEMBL;Acc:A0A178W741] MSIVPKETIEVTAQSIGINNLSPDAALALAPDVEYRLREIMQEAIKCMRHSKRNLLTTEDVDSALRLRNVEPIYGFASGDPLRFRRALGHRDLFYVDDKDVDFKDVIEAPLPKAPLDCSVVCHWLAIEGVQPAIPENAPVEVIRAPSETKLPEQKEDGLPVDIRLPVKHVLSRELQLYFDKITELVVSRSDSVLFKEALASLATDSGLHPLVPYFTCFIADEVSHGLSNFPLLFALMRLVWSLLQNPHIHIEPYLHQLMPSVVTCLVAKRLGNRLADNHWELRDFTATVVASICKRFSHVYNNLQTRLTKTLLHAFLDPKRAITQHYGAIQGLAALGPNVVRLLVVPNLETYLRLLEPEMLLEKQKNEMKRHEAWRVYGALLSAAGQSIYDRLKMFPSMPSPPASTVWKTNFRIIHSCSANKRRTPADLLEEQPPAKKMGNDGALVPLSNNSSDMETDKDPAALGDSSAGRSSPKDIRESLSERRQKRDQLEKRILRTPALLNQAWKDDLDSGRLLLLLFELFGESIFPFIPSPEMAFFL >CDP05841 pep chromosome:AUK_PRJEB4211_v1:5:26577034:26578643:-1 gene:GSCOC_T00021138001 transcript:CDP05841 gene_biotype:protein_coding transcript_biotype:protein_coding MEKACCELCGKAAKMYCVSDEARLCWDCDEKVHSANFLVAKHSRNLLCHVCQSPTPWKASGTKLGPTISVCQRCLHSSTAAENPAVRRTRQEQVEEAEDETTDQRRLGVDQYSDGSEDSDGYDDYDDDEFQEEEDEEEDGENQVVPWSSSPAPSSSALPLTTSSSSEHGSFSSRDGGAAVSSALKRSRDNRPPDSDDEDVCCSTQINLSAGAMEDRSSSLRSLKTARAEEELVHGPESEIELMRKKGRMELLGSFLNFQQTTAAGENDASEVIRNISKLSRDAH >CDP14726 pep chromosome:AUK_PRJEB4211_v1:5:21353709:21356673:-1 gene:GSCOC_T00042155001 transcript:CDP14726 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIYDNWERLVRATLRREDLRLSALRTPSDISTVSESPSFNFSDPSHSASSFNISSLLVGDSFTYHQNLQATDYISGSNLIKHRSSNVGLKGALVSAVSSRLAADEISRAWGVKKDLQKLSKKVEMMEALISDAKCKQSTSKDVQLWLKRLQSIARDAEIMLDYFGYEVLRQKVENRMLDKVRNFFSLSNSISSRGRMAKKIKNVSASLEKAYKEANQIGLHPAQLPMASADHKVDRLTVPFVDELEIVAREAEVSEVVSMLISSDCKKDLPVISIVGMGGQGKTTLAQMVLKNDSVAKHFDKIIWVCVSDDFIVERLLNEMLQSLEGKSAEMTNKEALVRKLQENLKGKSYLLVLDDNWNDNPLKWNDMRSCLLEIGGAPGSKILATTRSDDVASAMQTSISHHLDILSDDHSWMLFEKLAFADGGATKTQDLVDIGRRILKKCGGVPLAIKVIGGLLYSKKDASEWSKLEKSEIWNESTNIANGVISALNLSYENLPSWSVQQCFASCSIFPKDALMEKESLIQIWMAQGLINDAKGGGGHLQMEDIGSEYFNVLLRSSLLQAGYKNSFNKIEDCRMHVLVHDLSLQVSNNCFLNTEGGMEVHHENEVMHLTIIGSRGKALKNIEGIPPNLQTLYYLEGDGIMLEDILERSRYLSVLIVDCWDMTHLPNAVGDMKHLRHLDISRTEITALPDWITKLYNLMTLKVRCLKEIPKKFGNLVNLRHFDQDKGCQLEELEHLRNLGGELRIFGLENVSSFESAAKAKLFEKSSIRGLRLSWGDTNEDCDDNNINSVMEGLQPHPDLKSLAISGFKGSRFPSWMVAKDHLMVLRNLVHLKLRGLGKCEQVPPLGDLPCLESIKMVSLHNVKRIGAEFYEAKPITLFPKLSRFELQDMGSLEEWSGAMGSPSWLFYQIWRT >CDP05966 pep chromosome:AUK_PRJEB4211_v1:5:25672279:25674801:1 gene:GSCOC_T00021297001 transcript:CDP05966 gene_biotype:protein_coding transcript_biotype:protein_coding MELDRPHHRTTPGTASTSSELFVCFTSRLSASSSMKIAKSILSPGRARDPPISLPTSLSRRLRTNGSIKGGQASPMFPTGGKKRGCAFENPEPSSPKVTCIGQVRVKTKKKVKQTRSLSRRRSAGEASFRKVEQLSNDDLKISGEELGACNRTQQQQRYAPPANSSSHFQQQECLPQRNQRWVHLPVTICEGLRAFGAEFSCLFPCRSSCFSTNDREKEEKAGNIGQGGHGEEGNNGNDNSGQSSCGAVFARWFVALQDCEGGKSREIELVVGGGDEEVGTEARERTSMRSSRRHVFEDIEFKEEMIAVKGEEEEEKARVSICVPPKNALLLMRCRSDPMKVATLANRFWESPAPKDDENEAEEENGEGNGELVEVPAQDLEIVNEEAKIEVEVRDEVVEQLVSAESNEEAEEELEKEAILANDMQEFVQEDVEDEKPGKHSSKGLEVSKGKMQILIVSEEADEEEEVETGLHSFEDDLLDEEMAENMQRNLIQGQAVEEEKEEQSMPISRDSLSSEESSDRQEEGSEGEALKKEATVEFLPVEEADLEEENEAKTDESNIEDGAEIPHETSTSLEEQETDNDETKQLTTTFLLSEADDGENASESEKVEQIEAADGQESQEPPQEENDEDVEVDKNDETKGTTIETETIQEDEEKENSSSSSNALPECLLLMMCEPKLSMEVSKETWVCSTDFVRWLPERRPAAAVTNKKLVNAPQDPKKEVSCANSNNPPPPPMGPQPHPPPQELMSQEQEQQQAEIFLPPRSSCSLPAAAAVSMAAMIEQKLVNAGGYEPFVLTRCKSEPMRTAAAKLMPEACFWKNRKLEPHRSATFGVGAAGVGF >CDP05923 pep chromosome:AUK_PRJEB4211_v1:5:25968350:25972446:-1 gene:GSCOC_T00021244001 transcript:CDP05923 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSESGWQRSDSSRGLNSSSVLEGNLRLVRANTIRSSGDTSSEFGFRPGRKVRERIVLPHITNQFKTYTGGFEEGVALDPVVRAIECNDISLRQWLDNPERTVDALECLHIFTQIVDIVNLAHSQGIILHNVRPSCFVMSSLNRVSFIESASCSDSGSDSFEEGTNSQTAEFKGASSPLPSDLPQRGSQLATETLQLEMNPLNASRIVSETSCIRSSARQAEVAPNDDQTEERKHSFPMKHILLMETNWYNCPEEVSGGPSSSASDIYRLGVLLFELFCTFSSVEEKSATMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMGELLQSEFLNEPRDNIEEREAAIELREKIDEQELLLEFLLLLKQRKQDAADHLHEMVSFLTSDIEEVTKLQTALRIKGGTSIDLVKDSASGPPSSNTVDEGDSGSSGSRKRCRPGLYTHNAEELDDPVPENQATILSKSSRLMKNFRKLESAYFLTRRRALKPSGKVYARSSPISSDARGSVVATERSSLSKLSSKEQYNEDRQSGWINSFLEGLCKYLSFSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFEYNSILNKDRDIHYPVVEMASRSKLSSICWNGYIKSQIASSNFEGVVQVWDVTRSQIFMEMSEHESRVWSVDYSVADPTLLASGSDDGSVKLWNINQAILFLHLVDVSFETKRSKHRYH >CDP16084 pep chromosome:AUK_PRJEB4211_v1:5:1011329:1015427:1 gene:GSCOC_T00017100001 transcript:CDP16084 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQAQGYRTKQNLAKWLWQDIPILVMVSMLAYFCFLEQLLVSDMGPRALAISLPFSCALGFISTMIASTMVGKSYMWAYASFQFAIVILFAHIFYTVLNVNAILSVLLSSFTGFGIAISTNSLLVEYLRWRTSRSHSSHQHPNVSGSTGSTMNTT >CDP05812 pep chromosome:AUK_PRJEB4211_v1:5:26807312:26810283:-1 gene:GSCOC_T00021103001 transcript:CDP05812 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGKSMPTVWFSLKKSLHCKSEPSDVHDPKSRKHLSAILTKKAGRSGCSRSIANLKDVIHGSKRHMEKPPSCSPRSIGSSEFLNPITHEVILSNSRCELKITSFGGYPEGFGGGGGNCSENGGGSTFVGTLRPGTPGPGGHPTMHYFNPSYRYPATPPRKTTALLTEREACGFAGSGFPPKPRASLEMDGNGTSSVTCHKCGEQFGKFEALEAHHLSKHAVTELAEGDSSRKIVEIICRSSWLKSESHCGRIEKVLKVHNMQKTLARFEEYREMVKVKASKLPKKHPRCLADGNELLRFYGTTIACSLGMNGASSLCASDKCCVCRIIRNGFSTKKELKGGIGVFTTSTSGRAFESIEIFEVDPTIRKALIVCRVIAGRVHRPLENMQEMIGQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKT >CDP11899 pep chromosome:AUK_PRJEB4211_v1:5:20642368:20642802:-1 gene:GSCOC_T00035185001 transcript:CDP11899 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLPSNYFGNCQTVIRKNEKNGKLIGEEGFPIAVESIGEGIHQRLKNNDSLFDDADTWLPGIAGINLDRLVSVAGSPRYNYYNLDFGWGKPKKFEFISIETSGAISLGGSRESDGGIEVGLSLSKPRMDAFTVIFNDRLNSL >CDP16240 pep chromosome:AUK_PRJEB4211_v1:5:15323644:15324882:1 gene:GSCOC_T00017369001 transcript:CDP16240 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQKGSSGTSILSLPTEVLSEVLARVASSSSTDLFRAKLWFVLLLMIQTGRGEFLFHSRVSLTKFEIVPWQKNHKVSSFLKKCRESKNPEALYRKGVVDFFTDNHGDSALECLEEAANSGHADAAYALGIIYIFVGGDELKRKGMRLLSGMKKSGILKGRVKLCRDNLRALLRMIWVKNPVFANPTPICCAMTHDRKTFSWPMDADDVEESTCEGCACDEEIGPICAALPYC >CDP11301 pep chromosome:AUK_PRJEB4211_v1:5:13195749:13196375:-1 gene:GSCOC_T00033458001 transcript:CDP11301 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPVINMKNLNGDKRASTMEHIKDACENWGFFELVNHGIPHEMMDTVERLTKGHYKKCMEQRFKELVASKALEGVQAEITDMDWESTFFLRHLPVSNISQVPDLDDEYR >CDP18725 pep chromosome:AUK_PRJEB4211_v1:5:23752293:23755972:1 gene:GSCOC_T00002656001 transcript:CDP18725 gene_biotype:protein_coding transcript_biotype:protein_coding MDIADEAVIVNSSRLKSVVWNDFDRVKKGDTLVAICHHCKRKLSGSSTSGTSHLRNHLIRCRRRSNHDVTQLVTRGKKKDGTLTVANLSFGQEQRKVEALSIVNTTFEHGNRKDGSISLGTNNFDHKRSQLDLARMIILHGYSLAMVEDFGFRIFVRNLQPLFDLVTFDRVEADCKEIYQIEKHKVYEELAKLPGKVSLYADTWVANGDSKYLSLTAHYIDDTWQLKKKILNFLALDPSQTEDVLCDAIMTSLRNWDIDRKLFSLTLDNHSRYDDIVRRIRDQLCEHRYLVCNGQLFDVRCAANVVKLMAQDALEISFEISQKIRESIRYVRSSRAVQDKFNEMIQLAGISSQKSLCLDNPLHWNLTYFMLEAAVEYRDAFVHLQEHDSLYTMYPSGTEWDRASAISSFLKLFVEVSNVFAGNKFSTANIYFPEICDIHLQLIEWCQNSDDFISSLALKMKQRFDEYWKKCSLALAIAAILDPRFKMKLVEYYYPQIYGNSAPDCIDIVSNCMKALYNGHAVCLPLTSQGQGGATCQVGTFGTGAINDSRSRLTGFDKFVNETSQSQNVKSDLDKYLEEPLFPRNVDFSILNWWKVHTPRYPILSTMARNILGIPLSKVSSEALFNTGDRAIDQPWVPLRSETLQAVMCTQDWMSSELEDSKSSSNPPLAICYDAK >CDP05707 pep chromosome:AUK_PRJEB4211_v1:5:10940767:10943094:-1 gene:GSCOC_T00020936001 transcript:CDP05707 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYIYIYIYIYIYIYIYTHNKSFYNHISSVETIIKEEVDSNAIDVIITFDNYGVFGHCNHCDLHQRVLISFSIASTTLNHGFCCWNTQVSNNILHKYS >CDP11804 pep chromosome:AUK_PRJEB4211_v1:5:19335516:19335617:-1 gene:GSCOC_T00035053001 transcript:CDP11804 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSQGVLEDGTHIAVKRMEAGTITSKALEEF >CDP18713 pep chromosome:AUK_PRJEB4211_v1:5:23882568:23883150:1 gene:GSCOC_T00002642001 transcript:CDP18713 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRMLKLCILMACFILEASAAGNFYRDVKINFGYGRAKIDKSGQLLSLSLDKLSGSGFESKYEYIFGRFDMQIRLVPGNSAGTVTTFFLSSHGERHDEIDFEFLGNASGQPYTLHTNVYAQGNGNREQQFRLWFDPTAAFHTYSIVWNPRRIM >CDP06000 pep chromosome:AUK_PRJEB4211_v1:5:25416171:25420782:-1 gene:GSCOC_T00021340001 transcript:CDP06000 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGKPITDIRLDSLNDRLRNSLSCVEDDNDNNFNKPDFRELDLGSPVSPSSSSSSGSVSGRNGQGQVVKKSDLNHSGELSGTAESSPTGGRGFKPGHSRSDSGGTHPLIYSGGSGSSVTSPGVNVLPSGNICPSGRILKTGMASRSSKTDVLGSGTGNYGHGSIMRGGTGAKSAVGCGGERESVGLASPAPTNLRGGGLMVGEPTRRGGAGLLNSNDPEELKRLGNENYKKGYFLEALTLYDKAIAISPGNAAYHCNRAAALIGLKRFPEAVRECEEAIRLDPGYVRAHHRLGSLLVSLGQVENARRHLCIPGHQTDPVELQKLQVIEKRLSRCTDARRVGDWKNVLREAEAAIASGADSCPQLLACRAEALLKLHRIDDADSSLSSTSRLHTSDNLNSQIKFFGIASDAYLPFVRAQLELALGRFEDALTAIEKAGQIDPRSVEVSVLLSNIRMASQARSRGNALFKSERFTEACSAYGEGLRLDPSNSVLLCNRAACWFKLEKWELSVDDCSQALRIQPNYTKALLRRAASNSKLERWAEAVQDYEVLRRELAYDNEIAEALFHAQVALKKSRGEDVSNMQFGGEVELVSCLEQFRAAISSPCASVVHFLASSNLQCKQISPVLDALCAKYPSINFLKVDVEGSPAIANAEHVRIVPTFKIYKNGRRVKEMICPSKELLESSVRHYSI >CDP11857 pep chromosome:AUK_PRJEB4211_v1:5:20177334:20182779:1 gene:GSCOC_T00035128001 transcript:CDP11857 gene_biotype:protein_coding transcript_biotype:protein_coding MLESAIVDAVTEELVKYLTEPIQRESRYAIQFPNLFTELKEQLEFMQSFVADASKLKDGQETVKTTLHGLQQLIYEADDLLVDCQIREDYIKTEASSCNPCLSEISFRYRTGKKLTEITTRIKRMSEYLKSYYTPSQRSSSENGNDPKRRWTAPLIDQSEVVGLKEDVEKVRGWILGQNEPLLRLAIVGLGGLGKTTLAKMIYRDVNLTRRFQEKIWVSVSQPVNEDEIMKSMLKQLNADGSGSPKGDMLSTIHGLLSDKAYLIVLDDVWSTDDGWWERISGGLPVKEGHNSCIIITSRIKTVVKNMGVQDAQTHQPRYLNDEESWKLFCKVARVSEVDEQNTKLVEEGKEIVKKCGGLPLAIKTVGGLLSSEERSSIKWRKIRETFHEKLTSIGANCSERNPHVIASLQLSYDELPAFLKPCILCFSIYPEDYEVDVDQLIRWWVGEGFLRGMSSETATESAFKSLSELISRCLVEVAQRRNFDGSFYTCKVHDLVRDLTIKIAKEEDFCSFDANRNHIADVGSRRLGVTEETKLQTLHGNSKLRALLLTKTDYIGFNRNIELAKVKSLRVLDLSRVKLDRIRVEDLWHWITSLKRLAYLSLRHVAKLLEIPNSIGKLWGLQVLILGECKDLKKLPTSIINLPRLIILDVGNCPSLRCLPQGFSKLSKLQELYGFKIAGTGKASGSHLAELKALTELRVLQIDITEDSTIEDRELDALELLEKLKLLSINAGDCKDKNILSKLNKLSPPSSIEELYLKHYLGETTPAWINPRSLQQLQYLSVENSGIEKMNQEFWGDEEYKWDVKGLCLKFCQRLEVSWEELQAVMPQIRHLEVSQCNLLDSFPCDVEAVGFWPKDNKEKNASQRMYSVKKIESS >CDP15720 pep chromosome:AUK_PRJEB4211_v1:5:4323015:4324789:-1 gene:GSCOC_T00015732001 transcript:CDP15720 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLAFIALFSLALFAGFTKARKDPGEYWQGVVARNDQALFEAIEQLAHVASALSNKKKIASDCDTMKKHSVAATNKKSFVKDFEPRPNVSAYGDDAKLKEEKSFMRDFEPRPNVSAYGDNTDKSFVKDFEPRPNVSAYGDNTDKAFAKDFEPRPNVSVYDN >CDP06052 pep chromosome:AUK_PRJEB4211_v1:5:25011537:25015563:1 gene:GSCOC_T00021404001 transcript:CDP06052 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNVCEVAEASVLCCADEAALCWACDEKVHAANKLASKHQRVPLSTSSSQMPKCDICQETVGYFFCLEDRALLCRKCDVAIHTANTYVSTHQRFLLTGVKVGLEPAEPGSSSLTGNSHSGEKISETELRPSRRPAPVSSSSQYNKVLPTQVNGVGDFVPTKTRLTGGSAAGSIPQWQFDEYLGLNDFNQSYNYIDNGSSKADSGKLGGSDCSSILRAADEELDGDDCLGQVPEASWAVPEIPSPPTASGLYWQKAYQNPPDTAVFVPDISGSPMQNLYNHQSHGSTFKRRKHF >CDP05765 pep chromosome:AUK_PRJEB4211_v1:5:27143752:27144919:-1 gene:GSCOC_T00021041001 transcript:CDP05765 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNAWAESHEPLNSLLLYLFSWYYILRLSGAKWFLLMFAEVATMSAFPHLRSLHHVNQCHYLSPPSHIYRSITTTTTKSRRRHPEPLVVDLPWVLNTSTPATTTIPLDDPSLQSTDPPPVLKRSTPVATTSMLNDPSLKSKWSHRAWVASGGTTVLVSLAKSVTGAVDSGTWLQPILAGVVGYVLADLGSGVYHWGIDNYGDENTPVFGSQIEAFQGHHNWPWIITRRQFANNLHALARAVSFTVLPMDLIFNDPVLHGFVSVCSGCIMFSQQFHAWAHTTKSKLHPLVVALQDAGVLVSRSMHGAHHRPPYDSNYCIVSGVWNELLDTYKVFEALEMILFFKLGVKPRSWSEPSSNWTEETDTSSLIAEL >CDP13728 pep chromosome:AUK_PRJEB4211_v1:5:27932647:27934918:-1 gene:GSCOC_T00038773001 transcript:CDP13728 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHIILALILLFLVVSATAHGGAHDGDDDSADPGSDPSSEKPNLRARSLILVKIWCLILVFVGTFAGGMSPYFFKWNEAFIVLGTQFAGGVFLGTALMHFLSDSNETFEDLTHKEYPFAFMLASAGYLLTMLADCFIFYIYGKQQGNDGSSSTGGADHLHHHQGVAHSGKGTGNGGAAQPEVQVHGPSDHHFSKAPVVTAASLGDSILLIVALCFHSVFEGIAIGVAESKADAWKALWTVCLHKIFAAIAMGIALLRMIPNRPLLSCAAYAFAFAISSPIGVAIGIIIDATTEGAVADWTYAISMGLACGVFIYVSINHLLSKGYNPQEVDMPYHKFLAVLLGVGVIAVVMIWDT >CDP11780 pep chromosome:AUK_PRJEB4211_v1:5:18951450:18958787:1 gene:GSCOC_T00035013001 transcript:CDP11780 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSVDASQPLLPRTNTQISKELEEILCDNEQPLLQRYRAATWIEMKLLFHLAAPAVIVYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAFGAKKYEMLGVYLQRSTILLSLTGVLLAIIYVFSKPILIFLGQAPDIASAAALFVYGLIPQIFAYAVNFPIQKFMQAQSIVQPSAYISTATLALHLVLSWLAVYKIGLGLLGASLVLSFSWWIIVIGQFIYIVKSEKCKQTWTGFSLQAFSGLWGFFKLSAASAVMLCLETWYFQVLVLLAGLLPNPELALDALSICTTISGWVFMISVGFNAAASVRVSNELGAGHPKSAAFSVVVVNVISFIVSVIAAIIVLALRRVMSYAFTEGEVVADAVSDLAPLLALTLVLNGIQPVLSGVAVGCGWQAFVAYVNVGCYYLVGIPLGSLLGFYFQLGAKGLWSGMLGGTTMQTIILLWVTIRTDWNKEVEVALKRLDKWEDQKKEALLKD >CDP19579 pep chromosome:AUK_PRJEB4211_v1:5:18699903:18701634:1 gene:GSCOC_T00007006001 transcript:CDP19579 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCIINKNTTENESPLSLSCHRPTTVSFAALSPPHLPSPPPPLPPPILPLLTPCLSSYSSSSSAFSQH >CDP05705 pep chromosome:AUK_PRJEB4211_v1:5:10958486:10961327:-1 gene:GSCOC_T00020933001 transcript:CDP05705 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSPKIPFQKISTPRTSMELTNVAKLIERKWNPIPLPHGTIPEPKGQDLDYVNVAHSHLIHFDWCKLDKLASGLTPFRVKHLLLRTQKDYVLSLEFFKWVELKNSSSITLQTHSIILHILTKNRKFKSAETILRRVIQLSLGSLDLPSKLFEALVYSYRICDSSPRVFDALFKTYAHLKKFRNATDTFSLMKEYGFLPTIESCNAYLSSLISLNRSDIALSFYKEMQRSHISPNVYTLNMVIGAFTKLGNTEKAVKVFKEMEKMNISPTVASYNTLIAGYCSQGLLSTSLKLKKLMEKNGLRPNEVTYNTLMNAFCKAGKLHEAGKLFKEMKTMDVAPNTISYNILIDGYSQAENSEMAGRLFEEMSVNGIKADIITYNALILGLCKEGKTRKAAYLVKELDREKFVPNSSTFSAIITGQCVRKNSERALQLYRSMIRTGCHPNKATLGMLISTFIENEDYDGAAMVLREMLERSISPDPGTLSELCNGLCKDGKEEVATSLCKGLEARHLMPESFQKT >CDP14413 pep chromosome:AUK_PRJEB4211_v1:5:6483238:6488830:-1 gene:GSCOC_T00040820001 transcript:CDP14413 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELPRSTGTSPAAESTPPQQEELRQPLPHNKEIEQVVERLLRSGNLNAQIEAAKDVRKLVRKSKSSSSKTRSRLAAAGVIPPLVSMLHQPAAREPALLALLNLAVRNERNKIGIVTSGAVPPLVELLKLENGNLRELATATMLSLSAAAANKSVIAASGVAPLLMQILVSGSVQGRVDAVTALHNLSTNKEEPKLVLDARAASPLIKLLKECKKYSKLAEKTTALLEILSHSEGGRSAITNADGGILTLVLTVEDGSLVSTEHAVGALLSLCQSCRDKYRDPILNEGPIPGLLRLTAEGTPQAQERARKLLDLLRGSPPDKKMSSAVFEKIVYDFATRVDGTDKAAEIAKRLMEDMVNRSMELSMNRMEIRASSCTPS >CDP10780 pep chromosome:AUK_PRJEB4211_v1:5:17624138:17624689:-1 gene:GSCOC_T00031638001 transcript:CDP10780 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEVPYSVAASEAGGITQGMGAYKVQVLFDGKPQTCVFLDTPGHEAFRAMRAHGARVIDIAVIVVATDDGIRPQTEEAIAHAKAAGVRIVIAINKVRLHLF >CDP16034 pep chromosome:AUK_PRJEB4211_v1:5:218697:219913:1 gene:GSCOC_T00017029001 transcript:CDP16034 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSVNFSFLIVFSLLCTVSSAKENASPFKIIYQFGDSLADTGNRIRQSALHLPLLRPYLETNASFISGVNFAVGGSTALDNSFFYDRNISVPSTNVPLSQQLKWFKKHLKLVSDNRSQCEERLKRALFMMGEIGGNDFSTAFSQGKSIKESRNYVPYVVDAISLAIREVIQFGARIIIVPGIIPMGCLPSFLASFPSADPKAYDDKGCLKKLNKFVLFYNNYLQKNLAALRLEFPGVVIRYYDYYNAFQYILHNAGSLGFDQRSLLKACCGKGGKYNYSNDMICASNGVKACLQPERFVHWDGVHLTQEAYRYISDHLIRDILPNT >CDP06107 pep chromosome:AUK_PRJEB4211_v1:5:24496574:24501068:1 gene:GSCOC_T00021493001 transcript:CDP06107 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQKLPKMVYDYYASGAEDEWTLAENRNAFSRILFRPRILIDVSRIDMTTTILGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYRDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVKWLQTITSMPILVKGVLTAEDARIAVQSGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLREEFELTMALSGCRSLSEITRNHIVTDWDVPRLLPPARL >CDP06043 pep chromosome:AUK_PRJEB4211_v1:5:25060329:25060734:-1 gene:GSCOC_T00021395001 transcript:CDP06043 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTATFSVMDVGFLIHPTHSTTHPHVPSYSVSLTAREMAGRTRSTSVTGGNLRAAGWQDSMAVISCRNLGEKVS >CDP11840 pep chromosome:AUK_PRJEB4211_v1:5:19945840:19951165:1 gene:GSCOC_T00035106001 transcript:CDP11840 gene_biotype:protein_coding transcript_biotype:protein_coding MVQILEYLPPSYVNKKLQTRYSVANAEEAHHHSSELIDRRSANYQPSSWNHVLFGLANEQDIEVYINNLLQNLEDEGRCMLDGEDLEPFSLLEMINDIDHLGLSYRFSQSIKHGLDKLRLLEESTQSLHVSALYFRLLRQHGCEISPDADIFERFKDQSGNFNKNLAREIRGMLSLFEASHLAYEGESILNEAKSFASLHLKDSKEFVGSNMSEQITHALELAYHYRMRRQEARWHIEALPKYFLSDANYSSFEVWKFSLAELHFNGIGRLPYNGLLSVILRWWKVVGLADKLDFARDRLMESFFRSVGMAYEPRFSKCRIAVTKAFTLITVLDDIYDVYGSIDELEQLTDAIVRYVTKYSILL >CDP18730 pep chromosome:AUK_PRJEB4211_v1:5:23702564:23711879:-1 gene:GSCOC_T00002662001 transcript:CDP18730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 5 [Source:Projected from Arabidopsis thaliana (AT2G42810) UniProtKB/Swiss-Prot;Acc:Q84XU2] MDPANSNPSRAEELKVLANEAFKAHKFAQAIDLYTQAIDLNGDNAVYWANRAFAHTKLEEYGSAIIDATKAGYYRRGAAYLAMAKFKEALKDFQQVKKLCPNDPDASKKLKECEKAVMKLKFEEAISVPESQRRSVADSVDYTTIVVEPEYAGARIEGDVVTLDFVKKMMHDFKNQKSLHRRYALQIVLQTREMLHSLPTLVDVNVPDGKHFTVCGDVHGQFYDLLNIFELNGFPSEDNPYLFNGDFVDRGSFSVEVILTLFAFKCMCPTAMYLARGNHESKSMNKIYGFEGEVRSKLSEIFVELFAEVFCCLPLAHVINNKIFVVHGGLFSVDGVKLSEISAIDRFREPPEEGLMCELLWSDPQPQLGRGPSKRGVGLSFGADVTKKFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPSLKPNIVTFSAVPHPDVKPMAYANNFFRMFS >CDP15732 pep chromosome:AUK_PRJEB4211_v1:5:5235909:5237409:-1 gene:GSCOC_T00015759001 transcript:CDP15732 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDLEGASTQWDDIQRKLGNLPPKPPAFKPPSFTPAEDPDSKPKDKAWVDDKTEEELEDLEDDLDDDRFLQEYRKKRLAEMRELAKIARFGSVMPISGSDFVREVSQAPADVWVVVVLYKDGHADCELLLQCLEELAQRYPATKFVKIISTDCIPNYPDQNIPTLLVYNNSAVKANYVGLRSFGRKCTPEGVALVLCQSDPVLNDGQGGGEQSKKDVLDGVRKRFIEKVVTAREDDDGSSSD >CDP11352 pep chromosome:AUK_PRJEB4211_v1:5:14728368:14732000:-1 gene:GSCOC_T00033557001 transcript:CDP11352 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRRAREKLEREQRERKERAKLKIEREKKAKQEALRQREALEAAQRARRLDAAEAEAKANQQMEEDRLVGRGVIFSRMLEAMPYQGNGDKIKLPPSCFSELSEQGAFDKGPLHFSLSVIVKDDLSETMNSGSQNRRTTHAGVLEFTADEGSVGVPPHVWSNLFPAETSMLPMVEVRYVWLPKGTFAKLQPDEYGFSDIPNHKAVLETSLRQHATLSEGDMLRVNHGALTYNLHVLELKPSSSVSVLETDIEVDIIGSDSNPEKANHQVLRPLTFGKSESGAVEEGNYMYYKFVIDDGTWNRISPGDAKIEIRIEPQTQDGDCDIYVSKHPLLFPTQHQHGWSSHDVGSKVLILSSKDLSLGPGTYSIGIYGFKGKTKYQASVNIQDNLKPKVGQQAVSSSSTIDADTVECSNCKHYIPSRTIALHEAYCRRHNIVCQHAGCGVVLRIEEAENHVHCKKCGNAFQQGEIEKHMKVFHEPLQCPCGIVLEKEQMVQHQSSECALRLVICRFCGDMVQAGTSAADIRDRLRGLSEHESLCGSRTAPCDSCGRSVMLKDMDIHHVAVHQKS >CDP06110 pep chromosome:AUK_PRJEB4211_v1:5:24478604:24486350:1 gene:GSCOC_T00021496001 transcript:CDP06110 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQMTWQPSSLNQKRKSGPPLGLKNLGNTCYLNSVLQCLTYTPPLANFCLKYQHSSSCDAAGVASDKKRECPFCILEKRIVRSLSIDSTLDSPLKINNCLRLFAEHFKHGRQEDAHEFLRYVIDACHNTCLRLKKLQLQRRKGGSCGESGNGNTIVKEIFGGALQSQVKCLSCGAESNKVDDIMDISLDILHSGSLKDALQRFFQPEILDGGNKYKCDSCKKLVAARKQMSILQAPNVLVIQLKRFEGIFGGKVDKPIAFEEVLVLSSYMYKGSQDLHPEYRLCGTIVHAGFSPDSGHYYAYIKDAMSRWYCCNDAYVTPSSLQEVLSEKAYILFFTRSKQRQPLVKTGLLANGLKSHESNGIDAILSKYNQSNGIDANGSKCHQSNGNDANRAKSHQLSGSDSNGSKFHQSNGSDANESKRHQSNGSDASESRRHQSNGNDASKILNSCLSEKVTKTKHVFDQHLETNNLTSSKVNKVPAGLSRKLSVSENSDTRKCPVNGGIKIIVHKKDFAATTSKSTASTDTENNKNNAQTVTDGNGVGKVRRDEALYTANSGSSTVANGHCKIQNINGDSVEKGHKENHVRRDIMAVGRTHNQKEESNPDVKCHSDGSRLKRKSQDKDPCILLATDAQSCAKVEEFKKVIEKETSSVLASCGWSEEVYTFMRSRKKLCVGRAEYDASNVNQLKRLLIKDAKSAFISKIPESLKGRLIEHLVLFSKEKQPSIA >CDP15946 pep chromosome:AUK_PRJEB4211_v1:5:23094970:23099100:1 gene:GSCOC_T00016869001 transcript:CDP15946 gene_biotype:protein_coding transcript_biotype:protein_coding MEAENDEYKRKERLVLVTILVFASLAIASLLVAFSYYCYIRNKVSRRLEKARKTVANEEKASSFANIQVATQKGLQVFTFKQLHSATGGFGKSNIIGHGAFGSVYRGVLQNGRKVAIKLMDSAGKQGEEEFKVEVELLSRLHSPYLLLLIGYCSESNRKLLVYEFMANGGLQEHLYPISGSNNVSSSLDWDTRLCIALEAAKGLEYLHEHVSPPVIHRDFKSSNILLDRNFHAKVSDFGLAKLGSEKVGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGIVLLELLTGRVPVDMKRPPGEGVLVSWALPRLTDREKVLEIMDPRLDGQYSMKDVIQIAAIAAMCVQPEADYRPLMADVVQSLVPLVKNHRPTSRAGSCSSFHGPQSPKV >CDP06018 pep chromosome:AUK_PRJEB4211_v1:5:25293183:25295266:-1 gene:GSCOC_T00021362001 transcript:CDP06018 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDYEKRLIDEVIYLHSLWHQGPPTRPTQQPTPSGLLHHPYNPIQFKRQERIKPASRKGRKNSDRKQLQESQNPTLLPSPASGKEWPCNPTSNSPPATTSGWGTSWPQSSPQTLLPSSAEKAKLAASQAQFKAMQITEEFFKSGDDDDKEDSEDDDDDDSLEEEEYRFFFKVFTEDRELREYYEKNYYNGEFNCLVCGGLGKKLAGKKFKDCVALVQHSISIAKTKKRRAHRAYGQVICKVLGWDLARLPTIVSALSEKREICLQNSRQGDVEGQDKCKAQEKVCKGTEGSSVVHENQDSQMDASNSGQANVGKGTNDVSVSLETKEALVNVSKGGEYLPKECVCTEILPNNGGESADAKISSSGAEASDSPEVFCQTIPETLENTEAGLVNDG >CDP05732 pep chromosome:AUK_PRJEB4211_v1:5:27322952:27326833:-1 gene:GSCOC_T00020999001 transcript:CDP05732 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDGDDLDIQGPLENKGGRAAAGEEGDVMVVKKGPWSAEEDERLKKCVEEHGIGNWITIEKYSGLGRTSKSCRLRWTNHLRPNLKKGSFTKQEERLVVKLHKKYGNKWSYISSKVPPIVVLFWCILCCNLWYLIRCISGFRPKINLPGRTDNEIKNFWHGRSSPTAVTQSSAPAQGSSISAKGSSAPSPKIDVSSSVTSSQFSSTATGSLINSPKLHLSVQLPTASSVPLQTSSLPSALSSSNLNSPKIHDPMIASPHSPLRLNLTSSIPLDSHLQHNRCASPFLLSSQSWNASKAEPPSVQTPTSALRPTPEMKDSERSVAVLDAPLQEAQAKADTLHKNSPEELSVDGYLINNDLQGKILVTESIRDNVFYQSSSERDFSCEKPRTINLLGQSLKQENTTRETPKTEDLINKRSCKKVSHDKCLKPGILMGKISRRQGLLSEISKTGVLLVESEKKQILLQELMNNNLTQDVLARRSSKTVDLQLGGLTKTTKLFGERLSIEDPLSQISARKSSVVQKSEEGVSIFENLTSLRNQNLVEDILDISPTRSASNPDTFGFSYSPKDTTTQFISDDLQWNLSSAQVVAGEVCNEILRGGAPLASQLQEHQIETLGPVFSHRPELVLSNFGYDGGGKSTCGEFYEGDHLDKSSREKCPDSPKGEFIEDQSQGGQVVSGGFMTEGMLVSQNWHEILRGSISDLDQYITANLLRSPTPDGKLNTPQECGGGIMEEALSIEGLSSRSLKNGVSLDQSSRDIFVGESSSANVIGLHEVALSEFVSPPRQTTVAHLLTSRDQFSEAGSSQCYEGE >CDP05881 pep chromosome:AUK_PRJEB4211_v1:5:26285744:26286569:-1 gene:GSCOC_T00021192001 transcript:CDP05881 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGAEIVMAVVGLWATSLRPLTMRFAAEMVEVLGRAIYRICRGNPSYSPSSYLPYSTSFLY >CDP05936 pep chromosome:AUK_PRJEB4211_v1:5:25876293:25877439:-1 gene:GSCOC_T00021258001 transcript:CDP05936 gene_biotype:protein_coding transcript_biotype:protein_coding MVANKIIRVNKENKLLKKSRCSIRLLSLGFLLLFLRLWLLLWLWLLFWLWFACLGFHEAETGATGALE >CDP14412 pep chromosome:AUK_PRJEB4211_v1:5:6871452:6872105:-1 gene:GSCOC_T00040815001 transcript:CDP14412 gene_biotype:protein_coding transcript_biotype:protein_coding MILKIFGARKLAPTPTPVAEEGSSAVLKIIHAGGLVELYYMAVPAARIIDKYPSFMLTRPDVFQRPWGAIICREEILIPGQKYFLVPPRTLKKLIRRIKKPSCQKESFSSSSSFVQNRFDILIKSKSKKKSGDLRVRFFGIDAKQDSDSVSSEHNNSHADNNSKKGSHLQPAEVKKRRVRPVVQWQPSLISINE >CDP06028 pep chromosome:AUK_PRJEB4211_v1:5:25178572:25182780:1 gene:GSCOC_T00021377001 transcript:CDP06028 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIILQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGQTVPVGGLAYYITAPSSLADMAANPFHALFYIVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGF >CDP06152 pep chromosome:AUK_PRJEB4211_v1:5:24163839:24164645:-1 gene:GSCOC_T00021547001 transcript:CDP06152 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKQRSLPLAVLTQLPLVVCSSIVHIKTGNTHSSGKNKTQMTAKLSSEIAIHGFLLWASMGFLVPVGILVMRKSNREECGRRLKILLYIHGVLQASQSWLFSVTVNF >CDP14729 pep chromosome:AUK_PRJEB4211_v1:5:21391102:21392418:-1 gene:GSCOC_T00042160001 transcript:CDP14729 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEANPTNIKARKMLECEHDHGDATRDDTIMMPVTNTTLATSDSVLDTSALHVIRTSILTNIQEKHLSYSNSAFILTFLLSFTTGALPIFLQESIILMRETSSGSYRISSYMICSVSLIILLHSFCNYLLFTTPLHWLVGLRRKIRWIPLFLNLVVMLAFIEGYRLRCYLTLWCRSCRTRS >CDP11346 pep chromosome:AUK_PRJEB4211_v1:5:14666949:14671258:1 gene:GSCOC_T00033548001 transcript:CDP11346 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRCELPGGSFRNQKKGYEEIHVPALKPKPLAPGEELIKISSMPAWAQPAFKGMAQLNRVQSKVYETALFSADNILLCAPTGAGKTNVAMLTILQQIALNRNQDGSFNHSNYKIVYVAPMKALVAEVVGNLSNRLQDYDVKVKELSGDQTLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARSVRQIETTKEHIRLVGLSATLPNYEDIALFLRVDAKKGLFHFDNSYRPVSLAQEYVGISVKKPLQRFQLMNDVCYEKVINVAGKHQVLIFVHSRKDTARTAQDSASREILQSHTELVKSNDLKDLLPYGFAIHHAGMVRADRQIVEDLFSGGHAQVLVSTATLAWGVNLPAHTVIIKGTEIYNPEKGAWTELSPLDVMQMLGRAGRPQFDTFGEGIIITRHSELQYYLSLMNQQLPIESQFLSKLADQLNAEIVLGSVQNAKEACTWLAYTYLNLRMLRNPSLYADLVHSAATLLHKNNLVKYDRKSGYFQVTDLGRIASNYYITHGTISTYNEHLKPTMGDIELCRLFGLSEEFRYVTVRQDEKVELGKLLDRVPIPIKESIEEPSAKINVLLQAYISQLKLEGLSLASDMVYYDLSSQEIGELIRFPKMGRTLHKLIHQLPKLNLAAHVQPITHSVLKVELTITPDFQWDDKVHGFVEPFWVIVEDNDGENILHREYFLLKKQHIDEDHTLDFRVSTHEPLPPQYFIRVVSDRWLGSQTVLPVSFRHLILPDKYPPPTELLDLQPLPVRALRNPSYEALYQEFEHFNPVQTQVFTILYHSDDNVLVAAPTGSGKTICAEFAILRNHQKGSESILRAVYIAPIEALAKERYNDWKRKFGDGLGMKVVELTGEIATDIKLLERGHIIISTPEKWDAVSRRWKQRKHVQQVSLFIVDELHLIGGRGGPILEVIVSRMRYIASQLENKIRIVALSTSLANAKDLGEWIGANSHGLFNFPPGVRPVPLDIHVQGIDAANFEARMQAMTKLTYTAIVKHAKKGKPAIVFVPARKHARLTAVDLMTYASVDPDKIMFLLQCAGDLEPFIDRIKEPTLKETLRYGVGYLQEGLTGTDQDIVKTLFETGGIQVCVMSSSMSWGVALSAHLVVIMGTRHYDGRENAHRDYPVTDLLQMMGHANRPLVDNLGKCVIFCHAPRKEYYKKFLYEAFPVESHLHHYLHDNLNAEVVVKAIQNKQDAVDYLTWTFMYRRLTQNPNYYNLQGVNHRHLSDHLSELVENTISDLEASKCVLFFFELQNQIEGFTGYLGFSFRV >CDP05817 pep chromosome:AUK_PRJEB4211_v1:5:26767977:26770620:-1 gene:GSCOC_T00021108001 transcript:CDP05817 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVEKVPVPRRSANFHPSVWGDHFLAYASQHKENFFEGEGELQRLREEVRKMLTETPDEFPGKLDMIDTVQRLGVSYHFESEIEASLQKIFDAYSELNHKDGNDLHTIALRFRLLRQKGFHASCDVFDKFKNPEGDFKESLARDARGMLSLYEAANFGVHGEKVLDEALKFTSDNLESMVPNLSNFLAAQVVQALKAPIQKTLTRLGARQYISLYQQHESHDKLLLKFAKLDFNKLQKLHQKELSGLTKWWKGLDVATNLPFARDRLVECYFWIFGVYFEPKYCFAREVLTKVASITSIIDDIYDVYATLDELIVFTDAIERWHVNELDRLPSCLKHCYRALLDIYKEFEEKLAKEGKSDRVNYSKLEMKKLAKGYLQEAIWFHNGYVPKVEEHLKVSLVTAGYMMLGTTSMVGMGDSLTAQTFDWVTNEPLIVRAASVICRLMDDMAGHEFEQERGHVASAVECYVNEYGVTKREAFDEFNKQVANAWKDINGECLNSNAVPRAVLERVVNLAKVINLLYKEEDWYTHSATKLKDTITTALIDPIPM >CDP05681 pep chromosome:AUK_PRJEB4211_v1:5:12498415:12511070:-1 gene:GSCOC_T00020879001 transcript:CDP05681 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSGAVVPAAKGETRDKRAAAAAAREVDEEEKEKEKTEEEEGELLDKELLCPICMQIIKDAFLTSCGHSFCYMCIVTHLQNKSDCPCCSHFLTPSQLYPNFLLDKLLKKRSAVKISKTASCAEQFRQSLEQGCEVSIKELDTLLTLLAEKKRKLEQEEAERNMQILLDFLHILRKQKVDELNEIQNDLQYIKEDINAVERHRIELYRVRDRFSLKLQVLSDDCLGVRSRSSSIDRTSSGLVSSSRSAHGGATGSFQYKKGDSKAQFSSPANHRKDASLSGLNTQPMSQSGLAVVRKKRVHAQFNDLQECYLQKRRQLAKLLFNQEEKGSTIAHREGYSAGLADFQTVLSTFTRYSRLRVIAELRHGDIFHSANVANIVSSIEFDRDDELFATAGVSRRIKVFDFSSIINEPADVHCPVVEMATRSKLSCLSWNKYTKNHIASSDYEGIVTVWDVMTRQSIMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVLNIDMKANICCVKYNPGSSVHVAVGSADHHIHYYDLRNTSNPLHIFSGHKKTVSYVKFLSTNELASASTDSTLRLWDVKENLPVRTLRGHTNEKNFVGLTVNNEFIACGSETNEVFVYHKAIARPVTWHKFGSPEMDDADEDAGSYFISAVCWKSDSPTMLAANSQGTIKVLLLAA >CDP06086 pep chromosome:AUK_PRJEB4211_v1:5:24734025:24740123:1 gene:GSCOC_T00021453001 transcript:CDP06086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MTATTVAPVLCLPNNSTHQCARCFGQSTTRCKRCKSVWYCSGKCQIIHWREVHKHECQELDSNCSISSPKLTLANEFPGGVSLDGSVDFQSFEYNNNQPMLEETPSENTSCSPLLPVAVTACAEVDTSGTPLTERRSVDKRPSCKSNRETLNNGLEHLPDCSDRANPNGVSCSPLSCNMPVKEASMGHKSRESDSLLDHDVSIPMGVSYGNANANARSTQEEHHMIRRQSKHISDMRNNYATSNSSNNETSLCNTPYSMNGENLQKKETTSHDEVAEACCSTQRATNKRSNKARSASQSPKLHKSPKSVIKASREQTCSDLDLKGVTAYKSKINRTKDAGPSQGSNGVTGMGIMKMIGFMKPSKLERLETSEVNSDQHKKVKMLFPYEDFVKFFQYEVFNMSPRGLINCGNSCYANAVLQCLTCTKPLLVFLLQRLHSRASCIRDWCLVCELEQHVMMLRESGDPISPSRILSHIRGINCQIGDGSQEDAHEFLRLLIASMQSICLEGLGGENVVDPRLQETTFVQHTFGGSLRSKVKCLRCHHESERSENIMDLTLEIFGWVQSLEDALTQFTSPEELDGENMYRCGRCAAYVRARKQLSIQEAPNILTIVLKRFQEGRYGKINKCITFPDMLDMVPFMTGTDDIPPLYMLYAVVVHLDTLNASFSGHYIAYIKDLQGNWFRIDDTEVQPVEMTQVMSEGAYILFYMRSSPRPYRGCTRKITRQQAAGIPRHWPSRAQKSSRLEQSRVSHRVADPCPPSDHNWCMNFSSYGTGLRSESGNRHPAETYAQPLSREFSDATSSDWSLFTSSDEASFTTESTRDSFSTVDYADASNIDPFSSIFSNIYPSGYPPQRTLACNMFPTSMIQTRFFSEQKGSVAEHYLPPQPLTRALSGKSSRKVVWPSGAFHNDTHDGGHVGYGNDTSNGLSQTSVNCNI >CDP16072 pep chromosome:AUK_PRJEB4211_v1:5:897678:911898:-1 gene:GSCOC_T00017085001 transcript:CDP16072 gene_biotype:protein_coding transcript_biotype:protein_coding MFCAIYFRVATTNQLQNEEKFTGSSTNLKLLSFVECGMVIFLCFQDAEWPSSYVIQVQAARAVDRSDSVDGGSHDVSETTCLVTELFSYNDGLEEFFILELSLLEEKVNKTCSQSYYVLLGIDFVDMNCLAGPSKGAVDGTYLKEIKWAALVIREPGDAASHVATNPSASGSNVNNNNNSGTPDPYPRHHPDYLVPITNILCIMKRILPPNAKVSEEVKTTIQECLSEYTHFITGEANERCHCEQRKTITAEDLLYAYARLGFRNYCWKNGYIHCRVNEKICSHNGTLERIQDNYLSFIHVYRIHVY >CDP05712 pep chromosome:AUK_PRJEB4211_v1:5:10846703:10847616:1 gene:GSCOC_T00020944001 transcript:CDP05712 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVSNSVRRMSTRSCKVAPKMAAAHPSSDNRTLAILDWLDALENGNGATEAAQKIVDDDDEASLDDDDDDQKQSKNTKRKTRLAEALENANRALRIFLKLLDKVSRTKQCNTVLPF >CDP06074 pep chromosome:AUK_PRJEB4211_v1:5:24866010:24868263:-1 gene:GSCOC_T00021433001 transcript:CDP06074 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFQFARQWKPIIKEGFSQSFLIAKFLCLLHVTNTHVCTPVLVYGPSMLPTLNLTGDVVLVEHLSQKFGKVGPGEVVVVRSPENPRKTVTKRIVAVEGESVTFLVEPALSDRSHTVVVPRGHVWIQGDNIYSSRDSRQFGPVPYGLILGKAFWRVWPPDGFGSL >CDP06029 pep chromosome:AUK_PRJEB4211_v1:5:25172781:25176967:-1 gene:GSCOC_T00021378001 transcript:CDP06029 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSFFFLIFLTLLVAYVQFQADAAIPAGPLIKHVSSVLKWTRSSSKLPQSDGNVLQFEDGYLVETVVQGNELGVMPYKIRVSQEGELFAVDADKSNIVRITPPLSQYSRARLVAGSFQGYTGHVDGKPSDARFNHPRGITMDDKGNVYVADTANLAIRKIGESGVTTIAGGKSNVAGFRDGPSEDAKFSSDFDVIYVHSTCSLLVVDRGNAALRQISLDREDCDYQYSSVSATDILMVIGAVLIGYISCMLQQGFGSSSSSRMQANTGFETHADRQKREKSAPAVELVKENQEAAWPSFGQLIGDLPKIAFEAFSGILTYLMPFRFSRRSARKGLTPLKDSLIMPEDEAEPQPQLVQKQRTLAPMSETRQAYTPNVSDRYSEVKPPKIRSSSMKDPSLPTKHRSSRRQEYAEYYASGEVPPQVQVRSKGQKERTKHRQRDKSGEVFGSSGVEYSKPVESKAVNYGDPKYGPYSMRSNYGDTFRFG >CDP05819 pep chromosome:AUK_PRJEB4211_v1:5:26738518:26744426:-1 gene:GSCOC_T00021110001 transcript:CDP05819 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKYCGEFGFWQLRHFILASLAWALEAFHTMVMIFADREPGWRCLDGAAGSGCYPEAKSVCELEPGSWEWIGGPGTSTVAEFGLVCGQKYKVGLVQALFFGGCMIGAGVFGHLSDSRLGRKGSLIVVCILNGIFGCLTALSPGYRTYVLLRVLTGFSTGGAGLCSFVLSTEPIGPTKRGIAGMSTFYFFSGGIAVLSGIAYVFQSWRNLYIASSIPSVIFLAILCPFVSESPRWCLIRGKLSKAMKIMTNVAKSNGKHLPDGVILALDDDVNNHESKVRSDGKTDSGSKEAVTGSVVDVVRFPVTRVRLFLAVAINFTCSVVYYGLSLNVVNLGTNLYLSVLLNAVAELPAYFLTAILLDRFGRKPLAIGTQWFSGLFCVIGCLFKWFGTLDVVQMICGVLGIFGMAGTYNLLFIYTVELFPTVVRNAALGCATQAAQMGAILSPFVVVLGGGLPFAVFGACGILGGVLAYGLPETLNKPLYDTMTGMEDGEREGTVV >CDP18048 pep chromosome:AUK_PRJEB4211_v1:5:8777339:8777725:-1 gene:GSCOC_T00001632001 transcript:CDP18048 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMKKAELVFIPSPEMGHLVSSVELAKLLIEREEQLSITVLIMKPPFDTNIINYRNSLSASLSSRIRFLELIKEEPSSQLTFSHSFLFQFIDSHKSCVKEVLAKISNSVSSDLSGIVIDMFCTSSLM >CDP05758 pep chromosome:AUK_PRJEB4211_v1:5:27170694:27174241:-1 gene:GSCOC_T00021034001 transcript:CDP05758 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSPENGFDEISDLFKHDESTLSEDLGLSFLMNKDVAADYAFGDLEGEDKFNFCFSEQGHCELPSLLSNFRYYDPPGHPLENLHSSARISSSSDFLIDTDAGVVLNEDNLQMRSPKNHQHEDTEKLCSGYLRDFASQVDLESINSEVPEVLCRQPQVLASTGDPILGGEIVDTRDSPSHYNIGEETFSNPQHQELDQSEFTSKKEEGGVPVDQTETLYLSLESESGDFNSRNSGDGREELKELDLPHVDQYDQERTSHFSVSTGVIGEICVSPETSLYAIKVPHDQKLSASHGLQDVLYYNVTHDPKCCKAECFDPSEDPLSVNQSLHAAMENCASSKGSPAKHVHIAKINDQGVKRSRSQSPVKQTYSSSRCKKDSQTNSEARLPHFKSWCQRSSDKAACSYQPPGSPNICVIREVHKEQSYYSSSSNHKYELARTDGRGREVTSKDHLSVTSRQNSAALQESRRVFKDSSSSKCAPASPSNHSLEITSRRKVGRSGSPSPISRRNLKRECDKSRSRYPYAKDICRQSSRTRYSSRHKSSSRSYSAHNTSLQARYLSRASYRRTGIGKPGRCLFVAGFEFSTTEGELERKFSRFGHVRDVRIIRDKRSGLSRGYGFLSLERDEEADAAIRALHKTDWNGRVVLVEKSIK >CDP06181 pep chromosome:AUK_PRJEB4211_v1:5:23915090:23916083:-1 gene:GSCOC_T00021586001 transcript:CDP06181 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGQESLAVIPHAKLQEKNSRRSRRSSKRSFNAKKLASSIEEDTSSEEDEKAEVEKKIVVLQKIVPGGESLGVDKLFEETAGYIMELQYQVKALKFLASFVESSDKEKRKFGG >CDP13802 pep chromosome:AUK_PRJEB4211_v1:5:27480365:27482959:1 gene:GSCOC_T00038862001 transcript:CDP13802 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVRAVEELLNYKFKNKMLLEEALTHSSYTDSASYQRLEFVGDAALGLAVSNYVFLAYPDLDPGQLSLLRAANISTEKLARVAVRHGLHKYVRHNAAALHDKVRDFATAVGQEDETEVYGGAIKAPKVLADIVESVAAAVYVDCGFDLQALWVIFRGLLEPIVTPDVLQHQPQPVTLLFELCQKDGKHVDIRHWRKGEKNIASVYVDGQFAASACSDQKENAKLHAARAALQKLSYKASEKIGRDIIGEVNGSTKIESAKQKLHELCGRKRWPKPNYRIEKEIGPAHDRRFICSVQIEIAEAVLFVTGDEKSRVKEAENSAASLMLLGLQGS >CDP05847 pep chromosome:AUK_PRJEB4211_v1:5:26526940:26527451:-1 gene:GSCOC_T00021147001 transcript:CDP05847 gene_biotype:protein_coding transcript_biotype:protein_coding MNATAKALVVGGSIGAAASMVLRDQATTPGCRSSAAMPLGTIRPRLAPQFCHLSWLGKQRRRQEEKTLRRK >CDP05933 pep chromosome:AUK_PRJEB4211_v1:5:25901815:25902189:1 gene:GSCOC_T00021255001 transcript:CDP05933 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAIRLGAAILLLAMALAVLADSSPTLDTVFGLTSLAGSKGGDGLGGLVADFIDEDEEMSVESETARRTLAGRPRYISYDAMRRGNVPCSRRGNSYYACNAHGRANPYQRSCTRISRCPRNTR >CDP11841 pep chromosome:AUK_PRJEB4211_v1:5:19951240:19952452:1 gene:GSCOC_T00035107001 transcript:CDP11841 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLPEYMKLCFLALYNTINDLAYDTLKEKGELIISQLKKAWADLCKAFLQEARWFYKKVTPTFDEYIENGWISSSGAVQLIHAYFLVTENISKEAIVCLDYDLGFLRWPCIIFRLTNDLSSSTVRAEIERGETTNAITCFMHETGLSEEFARQHISKMIEECWMKMNKQLLSPSPYKENFIQVAMDLARIALCQYQHGDAHSAPDATAKNRIMSVLLDPIRLREMEDNATTYGDNTGAIF >CDP16391 pep chromosome:AUK_PRJEB4211_v1:5:3717499:3722927:1 gene:GSCOC_T00018244001 transcript:CDP16391 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPNRKRKKKEKTKGRKEQKETKESAGSCCCRRHHRNFPPPPANLHRESTEVVVPVVQGKIRHTCGSVTLEQFMESPLLKPMVTLSGTAEEGAVGPPLGLVDIGICESAYLFRVALPGVRGSESKLKCNIQLNGRVHIEGVITESQFMKNCSKVFEMKVQQLCPTGPFSVSFNLPGPVDPRLCSFSFKAGGILEVVVLKFRIPHLSAEGWFEKWYDCWSFP >CDP14684 pep chromosome:AUK_PRJEB4211_v1:5:20844308:20844858:1 gene:GSCOC_T00042095001 transcript:CDP14684 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTRAWIAAVSVGTVQAMKDQGLYRWNHTIRSMQQLAKNNLRSLSQTKQLSPALASSSIKVKDQEKLRQSEESLRKVMYLSCWGPN >CDP15875 pep chromosome:AUK_PRJEB4211_v1:5:22480014:22484039:1 gene:GSCOC_T00016777001 transcript:CDP15875 gene_biotype:protein_coding transcript_biotype:protein_coding MLSILSLLSLHSLWSIHALNQEGLFLQQVKQSLFDPAGSLSSWFDRDATPCNWTGITCARRGNGRSPSPAVVSVNLAGAALAGPFPIFLCRLRYLSVVSMSNNSINSSLPLSISLCKSLTYLDLSENLLEGPIPDTLSQLPHLRCLNLDANYLSGDIPASFGEFRLLESLILTSNLLNGTIPASLGNITSLKRLQLAYNPFRPSQLAPELGNLTNLEDFWLSNCGLIGSIPESFAKLSRLANFDVAENGLTGPIPTLFFQLKNIVQLEMYNNSFTGKLPSGWANLTELRRFDASMNSLTGRIPDELCQLPLESLHLYENKLMGALPESIAKSPNLNGLRLFSNRLNGSLPSELGKNSPLQTLDVSGNQFSGKIPESLCAKGKLEELLLIFNLFSGNIPASLAKCRSLGRVRLRFNRLTGEVPAEFWGLPHVYLLDLGNNVLSGHISHMIQGAKNLSTLVISNNKFSGNLPDEIGMLDNLIDLEARHNKFSGKIPSSLVKLEQLSRLDLYDNVLSGEIPEGIRALKQLSELNLARNKLSGEIPDEIGYLPGLNYLDLSWNNFSGEIPLALENLKLNELNLSCNHLSGTIPPLFDKDVYKDSFLENPGLCGGFAGLCPRKRRGRDTIYGLVLRSVSVIGACLLIVGLVFLIWKHKNIKKVKKGVIMNKWTSFQKLGFSETEIITCIDENNVIGSGASGKVYKAVLSDGEVVAVKKLWERSNKDDSSFSSVDSEKDEFEVEVQTLGNIRHKNIVRLLCCCSSGSCKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRLRIALDAAEGLSYLHHDCVPPIVHRDVKSNNILLDEHFGAKISDFGVAKIVEVANKGVECMSAIAGSCGYIAPEYAYTLRVNEKSDIYSFGIVLLELLTGRRPVDPDLGDKDLATWVCTKLNQKGIDHVIDPNLASTYKEEICKVLNISLLCTSPLPVNRPSMRRVVKMLQESSTHCKTKIAEKECKLSTNSYQDSSKESSIV >CDP06128 pep chromosome:AUK_PRJEB4211_v1:5:24363290:24365056:1 gene:GSCOC_T00021519001 transcript:CDP06128 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDSQANDDFGSVSYSDLGAFRYLVHANGICAGYSLLSAILVAVPRPSTLARAWIFFLLDQVLAYAILGAGAVSTEVVYLAYKGDQAVTWSQACGSFNGFCRKATASVAITFVVMLCYAGLSLISSYRLFSKYDAPVGYNNKGIEIAAFRA >CDP10781 pep chromosome:AUK_PRJEB4211_v1:5:17675750:17677322:1 gene:GSCOC_T00031641001 transcript:CDP10781 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFAIVLLQKQRRRRKKETSWCGVRYTADEEYLWDRSCGEQNDPLFLNKKIKKKKGKKVCYVIAFQLQYWKILLSQSVT >CDP13594 pep chromosome:AUK_PRJEB4211_v1:5:28823163:28825068:-1 gene:GSCOC_T00038598001 transcript:CDP13594 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSDEALKNYKGERQGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATRRWTTKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEERELMGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDPYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKV >CDP15940 pep chromosome:AUK_PRJEB4211_v1:5:23041969:23043054:1 gene:GSCOC_T00016863001 transcript:CDP15940 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSEKFNYSNFSPKYEFIMLQGPTTNFQTYSSFLASANKLIRDSTSLLCNMIFPEMLKLFSNLKILKKTTIPAKQKQLSLPTETQ >CDP05886 pep chromosome:AUK_PRJEB4211_v1:5:26237755:26243938:-1 gene:GSCOC_T00021198001 transcript:CDP05886 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGEEEEEDERFHDSLDRLLSSTNTSCSCSPSSSESEDPNPFSNPGSPNYAADGLSDPVRVVPKFPMGLSNNYDVWISQPSSVQERRLRLLSQMGLSRDPSLGFSKTLSNSYINDDHKIGCDDGNGDHNNKRNVSGFVRSKSDGEYKSSNILSVDSGSSPSVMANGPGSDGTIIVNNNHRNSQSHNVVLIVDNLGNGLSNKPPKGKIRTDCTRRYGSCTSLPGLGNGEVEEGLDCSRMVRVDDPDNPVCTIRNLDTGKEFVVNEVREDGTWNKLKEVGTGRQLTLEEFEMSVGTSPIVQELMRRQNVENGNRDAGDSNVDGNSGSGSRFKKKGSWLKSIRNVASSVTGHKERRSSDERDTSSDKGGRRSSSATDDSQDASFHGPERVRVRQYGKSHKELTVLYKSQEIQAHNGSIWTIKFSLDGKYLASAGEDCIIHVWQVIESERKGDLLFDKPEDGNLNLLFLTNGSPEPTLLSPSLDGHSDKRRRGRSSISRKSVSLEHALVPETIFALSEKPFCSFQGHLDDVLDLSWSKSQLLLSSSMDKTVRLWHLSSNSCLKIFSHSDYVTCIHFNPVDDRYFISGSLDAKVRIWSIPERKVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCHLYNTSDNKLQQRSQMNLQIKKKKSHQKKITGFQFSPGSTSEVLVTSADSRIRVIDGDDLIHKFKGFRNTNSQISASLTANGKYVVCASEDSHVYVWRHEDDSRPSRSKGVTVTRSYEHFHCQDVSVAIPWPGMCETWAFRDTTSREQSGPIDRPDEVLTANHPPTPVEEANDSDHSPPASGCSSSPLNGTISSASNSYFFDRISATWPEEKLTLATKNCSPRVSVDFSNGLNHNRSAWGMVIVTAGLRGEIRTFQNFGLPVRI >CDP06091 pep chromosome:AUK_PRJEB4211_v1:5:24692758:24697134:-1 gene:GSCOC_T00021461001 transcript:CDP06091 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPAKIPRRRQPKNQQMKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGENYLGIQIFRFYFKCTRCSAEITYKTDPKNSDYVVESGATRNFEPWRAEDEQVESEKRKRDSEEMGDAMKSLENRTLDSKREMDILAALDEVKSMKSRQASVSVDAMLEVLQRANEEKERKLFEEDEALIRSIFKGSSESYVRRINDDDFDDEEDVASTVPASEEASNSVSKRKKLDEERLGNPTDTLSDGSALDKDKAGVSGSSNNGKSIFKSPLVRVSILKKPAADSNGSKANEGEETNQKADHNQTVRSTGLQSLCQLYQSDEDD >CDP11306 pep chromosome:AUK_PRJEB4211_v1:5:13465483:13473057:-1 gene:GSCOC_T00033475001 transcript:CDP11306 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRDHGCYICPLTSLQIGDLQSYLSHLSLFLAPESKKFYILVDNRPWLKDLVSGAHFWQLMVTKSRLSPFANTRGKKGKKETSEVTPKSTASKSKKFKRWFSLVEAATLSRKRALLPVKKLRSALVFNSKLHRTLYGFIVFEIAWHNVRGLNYLNELQTDTSLAVESRFMNRWEFDSIAQAARSISSWFPGTTNERKFLNDYLESTGEVFFDAQENFPRTNIPEDLTCDVSDGGDESSCIYSSSFSAYPARTESGTNMLQTPPPPNGPCKRRKLENSPSNELEFDIFCEETHGESVGSPIHSRESYASDCEDVIEASQYSDVLILFRFDDPDLPFKLKEVIVSDLRLLRLLEAGLPSWVIFLQSYPVFSRIYRPWMCPLARALYVLISVVTVLIGFYDLYKNVPVLKATASHLFGPLFDWIETWEMTSRIKYLGTMLFLHNFQKAVRWFLMMTQAVRSFLSIFIQPMAGPLSEFLDFFLPFWNMCIQIVESFFSVLWMVIESSWSLVGNLVEILLMPLWFILSVCWSIVTYFLYPVIWVLWEMLYAPIRLVLGLYGLLVSISLCIYGLLKDMWLVMSGIFQFSSGVKSTVRSSEVSMLRSLWNDLFSQIFRAVRSILNGFVAFFTACNRHRLSIYNHWKEVVQKVHQPGKRSVGSNSTKRSESLVLSYGECKSGAKMMEDRDEFQPPLKFRKVS >CDP13595 pep chromosome:AUK_PRJEB4211_v1:5:28822152:28823111:-1 gene:GSCOC_T00038599001 transcript:CDP13595 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLAEAIDDGRIGTRDDPKNRAKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASREGALAEENMRGICFEIRDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEELQRPGTPLYNIKAYLPVIESFGFSSSLRAATSGQAFPQSVFDHWDMIPSDPLESGTQAAQHVAVIRKRKGLKEQITPLSDYEDKL >CDP15929 pep chromosome:AUK_PRJEB4211_v1:5:22935370:22940294:-1 gene:GSCOC_T00016848001 transcript:CDP15929 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKERENFVYVAKLAEQAERYDEMVDAMKKVAKMDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEESRGNEQNVKRIKEYRQKVENELTNICSDIMAVIDEHLIPSCAAGESSVFYYKMKGDYYRYLAEFKTGNDRKEVADLSLKAYEAATSAAESGLPPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLNEESYKDSTLIMQLLRDNLTLWTSDIPEDAEDAQKIDGANKGGEDAENARVYNIRGDGGRG >CDP11809 pep chromosome:AUK_PRJEB4211_v1:5:19406841:19408831:1 gene:GSCOC_T00035059001 transcript:CDP11809 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVFISVFILFCLTIVKIARKSRPVKLPPGPRQLPIIGNMHQLIGSLPHRILSDLAKKYGHLMHLQLANVLFYNSTDIAFSPYGDYWRQLRKICTLELLSSRQIQMFRSIREEEASNMIRSISSQEGLAVNLSTMISSLAFSIVAQAAYGKRSKYHNEFMSAIKDVTKLMGGFSIVDMYPSIKILEKITGMRHKLQRTHKRADKALENILNEHRVKRAESKPGNGEAKEDLVDVLLRIQQSGRIQQSGEFSAPLTDNNIKAVIFDVFSGGSESSATTLMWSVAEMINNPEVLKRAQDEVREIYADRGNIDESRIHKLKYLQAVIKEVFQLHPAAPLLVPREYPKRWIEPEKFYPERFLDSEIDFRGQNFSYIPFGAGRRICPGISFTLTSIQVPLAQLLYHFDWKLPAGFEQEQLDITETFGLAVRPKQDLVLIPMPYFRPPSM >CDP05906 pep chromosome:AUK_PRJEB4211_v1:5:26078991:26081771:1 gene:GSCOC_T00021223001 transcript:CDP05906 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNILRLPFWQIKSGFASPSAAENSEPKRQKLSNESTTEPAIDMISDLPELVLFHILSFLPTNDAVATSILSTKWRFLWAKMTIFNFDDGLFPADAGKRETFVNFVDRVFLVTAATFMKKLSLKCGKRCGQNHINNWIRTAIGRGVEDLDLTTYGHGLDYRLPGSSLFICKTLVALNLSGDVVINETSSGSFPNLKILYLTSVKYQSDDSVRKFISSCLVLEQLTVVRDREDNVVTFTISAPTLKHLTIDFRSCSDDSDRALKINAPALQCLNLFDDLSINFMVEDLTSLVEAKVDVFNKKMLMYNEGYRDSVIGFLMSLHNAKILCLRYHSVEVLSYYWISTRFQNLIRLVLQSRYCDWIALEDLLQQAENLETFHVNARFIHWREPEQVPKCVSSTLTRIFLGSFGCVKYERTMVKYFLNNAKVLKSMELLTYNVDELKKENTLQKILRFQRASQACQIKLS >CDP13772 pep chromosome:AUK_PRJEB4211_v1:5:27671900:27675971:1 gene:GSCOC_T00038824001 transcript:CDP13772 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPWVKEYNEAVKLADDITNMISERNSLPATGPEAQRHASAIRRKITILSTRLESLQSLLSRLPGKQLTEKEMNRRKDMLANLRSKVNQMASTLNMSNFANRDSLLGPEIKPVDAMTRAKGLDNYGVVEQDEGLEKLEETVISTKHIALAVNEELDLHTRLIDNLDEHVDVTDSRLQRVQKRLAILNKKTKGGCSCMCLLLSVIGIVVLVAVIYLLVKYL >CDP17853 pep chromosome:AUK_PRJEB4211_v1:5:1422512:1423991:1 gene:GSCOC_T00013169001 transcript:CDP17853 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLRPVFLALISLLMISSLTRSSEGAGIAVYWGQNGYEGSLEEACRSGNYDYVNIAFLVSFGSGQTPELNLAGHCIPSPCTFLSSQIEVCQSLGIKVLLSLGGGGAGAGRGPILASPEDARDVAAYLWNNYLGGQSDSRPLGAAVLDGIDFDIEYGSNLYWDDLARALSGYSTAERKVYLSAAPQCFFPDYYLDVAIRTGLFDFVWVQFYNNPPCQYGTSTGNADNLLNSWSNDWAPHPGVNKLFLGLPAAPEAAPSGGYIPPEVLINQILPVVQSYPKYGGVMLWSRFYDRNYSPAIRPYVNGDPLTYTTKSVKKSHAVA >CDP11896 pep chromosome:AUK_PRJEB4211_v1:5:20586375:20588306:-1 gene:GSCOC_T00035182001 transcript:CDP11896 gene_biotype:protein_coding transcript_biotype:protein_coding HSPYVAPEYANSGLLNEKSDVYSFGVVLLVAITGRDPVDYVSKFDIEVNLVDWLKVMVGSRRFEEVVDPTIETRPPTTALKRALLTALRCVDPDAEKRPIMSQVARMLESEEYPVLRKGGRRRRNQPGSQRENDETDRSENPILRRSDG >CDP05675 pep chromosome:AUK_PRJEB4211_v1:5:13002700:13004101:1 gene:GSCOC_T00020869001 transcript:CDP05675 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGTSRMSIITSGSCQKLYQFLSCSTWSQYTIVDAKYVVKMDSRLSLPHASFLSCGFTTGFYRSYFQSWRCWTGSTCNINMPNIRTRDRYVEVARVHRAAQIIGIDINKGKREKREAFGMTHYINPKAIGDKSISEMVKELTNGLGVDYSFECTRVSHLVNEALESTKVGVGKTTMLGAGTQKSMEIDFVSLLGCRTFKYSIFCGGKVQFDLPVVIDKCINKEIQKLDQLLTHEVQLNNINRAFDLLKEPENVKVLIQL >CDP15944 pep chromosome:AUK_PRJEB4211_v1:5:23082933:23089672:1 gene:GSCOC_T00016867001 transcript:CDP15944 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVIKCCLDSIRQISDDIKDAVVYLDAGCTESFQFLGAFPLLLELGARAVCSLEKTSALDEVVSWQSNPELAQKVVVITSRLLSDAHRYVLRCLSTLQRIHRCIIFTPISEVGHSAYPDSPLGPDAFREYESLLVQDYEELIKGGQMKSRESDDSNPRESLIPEGEGWSQLAFDGDDVSNSGPTSTAKVVYKDGFPVPAADGGRMLVVNVHHYPLILCPFSPRVFVLPSEGSVAEGNLSVEHENSISPGLPSISTGTPDDGEDVPAGATLTAQFLYHLATKMDLKLEIFSLGDLSKTVGRLLMDMSSLYDVGRRKRSAGLLLIDRTLDLLTPCCHGDSLVDRIFSCLPRREPTTSLTHMKGSQSQLKHGVVRPPLDVQIPLDKILEEETLGDNFQLLESIEAFLHGWDSSNAAAQIVDLTNLSKKLNGEKPLQNSKFEQIRGSFVSTDNFHETKYLEAILDRRTKDGAVLIKKWLQESLRQENITLNMKIRQRSISNTELQPMIKAIAKSQSSLVRNKGIIQLAAATVTALDELHSTRWDGFSSAEKILNVNAGDTSQSLASQISDLINKSALVGLQEHKSHSSQGLLSLQDALLLTVIGYILAGENFPTSGSGGPFSWQEEHFMQEAILDAILENPAVARLKFLQGLAEELKANFSRRNPDEKKEESPSQLETVDFDDDQWESWGDENEDTDKTKDQAYGDMQLKLELRDRVDNLFKFLHKLSSLRRIMPLETKLNDDPYSNKGLLYKVLTRVLAKYDVPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQDIILVFVIGGINTVEVREAHEALSESSRPDKELILGGTTLLTPDDMFELLLGESSFI >CDP06002 pep chromosome:AUK_PRJEB4211_v1:5:25402551:25403155:1 gene:GSCOC_T00021343001 transcript:CDP06002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVAVVSALCFLALASLAHAQEAFTVKGRVYCDPCRVEFQTSLSKNIEGAEVELQCRVRENGTVTVSQKATTDANGNYELSVQGDHEEEICEVASVSSPSLECNVPFGENKARILLTQNNGVQGTDRFANPLGYKTTEANPDCKPILQEMGYIPDENGL >CDP11872 pep chromosome:AUK_PRJEB4211_v1:5:20348513:20349271:-1 gene:GSCOC_T00035146001 transcript:CDP11872 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQENDHHHPNLLPPVQVKPPPTSPAFSITFSTPTSVSPLTPTHRRIAIAVDLSDESAFAVKWAIENYLRPGDAVILLHVRPTSILYGADWGSSTPTSTVTPPSSPFQAHATSHDQKLEEDFDNLTNSKATGLAQPLVEAHVPFKIHIVKDHDLKERLCLEVERLGLSAVIMGSRGFGASRKNSKGRLGSVSDYCVHHCVCPVVVVRYPGDNNEGRNGGVEVVKKTAAVINEAVLHPLPEEEHETNEEDA >CDP15900 pep chromosome:AUK_PRJEB4211_v1:5:22713917:22716380:-1 gene:GSCOC_T00016808001 transcript:CDP15900 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRISLPKWLFIYLFIFSACKFAIKHSSSSIHLESGLFLWPMTLLFSLWQFSLSEQIVAHLTMNQLMRMLDFTMKKLIAGGVSRVSAQRI >CDP18746 pep chromosome:AUK_PRJEB4211_v1:5:23589524:23591146:1 gene:GSCOC_T00002680001 transcript:CDP18746 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHGVETHNINVNSIKTVLWRPCYLIRNCQLGTSFLEYVHINLSNYFKSSSMVQLSSWDVIQYKNVLYKDMNRLLAYEKALNTWARWVKSRVDASKTKISFSRSCNVSGNCGGKKEPIKDPGQAHPAETVLERVLNGLSKPVCLLNTTSLSKLRADAHPSIYGFGGSRGMDCSHWCLPGVPDTWNQLLYAVLN >CDP13577 pep chromosome:AUK_PRJEB4211_v1:5:28974985:28975796:-1 gene:GSCOC_T00038574001 transcript:CDP13577 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGLAIHNIMMRICCHTATATITSSRRHLFSKSCSNIQSASGWGNLKRFLSLRPPPPPPPLLPHCFSSASSLSSITDASSVATTSTPALSEEDVMMGYVFGKKKATQVAHSSLVEACCPKR >CDP05912 pep chromosome:AUK_PRJEB4211_v1:5:26043462:26046644:1 gene:GSCOC_T00021230001 transcript:CDP05912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MSICTPSSSSSSSSSSTKAWIFHGIAVGAAVAVAIGAHAYLYLRRPGKFRTRVVGIIPARFASSRFPGKPLVQILGKPMIQRTWERAKMASSLDHVVVATDDEKIADCCRGFGADVIITSESCRNGTERCNEALQKLEKKYDIVVNIQGDEPLIEPEIIDGIVKALQAAPDAVFSTAVTSLKPEDAFDPNRVKCVVDNHGYAIYFSRGLIPFNKSANVNPQFPYLLHLGIQSYDSKFLKIYPELTPTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDAPEDVEKIEHFMRERNLS >CDP13751 pep chromosome:AUK_PRJEB4211_v1:5:27817565:27823197:-1 gene:GSCOC_T00038799001 transcript:CDP13751 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHCVRDLQWVLQALNSGSLNLHSISFYLSQPTSGCHQETENSVNINISNDSLQYFGRLLAILGSAENSSSLRNLEFHDVEWELQQVRDLGLSLENSSNIKLLVFRRNRFDMECLSGLSDIIRKNGVIKEIMLSESRIGPIGATLLASALKVNGSLEELQIWEDSIGSRGAEELSKMIEANSTLKLLTIFDFKAFTATPLISAVLARNRSMEVHIWSGDSREKSFKVVEFVPENSTLRIYRLGVSGACRIACALGCNSTVRSLDLTGVRLKSRWAKEFRWVLEQNRSLKEVNLSKTCLKDKGIIYVAAGLFKNQSLNSLYLDGNWFGGIGVEHLLCPLSRFSSLQNQANITLKSLTFGGGRTKIGKDGLAAIVQMTTTNQTLTRLGIYDDQSLRPDDIIRIFKCLERNASLRCLSLKGCGGVDGDLVLQTIMGTLQVNPWIEDVDLERTPLHNSGKAEAVYQRLGQSERTEPVPDIDLLKDMQMTAPKSCRVFICGQENAGKTTLCTSIHQHFSSRKLPYLNQVRTLVTPVEQAIRPVGIKITSFKDEDTRISMWNLAGQHEFYSLHDLMFPGHGSASFFLITSSLFRKPNNREPKNSSEIEEDILYWLRFIVSNSRRAVQQCMLPSVTVVLTHYDKISQTSQNMQQTVNLIQRLRDKFQGYVEFYPTIFTVDARASASVSKLAHHLLKTSKTVLERVPRVYELCNDLVETLSRWRQENHNKPAMKWKEFGDLCQVKVPYLRIRSRHDNKEKVEMKRRAVAVCLHHIGEVIYFEELGFLILDCEWFCSDVLSQLIRLDNSKQSSLENKGFISREVLEKILRGSLHSQIPGIGSKVFENLEASDLVKMMLKLELCYQQDQSDPNSLLLIPSILDEGRWRAQRWQVNTPDCIYAGRHLECDDSSHMFLTPGFFPRLQVHLHNRIVKDHHGATYSIEKYLISMSINGIYVRIELGGQLGYYIDVLACSTKHLTETLRLFQQLIIPAIQSLCHGVTLTENVLRPECITNLIPPRYRKDQFVPLQQLKQALLSVPADSMYDYQHTWGPVADSGKSILGSGFDYARDLLSDDDFREVLHCRYNDLHNLAVELQVPNENNTDDSDQSSITSEGANATVEPTFAGIAKGVELVLERLKIIEQEIRDVKQEIQGLRYYEHRLLIELHRKVNYLVNYNIQVEERKVPNLFYFVQTENYSRRLVTTIFSGMTALRLHMLCEFRREMHVVEDQIGCEMMQVDNRALKCLAPHMKNFMKLLTFALKIGAHLAAGMGQLIPDLSKEISHLVDSPMLYGGASAAAAGIAGAAALGGRHRNNSSRDVQQDLRAAQQWVVDFLRDRRCSTGKEIAEKFGLWRVRYRDDGQIAWVCRRHLHSRANELIEVPI >CDP15918 pep chromosome:AUK_PRJEB4211_v1:5:22880961:22883455:-1 gene:GSCOC_T00016833001 transcript:CDP15918 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCAQSKLSNPNLCIKTSVGSSSTDNVVGHHDSIRRRKRMMVKMTASTSGSSGQGSSTVAFEEGQLERPRWTGETPLSRLVGALISFRPLFSIMKFGARQVLISTAEKTNIPWREMTRQILESDVYKEMGSIEDPSLVYPDYYLNPFHAYDEGNLSWLAAAEAEAATMSMMRRAIPYASSLDEANQVVRGNWLNAIEEHHQKYSEDNIVRQILDIGCSVGVSTRCLADKFPNARVTGLDLSPYFLAVAQYKEKKSNPRMNPICWVHANGESTGLPSKSFDILSIAYVFHECPERAIKNVVREALRLLRPGGTFAITDNSPKSKILQASSLLLFLFSAYSLCD >CDP05713 pep chromosome:AUK_PRJEB4211_v1:5:10807078:10810355:1 gene:GSCOC_T00020945001 transcript:CDP05713 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIWVSWLFVIHFLIVQTSAKIPAIIVFGDSSVDSGNNNQIPTVARSNFEPYGRDFSGGQPTGRFSNGKIPTDFISEAFGLRPIVPAYLDPKYSISDFAIGVSFASAATGYDNLTSDVLGVIPFWKELEYYKEYQKRLKAYLSEQKANYTISEALYIISIGTNDFLENYYALSDRRSQYTIDQYQNFLAGIAKNFVTNLYHLGARKISLGGLPPMGCMPLERTTNIPNGEGCVQSYNNVAVSFNAKLNGLVSNLNTQLPGIQLVFSNPYYAMLNIISRPSAYGFEVASVACCATGMFEMGYACDRYNPFTCTDANKYVFWDSFHPTEKTNRIVSESVVKTVLSKFM >CDP05854 pep chromosome:AUK_PRJEB4211_v1:5:26482960:26485415:1 gene:GSCOC_T00021157001 transcript:CDP05854 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPNSIARSSLEEMLDSLRRRDENEKPKDMPPALPARPKATARARLPSAKRPLPSSFETGENIGAGNLKKGHNNPKGFRGNNSFVTKKAKAKEPSESPYAEVAEVKAVEERLERNDGANLANSAPGSLPRFRESDWDDNIGYFIKKKLRVWCRLRNRQWELGQIQSTMGEKASVLLSDGSVLSVPIGELLPANPDILEGVDDLIQLSYLNEPSVLHNLQYRFSRDIVYSKAGPVLIAINPFKNVQLYGDDSITAYRQNLVDSPHVYTIADTAYNEMMRDEVNQSIIIRFVAVCSLIRRLCYLPCSPFVSFQA >CDP05919 pep chromosome:AUK_PRJEB4211_v1:5:25999759:26002737:1 gene:GSCOC_T00021237001 transcript:CDP05919 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLPAQNSSSVISLNVHKDQSPGSVGPGPDSKPRKKICCACPETKKLRDECVVEHGEAACGKWIEAHRKCLRAEGFNV >CDP11320 pep chromosome:AUK_PRJEB4211_v1:5:13900717:13903557:1 gene:GSCOC_T00033502001 transcript:CDP11320 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQFMDSATRKSKSKASSEVQLCVDGVPFTLDRELLASKSSKLAVLLKDHPSEDLTNVLRDAPADPETFELVARFCYGYEINLSTENVTHVACLAHYLGMTESHCTNNLFSKALDFFEHQVVSSWNKSIRALKAAEDILQQAADLGLVGACVESIILKALEHPHLLGESFKDLTSNDEGEDNENYFRPNVRRKLFALEWKSEDLSILSLRLYEPIIGAMIEREIPAEYIAAAVCQYAKKWVLFSMKEGDDGSIYKKSIQREIIEAVERMLPNARGLIPCALLFEMLRSAIALDASNECRNGFEIRIGKQLDQATVKDLLIPSQGYAKEERYDTECVRRLMKNFYRNYTGKDGHELITVAELIENFLIEIASDIDLKMSTFISLADFSLAASRGILQNSDGMYRAIDIYLDKHRYLTESEREEVCHLLDCSKMSPEACLHASRNERLPVRVMVQVLFAVQLQMRETMPKEIKGSEEGRLFLKGAEEEEEEEEEEGFLSLIFLEIAY >CDP05680 pep chromosome:AUK_PRJEB4211_v1:5:12676870:12681316:1 gene:GSCOC_T00020875001 transcript:CDP05680 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNGIFRSGFPNSTNFSFLQSLSLRSIIYLCPEPYPEANTDFLMANGIQLYQFGIDGAKEPFVNIPEDTIREALKVVIDARNHPLLIHCKRGKHRTGCLVGCLRKLQRWCLTSVFDEYQRFAAAKARISDQRFMELFDTSSFKHLPMPFSCSKR >CDP16223 pep chromosome:AUK_PRJEB4211_v1:5:15863814:15864316:-1 gene:GSCOC_T00017341001 transcript:CDP16223 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSHSSVMFLPSSGKYLFVLSLYSGFQYGSLVKLFLVVQLEVRFADTKLPLLVYLHDGVFLIKSAFSPTYHAYLNVVVAEASVVAVSINYRLVPEHSLPIAYEDS >CDP11345 pep chromosome:AUK_PRJEB4211_v1:5:14665602:14666650:1 gene:GSCOC_T00033547001 transcript:CDP11345 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGGGAEARARSKQYEYGANSSLILTSESRHPRDAQEPSGEPESLRGKIDPRTFGDRVFRDEESKKKERESLASEANSGRESKKRRIVHEESVFTLIDEGVYQPKSRETRAAYEAMLSFIQQQLGGQPVNVVRGAADEILAVLKNDNLKNHDKKKEMEKFLSNPIPNQVFDHVVSIGRLITDYRDAAAGGDDGLDDDDVGVAVEFEENEEVDNGCVYDLVQEDEEEEDDGVYVNNCTNGAGAMWMGRGIDDDDDEMQDARDEEMALNVRDIDAYWLQRKISEAYGDQIDAQQCQKLAEEILEILTEVYVAEPAEDSLVHSFGKG >CDP13642 pep chromosome:AUK_PRJEB4211_v1:5:28496556:28498552:-1 gene:GSCOC_T00038657001 transcript:CDP13642 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPTGSLDLSRLTYSLALASPASKCVCPFCSGTCFATFSLWKLKKTNLPRNKDKPVLKLITRGALSSAFTPPADELNLFFMTGGQNMEGLLLRKRPAVSVGLLRGRRPWNVGVENKVEAMTDSNP >CDP06025 pep chromosome:AUK_PRJEB4211_v1:5:25249886:25257018:1 gene:GSCOC_T00021371001 transcript:CDP06025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MKEEEIEKLRGVVRDCVSKHLYSSAIFFADKVAAFTADPADIYMQAQALYLGRHYRRAFHLLNASQIVLRDLRFRYLAAKCLEELKEWDQCLLMLGDAKVDEHGNITDTRDLNIMYLDKDGEDREINILAAICFLRGKAYEALENRAQARLWYKAAIKADPLCYEALECLTENHMLTCEEETRLLSSLNCGSVDGWLSSFYTCLMKKYDKKNVIETKFRELEQEDADTNSLEKTFLCTLRNNTDLLACKAEYYHQCGEYQKCFQLTSVLLEKDPFHMKCTQIHLAAAMELGHSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKFDQSRRYFSKATSLDGSFAPAWIGYGNAYAAQEEGDQAMSAYRTAARLFPGCHLPTMYIGMEYMRTHSFKLAEQFFMQARAIWPSDPLVYNELGVVAYNMKEYDKAVWWFERTLGLIASTLSETWEPTVVNLAHALRKLKRYNEAVTYYEKALALSTRSLSTYAGLAYTYHLQNNFTAAIAYYHKALRLKPDDQFCTEMLTLALVDESHLGISNNSDFHRSELLF >CDP06012 pep chromosome:AUK_PRJEB4211_v1:5:25316900:25320989:1 gene:GSCOC_T00021356001 transcript:CDP06012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G14930) UniProtKB/Swiss-Prot;Acc:Q93ZB6] MGFSTSTRPVLSFPLGFSFIYLFYIFYFSRYCNLGLAWKSSSLFVQLGFIPNGSIKPTKISPCKFPRACLSSPSSDPLLVKAARGDPVNRPPAWMMRQAGRYMAAYRKLAEKYPSFRERSETTDLIVEISLQPWEAFHPDGVIIFSDILTPLPAFGVPFDIEEVRGPVIHSPLHHEEDLKALHPIDLDKLQFVGESLQILRREVGEQAAVLGFVGAPWTIATYIVEGGTTRTYTKIKSMCHTAPHVLRALLSHLTVAIAEYIVFQVQSGAHCVQIFDSWGGQLPPHMWDSWSKPYIDKIVSTVRTKCPQTPLVLYINGNGGILERMKLTGVDVIGLDWTVDMEDGRKRLGNDIGIQGNVDPAVLFSPLSAVADEIKRVVKSAGPRHHILNLGHGVLVGTPEEAVAHFFNVARSLKIDEKTVEHENNAPKTVVGR >CDP06145 pep chromosome:AUK_PRJEB4211_v1:5:24219432:24223268:-1 gene:GSCOC_T00021538001 transcript:CDP06145 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWFWFIMLFGLVGVLFGIILNHFLPLFSKQGLVPQGSFGWPILGETLSFLKPHPSNSIGSFLQEHCSRYGKVFTSHLFFSPTVVSCDQELNYFVLQNEDKLFQCSYPKPIHGILGKVSMLVAVNDTHKKLRNVALSLVNTTKSKPEFLNDAERIAIQVLNSWQKKEQVIFCDEARKFAFNVIVKQVVGLTPDEPRTTQILQDFLTFMRGLISFPLYIPGTPYARAVQARRRISSTIETIIEERRRNAGAENCSSKRSDFLEILLCVDTLSEDEKVSFVLDSLLGGYETTFLLTSMVVYFLAQSKVALEQLKLEHQGIRKVKDKDEYLNWEDYKKMVFTQHVINEALRSGNIVKFVHRKALKDVKFKDYVIPAGWKVLPIFSAVHLDPSIHASAFQFNPWRWLDEDQTCKKFTPFGGGSRCCPGSELGKVEVAFFLHHLIQNYRWSVEDGEEPMAYPYVEFRRGLTLNISNCSR >CDP15951 pep chromosome:AUK_PRJEB4211_v1:5:23130617:23131990:-1 gene:GSCOC_T00016876001 transcript:CDP15951 gene_biotype:protein_coding transcript_biotype:protein_coding MFKILSTTSLNICIASLCRVKNLKNAEAVLIDGIRVGILPDVVTYNTLIDGYCRLFGIDSGYSVLGRMMEAGIHPNVITYNTLLSCASRYRLLYQSLDIFDEMLQVGILPDVWSYNILMHCFFKVGKPDEAYRVFKDIFLRNIYPSPATFNIMFTGLCKNWYTDNALMLLRNLQRNGFRPELVTYNILIDGLCKSGKWRTVKGLLKEILDYGHIPNAITYTTVMKCCFKNKMFNEGLEIFSEMKAKGYTFDGFAYCTVAGALLRTGRIEEANQCMGNMFRSGIDLDMVCYNTLINLYCKEGKLEDAFGLLDEVEKMGLECDIYTHTILIDGLCKAGNIQGAQRHLKYLNKMGFGTNLVAFNSFIDGLCKLGQIEYAMQVFESMKVKDEFTYSSIVQGLCSAGRFHLAARLLLCCVRSGVRILRSDKKAVIRGLRFTGFGPQAKKVQSNIRLAKLLHY >CDP05789 pep chromosome:AUK_PRJEB4211_v1:5:26960169:26969005:-1 gene:GSCOC_T00021074001 transcript:CDP05789 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDPGAPADEFYQVRPECTDVPKSKFRIKAGKTLSVRKWQAAFTPEGQLDIGKTLSRIYRGGIHPSIRGEVWEFLLGCYDPKSTFDERDEIRQCRRAKYASIKEECRQMFPLIGSGRFITAPVITEDGEPIQDPIVLQQMDLDPALIMPQNDAVGHNPRDKKVIQWKLTLHQIGLDVVRTDRTLVFYEKQENLSKLWDILAVYAWSDADVGYCQGMSDLCSPMIMLLEDEADAYWCFDRLMRRLRGNFRCTESSVGVETQLSNLASITQVIDPKLHQHLETLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDMFSMYEEPESAAEKSEGSKGKVKSRRQCGKYERDNMKNGGKNDDAPLPISIFLVASVLKDKSSKLLTEARGLDDVVKILNDMTGNLDAKKACTGAMKLHKKYLKKAKKP >CDP05970 pep chromosome:AUK_PRJEB4211_v1:5:25648743:25653102:1 gene:GSCOC_T00021304001 transcript:CDP05970 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKLRSPKPKEHQLNPNWELLRQKLIKSNGPKKQSTRSQQQKSEPESQNSILGKRKERPVTETDGSKPNPLIPSSSDSSVTDVLAMDCEMVGVSSMGNKSALGRITLVNKWGNVIYDEYVRPVEKVVDFRTKISGIRPRDLRKAKDFISVQRKYQILQHLVDALLLSHPKKDIRDTSEYQHFLKEGRSRALRYLAADYLGVEIQNGEHCPVEDARAAMLLYQKHRKQWERSIKDFIRLKKKQKKRKQKCKTNEGDSDV >CDP05801 pep chromosome:AUK_PRJEB4211_v1:5:26889488:26894130:-1 gene:GSCOC_T00021090001 transcript:CDP05801 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIALGCEPVVGSLTSSKKKEYRVTNRLQEGKRPLYAVAFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYIDEDKDESFYTVSWACNIDGAPLLVAGGINGIIRVIDAGNEKIHKSFVGHGDSINEIRTQPLKPSLVVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFIASVHSNYVDCNRWLGDFILSKSVDNEIVLWEPKMKEHSPGEGSADVLQKYPVPECDIWFIKFSCDFHYKAAVIGNREGKIFVWDLQSSPPVLIARLSHVQSKSPIRQTAVSFDGSTILSCCEDGTIWRWDAVANS >CDP05754 pep chromosome:AUK_PRJEB4211_v1:5:27197560:27201284:-1 gene:GSCOC_T00021028001 transcript:CDP05754 gene_biotype:protein_coding transcript_biotype:protein_coding MKITAGKKELQQGPSSTPFNPFQSSDLLEKPSKSSKPHRRKSRGSGNGVRLRKDSGGKRSSRPETPLLRWKFDEVGVEDENELCKQEKSPPEAGRNNGRKVRAVVSARKLAAGIWRMQLPEVSTGGGGKLGFQSGDNYVGVPFHGYHNCKVHDCTTKDLVRSPQSVTGPRNGFSSKAESSFQLSNSAMEGATKWDPVGWKTSNEVKQIFGQQKQFDQEARASAAISALETQLEQARSQIHELEMERRTSKKKLEHFLKKLSEEKAAWRSREHEKVRVIIDDIKADLSRERKNRQRLEIVNSKLVNELAETKLSAKCYMQDYEKERKARELIEEVCDELAKEIGEDRAEVEALKREALKLREEVDEERKMLQMAEVWREERVQMKLIDAKVALEERYSDMNRLIAELESFLSSRGAIRDSEEIRMAELLRQAATSVNVKDVSEFTYEPPNLDDIFSVFEDVNYGEPNERQIEPCVAYSPASHASKIRTVSPEINAFKKDIMQRHSNVFVDQSGTLEEEGSEWETASHPEDQDSSYSPDGSDPSVEKDHQDSNVSRTFCSVPTRQLKKASSISRLWRSYPSNSDNYKIISVEGMNGRLSNGRLSNGALISSECGSGKDGLSPPDMAGQWSSPEAGNPHITKGMKGCIEWPRSAQKNSLKSKLLEARMESQKVQLRHVLKQKI >CDP16477 pep chromosome:AUK_PRJEB4211_v1:5:7620695:7621651:1 gene:GSCOC_T00018416001 transcript:CDP16477 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSSFRLAAKNIFITYSRCDVSKELCLEKLLDKFTNNQPSYMRVSSELHEDGTPHLHVLVQFADKFQTRDDRVFDLVCQQRSQVYHPNIQAARDARAVRDYISKYEDFCEWGTFKSSKIYRNQHECYTEAPEQETVEDFMNTIKEGDPKSYCIYYDRIKYNAEKLYSTPTQEYTSPFPLADNVPYELLDWANTNIKPMESRPNRLMSIIVEDPSRLGKTIWARSLGPHNYLCGHLDLNNKVYSNDVWYNVINDINPQYLKHYKEFIGAQGDWVSNCKYGKPVLIRGGIPAIMLCNPGPDSSYRDYLDRADKQALRD >CDP16077 pep chromosome:AUK_PRJEB4211_v1:5:933356:936608:1 gene:GSCOC_T00017090001 transcript:CDP16077 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLIGETLQLLIPSRSLDLHPFIKKRLSKFGPIFRTSLAGRPIVVSADPKVNHFIPSQEGKLVELWYLDTFSKLFNQEGDSRTTAVGDIHKYIRSRTLNYLGVEALKEKLLPQLEEMACRTLDSWSNQESVEVKRAFGAMVFNFTAKVFLGYDSDKSSDDLSEKFNKILEGLMSLPLNIPGTAFHDCMKSKKKITGFIKSKLNEKRAGLRTSKEDFLDQAIDDMATEKFLGEDFIVQVMFGLLFASFESNSSTLTLALLKLSQHPSALEMLTSEHETILRNRKRVKSSPTWDEYKSMTFTLQVIHETLRLANVSPGLLRKALKDIQVNGYTIPAGWTILVAASAQQLNPSVFDDALEFNPSRWKDIDKAAIEQNFMPFGAGMRQCAGADYSKVLLSTFLHVLVTKYRWSVVKTGNIGRNPLLSFGDGVYIKIAKKE >CDP14716 pep chromosome:AUK_PRJEB4211_v1:5:21245913:21249031:1 gene:GSCOC_T00042138001 transcript:CDP14716 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISSQSSKDKKKTVMHSMLLCCKLYISEARNAEALDSIERAAKRDPETVIVNKFEDRDYNRVCYTLVSYVVHDSTGCPIYSPLQQSVVAMAEAAYEAINLEQHLGTHPRLGVMDDILCHPLARASLDEAAWLAQKVAAEIGNRFQVPVYLYGAANPTGKALDTIRRELGYFRPNNGHHWVGWTQPQVLPEKPNEGPEVVTSARGISMIGARPWIAMYNIPVMSTDVSTARRIALMVSARGGGLPTVQTLGLVHGEDSTEIACMLLEPNQIGADRVQNHVEKLAAQEGLDVEQGYFTDIPPQMITERYRKLINAYSD >CDP16091 pep chromosome:AUK_PRJEB4211_v1:5:1105200:1106774:-1 gene:GSCOC_T00017110001 transcript:CDP16091 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVSPEKWIALSNQHHGIFVLLGEKNKIVLFRKIATKRGCRWFSGSHTCPR >CDP17862 pep chromosome:AUK_PRJEB4211_v1:5:1589080:1596616:-1 gene:GSCOC_T00013181001 transcript:CDP17862 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEAKGADGGAKGGSNKGKKKEVKKETGLGLTNKKDENFGEWYSEVVVSGEMIEYYDISGCYVLRPWAMSIWEIMQEFFDAEIKKMKIKNCYFPLFVSPGVLEKEKDHVEGFAPEVAWVTKSGKSDLEVPIAIRPTSETVMYPYYSKWIRGHRDLPLRLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADAEVLDILELYRRIYEEFLAVPVIKGKKSELEKFAGGLYTTTVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKAMVWQNSWAYTTRTIGVMIMVHGDDKGLVLPPKVAATQVIVIPVPYKDADTQGILDACAATVKTLSESGIRAEADFRDNYSPGWKYSHWEMKGIPLRIEIGPKDLANKQVRVVRRDNSAKSDIPMDSLVEKVREVLDEIQQNLFSVAKQKKEACIKVVKSWEEFLEALSQKKLILAPWCDEEEVEKSVKERTKGEMGAVKTLCSPFDQPELPEGTLCFASGKPAKKWSYWGRSY >CDP13645 pep chromosome:AUK_PRJEB4211_v1:5:28480569:28482161:1 gene:GSCOC_T00038661001 transcript:CDP13645 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAVSPRALDPSNTKFSSAKVPNAAVCLLQMCHFSQEVKQLHAQLIVSGLIHHPLNPGRLIESYVSTSHLSYALSVFESISCPDTFAYNSLIRGLTLGHHFLDNQSLRLYQEFLSNGLNPDNYTFTFVLKSCSRMRATSEGKQVHAQIIKAGMEPTTHVLSSLISMYSNCGHMESAERLLASVSDGSVLVMNAMITGHLNQGQFGIARELFDKMSKRDTATWSTMITGYSKSNMHAEALSTFQEMVANRVQLNEATLVSALSACAHLGALDQGRWIHTYIEKNGVNVQVRLATAMVDMYAKCGCIDLSYEVFKNMPEKDVVAWGVIISGFAAHGEARRCFELFNQMIENGISPNEVIFVAMLSACSHAGCVESGFHYFNEMTHFYGIRPSMEHYGCMVDLLGRAGRLADAEELISCMSEKPNSVIWGALLNACRIYKDQDRGERVCRELVKLEPTADRYKQVGGFFATVGAKEMASNLWGFMRDKNLDIANGLSFVEVDDIVHEFVVGDICHSQSADIFKVLDGTC >CDP05809 pep chromosome:AUK_PRJEB4211_v1:5:26833713:26835075:1 gene:GSCOC_T00021100001 transcript:CDP05809 gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MTSYKKIEVLLEDHSSQKWCLPLKEDVFTTFLEKGNPVAHKIFGEGSLFSPLLFGKFFDPSDAFPLWEFDADVLLSNLYGSSEKRTVDWFQTDADYVLKAELPESGKNSIQVCVEKGEVVEISGQWRQQQESRTKDWRGSNWWEHGYVRRIELPDDADWRNMEACVTDDTLLEIRVPKSPVNCIPP >CDP05894 pep chromosome:AUK_PRJEB4211_v1:5:26184902:26186189:1 gene:GSCOC_T00021208001 transcript:CDP05894 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHNPNYATSLYHFIPSPLFLGKQIAPNAFQTTPKLHILDLSGNAGCLPEHPELSSMPELQELYLRRMQISVFPAEIMSLKQLRTLDLSQNSLQHIPQGVKDMTSLTQLDLSDNNISALPPELGMLEPSLQVLKLDGNPLRSIRRTILDRGTKAILKYLKERVAED >CDP06160 pep chromosome:AUK_PRJEB4211_v1:5:24092667:24097910:-1 gene:GSCOC_T00021558001 transcript:CDP06160 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESLNAELSKKTSFLGLKLWVVIGLCVGAFIVLILCTLSIWVMFRRRSRRTLDKYSLCQIPNISKDIKVDRVGGQDYHDHPETVYLTVNDKSSDKNSEKMLVHLGRSKSSDVDNLSQCSSMYHHERACSSQSGEEGSSGTARKLSSLPYGLPMASPLIGLPEISHLGWGHWFTLRDLDIATNRFSAENVIGEGGYGVVYRGRLVNGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVQRMLVYEYVNNGNLEQWLHGTMKQHGTLTWDARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKVSDFGLAKLLGSGESHITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVDYARPANEVNLVEWLKMMVGHRRAEEVVDPDLEVKPATRALKRALLVALRSVDPDAEKRPRMSQVVRMLEADEFPYREDRRNRRSRTASMEIESMKDSSGLADVESSVGQSDNQTSDKIRE >CDP05888 pep chromosome:AUK_PRJEB4211_v1:5:26231143:26234073:1 gene:GSCOC_T00021200001 transcript:CDP05888 gene_biotype:protein_coding transcript_biotype:protein_coding description:LanC-like protein GCR2 [Source:Projected from Arabidopsis thaliana (AT1G52920) UniProtKB/Swiss-Prot;Acc:F4IEM5] MADRFLPNEMPDYLPESSVEIESASDRAKESLEKLLHLPCKTLFERLKNEALDLKKTVVKETWGARGKRLTDYTLYTGTLGTAFLLFKAYQITKDKKDLLLSSEIIKACDSAASGSGRVTFICGQAGVYALGAVVAKHSGDDRLSGYFLTKFREIKLPKELPNELLYGRAGFLWASTFLNRNLGKDTVSSTEMRAVVDEIIKSGRKLAKGRCPLMYEWHGKKYWGAAHGLAGIMYILMDMELKPDEIEDVKGTLRYMIKNRFPSGNYPSSEGSETDRLVHWCHGAPGIALTLSKAAEVFSSKEFLQGAVDAGEVVWNRGLLKRVGLCHGISGNTYVFLSLYRMTGRVEYLYRAKAFASFLHDRAKTLISEGIMHGGDRPYSLFEGIGGMAYLFLDIAEPSAARFPAYEL >CDP16206 pep chromosome:AUK_PRJEB4211_v1:5:16370047:16377340:-1 gene:GSCOC_T00017311001 transcript:CDP16206 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVASPVTATATACRKILLTHFAFRKLRQPPTTRRLVSSVQPRHHCYRAAATASSAGAVEQEVQLIRSPELVAQEYADLSLADKFSEELGHVRIRQHVNPLRSTFMVPAEVPDWNEVYKDPTLPLMVDIGCGSGRFLMWLAKRNPSSKNYLGLEIRRKLAERANYWANDLALNNVHFVFANVTVSFKQLVSAYPGPLMLVSILCPDPHFKKKYHKRRVVQKPLVESIVDILAPGGQVFIQSDVLELAIDMRDQFDAKSNKLIHVDKIDPNLSCDTEGWLISNPMGIRTEREIHAEFEGARIYRRMYKKCVQLSMPSAADQESQLVIF >CDP13573 pep chromosome:AUK_PRJEB4211_v1:5:28995947:28999610:1 gene:GSCOC_T00038570001 transcript:CDP13573 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRLLCSSPHPPLPLHLPLEHVPNSYCQSPLAEFRPRPLQLQSPPPTTLVFIGRSSRRKSTGRGRGRALREWQDYEEAVKDKDLARALRLLKENSSIEPNDGGALQRKGDWQVLDTCLNADDMRLVASAYTFLKDQGRLPNFGKCRNIVLEGPREITPNVLTSATGLEVSKLSPKKWGLSGSSSVLLIALLAGVSFLLNQGIDIRPNLAAVLGLAMLDAILLGGSCLAQISSYWPPYKRRILVHEAGHLLVAYLMGCPIRGVILDPIVAMQSGIQGQAGTQFWDERLQNELAEGRLSGSSFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSICVLLDPPLSVAQMSNQARWSVLQSYNLLRWHKHAHRAAIRALETGCSLSTVIKIIEEAMSLKAY >CDP10811 pep chromosome:AUK_PRJEB4211_v1:5:18597391:18599123:-1 gene:GSCOC_T00031695001 transcript:CDP10811 gene_biotype:protein_coding transcript_biotype:protein_coding MEWMASEEKDGSINSSVAQAIDNSASLEIDQPTESTMSSGKKVDKNSEVSFQAKSKQLLSSLWEKIVGFQRVVQEKSFRFCRGGDELEQARVYHFWPGNNVFFFKGRLICGPDPKGLILTAIAIILSSWTFTVHVASDIRNPAIIVTSSILTTIVLVNLVYVSTIDPGIIPRNDQCSSVELGTIDAGKRRRRSKVVVINGIEVKLKYCNICNIYRPPRTCHCATCNNCIQQFDHHCTWIGHCVGLRNYRLHVTFLLTGLLLFAFIFIFSCKSLHHKLPGDGNGVIGLLRNDPETVALTLFSFVAMCFLAGFSCYHVYLIAINQTSYEHFHQKYVNSGNPYDKGIVDNIKEVLD >CDP13593 pep chromosome:AUK_PRJEB4211_v1:5:28827231:28831612:-1 gene:GSCOC_T00038597001 transcript:CDP13593 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCRWITFIIVLPCLVGVLDASAGDADPLYRDCVRECETTGCVRERCFTNCKFLLNGSSIDGPWYMQEPLFLHWRQWDCQSDCRYHCMVEREKERAAVNQGPVKYHGKWPFRRLYGFQEPVSVAFSALNLAMHFHGWLSFFILLHYKLPLKQDKQPYYDFTGLWHIYALLSMNSWFWSAVFHSRDVVLTERLDYSSAVALLGYSLILAILRSFNVRDEAGRVMVAAPLLAFITTHILYLNNYKMDYGWNMQVCVVMAVTQLVVWAVWAGVTHHPSRWKLWIVVVGGGLAMLLEIYDFPPYKGYVDAHALWHATTVPLTFIWWSFIRDDAEFRTSNLIKKVK >CDP05769 pep chromosome:AUK_PRJEB4211_v1:5:27096800:27105204:-1 gene:GSCOC_T00021049001 transcript:CDP05769 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQPSDTVMEEREELMVPPTGGKPTLRTAYFLKPTDHRTFVEKNGLSSVPRASNLPYLSPKPWWPLHVEFNGWKDPQKKWEKWVDQMQSKNQSLWKKAGIFEAIMCSKYRFHRNNDLVFGLAERWCSETNTFVFPWGEATITLEDMMVLGGLSVIGSPVLLPLVDKELVEIEKSLKKVHANFAQKKSHGHLQWLNFFMESGKRHEHEAFLSLWLSRFVFPGNEFDQVGEHVLPIAIHLARGMRMALAPAVLAFVYRDLSLLKESMAVLRSLEDESGEYSVLALSLWAPLQFVQLWAWERFLVFRPEPNIISFGDPRAARWHDMKRSDIGNVRPILDSSGDIFLWRPYALAVDQWKFPEFYREIEQWIVIGLCPDEALDSFARCLRACVLVGIDCEEPYQPHRVAMQFGLDQDIPGLVPRSTESLEVAWINYSKPFDHGASLYIPARLFESDLSIQYQQWWRNRVLVPVDSFQGVSRGKRSSRIQHRRDLPTSRQQIKGEGNDADVPPGFPPKDNLTRPENSSEKQSERKLANDAEVPPGFPPKKNDALTLNPETSSEKQHQGEGEDADVPSGFPPKKSLTPVKLPEISTQLQIKEKGNDADVPPGFPSTSKNNPVSRPKTPTKQLARSEDFYLKVCPSFDIGDSTFAWPETSVKNYKHGHDFDLNLPPASPSELDSLSEQNQASSHCTVPDESKPSSASQIQDKASSAAAAIERGDSNCTFNFSPEILANMQAFMNRIGRGVGNQSHGSKIRDLFQFGRKPM >CDP06031 pep chromosome:AUK_PRJEB4211_v1:5:25164288:25168782:1 gene:GSCOC_T00021380001 transcript:CDP06031 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIETLGILDEIQSLVSDKLQVVSYKWLSRNFLVSSNDAKRLLQDFVEKRGTGVEVVYTLSGWLKTDPSVYHIRLVSKPKLTEVKQEFDENCSVQIYSVQACLPKDPAVLWNAEFVQAEELFKQPHEVNNCLRDNRFCGILNSFVKRNAEEANGTTSVLPVKTTGTSGSSGYTLANQSPTIPQPQQKKIQGTSPNVTLQSPNVVKDVKNESKPAENKLKVPQLTVNTKKVETDKNSSGTGGALANMWGRASAKSKAECASAKTNGISNSADAQICAREEIEHESSDDEGQGVNVRRSYNGEVGRKRRVVFDFSDEEDEFKDAVNLASPDPPKKKSMLDSKRSSYSSDIEKSKLNFEEEKEDKPNFKHRKETDRKSKEENLAFGNHNNMNSSSSEKVINHVPAVDTIAKDKTRDAAPNSPKRKKVLKTRIDERGREVTEVVWEGEEETKPESDTTKKADNIPASNNSNRPSAVKKSPAVTANAPLNQVGKTGSKKAGNKDPKQGNILSFFKRV >CDP16379 pep chromosome:AUK_PRJEB4211_v1:5:3100791:3102650:-1 gene:GSCOC_T00018219001 transcript:CDP16379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21065 [Source:Projected from Arabidopsis thaliana (AT4G21065) UniProtKB/Swiss-Prot;Acc:A8MQA3] MPPQVQHSTSDLPHTSPSNTSSLTDLTSSEKATFEEYPKPYILKKCIALLLSCATTSRYKLRQVHAFSIRHGVALSSPDMGKHLIFTLVSLAGPMSYAQKIFSQIQNPNIFTWNTLIRGYAESENPSPAVGIHRQMLVDSVQPDTHTYPFLLKAIAKMIAVREGERVHCIAMKNGFESLVFVQNALVHFYGACGRAESALKLFEKMSEKKNLVAWNTLINGYAMNSRPNEALTLYREMGLEGVKPDGFTLVSLLTACAELGALALGGRAHVYMLKVGLDKNLHVANALLDFYAKCGKIREAERVFDEMEEKSVVSWTALIVGLAVNGFGERAIELFNKLEEEGLVPSEITFVGLLYACSHCGMVVKGFAYFERMKKEFGIVPKIEHYGCMVDLLSRAGLVKQAYEYIQKMPLPPNAVIWRTLLGACSIHGHLNLGELARDQLRILEPKHCGDYVLLSNLYASERRWGDAHKIRRTMLKEGVKKVPGHSLVELGNSIHEFVMGDKSHPQTEEIYAMLAEMTRLLILEGYVPHTVNVLADIEDEEKETALSYHSEKIAIAFMLINTPPRTPIRVVKNLRICADCHLAIKLVSKVFEREIVVRDRSRFHHFRDGTCSCRDYW >CDP13755 pep chromosome:AUK_PRJEB4211_v1:5:27793821:27796375:1 gene:GSCOC_T00038803001 transcript:CDP13755 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLTSLAPTNCHTSLGISHQITRKFLLFNGKERGTVGFKSSELKPKPRNLVLCKLEYIDFDARTSPTEVKKEIEECYKLIHRLGRGVAYLGSSRMGPNHPHYTQAFELGREIAGLLDCTSWSGAGPGLMDAATQGALQAGKPVGGFKIGKEAGEWTATNFHPYLPSEAYLTCRFFSARKHGLVDAVVRASGSDKTAVVALPGGIGTLDEIFEIMALIQLERIGSSLRVPFLLMNYDSFYEKLLEFLDNCEEWGTLSKGEVSSLWKVCGNNTEALSYLAHFYGLSLL >CDP15974 pep chromosome:AUK_PRJEB4211_v1:5:23249210:23252489:-1 gene:GSCOC_T00016907001 transcript:CDP15974 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEAKSKPISLSDDIVPRVIPFHVKTINKYGSNSFLWLGPKPSVIIRDPELLREIFLKHNLFPKQHSNPLGKLLAKGLLDSEGDKWAKDRKIINPAFNLEKIKLMLPAFHSSASEMLRNWEEKLSPEGSCELDVWPYLQTLTGDVISRTAFGSNYEEGRKILELQQEQVDHVVTAERSLYIPGMRFLPTKRNRRMKEIEKVVQATIRDIIDRKVKAMKAGEGRRDDLLGILLESNFKEIDQYGSKDFGMSIKDIIEECKLFYFGGQDTTSTLLVWALILLSKHQDWQSLAREEVLQAFGREEIDFDRLSRLKTVTMILNEVLRLYPPVVVLARRLHEETKVGKFSLPAGVLLNLQLMLLNHDCEIWGNDAKEFKPERFSEGVSNATKGQVSFFPFGWGPRICIGQNFAMVEAKLVMAMILRNFSFELSPSYIHAPHAIATLQPQHGAHLVIHKL >CDP06044 pep chromosome:AUK_PRJEB4211_v1:5:25048301:25052572:-1 gene:GSCOC_T00021396001 transcript:CDP06044 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIVSLLFLPHLLRANAENCDFFQGSWVFDESYPTYNSSICPFIEKEFNCLRNGRPDHLYLKYRWQPQGCDLAKFDGRAFLQKFRGKSILFAGDSLTRDQYMSLACLLYTSVPGTNYNMTREGLISTLKFLDYGLTLILDRNAFLVDLVPEKIGVVLKLDSVAGSEKLWSGHDLLAFNTWHWWGYKGAQQPWKYIQIGTRLLTDMDRMVAFETALETWAKWVDTNVDPAKTTVFFLGVSPSHYKGSDWNQPQVKNCAGQTRPLPGPTYPGVLPPALAVQKKVLSEMRVPVKLLDITTLSQLRVDAHPSFYGAATAAADCTHWCIAGLPDTWNQLLYNLLY >CDP14736 pep chromosome:AUK_PRJEB4211_v1:5:21450299:21450656:1 gene:GSCOC_T00042171001 transcript:CDP14736 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGERVLWDGVRDFRNLQEFLGFRLELSYFMASFLLIC >CDP15726 pep chromosome:AUK_PRJEB4211_v1:5:5104213:5108424:1 gene:GSCOC_T00015749001 transcript:CDP15726 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYVPLARIQLLLGSVALALIALSPSPSNVAAKPQFAAMFVFGDSLIDPGNNNDLNSLAKANYAPYGVDFNGGVPTGRFCNGKTIVDYLGDLLELPLLPPYTSSSVNTGNIHGGVNYASAAAGILEETGQNLGERFTLSGQVQNFDNTLNQLKGQMNEQELSHYLASSLVVMVLGSNDYINNYLQPSFYTTSYFYTPEDYADLLIQRYRRQILALHSLGTRKFFLGGIGPLGCIPNQLATGLSSPGKCVSFVNDYVGMFNVRLRSLVDQFNRDYEGSIFVYGNTFAALGDIIRNASTYGITVTDRGCCGIGRNQGQITCLPFSSPCTNRNQYIFWDAFHPTAIVNQILASKAYGGTPSDCYPMNVQQMAQK >CDP18737 pep chromosome:AUK_PRJEB4211_v1:5:23654474:23659967:-1 gene:GSCOC_T00002669001 transcript:CDP18737 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTEASGYQARTNPWDLSRVPGGSSGGSAAAVSAQQCAVSLGTDTGGSVRQPASFCGVVGLKPTYGRVSRYGLVAYASSLDVIGCLGSSVADTGIVLQAVSGHDKFDATSSKQGIPNFASQFIPKDYLDSKPLKGLRVGVIRETLADGVDPDVISSLQHSVSHLEDLGCTISEVSFPSFSLGLPAYYILASSESSSNLARYDGVRFGNQVFADELSSLYGDSRAEGFGSEVKLRILMGTYALSAGYYDAYYKRAQQVRTLVQKSFRAALEENDVLISPAAPSVAYKIGEKKNDPLAMYAGDIMTVNVNLAGLPALVLPCGFVEEGSSVLPVGLQMIGAAFDEESLLKIGHIFEQTLQGYSFVPPLVGDDF >CDP13727 pep chromosome:AUK_PRJEB4211_v1:5:27937123:27942958:-1 gene:GSCOC_T00038772001 transcript:CDP13727 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVIPTRSRIFSLISKSNGRRVSYSSYANEPHRDPEFISASSLYRDPPPAGSSPPPPPPPPEVAQKKSWGFMKFGLFAAITGGVATAGYASYAYTLEEVAEKTKALRAYTNYTVKDDAPTFDKLKGLLISGGMTVPAKLVEFYLDLRRLAEDQIRDFSEPPSDKLLPDMLPEENQARVLTLVLDLNETLVYSDWKRDRGWRTFKRPGVDAFLEHVSQFFEVIVYSDQTSMFVDPVVQRLDPQQTIFRQKLDRVSTRYIKGKHYRDLSMLNRDPSRIIYMSAHAAETTLQPENAVQVKPWKGETEDTALLDLIPFLEYVAKVRPPDIRAVLASYKGDDVGKEFIQRSKEHQRRMQEQKRRFWQR >CDP16082 pep chromosome:AUK_PRJEB4211_v1:5:1007994:1009512:1 gene:GSCOC_T00017098001 transcript:CDP16082 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRQKTSSCYHLVCFPKLKLLPNFFKFFLNKICFFFLEIFCLFVIFLSRFRHPILFSPGKEKQAVHISPLS >CDP15731 pep chromosome:AUK_PRJEB4211_v1:5:5232005:5233568:-1 gene:GSCOC_T00015757001 transcript:CDP15731 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDLEGASTQWDDIQRKLGNLPPKPPAFKPPSFTPAEDPDSKPKDKAWVDDKTEEELEDLEDDLDDDRFLQEYRKKRLAEMRELAKIARFGSVMPISGSDFVREVSQAPADVWVVVVLYKDGHADCELLLQCLEELAQRYPATKFVKIISTDCIPNYPDQNIPTLLVYNNSAVKANYVGLRSFGRKCTPEGVALVLCQSDPVLNDGQGGGEQSKKDVLDGVRKRFIEKVVTAREDDDGSSSD >CDP13624 pep chromosome:AUK_PRJEB4211_v1:5:28644114:28646651:1 gene:GSCOC_T00038632001 transcript:CDP13624 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSVRASLSSRLKPILPNQPLKFSNISSAPTPVKLQTPLFLNPADYRATLSDLKKWHLWARGLASSVGTKFLDLDNGPDSALLHRELNWLIEDSLQDPIALLSDNSDDSLVVPLRACLDDLYMLWKQRIEGRRPFQYLVGCEHWRDLVLSVQEGVLIPRPETELIVDFVSDVTKENEVLREGLWADLGTGSGALAVGIGRILGSCGGVIATDLSPVSVAVASYNVQRYSLQDRVAIKQGSWFEPLKDDEGKFAGIVSNPPYIPSEDIGRLQAEVGRHEPKLALDGGADGMDDLLHICNGAASMLRPGGFFALETNGEKQSNFLVDSIGSQSEGRFCDVKVASDFAGIKRFVTGYRAG >CDP16070 pep chromosome:AUK_PRJEB4211_v1:5:831058:834229:-1 gene:GSCOC_T00017081001 transcript:CDP16070 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATEYQPLLLGLDSHSQIPDLTSSVIEEFLEHRPIPIRWYPKLFAWESRLLWLLSGASIIVYVFNYMLSFVTLAFTGHLGALELAGASIACVGIQGLAYGIMLGMASAVQTVCGQAYGARQYGAMGIICQRAIVLHVGAAVILTFLYLYSGSFLKSIGQSASIAEQGQIFARGLIPQLYAFAISCPQQRFLQAQNIVNPLAYIAVGVFLLHILLTWLAVYVLNYGILGAALTLSLSWWLLVILQGLYILFSPSCRESWTGLSIRAFKGIWPYFKLTAASAVMLCLEIWYFQGLVLISGLLSNPTIALDSISICMNYLNWDMQFMLGLAAAASVRVSNELGAGHPMVAKFSVVVVTTTSILISIVFAAIVLIFRVGLSELFTSDSEVIQAVSNLTPLLAISVFTNGIQPILSGVAIGSGWQAVVAYVNLATYYIIGLPIGCVLGFKTSLGVAGIWWGMIIGVFLQSLALFILTARTNWNSEVAKAAVRIKDSANEEGRDNSDGI >CDP16088 pep chromosome:AUK_PRJEB4211_v1:5:1092074:1094863:-1 gene:GSCOC_T00017106001 transcript:CDP16088 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDTYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSEGS >CDP05741 pep chromosome:AUK_PRJEB4211_v1:5:27271398:27274900:1 gene:GSCOC_T00021013001 transcript:CDP05741 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKARDLTASFQQLDLHLNPNKATQKSTKFSLLSHQNHVLMIKKPKPPSLVSLCLGVVGRHFEDIIEDLPDIAPTFPPNIKMALAAIARRRKLLTDDVIIVLAESSWDILDISGSDISDFGLSQVLQICKSLRAVDISRCSKLTSTGISELLQHCQCLEILRWGGCPATDQTARRCLSILKPTLHDVAGESWEELEATDIAAAAQSLRWLVWPKVDKDSLESLSVECPRIIINPKPSAFGYRGFEIPREAFPSAALDDPVVEDIDPKTWAISGFTAGSIIASTSGSDELPMAEKFRLAFVERDNRLAPKRAKNARQHQRRAVREWVMMSSRAKAMALASQATKSLHHRN >CDP05965 pep chromosome:AUK_PRJEB4211_v1:5:25676796:25677663:-1 gene:GSCOC_T00021295001 transcript:CDP05965 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNCHSDHRRNQHWNRTKNPHEKSDGKIESPQANRPESTSSDHCFLNLEHRNVTIGRGYEEICKNKEPCSLNKASKSHHEAAKKSYVFDGSSRRARVSIDDPALGDDGGIAPKPCSNKRA >CDP18715 pep chromosome:AUK_PRJEB4211_v1:5:23875452:23876923:1 gene:GSCOC_T00002644001 transcript:CDP18715 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRNKIPPEKPHFFKPILPGFEDGVKIPDSFLKYLDGKIPQNQAVLRRGDEEWRVKISDQCLREGWRAFAVENELHVGDFVVFEHEENMVFEVLVFDPSHCERQFPSIDNDGKGEAEEIPRSKKVKLEQSDDQEESDSTDQICCPYFISTVKPSTLLYHRLHIPMDFVRANGLRKCNMMLRDQRGILWTVKLNLEPRCAVIKCGCKSFLKAQEMKEGDEFMLELIRNGETPVFNFYGKSLPSKTFVDLQMFRVHGNSLLAPIPVEK >CDP13724 pep chromosome:AUK_PRJEB4211_v1:5:27970163:27971163:-1 gene:GSCOC_T00038767001 transcript:CDP13724 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPEKLLKFKVHILIGAILSLLLIFLVYLAPSFLDILKYFWPLLVSTALFLVAIVVFGRISPPPPEASVEKAGEGILDYVAGEPDNLQTQVDEHDSAEEAAVSAPASAAAAAKGKGIAENDDE >CDP16025 pep chromosome:AUK_PRJEB4211_v1:5:7104:10325:1 gene:GSCOC_T00017008001 transcript:CDP16025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCARECROW [Source:Projected from Arabidopsis thaliana (AT3G54220) UniProtKB/Swiss-Prot;Acc:Q9M384] MGSLSPAPLPHNLIHHRQRPQTQTQTQTHTPSICGFSGLPLFPPDRNKYAAAGGAEQLLPSSTSTSSNTAAGIDGNSQQQQPMRNNDPAAAAATGVSYVSSATVPNLTNQVHHRSQQQQQSSEPEETQTPQPSEQQHRDSPPPPDADADAAAAVTAASSASRSLRNEEIRQQKRDEQGLHLLTLLLQCAEAVAADNLEEANNMLLEISELSTPFGTSAQRVAAYFSEAMSARLVSSCLGIYASLPAVPHSQKMVSAFQVFNGISPFIKFSHFTANQAIQEAFDREERVHIIDLDIMQGLQWPGLFHILASRPGGPPFVRMTGLGTSMEALEATGKRLSDFAEKLGLPFEFNAVADKVGNLDPGRLKVSKREAVAVHWLQHCLYDVSGSDTSTLWLLQRLAPKVVTVVEQDLSHAGSFLGRFVEAIHYYSALFDSLGACYGEESEERHVVEQQLLSREIRNVLAVGGPSRSSDVKFNNWRQKLLQSGFKGISLGGNAAAQATLLLGMFPSDGYTLVEDNGTLKLGWRDLCLLTASAWRPS >CDP16047 pep chromosome:AUK_PRJEB4211_v1:5:379524:380982:-1 gene:GSCOC_T00017049001 transcript:CDP16047 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLQNLLLAYNRLEGSIPVSIGTTLSLEWLDLSHNHLTGTIPMSLENLRSLVYFNASYNKLRGEIPSKGPFSNFTGESFISNEGLCGAPRFHVPLCPGISGGRLRTKKLRRTISVVFGAFISVAVATFLVFVYLRCSKKEQAPSEGVLPSAATQERIPYYKLLQATDGYDESNLLGTGSFGSVYKGTLDDGRIVAVKVFKLQQEGAFNSFDAECEVLRCLRHRNLTKVISSCSNEDFKALVLEFMPNGSLEKWLYSHNYFLEIKQRLDILIDVACALQYLHYGLSTPVVHCDVKPSNVLLDQDMVAHVTDFGVAKLLGHEDSFTYTKTLATLGSLAPEYGLEGLVSTKCDVYSFGIMIMEVFTRKSPNDEIFGENLTLKSWVSDSMPDGLVRIVDGNLLRPNDESFDEKLDCISSIMKVAVNCTKESPRERSNMHVVLADLKKIKTQLLP >CDP05730 pep chromosome:AUK_PRJEB4211_v1:5:27343951:27347820:1 gene:GSCOC_T00020996001 transcript:CDP05730 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MEKLRRLVIQIASTPPSQRFSALSPLQLSLIPLLSIASSIYNLALLIRHRLYYLGIFHKRRLPVPVLSVGNLTWGGNGKTPMVEFVARWLIDSGISPLILTRGYGGGDEAKMLQRHLHGTSAKVGVGANRAATAASFLERHGYLNFSDSTCSTKAFLSKKARTDSFSDKIGVAILDDGMQLWRDLEIIMVNGMMPWGNLELIPLGPLREPLAALGRADVVVIHHADLVAEQNIEAIESTVWKVSDSIPIFLTQMAPSYFLKAGNTSCVLSLRAIYDMIVLCVSAIGFPESFVQTILKMGPKHVDRLDFSDHHLFQAKDITIIRRRLKELESAYGMQPIVVVTEKDYDRAPDVLNHVNPYQALVLCSSMQILPREGRTEDNFKKFLRERLKSLSDSKIT >CDP13795 pep chromosome:AUK_PRJEB4211_v1:5:27518073:27521108:1 gene:GSCOC_T00038854001 transcript:CDP13795 gene_biotype:protein_coding transcript_biotype:protein_coding MCTIFLWSHCLGILCLFSSLSYIPTVMASSAAFSTYALHGSKLASRTMWANTFNEHTYSCSILQLKQSICGKPSSLSVEIPFELQPNGRLVSKNRVICSAFEVENTPSVMVGNKFQLEDVIEAQQFDRETLNAIFEVAREMELVEKNTPGSQLLKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAARRAATTASIPIINAGDGPGQHPTQALLDVYTIEREIGKLDGIKVGLVGDLAYGRTVRSLAHLLAKYHDVKIYFVSPDVVRMKNDIKDYLTSMGIEWEESADLMDVASKCDVIYQTRIQRERFGERIDLYEEARGKYIVNQDVFNVLQKHAVVMHPLPRLDEITVDVDKDPRAAYFRQAKNGLYIRMALLKLLLLGW >CDP05770 pep chromosome:AUK_PRJEB4211_v1:5:27085833:27092951:-1 gene:GSCOC_T00021050001 transcript:CDP05770 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGFDQGLPGQVTRANLNISLAWENYTRTVRDERLYIPSRLFEPDVTTQYLVWWRKSMSVQLAASVDSVTGARYKGLKKQLLLKSSQKKSASGAPASASLPRMCDTVRPNDSEKKAIADKESAQTSSEKESDNISLVPPGFPPKPNQTGTSNSEDADHQIQAKRLKEGADGNHKAQNIIRMTGAQYKKYIADRVKSSGKRVQLKSDKKKPNNNEVVTPGFPTKGNILGKRELEDEDGHTRVKRLRKVTDVNSQLEGPISSISENITVQKMQKKMNCFSCCLSEEKDHKRSLRKSIEEFKKSIQEHQETRTIASFANISFKSDSSKRRYICEEIEKIGKQNISAQIFTFRELSLATDNFNAERLIGEGGFGRVYKGQIQGKDTEVAVKQLDRNGFQGNREFLVEVLILSLLHHPNLVNLVGYCCDGDQRVLVYEYMANGSLEDHLLDIGPERKPLDWNTRMKIAEGAARGLEYLHETANPPVIYRDFKASNILLDEDFNPKLSDFGLAKLGPTGDKTHVSTRVMGTYGYCAPEYALTGQLTTKSDVYSFGVVFLEMITGRRVIDNSRPSEEQNLIQWAQPLFKDKKKFHLMADPLLEGNYPVKALYQALAVAAMCLQEEATTRPLISDVVTALAYLSTGDEDAEGNAEDTKKSTNEGANDVQNLQHSPSKTQQGETI >CDP15880 pep chromosome:AUK_PRJEB4211_v1:5:22522343:22523104:-1 gene:GSCOC_T00016784001 transcript:CDP15880 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALPYKTWLPMPRKESTLSLSIGKATSNGNSASSVHYLKRSKAELKDTIKENAVIVFGKRGCCMSHVVKRLLQGLGVNPPVYEVDDEDENEVINELERINGGGGDDRDRGRVQFPAVFIGGQLFGGLDRIMATHITGELTPILKKAGALWL >CDP11800 pep chromosome:AUK_PRJEB4211_v1:5:19295217:19297264:1 gene:GSCOC_T00035044001 transcript:CDP11800 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKFLSLIFLQLLCVLCVLKLSNGQGLDVNFYEKTCPSLEYIVKDATAKFISRTPSLAPPLLRMHFHDCFVRGCDGSVLLNSTSSNQAEKAAIPNQFLRGFQVIDAVKSAVEKKCPGVVSCADIVALVARDAVALIKGPSWQVELGRRDGTVSIASEALNKLPSPFMNMTQLKASFQSVGLSVKDLVVLSGGHTIGISHCIGVNPRLFNFTGKGDTDPSLDPKYLAQLKRQCKPGDITTILQMDRSPKKFDIDYYTTVSQRRGLFQSDAALLDDTETKTYIQQHLSHAGSMSFFADFGVSMVNMGRIGVLTGKNGEIRKQCAFVN >CDP06147 pep chromosome:AUK_PRJEB4211_v1:5:24199507:24200616:1 gene:GSCOC_T00021541001 transcript:CDP06147 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKVVIKLSMNDEKSRKKAFKTVVGHAGVESTALQGKEKDQIEVVGDGIDAVKLATLLRKNVGYAELVTVSPVGEKKDGDKKEDGKKDPSSTEPPVVWSTHPYVYSSVPHHLYQVRDPYDDSNCTIM >CDP18957 pep chromosome:AUK_PRJEB4211_v1:5:4201281:4204013:-1 gene:GSCOC_T00010974001 transcript:CDP18957 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAVNYLLDKLTTILLQNASVLGNARNEIDKIKLELDTMKSFLRDAERRKERSESVETWVRQVREVAIEVENTIDEFIYYNGNKAKKNGLKDFVQETMNLPRKLTVMRRLSSEMQSINAKVLEVSERSKRYAFDAKFDEERTINLPTDWLQHLGESSVFADEDDIVGIDENKARLYHWLTGNEQRRTVVSIVGMGGLGKTTLVTKVFNDQVIKRHFDSLAWISVSQAHQIEGLLRSMVKEFLKTEQAMIPRNLGSMNYRQLMEMLIEYLHNRRYLVVLDDVWSIDLWSRIRGAFPVNLCGSRIVLTTRDENVAMSVGPGSRVHRLEPLQEHDAWTLFCTKAFWNGCDHQCPPELEALAKAILRKCEGLPLAIVAIGGLMCSKSKTAIEWKKVHDSLNWQFSYNPLLERVKGILMMSFNDLPFYLKYCFLYCCIFPDGYLIKRKKLIRLWIAEGFILERKGMTMEEVAEDHLMELILRSMIQVKQINDNGRVKTFRVHDVMRELAMTTSERENFCRLHDSQESKISRNVQRLSVYNRGKNLRLNKTTSRQLRSLFVFGTDTCSSFSLNAVSSNFKFLRVLALENIPIEKLPNELVDLFNLRYLNLRNTKVKDLPKAIDKLKNLETLDVRHTNLERLPKRILKLEKLRHLFVCKNCVNRPKNFKFSQGLRVAAGIGTLLSLQSLSYVEAEEGIIKQVGYLTNLKRLDITKVKTCDGPKLCSSIQMMTSLHRLSVTASAEEEELLLEDLVFVPPFLQKLELIGRLKRLPQWFESATNLTHLCLEFSHLQEDFLPSLQKLPSLVFLQIKKAYSGKHLKFIKGGFPKLSKLHLEELLQLDCVEVEVGSLPSLKELALIRCLALKLLPQGIEHITSLQNLQLEEMAEELVESVQIDGSENHKKVQHIHTVNLVL >CDP10788 pep chromosome:AUK_PRJEB4211_v1:5:18005368:18005706:1 gene:GSCOC_T00031656001 transcript:CDP10788 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQNGEASCKSPPWLHSNSRQDQKISGSSRSLLLALGCSSPSSPLSRMLSVKAEEEVWCGCGEKLQWFRWSVQRRK >CDP11821 pep chromosome:AUK_PRJEB4211_v1:5:19577559:19580399:1 gene:GSCOC_T00035076001 transcript:CDP11821 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGGGFALSAPLARVLAEVLDSCLTRYPHYYGSDQRIFACLAELGVPLTREPGFHQIDVRGDLFGMLAAHPLSPLLSLHHMDAADPIFPGMNRIQALQHLFKAVNADSPRILQQTVCYEPFNSLTVSISWGFAVQVFEGRQLLPDLISLERTFRPWGRTRSVYSSHFMFNIRETPRDQCKRPVVFFMDSVASKDSSVWTNYTKHNIGSCVRINAVQKLETIRVFSKMMDYDIEELKAPRRQCCDILSPIDETMTIYIRECRNGEVISMPR >CDP05958 pep chromosome:AUK_PRJEB4211_v1:5:25713422:25716995:-1 gene:GSCOC_T00021286001 transcript:CDP05958 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEESTSSPIQASAPLKINRWKPLCLYYTQGKCTKTDDPVHLGKFNHNLSSGLGLETCQLEKLKSQEFDYFLVLDLEGKVEILEFPVLLLDAKTMNSIDFFHRFVRPSQMGEQRINQYIDGKYGKLGVDRVWHDTAIPFDEVIQQFEEWLGKHHLWVKKPGGRLNRAAFITCGNWDLKTKIPAQCKVSRMALPSYFTEWINLKDIFLNFYNRRAPGMVSMMRELRIPLLGSHHLGIDDTKNIARVVQHMLIDGALLQITARRLRGSPEKVEFLFENRV >CDP14812 pep chromosome:AUK_PRJEB4211_v1:5:22218584:22233515:1 gene:GSCOC_T00042273001 transcript:CDP14812 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVETLDFYRLKRVNLRFSSRICFGLWLAFAVFHPVLGLRPLRERSQSWGDEWLFVRKDESELGPFSAWNITGTYRGSWRFLDSTNSSSRFPDFKKSNGNSVLELISTPTKITGVHYVQGVLIFHDVYDNEREAGGAQIRIEGVYIWPFRQLRLVTYSGKEGEFGQEDDYILSNPYHLLGVFSSQVFQESPRDKIWKRKHSPIYEMEKHCNIEIAAQISHMSSTQNDGDHDRYHLEGLMESPSVDDDGDCFSPIALNATSVNIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNNGEGWEAMRRELSVLYSRFYGILLGGILITYEFHKFLRLILLLTHSFWIPQIVTNVVRDSRKPLHPHYIIGMTVTRLAIPLYVFGCPHNFMRIAPDKNWCICLGIFMGFQASILLLQHYLGSRWFIPRQILPEKYSYYRRFDQDPNHATDCVICMTSIDLTQRSNDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >CDP05674 pep chromosome:AUK_PRJEB4211_v1:5:13066414:13068892:-1 gene:GSCOC_T00020866001 transcript:CDP05674 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPVINMKNLNGDKRASTMDIIKDACENWGFFELVNHGIPHEMMDTVERLTKGHYKKCMEQRFKELVASKALEGVQAEITDMDWESTFFLRHLPVSNISQVPDLDDEYRTIMKEFAVRLEKLAEELLDLLCENLGLEKGYLKKAFYGSKGPNFGTKVSNYPPCPKPELIKGLRPHTDAGGVILLFQDDKVSGLQLLKGDQWVDVPPMKHSIVVNLGDQLEVITNGKYKSVLHRVIAQTDGNRMSLASFYNPGNDAVIYPAPALLEKEAEERKEVYPKFVFDDYMKLYVGLKFQAKEPRFELMKNMEANVTMGPIATA >CDP05811 pep chromosome:AUK_PRJEB4211_v1:5:26814987:26816420:-1 gene:GSCOC_T00021102001 transcript:CDP05811 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTLGSGAAKKAQSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTGTSSKPAEDD >CDP05760 pep chromosome:AUK_PRJEB4211_v1:5:27160584:27162123:1 gene:GSCOC_T00021036001 transcript:CDP05760 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLDIQIPAAFDPFTEDNDSGAPGAKEYVHIRVQQRNGKKCLTTIQGLKKDFSLEKILKDLKKEFCCNGNVVQDKELGKVIQLQGDQRKNASQFLVTAGIVKKDQIKIHGF >CDP15966 pep chromosome:AUK_PRJEB4211_v1:5:23214485:23217636:-1 gene:GSCOC_T00016894001 transcript:CDP15966 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGGGNWGPFGMGQLNFHDFNNNRRRRPRKRSIRESKSSSNSADITGRTGYQFPVKQAFMAASLALTGDTIAQLSQRWVKYKESLSGPQHPEGVLGALFSEHGWLRALRMTTYGFLLYGPGTYAWYQFLDRTIPKQTFENLLAKVILNQIVLGPTVIAVVFAWNNLWQGKLSELPNKYQKDALPALFFGFRFWIPVSILNFWLVPLQARVAFMSLGSIFWNFFLSSTMSR >CDP10794 pep chromosome:AUK_PRJEB4211_v1:5:18089562:18091675:-1 gene:GSCOC_T00031665001 transcript:CDP10794 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFLLLFFFCFPCSLQVHASHSFKKLILPSIGSEACAFDPHGGGPYTSLSDGRIVKYQGSRIGFTDFATTVANRSKKLCDGIVPGDNVELAAKCGRPIGLEFDQKTGDLYVIDAFHGPMVVGPKGGIATPLTSMDGVPVDVPDAIDVDPVTGTVFYTDIGPGILKIKNMTAFLLSGDTNGRLLKYDPKTRQRTVLLTGLSGPNGVAVSKDGSFVLISEYVAGRIRKFWVKGPKANSSEVLVNLPGSPDNIKRTGSGDFWVPVNIENLLPRKTTFPLAQKFNSYGQILETVNFYAEYNNIYITEVHQHLGSLYVASVYANFVGVFRGVRC >CDP11787 pep chromosome:AUK_PRJEB4211_v1:5:19058703:19063477:-1 gene:GSCOC_T00035021001 transcript:CDP11787 gene_biotype:protein_coding transcript_biotype:protein_coding MSERPVPRRESPWGMPEGDHRQPKPHRCNDRAEDVIQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPFYYLEFEPPQREVKLE >CDP13654 pep chromosome:AUK_PRJEB4211_v1:5:28401271:28402480:1 gene:GSCOC_T00038673001 transcript:CDP13654 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPCCEKDNVKRGQWTPEEDHKLSSYIAQHGTRNWRLIPKHAGLQRCGKSCRLRWTNYLRPDLKHGQFSDAEEQTIVTLHSVLGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKPFSHLITEIATTLAPPQVPHLAEAALGCFKDEMLHLLTKKRIGSYQMQQIGLTPVNTAATHVKHEDRDETIEKIKYGISRAIKDPETSPTNKPWDPVVAGSANFAGSCNAFAAPDSGFHYGLSSLVNEGNGSAWNQSMCPGSSATGDRQCQLDEKLEDENGQDWKGGKEMRDGATLFNSDCVLWDLPSEELMSPMV >CDP11851 pep chromosome:AUK_PRJEB4211_v1:5:20063653:20066755:1 gene:GSCOC_T00035118001 transcript:CDP11851 gene_biotype:protein_coding transcript_biotype:protein_coding MANILEFLPLRYTYSSGILSKNGSKRALHHLHGARIPWRTRCSITASSIEAYHDSEPAISTRRSANYQPSSWSHVLAESMDEKDNEWEIQMTILKKLEEEVRYMLDDENLEPQSLLELVHDIDRLGISYKYRGTIRDAPDRLKSLKEATTEITKDLHLSALYFRLLRQHGYEASPDIFERFRDRSGNFGNLGRSLAKDVRGILSLYEASHLAYEGEDTLQEAKSFTSLHLKSSKELVDSNMSEEIKHALELPYHHRMRRLEARWNIETCAKRNGKNQILLELAKLDFNKVQSVLQGDLQDVLR >CDP06142 pep chromosome:AUK_PRJEB4211_v1:5:24251409:24256080:1 gene:GSCOC_T00021535001 transcript:CDP06142 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSSVRISPYYNHKNCNAGTDGDFSRRLSFSSVSSNAFGEKLIASVAQGRRRPESRKPLIVSPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKAMMVDTTILGLDDERAKEMPYIASMGIYVISKDVMISLLRETFPGANDFGSEVIPGATTLGLRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETDADRRFLAAKGSVPIGIGKHSHIKRAIIDKNARIGDDVKIINGDNVQEAARETDGYFIKSGIVTVVKDALIPSGTVI >CDP17866 pep chromosome:AUK_PRJEB4211_v1:5:1674987:1677806:-1 gene:GSCOC_T00013188001 transcript:CDP17866 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFVPKIIGELGDVAVKQFGAKVNLVMGVEEEVANISSKLATIEKVLHDAERRRLKDRSVGIWLEKLEDITYEMDDVLDEWNFKIHRAKNEGTNQNARMQPTLRNKVRSFIPSLCSCLKQVPVRSDIAQKIKKINEQLELTLKEADQFKFITSGGISDSHDFQRIMTTSSIDGSEVYGRAADMEKVLDQILSKSSSQGRDGVQIISVVGAGGSGKTTLAQLLFNNDKVQNHFELRNWTCISDPFDQKRVAKAILENAGKSSHEAELDPLIRRIKETFSGKRFLLVLDDVWTEDDSKWKPFQYSLKDGASGSVILVTTRSQRVARVMGSTDTHHLSLISDSDCWLIMQRIAFGGRSGDLCKKVEIIGQKIAEKCKGLPLAAKTMGSLLRFKDTVQQWQNVLDSEIWQLEEAAVELFPHLYLSYNELSPELKRCFSYCAVWPKDTVIIVEDLIRLWIAQGYVRPRRRGERLELVGREYFNNLAMRSFFQGLRKYGHEYGECKMHDIVHDFAQFLTNSSGEKARHLTILGGIEEMFSSPVVDFGRLRSFFAFCRIGSVVVPQNLFCSLKRVRTLILSDCELAEIPTEIGRLIHLRHLDLSDNPFITLPEAICDLYYLETLNIFFCRKLSCLPERIEDLVHLRHLINDATDELRQIPQGLGKLTSLCSLTRFIARCNSDDLAILKDLNQLEILNVKIEGEVDFGSAELGKKVNMHEMYLLFSDGTHFIETPSCIETMQPPPNLEQLRLSGYPGAQLPSWLVTKSHADNLTELCIYKPRNISSFPALWKLSSLEVLVLEGAEKLECLGKEFFGSSFSAEAVAFPNLRKLYFRRFQNWTNWEDLSEDDEEVAISIMPCLEELTISQCTKLETLPHRILGKISSLKILDIRRCDKLRDHDWIKISHIPRVDISDKNY >CDP06027 pep chromosome:AUK_PRJEB4211_v1:5:25189883:25194026:1 gene:GSCOC_T00021374001 transcript:CDP06027 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGSFLICNNEIKKVIVMDSVDRSAVTPNKSKSRLARTFAKVLHIRAVTGIAPDDGIQRINSPENVKSNLVKNKSHLQPFDDEDEKLCSRAATEAFVAKLFASVSSVKAAYAQLQYAQSPYDPDGIQTADEMVVSELKNVSELKQCYLKKQIDESSPETTQILAEIQEQKSLLKTYEIMGKKLDSQLKLKDSELTFLREKLEEANKENNHFITFVRQTIKSIRSFVRLLISEMESAEWDLDAAASSIEPGVAFWKNSHKCYAFESFVCREMFDGFNQPDYSISKESLPEQKKRRRVFFEGFRELKSVKPMDYLAWKPKSAFAKFCRAKYLTLIHPKMETSLFGNLDQRNLANAGEYPETTFFAAFAEMAKRIWLLHCLALSFKPEASIFQVNKRSRFSEVYMESVSEEAFLSSDGSPESEPRVAFTVFPGFRIGKTAVQCQVYLC >CDP06003 pep chromosome:AUK_PRJEB4211_v1:5:25392714:25397311:-1 gene:GSCOC_T00021344001 transcript:CDP06003 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLESLGAEVEFCRNEAVVNANSISSVEPDSNAVGKIRGGFFVIGPLFTRFGEAVVALPGGCDIGSRPIDLYIRGLGALGAVLELRAEKVQAYASNGKGLVGARFQLDYPSVGATETLMMAASLAKGNTVLSNVAQEPEVTDLAHFLTRCGASIRGAGTHELYISGRGQLYGSCYRIMPDRIEAGSFMLAAAITRSCISLSPIIPSTISCLIERLSSAGCKIVSYIDDTLEVSAIPEKRGDDLRGFDIKTGPFPGFPTDLQPQNMAFLSTCNGLSMVEESVFENRLGHVKELRKFGPRIHGCGSTALIFGKETGSSFKGSQVMAADLRSGMSLVLAGLAAEGITEVSGISHSDRGYENMEMKLQNVGANVKRYESSTKACARTSYGIA >CDP05821 pep chromosome:AUK_PRJEB4211_v1:5:26731312:26733890:1 gene:GSCOC_T00021112001 transcript:CDP05821 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAAKTAATAATAASPRGLRSLLFSTSTFPFNLPQSQTEKPPPAEPSTNLFFMICLSKRTTTEGLRTAFEKFGQVVDAKVVTDRASGFSKGFGFVRYASLEDAAAGIEGMDGKFLDGWVIFAEYARPRNTLPPPQNSFPHGVNNTRFQS >CDP06140 pep chromosome:AUK_PRJEB4211_v1:5:24269239:24269961:-1 gene:GSCOC_T00021532001 transcript:CDP06140 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHRIKSLISKFTLNWTDNSENSQSTAASGRSKLRSSDFHRCKLPSKFHGKSVICMLYVSIKPLYLNMIAVGLRVA >CDP13723 pep chromosome:AUK_PRJEB4211_v1:5:27976090:27979024:-1 gene:GSCOC_T00038764001 transcript:CDP13723 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPVHTTPSTEEDEWDTDGFVIPSLGISNPDQKKSDSPGVADPNVSVKAKKDDYIYLGPHGAPPSHTRQQEQNSSSRKQRFKQKLKEADGRFGGTGREDKVDNLRELVGGKMPVNPSKSSSRDWLDPHCHESQFERKHL >CDP05673 pep chromosome:AUK_PRJEB4211_v1:5:13116542:13119049:-1 gene:GSCOC_T00020864001 transcript:CDP05673 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPIINMKNLHGDKRASTMEHIKDACENWGFFELVNHGIPHEMMDTVERLTKGHYKKCMEQRFKELVASKALEGVQVEITDMDWESTFFLRHLPVSNISQVPDLDDEYRTIMKEFAVRLEKLAEELLDLLCENLGLEKGYLKKAFYGSKGPNFGTKVSNYPPCPKPELIKGLRPHTDAGGIILLFQDDKVSGLQLLKRDQWVDVPPMKHSIVVNLGDQLEVITNGKYKSVLHRVIAQTDGNRMSLASFYNPGNDAVIYPAPALLEKEAEERKEVYPKFVFDDYMKLYAGLKFQAKEPRFELMKNVEANVTMGPIATA >CDP11362 pep chromosome:AUK_PRJEB4211_v1:5:15102650:15109334:1 gene:GSCOC_T00033577001 transcript:CDP11362 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLSFHTIEYCCSTSNDTNPWNYLDSYCGNITYNPNSPSGSIYRANLNFLLDTLSSHASWTDNNGFYNFSTGDDPSNKVYGLFLCRGDVNTDVCKECVADARTRLLHECPNKTAAIVWYDECLVRFSDQTIFSKADLGENLTRRNPFDVPGPDWDKFKMVLINLLHNAADKAANHTTGKKFAVEEGNYSTDQKRLYTLTQCTPDLSPYDCKRCLTEAIIDVPACCSKKQGGRVIYPSCNLRYEVSSFYDTVSSASPNSPGGPPPKSTEGKFFSRAMAVSSWNWNPFNRDGLTCENGLGTFALLAEILTAESLQYSLTEIQIATNNFSMDNKIGEGGFGRVYKGVLGNGQEVAVKRLSRSSVQGAEEFKNEIVVVAKLQHRNLVRLLGFCLEGEEKILIYEFVANKSLDYFLFDPENKRSLNWSRRYNIIGGIAKGLLYLHEDSRLRIVHRDLKVSNILLDGNMSPKIADFGMAKICGVDQYEGNTNRIAGTVGYMAPEYTRWGQFSLKSDVFSFGVVILEIVTGKKSSDFHQSRDSEDLLSYAWNHWRRGQTLALLDSSIGDSYARNEVIQCIQVGLLCVEEDASKRPTMASVVSMLNPSSVPLPTPHRPAAFRSHGSESRVDELKVDQSNTQRISAPSSVNDASITEPYPR >CDP06096 pep chromosome:AUK_PRJEB4211_v1:5:24642855:24645834:-1 gene:GSCOC_T00021472001 transcript:CDP06096 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGPYKFIEENQQLLVICRTQCCVFLLIELNDPTTSTMSKSKYKKVKPIPLSIIWIERNFLSHGRFCAFFQFRRFILFSFGNFLFIFVLSKCRAKLQPFN >CDP06109 pep chromosome:AUK_PRJEB4211_v1:5:24488443:24493141:1 gene:GSCOC_T00021495001 transcript:CDP06109 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVIVLDHEYSPPANRVRIALKEKGVAFVSKQEDLPNKKSSLLLEMNPVHKQIPVLIHNGKPICESLIIVEYIDEVWNDKSPLLPTDPHDRAHSKFWADYIDKKIYTSGRPVLTTKGETQAAAMKELISSLKILDAELGNKPYFGGKTFGITDIALIPYYSWFYALEKFGNLKMNEECPKLVAWGERCMQRESVSTTLHNLYETCDFLLEIRKKLGVEYKARLVTQGNQTQLAHRLANSVEISEGKQSEVLEVPAKKL >CDP05869 pep chromosome:AUK_PRJEB4211_v1:5:26389401:26392003:-1 gene:GSCOC_T00021176001 transcript:CDP05869 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGRDWTQIYAIYGMDDWHTPFFLLIHAVFFSALSVFFLLYFEPICFLTQHFLPIIGPGLARFIAGFTGSVTALSAVCLFFAAANFFYSSVSLHWDMAQRMVNSVSDWSSVKQALDLGCGRGILLNAVAMQLKKSGSSGRVVGLDPTGARRNGPSTLSTLRTAGLEGVQEYVTCRAGDPRTLPFSDNYFDVVVSSVFVHTVGKEFGAKSAAAGAERMRVLGEVVRVLKAGGVGVVWDLVHVPEYVQRLHELKMEDIRVSERVTAFMVSSHIVSFKKPNHHFAGSNEVRLDWRFNNLC >CDP06187 pep chromosome:AUK_PRJEB4211_v1:5:23888360:23889243:1 gene:GSCOC_T00021596001 transcript:CDP06187 gene_biotype:protein_coding transcript_biotype:protein_coding MLILILATMEFICKNGGQLLTLSLNNYSGFGFQSENEYFFGRFDMQIKLVPGNSAGTVTTLLIIHPHYHFPILSSQGSTHDEIDFEFLGNSSGEPYTLHTNVYAQGKRNKEEQFICLPAECIRPRS >CDP05813 pep chromosome:AUK_PRJEB4211_v1:5:26801970:26805129:1 gene:GSCOC_T00021104001 transcript:CDP05813 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIAAREEGRSQEESPVTYCVTGASGYIGSWLVKSLLQRGYRVHATVRSPEKSLNLLKEWDGGERLRIFKADLQEDGSFDAAVRGCSGLFHVAASMQFEVPVEENVDSYVQTNVIEPAIKGTLNVLKACSRTNSVRRVVFTSSISTMTAKDSLENWRDLVDESCKVPINRVWKHKPTGWVYALTKILTEEAAFQFAHENGIDLRSVITATVAGPFLASTVPTSLRVLLSPITGDPQLLPILVGVNSRMGSIALVHIEDICNAHIFVMEDARAEGRYMCCSRSCGMAELVDYLMEEYPCSNLQRLVKAKNESIPAEISSKKLTDLGFNFKYDVQDIIQQAVEKCIACGFLPGLLN >CDP14817 pep chromosome:AUK_PRJEB4211_v1:5:22247713:22252135:-1 gene:GSCOC_T00042279001 transcript:CDP14817 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISQNPGYISTTTSSAAADPLLPWLWSIEKALIHETTSDFDELLSNCIKSFSNDPRYKNDVRFLKIWFLHMDRSSDYESVFREMEKNKICSSNCSLYEWYALFLEAKGKLIEAYFIYHLGISRNAEPIGRLKKAQVLFLERVSDIVTIGSVQKVGVLLENGGTYINPWLISTTKKLLQEMNDQILKYEGYYASNKPYKGKVALSTLQKSARNKTVEIGGYKYQIKGCAGQGGFAQVFKAHVDGNLDDVVALKIQKPAFPWEFYMYRLLDMRIPESERMNFGFAHRVHLYSDYSILVSDYLAHGTLQDAITSNLVTNVAMEEELSIYYTIEMLRILETLHDAAIIHGDFKPDNLMIRYSRICLRFILDLLEDKDSFYECSGSWKEQGLCLVDWGRGIDLRLFPDDAKLMGDCRTSGFRCIEMQENKPWTFQASLILKKLA >CDP06111 pep chromosome:AUK_PRJEB4211_v1:5:24473705:24477429:1 gene:GSCOC_T00021497001 transcript:CDP06111 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPKKALSSGVVTYAYILLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSILCFVLTKVLKIMRVEEGMTLEIYLTSVVPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFILGVAAGLEMMSCRMLLIMSVISFGVLVASYGEIDINWVGVVYQMGGVVGEALRLIFMEILVKRKGIKLNPISVIALCLLIPWIFLEKPKMDDQGTWNFPPLILTLNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLLSAILFADTKLTLINLFGYAIAIAGVAAYNNHKLKKEATQVSSNESQPPQLLPSASSND >CDP11812 pep chromosome:AUK_PRJEB4211_v1:5:19483333:19485336:-1 gene:GSCOC_T00035062001 transcript:CDP11812 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLFLGAFFLFCLMLVKIVKKSKPVKLPPGPRKLPIIGNLHHLNGSLPHRTLADLAKKYGPLMHLQLGEVSTVVVSSADVAKDFLNKYDTIFANRPTLLTSTILFYNNTHISFSPYGDYWRQLRKIYTMELLSARRVQTFRSIREDEVLNMIKSISSEEGSVVNFTTKLSSLTLSITARAAFGKRSKYHDEFLSLMNEVVILLSGFNVVDMYPSFKILERITGIRRKLERLHKQIDEVLENILNEHKVKRAGWKPGNGEAKQDIVDVLLNIQESGEFGAPLTDNNVKAVIFEIFFGGGETSSTTMAWAMAEMIKNPRLLKRSQDEVRQIYGEMGNVDESRLHELKYLPAVIRETLRLHPAGPFLLPRECSEQCEIQGYEIPVKARVFVNVWAIGRDPEHWTESEKFIPERFLESETDFKGKTFNYIPFGAGRRMCPGISFALPNIELPLAQLLYHFDWKLPGDLNPELLDMTEAFGLAVRPKQDLLLIAIPYHSSSI >CDP05992 pep chromosome:AUK_PRJEB4211_v1:5:25480420:25484016:-1 gene:GSCOC_T00021331001 transcript:CDP05992 gene_biotype:protein_coding transcript_biotype:protein_coding MQKILENQFGNLLFCLKIMANILACVVTVLNRMKLSPPIHKDNSLLVPNSGYAVEHIQARSSTTVLIVGVSRVKKVSSLVTCVSSCLVPYHCRSFPASISIAETFHVVDSCQKNCSSLLKDQPLTEDTRQDNLSNLAKNSNSENEREMQRRKRIGLANKGKVPWNKGRKHSEETREIISRRTKEAMRDPKVRAKMSECPRSLSNQTKTRISRSLQKLWGQRLKWKRSEEKLLQLWAESIARVAKTGGSDQQELDWDSYEKMKRAIALQHLERAAQMAKEKEMAHVLAERAAKERDERKKILAQRKKELAQKAKVRKRKRSKEEREEFAANQESKLKAKLTKIHKLKPAISHVSSHHQRTWKNVDVGKLDALFTRTEQHQVSLADQIRLAKIKRAESATQDNSENNSWLQTKTEGW >CDP15953 pep chromosome:AUK_PRJEB4211_v1:5:23145099:23150893:1 gene:GSCOC_T00016878001 transcript:CDP15953 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSPVCTDALKFQNLSPSFSSRKQWSILGKKYMSNLPKIEYYMSWTPAARRRKGVGRLRVATADPSLSEDFADDYYAVLGLLPDATPDQIKKAYYNCMKACHPDLSGDAPETTNFCMFINEVYSVLSDPLQRMIYDEIHGYALTAINPFLDDSAPKDHVFVDEFSCIGCKNCTNVCKAVFTIEEDFGRARAYTQSGNPDLIQQAIDSCPVDCIHWTSAQQLSLLEDEMRRVDRVNVAFMLSGMGSAGVDVFRMASSTWEKRQTKILEEVKRRMMKQKESDKYEAFWNNLWGKPKGYRNSEEEVKERASRAAAAARRWREYSRRGVDKPPTIKLPETISNTEN >CDP11304 pep chromosome:AUK_PRJEB4211_v1:5:13436933:13445670:1 gene:GSCOC_T00033472001 transcript:CDP11304 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLSRRELQWTNSCRLFKLLAFVRSQQYGFSFVSAQLAQEEEESLISCKMPPFTPRVSNSHAYANILQNCVENSEPIRGKATHCDIIKRGGCLDLFARNVLLNLYVKCELWYDARQLFDEMPDRNVVSFVTLIQGCSMSERYNEAVQLFGRLHKEGHKLNPYVFTTILKMLVTMEWTELTWSIHACIYKLGYHNDAYVGTALIDAYSVSGFVDTGKEVFDVIEGKDMVCWTGMVSCYAENDCFPEALSLFDQMRMAGFKPNNFTFVSVIKACLGLDAMGMGKSVHGYVLKAGYQMDSYVGISLLDLYTATGDIDDSRQMFEEIPKDDVIPWSFMIARYSQSDRCDEALELFGRMRESLVVPNQFTFASVLQSCATKQAFDFGRQTHCHVIKVGLVSDVFVSNALMDVYAKCGKMDGAMDLFADAAIINDVSWNTIIVGHVQLGDAEKALDLFLTMVDNRVQASDVTCSSILRACASLAALETGAQMHTFIIKTLHDKDLTFVGVLSACSNTGSLDKGQAYFSSMLKTYNVEPCVEHYTCMVSLLGKMGHLDKAVKLIEEIPFEPSVMIWRALLGACVIHKNVEIGKISAQHVLEMDPHDEAAYILLSNIYASVKRWENVSSVRKIMKKKRVKKEPGASWIEHQGIVHYFTVGDVSHPDRKLIQGMLEWLNLRCNRAGYIPISDVILLDVEDDEKARLLWMHSERLALAFSLVRTPSGTPIRIIKNLRICLDCHAVIKLISKQTQRDIVIRDVNRFHHFEDGICSCGDYW >CDP17448 pep chromosome:AUK_PRJEB4211_v1:5:2485984:2490042:1 gene:GSCOC_T00000922001 transcript:CDP17448 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVPAKKSKDSVGRFPIKRIKKIVLRRYSKTNLIQVQKLIKHYASTKVHLCLTKSMNSGIAFWFSNIILVINNTREHIILKKGRREYCKEKESWVDYPIEKGERIGPGEHACCCASKFGKDWRRTQKLMRKYSEMRVSLEGEDDRKAKVLSLPEVMNNIAFVFNMGDNSQLVMETVSREEAKSRKIEPSLWKKLEEMKVQCISVVNKRKTACKEGAREELGTAHV >CDP15908 pep chromosome:AUK_PRJEB4211_v1:5:22829922:22833227:1 gene:GSCOC_T00016820001 transcript:CDP15908 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLKHRTMLATTLKCTFWSNTFPTHNPFNPRKPFSASAVKYPNQEETPAQKPAQIVHWSGLDNWRSSPLNHNRFWGANGPQTPPIQPSFSNSGETGDSGSISCSIDWGCCSSLAEMGAVVLSTADPLQKSKLSHLAYSRWCQESLPVGVCEAPSKPARPQNPQLVSPKEIPSPKHSGLPLNAYMLHNLAHVELNAIDLAWDTVVRFSPHCEHLGEGFFADFAHVADDESRHFAWCLQRLEELGFSYGDMPAHNLLWRECDKTSDNVAARLAAIPLVQEARGLDAGPRLVQKMVGFGDLRTSNIVAKIADEELAHVAVGLYWFLSVCRKMGRAPCSTFKVLLEEYNVEIKGPFNYAARDEVGIPRDWYDPSFSRQGDGKDGLSEVYDRLACIISMEQENSKMDASRG >CDP16079 pep chromosome:AUK_PRJEB4211_v1:5:949252:955538:-1 gene:GSCOC_T00017093001 transcript:CDP16079 gene_biotype:protein_coding transcript_biotype:protein_coding MTSILVLRKSSVSSSARLALSAVSHLFFSSSSSSSPSPIINGDRTRISRDALLHVHNFTTTAAGGGSSGIRPPFSTAIRGFHAGRPLRAAGFAATADFSDDEKKSAGRLGGSSADADGLEISKLGIANDIVSALARKGITKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPILDKIIRFNEKHGRGRNPLAIVLAPTRELARQVDKEFYESAPSLDTLCVYGGTPISRQMSTLDRGVDVVVGTPGRVIDLIKRGALNLSEIQFVVLDEADQMLNVGFAEDVETILENIRQEHQTMMFSATMPGWIMKITRKFLKNPVEIDLVGDSDQKLAEGISLFAIASEMHQKPSILGPLITEHAKGGKCIVFTQTKRDADRLAYSMQRNLRCEALHGDISQNQRERTLSGFRDGRFNVLVATDVAARGLDVPNVDLVIHYELPNSSEIFVHRSGRTGRAGKKGTAILIYSSNQYRDVKTIERDVGCRFTELPRIVTEGGSSDMFDDFGRGGDRFGSFGSMGGGRGSSFGRSGSFGNSGSGRFGGYGGSSSGRPGGFSGYGSRGGFGGANSGQSGNFGGLGSSRPGGFGNQRGSDRTGSFGGLGGPSRSTGFGDFGSGLNSSRFGDTSSGQGRSAGSDPSGDDMNFDNQKSGRKFF >CDP13766 pep chromosome:AUK_PRJEB4211_v1:5:27701842:27702912:1 gene:GSCOC_T00038818001 transcript:CDP13766 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEIKSSIFAFASVLASLSYCYFIAARIPKGFLRLICLLPVYYHFTILPLYVPSVFFRGVSALFITWLGNFKLLLFAFGRGPLSSDQSMPLHIFIASGALPIRTKLPNVNPSSTSSGPSKKKLPFLNNLGTEILALSVIFGLAAKYKESVHPAVVQIAYSIAMFFLIEVLVALSSFVVRALVGLELEAPSDEPYLSASLQDFWGKRWNLSAANALRHTIYKPVRSISEIVLGNRSAVLAAIFATFVVSGLMHELIYYYVSGAKPSWEVTWFFVLHGICVMIELVLKTAVGGKWEVPRLIAAPLTIGFVAATGMWLFFPPLTKMEIDRMIFEEFSQAGEYVNGRLVALSPLSWATS >CDP11902 pep chromosome:AUK_PRJEB4211_v1:5:20726091:20727385:-1 gene:GSCOC_T00035203001 transcript:CDP11902 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB41 [Source:Projected from Arabidopsis thaliana (AT4G28110) UniProtKB/TrEMBL;Acc:A0A178V452] MGRSPCCDKNGLKKGPWTPEEDLRLIQHIQIHGAGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSVLGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRVDLLDLSSILNSAQLNLSSLLGLQTLMNPELLKFAATLISSSNQENPEILLQKLQENQSLNAQMQNQMTPINLQPTQNQFQNTPQQVPLPVQSQATPAQGNMGDISMVSTNLNGQMCQENLIASSASLLPSPIYSYGMTDNTTADLSESSAFQSLNDSSNQNSQNFGFESVLSTPLSDERESYCSNLMKFGIPDCLDLDDFM >CDP05932 pep chromosome:AUK_PRJEB4211_v1:5:25902871:25906241:-1 gene:GSCOC_T00021254001 transcript:CDP05932 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPSIAAFWLITLPQVVGGFEYDDDVKQKIWWQYKESMRYDVLQDAVAKRKPGWEYLQEALFSIDPERARDDPIIVKNVPYHKAKKALEAEVMKLDPPRRPQNWGELNLPLNASSWSEEDLKDPKKLYEMTVLLKAQREIADQMLDVQWERKWRQEKLNEMLQEKIQPYLHNIDNGVLPQPIVIQPRNQDQKTKRRRWFFF >CDP05687 pep chromosome:AUK_PRJEB4211_v1:5:12208909:12209646:1 gene:GSCOC_T00020889001 transcript:CDP05687 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIWQNGKSLLLFSYVIHLKLKTGLHFLNGIIAETSLTFIVIALTSPINFEIALTSPIKSWRQFNRQKWVNLLKYP >CDP05763 pep chromosome:AUK_PRJEB4211_v1:5:27153222:27153939:-1 gene:GSCOC_T00021039001 transcript:CDP05763 gene_biotype:protein_coding transcript_biotype:protein_coding MHWEGNPQVTACSVLPLMQKLTFNIICSLLFGIERGERRDQYVHHFQEMIEGMWSIPVNLPALHTLNNSLITCTVFITQYFEVTVSVKRGPSGSRIKIFLSSLSPKMKDKEQLKI >CDP11321 pep chromosome:AUK_PRJEB4211_v1:5:13963281:13965570:1 gene:GSCOC_T00033503001 transcript:CDP11321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose 5-phosphate/phosphate translocator, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17630) UniProtKB/Swiss-Prot;Acc:Q9LF61] MLSLNLLPSSNVTFLKASSRFPINDSLLNQNLVNRRRQSRTNLLCPSLLLNKISDFSQIHGNPCRIPCSRFDFSKLSGHPFGISSKPTSQIVKSASGIPQEESPDGEIEVAKDNSKNLQLAIIFGLWYIQNIVFNVYNKKVLNVFSFPWLLASFQLLCGSIWMLILWAIRPNTCPKISKSFIVALLGPALFHTIGHISACVSFSKVAVSFTHVIKSAEPVFSVVFSSFLGDTYPLTVWLSILPIVFGCSLAAITEVSFNFGGLWGAMISNVGFVLRNIYSKRSLQNFKEVNGLNLYGWISIISFFYLFPVACFVEGSQWVAGYHKALEAVGNSSKFYLWVILSGVFYHLYNQSSYQALDDISPLTFSVGNTMKRVVVIIATVLVFRNPVRPLNALGSAIAIFGTFLYSQATAKKPKKEA >CDP13570 pep chromosome:AUK_PRJEB4211_v1:5:29018947:29019414:-1 gene:GSCOC_T00038564001 transcript:CDP13570 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKEILTRRPVAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNVRTQKYKPDTPMAVIITAFKDNTFEFTVRSPSVTWYLKKAAGLEKGSGRPGHVMASSLTLKHVYEIAKVKQQDPYCQYMPLESICKSIIGTANSMGIKVQKELD >CDP13812 pep chromosome:AUK_PRJEB4211_v1:5:27406324:27407136:-1 gene:GSCOC_T00038874001 transcript:CDP13812 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIGSQCPSISIFPYNDLKPPISSTKLLWLSKFSKNEPATCGFLCMHKRNRVFWFPTRVTSAPLFLAATLPPPNSGDFSVLFQTSAVMLLMYWIANFVVPGWVLKDLEVDKTNDERKRDKENSSDNR >CDP10808 pep chromosome:AUK_PRJEB4211_v1:5:18456365:18459352:-1 gene:GSCOC_T00031689001 transcript:CDP10808 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSLVRLHQRFYKFDARVYHFWPGNNVFFFKGRLICGPDPKGLILTAIAISLSSWTFAVHVASDIMNPAIIVTSSILTTIVLVNLVFVSTIDPGIIPRNDQYSSVELGTIDASKRRWRSRVVVINGMEVKLKYCNICNIYRPPRTCHCATCNNCIQQFDHHCTWIGHCVGLRNYRLNVTFLLTGLLLFAFIFIFSCKSLHHKLPRDGNGVIGLLRNDPETVALTLFSFVAMCFLAGFSCYHVYLIAINQVFTYIITVYLKTSYEHFHQKYVNSGNPYDKGILGNIKEVPLASQLPSSVNFRADVEPGWFGGLSDISIK >CDP05731 pep chromosome:AUK_PRJEB4211_v1:5:27332327:27339326:-1 gene:GSCOC_T00020997001 transcript:CDP05731 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIVKRKKKGRPSKADLAKRRSVGEDASAPERELRRSHRQRNVRYTFDFDDYLDDDELFEGFDDEEDERRREKKLKLLLKLQTSRETTTTESTPSETRRASHAPAASQSSSDLGGDGSYNKPSKKRKMSDSLARGRSRQGVNEEAEAEAEVDEEDENDDVDDDDNENVEDDEVVKGRGNADKPKGVEDSAPGTPTEAPSGLPLPEKKTLELILDKLQKKDIYGVYADPADPEELPDYHEVIEHPMDFATVRNKLGNGSYANLEQFESDVFLISSNAMQYNGPDTIYHKQARAIQELAKRKFQKMRLGIERSDELKSDQKTRLSSVVKKQIRKPISRTLQDPVGSDFSSGATLATNGDIQNGSSAAQVGGSERASSVDRLEVPPVIDNSIDKAEELLPGKRPLAKIERKQSLNDENRRATYNLSTQPVASFDSVFSTFDGESKQLVSVGLYADHSYARSLARFAATLGPVAWRIASKRIEQALPSGSKFGRGWVGEYEPLPTPVLMLENCTLTEPPFFTKIEQTVVTRKQEKMPTKPVSSRENIVTEPCVDKLVKAAPSYKDGLVKDSTVQRKSAFFGPTVIKPTACSSPSISLPAKEQAVRVLEGRSFFGSPANKTTFSASSGFQQQNSQPRNFTEPEKRFLKEVELNGPPSGSQTAADFVVERQILNSSDIPGSRSKDMVLKNKSLLPSGSFKQSNLNGVAVGGLPNGKVNNIDSNKKSSSASDLAKGATYFPHAQDQGLTDPVLLMKMLTEKAQNQHKSSNQSPVDSGPVLSPALPLRKEDSGNAAAAAARAWMSIGAGGFRPAGENTGLHKNQISADSLYNPARDLQSQVSRFRGDPPPYAMHLQPDKNNFPFHPFVPQPTRIGSDVQFHNQPMVYPQLVTADLSRFQVQSPWQPIRSPGRPASSVLVDSQQPDLALQL >CDP14759 pep chromosome:AUK_PRJEB4211_v1:5:21708472:21709334:-1 gene:GSCOC_T00042207001 transcript:CDP14759 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLISFYFQAIYGSNMEPGRAEKDFGRFDIKTVVKNIYILFTNNEMPIARKDQEIMDLVDPSTPLPSWFTEEDLTNYTNLYERSGFHTALQVPYRAWLEDDGVDNPSIDVPSMLVMGEKDYVFVHQGEYLTSGAVKKYVPDLEIIFLPEGNHFVQEQFPEKVNQLLVTFLNKHKRPLATPN >CDP18734 pep chromosome:AUK_PRJEB4211_v1:5:23679757:23682431:-1 gene:GSCOC_T00002666001 transcript:CDP18734 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPTNWIKSKLRVAELQHVTPLVRTKFQSDQAACFWTRSFPKNPPFPLPPASVLGGDHLLRRLYLGGYHHIIYILASHLHSAAKRLDKMSGQSQRLNVVPTVTMLGVVKARLVGATRGHALLKKKSDALTVQFRQILKKIVSAKESMGEILKSSYFSLTEAKYVAGDNIKHVVLENVQNASLKVRSHQENIAGVKLPKFEYFTDGETKNDLTGLARGGQQVQACRTAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKKEIDRQVAAAKAFAEEKVAADIALKKGFPLNSAHDLLTQASKKDEDIIF >CDP13561 pep chromosome:AUK_PRJEB4211_v1:5:29063835:29068063:1 gene:GSCOC_T00038551001 transcript:CDP13561 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPNPAVLTGRVAHSGESDSLKSSSRELPSPLFESTTSTHHDSSSSWKLNIDEFRLPQQPHHHRSFRFPRLCPQRKKGKIAEYYKKQERLLEGFNEMETINESGCLHGSLTEDELKQLARSERMAIHVSNIANMVLFIAKVYASVESRSLAVIASTLDSFLDLLSGCILWFTSNAMKNPNHYHYPIGKKRMQPVGIIVFASVMATLGLQVLLESVKQLISKSSPEVDHEKEKWMIGIMVSVTLVKFLLMVYCRRFENEIVGAYAQDHFFDVITNSVGLVTAVLAIRFYWWIDPTGAILIAVYTISTWSRTVVENVWSLIGRTAPPDFLAKITYLIWNHHQEIQHIDTVRAYALGSHYFVEVDIVLPEDMLLSQAHNIGETLQVKLEQLPQVERAFVHVDFEFTHTPEHKNKV >CDP05973 pep chromosome:AUK_PRJEB4211_v1:5:25614377:25619157:-1 gene:GSCOC_T00021307001 transcript:CDP05973 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKATTNAGAAADFENLEYVSGFGNHVSSEAIAGALPQGQNSPLICPYGLYAEQISGTSFTAPRKLNLRSWLYRIKPSVTHEPFKPKVPTHGKFVSEFNQSNSSATPTQLRWRPVEIPEAPTDFIDGLFTVCGAGSSYLRHGYAIHMYTANKSMENCAFCSADGDFLIVPQKGKLWITTECGRLQVVPGEIVVIPQGFRFVVDLPDGSARGYVAEIFGTHFQLPDLGPIGANGLAAPRDFLAPVAWFEQSTCPGYTIVQKFGGELFTAKQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLIDHSDPSINTVLTAPTDKPGVALLDFVIFPPRWVVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKSYEATIALGSEAGPQKIADTMAFMFESCLIPRVCQWALESPNMDHDYYQCWIGLKSHFTCEAATVENTDLQNGHN >CDP05746 pep chromosome:AUK_PRJEB4211_v1:5:27256967:27260558:1 gene:GSCOC_T00021018001 transcript:CDP05746 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNLKTLVSVVSLAVVSVINVTATTGDYIRPAPRKNLQFPWSPKHSSHPQQVHISLAGDKHMRVTWITDDKSAPSVVNYGMSPGKYNSVAQGESTSYSYLLYSSGKIHHTVIGPLEDDTIYYYQCGREGPEFKFKTPPSQFPITLAVAGDLGQTGWTKSTLDHIDQCIYDVYMLPGDLSYADYIQSRWDTFGELVQPLASARPWMVTQGNHEKEHILLIKKSFAAYNARWKMPYEESGSSSNLYYSFDVAGAHIIMLGSYTDYDEFSDQYSWLKADLAKVDREKTPWLLALFHVPWYNSNDAHQGEGDNMMASMEPLLNAAGVDIVLAGHVHAYERSQRVYNGRSDSCGAVHITIGDGGNREGLAHRFKDQQPEWSVFREASFGHGELKIVNSTHAFWSWHRNDDDEPVRSDEVWITTLRSSGCILEESRDLRKLLLQP >CDP16231 pep chromosome:AUK_PRJEB4211_v1:5:15592979:15597607:1 gene:GSCOC_T00017356001 transcript:CDP16231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MNKLQRGVFWNLFSRKDVELNYLLRRSFSISSHPVRDLASKDGELRVFIVAGEVSGDIIGSRFMDSLRKLSPFPVRFAGVGGYLMSKQGLNSLFPMEDISVMGIWELLPHLNNFRVKLKQTVQAALSFQPHVVLTVDSKGFSFRFLKYLRAKCIEQGIISPKHFHYVSPSFWAWKGGEARIKGLSQFIDHVLCILPFEAEICKLNGIAATFVGHPTLEDMIELKEKGASGEIQGNGEEFRSEHGIASGSTVISLLPGSRVQEVTRMLPIFSNTMELLKDAFGELVTVVHIAPNQYVKDYISKAVCKWPVPVVMVSGGSPSMKYKSFSASRVALCTSGTVAVELQLARLPCVVAYRAHLLTEWVIRYKAKVPYISLPNILLKSATIPEALFQGCTPSKLASLVRELVHNENLREQQIAAAAKVIELLSPGKGLINNSTHLETSHAFPNSMSSMVAALTVLQS >CDP11889 pep chromosome:AUK_PRJEB4211_v1:5:20526588:20527907:1 gene:GSCOC_T00035174001 transcript:CDP11889 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTTGIDKSGLKKGAWSEEEDNKLRAYVLRYGHWNWQQLPKFAGLSRCGKSCRLRWMNYLRPGVKRGSFSEEEEELILKLHKELGNRWSAITARLPGRTDNEVKNYWHTHLRKRTKQDSKPKDKIEQTSERSQPMESDADQNKESSAISIFHSTTDQNRSGLETEIAAVPAADTNCELSPLSCGSKHFDGGDWFGEDSNSSVESLPESFESFWSEPFALDTSYSNLKVINYDWLPPVEEEFTYPFSSFLDEDMDWFHELIQ >CDP16052 pep chromosome:AUK_PRJEB4211_v1:5:443808:444472:-1 gene:GSCOC_T00017055001 transcript:CDP16052 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGVACALQYLHYGFWTPVVHCDVKPSTVLLDQDMVAHVSDFGVAKLLGHEDSITYTSTLATLGYLAPDYGLEGQVSTTCDVYSFGIMIMEVFTRKSPNDKMFGENLRLKSWLDCISSIMKVALNCTRESPGERSNMHDVLADLKKIKLRLLPYSN >CDP17852 pep chromosome:AUK_PRJEB4211_v1:5:1393880:1394987:-1 gene:GSCOC_T00013168001 transcript:CDP17852 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFRSLLIAITSLWMLSSLIRSSDAAGIATYWGQHTDEGSLEDACRRNTYDYVNLAFLINYGGGQTPELNLAGHCEPSDCSSLSSEIKACQSRGTQVLLSLGGAPNLSSADDAKEVASYLYNNFLGGESENRPLGDAVLDGIDFHIQGGKRDFLDDLAKALSEYSTSERRVHLSAAPQCFYPDYYLDAAIRTGLFDYVWVQFYNNPPCQYSMGNANNLFYSWSSHWASYPGVNKLFLGLPASPEAAPSGGYIPPQVLIREILPYVRGYPNYGGVMLWNAFYDENYSQAIRPYVNPETLACDRKSMLKSPSNCDIKIKSYVTK >CDP15983 pep chromosome:AUK_PRJEB4211_v1:5:23318470:23322056:-1 gene:GSCOC_T00016916001 transcript:CDP15983 gene_biotype:protein_coding transcript_biotype:protein_coding METANLSAYTVYSSCLLLVVVGVSWKALNWVWFRPRKLEKRLKEQGFRGNPYKLLHGDIKEMSTLHKEAQSKNLNLSDDIVPRVIPQYLGAVKKYGKKTYLWLGPKPAMVIMDPEQVKEITQKVYVFQKPHGNPLRRMLTPGIVMYEGDKWTQHRKLLNPAFHIEKLKLMLPAFHKSASEVVNKWEKVVSPKGSAEVDVWPNLQALTSDAISRTAFGSNYEEGRRIFELQREQAEHIVEAGGSVYIYIPGFRFLPTKRHRRMKQITKEVNDSIREMIDTRKKAMRAGEARTDNLLGLMLQSNSQEIEKHGNKDYGMTTEEIIDECKLFYLAGQETTSALLVWTMIYLSRYPDWQARAREEVLQHFGANDPEFDGLNQLKIVNMILHEVLRLCPPITATARRIAEETKLGNLTIPVGVLLVLPIMLMHHDPDIWGEDVKEFKPERFAEGVSHATKGRLAFWPFGWGPRICIGQNFAMLEAKLAMSMILQRFSFELSPSYAHSPRVAVTLRPQYGAHLILRKV >CDP11810 pep chromosome:AUK_PRJEB4211_v1:5:19415628:19417698:1 gene:GSCOC_T00035060001 transcript:CDP11810 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQLGELSTIIISSAEVAKEVMHEHDIIFASRPKLLAINIISYNATSIAFSPYGDYWRQLRKICTVELLSQKRVQTFRSIREEAVSNMIRAIALQEGSVVNLSKEVSSLTYSIIAQAAFGKKSNYQEEFISTAVDLAQLVSGFNLAEMYPSVKILERISGMRQKVERTHRRLDEILENILTEHREKRAQPGKGEGKEDLVDVLLNVQKSGEFGAPLTDSNLKAVILDIFSAGGETSSTAAQWTMLEMIKNPGVMRRAQEEIREVIGERGNVDESRIHELKYLQAVIKEAMRLHPPLPLLLPRECSEQCEIHGYEIPAKARVIINAWAIGRDPKRWTEPENFIPERFLDSEIDFRGTNFGYIPFGAGRRVCPGISFALPNVELMLAQLLYCFDWKLPGELKLQPLDMTERFGLAVRPKHDVQLIPISHNPSGKYSGKRIDP >CDP16395 pep chromosome:AUK_PRJEB4211_v1:5:3797523:3798199:1 gene:GSCOC_T00018252001 transcript:CDP16395 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKPGNKETLFPYLLLLEQQRQRNTFSKYVTCFHISNNKEQQRNQIILQFCLESEDVSILVPGDIISIKLVDIIPADARLLDRDPLKIDPSALTGESIQFFRSDYGICSQLNFSVLLLRCLTEKLQLLAYHFDERSIFHEMALFFIQCSCRLRICT >CDP11322 pep chromosome:AUK_PRJEB4211_v1:5:13971420:13980788:1 gene:GSCOC_T00033505001 transcript:CDP11322 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPCVVDHGMDTKIHAYGYDQCVTVCVCKEPFKKPSLPLLLLLLLLFKIYSSSPPENPFWRRRVKEMKMKKGYQHCRMLKLPRFPLHCSVLLMLFTIFSTPSQAFDYADALSKSLLYFEAQRSGRLPYNQRVSWRHHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGVIEFGQQIELAGEMEHALEAIKWGADYFSKAHTRPNVLWAEVGDGDTDHYCWQRPEDMTTSRRGYKIDEKNPGSDLAGETAAAMAAASIVFRKINSHYSHLLLQHAQELFEFGDKYRGKYDTSVGVVKNYYESVSGFMDELLWAALWLYKATDNEDYLKYVIDKAHCFGGIGWAITEFSWDVKYAGLQIIASKLLHEEKHRPQYTHILEQYRSKAEYYICSCLGKNNGSKNNIDRTPAGLLYIRQWNNMQYVSSAAFLLTIYSDFLQKSHQKVKCHEGLVGHQELRNLAKSQVDYILGSNPQNMSYLNKGFIGCTQGYDNWYSNPKPNPNVIVGALVGGPDCQDNFSDERGNYMQTEACTYNTAPLVGVFARLNELQVPLRASY >CDP10775 pep chromosome:AUK_PRJEB4211_v1:5:17342561:17343145:-1 gene:GSCOC_T00031621001 transcript:CDP10775 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSALASIQISLVLLLAIFVCSEANRLDPYYCCRGKETNITVYLQVFTGGPNTTSVAVAGAPGKPRTPSNFGTIIVNDFNITQGISNNSPTIGRAQGIEIASSRDGSRSLGIFSLIFSNFKYNGSTLEFQGAGYNLQVGSPAREDPIVGGTKTFRFARGYAFFQTVLRRPARNNTVIRGDITVISCPGGGKF >CDP10776 pep chromosome:AUK_PRJEB4211_v1:5:17350072:17350500:-1 gene:GSCOC_T00031624001 transcript:CDP10776 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIISEQVACRCTWFYSFSSEVCSTQGFFL >CDP11326 pep chromosome:AUK_PRJEB4211_v1:5:14043612:14046324:1 gene:GSCOC_T00033511001 transcript:CDP11326 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKLADLARRAVSSVAHSHHQTSASTSISSSCFRYYSSVTTTSPPPNNKLFVGGLSLSVDEKSLLDAFSSYGEVTEVRILYDKETGRSRGFGFVHFSKEDEATCARDAMDGKAFFGRPLRITFALDKVRGAPVVVPRVRNSENAPVPERLNSFKSV >CDP13813 pep chromosome:AUK_PRJEB4211_v1:5:27397665:27406125:1 gene:GSCOC_T00038875001 transcript:CDP13813 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFVSKARTAFHSAAAKAEKVFADIKKSDSVADRGEDSDRQSPIASTPDSVSSKDESKNSSDARNSRRRPAPIKTKHDWQERFRNLRIGRRGAEEADKAENPTMAYALFDENICFASEREVKDSKMNSLAEDSNISNEDIIPATAIVRQLAVAVEAAKKYNSLKDLQASSRDSSPVRERAALGFSAMKSLVLREKDDKLINEFGSDEKVVSLIKSLLDAEGHFPGRKGDLGQGEFIAVSSLTRDIHGAPAESFVVKLSEAIGSLKTLRKMSSFWCRVVAELRRLWSEGQYIPGIPPDDVPDLNSCLLYQQLQVINCCISRKRRRAIATESLDSVLRQASPTIDKSSDSESLNALNSVLYARTSTEELVLRLGADKKFDNLTMLETGEPVFTPVTQEAPLLTEDLIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWMEGDINDEADETSDGGDLLSTRGQLSRRMQKEGNLWRELWETAKPIPAMRQTPLFDEDLAVEGLLNILEDISPSELFEQLFISLLGSGLVIAESTLSTNLDLSKLFNECKDYIVATCQSGSWLEKIDDICQVYETVEAMLLNPHEATKSTVPPEETTPAGELKNQFKRLNFIFGSKDRQSQKDKKNMEDNPTRQPFSSIFSKKPPKPSNGSSADKATGSVDNDWTIV >CDP13558 pep chromosome:AUK_PRJEB4211_v1:5:29081609:29083737:1 gene:GSCOC_T00038547001 transcript:CDP13558 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCRVWWRLNCKLVVHLYLCLAACFFSHCDARRAAAHDVLVRASTHNSGEIGPPNPPKAKNMNGLMEILVDMVRPLDESSSNTQPYGKGVSSPFSLPPYDSLAPIPLLENTPPFCVNPPPFTPQLPPPSPTTLPMQPPPTPPSSSYSPSFPFPNPSPPPSPVGTAVPSPPESSIYPTPPSTPSIAVPSPPESSIYPTPPLTPETPSIAAPSPPETYVPSPSVFVPSPPPPSAPYYSPPSPPIGYVPNPPGSAGGGGFVPPSSPRVYQPPNVYPSPLVPPPSPLTEPASALWCVAKPSVPGPIIQEAMNYACASGAECDQIQPSGSCFEPDTLVAHASYAFNSYWQRTKVAGGTCEFGGTAMLVTVDPSYDGCHFIYF >CDP17845 pep chromosome:AUK_PRJEB4211_v1:5:1214228:1214606:1 gene:GSCOC_T00013158001 transcript:CDP17845 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRRGQVSNFLILLQLSHSLPAADRHKASIMQKIPKPQFESKTEMTLMTHKSIVFYCQSIVFR >CDP14768 pep chromosome:AUK_PRJEB4211_v1:5:21744248:21752130:-1 gene:GSCOC_T00042219001 transcript:CDP14768 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTLYEAAKQPVAQHFLDVLERVAIEKGLLLSAVYDQVSPIGSTYLHVAASFNNAATATFIAHHCPPLITKKNINGDTPLHLTARAGYISISAELLDLWKYTSAEGGDERQTEQENLLLRLWNEKGNTALHEALLNSHDQIARNFIKADPEVAFYLNNDQESPLYLAAKAGSKECVSFIFSFPEALGKMIEQGKVGKSPVHAAISSRNKDVLDLMLQKAPEFIHLVDEKRRSPVHYAASMGYLEEVQLILDKFPHSANGRDGNRFLPIHWASINGHVSIIKEMLLHSPDPGELLDQKGRSILHFAAKSGKHKVVSYMLKYPAYEGLINMQDKRGNTPLHLAAMDWHPKTVIALTWDSRVNVTVVNNRGMTALDAAQYYLDNAPSFQQRLTWAALRAAGVPRAWPRKLLNVDAQTAVPMEQKKPHNYKDRVNTLLLVSTLVATVTFAAGFTVPGGYNNSDPYQGMATMLGEKKFHVFIFCDTIAMFTSIIVAVSLIWAQLGDLNLALVALRMALPLLGIALVMMSLAFMAGISLVVSKLRWLGSAILFMGLIFLVILAVLFFPLCFSLGSNYRLLRIIFYYPFLVLIWGSKYNMREVLSLKGDPLSVLLLRRDAFQFLVSPSQSFHVPGHLLRICELARNLETTPQLCGRIPVMAYSYPLDYKLTTKDCFGTSYKWKFSKKIIFTCLI >CDP15894 pep chromosome:AUK_PRJEB4211_v1:5:22675358:22679831:-1 gene:GSCOC_T00016800001 transcript:CDP15894 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGFELSVSPPKVMYKTEIGEKLEPIEEVTIEVNEQHVGLVLDALSHRKAEVTDMGPVPGHDDRTKICLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLTYAKYRGPLGNVRKGVLVSMGYGTTTAYALISLEPRGILFVTPGMETYDGMIVGEHSRDTDLDVNPVRMKELTNVRAASKDENVKLSPPRLMTLEEAIGYVASDELIEVTPKAIRLRKRYLDVNKRKAMRNRTKE >CDP13601 pep chromosome:AUK_PRJEB4211_v1:5:28802567:28804243:1 gene:GSCOC_T00038605001 transcript:CDP13601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable BOI-related E3 ubiquitin-protein ligase 3 [Source:Projected from Arabidopsis thaliana (AT3G12920) UniProtKB/Swiss-Prot;Acc:Q9LDD1] MAVEARRLCLFTPQILDNRGMLNVTAGVNNNFNTINNNMMYGEPFGATTTTTAADALIPPASINGKTVAADWTPVKTAMKSDSGLTCSIPILASRKRPRDPSYAGIANCHRNLLPFPANLNSSERCTSVATFLGEDTSLRTQQHQLEIDQFISHHAAKVRKEIEEQRKDYSLRVIRAVEESLMKRLRAKEEEIENMGKLNWALAEKVRSLCAENQIWRELAQSNEATANALRNNLEQVVLAQAQQRNLCNNDDIGKRAINEEEEESGSGRRRRRWWCRVCRNCGKEEASVLLLPCRHLCLCYACGPALDSCPVCLSTKNAALHVNLSSHSSST >CDP05892 pep chromosome:AUK_PRJEB4211_v1:5:26202810:26207541:1 gene:GSCOC_T00021205001 transcript:CDP05892 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRLRAPSMEDLPSHLVLDILISGRLSAVDLACLELTSRTFRENHGLFSKEFRSFVDFAAFRLCQLHPVYASLHFNAQKEALNRCNGNWKRLLRFLQSVEKSSDVVETSAGNMQIRCGRYHTLLIKDSEVYSCGSSLCGVLGHGPETTQCVAFTCISFPTASQVVHVSASHNHAAFVTRSGEVFTCGDNSSFCCGHRDTNRPIFRPRLVESLKGIPCEKVAAGLSFTMFLSRQGHVYTCGTNAHGQLGHGDTLDRPTPKIVQLLEHLGSIVHIAAGPSYAFAVCKDGTLFSFGSGTNFCLGHGEQHNELQPRALQSFRRKGIHVVRVSAGDEHVVALDSCGYVYTWGKGYCGALGHGDETDRTTPELLSSIQSHLAVQVCASKRKTFVLVDDGSLYGFGWMGFGSLGFPDRGVSDKILWPRVLDCLRNHHISQVSTGLYHSVVVTNQGQMFGFGDNERAQLGHDSLRGCLKPTEIFLQEIDGIDLVPESAE >CDP05837 pep chromosome:AUK_PRJEB4211_v1:5:26602550:26609732:-1 gene:GSCOC_T00021132001 transcript:CDP05837 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVYNNHPERNLLFSTLGMKKSVEGFSLLFLLGILCLTRKIASVTDTLALTQSIKDGETMISAGGSFELGFFSPSQSTNRYVAIWYNDVSIQTVVWVANREVPLVNTSGVLEVIKPGLLVLRNGTTDIIWSSSNSSISVQNPVAQLLDTGNLVVKDATDDKPETFLWQSFHHPTDTFLPGMKLGRNFVTGLEVHISAWKSYEDPAPGQYTYSCDPSGYPQNFVKKGSVSQYRTGPWNGQGFSGVRGLRKNPIFSYEVVLNSQEVYYSYKLLSSTITRFTLSQSGVGQRWTWDNVTQSWTLYLSAPTDNCDSYGLCGAYGVCDVGNSAICGCLDKFEPKYPERWAEGDWSSGCNRKIQLDCHKGDVFVKYSGFKLPDTHNSSYDRNISLEECRTVCLENCSCTAYSSLDISNGGSGSGCLLWFGDLIDLRVINDAGQDIYIRMASSEFVSFNGSNGKKRVFVISLSLSLGVVLLAFSFILFLQIRKKRYPKLGKRGNLHLLSADLSRLKIPGHILDKDHTNHEKDFELPLFELSTLIKATNNFSDGNKLGQGGFGLVYKGLVEEGQEVAIKRLSKTSAQGLDEFKTEVICIAKLQHRNLVKLLGCCIEGAEKILVYEYMPNKSLDLFIFDRENSELLDWPKRFSIIQGIARGILYLHQDSRLRIIHRDIKASNILLDADMNPKISDFGTARSFGGNETEANTCRIVGTHGYMSPEYAGDGLFSVKSDVYSFGVLVLETISGKRNRPFLHQGCHLNLLGHAWKLYKEGRELELVNSHIVNSCHPLEVLRSIHVGLLCVQQCPYDRPDMSTVIFMLGNEVVLPEAKQPGFFIESNIVVNAEMSITLLEAR >CDP13663 pep chromosome:AUK_PRJEB4211_v1:5:28335484:28341938:-1 gene:GSCOC_T00038687001 transcript:CDP13663 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVMTADEQILTLDVDRDESVENLKALLEVETQVPLQQQQLLYNGTEMRNAEKLSGLGVGDGDLVMMVSNANALSSSRPTNDSGFNPDGSAVNPSAFQQHLRNDSNIMAQLFQNDPELAQVLLGNDLDRLQDLLRVRHRQRAELQRQQDEELALLHADPFDVEAQKRIEAAIRQKGIDENWAAALEQNPEGFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDTRYRGIAHGVGQSEILGRIHVAPIKIGNIFYPCSFLVLDAPNMEFLFGLDMLRKHQCMIDLKENVLRVGGGEVSVPFLHEKDIPSTFLDEGTQVKEASSSGAQASSGNTEKDNTPKRGPSGGSQGNLTQGQDFEAKVAKLVELGFGREAVIQALKFFDGNEEQAAAYLFGG >CDP13623 pep chromosome:AUK_PRJEB4211_v1:5:28646794:28647396:-1 gene:GSCOC_T00038631001 transcript:CDP13623 gene_biotype:protein_coding transcript_biotype:protein_coding MARIYSCIFVLAVLLFSGGQSSEAARQLLQTTPAIPGLPAIPSLPTIPIPGSIPSLPSIPNQPSIPTIPAVVPKVSLPPLPSSIPSTLPKTPSIPGFPFPSPPPSK >CDP15922 pep chromosome:AUK_PRJEB4211_v1:5:22906904:22907533:-1 gene:GSCOC_T00016841001 transcript:CDP15922 gene_biotype:protein_coding transcript_biotype:protein_coding MNHYLHSQAEIIFGLNALNRRRIRSGGSTVGAWDSSNAEAFIRYIVEKGYNIYGWELGNELCGGRVGTRVAPDQYASNTIALRNKVQEIYKDVANKPIVLVPGGFFDVNWFTDFLCRTNNAVDLYWNRLPFFFYLNQVVKIICLTYLCAYNLLKKMNFCLFSLT >CDP15861 pep chromosome:AUK_PRJEB4211_v1:5:22359468:22361987:1 gene:GSCOC_T00016757001 transcript:CDP15861 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPPYHRSDPKSRTKSASRLSKLRYYNEQNEVTTPNLSLEIVPSSPTMASPSPSKSPYGLPLHELLLLSPSPLRRSKTRLADRLEMADDAAAAEGNGARKRFKNRNASLGLLAHASPRNNRRSRRRLEQDMREEKDFGGGEDMVVKPRKKRHSGRSKKDKLSLVPSIPSPKTNDEYECNLDGVRQVISDLIMWKDVAKSSLWFGFGSLCFVSSCFTSGINVSIFSVMSQLGLLFLGLSFFTNSVRQRDGIGNNREFKLKDEDILRVGRLILPAANLAISKTRELFSGEPAMTLKVVPFLLLGAEYGHLLTLRRLCALGFFISFTCPKLYSSYSVQICRKVDYLQSWMLERWRACSHKKIVAASAVTAFWNLTSIRIRIFAAFICLVIVRYSRQHQHLEAKVEEEVEAAKEPEQEEELQRAMIVVENEPMK >CDP10815 pep chromosome:AUK_PRJEB4211_v1:5:18652720:18653601:1 gene:GSCOC_T00031701001 transcript:CDP10815 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFRSISIDIKGRTAWVGAGATVGEAYNSIYEANSNLGFPAAYCPTVGIGGHISGGGYGPLVRQFGLATDNVIDANGRVLDRKSMGEDLFWAIRGGDGASFAVILGYKLKLVEIPEKVTAFSINRTWEQNATQLLYKWQYIAPKLPLNLVITPQIVSINSNQTGKRTVQVTFVSVFRGKVDELLSIMNQQFPELGLKKEDCTEMLWIQYFAYAGGLPTSNIKEFLTSRVSSTKLYYNAKSDFVKEPIPEKEIEEILRKLNELPPFVGMLEWNHFGGGVMETIPESSLICFVS >CDP14746 pep chromosome:AUK_PRJEB4211_v1:5:21613962:21617203:1 gene:GSCOC_T00042191001 transcript:CDP14746 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEKGSMQSNLECFLDCITPVVPSQFLSKSETRNLNRLWQPWDREKVEYFTLSDLWNCYDEWSAYGAGVPISLDNGETLVQYYVPYLSAIQIFTSNSSANCLREDTYSVCETRDSYSDSFSDESESEKLSRWDGCSSEEGVSEHDSLWHGNERLGYLYLQYFERSTPYGRVPLMDKISALAQRYPGLMSLRSVDLSPASWMSVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDTDLEDDMVSFVKKRKEGEGIPLPPFGLSTYKMQGSVWVSDRSGRDQERLVSLLSVADSWLKQLGVQHHDFNYFTGIRRG >CDP15977 pep chromosome:AUK_PRJEB4211_v1:5:23267930:23269410:-1 gene:GSCOC_T00016910001 transcript:CDP15977 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAYSPIAVFSSCVLLLILVLTWKAFDWVWLTPKKLEKRLKEQGLGGNPCKLLYEDFKETSTLFEEAHSKPVNLSEDFVPRVIPHFCKAVKKYGKNTCVWLGPHPMVVIMNPEHTREITTKLYIFQKPHANPLIKLLAQGLVSYDGDKWAKHRKLIAPAFHVEKLKIYKVTLSYQKPWKL >CDP15985 pep chromosome:AUK_PRJEB4211_v1:5:23334070:23336815:-1 gene:GSCOC_T00016921001 transcript:CDP15985 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAHSFMIALVSSCCVVLSVLAWRVLNWAWFQPKKLQKHLKQQGFKGNPYKLIYGDLKQISSLFQEANSKPISLSDGIVPRIAPHFLEAVNKYGKNTYLWSGPRPMMLIMDPELIRKVTQKMDIFQKVKFHPQASLLVAGILGYEGEKWVKQRKLLNQAFHMEKLKLMVPAFYKSACEMLSKWEEMISVKGSFEVDVWPTIQTMTSDAISRTAFGSRYEEGRKIFELQREQAGHLVLATRSIYIPGSRFLPTKRNRRMKQIAKEVEGSIREIINARLKALRAGEAIDTDMLGLLLATSQETGGESGMTTGEIIQECKLFYVAGQETTAVLLVWTMILLSMHPDWQERAREEVLQHFGTNMPNFDGLNQLKIVTMILHEVLRLYPPISNIGRTVAEETKIGNLTIPAGQRLALPVILLHHDPEIWGEDVKEFKPERFADGVSSATKGQIVYFPFGWGPRICIGANFALLEAKLALAMILQRFSFELSPSYSHAPHQGIALQPQFGAPLVLHKL >CDP06022 pep chromosome:AUK_PRJEB4211_v1:5:25269438:25269815:1 gene:GSCOC_T00021368001 transcript:CDP06022 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPVPVGEEVGPKLVRFLYFIGAGFVCTAAINKWRDLERKANIQKQQKELLENPSPNSHGVQSAVE >CDP05833 pep chromosome:AUK_PRJEB4211_v1:5:26627401:26630583:1 gene:GSCOC_T00021127001 transcript:CDP05833 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVYIVYYSMYGHVEKLAEAIKKGASSVEGVEAKMWQVAETLPQEVLSKMSAPPKSDVPIISPHQLSEADGFVFGFPTRFGMMAAQFKAFLDATGGQWRTQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAGMFEMEQVKGGSPYGAGTFAGDGSRQPSELELEQAFHQGKYIATIAKKLKGAA >CDP14722 pep chromosome:AUK_PRJEB4211_v1:5:21331208:21332481:-1 gene:GSCOC_T00042146001 transcript:CDP14722 gene_biotype:protein_coding transcript_biotype:protein_coding MLECEHDHGDATRDDTIMMPVTNTTLATSDSVLETSALHVIRTSILTNIQEKHLSYSNSAFILTFLLSFTTGALPIFLQERIILMRETSSGSYRISSYVIAKRRISLIILLHSFCNYLLFTTPLHWLVGLRRKIDGFLYFCLVAWMVLSKGNSFAAAFISGMSLIAGIMVVMLAFIVGYRLPCYLTLWCRSCRTRS >CDP14418 pep chromosome:AUK_PRJEB4211_v1:5:6185257:6186990:1 gene:GSCOC_T00040831001 transcript:CDP14418 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAELKGAMAWKNTGAFVLHLLNSRWFMVFATLLIMSMSGATYMFGIYSGDIKSSLGYDQTTLNLVSFFKDVGANIGIVAGLVNEVAPPWLVLSCGAIMNFFGYFMIWLVVTHRIAKPPVWQIYLYICIGANSQTFAGVVALVSCVKNCPESRGVVLGILKGFIGLSGAIITQLYLAFYGHNSKSLILLVAWLPAAVSILFLRTIRIMKVVQQANEHRIFQNFLYSSLSLAGFLMIMIIMQNWLSFTRFEYAASGSVVLLLLIFTNFLVVVREEFDLWKSKKQVLDDHPPSMVELTPVEAVPKPQNHEVQVSCFSNMFTQPKRGEDHTILQGIFNIDMLILFTVSAFGIGGTLVAIDNLGQIGKSLGYQTKAIATFVTLVSIWNYLGRVAAGFASEILLAKYKFPRPLMMALVLLVSCAGHLLIAFGAPNSLYLASLIVGFCFGAQWTLIFSIVSELFGLKYYSTLISIAAGATPLGNYVLNVRVAGHLYDMEALNQMTAKGLTRKEGEGLTCSGVECYKLSFLIVTAATFFGSVLSLVLSYRTRNFYKGDIYLKFRDQAQVEDAEKLSVTNGPHS >CDP10807 pep chromosome:AUK_PRJEB4211_v1:5:18450517:18454287:1 gene:GSCOC_T00031688001 transcript:CDP10807 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSITCITSILDDLQALENDYPEFPNWPQKYLRRMRHMLRYLRTFLLCARKYSNGDVQLLFDNKKNQANNHHASLEALAVRIGEAIPKWAKEIQSSDQPWKVVHDLEKDMESFEQEICEWYVFFLGSSSRQSSNSVVRKYDLMEFMDSLLENLVNYLPRSRLAHQVGLIKALEEKLAFMKNFIRFLTLHGVENTELGPLLVHTEAVAINAAGLSYKFQFKKGFGSPKDIKESISELPQKIIPVEPQVLETCIQALIASKLSRQSYGDTDERILRDFYHSLLCNLWEKLKHGTCPVILRQLQMFYEGLNSLRTILKEKPKEFDEKVRDPTRVVKCYGGDFISPLSLNAIKDAIQAKDMDIVCSELLEIIKLIDAVITEKCPESSSFTFPTTNGLGFVDSLLEKMMDVTSSEAGSIALIDHPIQKVQEVLVCLRSLLWKIVELQNEDEEVQAIWNRIVGVAYRIELFIDSLITGNILDSSSMSIHSILEEMNIIKAAALKICDSERLGGKVKEVTKRFNHMPQEGSKPIVNDVVVGFEDETASIINGLRYGSRQVKIVSIVGMPGCGKTTLARKVYNDSSVNSHFQERAWCTVSQIYQKRNLLLQILTCIEPKLPEDVFKMGEEDLALQVKRRLLKNRYLVVLDDVWDIDAWNGLEASFPDDGNGSRVILTSRLRGVAPQAKLDDEPYSLPQLTPNQTWDLLKGKLYPGQDLAPPELCEIRQQVVEMCQGLPLTVVILAGILSRMDRYGWKEAVEGLSSRNVSSTEQCTATLELSYKHLPDNLKACFLYFGAFPEDHEHNTRRLISLWVAEGFVQKTHLKRSEDVANDYLMELISRSLVIVSKPRSIDGVKACRIHDLLYEFCVTKAKEEKLLQRVRRYDDLSAFTVPCYLRRLCIIDSKLEHFDNLRLFSPAIRSLLLFSHDEDSIGFDLRFIFHIIKLVRVLDLSQIGLDPFPREVELLVHLRYLAILGRGKISLPSSVCNLPNLETLIWRNSSTHRSVSLPDTIWNLKKLRHLQLIDEVDKHYCFFFPRDNLDNSSQLRDLDFLSCLSLDPEENISKLLRKFPNIRKLRCSVNLKPDVQYHVAMNCLSQLESLSLSCVIYGGDRYQLDFQFPLTIKKLTLSYFRLPWSKMAAIGNLPNLEVLKLLKQAFEGEIWEMEVEKFPKVRFLKLASLNIVKWTASSEYEYEDQDYFPRLQKLVLESCDALQEIPSCLGNSSTLEIIEVSKCPSCTSSLEEIQEEQRSNGNTDLKILIS >CDP05914 pep chromosome:AUK_PRJEB4211_v1:5:26034680:26035682:-1 gene:GSCOC_T00021232001 transcript:CDP05914 gene_biotype:protein_coding transcript_biotype:protein_coding MLICPSFFYRPKIYTESFILVQYYLKCSIKRKVEHTHTHIHTYIYSREIHRGGVTFHRSKGKSLIRIVILQLPTSTDIFVANNNTIAG >CDP13784 pep chromosome:AUK_PRJEB4211_v1:5:27589424:27589705:1 gene:GSCOC_T00038838001 transcript:CDP13784 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFHRCSHWTREISQLYREISRLCLSLVCQQVQREFHLPAGDFPNVEHFREVLSGYSIDKFEKLKPKMIQSVDDMLGYDIPELLKNFRNPYD >CDP05750 pep chromosome:AUK_PRJEB4211_v1:5:27231238:27239342:-1 gene:GSCOC_T00021022001 transcript:CDP05750 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNGGLLPEEQNGASTSANNALVFITMSIIGFPVDVHVKDGSIYSGTFHTASIDDAYGIVLKKARMIKKGNRQTNLADGSLIETLIILSEDLVQVVAKELMLPAEGISGKVGAAYVVATAGTLPQNVREEREANVTNSKEPNLDRKHDTHARFVRPYKNSFQNGSVHNNSVPIANGKSDCRNLVKGGEAFTVSPNMRQVGDSSEEKRSDLVQEQVLHGEETTDQVEGSRSSLDAHSKDMNAGTNKGESPNLMSFERSTVAKLDDPSNLRHTLDKAQETTALSSKVCADASSGFGVASDCNLSLSSASTKVVLPKVANHGLTTKESKLNPRAKLFSPSPLQHRSATPPAVPSRTPEPPPTVSNAPADPEADLSSFAYCSSVPAKFLTRNNLFLGNGWSDLQYVQPVVGHVGSRTPPVRYASQYNHLQAGTTYLHQNSQNMTGRAGPLFYALPVSNDATQGVAGYSQLSSHPLLMPHQANLPKNQGIATMQALQLYVTPFLANGQQPYAVPSYIPISPPFFPSMGSIPVPGSDGSLSAKFVCQS >CDP05799 pep chromosome:AUK_PRJEB4211_v1:5:26895241:26901802:-1 gene:GSCOC_T00021088001 transcript:CDP05799 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNNRRGARGRNADRMRQDQEDEALLLMSASLMLMHPSLAHVDNNQPLPQHDGSFTDRQWVERVLYGHHRRSIDNMRITVDNFLLLSNILVERQYVPHNYQQRVPIQEAHAMSLMLVSHKHTHRVLGGIFDRSIETINRNIKKVLRGLCLFAAEIIRPGDQTAVHPRIANSTNFYPWFKPHKCLIKLLGASISNNHIYLVYKCINGSNLSTCLRNPRNPDFTFLSTWMSRMQIAMDLAYGLNYIHTAARFSISLVQQYATSSGIIITEPYLNARICHFRAAELCSEMERYEGGEITKEELTELRRLGNGGRICPTHLFVTSPILANTVFSRTWTWRKYNTLTAGPLAHKYLAKKRVGKKKLRRTPTLYAFQQTFAGHLLMPATKLKNLGNLFRSASKFKTTTATATSAEDVALKKYVSSINVSTSETIRKQLLNYKAPQSDISSSSSIDTASFLKPHGDIGGIGNLKLLSAADNDESARLLSEEISAILRGERDYYVDGSCDSASDSEESFSNVLHDPWISRSAENNISLRRKEISRERKQKWIFSSSQKNRFDRLTTMCGEKLGPDATIQILGKLGRGTGLKEFNALIRLCIETARKTDDEEVSLQQIFKAYKIFEWMKELGFPIGEITYGPFLRYLIDFGLVPEFHFFCELIRDENSLPTLTYYEMLLYIGVNDEEKIQELCYNLALYDGNDKSSFQQSYLLAFCESNRKKELLPLLEAFDIKKVSSMEHLVNIFRSLGKLSLDLFAEKFLLALKMDEIGAEKISNFIYNYVTRIPNLAVEDVLVKFKTLLAKLELMPLSEHYEKFIQYCCELLKVHDALNIVDEMLKVGFALSLDTFHSLLDACEESREYNLVRRLYSMISCHDLKPSTETFRRMINLSVKMKDFEGAYGMIVDLDKLNLMPTSNIYNAIMAGYFRDKNINGALMVLKQMKDADVKPDSQTYSYLLVNCDSEEDIDKIVEEMKQSGVLITKIVFMSLINAYVSCGQFEKAKQVMLDKRIPAKSLNEVKSVLVSALASHGQMSDALNLYEEIKQAEGRLEPKAVISLIEHLQSEGQLDRLLQLLKELDNPDYWFDGCFRVVLYCIRHEHLTSAVDLLRQLKQSVISDDAATENVFDEVFCQIAEKEPTDMHFGLAMLQAVKDLDLRPSRKSLDFLLTACVSAKDLESCFLIWKEYKAAGLPYNVLSFVRMYQALLALGDHKSAQSILNKIPRDDPHVSRVIQECQATFTGSVPSKGKKKKKKKKKNVKMRRVLQPTLLALSVLHLVNNRQKSKTKRAPLLYRMFSIRTNTKLHDTFNSR >CDP15955 pep chromosome:AUK_PRJEB4211_v1:5:23154842:23156538:1 gene:GSCOC_T00016880001 transcript:CDP15955 gene_biotype:protein_coding transcript_biotype:protein_coding MKSITCSNWLKLSVFMTLLLATSAGNFYQDAVTTFGDQRVKIAEGGRLLSLSLDKSSGSGFQSKNEYLFGRFDMQLKLVPGNSAGTVTTFYLSSVGQGHDEIDFEFLGNASGQPYTLHTNVYSQGKGDKEQQFKLWFDPTTFFHTYTIVWNSQRIIFLVDNIPIRVYNNHEAIGVPFPKNQPMRVYCSFWNADDWATQGGQVKTDWANAPFTVYYRNFNVKGDVCVPGSPCGSGSKSTDSLNTQEWETQGLDGKGRNRIRWVQQKHMIYNYCADRKRYPQGLPVECKRPRF >CDP13744 pep chromosome:AUK_PRJEB4211_v1:5:27856559:27858563:-1 gene:GSCOC_T00038792001 transcript:CDP13744 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAVVSGGFIRSSNQPTTRTRFPTTTLSQPISLAPSGNTTSITTSHESLKIKKSKPRISALAEVSSFTSDPARAVVTWQIVVGALAGITPFMVAGIEFGKRIVKQRRCGECKGSGLVLRTDQQYVRCPACGGWLPWQSWRRFFTG >CDP15911 pep chromosome:AUK_PRJEB4211_v1:5:22837308:22843014:-1 gene:GSCOC_T00016823001 transcript:CDP15911 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL40 [Source:Projected from Arabidopsis thaliana (AT2G31110) UniProtKB/TrEMBL;Acc:A0A178VPV0] MRFLFIPSVLLLFLCSCQTAKADDEIDNFLNAANISTTSSVGATMLASGCNIFRGKWVYDAQYPLYDYASCPFIDTEFNCLLYKRPDKLYLKYRWQPFSCNLPRFNGLYFLEKWRGKNIMFVGDSLSFNMWASLGCMIHSWAPKARYSLITKEVLSEIAFLDYNIRILLYRTPYLVDMVKEKEGVVLKLDSIRDGDAWRGMDLLIFNSWHWWTHTGRSQPWDYIQDGVKVQKDMNRLIAYYIGMTTWARWVDRNVDPSKTKVIFQGISPTHYLGREWNAPSRSCAGETQPYFGARYPAGTPLASIILNKVLSRIKKPVYLLDITTLSQYRKDAHPTYYSENKGLDCSHWCLPGLPDTWNELLYAALFG >CDP11853 pep chromosome:AUK_PRJEB4211_v1:5:20131317:20134076:1 gene:GSCOC_T00035120001 transcript:CDP11853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G03210) UniProtKB/TrEMBL;Acc:C0SVH2] MVDLVSSSSSKFDELFQASWANDHFVYEGDEVLKMKLDYNSGAGFRSKSKYMYGKVTVQIKLVEGDSAGTVTAFYMSSDGPTHNEFDYEFLGNTTGEPYSVQTNLYINGVGNREQRLKLWFDPTTDFHAYSILWTPRKVLFLVDETPIREHSNQEDKGIPFPKDQPMGVYSSIWNADDWATQGGRVKTDWSHAPFVASYTGFEIDSCVVPATVAAADYSKQCSSSNEKKYWWDEPTIGELSIHQGHQLIWVRANHMFYDYCTDTARFPVAPLECQHHQHRH >CDP15899 pep chromosome:AUK_PRJEB4211_v1:5:22707627:22711257:1 gene:GSCOC_T00016806001 transcript:CDP15899 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKAPNMAPNWPDFSHQKIHTLDNLGGANAATNHNPLWVDEFLDFSSAKRGFHRRSISDSIAFLEVPMVEECRRSTIIPGSGAASEFEKFDDEQLMSMFTDDIPTSIDPKMSCSNPSSPSDHNSINEDKLNPSDLQLQQLKSEPEEVQSSCKSDGQLAANNETMDNSSDKIVDPKRIKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLVLNVDNSVLKQRIAALAQDKIFKDAHQEALKREIERLRQVFYQQNLKKMENATPPEPKRQPATAGNASAEKEQLVN >CDP18745 pep chromosome:AUK_PRJEB4211_v1:5:23597790:23607297:1 gene:GSCOC_T00002679001 transcript:CDP18745 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKMLPFLFLICSFQLVFFANSAFAATPATLLRQEVLVLKEIAKGLGKTDWDFSIDPCSGKGNWSLPILVKGIESSVTCDCSFNKNSSCHITGIALKAQNLSGTVPPGFAKLQYLAHLDLSRNNLHGSIPPQWASMKLVELSLMGNRLSGPFPVALTRITTLVNVSIEGNLFSGHIPPEIGNLVNLQKIILSSNSFTGELPVALSKLSNLTDLRVSDNNFTGKIPDFIGSWKKIEKLLMQGCYLEGPIPSSISALTKLTDLRISDLKGKGSPFPDLSNMESMKTLTLRQCSIYGEIPKYIGDMQKLKNLDLSFNNLTGEIPATFVQLSKVDFMYLTGNRLTGPIPDWILSRNKNVDVSNNSFTWESSSPIECPRGSVNLAESYSSKNKFPSRVHSCLMQNYPCPEPRDQQHYSLHINCGGKDFIATNNTKFEADLEARGASMFYAGQNWAFSSTGNFMDNDIDSDIYIHTNTSALQNVSSAESQLYTTARVSPLSLTYYGQCLINGNYTVKLHFAEIIFTNDSTFNSQGKRIFDVYLQENLVLKDFNIENEAGGPGKPIVKTITATVTSHTLKIHFYWAGRGTTGIPVRGVYGPLISAISVDPNFEPPSTHRRKIEAVTVLGVVAGALLAVILILAILRRKGYLGGKISAEKELRGLDLQTGIFTLSQIKAATKNFDPANKIGEGGFGSVYKGQLSDGTVIAVKQLSSKSKQGNREFVTEIGMISALQHPNLVKLYGCCVEGNHLMLIYEYMANNCVSRALFGKDSASKIKLDWPTRRKICLDVARGLAYLHEESRLKIVHRDIKTSNILLDKDLNAKISDFGLAKLNEDDCSHISTRIAGTIGYMAPEYAMRGYLTAKADVYSYGVVALEIVSGKSNTNYRPKEECVYLLDEAYVLQERGSLLELVDPDLGSEYSSEEATLMLNVALLCTNASPTLRPTMSQVVSMLEGQTDVQDILSEPGFSTAPSRFKNVRSHFWQNASPSQTQSISSSGPHSYSSRSNADIEENRTFQRVSNSEHIE >CDP05718 pep chromosome:AUK_PRJEB4211_v1:5:10075739:10077096:-1 gene:GSCOC_T00020967001 transcript:CDP05718 gene_biotype:protein_coding transcript_biotype:protein_coding MCAADVPLSVTGPYESIGSSDAIQKRHFISSFYVSPSTDLQPLATSHTRSPLMPFTYNDLSNFSGCCMLNFSSAESTSSVIATGCRSSLAPYLANVFCCPKYEASLVVLLGQSSNHSGMLALNETHASANEDL >CDP19580 pep chromosome:AUK_PRJEB4211_v1:5:18704865:18707402:-1 gene:GSCOC_T00007008001 transcript:CDP19580 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAINVKQQKHFVLVHGAGHGAWCWYKLKPLLESAGQRVTAIDLSAAGINPKRLDELYTLEDYSLPLLELMASIPPTEKVVLVGHSYGGFNLALAMEKYPEKISIAILSKGEGQQKEYQFILVEFSAQYPEDLLLDTEISYYGTPEKPRKSMHFGPIFLSTKLYQLCSAEDLELAKMLAMPASNFLEDLAKAKPFSPERYGSVKRAYIVCKEDKICPLDFQRWLIQNIGVTEVKEIKDADHMVMLSKPQELCQNLLEIASNYI >CDP05759 pep chromosome:AUK_PRJEB4211_v1:5:27162800:27167939:-1 gene:GSCOC_T00021035001 transcript:CDP05759 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCFSQEKPTVSKANGGVDGMYIPGTQQKPVQHTKSPAPNSQMPFKPPPSPRPVHRPDTILGKPYEDIKLHYTLGKELGRGQFGVTYLCTDIETGRQYACKSISKKKLVTKGDKEDMRREIQIMQHLSGQPNIVEFKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYSEKAAASICRAIVNVVHVCHFMGVMHRDLKPENFLLSDKCENAALKATDFGLSVFIEEGKVYKDVAGSAYYVAPEVLRRRYGKEADIWSAGVMLYILLSGVPPFWAETEKGIFDAVLRGHIDFDSEPWPSISSSAKDLVRKMLTQDPKKRITAAQVLEHPWMREGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAESLSAEEIQGLKAMFQNMDTDNSGTITYEELKSGLARLGSKLTEAEVKQLMEAADVDGNGTIDYIEFITATMHKHKLEREENLYTAFQYFDKDNSGFITRDELETAMKDYGMGDPATIKEIISEVDTDNDGRINYEEFCTMMRSGTKQPNKLF >CDP16367 pep chromosome:AUK_PRJEB4211_v1:5:2877299:2882180:1 gene:GSCOC_T00018202001 transcript:CDP16367 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCI1 [Source:Projected from Arabidopsis thaliana (AT1G79200) UniProtKB/TrEMBL;Acc:A0A178WDT9] MARDRKSKEEEEIVAERKDKRKRTSWSSSPDAHHRQGDELKKRKKHASSGGEEEASKRRRREKKDKSKKSKRHHKSHHPKSSKPRHSSHAKEKKSGDKHADTRHKHAKFKHQELSSDDYFSKNNEFAAWLKEEKHLFFSDLSSESARDLFSEFVVRWNDSELEPRYYEGIATGPRTSHKWNIKK >CDP14779 pep chromosome:AUK_PRJEB4211_v1:5:21842890:21844205:1 gene:GSCOC_T00042234001 transcript:CDP14779 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPSLMLFLFFLLVGLASSSYISNHALEPSGLRGRTLLQAKASCPVNFEAQNYTIITSQCKGPNYSPKLCCDAFKEFACPFADQVNDMKNDCASTMFSYINMYGHYPPGLFANFCKEGAQGLECASAEAESPKKNGALKTAQSTVLVLAAGFLTFLLNAI >CDP05880 pep chromosome:AUK_PRJEB4211_v1:5:26288286:26292748:-1 gene:GSCOC_T00021191001 transcript:CDP05880 gene_biotype:protein_coding transcript_biotype:protein_coding MKITASITYYYFFCFLLVILLISAKAIAEEGNGNAEQPAEVKKSDFPDGFLFGVSTSSYQIEGAILDDGKSLSNWDVFVRKRGSVNNGDTADIAADHYHRYLEDIEIIHSLGVDAYRFSISWARILPRGKLGDVNPAGIMFYNKIIDNLLIRGIKPFVTIHHYDFPQELLDRYGGWLSPFMQDDYVRFAETCFKYFGDRVRYWITMNEANLLSELAYEKGIYPPGHCSPPFGNCSAGNSDIEPLIAMHNMLLAHSKAVKLYREQFQASEHKQGGMMGVAVSAMMYEPLSDDELDKEAANRALAFSLAWGLDPLVYGDYPPEMRRYHGKELPTFTSEEKQLLSNSTDFIGLNHYATVYAKDCIYSNCMCSNTTCLPGENRAIKGFVSTITERDGVPIGEPTGMFQTFIVPRGIKEIVDYAKKRYPDKPVFIVENGYCPPWEHGEVDDLQHDTKRVEYHKAYIASLAQAIRDGADVRGYFIWTLMDAFEWSSGYDLKFGLYSFDRVTLNRIPRLSANWYRDFLRKTSLKDLESRSAIPAINQDGLVPNVKDGSAEMA >CDP16230 pep chromosome:AUK_PRJEB4211_v1:5:15641268:15641947:-1 gene:GSCOC_T00017354001 transcript:CDP16230 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVAVVSALCFLALASLAHAQEAFTVKGRVYCDPCRVEFQTSLSKSIEGAEVELQCRVRENETVTVSQKAKTDAIGNYELSVQGDHEEEICEVVSVSSPSQECNVPFGENKARVLLTQNNGVQGTDRYANALGYKTAEANPDCKAVLQEMGYIPDEDQI >CDP14762 pep chromosome:AUK_PRJEB4211_v1:5:21714518:21716190:-1 gene:GSCOC_T00042212001 transcript:CDP14762 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVANGGFQTVALDFRGYGLSQVPAEPEEKTFKDLVDDLLEILDSFELQKVFLVGKDFVAVVGFYVMRWWVRTRRAEKDCRFDTKTVVKNIYILFTGSELQVAKEEQEITDLVDPSTPLPSWLAEEDLMNYANLYERFGFHTALQNKCSFPAGNGRKDYALKLAKIEDCITCGKVKDSVPDLEIIFLPEGNHFVQEQFSEKANKLLVTFLNKHE >CDP05798 pep chromosome:AUK_PRJEB4211_v1:5:26907336:26912114:1 gene:GSCOC_T00021087001 transcript:CDP05798 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNNGMFRYADGVDKLLMTFGTLGSIGDGLQIPLMMFVLSDVINTYGNPSGALSFDTVNEFALRLLYVAIGVGLSAFVEGLCWARTAERQTSRMRLEYLKSVLRQDVGFFDTQAADSSTTFQVVTTISADSNTIQVTIGEKIPDCLAYMGSFFFCLIFSFILSWKITLAALPLSLTFIVPGLGFGTLMMNVGMKMIESYGVAGGIAEQAISSIRTVYSYVAENQTLVKFSNALENTMQLGIKQGFARSLMLGSMGGIYISWAFQAWAGSLLVSKRGEKGGDVFVAGFNVLMGGLNILTALPNLTAITEAKAAAIRITEMIDRQPTIDNEDKKGKALSYVRGEIEFKGVYFSYPSRPDTPVLQGLNLVFPAGKTVGLVGGSGSGKSTIVSLLQRFYDPIEGEIFLDGYKIKRLHLKWLRSQMGLVNQEPVLFATSIKENILFGRDGASMEDMQTAAKAANAHDFIIKLPDAYDTQVGQFGVQLSGGQRQRIAIARALLRDPKILLLDEATSALDTQSENIVQQAVDNAAMGRTAIVIAHRLSTIRMADRIVVLQSGKVVESGTHNELMQMNGENGGEYFRMVQLQQQTMQNEADNSFNYQSDWKSPYKRTVPPSPLSVRSSAPGTPALYALSPGFSRSAPYSTPYSIQFDDYDSEDEEFDHSASPAPSQWRLLKMNAPEWGRALLGCMGAIGSGAVQPVNAYCVGALISVYFRPDRPSITSHARNYSYVFVGLGVFNFFTNVLQHYNFAVMGEKLTKRVREKLLEKLMTFEIGWFDRDENTSAAICARISTEANMVRSLVGDRMSLLAQAFFGATFAYALGLVLTWRLALVMMAAQPLVIGSFYARSVLMKSMSAKAQIAQKEGSQLASEAVINHRTITAFSSQKKILGLFQATLEGPRKESIRQSWFAGFGLFSSQFLAAASTALAFWYGGRLLTNGQISPERLFQAFLALLFTAYTIAEAGSMTKDISRGSNAVRSVFAILDRKAEIDPNDSQGHDATKTSIRGRVELKHVHFAYLSRPETLILKGLSLKISAGTTVALVGQSGCGKSTILGLIERFYDPLKGSVCIDERDIKDFNLRTLRTQIALVSQEPTLFAGTIYENIAYGKKDARESEIRKAAMLANAHEFISGMKDGYETYCGERGVQLSGGQKQRIALARAILKNPRILLLDEATSALDSVSESLVQEALERMTARRTCIVVAHRLSTIQKANSIVVIKDGKVAEEGSHSDLLSLGRNGAYYALVKTQGSNSPYR >CDP06141 pep chromosome:AUK_PRJEB4211_v1:5:24266625:24269159:-1 gene:GSCOC_T00021533001 transcript:CDP06141 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQEKRAGQTSDSICKDSNAASTGKASKQNDKRKEENAEATCFRKLSRNSASHHRASLDQFEKIHACGKLRKLNKPAPQCSMILCPNARRKSLPWTDAEEEMLKEGVEKFSTDRNKNIPWRKILEFGRHVFDGSRTPVDLKDKWRNILGKVR >CDP15879 pep chromosome:AUK_PRJEB4211_v1:5:22513502:22519988:1 gene:GSCOC_T00016783001 transcript:CDP15879 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKALAKSKRAHSLHHSKKHHSSPTSKATPSSATSSSGKKPTNKQARDKPYQSQSSKALPTNWDRYEEEYGSGSEDSPQVSTGQASDVVVPKSKGADYAYLISEAKAQSQANSSSESFSLFDDFLDGFNQGLGSLLSVRGEHLLSRISNDVFPFDDKGTSSHEASFLSLNLHSLAEQLSKANLAERLFIEPDLLPPEMCTELDANNEKNPDELQATGSTEATESEFAGQPSSIISKENRNILLSQEYMSSNSSRVSQFSVPTSTDHRADDLKEISRSTSVKLTSGVSIDSSSEKPSRFEAAKAEAELDMLLDSFGETKFFDSKGSTFQSVSVAAQHVREGPDATYSGRMDAALDDSLDDILKDTSHLINTKAVSPLNEVKAASNEGPTASQPHSKSKILDDFDSWLDTI >CDP15903 pep chromosome:AUK_PRJEB4211_v1:5:22729494:22731551:1 gene:GSCOC_T00016812001 transcript:CDP15903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata callose-binding protein 5 [Source:Projected from Arabidopsis thaliana (AT3G58100) UniProtKB/TrEMBL;Acc:A0A1I9LSI7] MALALELLYIAALSFITSATICAAQNGGDSSSGAAVELWCVAKNNAEDAALQNALDWACGQGGADCSAIQQGGPCYDSSDIQKTASFAFNDYFLKHGLTQDSCNFDNTAALTSLNPSHGSCKFPSSKTAGSENFTGSVTGGLGPSAADISSSNSFLERGWIWSLVIIHLVYAIMRFL >CDP13778 pep chromosome:AUK_PRJEB4211_v1:5:27627094:27629902:1 gene:GSCOC_T00038831001 transcript:CDP13778 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEDNVVVPHKKLEKLLSMKGGKGEASYVNNSQAQAQHARSMLHLLKDTLDVVQLNSPETPFAVADLGCSCGSNTLFIVDVIIKHMIKRYEALGYDEPPEFSAFFSDLPSNDFNTLFQLLPPYGGSMEECLASDSHRSYFAAGVPGSFYRRLFPARSVDFFYSAFSLHWLSQVPDAVVDNRSAAYNKGRIFIHGASEITADAYRKQFQTDLAGFLRSRSLEMKRGGSMFLACLGKTSLDPTDQGGAGLLFGADFQDAWDDLVREGLITSEKRDKFNIPVYAPSLQDFKEVVEADGSFVINKLEVFKGGSPLVVNHPDDAAEVGRALANSCRSISGVLVDAHLGDQLSEELFSRVQRRATSHAKDILEHLQFFHIIASLSLAI >CDP13550 pep chromosome:AUK_PRJEB4211_v1:5:29133796:29134516:1 gene:GSCOC_T00038538001 transcript:CDP13550 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDQSPKFGNFLLSFPRTTPEYSSTTQYRIQPTLCKISE >CDP11873 pep chromosome:AUK_PRJEB4211_v1:5:20353520:20355890:1 gene:GSCOC_T00035147001 transcript:CDP11873 gene_biotype:protein_coding transcript_biotype:protein_coding MESGIISVDRWSQGSQAYFLTHLHADHTRGLTPTWKWGPLFCSLITAKLFPLKFPQFKLSLLRVLDLGHWYTLPLFSPSSGQPITVHVMAIDAHHCPGAVMYLFRGEFGCMLYTGDFRWERTSRRAQIARNMLLNALKQEKLDSLYLDNTYCNPLYSFPSREVAARQVVNIISSHPNHDIVIGVDSLGKEDLLHYVSQVLKIKIWVWPERLQTMHLLGFQDNFTTKTSLTRVRAIPRYSFSIETLEGLNMMRPTIGIMPSGLPWAKEIFKGKGSAFGPSPSHSVTCTNRKKPNGSLAGGQIYNQYIYTVPYSEHSCFAEIKEFVQLLQPASIKGIVASSPSYVEPLYYFGKFCGKKQESSMLYQKLWSEERVERVETIQIKSATKTTNSNLQGKKRRKKQVGLLVSHVNRVSLLRRLRRGIKITDSDFPAYEDNI >CDP06075 pep chromosome:AUK_PRJEB4211_v1:5:24859225:24864122:1 gene:GSCOC_T00021434001 transcript:CDP06075 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFNSNILVTLALLIIVTWAWKIASWLFFQPKRLEWHLRRQGLRGNPYRLWHGDLKDMKSSTLETQSKEINLHDDISSYAVPFDHHIIQKYGKKSFVWIGPIPRLNIMDPDMIRDILINYNTFHKPKGNFLLKLLVDGLAFEEGERWAKLRKILNPAFNLHKLKNMLPAIYLSCSEIVSKWETLISPTGSSEINVLPYLAYLSADVISRTAFGSSYEEGRRIFQLQKEQIQLILENSQSSYIPGWRFLPTKSNKKMKEINREIRAIVKDLISKREKKLKEGEMKTEDLLGMLLESNIKEIQESGNKKDAGITIDQVIEECKLFYFAGQETTSNLLAWTMVMLATHRNWPERAREEVFQVFGNNKPDFEGLNHLKVAIATCDYKVTMILNEVLRLYPPVSMVSRKTYETTKLGDVTLPPGVEHLLHITFVHHDTELWGDDAKEFNPERFSQGVAKAVQKPNSYFPFGLGPRICIGQNLATIEAKMAVAMILQRFLFELSPSYLHGPQIRLTLQPREAIEQHGEMVWRLKEIDLETRAILRDVMSSSEKRMRVGEKDAKDFNPERVANAAKKPPNSFLPFGLGPRIRIGQNFAMMEAKVAIALILQNFSFEVSPILCTCSSANSSTSVWSSNNSK >CDP11871 pep chromosome:AUK_PRJEB4211_v1:5:20342736:20348390:1 gene:GSCOC_T00035145001 transcript:CDP11871 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSCFNTHMQSHKQKKTVHLSAEAMQKNLEDCSQNKALQKFTSLTAENSSSKLKVERDTLSSFVDCPKDTCAVEADSKSEEVDRVCDISVPKAALIKKSKSLGSGLDMKGRDSGGSGSEDEIDQQFSGDGSPDNCGSLVPSRAKDSRTSLLNQFHETLPSDSVHATCDNIGSTFSMGDAPNMEKESHENSDAQLSGEHTPDREKESRENSDAQLSGEHTPRTPPVFVRSISLPNISSSGQPTVNHLPRSRSAEDLNVLDSRWRDILMHEVGTELLQHQARSDLVSTIHDKNACGNPADDNFVTYNYVGSAKDWIIPMGDEVNMDNHLSGNSSSRRWDELPSRDFKIKRIEEWVIDLQHCSPLEESNELPASEDHVVSTGKSSLNVPATSIEGKVNPGMEAVKKYISSLSPTATAAQLVNHGLVVIPFLSAFSSLKALNLSGNAIVRITAGSLPRGLHILNLSKNNISTIEGLRDLTRLRVLDLSYNRILRIGHGLAYCSSLKELYLAGNKISEVEGLHRLLKLNVLDLRFNKISTTKCLGQLAANYNSLQAISLEGNPAQKNVGDEQVKKYLQGLVPHLTYFNRQPIKVGTMKDTADRSARLGISAYQIDRGLKADAKAMRRGAHGAANKVASSSIHGRRGQATALSKPSKSRHARLPPSGTKPATQQNQVYDFSNKLLSFRSELSMRRSRSEGTIAAL >CDP16215 pep chromosome:AUK_PRJEB4211_v1:5:16065861:16072423:1 gene:GSCOC_T00017328001 transcript:CDP16215 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAKEAEMGSSIPPPTPTEGVTVDDDNDEINEQSTRKPTANSLKDRKLSWTKLRRVDSLNLEAGTVSGKHTGGGHGNRHLGWKTTLSLAFQSIGIVYGDIGTSPLYVYSSTFPDGIHNNDDLLGVLSLIIYTMTLLPLIKYVCIVLWANDNGNGGTFALYSLICRHAKVSLIPNEQPEDKEVSNYKLDIPSNQLRRAQKVKETLEGSKVAKVILVFLPILGTSMVIGDGILTPCISVLSAVSGISSLNQDAVVGISIAILIVLFSVQRFGTDKVGFSFAPAICLWFLCVGLTGVYNLFKHDPGVLRAFNPKYIFDYFRRNGKKGWKSLGGVVLCITGTEAMFADLSHFSVRAIQISFSCVVFPSLLATYIGQAAYLSKFPENVRNAFYDSVPGNQAMISAAFAIISQALNLGCFPRVRVVHTSAKYEGQVYIPELNHFIMVACVIVTAAFRTTEQIGNAYGIAVVSVMVITSTLVTLIMTFIWKASIWWIALFFVVFFSTDTIYLSSVLSKFIEGGYLPITFSFFLMTTMAIWHFVYKERYMFELNNKVSSDYVKDLAKNPAIKRVPGIGLLYSELVQGIPPIFPHFISNIPSVHSVVVLVSIKSIPISKVPLEERFLFRQVEPRDYRVFRCVVRYGYNDRIEEPNVFEQQLVEHLKEFIRHEHFILEDAHGNSKRSSSRTVPVKESLPEVQQSAPRVSASSIQSFNAAKSTNSSTQIVAVSNHLGVEEELQIVQRAMDQGVFYLLGEAEVVAKQESSFLKKFVVNYAYNFLRKNVRQGEKRLEIPRTRLLKVGMVYEV >CDP05915 pep chromosome:AUK_PRJEB4211_v1:5:26031007:26033805:1 gene:GSCOC_T00021233001 transcript:CDP05915 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTHSPSFCQLTWFCALLLFFLFSFFRTTMASASEISYRDHCASIVPESTPTGRFSAQSPILHLATSYFKGGEQILGKKSTDQLFNSSDVYLSLYITENIYVTKTSGVYKVQARLRFRLPYQYRNYSGYGQWYHPRDVYRRRSLRFLLNGFFSEQSRKLCMVGKASWQSAEGKPRNLEAVFQFNHAKNNSTLLTSLARGTLKSLSSSNSPNYFEPIEIVSLPVLSDYNYTLASKGLGGGCQGGNDIPPDRSLSLLPRSICSRFLWRTYDFEVEYAAGCKSTSDCGPFKKNHAHLSLFAFQCSEDEEKLRYILAFDNEYHWHYQSFDPKTTLIGEGSWNSEKNQLCIVACRMLNSDKSLEDVRVGDCSVRLSIQFPLVWNITDTSSIVGLVWTNKTATDPGHFKVTSSNNNGESLPGLKYEYTQVGKARELCPRKEVVKKNGDNFPKGNSYDMRFDMSVKHSKEEIAWGNGLPIFVNSERYGENFVITEDSGIGEVEESTNIYSSQMNISYKITFSYINLKEQIASLNSSLNQWGQLVISAEGVYDADTGHLCMVGCREIHPLQSSEKSFDCEMIIDVEFPPLSSMVGSSINGVIQSRRAKTDSLYFEQLNISSSSYYTVQVQESIWRMDLEIIMVLISNTLACLFVASQLFYVKKHPEVLPFISVVMLSIITLGHMIPLVLNLEALFIKNQDQQNVILRGDGWLELNEVSVRLVSLVVFLLLLRLLQLAWTARTEGGDGNHLCAAEKKTAFVSLLLYAVGGLIAFLVELGKNGNGNGMPRSLYPAYNTTNPDQPPLMSEQSYTLRYLKSYAGLVLDGFLLPQILFNIFQNSREKALSYLFYIGTTLVRLVPHAYDLYRVHNYMRQDFYGSYIYANHSADFYSIAWDVIIPCGCIAFAVIIWLQQRFGGSCVLPQKIRELGLYEKVPVVSSE >CDP05772 pep chromosome:AUK_PRJEB4211_v1:5:27070080:27075596:1 gene:GSCOC_T00021052001 transcript:CDP05772 gene_biotype:protein_coding transcript_biotype:protein_coding MIELKQRILTSLSKLSDRDTHQIAVEDLEKIVHTLSNDGVVQGGAAMCMAKMVESASDPPTMAFQKLCPRICKYLNSPNFMAKAALLPVVSSLSQVGAVAPQSLESLVQSIHDCLSSSDWATRKAAAETLIVLALHSSSLVVEGANSTVTLLEACRFDKIKPVRDSVTEALQLWKKIAGKGDGASDEHKPSSHNGETSESAYPSDKDSRNPGERSELPVKDLSNNPSSNDAYLKDKGSNIMDKAVGILRKKAPALTDKELNPEFFQKLETRGSDDLPVEVVVPRRCPNSSNLQNEEEAVGKDSRERTRTSYQPDGGSLDFRYRNTEKGTSSYSSRERDTDETSDLNQRDLSGIQGGFSKSGGQSDSFSNNKGNWLAIQRQLLQLERQQAHLMNMLQDFMGGSHDSMVTLENRVRGLERVVEDMARDLSLSTSRRGASFMGGFEGSSNRSAGKYNAFADYTNAKLGSGSDGRIPFGDRFAPSDGRPSGNRGRGPPWRSDAPDAWDFQAYGKNGQMGSRRTLGGGPVDCRSPKSENDNDQVGSRRAWDRGAGPVRFGEGPSARSVWQASKDEATLEAIRVAGEDSGAARSARVAVPELTAEALGDDNVMQERDPIWNSWSNAMDALHVGDTDSAFAEVLSSGDDLLLVKLMDRSGPVLDQISSEVAIEVLHAIAQFLLEQDLYDISLSWVQQLVEITVENGTDVLGIPMDVKREILLNLHEASSAIDVPEDWEGATPEQLLFQLASAWEIDLKQLEK >CDP17847 pep chromosome:AUK_PRJEB4211_v1:5:1217682:1218379:-1 gene:GSCOC_T00013160001 transcript:CDP17847 gene_biotype:protein_coding transcript_biotype:protein_coding MSWELSVLNGNLDVCRRAVHVSVYDKNPEEHVTSTAVPDDVIQPQSEEYWAPHPQTGVFGPSTEQNPVACGERGLRTSDVNAPGDSVLEQKAFFRPLEDLEKPPPQP >CDP15745 pep chromosome:AUK_PRJEB4211_v1:5:5505096:5506091:1 gene:GSCOC_T00015782001 transcript:CDP15745 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAELVFVTMPAIGHLVSCVELAKLLIECDERLSITVLIMKLPFDPKVSSYTNSLLETPNLHIRYLELMKEEPSSQLSSFLSIFFRFIDNHKSCVRDVLAEISNSVSSHLGGIVIDMFCTSLIDVANEFGVPSYIFYPGGAATLGVLFQLQSLRDDLNEEVSYYENSDVELALPTYINPVPAKLLSSALFEKDGGVDMVLDQAQRYRKTKGIIINTFLELESHAIHALSNDKTIPPVYAVGPVLNLKGSNSQNQETEMIMKWLDLQPKCSVVFLCFGSAGSFDGDQVEEIAYALERSGYRFLWSLRRPSPKENFEFPSEYENLDEVLPEG >CDP13581 pep chromosome:AUK_PRJEB4211_v1:5:28945553:28955539:1 gene:GSCOC_T00038578001 transcript:CDP13581 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIEEEEEDSNFNGGLGGNGQDFSGSTPTIFPELKYYCLQLLELLHNPHKQRKNNSSSSSPPCQLLQLLRCSPASSLQPFFDYILFPLLLLLDAAVGCRALPKGDDPDGSSFMSGAPKAPPQVSDHVAEAVLDCLEELLTKCALGSVDQMVVLLKKLTSGALLSPSEAPEEFRVGIIKCFRALLLCLDPCIDELCQCKQVVGLPVQLVRKDSVSKPASEAEQCLLAFLQSESASAAVGHWLSLLLKAADVEAARGHRGSATLRVEAFKTLRVLIAKVGNADALAFFLPGVISQIGKVLHMSKTMVSGAAGNTEALDQAIRSLAEFLSIVLKDDQNLPSLSQFPNDSIVHHICKEKPLVSFLDELRHLASKTQDQGEVVVHNVSEAVQKSTSMPDIRKSVSVNPEGMRGAFRVERSKDWIINASAHINKILSKTFPHLSCHPSKKVRLGILAAMQTLLLSCSYTLRGSRLLLLECICVLVCDDSEEVSSAAQAFFGYLFSSNREHLEHDFDAIFSRLIDKIPHAVLGNNESMALSHARKLLVVIYFSGPRFVAIHLLQSSVTAARFLDIFALCLSPNTTFSGSLDKLVAAKPPSAGYMHSTAEMKSMRNAGSEGFEYTETTKVPYPPKNVSNAYVLPGLPPWFAYISGQKLYKALAAVLRLVGLSLFTDSQNEGSLSVTIDIPLGYLRKLISEIRTRECSMESWESWYNRTGSGQLVRQASTAVCILNEMIYGLSDQAISSFGRMFQHSNLKWQEIEEYNNLWHVCNHSRARNNLIHSIGSVLHEYLSPEVWTLPLDHTDSSIQSYSGGRALALHFFNDNAVIIEGIGVLTMCLGKEFSSSGFLHSSLFMLLENLICSNFEVKSASDAVLHIMAATLDYPTVGHLVLANSDYVIDSICRQLRHLDLNPHMPNVLAAILSYIGVAHKILPLLEEPMRAVSLELEILGRHQHPDLTVPFLKAVAEIGKASKQEACTLPNQAEALYKDIKSNILDLEKRKGNEFCSSRSFIEVDATVEFPESEVGICYNHDSRQIQHWESVLFKLNDSRRYRSIVGSIAGSCLIAAAPLLASSMLAACLIALDVIEDAIETLAKVEDAYKLEKKAKAALHQIFDLYSLHNLRDALDAAEDEAGENRLLPAMNKIWPFLIACVRNKNPVAVQRCSCTISNMVQICGGDFFSRRFHTNGIHLWKLLGSSPFEKKPISREDRTPLQLPYGSVSFSSEGSVAELSDLKVQAAVLNMIADIAKNKRSASALEAVLKKVSGLVVGIACSGVMGLRDAAINALVGLASIDPDLVWLLVADIYLSLKKKDVLSPPGNEFPEVSQILPSPSSSKGYLYFEYGGQSYGFDIDFSAVEHVFKLLDGQYCT >CDP18047 pep chromosome:AUK_PRJEB4211_v1:5:8633314:8634054:-1 gene:GSCOC_T00001629001 transcript:CDP18047 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLIFHLQSLRDDLKQDLRRYENSDVHLAVPTYINPVPAKVLPLGLFDKEGIGGIILNQVRRFKETKGIIVNTFLELESHAIHPLSNDKTVPPVYAVGPVLNLKGSNSQNQETEMIMKWLDLQPECSVVFLCFGSGGSFDGDQVKEIAYALERSGYRFLWSLRRPSPKENFEFPSKYENLDEVLPEGFLQRTAPLEKLLDGHNRRQFYPILLWEALFLIVGGTQYWKVFGAVCQWQHGHFMLSSR >CDP14777 pep chromosome:AUK_PRJEB4211_v1:5:21805722:21809463:-1 gene:GSCOC_T00042231001 transcript:CDP14777 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYFQEPAVENNFDRDDISLTKCKSHSPDELEDNPSGGLDCNICLGCARDPVVTFCGHLYCWPCIYKWIHFQSMPSENCNLQQPQCPVCKAELSEESVIPLYGRGLATKPSEAKAGQLGIVIPQRPPSPKCGSMLSLPTTPNISRAVPQLHRLSYTQPPQSYPQFSSGTMSPNTYHPLVTMLGEMVLTRMFGNPPAYSYPNSFHLSGGSTPRMRRQVMQADKSLSRICFFLCCSMVLCLLLF >CDP21258 pep chromosome:AUK_PRJEB4211_v1:5:15295029:15303552:-1 gene:GSCOC_T00003712001 transcript:CDP21258 gene_biotype:protein_coding transcript_biotype:protein_coding SGFGILWKGKEDEKGRLGSLAAVRQLTGSLTTAEGLRFGIVVARFNDIVTKPLLEGALDTFKKYSVKFELVFVVWVPGSFEIGLVAEKLGKSRKYQAILCIGAVIRGDTSHYDAVANSAASGVLSASLNSGVPCIFGVLTCDDMEQALNRSGGKSGNKGAEAALTAIEMASLFEHHLKF >CDP14713 pep chromosome:AUK_PRJEB4211_v1:5:21228953:21231751:1 gene:GSCOC_T00042135001 transcript:CDP14713 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIASMAARRAAILTTRFASPPSSASQAASLVHRRGLAAAADHHGPAKVNFWKDPMSPSKWKEEHLVIICWTGWGLLFTTAYKFATGGKKKEEGNVVEASK >CDP16044 pep chromosome:AUK_PRJEB4211_v1:5:346606:351968:-1 gene:GSCOC_T00017045001 transcript:CDP16044 gene_biotype:protein_coding transcript_biotype:protein_coding MKILCDVCEKAQAAVICCADEAALCAKCDLEVHAANKLASKHQRLHLQSLSNELPPCDICREKAAFIFCVEDRALFCQDCDEPIHSANTLAANHQRFLATGIRVALSSSCNKEGVKSHMEPQPPKQQFPSKMPTQQLSSMTSSSWAVDDLLQFSDYDSSDKKGQLEFGELEWFSDIGLFGERAGEEALSAAEVPQLPVPQSSNGASHKTPKLYMPYKKPRIELEDDEKEYFTVPDFG >CDP10816 pep chromosome:AUK_PRJEB4211_v1:5:18654582:18654950:-1 gene:GSCOC_T00031702001 transcript:CDP10816 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTRRQAPRRGESPAYLRYLKPGALAQLRDSRISARTHRVDSKPTQISSPPRPATPPQIGPSDGPSSPCFSARIYGPRCPQRKKLVAAKGPLFVGPNVTGHAQEPAPISDSSNLNVLVAH >CDP14795 pep chromosome:AUK_PRJEB4211_v1:5:22041144:22042325:-1 gene:GSCOC_T00042254001 transcript:CDP14795 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWFAKVGKVCLSWTGTRSRLIMGKAELMRLTLNDKDGHFQKPPQNPLVDLLTLGVSTLEGEKWAKRRRLITPAFHHEKLPKMLVASDGWSEIDINPELQSLSTDVISRAAFGSSYKEGKKIFELQKDHRVLDTSATAIFPAQCSVNGFKKWIKTCERHTNYTEDQLW >CDP17436 pep chromosome:AUK_PRJEB4211_v1:5:2122087:2133409:1 gene:GSCOC_T00000902001 transcript:CDP17436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:Projected from Arabidopsis thaliana (AT3G07740) UniProtKB/TrEMBL;Acc:F4JFM6] MGRSRAVPHSADEDLSQSRSKRKRTASNVENSEIATAGQGKAEGKALYHCNYCSKDISGKIRIKCAICSNFDLCVECFSVGAEVHPHKSNHPYRVMDNLSFPLICPEWNADEEILLLEGTEMYGLGNWTEVAEHVGTKSKLQCIDHYNVIYMNSPCFPLPDMSHVMGKNREELLAMAREHGEIKKGSSAPGEIKEEFPFSAKIKVEDQKKDVQAGHSSSSLTSDSLVDRSIGEKKPRTSGDEGPSVTEVSGYNSKRQEFEVEYDNDAEQLLADMEFKDTDTDAERELKLRVLRIYSKRLDERKRRKDFILERNLLYADTVEKELSHEEKDICHRYRVFMRFHSKEDHEELLRSLVEEHRVLKRIQDLQEARAAGCRTSAEAERYIEQKRGEAEENALRISESSQAGPCGKFLQRANHLKGDFDSSPRGVIRGPTVLDSAGIESPTAKRRLDVINALDNWDVTGFLGADLLSESEKQLCGEIRILPAHYLNMLQTMSMGILSGNITKKSDAHGLFNVDPSKVDKVYDMLARKGIAQT >CDP06116 pep chromosome:AUK_PRJEB4211_v1:5:24456049:24456920:1 gene:GSCOC_T00021502001 transcript:CDP06116 gene_biotype:protein_coding transcript_biotype:protein_coding MRARVALEEKEIKYEHKHEDLDTGNKSELLLKMNPIHKMIPVLIHNGKPVCESLIIVEYIDEAWKGKTTSLLPSDPYERAQARFWADFVDRKVYTYGRQVTWSRKGEEQEAAKIGLISSIKTLEEEALGDKLYFGGEKFGYLDVAVIGLCSWMYTYEIVGKFSAEIECPKLMAWAKRCMERDSVSKSLPEPDKLYKGIMELKMSFGLE >CDP11834 pep chromosome:AUK_PRJEB4211_v1:5:19865166:19866245:-1 gene:GSCOC_T00035099001 transcript:CDP11834 gene_biotype:protein_coding transcript_biotype:protein_coding MERKFPGGGQLRGYSAGVNAPAMLGLEEAYLNGYFSDLSFQSILQPPCHYDNQSLQQPAVETPAFSQATCGYYIQDVPESSTSTFSEPNPTTYQFLNREELKTPKHEVLDTQDFAFQLLKLNETTPSPAVSPTEPLINFFSTCSSSMDDLSCNSSGGAGQFVYQTGSLSDIMNVQVQQLTESSSSTSRFPTHRVDPGKRYVPYNLSPKPDRKRIRQRISEKTRCLQRVLPWDKKMDMGTMLEEAYKYVKFLQAQISVLQTMPEKSSTAAISSSGGKSKNPSYDVSSSGVGENNVAWGDLGKLNRQQLLEVVVNSRVAQTALYSRGCCVYSTEQLVLLKKMAEKKTLSEQVLFASPLLFS >CDP06005 pep chromosome:AUK_PRJEB4211_v1:5:25376010:25376770:-1 gene:GSCOC_T00021347001 transcript:CDP06005 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVGDSVEICCNEDGFLGSYYEAKIIAKRGKNKFLVEYKTLLKEDNEKELLKEVVEASTVRPLPLQINVSEFHVLEKVDAFDNDGWWVGRISGRAGYKYYVYFESSGDEFLYPFGSLRIHQEYENGQWIPANKRADT >CDP05928 pep chromosome:AUK_PRJEB4211_v1:5:25929011:25934242:-1 gene:GSCOC_T00021250001 transcript:CDP05928 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRP5A [Source:Projected from Arabidopsis thaliana (AT1G53140) UniProtKB/TrEMBL;Acc:A0A178WBH9] MANTTNASTFLTSPTPTKTPTAEKSTVTSSVSKRQHHQLSDRSTTRSSDFKTRFEAYNRLQSAAVAFGEKLPIPEIVALGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDPTALEPRCRFQEEDSEEYGNSLSSASAIADTIKLRTEELLKKNRTAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPESTPDEILSMVKALASPPHRILLFLQQSSVEWCSSLWLDAIREIDPTFRRTVIVVSKFDNRLKEFSDRWEVDRYLSASGYLGENTRPFFVALPKERSSVSNDEFRRQISQVDSEILRYLRDGVKGGFDEEKYRPHIGFGCLRDFLESELQKRYKEAAPATLALLEQRCSEVTAELARMDSKIQSTSDVAHLRRSAMLHAASICGHVGALIDGAADPDPELWGKTTEEERSESSIGCWPGVVADVKPPNATLRLYGGAAFERVVHEFRCATYSIECPTVSREKVANILLAHAGRGGNRGVTEAAAEIARAAARSWLAPLLDTACDRLAFVLSKLFDLAIERNHHRDTEYGVKAGEMDGYVGFHAALRHSYNRFVHDLAKQCKQLVRHHLDSVTSPYSQVCYENDFFGNSRSGINSICQFNQVSAGSFFLELSDAAPSLRNSLAKDQENIPPEKSAQETPPGKSDEAREALRECQMTVPETPSPDQPCEVFAVKKELGNCIEIGARKRHSRIAGNNRNCDQLRGHKNDSLLFGNGDTVSKSGSAYSDICSSAALHFARMREVLVERSVTSTLNSSFLTPCRDRLVVALGVELFAVNDDKFMDMFVAPGAIDVLQNERESLQKRQKILHSCLNEFKSVARAL >CDP17859 pep chromosome:AUK_PRJEB4211_v1:5:1531026:1534694:1 gene:GSCOC_T00013178001 transcript:CDP17859 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFLHHHAITTPARTSSQRYVLPLKPNQLVCRAQKQTVQEEDDSNVAAVSRRLALTVLIGAAAVGSKVSPADAAYGEAANVFGKPKTNTDYIPYNGAGFKLSIPSKWNPSKEVEFPGQVLRYEDNFDSNSSVSVIIIPCDKKSITDYGPPEEFLSKVDYLLGKQVYAGKTEAEGGFEPNTVATANILEQETPIVNGKQYYVISVLTRTADGDEGGKHQLIRATVSDGKLYICKAQAGDKRWFKGARKYIESTAGSFSVA >CDP14751 pep chromosome:AUK_PRJEB4211_v1:5:21650502:21654074:1 gene:GSCOC_T00042198001 transcript:CDP14751 gene_biotype:protein_coding transcript_biotype:protein_coding MFDILFGWRKASKCKKLIRRVQCRLKILKNKRCTSVRQSREDLAKLLKPDRAQTAFDNYRVEQLFKDECWVAVYDLLDQFCEFIILNLSYIRRNRDCPNDINEAVSSLIFVSARSGDLPELLSIRKLFGEWYGQRLATSALELLPGNLVNRQIAEKLSIKSVPDDVKISLVKDILGSSLQSGPLLLEYSSEKQQKLANESSGDPFPSAETIREQNEGHNLQMSNATEEGKIVYVDFSSVKKSIKDLSPSLVSSMTTFPSTYSVVLQQTLLKTVDSTVHKKDLRLVSSARKISPCAFEMSSFQQLEGAIVVHDSAVGQEERSAVVESSSVGQLPKEMIYLDDIQEIQSPLSKEGNLQDQRLFKFKQSALPVTGITQNPDDEAPDQEQYDSDNERAASRSFRQSRNIGSGKRQRWRSVSGDATSMNDIECAIYYGESYGRSPNYYQKSRDKKKDQKKVPIKRQQKVYHAQEIPMHSPFIKVKSGFNFIESTDDHTESDSRCSSNNKMLNNCSLQHPCYFRTCDEEDDWNCSPQKPKIQYVLCHCHCSCSNNPKIKEAEKGMLLNQPTIKSEDATRKPSYSRAMTMPPERPKDAGIDSMLRSNSFPFEHPESSSNRHVHPKLPDYDELAAKFMALKKAYLQK >CDP13805 pep chromosome:AUK_PRJEB4211_v1:5:27454530:27456890:1 gene:GSCOC_T00038865001 transcript:CDP13805 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVANYLDREVSRLGRPPLKVFVQVNTSGEASKSGVEPSDSIELAKHVKLGCPNLEFSGLMTIGMPDYSSTPENFKTLFKCRTEVCKVLGMAEFQCELSMGMSNDFEQAIKMGSTNVRIGSTIFGPREYPKRQ >CDP05677 pep chromosome:AUK_PRJEB4211_v1:5:12882326:12906557:-1 gene:GSCOC_T00020871001 transcript:CDP05677 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPVAVTSQLKVLMFPWLTYGHISPSLELAKRLTDRGFSSPPSLFLFGLSPPFLTSELPPDCPTLWSASAISSVHTLSRLQLQQRAFRRHSLVQLSLSNRQWQALNQSQMMLKACSADGSLKRKKEPEAADDERGKRNEIPELALTPHEIGRRKVNFIFDNAAIKKTLVKKKWKVPCSLDDAEIILRQNKDVNQYRCDILHEALRAILDSPLNRYGLVGAIYVKIENGVLFEVKPQVRIPRTLQRFCGLMMNLLEKSCIRTEGTKEVLLRVIQEPVTRYLPANSHIIGLSHTSPKVVNIEDYLSAVDDDINLTFVVGTSVQGEINQQYVDDCLTVTNYPLSAKGSIGMICHVLEHKWNIF >CDP14696 pep chromosome:AUK_PRJEB4211_v1:5:21046208:21051161:-1 gene:GSCOC_T00042111001 transcript:CDP14696 gene_biotype:protein_coding transcript_biotype:protein_coding description:External alternative NAD(P)H-ubiquinone oxidoreductase B4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G20800) UniProtKB/Swiss-Prot;Acc:Q9SKT7] MRGYNFYQRAKGAFHDHPTVTKLLVVLSVSGGGVAAFSDARPFQFGYADAAHDVKKKKVVVLGTGWAGTSFLKSLKDPSYDVHVISPRNYFAFTPLLPSVTNGTVEARSIVEPIRSIVRKKKFDVHFKEAECYKIDTVNKKVCCRSTQENNLGGSEEFTVDYDYLVIAMGARANTFNTPGVVEYAHFLKEVEDAQRIRRTVIDCFERASLPTVSEEEKKRILHFVVVGGGPTGVEFAAELHDFVNEDLAKLYPALKQHVKITLLEAADHILNMFDKRITEFAEEKFQRDGIDVKTGSMVVKVSDKEISTKERSSGKTVEIPFGMVVWSTGIGTRPVIMDFMKQIGQTNRRVLATDEWLRVEGCDSIYALGDCATINQRKVMEDIAAIFSKADENNSGTLKVDDFKGVIKDIAERYPQVQIHLKKNQLRNFLQLLKSKDGEDELNIEKFKQALAEVDKQMKSLPPTAQVASQQGVYLADCFNRMDICEKYPEGPIRFRAAGRHRFRPFRYKHFGQFAPLGGEQAAAQLPGDWVSIGHSTQWLWYSVYASKLVSWRTRLLVISDWGRRFIFGRDSSRI >CDP05829 pep chromosome:AUK_PRJEB4211_v1:5:26682945:26685442:-1 gene:GSCOC_T00021121001 transcript:CDP05829 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDWNGKAIVIMGVSGAGKSTIGQMLGEALKCCYMDADDYHPQANKEKMRNGIPLSEEDRIPWLETLRDALRRSTGNGGTVILGCSALQTHYREILRSADPSYEPGSYISIVKFVLLHAQADVLAARLEKRAAEGKHFMPAKLLQSQMDLLHIDESEGVLKVDATLEPQAIVNIIIQASIIKPQSQRGP >CDP15970 pep chromosome:AUK_PRJEB4211_v1:5:23231859:23233829:1 gene:GSCOC_T00016901001 transcript:CDP15970 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVGHRICTRAVQELFGYSGETISCHFNNVLMTIMAISWDVFPPPRPDVPPEFVKILNLSSFSVGLDEQVPFWNKSGFLSQNVRAVCSFSMKFHYVLAGWEGSAPDVQILGSAVTKGDILQVPDGTSSL >CDP16204 pep chromosome:AUK_PRJEB4211_v1:5:16393887:16397185:1 gene:GSCOC_T00017308001 transcript:CDP16204 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSIVVEVFWCELKSYRVSYFTRANMVIWVLQALGGWKDELAYCELLLKDDIFNNSAWNQRYFVVTRSPLLGGLGAMRESEVTCTVNAIMEHPENESPWRYLRGLYRNDTQALVQDPQVASVCLKILTAKNNYVHALSMLLDLLCHGFQPSLEIRNAVYGLSDSGAQGSDLVKVVCSILELVDPMRANYWKWRRNMAPAQAAQCLKDDGLTGLSL >CDP16086 pep chromosome:AUK_PRJEB4211_v1:5:1080388:1086884:1 gene:GSCOC_T00017103001 transcript:CDP16086 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MLWSPFLGIPLVIALISFVFFKIFPLSSLISSPPPLQPRLFSAEELSFYNGSDPQLPIYLAIVGSVFDVTKGKSHYGAGGGYNHFAGRDASRAFVSGNFTGDGLTDSLQGLSSPEVKSVVDWRDFYFKTYIYVGKLGGRYYDSKGNPTKYLKGVEAKAARGAQLLEKQKDEEAKVPSCNSRWSQDEGSEVWCDDGYPRLVQRPIEIALTGKMSKRCACYKEDELEQAGLEVYEGCDFFAKKCRI >CDP14692 pep chromosome:AUK_PRJEB4211_v1:5:20988011:20991247:-1 gene:GSCOC_T00042106001 transcript:CDP14692 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNRDGLQFDDLDTNYESGEDYEYVRGAESDEADSDGSESEPDGSGSGSESESDWSEHHAFLFDPFFLDEWCIKNEELEKYYDVVFNLEKTRGRFFNCLEDLKTDGFFYRYVKNRVNTAIIHYNKKNQTGFRAGKILNCVLTTAGGAKFYLTFVAKDFNTGDVGEFQACVHESAAGGDRKVYLCRLKIPKEPCEGGGKCV >CDP14682 pep chromosome:AUK_PRJEB4211_v1:5:20836273:20836542:-1 gene:GSCOC_T00042093001 transcript:CDP14682 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRAWIASLSVGAVEAMKDQGLNRWNHTVRSVHQLAKNNLRSLSQTRQLSSSALASSSSKAKDQEKLKKSEESLRKVMYLSCWGPN >CDP15991 pep chromosome:AUK_PRJEB4211_v1:5:23497284:23497704:-1 gene:GSCOC_T00016933001 transcript:CDP15991 gene_biotype:protein_coding transcript_biotype:protein_coding MILNEVLSLYPPGLVLSRMTNKETKLRELILPAGVQLLLPARFSEGVLKATKGQLAYFPFGWGPWICLGQNFAMLEAKMAPAMILLHFSLELSPLYAHAPHTIIALQPQYGAQLILRKL >CDP11339 pep chromosome:AUK_PRJEB4211_v1:5:14515343:14520196:1 gene:GSCOC_T00033536001 transcript:CDP11339 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKQRSILLDISSRFSPPQGVKLSYGTSGFRADASLLESAVYRVGLLAALRSLKTRAVIGLMITASHNEISDNGIKVADPSGGMLTQDWEPFAESLANAPDSYTLVEILDDFVKKEKIALDGEWAAEVFLGRDTRPSGVSLLEAAKQGVASIVKANAKDMGVLTTPQLHWMVRARNKGLEASEHNYFDQLSSSFRCLLELIPQGSRIVGTNDKLIVDGADGIGAEKLESLKGLLNGLCVEVRNSGNGVLNEGVGADYVQKEKVVPRGFGTADVGIRCASFDGDADRLVYFLVQPNSNKIELVDGDKIMALFALFLEEQLSILNKSGDVTVRPNQTRLGIVQTAYANGASTSYLKQLGLEVVFTPTGVKHVHEKAAEYDIGIYFEANGHGTVLFSEAYLCYLEDINSNLSLTSTEKQKAASRLLAVSKLINQAVGDALSGLLLVEAILQHLGWSIQRWNELYHDLPSRQLKVKVLDRAAVVTANAETVVVKPSGIQEAINAEIAKYHKGRCFIRPSGTEDVVRVYAEASTQEAADGLAYSVAKLADQFLGSTNS >CDP15939 pep chromosome:AUK_PRJEB4211_v1:5:23030571:23041094:-1 gene:GSCOC_T00016862001 transcript:CDP15939 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQDEAGPSQQRPPGATWWSPDLVEKIGSVSLDSKEREILRNKKSINKDEYDCSPHQMASQILWSTGMLSEAIPNGFYSVVPEKRLKELFEDIPTLDELQALELEGLRADIILVDVEKDKKISMLKQLIATLVKGLNSNPAATIKKIAGLVSDFYKRPIVELSPGKVSLEETSHVSENRGVQMLGQIKHGSCRPRAILFKVLADTVGIESRLMVGLPTEGNSECVDSYKHMSVLVVLNSVELLVDLMRFPGQLIPLSTKAIYMTHISAAGESDSAENDSCDSPLEPNSPLYGVSDRADPESTDKDDSPQYQRRLEASSNTAEPSLRNAMLRSSTFVDRKLSSYHSEPNIAATFWRRSRRRVIAEQRTASSSPEHPSSRARGRSMLSGDNRTFRDYSDDMATSRSEGASTSETRRLRRRSISITPEIGDDIVRAVRAMNETLKQNRLLKGQGENRSDVQKDVSDFYLGDQDDLSGGRSSMYSFQREHNDSQKAMSLPSSPHEYGSQTPERSGTSNYRVNDDMVSTWNRILGSPMFQNKPLLPFEEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPHLSMVTEYMEMGSLYYLIHLSGQKKKLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTDSPMGDSSSAGTPEWMAPELVRNEPFTEKCDIFSLGVIMWELCTLGRPWEGIPPERVVYAVANEGSRLEIPEGPLGRLIADCWAEPHERPSCEDILTRLLDCEYSLC >CDP16372 pep chromosome:AUK_PRJEB4211_v1:5:2917867:2925856:1 gene:GSCOC_T00018209001 transcript:CDP16372 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFKSSNKNHKDDDGVVLPGFRFHPTDEELVGFYLRRKVERKPLRIELITQVDIYKCDPWDLPLPKVGVVGDKEFYFFCMRGRKYRNSVRPNRVTGSGFWKATGIDKPIYSSGETTQCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPGWTHSTSTQPNPRNNIAQETDDWTLCRIFKRNASSKRYKPDLKHACTKGGSGAASSKPCSLQSQNSCHPYTTLEDVGFQQRTNAAAGIDVNHSSQFLAAQEQILLVAQTSYSSSSSNFWNLNGDEFFRDGRWDELSSVVDSAADMSNPFARSI >CDP05846 pep chromosome:AUK_PRJEB4211_v1:5:26528181:26533192:1 gene:GSCOC_T00021146001 transcript:CDP05846 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRFLTTGTIRRFADCIHSSPKTARLEAAHRLVGCPVGLPYILRQLQSHSKVSYRGFASCTIREGKQTLWKTGNLKKVAFYSTSNPVPYHARIAWRRLAHICSYSSSILPPLSRIACAVSLALTRSKLVAPGILAFIVGELGWKRGFRAEAEGFPTGDFFYTQAQFGLVHLTSFIFLILEGLMLFLRAIYLGILFSPCIFMAPFAESLGSEYRKTWLQTVRITLEKAGPAFIKWGQWAATRPDLFASDLCNELAELHTKAPAHSYAHTRRTIENAFGRNIAHIFENFEEEPVASGSIAQVHRATLRFRYPGKRGKPVLVAVKVRHPGVSEAIRRDFVLINLFAKASRFIPTLKWMRLDESIQQFAVFMMSQVDLAREAANLNRFIYNFRQWKDVSFPRPLYPLVHPAVLIETYEHGENILHYVDKLEGHGSIKSSLAHIGTNAMLKMLLVDNFIHADMHPGNILVRVSDGEALNKGLFKSRPHVIFLDVGMTAELSQKDRVTLLEFFKAVALRDGRTAAECTLRLSKQQHCPNPKAFIQEVENTFNFWATDEGSSFHPADCMQQMLEQVRRHQVNIDGNACTVMVTMLVLEGWQRKLDPDYDVLRTLQTLLFKVDWEESLFYTIEGLMAP >CDP14720 pep chromosome:AUK_PRJEB4211_v1:5:21311306:21314422:-1 gene:GSCOC_T00042143001 transcript:CDP14720 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAFTSGKSRKSPKLNPKPHLFHTKTVKIPQAQKQNFKENGVLVGFKLQCCSKAATLPTRSVCSTKKRRYGGILPSILRSLESENDVEKVLELHYGKLNAKELTVILKEQGRWEKVLRVFEWMKSQKEYVPNVIHYNVVLRSLGRAKKWDQLRLCWIEMAKKGVLPTNNTYGMLVDVYGKAGLVKEALLWIRHMKLRGLFPDEVTMNTVVRVLKDAGEYDRGDRFYKDWCAGKIELDDLDSMDDVQSKDGLGPVSLKHFLLTELFRTGSRNSLSSDWGSTDGEMSVQKPRLTATYNTLIDLYGKAGRLKDAGDVFAGMLSSGVAMDTITFNTMIFICGSHGHLSEAEALLDEMEKKGINPDTKTYNIFLSLYADQGNVDTALQYYHKIREVGLFPDEVTFRAVLQLLCKRNMVQEVEVVIEEMEKSGKHIDDHSLPVVMKMYVDEGLNEMANALFEKGQLTGRLTSRSYAAIMDVYAEKGLWAEAEAVFFSKRDISGQKKEVLEYNVMIKAYGKARLYDKAFSLFKRMKNHGTWPDECTFNSLIQMFAGSDLVDQARDLLAEMRDAGFKPSCLTFSSVIANYARIGRFSDAISVFQEMSKAGVRPNEVVYGSLINGFAEAGKFEEAVSHFHDMEASGFPANQIILTSMIKAFSKVGSAEGAKRLYEKMKNMEGGPDIVASNSMLNLYAELGMVSEAKLMFDHLKEKGWADGVTFATMMYVYKNMGMLDEAIAVAEEMKASGLLRDCVAFNKVMACYATNGQLVACGQLLHEMGEQKLLPDTGTFKVLFTVLKKGGLPTEAVRQLESSYQEGKPFARQAVITCVFSVVGLYAFALESCQILVKAEIALGSFAYNAAIYAYGASGNSAEALNVFMRMQDKGVEPDVVTLIHLVSCYGKTGMVEGIKRIHSQLKYGDIEPSESLYEAIISAYRNTNRNDLAELVNQEIKFAFDVKPCFDSATEDVSEGSSFSPQASEVEAGDA >CDP11779 pep chromosome:AUK_PRJEB4211_v1:5:18930634:18932337:-1 gene:GSCOC_T00035012001 transcript:CDP11779 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCFYFVFALCLLAHVFIVVSANPLMLPTLKATKLHKIEPRKIPKVISPAGILSTTYYIKSCPNLEGIIQQKVNDWIKKDYTIAASIIRLHFHDCAVRGCDASILLNHAGSERAAQASKTLRGFELINEIKAEVERRCPRTVSCADILTAAARDATVIAGGPFWEVPFGRKDGRISLAKEANMVPNGHENVTTLIDFFQKRGLNIVDLVTLSGSHTIGRSTCISIQQRLDNFRGTGKPDSSMDVGYLNFLKKQCSRDTNFVNLDATTPRTFDEVYYKNLQTKKGLLQTDQLLYSDARTAPLVAALASQPQLFISQFAVSMVNLGNVQVLTGTKNGEIRHNCNYVNP >CDP13626 pep chromosome:AUK_PRJEB4211_v1:5:28622968:28624362:-1 gene:GSCOC_T00038638001 transcript:CDP13626 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSFGSSSREVEEDEPSPPEHHHVHYHQIQQYRSFTSPTLAHMRQLLISCAELMSSSSDSSSAHRLITILMANSNPYGDSTERLIHQFTRALSLRLNRYATTTLLNTLPNTQQHLGHPLNNTFFLTHPASINHMPQVVESDEQALLQTAYLSLNQVTPFIRFSQLTANQAILDAIDQGQEAIHILDFNIMHGLQWPPFMQAIVERYPRPSLRITGTGNDLETLRRTGDRLAKFAHSLGLRFQFHPLHLHNEDDPIAVLSSAVVLLPGESLAVNCVHYLHRLLKDRDGLRLFLHRIKVMNPRVVTMAEREANHNHPLFLQRFVEALDHYAAVFDSLEATLPPNSRERLNVEQVWFGREIVDIVAADGDHRRERHERFRSWELMFRSCGFNNVPLSPFALSQAKLLLRLHYPSEGYQLHILNDSFFLGWQNQLLYSVSSWR >CDP17454 pep chromosome:AUK_PRJEB4211_v1:5:2691740:2694510:-1 gene:GSCOC_T00000932001 transcript:CDP17454 gene_biotype:protein_coding transcript_biotype:protein_coding MILNCRIMTADNRARVAEERGAVDRLSNLPINAIQNIIGRMPIRDAARTSILSSKWRYIWAEYPEVVLDEQFYAEIMRNNSPNFFLTEYVNKVNGILFQHLGPILKFVLDIPELYSTQYSSIDQWLLFVSRKDVTKLILHNRSPNPYKVPCYAFSCPKLAYISVTKYIFRAPNSEGFFGKLTHLFLETVTFQFSVLNLPQLVQLELKNCFGIQLLCVSAPSLRILNLQDNDDLDLSYYITCKDLVTVCIGLSVGVEHDKLDKNITLTKLLGCWPELECLYLNGSFLKHLAAGDIPQRLPIDQVNSLVYLAPFCITYHCAEIACILCLLQSAWNLSELEIWADEQIIYDEKTVSYLKDPDLMKQSFKGLQTVIMKMFKGLTSELLLVKLLLACSPSLERMCIEENQDLDPIERLNTSKELLRFSRASPKAEIIFQPGIET >CDP11349 pep chromosome:AUK_PRJEB4211_v1:5:14692491:14696744:1 gene:GSCOC_T00033553001 transcript:CDP11349 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLTDLDDVEKIIGYKFINKTLLNQAFTHPSYNKGCASYERLEYVGDSVLNLLIAKQQFSLYPDLPPGCLTPLRAANVDTEKLARVAVKHNLHKYLRHGKPALERRIQRFMEVLPKYPLHSHGLIDAPKVLADVVESTIGAVFIDSNTCIDTTWEVTSILLDPIITPEMLQINPVKKLYELCQKYKLTVRLVDLWSKEGAFEVFVNNHLRGRGHCHAKKEIALNRAANAAYNEVLRILRVEDINTV >CDP18045 pep chromosome:AUK_PRJEB4211_v1:5:8539352:8547985:1 gene:GSCOC_T00001627001 transcript:CDP18045 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPEIESDRESEEEEEDDELPDRTGDEEAGSDAEREPGGSNGWLTTTDHDIDMENQVVLAEDKKYYPTAEEVYGEEVETLVMDEDELPLEQPIIKPVKNLKFELGVKDSSTYVSTQFLLGLMSNPALVRNVALVGHLHHGKTLFMDMLVEQTHHISTFDEKSEKHMRYTDTRIDEQERKISIKAVPMSLVLEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAADGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPKDAYHKLRHLIEAINTQITAASSTAGDVQVIDPALGNVCFASASAGWSFTLQSFAKLYVKLHGIPFDANKFASRLWGDYYFDPDTRGFKKKQPTSGAERSFVQFVLEPLYKIYSQVIGEHKKSVEATLAELGVTLSNAAYRLNVRPLLRLACSSVFGTATGFTDMLVHHIPSAKAGAAKKVEHIYTGPTDSMIFQAMEDCDPHGPLMVNVTKLYPKSDCSVFDAFGRVYSGRIMTGQTVRVLGEGYSPEDEEDMTVKEVTKLWVYQARYRIPISEAPPGSWVLIEGVDASIMKTATLCNQDYDEDVYIFRALQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMASNSSSNFIAEPLERGLAEDIENGVVSIDWPRKKLGDFFQTKYDWDLLAARSIWAFGPDKQGPNILLDDTLSSEVDKSLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDAKVAPEPLNRGTGQIIPTARRVAYSAFLMASPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKTIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVLELAQQDADQMIP >CDP18742 pep chromosome:AUK_PRJEB4211_v1:5:23631034:23633297:1 gene:GSCOC_T00002676001 transcript:CDP18742 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPPSPRIPEVHEVVIGFDDEAQTIIGRLTSESRQLEIVPIVGMPGLGKTTLAKKVYGDAEIEGHFQLHLWCTVSHVYNQKRLLLELLCCNGEYTQKQLREKDEDDLLTMLYQMLKGKKYLLVLDDVWESGLWNDLNLAFQDAPKGSKILITSRFSNITAHVKLGEPHYLRLLTEEQSWQLLQKKVFGEEECPQSVHGAGIEIARFCGGLPLTVVIIAGVLATLERDGWIWEEFARRITLTMVCGTDPCMASLELSYEKLPPPLKPCFLYFAAFREAEKIGTKNLMCLWIAEGLVERKEGRRLEDVAEEYLMSLIGRNLVTVSKCRSIGGVKSCCLHDLLLEFVRPERKKRIFFRHFEDTTAADDFPLVDISFLFCIYKHLIVLNLEYIVLMQKEFPSGVESLPELRYLALRSDRMEFIPQSIANLSNLETFRLKSRETVSLPDTIWNMKKLRVLCVWICARPLLNDDILRSSPTLPNLDFLSTLILPLSQAGENIIRKIPHVRRLKIFLSHNEGAREATGSCNLSQLESLESLTVMGGFILPWDHNIEHFFPSALKKLSLSELGLPWSKISLIEQLPNLEVLKLLVCSFRGDTWELAEGGFPKLKVLTLSQVDVVVWTEADPDSDDYFQCLERLNLEGNLKLEKVPSCFERLSTLNMVKVRFWGEESNCNNAVDNYSVVNLVRRIEEEQINNGTENLKILIHYVPLPRY >CDP05820 pep chromosome:AUK_PRJEB4211_v1:5:26736689:26738450:1 gene:GSCOC_T00021111001 transcript:CDP05820 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGSCSSTSMVKEEARLLVASAPPPGSETCGIRGRKRGRATGTGRSGRNSSSGGEWRPSLSSICEDNVLHERNKIDHHRHHHNHQEVPITVLQSSSSSPSSSSPSSERSLKRKITSAARPRSHCPREDVRRPAVHAVMPTFSPAPFMF >CDP14801 pep chromosome:AUK_PRJEB4211_v1:5:22119502:22121118:-1 gene:GSCOC_T00042261001 transcript:CDP14801 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHAELLQPFSPSGQYLRSSAISLSVIAVMESEISIDDSMAIPLLYDVFLPINPRFSSMTVGFLAFCSFSCQIGKLTENGNPDLFFCFFFISVLHETHIYCFLSSLSATSFLKILTIVTSKNFSKFLNYTFPKYSKKTLPTINIPFTSV >CDP15736 pep chromosome:AUK_PRJEB4211_v1:5:5360703:5362930:-1 gene:GSCOC_T00015769001 transcript:CDP15736 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVVVSFHNWYAPTPGDYPSAVPPPPGFFSDGFPPPPPPPGPPGYQGYFRDDYPPPPPQHIYHQSWDNYQGDAFCSSCLRSCLAILCCCWIWDRCCWY >CDP10471 pep chromosome:AUK_PRJEB4211_v1:6:6246916:6253380:1 gene:GSCOC_T00031205001 transcript:CDP10471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT5G34850) UniProtKB/TrEMBL;Acc:A0A178UD94] MLSNRIWLLFSILLSFVHNGIAGLTSSFIRSEWPSTDIPLDNEAFALPKGYNAPQQVHITQGDYDGKAVIISWVTPDEPGSSQVRYGISEGKYDFTAKGTVKNYTFHNYKSGYIHKCLVDGLEYDTKYYYEIGDHDSARKFWFQTPPRIDPDASYKFGIIGDLGQTYNSLSTLEHYKRSGGQTVLFVGDLSYADRYQYHDVGVRWDTWGRFIEQSAAYQPWIWCAGNHEIEYMPYMGEVTPFKSYLHRYPTPYPASKSSDPLWYAIRRGSAHVIVLSSYSPFVKYTPQWRWLAEELKRVDRKKTPWLIVLMHVPIYNSNEAHFMEGESMRTVFESWFVKYKVDVVFAGHVHAYERSYRISNIHYNVSSGYPYPVPDKSAPVYITVGDGGNQEGLAGRFRDPQPEYSAFREASYGHSTLEIKNRTHALYHWNRNDDGKKVATDAFMLYNQYWGTSKRRRNLRKNRLYSVIVNRPLSERL >CDP02904 pep chromosome:AUK_PRJEB4211_v1:6:11696277:11706262:-1 gene:GSCOC_T00041317001 transcript:CDP02904 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKQKRHRKAVRFYTACCGFREPFKVLCDGTFVHHLLLNKITPADIALANALGGPVKIFTTRCVLGELRSLGDSYAESLNAARNLLTARCDHEKRKSAVSCITDVIGENNSDHFFVATQDAELRKKFQKVPGVPLIYGLRNALFLEQPSAFQQQFVRSAEEDRSHMTDLEYKLLNVKKKNVAFEEAKDSADANEDKNDGTLTVQAIQTNFRKKRDLKDTVQFKRKRAKGPNPLSCKKKKPRDNTNNAASVKENGNGDTTVRSRNRKRKRSRKSKNVSKANV >CDP03171 pep chromosome:AUK_PRJEB4211_v1:6:9104218:9107039:-1 gene:GSCOC_T00041672001 transcript:CDP03171 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESLSLLPSGKIKILTQNPAGKADEDPQISTTALLSLSTDSSSPTTPKATAKTVLFISLVLSTCIALSAAIAFAYLFFDASHSSSLPPSPPDIEVSRPLNIIKRPVVLLVSSDGFRFGYQFKTDTPNIHRLINNGTEAESGLIPVFPTLTFPNHYSIVTGLYPAYHGIINNYFTDPKTGEFFSMSSHEPKWWLGEPLWETVANHGLKAATYFWPGSEVHKGSWNCPANCCMQYDGSVPFEDRVDAVLRYFDLPSDDMPVFMTLYFEDPDHQGHKVGPDDPDITEAVARIDKLIGRLIDGLEKRGVFEDVNIIMVGDHGMVGTCDKKLIFLDDLAPWIQIPKEWVQSYSPLLAIRPPSGTSVKDVVAKMNEGLKSDKVGNGNRLKIYLKEELPSRLHYWDSYRIPPIIGLIEEGFKVEQTKSRKQECGGAHGYDNAFFSMRTIFIAHGPQFARGRKVPSFENVQIYNLVTAILNIQGAPNNGTLSFPRTILLPSH >CDP14250 pep chromosome:AUK_PRJEB4211_v1:6:36870773:36877681:1 gene:GSCOC_T00040539001 transcript:CDP14250 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCDNLVFFEGNANKSSLNYSRCLKSGLVQLSFGRKRFCGLVPRAKKKHFKRRSWWQRFFLDDDGNWLGLKDDDMLEDSLESEDSGDEELSDNEKFEAWKRRAEAIIELREAQEDIRNEENRRWEDWLADETNDNGNGTSWIHSSNGAVGKSVEEAREDPVQMIPRGGLVKSARDAIFGREDDEILYEDRVFRYASFNSAKFLAVLIIIPCALDFLVHDFVLVPFLDRYVKTVPLAAQMLDVRRSQKLEMVQEIKLEKARYRLEVEIGKSPPLSEEELWTELHHKALALRDQRRLENRRAFANIWSDIVFGVSLFILLYFNQSQVALLKFTGYKMLNNVTDTGKAFLIILVTDIFLGYHSESGWQTVCEIIVEHYGLEVDEAAITIFVCLVPVIIDACVKLWLFKFLPRLSPRVANIFREMERH >CDP06252 pep chromosome:AUK_PRJEB4211_v1:6:24186871:24189828:-1 gene:GSCOC_T00022974001 transcript:CDP06252 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFQDTHQQVPRRRRVQDSALSGRDYTIEIINGHEDRIIQNMRLDVPQFLLLCDLLLNRGYWHAYPSQRVGVHESVALTLMCLSHDERHRVLAERFQHSTETIDRHVRRVLRALVRLGRDLVRPRNVDDTHPRILNNGLLMPWFRDCVGALDGTHVSAWCRAEVRERFRNRHGDLSQNILAACDHDMRFVFVRVGWEGSAHDARILQETLLDPSSGFPMPPQGKYYAVDAAYRNMPGFMAPFRGARGTPHERAAKALFNRRHASVRNIIERTFGVLKKRFPILKGPMQNYLIATQNNIVLACCALHNFMRDYVPNDEYFNEEAINGAFADAHIAGEQVQMGQPIDMSQQGIDNWNEDRRAMAAHIAEVRERFRNRHGDLSQNILTACDHDMRFVFVRVGWEGSAHDARILQETLLDPSSGFPMPPQDAAYRNMPGFMAPFRGARGTPHERAAKALFNRRHASVRNIIERTFGVLKKRFPILKGPMQNYLIATQNNIVLACCALHNFMRDYVPNDEYFNEEAINGAFADAHIAGEQVQMGQPIDMSQQGIDNWNEDRRAMAAHMYVNANN >CDP15667 pep chromosome:AUK_PRJEB4211_v1:6:33167044:33168421:1 gene:GSCOC_T00015616001 transcript:CDP15667 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMEAQTSPSPPFSTEGLGPCELSCSHSFNNDYNEHNWVFWSTCGNDEGGCGKPHHLSASNC >CDP10205 pep chromosome:AUK_PRJEB4211_v1:6:13242341:13244268:-1 gene:GSCOC_T00030852001 transcript:CDP10205 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTGCMSERTQIRFSFFCHPACPTKIRATLSQCQNFICSEKLSSWPQIQSSVIFLSFLFLFFFLGVFGRA >CDP06273 pep chromosome:AUK_PRJEB4211_v1:6:24854313:24854647:-1 gene:GSCOC_T00023012001 transcript:CDP06273 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVKAASMGPLREALTQGFEITKLKKEDMRPVTVKDFENALQEVRPLLTILFFKCIR >CDP06229 pep chromosome:AUK_PRJEB4211_v1:6:23277954:23285186:-1 gene:GSCOC_T00022932001 transcript:CDP06229 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSILLPSGPACITSFKTKQQTTTKSQMQGVAIRCCSSSGLRVQDATASQCGKVCSINRRDVLGMAFGLSSILLFSFSSEAAGLPPEQKPRLCDDDCEKELENVPMVTTVSGLQYKDIKVGGGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQPYIFRVGSGQVIKGLDEGILSMRVGGKRRLYIPGSLAFPKGLNSAPGRPRVAAYSPVIFDVSLEYIPGLELEEE >CDP03278 pep chromosome:AUK_PRJEB4211_v1:6:8245250:8246448:1 gene:GSCOC_T00041811001 transcript:CDP03278 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLICNVIKGDITALEDLTYDKRKEFVKKNKHPDSIPLISFHSEANTALNSTMSHFAHAEIPWFPLPHFGTYNSVDAVQATRTVPVIVPVSAALAICALHLELRYGEKSDGLVTCRDAEVPGSVVVKPDMKLDHSWMVSSSWKKKTL >CDP10427 pep chromosome:AUK_PRJEB4211_v1:6:6692624:6695806:1 gene:GSCOC_T00031150001 transcript:CDP10427 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLLSNANNLLPCFQSSSSSTPLTSLYLHKQIVRTHPTSCKSALLNQDSSSSCSVLTTKRSLSISLTTGFLFFLSGKGCSDANAAILEPDDDEELLEKVKKDRKKRLERQGVLNSAAQETGYLQELVYKLSRVGQAIEKNDLSTARSVLGQSSDTDWVQKVQSAFKKLSSSPEEKSEVETFSSALDSLILSVTKNDIEASKTAFVASASAFEKWTTLTGLVEKLKGL >CDP03286 pep chromosome:AUK_PRJEB4211_v1:6:8204571:8207863:-1 gene:GSCOC_T00041822001 transcript:CDP03286 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNGLDLSLSLPCGGDSNTSKGKIGTSSENRSDISDRDTKLINEFKQFLDGGNMQIGSGMVSQRIDSAKPEIFFSNLSNTPADVDTSQNINSGVFWAVNDRPTEGEENRTDIREKRKNIFGETSKPKKHEKETDGSDLQDKARVSYISINTDDGSTAENEDVADSEAEASTSRQHMLHQDDLAKQYAGTALSEGRKDFHGISESNVGELPGQKRFSITSEREFKVGNMPQSVQFPVQPGNIVSMSQPLPAKDSKPDGVASRQLPNMMQVMAATNSDRTGGQPVLPANAPLVVSYSSVPLPALDKDNSRPVVSHQHIHPSFINRVSTQSDKHMDNLKISQVPQKMSESKQHDGKALYYAMGNGKLLAEGGASTQTEDDTKGSKGSIRPKDRPEPPRTEAFPSEYPTIKPGIAAELKFGGCGSYPNLPWVSTTAPGPNGRTISGVTYRYSPTQIKIVCACHGLHMSPEEFVRHATEEPAKPVTGTGVLPTSNPATSAQS >CDP10451 pep chromosome:AUK_PRJEB4211_v1:6:6455117:6465440:-1 gene:GSCOC_T00031180001 transcript:CDP10451 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSMSSPAIMVGDSNNNVSSNNRDGALSPTAAASLRGSMEDDVALSVAAGLAKEAALLFQAGKFAECVSVLKQLLHKKEDDPKILHNIAIAEYFQDGCSDPKKLLEELNNVKKRSEALAHASEEQQTESVGSTSRLAVGSKGNSNVSNSYSVTSSLPVVYTDEFDTSVTIFNTAVIWFHLHEYAKSYRILDALYQNIEPIDEGTALRICLLLLDVALFSNHASRSADVISYVEKVFCANSMTNQVDNGSSLHQPTMVSKSASFSATIPGASNSDSASSANVLESSLSRTLSEEALEDESLQLLSSLDIGGENLPRPSSLQSSNDVSRTQTDDSISTVDLRLKMHLYKVSFLLLTRNIKAAKREVKMAMNIARGKDYTWALYLKSQLEYARGNHRKACKLLMASSNLTEIGISSMYYNNFGCIFYRLGKYHASSVFFSKALRYRSTLLKEKPVKLATFSQVKSWQMAYNSGLSLLSCGKPIHAAQCFYKAGLTYYNRPLLWLRIAECCLMALEKGLLKSNYSSPSDGSDVKVHVVGKGKWRQLALEDGVSRTGKFDSVGRDDFSFGNGRLPELSMSLARQCLLNALHLLESSDSKYLKSGLLSDSAAEGSESGDSSASKATNYKNLAGGDPKLLNAAVGSGQANTNGEVKEQKGGNGQNTSLLNSVSDYEDICRKENQMIEQALLADMAYVELELENPLKALSTAKSLLKLFECSKIYVFLGHLYAAEALCLLNRPKEAAEHLSVYVTGGSNVQLPYSQDDLEKWSVEKIVDFEEPNGGPSSVNASSSDEFQGFTFLKPEEARGTICANLALLAAELGDPGLVQDVMQATASALNSPHVILATCNRIRFLPGRSTLDGSS >CDP03166 pep chromosome:AUK_PRJEB4211_v1:6:9123425:9126214:-1 gene:GSCOC_T00041667001 transcript:CDP03166 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSNSNPSSAAWSAPQLPTDQKIRRMKLYWRAALISNFVLGAYLFIMPKKKQINAESSKAVEAVPPPPVETTSPVHEEPPFIPSPEVPVKVQKPVPEDQQRELFKWMLEEKRKVKPQNRKEKQRIDEEKAILKQFIQKESIPGI >CDP15780 pep chromosome:AUK_PRJEB4211_v1:6:25710298:25716212:-1 gene:GSCOC_T00015868001 transcript:CDP15780 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPYLGLVALFRVVSSLLCFITSPSASAADVHGGEQPLAKIAIHKTVLALRDSASIFASPSLLGKNDEDTEWITVELVNKDPAEDDWVGVFSPAKFNDSLCYPTLEDSYEESPYICTAPIKYKYANDSNPYYTSTGKTSLTFQLINQRSDFSFALFSGGLSNPKLVAVSNTIVFANPKAPVFPRLAQGKAWDEMTVTWTSGYNIDEAYPFVEWGWKGHAAMRSPAGTLTFNRGSLCGPPARTVGWRHPGYIHTSFLKNLWPNTF >CDP09587 pep chromosome:AUK_PRJEB4211_v1:6:21197910:21199261:1 gene:GSCOC_T00029020001 transcript:CDP09587 gene_biotype:protein_coding transcript_biotype:protein_coding MIELANELGVSSYVFFTCSAAILGFVFYLPIHYNQIGREFETSDSDSIIPAYSHPVPTNVVPSFAFNKYGGYASSLKHATRFKETKGIIVNTFAELEPHAVNQLKSDSETLPIYTAGPLLDLEGKRQDSDCERIMKWLDDQPPSSVVFLCFGSMGSFEPDQLAEMAIAIERSGYRFLWAVRSPPFKDDPTKRMGEYSNLSDVLPEGFLERTENRGLLCGWAPQMEVLAHEAVGGFVSHCGWNSTLESLWYGVPVATWPLYAEQQINAFELVRELELALELKLDYRTENAKNLVMAEEIEKAIRCLMDSENPIRGRVKEMKEMSRKAIQNGGSSFISVGRLIEDIHINKANKA >CDP12651 pep chromosome:AUK_PRJEB4211_v1:6:15917125:15924336:-1 gene:GSCOC_T00036358001 transcript:CDP12651 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSASSTLLPMAVFSLSLLFSLFALAPQVIALKGIDVENPAVDVAPRLPVVSGLSKDAALCERVQVAGISRLKIGKYSSAYRVTVAPSVVIPERLHNKIQICFHKNSSLGLCQCEKDDWKSVTKGILVSVASPYEDRYIDVKFVGDLSGSVTVTVEEEFQGWRLIFLALGIMLLLLAPIVSSWVPFYYSSSMAIGICLVIIILLFQGMKLLPTGRKSIFYLTIYGSVLGAGSFLLRHFSMLVNSILINFGLSEEMYNPVSVFLLVGITLAGAGLGYWLVRKFVVAEDGSVNVGIAQFVKWAIRIVAVTFIFQSTLDILLAVAVLISCLAMCFGFTSMRWNDLEDLPFSLDGSLWAWRSGQANVKHKHAEFYSRPGKKNHHSTLWNSPKGSFPGSPAKGLQSPFQRVTRTQQGYYSPSARRGTRNWQDHYSTFHETPNRKKYTKQEWEDFTQESTRQGLAELASSPEFTDWIIKNADRIQLRSDHSSDETVGSGSDSTDEQAVESSNGIRLFKW >CDP06240 pep chromosome:AUK_PRJEB4211_v1:6:23779384:23780462:1 gene:GSCOC_T00022953001 transcript:CDP06240 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPILKNIGAKRYIAELKEDIPLAAAFAEIKEMNRLLSEAFKLGSVVKVSASFISSRSGFKFSISVILPDHCFLSRLKKWAYKCLNVNLID >CDP19204 pep chromosome:AUK_PRJEB4211_v1:6:15586453:15592586:-1 gene:GSCOC_T00012653001 transcript:CDP19204 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSSPFQIPSSKVDQLSSISQKRYFLHSFLPKKSSPTNSNSVLKFKCAAIGNGLFTQTTPEVRRIVPENNKGLPTVKVVYVVLEAQYQSSLTAAVQTLNQNGKFASFEVVGYLVEELRDENTYKTFCKDLEDANIFIGSLIFVEELALKVKAAVEKERNRLDAVLVFPSMPEVMRLNKLGSFSMSQLGLSKSPFFQLFKKKNKSSAGFADSMLKLVRTLPKVLKYLPSDKAQDARMYILSLQFWLGGSPDNLVNFLKMISGSYVPALKGTKIEYSDPVLYLDSGVWHPLAPCMYDDVKEYLNWYGTRRDANEKLKSPSAPIIGLVLQRSHIVTGDESHYVAVIMELEARGAKVIPIFAGGLDFSGPVEKYFIDPVTKKPFVNSVVSLTGFALVGGPARQDHPRAVEALRKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGNVLIFVCTCHYTIAGKSHALHKRVEQLCTRAIKWAELKKKSKTEKKLAVTVFSFPPDKGNVGTAAYLNVFASIYSVLKDLQKDGYNVEGLPETGEALIEDIIHDKEAQFNSPNLNIAYKMNVREYQKLTPYATALEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDVCYPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGSQIVSSIISTARQCNLDKDVDLPEEGGEISGAEHDLVVGKVYSKIMEIESRLLPCGLHVIGEPPSAMEAVATLVNIAALDRPEDGISSLPSILAQTVGRDMEDVYRGSDKGILRDVELLRQITEASRGAITAFVERTTNKKGQVVDVADKLSAILGFGINEPWVQYLSTSKFYRADREKLRVLFQFLGECLKLVVADNELGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAAMQSAKVVVDRLLERQKADNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDELEDQNYVRKHALEQAKELGIDVREAATRVFSNASGSYSSNVNLAVENSSWNDEKQLQDMYLSRKSFAFDCDAPGAGMTEKRKVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRMDGKKPNAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIEDQEMLNRLMSTNPNSFRKLVQTFLEANGRGYWETSEENIERLRQLYSEVEDKIEGIDR >CDP14251 pep chromosome:AUK_PRJEB4211_v1:6:36863042:36865615:-1 gene:GSCOC_T00040540001 transcript:CDP14251 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGMVVSNKMQKSVVVAVDRLFHHKLYNRYVKRTSKFMAHDEHNQCNIGDRVKLDPSRPLSKRKNWVVAEILKKARIYTPQSAADSTNMADLSSSMSSGSTKPAQNSSSSA >CDP06436 pep chromosome:AUK_PRJEB4211_v1:6:768183:770718:1 gene:GSCOC_T00023289001 transcript:CDP06436 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILNKVGSYWLGQKANKEFSSVGDDINSMSSSIEGGAKWLVNKIKGKMQKPLPELLKEYDIAVGIFPRDATNYEFNEETRKLTVFIPSVCEVGYKDSSVLRFSTVVTGYLEKGKFVDIEGMKTKVLIWVKVTAIATEGSKLHFTAGMKKTRSRDAYEVLRDGVGIDKF >CDP14205 pep chromosome:AUK_PRJEB4211_v1:6:18813926:18814171:-1 gene:GSCOC_T00040468001 transcript:CDP14205 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQYNFFPTDFFFPKTVTRDSNPQQVLLTTTNDHETEDTAQPKQLMISSNSTRVLKAIPSSSSLALAPIRKQNQEAPSQ >CDP06464 pep chromosome:AUK_PRJEB4211_v1:6:951817:954041:-1 gene:GSCOC_T00023326001 transcript:CDP06464 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMKAPRGKIPLSIIAVLFCVFAFMALLYTERLSFLSSGSIFKFRPCAARYKMSKTDNKRSVNKQIDYAADDRFEFDPEECDIGHGKWVFNRSIKPLYSDRTCPYIDRQVSCVKNGRNDSDYRHWQWRPDDCMLPRFNPDIALKKLQGKRLMFVGDSLQRGQWQSFVCLVEHIIPKSQKSMKRGRVHSVFRAKEYNATIEFYWAPFLVESNSDERIVGDPKKRILRVDSIAKHAKHWVDVDILVFNTYVWWMSGLKIKSLWGSFANGEEGSEELEAPVSYRIGLKTWANWVDSTIDPNTTRVFFTTMSPTHQRSEDWGNKNGIKCFNETKPILNKWHWGSGSDRRMMNVVASVVEKMKVPVTFINITQLSEYRIDAHSSIYTELQGQLLTDEQKADPLHNADCIHWCLPGVPDTWNQILFALL >CDP15280 pep chromosome:AUK_PRJEB4211_v1:6:3490428:3494465:-1 gene:GSCOC_T00042951001 transcript:CDP15280 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPKKLEEGFADDVKGHLVEEEETRSFHGEKDKTSRVDDTSAAAQKQEQTALVLTKPPKTKRIATLDAFRGLTVVLMILVDDAGGAYPRIDHSPWNGCTLADFVMPFFLFIVGVAIALALKRIPKVNAAVRKIISRTLKLFFWGLILQGGYSHAPSDLSYGVNMKLLRWCGILQQRIALVYLIVALIETFTTKLRPTAISPGFRSIFTAYKWQWLGGFVSFLIYMITVFTLYVPDWTFVVHHGHAAGKYTVKCGMRGHFGPACNAVGYVDRQVWGINHLYADPVWKRLKACTFSSPASGPLREDAPTWCLAPFEPEGFLSSISAILSGTIGIHYGHVLIHFKGHKERLKHWVSMGLCLIVLALVLHFTDAIPINKQLYSFSYVCFTAGAAGIVFSGFYILIDVWGQRKPFLFLEWIGMNAMLVFVMAAQGIFAAFINGWYYEDPKNSLVNWIQQHVFIDVWKSERVGTLLYVIFAEIVFWAVVSGVLHKLRIYWKL >CDP06205 pep chromosome:AUK_PRJEB4211_v1:6:22378677:22379659:-1 gene:GSCOC_T00022885001 transcript:CDP06205 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEISIWPAEGYYLFKVFTKHVFPPWGHKFLLSFQVYCIYPHELPKAKCKTKVCCGNICLNILRRDRNSVLNINTVIYGQYHLFTEPNSKDPLNHDAAAELRDNPKLFH >CDP02951 pep chromosome:AUK_PRJEB4211_v1:6:11201936:11203272:1 gene:GSCOC_T00041388001 transcript:CDP02951 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKAYIEKHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFTEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGRRKQSQMNRLSGSGQDQKDAHGGIEENTLQNLSSSALERLQLHMQLQSLQNPFSFYNNPALWPKLNPLQEKMIQSLQNLTESQNPSMQQVSPSPQSGLGQNLENSINPLNPGNNPIDSTLAPKSNVMQQPGSEYQPISTFTPAEIDNLLSSKTAGFLSSDNQMAEFDCFKEIDGVRDALMWWSNDMDTNSASSNSWDSASLLQQSGGMYQDYAVGYNM >CDP19048 pep chromosome:AUK_PRJEB4211_v1:6:34534508:34535257:-1 gene:GSCOC_T00012881001 transcript:CDP19048 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGHLLLAALLVSFNLLSANAQHRGVFPSARALNKIDRSAVPLSPSFGIDVLNRSSFPKGFIFGAASSAYQVEGAWNIDGKGPSNWDVFTHKFPGLQSF >CDP03363 pep chromosome:AUK_PRJEB4211_v1:6:7588213:7589819:-1 gene:GSCOC_T00041920001 transcript:CDP03363 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPLLIFTITACILRNVAVSSPNPTLTAAHAELLEYGFPVGLLPANLQTYDLNRTSGDFVLKLDDTCRITLPPDNYLATYSKKITGKIVENRIAGLDGIGVRAFFRWWGISGIRSNGQDLTFEVGYITKSFPSKNFDESPECEGKRSSS >CDP06567 pep chromosome:AUK_PRJEB4211_v1:6:1751359:1759807:-1 gene:GSCOC_T00023465001 transcript:CDP06567 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPMSRGGRFVVGEYVVGQQLGAGSFSTVWHGLHRVHGTEVAIKEIVTARLNTKLRDSLKSEIVILKKINHPNIIRLHDMIEDSGKIYIILEYCSGGDLSVYIQNRQGGIPEATAKHFMQQLASGLKVLRENNLIHRDLKPQNLLLSTNDDKSILKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPYTGNNQLQLFQNIVRSTELQFPRDIKDLSPHCMDLCRKLLRRNPVERLTFEEFFNHPFLSQRQADELLWNMRRQKSIDGFPLSELNPVGKTEEIAQEDLPFSLDDDSSGPDGSPSFVGMSPIKSTYGFSLDAKADRKEASKAAEKMGLASSYGSVSHKPEATMFNIGGLKLSEGKLKESLKSVDPAPAKSHVKVADSLELIDQEYVLVPGPPLDVSSSPVISTLENVSSKPGSPPQVSGNINFISTAPIPIVGTAANKVGHIESFESHGSAPGTSQGSMDVADTLEQPSADCITRVRSLKCCASAIRELVNEKLEAGKQLEAFSVQLVILAIWKQALHICHTRAASATEGSPTPDSTRLREMTKELDGLDIHEDLDAANTLGSQHICSQIERAFLLEVGNAEELAKIVEPGITCYQGNTEMPDAMETIFQSALALGRRGAVDEYMGCTGDAVVFYSKAVRLLVFLLVEAPSLILNPPFSLTNSDRCRLRSYIDVLNNRQSISRSQRMALLKGGDQQCSS >CDP19045 pep chromosome:AUK_PRJEB4211_v1:6:34518314:34519606:-1 gene:GSCOC_T00012878001 transcript:CDP19045 gene_biotype:protein_coding transcript_biotype:protein_coding MCYRFMNPLVYGDYPASMRILVRDRLPKFTPKQSKELIGSYDFLGLNYYTASYAAHVTTPPNKVNLSYSTDPQVNVTASRNGKLIGAQAASSWLHIYPKGIWDLLLYVKTKYKDPIIYITENGVDDVNNPTLPLKQALQDSFRIRYYYQHLQYVRKAIKNGVRVMAYYGWAIIDNFEWSSGYSVHFGINYVDYSTLKRFRKLSSYWFERFLRK >CDP14206 pep chromosome:AUK_PRJEB4211_v1:6:18826883:18830294:-1 gene:GSCOC_T00040469001 transcript:CDP14206 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDQVSISGDCDSDSKSSENNASCNITRNLFSSKINEETSRNQEPTRVVVSKSAPAGDSEGKEQVSTIDSEPAVSSGVHKGQIGKGRKMSMWHLIHKHMVSGLDGDGGTRPHQGADEGRKVEEADKDTAKKSSNVRSDFSDSDVRTYNQDEENQDIEIRKLYAVKLVREAIEKILLPEVQDQLSENQSVTSDIAEDQELSERNQQGPDEGCHSQNHTESENILADRVPQDQVDDSTSQQEIKKSEANLGKKSDKKSPSNWSNLKKWILLQRFTKELEKVRKLNLRKPRQLQLETDSGAEKISLRRQTADDKKRTEEWMLDYALQQVVSQLAPTQKRKVSLLVKAFETVVPPQEERNIQARDDATSRRDGSGNSSDHVEHFETNNHQRDINHFETNTYLKSDNLKSSSPNDAPLNESQRDINVEEFSSLKSEVFAGGFEFKSTNEKKDNLSGLADERSYSIQSETWDVDRKSIATENILPAADEDTADSFRAPELENNSNTEPENVDSRGLHNGTTIRPISLVVPKFPPLDSASNYTENEAGQSQLDKQNYLSMWHSVCQHVVSSVANKVGIELLGEEDEEAEGASKASGIETPASRKGTPKGIHGMAKEIDVASYHRAEFSRNQVLKLVKEAIQEILSPEIQDDSSDTLSVSSEIIPDKELSNKDSSEGAKQSSTGLTEQNAREIDRSEEGISLDGGKGSNNNANTEEDCRIAESLEKSKSDLPKAKNWSKLKRLMLLKRSIKAMEKARNLKLKPPQQLPLPSDIEPEKVDLRHQMMDERRKAEQWMLDYAVQHIVTKLTPARKKRVAMLVEAFEAVVPLPDT >CDP06489 pep chromosome:AUK_PRJEB4211_v1:6:1142103:1147582:1 gene:GSCOC_T00023358001 transcript:CDP06489 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLRKKSEIALVSKVPYRFVRDFLANLQEVILGTKLSVLFLAIPFAIAAQCNHFGRPWVFALSLLGLTPLAERVSFLTEQIAMYTGPTVGGLLNATCGNATELIIAILALVQHKVDVVKYSLLGSVLSNLLLVLGTSLFCGGLANVSKEQKFDRKQSDVNSMLLLLGLLCHLLPLMLKYSRNSADVFALETTVVSLSRVSCILMLIAYLAYLVFQLWTHRQFFEAQEDEDEYGDGIAEETPVIGFWSGFAWLAGMTGVIALLSEYVVATIEAASDTWGLSVSFISLILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSASQISMFVVPLTVIVAWIIGINMDLDFNLLETGSLALSIIITAFALQDGTSHYMKGLVLLLCYVVIGACFFVSRSPLNQGPANVVDLGMKSSAHGILRV >CDP03031 pep chromosome:AUK_PRJEB4211_v1:6:10379231:10382084:-1 gene:GSCOC_T00041501001 transcript:CDP03031 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNSRFGTSSLIHVSLVLLLCFKASGGSAERSSAFFIFGDSTVDPGNNNYIKTTPENQANYKPYGQNGFFKEPTGRFSDGRIIVDYIAEYAKLPIIPPYLQPSADYSHGVNFASGGAGILSTTNPGVVIDLKTQLEYFHKVQRSLAEKLGTAEAEEIISNAVYFISMGSNDYMGGYLGNPEMQQLHPPEDYVRMVIGNLTQGIQELYDRGARKFGFLSLCPLGCLPALRVLNPKGHDAGCFEQASALALAHSNALQAVLPNLELLLPKGFKYCNSNFYDWLLDRINDPTKYGFKEGESACCGAGPYRGIFTCGGTKKDPNYELCDNPSDYVWFDSFHPTERIHEQFAKALWDGLSPSVGPYNLEGLFFNKQTIADVVDNPETQQIF >CDP06352 pep chromosome:AUK_PRJEB4211_v1:6:14719:24529:-1 gene:GSCOC_T00023167001 transcript:CDP06352 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGLVPITRSFLASYYDKYMFPPLSDDVSRLSAEIRSLSGDLLKDSPPSQGETLLIRESEKQPPHKIDENLWKNREQIEEIIFLLETSNWPTSLQQQLTGEHTELVSLLSRLKEKFESIFKLLEHFQSRNSEFVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEIEALVNSGGTIRDRYALLWKQQMERRRLLAQLGSATGVYKTLVKYLVGVPQVLLDFIRQINDDQGPMEEQRHRYGPPLYALTTMVLNIRLFLLLLWGHFEAQKVQGNQISVLEAAVDVYTSEFERFISFIGEVFANSPFFITAEDAGALEARNNDDFKEINIPAGKIYEVSLSVDSINSYIGWDFSLVQGRMNMDIGFSVEYTDAAGQKTLILPYGRYESDQGNFCTCMAGNYRLVWDNSFSAFFGKVLRYKVDCIPPVVEPVSS >CDP03038 pep chromosome:AUK_PRJEB4211_v1:6:10302873:10303844:-1 gene:GSCOC_T00041510001 transcript:CDP03038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein SAUR76 [Source:Projected from Arabidopsis thaliana (AT5G20820) UniProtKB/Swiss-Prot;Acc:Q29PU2] MAKAGRLTKLKSVLKKMQSFKLGRANGSPIAAANSCSDDENAVSNQDLHPVYVGKSRRRYLVSSDVIENPLFRELMDRSGDSDDCIRVGCEVVLFEHLLWMLENADPQPESLDELVEFYAC >CDP16115 pep chromosome:AUK_PRJEB4211_v1:6:33731223:33733976:1 gene:GSCOC_T00017166001 transcript:CDP16115 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFLSGRRKEHIAKDRDVFPDYVEEFLLEINKVKAKAREFYTQDIHKLRWFRSPLTNGIGFIDSLLEKLKETLEGEAKVLSFGRHQVGVIQEELKSVRSDLDNILELKNDSEELSALWTQIVNVAYRAEHVIDSCLIGDGQICYHVICLSDIIEEIKLIKNEFRKIKSKEVYIRKISGNKDSSRTLLARANTSKLDEIVVGFKDVAETILDKLRGGSARRDIVTIVGMAGQGKTTLAKKIYNDPLIHHIVARDTIITMTDEDLGERLWKCLKGHKYLIVIDDIWDSKAWYDIERFFPDDNNGSRIMFTSRIHDVALQVMPNSKPLHLRPLSNEESWELLEKKLLEKGDCPSHLSEVGEHIVKLCKGLPLAIVVIAGLLTRETHNKINWKQISEHLGSRLASEGYMDILELSYMHLPDHLKTCFLYFGALPEDKVISAQKLIKLWIAEGFVRRTGIKRLEDVAKEYFMYLVRRSLVIVTGRSSTGGIKTCYIHDLFRDLCLAKAKEEYFFQLVSISGGHDLPHFLLNPSRPDGLRIHSLLFFAANSLNLFPCSNASISFDRFKHLKVLHLGGIFFGSYFPIEITVLVLLRYLEIRGMFKNVPSSIVNLKTLETLVIKSVTSIVLPAIIWKMKSLRNVDVKTDFVFTEDYDSFDSLSNLEVLSTIRISHPQVTMKLFGRLPALRKLSCIFVKSQSGVSSPFEFLKLRKHAFAGPKWDIEDVQFPNLKYLELYNLNIEKWINVSTESFPCLHRLVLRHCYRLEEIPPCFGDVSTLKMIEVRFCSERVTNSAKSILCEQLDMGNEDIEVLIS >CDP14112 pep chromosome:AUK_PRJEB4211_v1:6:17657059:17660646:-1 gene:GSCOC_T00040337001 transcript:CDP14112 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSKIDASPSSSSEASSLTGPVEASQFLHTPKYCEENIYLLCKKLCANGLADSDGSDLFVVFISNEKQQALMTLLQIPLWHQKASQRADGVVLWDYHVICVQKRKDASSSHMVWDLDSTLPFPSHLASYVAETFRPSFKLFSEFQRLFRIVHAPVLLKYFASDRRHMKDSAGNWISEPPPYQAIVAEDGTVHNLNEYMNFSAVEVLENVEADSVSAVFNQRFGIVASEGQLEEFFSLIA >CDP03344 pep chromosome:AUK_PRJEB4211_v1:6:7778646:7781228:1 gene:GSCOC_T00041892001 transcript:CDP03344 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGNKNIQSKLVLLGDMGTGKTSLALRFVKGQFHDFEESTIGAAFFTQVLSLNEATIKFDIWDTAGQERYHSLAPMYYRGAAAAVIVYDITNADSFERAKKWVQQLQRHGNSNMIVALVANKADLSSDRTVENEEGEHYARENGLLFFETSAKTAQNVNELFYEIAKSLAKAVHIRQAGMKLQSRSAEQRRGLFCCSG >CDP15670 pep chromosome:AUK_PRJEB4211_v1:6:33196617:33200270:-1 gene:GSCOC_T00015620001 transcript:CDP15670 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFNRPYPRHINKRYYFLLYNLILFCSFVKRLESINTVISSVWWVFGFYWIYMGGKALLQDSPRLYWLSVVFLAFDVFFMIFCIAMAFIFFVLLASLFKVSDRKLLKLSSLLFLSTSRVGLLLKTKHEYNEPLTKIAQFPKCGVLEKMECCICLHGYEDGVEVCTLPCNHHFHYRCIRRWLRINATCPLCKFNILQGEMLV >CDP06363 pep chromosome:AUK_PRJEB4211_v1:6:84931:85830:1 gene:GSCOC_T00023181001 transcript:CDP06363 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTNSTTTACGSQNRGSPFKDSSSFRLRSPCLNSLRLRRIFDLFDKNKDSIISAEELTQALNLLGLDARLCDLDSTLRSYIQPGQAGLTFQDFEALHRSLHDVFFGLQDQDDADSTSPPGSCKEEEEARQQEESDLSEAFKVFDEDGDGYISARELQVVLGKLGLQEEAREMDRVQMMISSVDLNHDGLVDFFEFKDMMRSIIVRSA >CDP06284 pep chromosome:AUK_PRJEB4211_v1:6:25175811:25177753:1 gene:GSCOC_T00023034001 transcript:CDP06284 gene_biotype:protein_coding transcript_biotype:protein_coding MVKACGGLPLAVIILVGTLATKQNLKEWESVNNNVRAYLGRGKSIMEEGNLHKILALSYNDLPYKSVVLMASGFKMLRVLAVEGFDHLRLPKAIGKLIHLRYLSLRGSVFLRLPSSWGNLRYLQTLDFRDCPRCRIPDVLWKMRQLRYLYLPDAYGTHKLGKLKLNLFCKKLRLKGLDKLEILENFCPLSCSSQDISTLKNLRVLSAVVDMDFDEHFPTEIHRLMSNSDHAHVVGQCFSRRNLQVLEVKGPMANFPKYDAQYMYASLVDMKLTEIEIEEDPMKTLERLPNQRSLLLAYESFLGKEMRCKATGFGQLRFFRLFGLTNLVKWIVDEGAMPNLSVLMINCCPKLEIVPNGLRYIKTLKELIVVSMPERFTNRIQAVNGADQGQDFDKVSHIPSIIVNGCRTHLTPDILRILIISSVLQSTRSIKMGDLDGFRLGKMNNEYK >CDP11658 pep chromosome:AUK_PRJEB4211_v1:6:28471622:28474626:-1 gene:GSCOC_T00034064001 transcript:CDP11658 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQLKGRWSLNGMTALVTGGTRGIGHAIVGELAGFGATVYTCSRNQKDLDERLQEWGAKGFKVYCSTCDLSSRTEREELMNNVSSTFNGKLNILVNNAATVILRRATDLSAEDFSRVLGTNLECPYHLCQLAYPLLKAFRSWKYSFYLICGW >CDP06504 pep chromosome:AUK_PRJEB4211_v1:6:1268587:1270192:-1 gene:GSCOC_T00023377001 transcript:CDP06504 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMIRDDGRLQVTSSGYENFHLHRKRKKQKPKKAQQPLQFCTWLAGILVSENQRPSQQPWIRDLKCSVCLAFRRQGSFDFDAQS >CDP10546 pep chromosome:AUK_PRJEB4211_v1:6:5686562:5688334:1 gene:GSCOC_T00031304001 transcript:CDP10546 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGSKQVGTGAATWLPPMCLPLLLHVKKGNFYMHGSHVFFLVGGGEGGSQVVLLPGFGLGIILRIKLRGLALSSHCNIPTSFIAIFSGKTNPGGSSAGFRSTGMERKAVDQTSGGTEEEAAVVVQQQPLLAGERTQIMKVMVAVDESEGSFYALKWTLNHFFHQLPAAGAVPPEEPGRESSMITIVHVQEPFQPFIYPAGPAVYATPMVVESVKRAQEKNAASVLSRALLMCKDYKIKAETLILEGDPKDRICEAAEELHVDLVVVGSRGLGKIKRAFLGSVSDYCAHHVRCPVLIVKPPRDQEVHKK >CDP03237 pep chromosome:AUK_PRJEB4211_v1:6:8696844:8700814:1 gene:GSCOC_T00041751001 transcript:CDP03237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) UniProtKB/Swiss-Prot;Acc:Q8VZU9] MSSPAEWYKSLPPVTKIYGTACLVCTVACQIGLLTPFDFAYIPRLVFFNFQVHKLFTTLFFLGKFSINFGIRLLMIARYGVQLESGPFQGRTADFLWMMIFGAFSLLVLAAIPPLQSPFLGISLVFMLLYVWSREFPNASINIYGLVNLKAFYLPWAMLCLDVIFGSPIIPDLLGIVAGHLFYFLTVLHPLATGRNILKTPQWVQKLVQRTRYFNPVQNSARADRTDPVFRGRSYRLGG >CDP10178 pep chromosome:AUK_PRJEB4211_v1:6:13532927:13555099:-1 gene:GSCOC_T00030806001 transcript:CDP10178 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRGSKPQKSQKLTNGRQANSPASSTTSSSKQFPETSVDGLSSPASSSARSKPPQYFYAEGLGLDAERSKENVTVTVRFRPLSPREIRQGEEIAWYADGDTIVRNEHNPSIAYAYDRVFGPTTTTRHVYDVGAQHVISGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPSREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCENCEGEAVTLSQLNLIDLAGSESSRAETTGVRRKEGAYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNTEETHNTLKFAHRAKHIEVQAAQNKIIDEKSLIKKYQNEIRCLKEELEQLKRGIVTVPQTKDSGDDILSKGKLEDGQVRLQSRLEQEEEAKAALLGRIQRLTKLILVSTKASQSSRFPQRPGLRRRHSFGEEELAYLPHKRRDLIWDDENIDLYVSLDGNVETPDETLKEEKKTRKNGLLNWLKIRKRESGTGTLTSTSDKSSGVKSTSTPSTPQAESVNIRMESRLSHSLATESTPSAEHLSEARQDREVPEDNFLGQETPLTSIKTIDQIDLLREQQKILSGEVALHSSALKRLSEEAIRHPRKEHIQEEIRKLNDEINRKNEQIASLEKQITDSILVSDEKLEKLEESQSLTELVAQLNEKSFELEVKAADNRIIQEQLNQKIHECEELHEIVASLKQQLSDALEQRKLSPLAIHSKQHSEIQNFCGELAQNEVSVVKDTKEALILQVQETEIRELKRKVDELTDSKEQLELRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNEKLAAELTAQKSSTTQRRTVTSTRNGRRESHVKQVVLPPDIKRELALSRERELSYEAALAEKDQKEAELQGMVEESKQREAYLENELANMWVLVAKLKKSQGAESGVHESTKEGQKINDLELWNGTTQAKGF >CDP06396 pep chromosome:AUK_PRJEB4211_v1:6:327987:333604:-1 gene:GSCOC_T00023226001 transcript:CDP06396 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGQKAKQVVSLLAVISWVGSWRALAYRPGDIVPMSRVGQYHSSRSVWYDMIGRHCPTFAVNREALIPIPKPTGYTGADPYKISFQVGREKFYIPWLFLINRKSSEVPMIDMHLRYAGSDLLGVTAKIIDMPHRYIEFHPDIRKQFWDKDHWPKHVLVRYTWEEQSEIDVASGFYVLFGSGLMLSLILAIYILQSSRDKLARFVKETVADSSMPAGVVAKVE >CDP03322 pep chromosome:AUK_PRJEB4211_v1:6:7925966:7927274:-1 gene:GSCOC_T00041866001 transcript:CDP03322 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAKSESDFATLSPPSSYPASPKPPVYYVQSPSLDSHDDADKASATASCQATPAYNSSSSPMESPRTSTYGRQSNPRSSSASRVSGNWRWPRISRKRSGKGWQECSLVEEEGVYDGSHEDDEKRSPLLAAFVGVAVVFTACCVIIWAASRPYRPQITMKSLRVHNFYLGQGSDRTGVPTKLLTVNCTATMSIRNPATFFGIYVGSKMVDLMYFEMTVATGELKRYYQPRTSSRTISVNLDGRSIPLYGAGATLDDDDTEVPLKLNMEIQSLGYLVGKLVKTKYQKRVSCSMVFNTERMEEIKFHPDSCIFN >CDP14140 pep chromosome:AUK_PRJEB4211_v1:6:18037036:18038403:1 gene:GSCOC_T00040376001 transcript:CDP14140 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFPLQGHLNQLLHLSRLISSYNIPVHFVSTATHNRQAKLRVHGWNPLSKANIHFHEFPTANFPNPPPNPNTSLKFPAHLQPSFDASADLRGPISALFRALSARAKRVVIINDSLMGSVVQDFVTVSNAESYTFHSVSAFSIFFFMWERMENPFPVDKEILKILPPMDGCFTPEFSNFVKAQHNFVQFNSGRIYNSCKVIEGPYLDLLSKEEISLNKKQWALGPFNPVNIMHQKSGPKEQNNERHKCLQWLDSQSNNSVIFVSFGTTTSISDEQIRELAFGLERSAQKFLWVLRDADSGDVFVQETRKLELPKGFERRVEGRGLVVRNWAPQLEILGHSATGGFMSHCGWNSCMESITMGVPMATWPMHSDQPRNAVLITKILKVGLEVKDWAHKDELVTSSKVEKAARMLMAEEEGQQMRKRSAEMGAAVRTSLAEGGVTRMELDSFIAHITR >CDP14147 pep chromosome:AUK_PRJEB4211_v1:6:18140403:18142904:1 gene:GSCOC_T00040384001 transcript:CDP14147 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIAVQFLWPLLVHRDFGMEFLGFNKCQISKKKKNFFSNSPTFPVIFQNQFLGFDRFQISKSILDNNLGSLNLFFTISVLFFVMDY >CDP03378 pep chromosome:AUK_PRJEB4211_v1:6:7454894:7456300:1 gene:GSCOC_T00041938001 transcript:CDP03378 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVEELQFPHLRIPVSIKSISPVLPACPVPAADGDTLYLSNLDDAIGVRVFTPTVYFYRSNSQKPVASVLRDALASVLVPYFPFSGRLREAKNGKLEVFFGPQQGALFIEAQTDMSLADLGDVTVPNPAWTALIYKFPDEEQYKVIDMPLVIAQATQFSCGGFSLGLRMCHCLSDGVGAMQFLSAWAATAKAGSLVVNPKPCWDREHFRPRNPPKVQFPHTEYKRILDGSTLTKSLWEVKPIQKCYRISGDYQARLKTLAQAGGDLPCTTFDAMAAHVWRSFVQALDVKPPDFELRLTFSVNARPKLQNQPLSDGFYGNVLCVACATSTVHGLVNGCLSDAAWLIRQARLDVSEEYLRSTIDYIEVDRPMNLEFGGKLTITQWTRFSIYECTDFGWGRPIYAGPIDLTPTPQVCVFLPEGGAESEGAMLLCICLPESASRRFGELLSLKEIPLTAREVKASQGGKC >CDP10419 pep chromosome:AUK_PRJEB4211_v1:6:6762100:6766444:-1 gene:GSCOC_T00031139001 transcript:CDP10419 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVNYGGDDDFYTGRSICSADSGLVLSIADVYCPPRKRSRVSAPYAVDSRLFNKERNPSIETLPDECLFEIFRRLPGAQERSASACVSKRWLMLLSSVRNSEFCRSNSPQEQLATKEVKKTESDVEMNSADGDMEIQCNGYLTRSLEGKKATDVRLAAIAVGTACRGGLGKLSVRGCNSVRGVTNNGLSAIAHGCPSLRALSLWNVPAIGDEGLFEIARECHSLEKLDLCQCPSISDKGLAAVAKNCPNLSALTIESCSNIGNESLQAIGSYCPKLQSITIKDCPLIGDQGVAGLLSSASVALTKVKFQVLNISDFSLAVIGHYGKSITNLVLTGLQNVSQKGFWVMGNAQGLQMLSSLTITSCRGTSDLSLEALGKGCPNLRQMCLRKCCFVSDNGLVAFAKAAGSLECLQLEECNRITETGILNALSNCNSKLKSLSIVKCMGIKSMPSETPALSPCESLRSLSIRSCPWFNSTSLALVGKLCPQLHHLDLSGLCGITDAALLPLLESCESLVKVNLSDCGILTDKVIIALAELHGATLELLNLEGCKKVTDASLVAIADSCLFLNDLDVSKCSITDSGVAALSNGVHLNLQTLVGLNLQHCNSISSSSIERLVENLWRCDILS >CDP15347 pep chromosome:AUK_PRJEB4211_v1:6:4012954:4018017:1 gene:GSCOC_T00043042001 transcript:CDP15347 gene_biotype:protein_coding transcript_biotype:protein_coding MHKYNRRVSLKVHNTPNEQVVAPQVNSQLSKISLVIAESRHLTTVSLRRTTTIHCCTFPFSLITLSLSLFFFFFFVFFPSPKKEKKKSIYIVFSSRNSGMDLEEKESTESGSPGKTESSPPVSGGGEGMVLPVVMNMAVSMSMENSLGVSAATMEGGGGGGSAEPGGGVVVGSGGVSSRSGDLGLLSGSRGKKKRGRPRKYDSEGNLRLPYMATPTNPPGFTLTSPTSPPHFSSSSSKRGRGRPPGSGNWQLLASLGELFASTAGGDFTPHVVNVYTGEDVAGKILSFAQKGPRGLCVLSANGTVSNVTIRQPGSSGGILTYEGRFEILTLTGSFTISENGGIKSRSGGLSVSLAGPDGRVIGGGVAGLLLAASPIQIVVGSFMPNGYKTHRRKHNSEPRTATAVHGALDAIMSAKPVSQAPPESNILHAPTFNLSVETNGELENSTSNADNPNSTSTDNADWNVSDPTPDQRSTPDINVSVTFEQQ >CDP15369 pep chromosome:AUK_PRJEB4211_v1:6:4196049:4197739:1 gene:GSCOC_T00043075001 transcript:CDP15369 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITTCYSEHSIKVSNSYCSGPSGQPYLSPGLIPSVQNSVTCLYKVKLSAEKHFFIKITWCDSVGQGFSIGIGEDTRSLSKFSRNSRQFKKVKGTKAFECCDSRIEVLWDLSQATFDIGPEPVNGYYVTVWVDSELSLILGDMEEEELDVRKSTSGVQLPKFSLLSRSEHFSGNALYSTKAQFCDAGTCHDIVIKCVGEDTGSKDSELSVTIDKKNVIHVKRLQWNFRGNQTIFVDGLLVDMMWDVHDWFFNPSPGYAVFMFRTRSGLDSRLWLEEKMLEQKEQEKGGFSLLICARKTSD >CDP03088 pep chromosome:AUK_PRJEB4211_v1:6:9836213:9840470:-1 gene:GSCOC_T00041571001 transcript:CDP03088 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELLPVTPNEYGTFHAMDRALYSLLAVDLWRDPYESVQIMALWLWMERLGFKNLVLTILSMPPMLINKYADEALTCVKCVNDPQFVLSAEVNEIPATSRLVKKRITLQYFHENRPIVVKELRNVVTETCAMALQDVIEDAVKKHNAYESLVNSFSNLGFVGEACGDYSNANGASQEERTMFVTFSKGYPVAESEVTEFFTKLFGNCIEAFHMQPVRSDEQPLYARVVFSTPKVIDLILGGVTKAKFTINGKHLWMRKFVPKRKSSFPRSRRP >CDP06272 pep chromosome:AUK_PRJEB4211_v1:6:24851303:24852981:1 gene:GSCOC_T00023011001 transcript:CDP06272 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIRKNRSEESLRKKLREGLQNAFVVQSYTSSVEKKGLNKLEFLFCSFKAMMMVDLQLEATPQFRKLLSIGFHCFFRLLLSSFFVVSLIPMFQYCC >CDP10237 pep chromosome:AUK_PRJEB4211_v1:6:12825908:12828175:-1 gene:GSCOC_T00030900001 transcript:CDP10237 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFSPRARRRSALLTWQHIASLPPSLPVVYSGGFNTQKESTTGRFLLGRSREHGVVGDMRDTWPNARVRKNVSLIRTYHGFKGDKQGAVEFLKLIFRALCLCWDRQTQDLHVDWILFRGRSLIPVSCEVVSDNIDGLYPSSHYPIHAEFMLPRTVRLTDAPTQDGN >CDP14136 pep chromosome:AUK_PRJEB4211_v1:6:17987586:17993504:-1 gene:GSCOC_T00040372001 transcript:CDP14136 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSVKLRLTSFLIVLISINETLSSSYSILHMIQNIKKQQASSVLGRSTLMFVSWPSPSPTVQSQYQRPVGIIRETHEVTVVIVPLPAQGHLNQLLHLSRLISSYDIPVHYVGTASHIRQAKVRVHGWDPLAISNIHFHEFSIPSYETPPPNPNAPTKFPTQLIPVFNTSIKLREPVYALLQQLSGTSRRLVVIYDSLMAYVIQDVGLIPNAESYCSPGTSAFTIYSCFPQEMTDFAKLQQDVKPISSGYLFNTCRAIEGPYLDLHVKSKITDSDKQWAIGPFNPVEVNGQKNSETRHCCLDWLDKQAPNSVIFISFGSTTSVSDEEAKEIATGLDKSGQKFIWVLRDADKGDVFQGEDRRAQLPKGFEERVEGRGIVVRDWAPQLEILGHSSTGGFMSHCGWNSCIESISMGVPVAAWPMHSDQPRNAILLEKVLKIGLKVRDWSKLNELVTSITVENAVRRLMDSAEGEEMRQRARELSKAVKGSVMEGGLSRLEMDSFIAHIRR >CDP10564 pep chromosome:AUK_PRJEB4211_v1:6:5546863:5547940:-1 gene:GSCOC_T00031325001 transcript:CDP10564 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQIVLRAPPSYTDRRQPLLTQEETGSRRGSKRVAEVVGGTTAECVAVCCCCPCGLVNLLVLAVYKVPAGLCRKALRQKRRRKLKNGGHLPPRKCGCNDVELFQLHPLPGPLLTAESDKDVVELEKEMWDKFYSTGFWRSPSQRSDSAVNSSL >CDP03026 pep chromosome:AUK_PRJEB4211_v1:6:10405333:10418359:1 gene:GSCOC_T00041496001 transcript:CDP03026 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPDNNKLSEIVDRVRSWIPRRMEPTNVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCAANSIPAPSDESRTGWEDREKIRVCNYCFKHWEQGMTTADNGMTTSSPVLSPSPSSTSLISSYSSCTCNSGCSIGSTPYSMGPFQHVTYSSDQSPHQANQMDEVNARHYGPSCPGKSDSNNISDHLSDEFGSCNSARNGGEDYDYSVYRLHSQPSHLSSGEVYYSSGSCGDINNDYGVDDVQPNREKNEASMSSTMMPENTETHISQIKEKLDKEAEGLNNGCIDQVPSPSNINGTAPEPLDFENNSLLWLPPEPEDKEDEQDALSFDDDEDARDDAAGEWGYLRSSGSFGSGEHRNRERSIEEHKKAMKYVLDGHFRGLIAQLLQIENLPVNVEDSKESWLDIITSLSWEAATLLKPDTSTSGCMDPGGYVKVKCIACGHRRESMVVKGVVCKKNVAHRRMTSKVDKPRLLILGGALEYQRVANHLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRFAQEYLLAKDISLVLNIKRSLLERIARCTGAQIVPSVDHLATQKLGYCDSFHVEKFLEVHGSAGQGGKKLTKTLMFFEGCPKPLGFTVLLKGANGDELKKVKHVMQYGVFAAYHLALETSFLADEGASLPEFPLKSPVKVALPDKPSGIDRSISTIREFTVAHDNFDSKQPYQSSCNIFSHNTSSNGCLLPEEKSSLSEGSNSIQSAQNHVNSVSSSHCLRDTVSDCHREEFCGYPASNEREKVQLSLEASSVCKPSEICIRKVQEDSLNSSCSCNSEAVDKGHCYLHSVEHCLPSNSSIFDHLNEVAFLKGEFSSSASDNQSILVSLMTRCVWKRSVCERAHLFRIKYYGTFDKPLGRFLRDNLFDQNYRCHSCQMPSEAHMHCYSHQQGSLTISVRKLPEFFLPGEQAGKIWMWHRCLRCPRINGFPPATKRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMAACFQYAPINVHSVYLPPPKLEFNYDFQEWIQKEADEVCSRAELLFAEVVKSLHQITENIRLNNGNKAPRVMERKSELEGVLQKEKKEFEELLDEVLYRKVKAGQPAVDILAVNRLRKQLIIHSYIWDQRLIQMNNLNDNNLRGGSRSTPKMKGKTVSSGSNTSELAVTSKPSKGFSSCDSFLLNLKPDVTFIQGGYGNFYSPDGDHNRNDMDEGSYCQKDNEINQPSGTNSNDEFGPESLKTVRRVHSDGQIPIVENLSDTLDAAWTDASSLNGTKANQDIEISGTVQGGVEYQDSFCSLSSTKGPENRENSRSWITMPFLNLYNSFLKSSTANEDKLDKISTYNPAYISSFRDLLHQGGARVLLPVGINDTVLPVYDDEPTSIIAYVLVSPDYHNQMLTEPTKDGLDSPSSFPFLESANLLLLPSLDEAASGSLRSLGSTDESVLSVSGSRGSSTLDPVVYTNALHARVSFSDDGPLGKVKYSVTCYYAKQFEALRRSCCPSELDFIRSLSRCRKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFITFGRAYFKYLSESLSTGSPTCLAKILGIYQVTSKHLKGGKESKMDVLVMENLLFGRNIVRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGPKAKRLLERAVWNDTAFLASIDVMDYSLLVGMDEEKHELVVGIIDFMRQYTWDKHLETWVKAAGILGGPKNATPTVISPGEYKKRFRKAMSAYFLMVPDQWSLSTITASRSESELCEESLQGGVLLS >CDP06200 pep chromosome:AUK_PRJEB4211_v1:6:22302457:22302765:1 gene:GSCOC_T00022876001 transcript:CDP06200 gene_biotype:protein_coding transcript_biotype:protein_coding MALELGEHKVRVNSVSPGITKGLLRKHWIFYVGKKIIPLGTFGPSDPALTSLVRFLIHDSSGYVSGNHFVWQSFHYGCWIFSGRSSHFLFTLNFKCLKFHDT >CDP06238 pep chromosome:AUK_PRJEB4211_v1:6:23771618:23775937:1 gene:GSCOC_T00022951001 transcript:CDP06238 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGERAIFTVPPEMAYGEIGSPPTVPPNATLIFDIEMVSWYSIRDITGDGGILKKIMREGEGWATPKDADEVLVKYVASTEDGIIVSKSDEELEFSLTDGYLCPAMSKAVKTMRKGEKAELSVKFSYCSRYPGDAHCNNDITATNSKLTIDLELLSWKNVIDVMGDKKILKKVIKMGDGFDRPTEGSLAKVIYIGRKDEGTIFECKGTPEEPFEYICLEEQINEGLDRAVMTMRKGEQATVKVSSEFLHGTENGKWPPTASVLYEIMLINFAKEKSFWKMDTQEKLEACQSKKSDGNALFKAGRFELASKKYEKECNFHKDNSRRLHFSNRHMLHH >CDP03154 pep chromosome:AUK_PRJEB4211_v1:6:9263027:9268105:1 gene:GSCOC_T00041652001 transcript:CDP03154 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQSPLNFLSPTPFPCPSSSSSESHFLIPLLETRCLNRALKFKICCSTHSIEIGTQQSSLTRKKRKPRPSFVEQIQDKWSRKPTILIEKLPWEEEEKEEAKFENFEEDEERNVRFSNGVVSQTASEKSSAVSQPMSSGLPEKVILPPWEHGKKPRKKSQFDNPVRNSRRASNSTESLNGLNDHAQNYATNSRVIQNCNNFEGKFDLGEQSGEKGKRLRKSNTEVAEKVIPEPELKRLRNLALRMVERIKVGAAGVTQALVDSIHEKWKLDEVVKLKFEGPTAMNMRWTHQILESRTGGLVIWRSGSTVVLYRGMGYKLDCVQSYARQTQDKTKEFESSGVQVNNFARSIGTSCSAEPSTAKSYSNNLSVKELKDRSELNLLLDELGPRFKDWSGREPVPVDADLLPDVVPGYRPPFRLLPHGIRHGLRDKEMTFFRRSARVLPPHFALGRNRQLQGLALAMVKLWEKCAIAKIAIKRGVQNTCNERMAEELKVLTGGTLLSRNKEYIVFYRGNDFLPSGVTQALVEKERETVLQQDEEEIARQRALALIASNVKVAERPLVAGTLSETKAATLRWNNQATGEDLEKMMRDSAVVKHAALVKSLENKLAIAKGKITKAEKALLKVQENFEPAEQPTDLETINDEERFLLRKMGLSMKPYLFLGRRGIFDGTIENMHLHWKYRELVKIFVERKSFPQVKHIAISLEAESGGILVSVDKTAKGYVIIVYRGKNYLPPSAFRPKNLLTRRQALARSIELQRREALKHHVAELQEKIEKLKSELEDMKNVKEIDEETLYSRVDDASDDDELQEDEDEDAYLETYNCSGDVGTS >CDP16133 pep chromosome:AUK_PRJEB4211_v1:6:34241118:34245144:1 gene:GSCOC_T00017202001 transcript:CDP16133 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAWKFANLESRGNLLYIGLNMPKITELNVFTLDKVHSKWIQKYLMDISSLKILYPTNFLVDHDLSVQKKIDFHIPLLLQNRRENIVILVLSIKGKIISFETNSVTVKELAEVRPREQGTYGGKATSILRHWPLFNFGLHHFVVLALKRIICPFGSMRLCNSGC >CDP10405 pep chromosome:AUK_PRJEB4211_v1:6:6853628:6856023:1 gene:GSCOC_T00031123001 transcript:CDP10405 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLLSLSRFIPMPNKGGGRHVLTTIQSSFYGKMISGHSCFRGRNYNSVSGGNRSSLVISAVLGKKIKKETVVPEADYRIPTVLLGFAGGLIYADNLLGAAPVGLLGLLLLVQTTRVRFVFDEEALEVKVGEQLEESRENFFVGGKNRWKYSTFVNWELWWPNFPILVYFKETQTKPDGQIHFFPVIFNGKQLYDVMVERCGPSQTSSPKDES >CDP06624 pep chromosome:AUK_PRJEB4211_v1:6:2203184:2207238:-1 gene:GSCOC_T00023537001 transcript:CDP06624 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGKADLVTSKGPTVIASTLHAVAILLKQAQNWDWFINLCASDYPLTPQDDILHIFSFLPRDLNFVEHTSDLGWKEFQRVRPIIIDPGFYHSKRSGVFWAKEKRSVPASFKIFIGSSSVVLTRSFLEFCIWGWDNLPRTLLMYYTNFLLSPEGYFHTLLCNHKDFQNTTVNHDLHYIRWDDPQKQNPMNLTLEHFDDMVQSGAIFAHGFGKDDGVLDKIDTDILRRSNGQLTPGGWCVGTSGVIKPSGSSKRLEKLVVNLLDSENFRSRQCK >CDP14235 pep chromosome:AUK_PRJEB4211_v1:6:37115768:37121927:1 gene:GSCOC_T00040514001 transcript:CDP14235 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDRLRKENSCCPTIPRAIGVEEIADVTGEAVTTTLRLGINFYSTMQADDGHWPAESAGPLFFLPPLVIALYVTGELNAILSIEHKKEIIRYIFNHQNEDGGWGIHIEGHSTIFGSVLSYITLRLLGEGPEDGEDMAVARGRKWILDHGGAVGIPSWGKLWLTVLGVYEWDGCNPMPPEFWLLPKFFPIHPGKMLCYCRLVCMPMSYLYGKRFVGTITRLVISLRQELYVQPYYEINWDKARNTCAKEDLYYPHPLVQDLLWGFLHHFVEPILKRWPFSTLRDKAMRKAMEHVHYEDKSSRYLCIGCVEKVLCLIACWVEDPNSEAYKRHLARIPDYFWVAEDGLKMQSFGCQTWDAAFAIQAILSSNLDEEYGPTIKKAHDFIKASQVQDNPPGNFGEMYRHISKGAWTFAMQDHGWQVSDCTAEGLKVALLCAEMPSELVGERMDTERLFDAVNIILSLQSKNGGFPAWEPQRSYRWLERFNPTEFFEDVLIEREYVECTSSAIQGLALFKKWHPGHRRKEIENCISGGIKYIEDTQEPDGSWPGCWGICFTYGTWYAVEGLVACGKNYHNSAALRKACQFLLSKQLPDGGWGESYLSCSDKVYTNLEKNRSNLVQTSWALLSLINAGQAKIDPTPIHRGIRLLINSQLEDGDFPQQEITGAFMKNCTLNYSSYRNIFPIWAIGQYRRCVLLA >CDP06728 pep chromosome:AUK_PRJEB4211_v1:6:2910694:2913127:-1 gene:GSCOC_T00023675001 transcript:CDP06728 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDPLNQEIQLPPGFRFHPSDEELIVHYLRKKATKIPLPAAIIADVELYKFNPWDLPKKALFGEDEWYFFTPRDRKYPNGMRPNRMASSGYWKATGTDKPILSSSGAKVIGAKKALVFYTGRPPKGNKTDWIMHEYRLPEATWTAKKERSMRLDDWVLCRVRQKTGTAGNLWEDRNDPASKETAQCHLKLDKHCPVPMGTRSTAPATAEMVREYLYRDCPMVPFLFGSQEFACMDTVSNIIRNKTSSVCGDNFNIDHLQNAVLPSSSSSGNGLLHMRKRKNILTIEGNGGEGDISFTHPHKKQTHRSEGQMEGNQPQSTSNDTTGTVSCSTNQMEKNNSSADQLGSIMMYQDLYSLAFA >CDP10498 pep chromosome:AUK_PRJEB4211_v1:6:6063678:6066370:1 gene:GSCOC_T00031244001 transcript:CDP10498 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHAAVEGNTRRIGAETTSQNSTYLILAANRTHRKDPTDSFNYYTGGWNITNPHYIFSVAYSATGPFVFAVVWFIFFAVFLLCFCCRCCFCSRNSYGYSRTAYAVSVTCLAIFTIAAIGGIAFVFAGQDKFQDSIVNTTSFVLHEADDVIVKLGDVLHDLLAAKNSSVGRAVLPDDLKANIDSTGKTINAVTAQFRNITTKNSQDIRSLLSPLYYPVLMSPFRVLGLLLISLFATSLKAVECAVILILAVIGWILVAATFMMSGVFLLVHNAVADTCVALDEWLQNPTAHSALEEIIPQVDNQTAQEISSVTKGVTFGVVGMLNGIITNVSNADVPPDVGPPLYFHQNGPLVPVACNPYDSNLTDRKCADGEVSLKDAPQVWKKYVCQVSGGICSSPGRITPDDYDQLASTANTSYALYEDTPFVVGLIDSTYLKELFGGISRDYCPGLSKFTSWMYLGFISSSVAVMLSLLIWIFYARERRHRAYTKKVDSRSSAESPFAS >CDP14323 pep chromosome:AUK_PRJEB4211_v1:6:35876403:35877390:-1 gene:GSCOC_T00040649001 transcript:CDP14323 gene_biotype:protein_coding transcript_biotype:protein_coding MERFRGPLFFILTKVWRAGSRQEKWTGCFPVKWHIIKDVPNSMLRHLTLENNENNPVTSSRDTQEVLCSMNGVKGQWRRSKGDTLF >CDP14174 pep chromosome:AUK_PRJEB4211_v1:6:18463689:18466137:1 gene:GSCOC_T00040424001 transcript:CDP14174 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVSFRDVEGSTNQSTKSQVAGSAHLELDTVEGSKEKQGGQRDNPQVSRAENEVGQNIKEDIPTAYTETPGEVNMEASISAEDVMHAGGFGARDDINSFLPVASDFTDFEASILDARIYEEPQKETSRPGLGWTESQK >CDP06192 pep chromosome:AUK_PRJEB4211_v1:6:21954599:21956263:1 gene:GSCOC_T00022864001 transcript:CDP06192 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVGVLELLVHARPFAAREKTKRGETILHLCVKYHQFEALKKVVEAVDDDEFLNQKDGEGLTILHLAVIGKQIVTINTQGQRVQLKVMNHIGAYLMLKLKIKSIYLVLIMHLPHIIKYLLTTKIDLNAKNAKGHTALNMVLQNPNNRQNEINNSLRQAGPLTDDEITNRQSNFDQGKWMEQKSKALMIVASLIANMAFQAGINPAGGVWQPSSSCSRQSQS >CDP15650 pep chromosome:AUK_PRJEB4211_v1:6:32781729:32782295:-1 gene:GSCOC_T00015587001 transcript:CDP15650 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVQNKAAYVALNIQQELELAKKIPSPVNEEYELPCGHFMNFRSQRFRNPEALFQPSSARFVKDGENVGVHKMIFNSIMKCDIGIRNYLFKNIMLTGGSTLFPGFVEGITKEILELGSSTLAFKFSDLIAREINHKFANKMFKNVAPPNRMYNAGVGGSALALLSTFEQASPFKTQFYVNFCQASGA >CDP16110 pep chromosome:AUK_PRJEB4211_v1:6:33628815:33633698:1 gene:GSCOC_T00017160001 transcript:CDP16110 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVEMEVFSNAQLILLTIFMFLGGEVFTSLLGLQLMKFKRSHQIQKTENKIDRFSTDADCSTSIPTNAFDQIELGVTSQDQQLGNDQKPDSIPESRISFQAQESINRASDLLAFSILCYLLVVHLLGSVSISIYNNTIPSANEVLRKKGLNLITFSVFTTVSTFANCGFVPTNENMMVFKKNSGLLLIIFPQLLLGNTLYPACLRYFIWFLSKITKRSEFADLLKNPRALGYDHLFSDLHSIFLAITVFGFILAQFVLFCLLEWHSGATAGLTAYEKLVGSLFQVVNSRHAGESVFDLSLITPAIIVLFVLMMYLPPYTSFLPIKDGKCFPATEKKTRAKRKNILDYLLFSQLTYIVLFVILICITEREKLKEDPLNFNLLSIVVEVISGYGNVGFSMGYSCGRQLKPSNNCKDASYGFAGKWSTQGKFILIIVMFFGRLKKFNTKGGKAWRLS >CDP17387 pep chromosome:AUK_PRJEB4211_v1:6:30609920:30610781:-1 gene:GSCOC_T00008016001 transcript:CDP17387 gene_biotype:protein_coding transcript_biotype:protein_coding MIYMLCRQSLALLWIKFCLCLRLGFLYQFISNIVYLLYKL >CDP17147 pep chromosome:AUK_PRJEB4211_v1:6:34952505:34952951:1 gene:GSCOC_T00011683001 transcript:CDP17147 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLIIVHVILQALDAFNTAVVSPVYYVMFTSLTILASIIMFKDWDSQNASQIVSEVCGFVTILSGTFLLHKTKDMGGVSSTSNTTVPSPVFLASRQNSAV >CDP09513 pep chromosome:AUK_PRJEB4211_v1:6:19500458:19501469:1 gene:GSCOC_T00028907001 transcript:CDP09513 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAAQSPLSDHQIQRPKSGRKPLQPKNSPATPVVITPHQKPKQNAATDRIEVSVLDNDSNKENVNPLFATPVKNEEFRIEQFDSSLAEELSAIREKLERLRIEKEKTEKMLSERDLVLDLQMNELHKRGEAQKMLEIEVDRLYRLKQLKLSCMGISPIRSLRNKAKEKGEASDQLLNLFGPCNFIERPVSSKPAFSVLYFSGNEN >CDP09544 pep chromosome:AUK_PRJEB4211_v1:6:20270640:20272395:1 gene:GSCOC_T00028960001 transcript:CDP09544 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSPLPSVVKFDKLLGRVIKLEHYSAAILMYKHMCFLGFIPVDHYIMTRAIICYSFLNRIDCSFSVLGSFIKRGYAPNVVTFTTLLRGLFRARMICEALELLEWIITVKVCEPNAVLYGTIIDGLCKEGNTSAAIQVLRIMEKGKCKPDTIMYSTIIDSLCKHKMVNEALTLFSEMIDNGILPNIITYNCLIHGLCRNGKWMKAKKVWVGMVDFNTYPDVRTFNMLVDALCKDGRIDDAEYVIDTMIQRGESPNRVTYSSLMDGYCMLGRIDDARRVFDLMNARGMHPDTHSYSILIKGYFKIMKVDEAMQLFREIKSKGLKPNIATYTTILQGLFRMGELATAKHVINKMKATALIPDFHAYCVILDGLSKNGHINEALQLLEKIGSDRIGLDITMVNIIINGFCKSGKLDVAQDIFRSLPSKGLLPNIVTYTMLVKGLCEAGKLREAKELLVKMKEDSCYPDDLAYNTIVRGFLRRDGYVEQKCILKK >CDP06686 pep chromosome:AUK_PRJEB4211_v1:6:2601786:2604037:1 gene:GSCOC_T00023618001 transcript:CDP06686 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSVIEVLPPSLDSTSEPPPLFDGNTRLYVSYMCPYAQRPWIARNYKGLQEKIKLVAIDLQNRPAWYKEKVYPENKVPSLEHNGKVIGESLDLIKYIDENFEGPRLLPDDAEKQKFAEEVIAYSDTFVRTEYASFKAEDPVKEAGGGFDYLEAALQKFDDGPFFLGQTISLPDITYAPFLERLKGFFEDVWKYDITSGRPALAAWVEEIDKIDAYKQTKCDINKTIQFYKIRDMGEK >CDP19044 pep chromosome:AUK_PRJEB4211_v1:6:34445848:34447381:1 gene:GSCOC_T00012876001 transcript:CDP19044 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPELVFVPSPGMGHLGSTVELAKLLIDRNEHLSITVLIMKFPFETKVGSSIDSQTEISDSRIRFLELKKDESASQTASSNLFMYQFIEDHKSSAREVLAEISDSASSDLAGVVIDMFCSSMIDVANEFGVPSYVFYTSGAAMLGLILHLQSLRDDFGEDVTNYENSKVELAVPTYINPVPVKVLPSRLFDKEGGGNMFLNLAKRYRETKGIVINSFLQLECHAIQALSNDKTIPPVYPVGPILNHKGRNGQNQETDMITKWLDIQPDSSVVFLCFGSGGWFDGEQVKEIAYALGRSGYRFLWSLRRPPPKGKFEFPGDYENLEEVLPEGFLQRTAEVGKVIGWAPQAAVLSHPAVGGFVSHCGWNSTLESVWHGVPIATWPLYAEQQVNAFQMLKDLGMAVEIKMDFKNTIREASTEIVAADLIEKAIKHLMDPENEIRKKVKEMKEKSRLTLNGEGSSSALLGRFLDAVVDNNIP >CDP03235 pep chromosome:AUK_PRJEB4211_v1:6:8718457:8721292:1 gene:GSCOC_T00041748001 transcript:CDP03235 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLIIESLALKLPSSPTKPAGPGVHPSATPCYAKLKLTNFPSQTALIPLCTSDPSSSSSPDSSTSSAGFHLDPSTLRRLSSKPLLTLKVSVFTGRMGRTCGVPCSKLLGSVKMSINVGGAGAQSKPSVYYNGWMKLAGSAGPDKPVAKLHAVVRSEPDPRFVFQFGGEPECSPVVFQIQENIRQPVFSCKFSADRNNRSRSLPSDFTMGGNKGWVRTFSGEREKSGRERKGWMIMIHDLSGSAVAAASMITPFVPSPGSDRVSRSNPGAWLILRPNGPSVSSWKPWGRLEAWRERGPVDGLGYRFALVTDSGLTSGVPIAEGTMSVKRGGQFCIDNARKDSVLSLVLPVRGFVMGSSVEAEGKVSKPMVQVGVRHVTCMADAALFVALSAAIDLSMDACRLFSRKLRKELCPDEQDSLS >CDP06194 pep chromosome:AUK_PRJEB4211_v1:6:21963783:21965854:1 gene:GSCOC_T00022866001 transcript:CDP06194 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLLDAAIEGDEKTLHELLQEDKLVLHRVSLSFSSFNPIQIAIIRGHQKFVEAILDHNPELLGSVEDSGQKWSSLHLASARGHLRIVEALVNANPDMCFDCDQDGRNPLHVAAMKGKIGVLEVLIHARPFAAREKTRRGETILLLCAKYHQLEALKKLVEAVDNDEFLNQKDSEGLTILHLAVIGKQIEIIKYLLTSKIDLNSKNAKGHTALNMVPQTPKDSQKEIENSLRQAGALTADEITNQQSNFHQGKWMEQKSKALMVVALLIANMAFQAGINPAGGVWQDDQTKDSQGNPHSAGQSIMAYHNIQSYRYLITYNTVAFVSSLGTISLLISGLPFRHKVVMWILNGVMLLTATSILLSYGTSIAFVTPEATKERPGSFAFNAWMFVITIYLLGISGVTNKWCKLCGRIKWRPRNTVTAVVETTRIVIQ >CDP15662 pep chromosome:AUK_PRJEB4211_v1:6:33113109:33119211:1 gene:GSCOC_T00015610001 transcript:CDP15662 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPGLLSNVSINLLGRILGKFLIIITSFQQVPSYSVSQIWNSKKPRIGEWDRSSRYSRLLAAFSSTKLQMATMEAQTSPSPPFSTEGLGPGELSCSHSLNDHHEHKWVFWSTCGNDEGRCGKPHHLSATADGAVIKDHIMRFLHHLFPTFSYWKCLVQFWGLVKIGDETYLTTRDQPFALQYYCFHGQELKKLCEYRKHCLAYSIPVDEDDDDHEIGPPGRVFRSGLPEFALDVRDYTIREYPQRDYAIGCVGGYWALPIYHHPTQHFPIGVLEIASPRDFNGLARWLVLEKLQEKNLTITRVILAAASSCQDGEIAIIEEALSKVRQIRGLGYAESWTTSGEILSSRRGLDFVREGQGVVGRAFSSKSACFCRDVRQLSITEYPLVVQARSYKYSACFAVCLQSPCPKNCIYVLEFFLHVNEKDEDEEDPRTLLNSLMETLKECLGISFKIASGQELGQKLTVEVIKVSPEDEFGSFVICSTTVIGGEGMTKLQMAPFSVEGLGPCELISSHSFNNYYHLWVFWSTCGNDEGRCGEPHHLSATAHGAVFTDDIKCCLQLVMETLIPCHCLVQFWGLVKTRDKTYLTTCDQPFALHYCHFNGGDAKKLCEYGKHCLEYLFPVDEDDDDHEIGPPGRVFRSGLPEYAWNVGDYTSREYPQRDYAVGRVKKYWALPIYHNPIRHLPIGVLEIVSPYGARVLPRRRVLEKLQNLSREMNLTTTCVSLPAEANSCEYLSSIFFLPFILQYLG >CDP09546 pep chromosome:AUK_PRJEB4211_v1:6:20432814:20433163:1 gene:GSCOC_T00028963001 transcript:CDP09546 gene_biotype:protein_coding transcript_biotype:protein_coding MHYKIDQRTEVSFGLNFNLAAVEDQKVHRSLMSRYFNAKGIGIMVVSTLALLLLPLVLPPLPPPPVLVLFVPVLLLALLLYFAFLPSKVPTLEINSGNDP >CDP14187 pep chromosome:AUK_PRJEB4211_v1:6:18583961:18587035:1 gene:GSCOC_T00040442001 transcript:CDP14187 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRGGGQKGDKYSSPEAPRRRSFSKKPPRGSWQPTVPSWEKTFCKVVGSLDWEKLLYMKKFVYLYENVVKWDDSAGEEAFHNAKKRFLANMRGLPCDVTLPDPDLYIDEVDWDSKIDTELLSELEYRSVVPVMAENHEPVVIFGDSLLDNQGFSASGWGECEADFKKATNSSSHNHDSPGERSVCKSKVSAKENGWEDSGNNACVPDAGSGFMGDTGWGDGWDNSWSWDNPNDKKLDCNYLKGPENGGAGDNTWDVSYGKKVNAGRFMSRYKTTRFHGNEQHGSYTWRNGNGRKERGAFVYNSSNAERWMNSCGPLSYHAAGNAGQAWNLEKPVS >CDP14181 pep chromosome:AUK_PRJEB4211_v1:6:18526097:18527117:-1 gene:GSCOC_T00040433001 transcript:CDP14181 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNPDRKVNRKIFQFMVVTVGRKTWATKGEELEAAKKEFIETYKILEGELGDKPYFGGENFGYLDVALIPFYSWFYAYEIFGNFNIDTQCPKIVAWAKRCMKRASVSKSPADFHKVHEYALKLRKKHGID >CDP09595 pep chromosome:AUK_PRJEB4211_v1:6:21343553:21355186:1 gene:GSCOC_T00029029001 transcript:CDP09595 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKRAQLFFLVKIYFISLIVLLIRRNCDVSLVSPNYLHFTLDKKENISPILWHFFLELDTEKITSPIYPEIQVVTEMEPRSSPQERVDSATATFRKPSTDAGNRIYRRRSPVGGSSSSEGSPTHERNSTPIQMSKDSERGADERRRKDEGRDTERHSGRSHYGRSGDSYSHSDRRSSRSSRGYHRHDDYHRRDKYVDGDDRDYSRSARSGRDSRSNTYSDYSRREGEHRSRDHARDDDRYSGDKLDGLGDRSRGKEREKDSSFEYERHREKNSSSDRAGSGRRRSYNDDIKHGERDRCTDDKVSTDEKLDHRRSSGDYRSDRGPSYEESRTYKNDSSSKRDSSGHRLREASRGDVKSLDSEKYAREEKNYDDREMYKERHQKESGDKSEDGNGHFGKDQESSAKKQKLINMDESNSNAAEADEKNSSSLKQAQEFTGTGSAEQACLTDSDIDAAKVAAMKAAELVNRNLVGTGFMSADQKKKLLWGNKKTAVADESANRWDTTIFGDRERQEKFHKLMSLRLHWYLWPIVGCEGRYESGPQTRRRSCREAEGAAPDGFREAIYCWITSKGWPHRWSRSLKTHWMHLLVYEIVFGRILLYNLRSIKFMDIALACRIRDLWLKSRLLDIQISHLCPGSPSFIGSSTGAWLLVQGHSNVSNIQLIDYSIM >CDP11656 pep chromosome:AUK_PRJEB4211_v1:6:28531419:28549986:1 gene:GSCOC_T00034062001 transcript:CDP11656 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSQLLLEKGAGKQSNYYENCPGCAIERLKCSNVGIPLKHLFFIGTVTLSAALPITSLFPFLYFMIGDFHIAKTEEDIGYYAGYVGSSFMFGRALTSLLWGLVADRYGRKPVILIGTVAVVIFNTLFGLSSNFWMAVSMRFLLGSLSGVLGPMRAYASEICRKEYQALGMSVISTSWGVGLVIGPAIGGYLAQPANKYPEIFSKQSVFGRFPYLLPCLLISLFAVVVSVVCCWLPETLHIHCQNKEYAAYNGVEAAAYDSTLQERDGNSGDSLPTSQSSLLKNWPLMSSIITYCVFQLHDMAYSEIFSLWAISPRNSGGLSYTTSEVGETLSITGLGLLLFQLFLYPVVERILGTIMVSRIGAVLSVPLLSTYPYIAMLSGFWLSVVLDSASVLKNVFSVSITTGLFLLQNRAVSQEQRGAANGISMSAMSLSKAIGPAAGGSLFSWAQKRQGASVLPGDQMVFFILNVIEVIGLLMTFKPFLVLPEDNVSNRKHETSEESR >CDP14271 pep chromosome:AUK_PRJEB4211_v1:6:36645731:36647860:1 gene:GSCOC_T00040566001 transcript:CDP14271 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSNNVTARIFQRQICTPAPGTSIYSARRFYENIVPSCTIYDVECPDHSFRKFTEDGLYLISFSRNHQDLIIYRPRWPSFSCKEEDCDTHELPPKAKKFEGFFTELYSVSLATSGELICKDFFLYMENNQFGLFATSTAQIHDAPAVGGAVQGVPCVEKITFHLLRMEDGVVLDERVFWSDYVNLAHSMGVFLYDDLLAIVSLRYQRIHILQIRDSGNLVDVRTIGEFCREDDELFLYSSVQASALLKAASGFMLFIML >CDP12654 pep chromosome:AUK_PRJEB4211_v1:6:15992614:15995147:1 gene:GSCOC_T00036362001 transcript:CDP12654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR3 [Source:Projected from Arabidopsis thaliana (AT3G03750) UniProtKB/Swiss-Prot;Acc:Q9SRV2] MNNNNKREKTTNNQNDSALIQCAELILPYLRPADLASTSSTCKTLNKISNSITLRRSSDASRSLEKFPIPFINSIDNQSYSYFIYTGNQILSAAVQPRQPWGYDPDTRPDLINPGHCYDPFLFRVEGAKGCECERGCDRSSRCPCLDNVEFGAWECGPGCQCGSDCGNRVSQSGILVKLKIVKDRRTGWGLYAGEFIPKGQFICEYAGELLVTKEAQSRQQIYDQLASSGQLSPALLVVKEHLPSRDVCMRINVDATRVGNVARFINHSCDGGNLDTIILRSSGSVLPRICFFSSRDVQNNEELKFSYGDIRLKKQGLPCFCGSSCCFGVLPSENT >CDP14325 pep chromosome:AUK_PRJEB4211_v1:6:35858493:35863162:-1 gene:GSCOC_T00040651001 transcript:CDP14325 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPSSDQAAELLQKLSLDTLDKPLQDPEPTNKTPAVQYAPVDIANQVNGFKPFERTATSPHPDFMDPSMFYNPAGYPSSTYYYGGFNGSSANDWDWYGSSNGVEMPPGVYGDYQNSYGYTPYGTYSSSGSAMRPDNPLYGPQHFQYPTYFQPSATSNGHFTANGANTSQADVSAPVATDTVQLSTGTMKGNQSSVGNVVSNRDNGSKPLRPNYHTPYMKSTDFYGWGGLPSASSGNSSAFSYGNNFSSGRNQNPRPLPHLVGMQHPRSGMDQSGFSGRMYPNHRMYGQYPSTFRRGAGYVPNGFDARINGRGWFAVDNKYRSRVRGSNVLVYGSESVDGLNELNKGPRAKGFKDQKDSEAITLAVKGQSIPVMGKSDEDNLSVFPDREQYNKDDFPETYSDAKFFVIKSYSEDDVHKSIKYGMWASTPNGNKKLDAAYKEAQEISGGCPLFLLFSVNASGQFVGLAEMVGPVDFDKSMEYWQQDKWTGCFPIKWHIIKDIPNSTLRRVTLENNENKPVTNSRDTQEVKFEQGIEILKIIKGHSSRTCILDDFEFYEGRQKAMQEKKAKQKQFYKQAS >CDP02947 pep chromosome:AUK_PRJEB4211_v1:6:11246367:11248821:-1 gene:GSCOC_T00041380001 transcript:CDP02947 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFIKATPDNFVKVVKEAEEDVGIKISCLLTDAFLWFACDLAEQIGVPWMRFRHLLAATEERDQTLELIPGLQGIKINDLPNEVLMDNQESPLAHIVYNMALNLQRATAVVINSFEETDPAITTDLKSKFKKFLNVGSSPLISTSKKSTSTDENDECLSWLDKQDSASVVYISFGTVPSSPLDEMLALAEALEAMKVPFLKLLPDGFIDRASKSHGKFVAWAPQVQVLAHSAIGVFVTHCGWNSILESTSHGVPMICRPYFGDQKLNSWKVQDGTRIGLKIGGVFTKNGTISAIQHILSSDKGKTIRENVQELRAKASDAVKPNGSSTRNFEELFEVVTKS >CDP03000 pep chromosome:AUK_PRJEB4211_v1:6:10780500:10787005:1 gene:GSCOC_T00041456001 transcript:CDP03000 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSNSDASGDDEFVEYEEEEEDEVEVGFGSNGFLFSGGGNGGSGPLPFPVDSLLQPAPCGFVVTDALEPDHPIIYVNSVFEMVTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSSVVADIRRCLEEGVEFQGELLNFRKDGSPLMNRLRMTPIYGDDEAITHIIGIQFFTEVNLDLGPLPGSVRESARSCDKFRSSLSTFGPVSDGKYNINRGLCGIFQLSDEVISLKILARLTPRDIASVGSVCMRFYELTKNEDLWRRVCQNAWGSETTRLLEAVPGAKRLGWGRLARELTTLEAAAWRKLTVKGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSSPEWQHVKVSSPPPGRWGHTLSCVNGSHLVLFGGCGTQGLLNDVFVLDLDAKHPTWREISSLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPIWREIPVAWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEDEPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILVFGGSVAGLHSASQLYILDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLASNIN >CDP06394 pep chromosome:AUK_PRJEB4211_v1:6:304999:309179:-1 gene:GSCOC_T00023222001 transcript:CDP06394 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSDKYNRGEQRDCETPVVGCESDEGASRNGSTTTATSPHFCIDQKVLVDPKQLFIGSKIGEGAHGKVYQGRYGDRIVAIKVLNHGNNSEERTALEGRFAREVTMMSRVKHENLVKFIGACKDPLMVLVTELLPGMSLRKYLVSIRPKQLDLHVALNYALDIARAMDCLHANGIIHRDLKPDNLLLTANQQSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVFWELLTNRMPFEGMSNLQAAYAAAFKQERPSIPEDISPDLAFIMQSCWVEDPNLRPSFSQIIRMLNEFLFALPPPEPSLQEARSNEATVTSNGSITELSARARGKFAFLRQLFAAKKARNSQ >CDP15765 pep chromosome:AUK_PRJEB4211_v1:6:26357048:26358660:-1 gene:GSCOC_T00015834001 transcript:CDP15765 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVKLACTALERVPLTRPKITEKFMKKFHQDLVSCRAPADNDLTKYQGWFEKIDPLFKWLESKFGYKPVVYSGFLDCELVAIDAIAAAGAHSLVITIGIFRGRLNTEEAIELIRLREDLQVDNWGLVEGGHDVDIADLKVQVASAAVLEFLQGNDFCMLFSYKRIPFFLLLLLFMCCFTNLLFFLASDWTKLVR >CDP03059 pep chromosome:AUK_PRJEB4211_v1:6:10062122:10064515:1 gene:GSCOC_T00041537001 transcript:CDP03059 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFIAAEARKSDVVPLRSLLGTLRSFDQFGYIPNLRWYLFRHIKYVQECVFIFQPFRESVPINILYVCIITHITANVVLEGACERVIVRHLYSDIPMGLDVIRGENVVLTGELGVEEDELLRQMTGVSAAEIQRAQRAERNASDLTGFVRKRMEFLDLD >CDP06368 pep chromosome:AUK_PRJEB4211_v1:6:130507:133568:1 gene:GSCOC_T00023188001 transcript:CDP06368 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLTSLSMLILLTWLFWLPSPAAPSLSAARALDALLQDSAYRSFVRPRTGVVYDGQVPSNLTGIKVSGLRLRSGSLRTRGVPTYKEFQLPMGVVEEPYVERLVFVYHNLGNWSSLYYPLPSGYVFLAPVLGLLAYDASNLSATDLPELDIKATAQPISIRFSEVMTRPAVPDGSVPKCVSFDLQGLLNFTAMLAENTCATFREGHFSIVVESIGPSPAPAPPPAPPPRGKGGGGRKKNHHHKKEVWIIVGSVLGGLALLVLLGLLVVWMLKFKTRKKMQQMEKAAEVGEALHMTRVGSTKAPSATVTRTQPTLETEYVP >CDP09564 pep chromosome:AUK_PRJEB4211_v1:6:20821043:20822378:1 gene:GSCOC_T00028987001 transcript:CDP09564 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLHVPFEKLFLNKTLNFQTLLSQVILVPAQFKMLPHNSGNQISLNHLIEVEEELTHRSSCQRMVISIIAHRIHGST >CDP15339 pep chromosome:AUK_PRJEB4211_v1:6:3926212:3927585:-1 gene:GSCOC_T00043030001 transcript:CDP15339 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSNLTENGAANGGPGLGDIPESCVACVFLYLTPPEICNLARLNRAFRGAASSDAVWEAKLPPNYQQLLELFPPERYQKLSKKDIFALLSRPVLFDDGYKAYRSWSLEWILLNFKGKDSSITYISLLICS >CDP14320 pep chromosome:AUK_PRJEB4211_v1:6:35898677:35902124:-1 gene:GSCOC_T00040644001 transcript:CDP14320 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATNRNFRLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGDLSISELERLTRVFTQKIHDLIGVHTDVPAPDMGTNAQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEYGKSIAGQRFVVQGFGNVGSWAAQLISEQGGKVIAVSDITGAIKNSKGIDVPNLLKHVRENRGVKGFCGADSIDPNSILLEDCDILIPAALGGVINRDNAKDIKAKFIIEAANHPTDPEADEILTKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEGKVNAELKTYMTRGFKDVKEMCNTHNCDLRMGAFTLGVNRVARATVLRGWEA >CDP03306 pep chromosome:AUK_PRJEB4211_v1:6:8027126:8029026:-1 gene:GSCOC_T00041846001 transcript:CDP03306 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHLSSTGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDRGYMADHFGSNIITSAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEMARKRRQRSSGCSIVRGIVCGGCAA >CDP15333 pep chromosome:AUK_PRJEB4211_v1:6:3884561:3887086:1 gene:GSCOC_T00043022001 transcript:CDP15333 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKNVMNACLNSLVHPPTANGMRICKEVFKYLMVAVLRLKSDEGLHNITNVPRSKNKYSNLEILEASLAEARAAIKGARIGQEAPHEDFVPKGPMYLNATAFRRSYLEMEKRFKVFIYEEGGPPVFHVGPCKHTYAIEGYFIQALEVSPIRTTDPSKAHLYYLPFSVTRITEFIYVPESREWGLMKSFVADYVNTISHKYPYWNRSLGADHFMLACHDWGPELSFAVPYLYTNSIRALCNANTSERFAPSRDVSIPEIHLPLGTTKGMIGGPSPSKRPILVFYAGGIHGPIRPILLEYWEDKDADVQIHQYLPKGVSYYGMMRKSRYCICPSGYEVASPRMVEALYMGCVPVLIKEGYVIPFSDILNWKAFAVVIPVQDIPNLKRILMAISPRQYIRMQRRGIQVRRHFEINTPPKRYDVFHMILHSIWLRRLNIIVEDATES >CDP06643 pep chromosome:AUK_PRJEB4211_v1:6:2303311:2312140:1 gene:GSCOC_T00023563001 transcript:CDP06643 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEGEVCVAVVNDGITEMESSVRAELKRNCEWIHTNEVPDDIEMENGVKEASNEDMFSEVSNPNLSPREITSSSKKIGIQSTEPGGIKIQGGCGEVSSLCSGNSSAEESLNEEEHSGNDGSGEVSTSCVVLEIPEHVSTTGIRKITLKFSKRKDEHENISYLSAAQPETGEYVPFLSTPNKELKMSKKVVPDNYPANVKKLLSTGILEGARVKYISMNGERELSGIIRDGGYLCSCSLCNFCQVLSAYEFELHAGAKTRHPNNHIYLANGKPVYSIIQELKTAPLSMIDEVIKDVAGSSVNEENFQVWKANLQQNNLVATTHVNTHDQLSDTYYSDTSCPNRMVKDKFTPASGFCTTNNYLNLDSHTEAEHRKRVIKKPGWLLASSDVEDKKCSEGGLKKRDNDLHRLLFMPNGLPDGTDLAYYSKGKKILGGYKQGSGIVCSCCHSEISPSQFEAHAGWAAKRQPYRHIYTSSGLTLHDIALMLANGRNIANSNSDDMCAVCGDGGELIICDGCPRAFHPACLCLQSGPTSGWHCPYCLDKSFPARKAPGRPSIARQTRVVKAPQSVGGGCVVCRTPDFSVAKFDDRTILLCDQCEKEYHVGCLRERGLCDLKELPRDKWFCCNDCNMIYTALQNFVLNGAEAVPSSMFAKINKKHEEKGLASVTANDVQWRILSGKSRYPEHLPLLSRAAAIFRECFDPIVAKSGRDLIPIMVYGRNISGQEFGGMYCILLIVKSVVVSAGLLRIFGREVAELPLVATSRDNQGKGYFLALFSCIERLLFSMDVKTLVLPAAEEAQSIWTKRLGFRKMSNVRVSRYTRELQFTVFKGTTMLEKEVQWAGQ >CDP15631 pep chromosome:AUK_PRJEB4211_v1:6:31945821:31953056:-1 gene:GSCOC_T00015553001 transcript:CDP15631 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSKLDDEEAVQLCKDRKKFIKQAVEQRLKFASGHNAYIQSIRRVSAALRDYIEVDEPREFLLDSFTTPPLTAIKKAAPGFITISPKSFSVTPLQSGPKSSFQVNYLRAGGNPAVSVEERPPQSPETVRIETYSPMHHQYGMDGFFSMQSTPMNSSFFQYSPENRPNIPPPSPQTSQWDSFWNPFSSLDYYGYPTRSNLDYTILDDDVAGLRQVREEEGIPELEEETEHEEINHRVRAERERPKAEQDYDKEEVVVEDCDDDDETENSTATEPEVQGSQPLQPHTNQSIEISKSQNAGQVSKKETAVTEGEAKDETPGFTVYVNRRPTSMAEVIKDLETQFAVACDSANEVSAILEARRAQYTSTSNDLAALKMLNPVALIRSASSRSSSSRFLTNSSASSRSSSSRFLTNSSASRDASYESSSDFSDDSSTFLHGHQSTLDRLFAWEKKLYQQVREGERVRIAYEKKCLQLRNQDDKGADASSIGKTRAAIRDLHTQIKVSIHSVEAISKRIETLRDEELQPQLVELVQGLARMWKVMAECHRSQKRTLDEAKILLANTPSKLTGTKKYTVMSPSEPHHLADSAANLETELRNWRASFESWIVSQRSYIHALTGWLLRCVRMEPDISKLPFSPQRSLGVPPIFGICIQWSRFLDDIHAVPVLDGLDFFAAGVGSLYAQQLREDTRRTPGGSKRFGMESVDSMEVVEFGHLEEEVMTAEKMAEVAIRVLCAGMSVAVSSLTEFALGSAEGYTDLLKPGNSSNEALTK >CDP06507 pep chromosome:AUK_PRJEB4211_v1:6:1288284:1291246:-1 gene:GSCOC_T00023381001 transcript:CDP06507 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRQGLVAWSPYPRSMCSPSSLQTTKAHRLQPTFLTVSARLQDGVAQHQITCKYDINIARQLLTISHKEMMSLRVPVMALIATDALMYFAPLEAIAETCEADNSILNMNMPLLLFVALIGATVGGLLARQRRGELQRLNEQLRQINAALRRQAKIESYAPNLSYAPVGGRIPESEVIVDPRKQELIACLKNGKNFLRNQDPEKAFAEFKSALELAQNLKDPIEEKKAARGLGASLQRQGKYREAIKYHSMVLAISQREGEESGNTEAYGAIADCYTELGDLERAAKFYDQYIARLETD >CDP09535 pep chromosome:AUK_PRJEB4211_v1:6:19853071:19853472:-1 gene:GSCOC_T00028943001 transcript:CDP09535 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPCSCGMVHTHQANFFSMPNYHNKPFDETAEMYSFASSLLFSSVDCTLSLGTPSTRLTNNNTEKRRSSYMSNFCWDILQSKHSSNSPHYSSHKSSQANNRTNSNYGGCCFNGKYSYIILEEGWDKFFDTGI >CDP10070 pep chromosome:AUK_PRJEB4211_v1:6:14997345:14997770:1 gene:GSCOC_T00030659001 transcript:CDP10070 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSSSSLRLDTNSISTRAAVANPFNGSQSYQIPFDGTALRKVVKFSPTCSSATKPVDVVVDKHRQKVGELGFGGLSRIESLSQVSGVLNCQWGDKGKGKLLDILAQHFDTIARCQVTYHRFFTLQSFTSLGIHLTLIQC >CDP03227 pep chromosome:AUK_PRJEB4211_v1:6:8776743:8781826:1 gene:GSCOC_T00041736001 transcript:CDP03227 gene_biotype:protein_coding transcript_biotype:protein_coding MGATNNSQPKIVTGEAGYVLEDVPHLSDYIPDLPTYTNPLQDNPAYSAVRQYFVHADDTVPQKVVVHATGPRGIHFRRAGPRQKVHFESDEVHACIVTCGGLCPGLNTVIREIVCGLKYMYGVNRVLGIDGGYRGFYSRNTISLTPKVVNDIHKRGGTVLGTSRGGHVTKKIVDSIQDRGINQVYIIGGDGTQKGASVIFEEIRKRGLKVAVVGVPKTIDNDIPVIDKSFGFDSTVEEAQRAINAAHVEATSVENGIGVVKLMGRNSGFIAMHATLASRDVDCCLIPESPFYLDGPGGLYEYIEKRLKEQGHMVIVIAEGAGQELLSQSLQSTDQQDASGNKLLQDVGLWISQSIKNHFAKEQKMAINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTVGPVNGRHCYIPFHRINERQHKVVITDRMWARLLSSTNQPSFLTRDIIENAKKDKEEVVPGLLDENPINRKKVAC >CDP03020 pep chromosome:AUK_PRJEB4211_v1:6:10533367:10534913:-1 gene:GSCOC_T00041488001 transcript:CDP03020 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPTPKCPNSPSAATILAGTEDVLIEILLYLPIKTLIRFKTVSKSWFSLISSSHFSHLHALRHRPTTAASALCLRKSPSQLYLLPLFTRRPKIQTFKFNLTPPDAYNRTPTAPAAGAATATATTYMTRILQSCNGLLLLQVSYNARNAPKDYYIYNPTTRQSRILRLTYHFHNNIVSQSQSQQLGLYLAYDPSKSLDYKVICVSFTPYSVYTYQVFVYDSKFQSWKVANGGKEFVVPYDVKFCDGVYWNGKIHWIRPKGESYYFDIDKDCVVQSIQIPLVGRWRGDRVSDTYYFGNSNGHLHFVTMYLRQASESELRVFEMQDDCSNWSLRYRMDFVDILCYFPEVRRREIDFRDGDTVDYAFCVLGMVREENGEGFLVFHVPGKVVAYKFKDKSFVELADSRALHFSRKGLLKFGCHDAYEFIESLAPV >CDP14141 pep chromosome:AUK_PRJEB4211_v1:6:18077288:18080273:-1 gene:GSCOC_T00040378001 transcript:CDP14141 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESWANFSETSDSEGCLDDLNDEENFYASGDIPKLQFRKDVSKARWIDDLEMAEVVERKGGMWTSTGIVRRGKIYCSIEETLFLAEIGALYLLDEDNTPFPLKYIYNKVQEKKNGFSWESFEAYKHLKALGYVVGRHGIPWTMKTDSSAQDSTDVNERVNGESRENFIITEMFHGMDINEVRPLFDVYPPNSKFKKSSPGTPCFILCLTSVHPPSKQEIEELERCCHGCPLKFCNVDHGRVSFFSFNRLELPTLP >CDP10575 pep chromosome:AUK_PRJEB4211_v1:6:5459593:5468610:1 gene:GSCOC_T00031338001 transcript:CDP10575 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGSLKRLRIPPQLEAFNFSLYHRSTSFFSLLSSLSPFRLLKRLFFKTLRYFPVSFHRMDSSFLFSLSSPSPSFADDVLSSDYSSPSSSPEPLFLVPLRWWTDANAALYGGGGGCGENKGILYNVMSRLSNKELEMDDFLSEVESEIVLDMTRVGDTGTAQGEGVSGSCSGTSDLSLALISEWMFLRAFRWHNDTKDVGAFLAAADIIQDLFSLQIRLSFSCKTDSLIIRINRKDNELRDFDRACSIFCVDSGMLEIWDFSGQTNQFFMYDRKLLSDFDQPREEMILELQVYGVAEARDSKREKMITDQCKIEAPPISGTMTMNGSMDDELFSRFKQSPPLDGSYSNACALGLTGLYNLGNTCFMNSALQCLVHTRELVDYFLGDFRKDLNFENPLGMNGKLALTFGELLRKLWAPGATPVAPRIFKSVIAGFAPQFGGYSQHDAQEFLAFLLDGLHEDLDRVKHKPYVEVKDVDGHLDEEVADEHWRNHLARNDSIIVDLCQGQYRSTLVCPVCKKLSITFDPFMYLSLPLPSTTMRKMTLTIFSTDGITLPLPVTVAVPRDGTLSDLVEALSVACCLRDDETLLIAEVFDGSVLGYLEEPSGKIDLIRDQAHLVAYRMLKESQRSPLFVFRHLREEKSEFTGYIYCKKFGVPLLSRVSNFSEGSEIRKEFLKLLNPFVMPAEELSNDYDCKGIDTNGDGKIESILDEDADIEPESEIDSCDFQFYLNESCWKEYKIEMNNPRPISVSSGIVNVFVSWPKKMLEVYDTSLMSVLPEVHKSTLFSRKYQESVSLYKCLDAFLKEEPLGPEDMWYCPSCKTHRQASKKLDLWRLPEILVIHLKRFSYNRYFRNKLETFVDFPINDFDLSNYMAHKNIHMSHHYMLYAVSNHQGGMGSGHYTAFIQHGQNRWYEFDDSNVFHISEEQIKTSSAYVLFYRRI >CDP06471 pep chromosome:AUK_PRJEB4211_v1:6:997679:1001342:1 gene:GSCOC_T00023334001 transcript:CDP06471 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEHACKDEMVTNEPTQDISTNRNDKSETENQSSDDKSSHHLEDLLVLLCCKDMLHLCTAKHIVQGDKKPIYKVKLTKPCCWTTIFVKDDRVCGLILLYQTGEIEIRSIPYLELVKETSLASLLRWNFKANMEKTMSSTETGHLTLVNGSELVFLSILLSENDYRIPDSLPSLHDEVLAAATRAAITYSLKHKKKPGGGPRILSGIVKGFKREKLNQAMELACITEPCSSHLEDVFVRNLTPDPSAMSKDYQDAAELNIDDIEIDEPVHLAPTSSHEVQYDNREGRKDREKLFNEDSSDMKPRLRTREEILATYRKAGDASSVAEQARNKLLERQEKLQRISKQTEDLRNGAEDFASLANELVKVMENRKWWQI >CDP02828 pep chromosome:AUK_PRJEB4211_v1:6:12628706:12632559:1 gene:GSCOC_T00041217001 transcript:CDP02828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPOLAR SPINDLE 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) UniProtKB/Swiss-Prot;Acc:F4KDF5] MQYDLFPQNAACKCYFYDNMGILSDKQLEDRRFNDVLRRRFLRQVRIKERKRRRDASFKQHVPGTNSDNEIEQLSSSVDFLVELCDAISPTNVEDQAFKNWSHQAVDFILMTLKAMLPVGDNREIIEGIISGLVMRLLRRMCNGSHADDSQHTESNACFYVQHLIRKLGSEAYVGQRIILSVSQGICTAAESLLFMDPFDDAFPNMHNCMYMMKMTKWSRSSSIELHCVALSYLLHCRIQLIQFLVSDYLLNWSEKEDLEMGLFEDWVSSLLHARKALEILESRNGLYVLYIDRVIGDVAKKAGQSSLLQRLKPNVCFSLFS >CDP06675 pep chromosome:AUK_PRJEB4211_v1:6:2519194:2522012:-1 gene:GSCOC_T00023605001 transcript:CDP06675 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLNRCRAHVTVVLFCSIYLLIWFPEFIAGAVVTLDSIEIYQTHELLKEPTVYFQCKGENKTFLPDVKKKNVLYSFKGEESWQPLTELTEKKCKRCGVYEHDSITSNDVFDEWELCASDFTRSDGKFIHMKEKEFNATFLCPECVPLGNASEHSSASHNREKGTHWVLVVLISASVGVVVILAMVAAYKYWQKRRKQQEQARFLKLFEEGDEIEDELGIGPLSHVI >CDP10613 pep chromosome:AUK_PRJEB4211_v1:6:5137095:5142384:1 gene:GSCOC_T00031383001 transcript:CDP10613 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNVRLRREYLYRKNLEGRERLLYEKKRKIKQALEEGKPIPTELRNEEAALRQEIDLEDEYTAVPRSTIDDEYANATERDPKILLTTSRDPSAPLTQFVKELKFVFPNTQRMNRGGQVISEIIETCRAHDFTDVILVHEHRGVPDGLVISHLPFGPTAYFGLLNVVTRHDIKDKKAIGTMPEAYPHLILDNFSTKLGERTANILKHLLPVPKPDTKRIITFANQSDYISFRHHLYEKRGGPKSIELKEIGPRFELRLYQIKLGTMDQDEAQTEWVLRPYMNTSKKRKMIGD >CDP10232 pep chromosome:AUK_PRJEB4211_v1:6:12852630:12856303:1 gene:GSCOC_T00030893001 transcript:CDP10232 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPRMRPMSVGDSEVRTVLVPGGNKAQRSLRVKKPVTKAWGNAEKSTDEVEVVEDKNGPSSPTSVTDLSPPLNSSRFPSILRRQDSLLHSSLSLSASCSSDASTDSFHSRASTGRIYRTRIIANRKKHLASKAKIVGPNGVSGSTSDGLPAKRTCAWVTPTTDPAYATFHDEEWGVPVHDDKRLFELLVLCGALSELTWPSILSRRQIFREVFADFDPTVVAKLNEKKIIAPGNTASSLLSELRLRAIIENARQISKVIDEFGSFDKYIWSFVNHKPLVSRFRYPRQIPVKTPKADVISKDLMRRGFRCVGPTVVYSFMQVAGLTNDHLVSCFRFQDCMTPEGKAEASVEDIAQQKKVNEIIKSELCVSMDELSISSE >CDP14279 pep chromosome:AUK_PRJEB4211_v1:6:36420543:36421323:1 gene:GSCOC_T00040581001 transcript:CDP14279 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAEKDHILWASSNLTGHCVIYFKIAKIRAFGLSFNPASSSSSLTLLLLFLQTSKMPSHKTFVIKKKLAKKKRQNRPIPHWIRMRTGNTIRYNAKRRHWRRTKLGF >CDP10485 pep chromosome:AUK_PRJEB4211_v1:6:6165505:6168550:1 gene:GSCOC_T00031225001 transcript:CDP10485 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLVVYYVGLCIWLSLFSYNSIFVYSEIAKDIGTAQGFVFVDGISAIGEIDDDFICATLDWWPPEKCDYGTCSWDHASLLNLDLDNIIFLNAVKAFSPLKIRLGGTLQDNVIYQTQSNQRCHSFVKNSSELFGFTQGCLPSSRWDELNSFFKKSGAEIIFGLNALNGRRIRSDGSAVGAWDSSNAEAFIRYTVEKGYNIYGWELGNELCGGGVGTRVAPDQYASDTIVLRNKVQEIYKDVANKPIVLAPGGFFDVNWFTDFLRRTNNAVNAATHHIYNLGPGVDEHLIEKILSPSYLDGEADTFSKLKGVLKNSGTSASAWVGEAGGAYNSGRDGVSNAFVYSFWYLDQLGMASAYDTKAYCRQSLIGGNYGLLDTTTFVPNPDYYSALLWHQLMGRNVLATNFRGTKKIRAYAHCAKQSKGITLLLINLDGSNSVQVKVAYNGTILHGHKHGHHHSSPKYIQLPQPRKIGSATREEYHLTAKGGDLHSRTMLLNGNELTVGNSGEIPQLKPQIFPASEPINVAPYSIVFAHIEYLNLQACN >CDP06264 pep chromosome:AUK_PRJEB4211_v1:6:24574076:24575204:1 gene:GSCOC_T00022998001 transcript:CDP06264 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHGCPQDLTSFNSFLDVLCKAKQAEIAYNLFKVFRRKFRVDMISYNILANGYCIMKRTPKALEILKEMVERGLEPNVMTYNIILKGFFRAGQTKEAWEFFLQMKRRKCEIDVVTYTTMVHGFGVAGEVERSRKLFDEMVGAGVLPSVATYNALIQVLCKKDNVENAILVFDEMLRRGYLPNVTTYNVLIRGLFRVGKMDRAVEYMDKMKEDECEPNVQTYNLVIRYYCDDGEFEKAFELFEKMGSGHCLPNLDTYNILISAMFVRKKSDDLVVAGKLLIEMIDRGFLP >CDP03204 pep chromosome:AUK_PRJEB4211_v1:6:8918508:8922122:1 gene:GSCOC_T00041709001 transcript:CDP03204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine synthase [Source:Projected from Arabidopsis thaliana (AT5G05920) UniProtKB/Swiss-Prot;Acc:Q9FI94] MGDALNGGNPLESARLAAFKQSETLEGTCPVIQGYDFNQGVNYPELLKSMVSTGFQASNLGDAIDVVNQMLDWRLSDECPTEDCSEEERDPAFRESVRCKVFLGFTSNLVSSGVRDTIRYLVQHHMVDVVVTTAGGVEEDLIKCLAPTYKGDFSLPGAALRSKGLNRIGNLLVPNNNYCKFEDWIIPIFDKMLEEQTSKNMLWTPSKVISRLGKEINDESSYLYWAYKNNIPVFCPGLTDGSLGDMLYFHSFRNPGLVIDIVQDIRNMNGEAVHVGLRKTGMIILGGGLPKHHICNANMMRNGADFAVFINTAQEYDGSDSGARPDEAVSWGKIRGSAKAVKVHCDATIAFPLLVAETFATKVK >CDP06668 pep chromosome:AUK_PRJEB4211_v1:6:2478780:2480320:-1 gene:GSCOC_T00023594001 transcript:CDP06668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 3 [Source:Projected from Arabidopsis thaliana (AT2G37380) UniProtKB/Swiss-Prot;Acc:Q9ZUS8] MATYLSSCPDADEDYIDMEVSSCHSLFCCSEKASPQSREFEFQMSSSASNDRETTPSPADELFYKGKLLPLYLPPRLQMVQTLLQTPTNSAYDFTAPSTNTSTPLDSCNISPSESCRVSCELNPSEYFFGWSTELSSFIGAGDSHPKKSWSKKLKMIRQSSLTQKLKASRAYLKSLFRKSACSDESSAKAACNLESEQVPGKYVKVGKKAPFGHFGRARPTLSNSVKNVDKEGIEENISQHRRSFSGAIKRHSPSKCLSSSSSSSSGSSSSSSSFSINSNVLYESHLLKRSSSAASDIEISIESAIAHCKNSQQIFNSRNTEDEAGFYSLPISRISPAENQGKAGVCKI >CDP03325 pep chromosome:AUK_PRJEB4211_v1:6:7908687:7912817:-1 gene:GSCOC_T00041870001 transcript:CDP03325 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWRWYQNCLAVHPVKTQIISSGFLWGVGDIVAQSITHSTAKNRPLHLSDADKEFKVNWKRVAITSMFGFGFVGPVGHFWYEYLDRFIRFRLKMQPKSVRFVATKVAMDGIIFGPFDLVVFFSYMGFSAGKSASQVKEDLKRDFIPALVLEGGAWPFMQVINFRYVPVRYQLLYVNAFCLLDSAFLSWLEQQEDASWKQWFTSFTTLKKEEREGR >CDP03062 pep chromosome:AUK_PRJEB4211_v1:6:10033963:10039574:-1 gene:GSCOC_T00041540001 transcript:CDP03062 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVRYARQTRSSYLYPLPLYLLYSTSSAAASKLQAQRAILPTSPSSSPASPVSSFSRAEVYQASDVLLPFKEWFLSRKIPFLDRIFEILRMDDNAAAEASLCRLNLRLSESLVLDVLNYGKSERDLLSCLKFFDWSGRQVGFEHTRATFHAIFTILSKAKLMSLMLEFLGNYKKQKYVQQGRFYSTLVIGYSVAGKPEIALQLLGKIRFQGFDLDYFSYHVLLNSLVEHGYFDVVDVVADQIRLRGYVNGITHSILVKSFCKQKDLDRAEKYLRQLMTEDVNGKVALNGILVATFVDALCKNKEFERAGMLVEELKKLGSVPLQPAYGVWIRDLVQAGKLDGALELKAEMETHEYVPDIFRYNSLVSRLLRQNRLEEVFDLLIEMKEQNIIPDGFTMNATLCFFCKAGMLDVAMGLYDSRAEFGLSVNSMAYNYLVNSLFEDVTIDEAYQVLKDAIEQGYFPGKKTFSIITDALCREKKLNKMMELVLVALEKNFVLSESIYDKFISALCRSNKLEDGYLLHGQLNRLNRVPSRITYFNLIRGFYQSGRGDIAANLLIEMQEKGHMPNRKLFRAVICHLCQMDDPEKQFFRLFEMQLSRYEPTCNFYNSFIDGAGHAGKYHLARHVYKMMERSGITPNMRSDLLMLQSYLKSDKISDALNFFRDLLKRRKIGKKLWHTMIVGLCRACRPDYALEIFWEMRGNQLRPSIECYEELVKLLCARKEYYAALEVIDDLPKIGRPMSSFIGNVLLLHSCSSRELFNAWYNSRNLQNLTTHSMAIGKLIDACSDSIGGDQDINAMEELIRQCFPLDLYTYNMLLRRISLSQINLAYEYLNQLRKKGHEPNRWSYDIVVRGLLKFGRIAEARKIMEVMYRKGFDLTERTRLFVEPFLSLETFLFLLRICFLLQRPEGVIAANGHIFLCPHQNHPISS >CDP03283 pep chromosome:AUK_PRJEB4211_v1:6:8219891:8224601:-1 gene:GSCOC_T00041817001 transcript:CDP03283 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS2-associated factor 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G20020) UniProtKB/Swiss-Prot;Acc:Q9SL79] MALRPVIQFPVFVPPPPSPPNHRPAFEVRFSRWNNANAQKFIRRERTQKEIEDQIRSQRRFDSAFNIAHNYNPAPPTPTFKSTGTPSSPSHPSIPGKKSKYSKNPQKPRLPFDHPAFKPVLKHKKIPVKRINPSRTTDESTKAEEQENFAPNVKIDEKGLSYEFPEAPFLYQYSYTETPKVKPVGIREPLVAPFEPGTMGRPWTGRKPLPPSKKKLPEFDSFQLPPPHKKGVKPVQAPGPFLPGTGPMYVKSREQILGEPLTKEEIKALVESCKKWKRQLNMGRDGFTHNMLDNIHAHWKRRRVCKIKCKGVCTVDMENVRQQLEEKTGGQVIYSRGGVIYLFRGRNYNYKTRPRFPLMLWKPVTPVYPRLVKRAPEGLTLEEATEMRKKGRNLVPICKLAKNGVYCDLVKNVREAFEACELVRINCEGVNGSDYRKIGAKLKDLVPCVLISFENEHILMWRGQDWKSSLPELRSDAEGMTETESDATTFVGTILEGEAESLTASASSVSNTTKMNTTIKDLNTSSGSWNFEEVESDGSSEYGEEVVGDLTALATSACETYESESPPDVQCAVGSDVLVDFDRSEEEWDGSNSYHNAMLTVSSGPETRLGSTFSNDNHSEPPFTAPFTSSKLEGVSEDRKGISELSSATTPSAEEVLLLLRQAVESGLAVMLEDSSLDADIVYERAVALAKSAPPGPVFSHRRKQLVVPECDKPQSDDLEVKEALKVPEKEVTLSSKRGSGKKTSKGRSMKDIREDYLNVNQPGSLRVDELAKLLA >CDP06732 pep chromosome:AUK_PRJEB4211_v1:6:2947175:2947978:-1 gene:GSCOC_T00023679001 transcript:CDP06732 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMQAMPTFASLLLFCIHFTSILAQSPAPAPAPPVPVPAPPAPIPIPPAAAPAPTGPTNITAILEKAGGFSAFIRLLKSTQSADRINMQLNKSEQGLTIFAPDDSAFAKLKAGSLNSYSNQQQAELIQSHILPSFMSVQQFQTASNPLSTQAGGTNYGEFPLNVTTSAAAVNLTTGFASASVVGTVYTDNQLAVYQVDRVLLPQRFFVAPPPSPPPAAPAPAPSKPKKAQSTAKPVESSGAISLIHEGLLQASYAFALLAASWLIG >CDP10134 pep chromosome:AUK_PRJEB4211_v1:6:13907791:13908874:1 gene:GSCOC_T00030750001 transcript:CDP10134 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEA4-5 [Source:Projected from Arabidopsis thaliana (AT5G06760) UniProtKB/TrEMBL;Acc:A0A178UIZ0] MQAIKEKAANVAASAKSGMEKTKATMQEKVDRATANNPTEKEMATERKEQRVNQAEFDKRAAQEHNAAARNATKNTGQPHTYSTTGAPGHPTGTHQQSALPGQPTGQVTEGVVESHPIGTAAGTGRTNAAHNTHVGAATNIGGSYT >CDP10572 pep chromosome:AUK_PRJEB4211_v1:6:5481897:5486206:1 gene:GSCOC_T00031334001 transcript:CDP10572 gene_biotype:protein_coding transcript_biotype:protein_coding MREDSGISLYRDRLDKTLCSHDLTDVETLGTLVKDHILRSSKVENEDYIDNIAEMRTKEVSHLLGMLRSASVDDQRSKHSDASHRGWKVKQDTEEFRVMYREGPEGTPFHTLLVEGYVDGPLDVCLCITWEVGLFQKWWPQISIPSFKIISSQCLQKVRIGEQICLVRVKISWPLLTREALVHCFEFEYLQDDLVVGLLKSISDLESIDTSTHGFAREGIPDAQNVVRIDVVGGGVLQKVSANRSYFRSIATVDVKLDFVPPALINFVSRQIIGSGFRLYKKEVASVAKGDEDFANALKDPLYARIRQALYSNGLPTKTPQPEMNNDTASLLEEEPEEREKFRSGQEMAHNHELAVNSQAGDSFVQDRKSHGEIEEIKENDSEESRCLAELDHNRSIYLPTNQIDSGFWTDDKKVVIRREVEQALRILDDVISVFQGCRSNDETRILPGIPKEESQNLEINEAEEAVSSEADHICKNVETHGQPTEKKDPEVMIAADEPRNSSSSRGIRHTHSRDANHNKIAPASPVENVSGPREIDHAASLSSQNQRTELTLAEKTMNEENILISPDANDAGGDEARSSKNRKVRLCCFSSLSRQSSS >CDP15413 pep chromosome:AUK_PRJEB4211_v1:6:4608163:4609754:1 gene:GSCOC_T00043140001 transcript:CDP15413 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCLHSWAEIIFGLNALNGKRIRSDGSIVGASDSSNGEAFIHYIVEKGYNIYGWELGNELSGGEVGTRVAPDQYASNTIVLHNKVLEIYKDVANKPIVLAPGRFFDVNWFTDFLHRTNNAVDFLT >CDP03146 pep chromosome:AUK_PRJEB4211_v1:6:9329135:9331360:1 gene:GSCOC_T00041642001 transcript:CDP03146 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLNVGFKRSVPVEEEDAPKVEKAEILTVKEEPVVFLDEDGPFGNFSDVPKPLQGLHEVGPPPFLKKTFEMVDDPETDSTISWSSTNTSFVVWDPHKFSRDLLPKHFKHNNFSSFVRQLNTYRFRKTDSDRWEFANEEFQKGKKHLLKNIKRRKQHSQMLQHQGAGQPWLDSAKYISETELQKLRNDQNTLKLELLRLKQQQVNTENYLAAVKERLRTAESKQKYMAIFMVKAFKNPLFVQLFIEKMKQKRALGSGEVSKKRRLAAVDGKNLQPQDELTTVDPEIQILFSPDHESSGPLQEQLVGASSNTSENFILNRARELPSWTNGMSPLQGSEGNAVLFI >CDP12672 pep chromosome:AUK_PRJEB4211_v1:6:17198116:17202625:-1 gene:GSCOC_T00036396001 transcript:CDP12672 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGASCAVQQTLTSEAASVLKHSLSLARRRGHAQITPLHVAATLLSPRVSLLRRACLKSQPRQASSHPLQCRALELCFNVALNRLPTTPGPILHGQPSLSNALIAALKRAQAHQRRGCIEQQQQQPLLAIKVELEQLVLSILDDPSVSRVMREAGFSSTAVKKNLEDCSVSSVFQCYNSSGGIYSTPSSPPSENPNSIWHSHFLSYTSEQNPLVFSPQKILPRNPINITDAPAVSVKEDIKLVVEVLLRKKRKNTVIVGDSASITEGLVTELMGKVEKGDVPEELKSAHFIKFHFSAAPLKFMKREEVELNVSDLKRKVETLASGGKGVIIYTGDLRWTVENTMSEGEDTGGFCSKEYSGYSPVDHLVGEIGRLLSCYNNSNTKVWLVATANYQTYMRSQMKQPPLDVQWALQAVSVPSGGLGLSLKATSVQDTSLASLSKSTFEVIEQKPNVAKEEQIEAFICCPDCTSNYEKEVSFNSNQNSCNTKDSDLAYWLKPPGRNVAEKDDTVELKRKWIKLCQNLHQVRQNQNHTRSAFSKQCSPGKNQSYNSYPWWPSYNSMFVDSKSISFAHASLKPNQNSNSVPRFRRQQSCHIEFNFGSGNSKCTEEPNLDSLRLKEDKDEKITLALGNSQISDAVNSTEKANEDADLCKLLQENVPWHSGIIPAIVEALMNSKELKKDTWLVIQGNDLIGKRRIALGIAESLIGSADLLFYINLRTRQNTPGKCSEVLQNVVRNHGRLVAVLEDIDCADPDFLEFLADSIRAGKMGSFYKKDGSSCEPIFILTKADLSCYDNESKKMDPVIQMEILVTERTSNSTVFGPDHKRKTDWDFPDNNKIPRKSEMESGFKIPVENGEKELTPQLSSNTLDLNMKADEEESDDQTKEFSPISSDLTSNDQQNPLGFLDLIENHFILNRDLTQGRQMTEMFLNKIRASFEKIFGSKNLDCFTVEHNVLEQISLGSATFLNSLFEEWLKNIFQPTLKMVNTIGKEGISMRLSLRGNAKGNELNSGFKGSCLPKSVPVSYLD >CDP16329 pep chromosome:AUK_PRJEB4211_v1:6:28883122:28883250:-1 gene:GSCOC_T00018127001 transcript:CDP16329 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICAKRVVVDARHHMLGRLASVIAEELLNGQKVVVVR >CDP06546 pep chromosome:AUK_PRJEB4211_v1:6:1593549:1594355:1 gene:GSCOC_T00023437001 transcript:CDP06546 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMPVFGGRRSVYNNPFSGDGWDAPVNNHQPVHQKQYHGESGVTAWAPPVHGASSHHAVQAPSSFASATVDWRETPEAYIFKTELPPGVRREDVRVELEDNKILKISCEKYTEKEDRHDQNYYHHVIERSRCKFLTAFGLPQDSRVDQIRSTIENGVLTVRVPRWEPMHHSHHHVIPVEIL >CDP06772 pep chromosome:AUK_PRJEB4211_v1:6:3325735:3328769:-1 gene:GSCOC_T00023732001 transcript:CDP06772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 6 [Source:Projected from Arabidopsis thaliana (AT5G03380) UniProtKB/Swiss-Prot;Acc:Q9LZF1] MGEKDEKKNEGEKKVTEAKNQGEKKTADGGGKKDDAQSPIVLKLDLHCEGCAKKVKRSIKHFDGVEDVKADCASNKLTVTGNVDPGWLREKVEQRTKKKVELLSPPSKKDSGGGGDKKADDKADKKSDDKKKDEPKKPKEPQVSTVVLKIRLHCDGCAHKIKRIIKKIDGVEAVAVDNEKDLVTVKGTMDAKDLTPYLKDKLKRTVDVVPPKKDDGGGDKKEKEAGGGDKKEKEKQKESSGEKGAAESKGGAGGGGGDKGKSIEEPKVGVHKLEYHGASASTPYTYYYYGMPVYNQSYANQDYGVSVPTMYGQGGYGTTGYVVDYRPHEPPPPPPVYLPAHDQMFSDENPNACSVM >CDP10628 pep chromosome:AUK_PRJEB4211_v1:6:4944479:4948414:-1 gene:GSCOC_T00031402001 transcript:CDP10628 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQQNRGMMEMGDFVPKIRKRGCSTSSSSSSKVHSYRFKRAILVGKKARGGIGLGGSRSSTPVPSWKMTPLKSAIDSPKFSQKSNGGGRSRPVSARRLAATLWEMNEMPSPKLSENLEEEKKRNKKLMMLKKEKLRSSVPPHLSDPSHSPVSERMDRSGTGSYQRRSSSVSQRLRTLDHNAAVFDSLSSASLMEIETRSRAQTPSGSVVGVRNRLKDVSNALTTSKELLKIINRIWANADQPSSSMSLVSALHAELERARLQVNQLIQEQHMDQNEISYMIKCFAEEKAAWKSKERQAVESAIDTIAGELEVERKLRTRFESLNKKLGKELSETKASFMKAVKELESEKRTREIMEQVYDELARDVDEDRAVEVLKRDAEKVREEIEKEREMLHLAGTLREERDAKHQFEEKDTAVDKLKKQIEAFLGKKKGKEKRSDSHNFGNGKGRNSFTGQIPRRSSSLQRSTSDTAEWGFKSRGMQNPADGIDRERFSELEKQAQRKSSADETQRYKSQPWPSRDPHGAVQERNSFSQGSGSKSRLAESRGDGQSARRSRR >CDP09554 pep chromosome:AUK_PRJEB4211_v1:6:20561597:20563579:1 gene:GSCOC_T00028972001 transcript:CDP09554 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLARSIEELLPARFSDNLWCFISVRTALVLSSLCVAFVLPFFGLVMALVGSLFSILMAVVMPALCFVKILGKTATRTQVVLSIAIVALGLVSACVGTYNSVSNLVKKY >CDP15277 pep chromosome:AUK_PRJEB4211_v1:6:3455860:3458604:1 gene:GSCOC_T00042948001 transcript:CDP15277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase Cdc25 [Source:Projected from Arabidopsis thaliana (AT5G03455) UniProtKB/Swiss-Prot;Acc:Q8GY31] MSRSISYITSSQLLSLKRRPNIAIVDVRDDERSYDGHIAGSLHFASDTFLDKIPSLIDAAKGKDTLVFHCALSQVRGPRCARRFADYLAEMKEDAGIKNIMILERGYNGWEASGRPVCRCTEIPCKGDSRVPSV >CDP14195 pep chromosome:AUK_PRJEB4211_v1:6:18694080:18700788:-1 gene:GSCOC_T00040454001 transcript:CDP14195 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] MAKVAAEPISLSAALSSSASSVRRRHKSSRIRASQPLNDKSNSNKQLGMFALRKKIEDSILSAEILAPTALEQEEARRIQQEKVIRECNLWDDIAQANEFLVNLAESDKVVDALKDLRYKAEEAKLIMELAEMDAINDGLFNQAYSASVYVNKFLKKYEMSKLLNEPYEREGACITIESGHEGIHDERWAEQLVQMYIKWAEKQGHKWRIIEKFPSKNGGIKSAILEFESNFVYGYLMGERGVHRMIKASPDGSLASEGSSATVDVIPLFLESVPDIFIDDKVLMISFSSTDEEYLSRTSPSVHIQHIPTGFTVKATGERSQFANKMKALNRLKAKLLIVLENQRISKVEDIDRSAIVDLWCQETTRRYVFYPAKLVQDVKTGIQLPDLTSVLNGNIEPLIVAHINNRRSCNIIT >CDP02984 pep chromosome:AUK_PRJEB4211_v1:6:10927588:10931597:-1 gene:GSCOC_T00041433001 transcript:CDP02984 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL5 [Source:Projected from Arabidopsis thaliana (AT5G57390) UniProtKB/Swiss-Prot;Acc:Q6PQQ3] MDSSHPNWLAFSLSNHPLFEAFNSLTSSSGAVVRAEEDNGNNGASVTAAADLSTVLNCSSPKLEDFLGGGSNGSCSSADVCQFGGGNQTSALINADVYDSELKSIAASFLRGYSTEQAESQKQLAAVPLAPEPPAKKAVETFGQRTSIFRGVTRHRWTGRYEAHLWDNTCRREGQSRKGRQGICGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISRYDVKSIASSNLPVGGISNNKSNNNNKTSSDSLSDNKSADGSNRSDDRDHSSATSVSFVSQPSTSALGFGLPIKQNTPSTSDFWSSLGYHNNNVTAKIPTPTAIPLFQNPMYFQQQHQHQQSNVLGGGGGNGNGTGTNSSSPSEQVPFVTRIALSSSNGTGSTYDAANFGNWISPTLHSFQPAKPNLSVFQTPIFGME >CDP06610 pep chromosome:AUK_PRJEB4211_v1:6:2071036:2073001:-1 gene:GSCOC_T00023519001 transcript:CDP06610 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLFEFPNFKFRIKCRGTTDFWWYGLINEIAEVTWTSCCELILSRNGGDSSQLYSWILYPIVQIDPRV >CDP03206 pep chromosome:AUK_PRJEB4211_v1:6:8912901:8913379:-1 gene:GSCOC_T00041711001 transcript:CDP03206 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKHQSSSDPISDPKKKRRVGFSKIDAGVEANECIKIYLGTVLSFLIYLGRKFRALLMTFTISHYLDPFLK >CDP10186 pep chromosome:AUK_PRJEB4211_v1:6:13448425:13450124:1 gene:GSCOC_T00030820001 transcript:CDP10186 gene_biotype:protein_coding transcript_biotype:protein_coding MCYDYHGAWEPTITGSNSALFDPKSNISTSYGLGSWLKAGILRSKLVMGLPLYGKTWTLKDPSVHGVGAPAVGLGPEGDGGRGILLYYQVEEFNKKNNATVGFDVATASTYSFAGTVWVGYDDSRSTAARIGYAQALRIRGYFFWALSYDLDWKISRTASHLWIH >CDP06580 pep chromosome:AUK_PRJEB4211_v1:6:1865199:1866877:1 gene:GSCOC_T00023485001 transcript:CDP06580 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLELSARKHGDDVQKSNQLGILAFETAKTMSKLVSLYKSLSHDQISRLAREVVTSQGIAYLNSMDEGLLFGLACAERLEDLDKTATAVAQLGQKCRDFGLKRFDLVYNELKLGIVDLWKLGHGSKHVEKKVQKMEKLIAATASLYSSLDALAELEISERKLGQWKHKGPSMQGKPNFQLFKEKLEKQRKQVHHLREVSLWSQTFDKSVHLMARVVCFVYARLYVVFGPYVPVLSSVSLGNLRPSHQKEILKDSPAQCCIIAQLMNEQIHSHSGPIPTASNSKLRMVRFYSRKSVFFLDEDDDLGDRKVSRSNRVFHAAGPSTVGGSGLALRYANVILLAEKCLDSAESLDPETRESLYQMLPENVKSLVKSKLSKNVKGTEDDQLLAVGWREALRGIMGWLAPMAHDTVQWHLERNPEKMKFDLKPTVLLLQTLHFSDKEKTEAAIAEVLVSLSCIFKHENCILSCESS >CDP14218 pep chromosome:AUK_PRJEB4211_v1:6:18999937:19002422:1 gene:GSCOC_T00040487001 transcript:CDP14218 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLYLKQGKNYSQSRPNYPEELFHFIASKTPHHDLVWDAGTGNGQAASSLAKIYKNVIATDTSQKQLELASRLPNVQYKCTSPTMSAEELERDVAKQATVDLVTVGQAIHWFDRPKFYQQVQLVLKKPQGVIAAWCYTTPQVNARVDAVFNKFYFVDSRPYWHPGRNLIYDKYESIEFPFFPVEGAENTGPFEFMTEKLMSLDELLAYAKSGSAYETALEKGVDLMSEDVIEEFRSAWAEDGNNQKVAKFPIYLRIGKAGMSLQDYIRR >CDP11662 pep chromosome:AUK_PRJEB4211_v1:6:27927676:27928835:1 gene:GSCOC_T00034079001 transcript:CDP11662 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFRKEACPGEILDVRKEIARNCKGLPLSLVAIAGLLQKTGLKSDMWKPIAESSNAILVNDPQARCMDILKLSYEKWIPVRKSIWQWMAEERSDSKSFQDLALDYLRDLIGRSPIQVSKRRSDGGVRVCWVHDMVHNLCLLKAKEENFLRILVTGDDEPCSSLYEQQYKEHRLCISVSRQHFVISRPFGPYLHSLLFYATPDAYPRCPYNVSFISKNFKLPKVLDLESINMGSSFADGIDSLIQLIFLAVGGDIDSIPSSLANLRNLEALLCWILGLPTYVKKFKING >CDP02849 pep chromosome:AUK_PRJEB4211_v1:6:12462372:12474960:-1 gene:GSCOC_T00041242001 transcript:CDP02849 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKWALLCLFCRQNPVKRYGVIVCDPVIHSGLDRSVNHMMVPYVPMLVPPKKWKGYDKGGYLFLPSYLMRTHGSRQQQDAIKCAPVKQMQKVYEALDTLGNTKWRVNRRILNVVETVWGGGGNIAGLVNRNDVHIPELHSDAAEEIKKWKWNMRKAKKINRERHSQRCDIELKLSVAHKMKDEDGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGLLEFAEGRPLGKSGVYWLKIHLANLYGGVVKKLSYDQRLAFVENHLNEVLDSADNPLNGNRWWLKAEDPFQCLAACMNLSEALKSSSPHTVISHLPIHQDGSCNGLQHYAALGKDSMEAAAVNLIAGDKPADVYSEIAARVHDIIRLDSEKDPTIDPNATIAKLLVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLQEKGLITDDRLLFSAACYGAKVTMAALGELFQAARGIMVWLGDCAKVIASENQPVRWTTPLGLPVVQPYFKSQRHIIKTSLQVLALQREGDSVEARKQRTAFPPNFVHSLDSTHMMMTAIACRDSGLQFAGVHDSFWTHACDVDKMNQILREKFVELYSMPILENLLENFHTSYPTLTFPALPKRGNFDLREVLKSPYFFN >CDP10206 pep chromosome:AUK_PRJEB4211_v1:6:13139083:13143857:1 gene:GSCOC_T00030856001 transcript:CDP10206 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHSWLCTFITQISLCFCVYLAFNMGDRTESSSRPVETYFMSVAGGFRPENEQTLLLKLMEKVAKVYKVQFVVSISELGENDPLGLNATWYFQSLKLPWYTTKSFKGQRPGSYLKHTKVQYGKTLDIVIVNTELFQGPSAGAIEHQLLWLMKTLEESTSDWRIVVGFHPLLACNGSTEAKDNPLCLHEMFLNHRVDAYLSGHACTEHVHKQSAKQPGNADQPGKGPCLTPIRRIFDQNKEKVNGLLLHRVSSLELVTYSINLEGDVAHKIELQQSGREAM >CDP06397 pep chromosome:AUK_PRJEB4211_v1:6:339787:347226:1 gene:GSCOC_T00023227001 transcript:CDP06397 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRRPPTGGGSSSSTAAAGGGEEEERPVPSPSTSIIPSSDPNPLSISARRWVRAEKATQNIISKVLPTAVSEERRREVIDYVQSLIRKCLGCEVFPYGSVPLKTYLPDGDIDLTAFGGTNADDILVDDMVSVLEGEDQNKSADFVVKDIQLIRAEVKLVKCIVQNIVVDISFNQIGGLCTLCFLELVDSVIGKEHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSTFNGPLAVLFKFLDYFSKFDWENYCISLAGPVRISSLPELVVEAPENGGCDLLLSSDFLRYCTDMFSVPSRGADSNFRVFQPKHLNIVDPLKENNNLGRSVSKGNFYRIRSAFTYGARKLGRILLQPEDDIAEGLCKFFFNTLDRHGSGERPDVQGPRSNYRYNGYSSTLSISENDPCAVERVIVKPTSDTNGSCHNRVNGLKLSGLETTCGRENGEEEGIIEVLPSNFCNSPAVGNALDHRISGDAKDLATYRVDCLKVSDTLPQISELNDRKSVSPAGTPRHAPRLFFSSSILTNGGRGNGISHGPDGANNQCGLEENFIVSVDEGPATIHLASKEALSPENLQHVTRDLASESASIAESFSSLSELNGDLDSHLNCLHFGRWCYEYASSTPALTVSPPPPSIFQWNNSWDAVQHPSQFKQNSFSHKSVNGVILNPPFCTVNPLLTPGMAFGLEDMPKPRGTGTYFPNMNRLPQGYRPLAGKGRNQALPRSPCNNGRNLMFMETNMVDQSSRDLSKNPVSVDQSGAKVGPSNIHQSYSPRGKGHLNVNGLIMQSEGGFEIGSVGHVPVEVHQLDRSRHHQQRCLSSSENSGPLSPTKEMRKLKPVLKTERDRVSVELSYQLKDEDDFPPLSV >CDP19206 pep chromosome:AUK_PRJEB4211_v1:6:15600413:15602822:-1 gene:GSCOC_T00012656001 transcript:CDP19206 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGSDLSSEMEVDAFRRLFPLSFHERHLLKSIRPDGRTLGKARDTIISLGAVTSANGSALTKIGCTTMLAAIKLEVMTPTVESPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVVAKQLSGTILRQVMATA >CDP19411 pep chromosome:AUK_PRJEB4211_v1:6:28674136:28679057:-1 gene:GSCOC_T00006446001 transcript:CDP19411 gene_biotype:protein_coding transcript_biotype:protein_coding MAATILADDDDDDMNPFTSIMLLAPTRQPPKPESEEDHQNQSPLSSSKAGITSQQLLDQNNYYLHSINSHLLIRQLPSQGLSFQLWPAATTLVTLLDTHSSQSTIPNPLSTLFKAQENRPLRILELGSGTGLVGIAAAALLSANVTVTDLPHVLCNLHFNVEANSKLLQVNQGAKVNIAGLSWGVDEDMKAIGKEYDLILGSDLVYHDRLYKPLIKTLRFFLLGNDDGSKKKRVAFVMAHLKRWKKESAFFKMARKLFDVDIIHSDPPSHGARVGVVVYRMVGKCFLSINSVPS >CDP15643 pep chromosome:AUK_PRJEB4211_v1:6:32589616:32590182:1 gene:GSCOC_T00015575001 transcript:CDP15643 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSCDSSSVCKNVRVVHLNGHVEEFDYPVSVSEVTAKQPKHFVCTCAQLLSTSSKPVMTNGFLEPGKIYFLLPYSVFQSNMSPVELASLARKLTNIGKSCQSKANSGHRSSLIGLNGGSPACRSPARSPASSPGRFPDVNLRVETENCFTDLLMSPKSRSWKPILATIRERSFNQRSESNLQEDC >CDP02843 pep chromosome:AUK_PRJEB4211_v1:6:12519878:12520549:-1 gene:GSCOC_T00041234001 transcript:CDP02843 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQWIAAVFIMAFLVFSAHSDDDDCSEACMHFCSDTLSEDCKLHCESVCSDKVPKTLDGPFFCKLGCSLRQCSHISTDKAKFRSCMDNCGESYCKLPHRN >CDP02937 pep chromosome:AUK_PRJEB4211_v1:6:11324765:11325001:1 gene:GSCOC_T00041365001 transcript:CDP02937 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLHHFCFLLSLPLLINCTNAATLTIRNQCPYTVWAAAVPGGGRRLDRGQTWTLNVAPHRAQARIWARTKCTFNLEH >CDP18663 pep chromosome:AUK_PRJEB4211_v1:6:15442323:15445390:1 gene:GSCOC_T00004158001 transcript:CDP18663 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDRILPQEDEEDLVQLPTNNFVQEMRHILEKKQGLEIMRVPKKVQVSCCQHTLAIVDIQKLIKSRNVERITCNRCIEPVVSLCCHCTQCNYFVHLTCAQLPEELQHPSHEKHKLKLAFVSYVWGMIKCSACNLDYNGYLFKCDTCRLYTFDHDVKCALLPSTIDHKAHEHSLVQMYRGNGNRCNSCGNVVRSFLYACEPCGFYLDYECALLPESVNHRWDKYALPLSFPPFSDRPDEFYCEICKEEIHPRRWHYHCRECDQSFHPRCIPGLGVTRNCNFGRILEFGRHPHPLKLVCDGEYRSTCDSCHERMYGNRVFKCETCQFYICIKYVARVANSGKIAGPAKISE >CDP10493 pep chromosome:AUK_PRJEB4211_v1:6:6092795:6098521:1 gene:GSCOC_T00031238001 transcript:CDP10493 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKIGGAWSGVLEVDLEEWTVAMLREEVAERSGCHAVPQTINLISAGKVLRDGDGTEKLSQLGLKNNAKILASRVSADQGKVKEEFLAEEERSKRLSRIKSAATSLAQRHAEGSLPVENFNLELENQSGEKVQLGSETDQRAIMMGLMLHANAKQLIREHKYKDALEVLIMGEEAFSLCNPKAIEMVDNVSILQIDMVWCYFMLRDISWLTVAGQRLAKAREGLERAHGKESIRLRLLQGGRFPELSLYLRLELLEGVVAYHSGRVENSRASLNAAQIKFFQLQVPDEALSLLKGMGYKERDAKRALRMSNQDIESAVDFLVEEKAKRMKKQEEDLWRQQEIFEQKRYGMTPLRKAVDLQKLNELVTVGFAKELAAEALRRNENDIQKSLDDLTNPETNAAIQADIELRKSKRLRQAAEAAIEEIVDMGFPRASVAEAVRMFGTKEKALNFLVGQPNENSATADVSNHDLGGGGGNDTANSATLSGEADNGGPSGRKEDLERDVEMEDELTTGLHGADAFSDYDIDVTKEGEAINEYLTLLNSAVEDEKVYRL >CDP06771 pep chromosome:AUK_PRJEB4211_v1:6:3311126:3312113:-1 gene:GSCOC_T00023731001 transcript:CDP06771 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCSKSLIFRNPQVEQLHLWPRSRNGINLLQGIRRPTQLPLLLLPITLRLHLHSLPIRDFIPIPSPPLYPLLRPRTHSLSSPMSSLATPPPESNSNPPTKTERVVIKGRVQGVFYRDWTVQNASELGLKGWVRNRRDGSVEALFSGPSEKVQEMEQRCRRGPPAAAVTGFQTFPGSDDPGTGFERRPTV >CDP14241 pep chromosome:AUK_PRJEB4211_v1:6:36947795:36968920:1 gene:GSCOC_T00040526001 transcript:CDP14241 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSGTTLMDLITSDQPSSTVPSSAASTTASSTAPPPQTTTANIGAPIPVVVDKKSKKGTLMQIQSDTISAAKAALNPVRANIMPQKQKKRPVSYAQLARSIHELAAASDQKSSQRQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTVLRYVYYYLARILSDTGSQGLSPGGGIPTPNWDALADIDAVGGVTRADVVPRIVDRLTSEALNEDVEFHPRRLQALKALTYAPSSSSEILTKLYEIVFSILDKVADPQKRKKGIFGAKGGDKESIIRSNLQYAAISALRRLPLDPGNPAFLHRAVQGVSFADPVAVRHSLEILSELGTSDPYAVAMALGKVVQPGGALHDVLHLHDVLARVALARLCHTISRARSLDDRPDIRSQFSSVLYQLLLDPSERVCFEAILCVLGKLDNAERTEERAVGWYRLTREILKLPEAPSVKETKADSKDAAPAKSSKEKSSKTKRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFAVGLQDIDEGVHINSFSESSDSYDQDLNETSEGLRRVSSVSNGTSGKDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQSPHESFGELESIIASELSDPSWPATLLNDILLTLHARFKATPDMAVTLLEIARVFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSELTSIDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIIAAQALTTMAIRSGEPYRLQIYEFLHTLEQGGLQSQLADMHVSNGEDQGASGTGLGSLISPMIKVLDEMYGAQDELIKEMRNHDNAKKEWTDDELKKLYETHERLLDLVSLFCYVPRAKYLPLGPTSAKLIDIYRTRHNISASTGLSDPAVATGISDLIYETAKPTPAEPDTLDDDLVNAWAANLGDDGLLGSNAPAMSRVNEFLSGAGTDAPDVEENITSRPSMSYDDMWAKTLLETTEMEEDTRSSGSSSPDSVGSVETSISSHFGGMNYPSLFSSKPSTYGSSQSTERAGGSRFSHPSFGGNSYEGFNSPIREEPPPYSSPTHQRYESFENPLAGPGSQSFGSHDDERLSSTNRQHGTALYDFTAGGDDELNLTAGEEVEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVSQS >CDP03158 pep chromosome:AUK_PRJEB4211_v1:6:9216588:9224766:-1 gene:GSCOC_T00041658001 transcript:CDP03158 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPRILLCGDVLGRLNQLCKRVSSVNKSAGPFDALLCVGQFFPDSPDGLEEFNDYIAGRSNFPIPTYFIGDYGVGAPKILSAASKEAGNQGFKMDGLKVCGNLYWLKGSGKFTLHGLSVVYLCGRKSASGMQFGTYSEDDVDALRALAEEPGIVDIFLTYPLHFTNRAATSDVPSGVSDSTDADSTISELVADIKPRYHVAGTMGVFYAREPYTNVDAVHVTRFMGLAPVGNKNKQKFIHAISPTPASTMSSVEICAKPPNTTLSPYTVVEKATPTEAKAKRPGESGSDSQYWRYDVSQKRQKHGDGDSERLCFKFTSSGCCPRGEKCHFRHDEDARQQSIRGVCFDLLNKGKCERGPDCNFKHSLQDENGSASSRRSFGTPSSNRSKECWFCLSSPSVESHLITGIGEHYYSALAKGPLVEDHVLIVPVEHSPNTLSMPEDCEIELNRVQNSLKAYFENQGKQVVFFEWVFKRATHANLQVVPVPSSRASGIKDIFNLAAKKLGFKFKTIESTNNSEGRRLLRTQYDRSCSLFYVELPGGTILTHVVEENERFPSQFGREVLAGLLNTADRADWKNCKLSKEEETKMAERFKKQFEAYDPNR >CDP03246 pep chromosome:AUK_PRJEB4211_v1:6:8620451:8624147:-1 gene:GSCOC_T00041761001 transcript:CDP03246 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVQYSEQQFMKWVNFVGSLNHSVFKTAKNKLFPSYTLTVDKNPNYGDFTTIQQAIDSLPTVNLVRVLIKVHAGVYTEKVTIPQLKSYITVEGAGADKTIVQWGDTAQTPGAKGQPLGTFASATFAVNSPYFIAKNITFKNTTPVPPPGAIGKQAVAFRISADTAVFVGCRFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNGLSLFEGCHVRAIAQLTGAVTAQGRSSLLEDTGFSFVNCKVTGSGALYLGRAWGPFSRVIFAYTYMDNIIIPKGWHNWGDPSREMTVFYGQYKCSGAGASFAGRVSWSRELTDEEAKPFISLAFIDGSEWIKI >CDP03312 pep chromosome:AUK_PRJEB4211_v1:6:7986665:7995701:1 gene:GSCOC_T00041853001 transcript:CDP03312 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGVTMEVGNDGVAVISIYNPPVNSLAIPILAGIKEMFDEAVRRNDVKALVVFGKGGKFSGGFDINVFGNVHKTGDNSVLPDVSIDLLVNTIGDAKKPAVAAVEGLALGGGLELAMACHARIAAPRAQLGLPELTLGVIPGFGGTQLLPRLVGLSKAIEIMLLSKSIMSEEGKKSGLIDEIVSSGELLNVSRCWALDIAERRKPWLRTLHRTDKLGSLAEARNILKAARQQAKQVARNMPQHQACLDAIEEGVVHGAYSGVLREAKVFKEIVLSDTSKGLVHNFFAQRATSKVPNVTDVGLKPRPVKRVAVIGGGLMGSGIATALILSNIYVVLKEINSEYLLKGVKAIEANVWGLVSRKKLTDDKAQKALSLLKGVLDYSEFKDVDMVIEAVVENISLKQKIFGELEKICPPHCILATNTSTIDLNIIGEGTKSQDRIVGAHFFSPAHVMPLLEIVRTEKTSAQVILDLMTVGKIIKKVPVVVGNCTGFAVNRAFFPYNQGAHFLLHLGVDPFRIDQLISNFGLPMGPFQLQDVAGYGVAVAVKEIFASAFAGRTFESSLVDLLIKNGRNGKSNRKGYYIYQKGSKAKPDPSVLPIIDESRKLANLMPGGKPISVTDQEVVEMILFPVVNESCRVLDEGIVIRASDLDVASVLGMSFPTYRGGIVFWADTIGADYIYKKLKKWSEAYGNFYKPSRFLEERALRGIPLSAPTSTSSASKSRL >CDP18658 pep chromosome:AUK_PRJEB4211_v1:6:15387050:15391668:1 gene:GSCOC_T00004153001 transcript:CDP18658 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNHLTVETEDVLASVLELAANNDMDGFKRWVEQDPTSVDEVGLWYGRQKGSKQMVLEERTPLMVAATYGSIDVLELILSLSEIDVNRSCGLDKSTALHCAASGGSVKAVDAVKLLLAAGADPNLMDINGHRPIDVIIVCPKFQERKLLLEKLLSTEASLGDHRLRVSTTTSNSNSNSPPLSPSPGNGSPSSHSDSTSSPKISDLPASSMSEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGTCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTQEEMRPLYVSTGSAVPSPRTGPSSTNAVDFAGMNFLPGSPSSVTMMSPSPFTPPMSPSTNGIANMGWAQPNAPALHLPGSNLQSSRLRSSFNARDIPTEDLNMLSEFDVQQQQFLDEISRISQPNINSSSLNRTSRPKTMTPSNLEDLFNVEGLSPRYSDQALASAVFSPTHKSAVLNQFQQQQQSMLSPINTNFSPKSVDHSLLQASFGVSSSGRMSPRSMDPISPMSSRVSMLAQREQQQQQQPQQQFRSLSSRDLGSSSAAVVGSPADTWSNWGSSMGKPDWAVSTEFGRLKRSSSFELGNEEPDLSWVQSLVRDSPQEMKEKSVQYVAGAATSAEGANLDHQIDQMDPSALTALLDQMQLDHLIAQ >CDP10418 pep chromosome:AUK_PRJEB4211_v1:6:6776892:6777957:-1 gene:GSCOC_T00031138001 transcript:CDP10418 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLSNFLVFPSVGYLRWNQARLQWIGSKKSETQKQVEEPILSWNASYESLLGTNKRFPQPIPLSEMVDFLVDIWEQEGLYD >CDP02827 pep chromosome:AUK_PRJEB4211_v1:6:12651706:12655746:1 gene:GSCOC_T00041214001 transcript:CDP02827 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQRQLQSLPGKEKPPSFTRHFCGHLSIAALPHHIPPRTKLISSCLISVGSEKMKITVKETAMVRPAQPTPTKRLWNSNLDLLVARIHILTVYFYKPNGSANFFDTRVLKEALSNVLVSFYPMAGRLARDEEGRIEIDCNGEGVLFVEAESDSSVDDFGDFAPSLELRRLIPTVDCSGDISSYPLVIFQVTRFKCGAAALGAGVQHNLSDGVSSLHFINTWSDIARGLTIAVPPFIDRTLIRARDPPVPVFEHVEYYPPPQLKSDSRIQELKTGPRASTTAVLKITPEQLSQLKAKANNEGSTYEILAAHIWRTACKARGLTNDQSTKLYVATDGRSRLIPPLPPGYLGNVVFTATPIAESSNLQSEPLTNSAKRIHNALARMDNDYLRSALDYLEIQPDLSALVRGPRHFASPNLNINSWTRLPFHDADFGWGRPIHIGPAIILYEGTVYVLPSPGKDRTLSLAVCLDADHMPLFQKFLYDF >CDP21169 pep chromosome:AUK_PRJEB4211_v1:6:35590009:35591778:-1 gene:GSCOC_T00002702001 transcript:CDP21169 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSHTVEFADRSPEPRRTKGGLKTMPFILVNVAFERLASQGLMPNMILYLTKVYHFETATASTILFIWAALSDGLALFGAFLADSYFGGFRVIALGSMSSLLGMVILWLTSMVPPLKPLPCDQLHNCSAPNGVRIVSLLSSFLLISVGAGCIRPCSIAFGAGQFDNKKNPNNERVIQSYFNWYYASATISTVVAMTVIVYIQDRLGWSVGFGIPAILMLSLL >CDP10216 pep chromosome:AUK_PRJEB4211_v1:6:13054612:13055913:1 gene:GSCOC_T00030870001 transcript:CDP10216 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEKCGVLRGKYELGRLLGHGTFAKVYMSRNLQTGKSVAMKVVGKEKVIKVGMMEQIKREISVMKMVKHPNIVDLHEVLASKTKIYFAMELIRGGELFAKIAKGRLREEVARNYFQQLMSAIDFCHSRGVYHRDLKPENLLLDEDGNLKVTDFGLSAFTDHLRQDGLLHTTCGTPAYVAPEVIGKKGYDGARADIWSCGVILFVLLAGYLPFQDDNLVSMYRKIYRGDFKCPPWLSPEARKLITKMLDPNPSTRVSISKIMDSSWFKKSVPKTLRSKEEQEFAVVEDEGVVARGKEIETLNAFHIISLSEGFDLSPLFEEKKREEKEELRFATTKPASSVISKLEEVAKTKNFSFKRSDSCVRLQGLENGRKGKLGIAADIFAVAPSFVVVEVKKSSGDTLEYNQFCNKELRPALKDIVWTTPAGNSMPAA >CDP03239 pep chromosome:AUK_PRJEB4211_v1:6:8686946:8691573:1 gene:GSCOC_T00041753001 transcript:CDP03239 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFILVLVTSLLLMLIGVCQGSKVGVCYGRNADDLPTPDKAVQLIQNHNIKYVRIYDSNIQVLKAFANSGIELVIGIPNSDLLPFSQFQSNADTWLRNNILPYYPATKITYITVGAEATEVPNNVSAMVVPAMQNVFTALRKAGLHKKIKVSTTHSLGVLSRSYPPSAGAFNSSYAFFLRPLLEFLAENQSPFMVDTYPYYAYRDSSSNVSLDYALFESSSEVIDPNTGLLYTNMFDAQIDAIYFALMALNFRTIKIMVTETGWPSKGSPKETVATADNAQTYNTNLIRHVINDTGTPAKPGQELDVYIFSLFNENRKPGLESERNWGLFFPDQTSVYNLDFTGRGTVDITTGANITSSNETWCVAASNASDADLQNALDWACGSGNVDCSAIQPSQPCFEPDNLISHASYAFNGYYQQNGATDIACSFGGNGLRTNKNPSYDNCIYATVGSRKTAASNATAASASKSASSVGKVSIHVPGCLLMGFLNILLTVVIS >CDP06654 pep chromosome:AUK_PRJEB4211_v1:6:2379102:2385014:-1 gene:GSCOC_T00023576001 transcript:CDP06654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 8 [Source:Projected from Arabidopsis thaliana (AT2G37440) UniProtKB/Swiss-Prot;Acc:Q0WT19] MRTERMNSKVLLNLKASGLRDGSWPKLVVRKLLNIRSGADEFHSDCAVTGMMDKVERRRKSCSDQGSHVVVPEDFAEGWLLEARNGIESPTLELDGAAAASDNRDLRMFVATWNVGGKSPHEGLTLGDWLRTSTPADIYVLGFQEIVPLNAGNVLGAEDSGPSVKWLSLIRQALNGNHHSATDDSPPEDSTLPASQSPRDRRQFAVKPGVNSSDMLSPEIGIDQQEGLERYLRPSSPNGIKYCLAASKQMVGIFLCVWVRSDLRKHISSLKVSCVGRGIMGYLGNKGSISMSMILHRTSFCFVCTHLASGEREGDEIKRNSDVIEILRKTRFSHPCGIPIPGKPVPPDGILNHEKIIWLGDLNYRLAPNPNGGDAIELLKKNDWQALLEKDQLRIEQRAGRVFIGWEEGKIYFAPTYKYLKDSDTYVLQTATSKEKRRTPAWCDRILWKGEGLKQLWYARGESKFSDHRPVNSLFSVQVNVSQTDKAAPPISSNATVPRTEAAAQPTVLSSSTGAAVAKVQAEELLFLTRAEKCIQSTPMFSINQ >CDP10445 pep chromosome:AUK_PRJEB4211_v1:6:6531485:6532195:1 gene:GSCOC_T00031172001 transcript:CDP10445 gene_biotype:protein_coding transcript_biotype:protein_coding MWTAILLLVLLFVAGLISTNFNRKKFLAWFQSYCAKIRNPSSHAANSFDFLETKSGKKVDKRRSADNKKVQLGSIFATFDKNNDGYITKQELKDSLNNIGMGMTESDIADMVRRVDSNGDGLIDLEEFCESFDSLIGRGEMNEEEQERDVTDDEDEEVSREDELKEAFAVFDGNKDGLITVEELGLVLSSLGFKEGKKLEDCIEMIRKVDVDGDGMVNFDEFKRMMKAGHRLLPAS >CDP11668 pep chromosome:AUK_PRJEB4211_v1:6:27712302:27713345:1 gene:GSCOC_T00034091001 transcript:CDP11668 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSLNSGTLANAVVYDNLSRQLSCKFSDAESRIDLSWAFKSPISSWATFIFLYPLEAVSDWSRKWSNVTAGSLFGHTGNTFLLFGVPIVIIAFLAIAYLIISGEEEFPEFKKKVSKIPRFSLWTFPVLVDGPFGVVSAAEMIGLL >CDP16135 pep chromosome:AUK_PRJEB4211_v1:6:34255106:34256058:1 gene:GSCOC_T00017205001 transcript:CDP16135 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGGARRSQAFRVTARESGRLRWMPKTLNFRAVQKQTAASRSARPSMREQQGLVTGDPPKIPITLSRLAHMPNFKASFGQVA >CDP15319 pep chromosome:AUK_PRJEB4211_v1:6:3794353:3798603:-1 gene:GSCOC_T00043004001 transcript:CDP15319 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 38 [Source:Projected from Arabidopsis thaliana (AT3G53110) UniProtKB/Swiss-Prot;Acc:Q93ZG7] MADSSETIPESKIAGRWADEADKEAAGTSEEVEIDALAIDESKKVKNDLTDPDDSSIEAVTSGDTPYASAKKFEDLNLSSELLKGLYVEMKFERPSKIQAISLPMILTPPFKNLIAQAHNGSGKTTCFVLGMLSRMDPKLSAPQALCICPTRELAIQNMEVLLKMGKFTGITSELAIPADSASFVPISKRPPVTAHVVIGTPGTISKWITARKLSMSFMKILVFDEADHMLAESGFKDDSVKIMRAIVQSSPDCQVLLFSATFDDAVRAFVDKINKDIFNRDYNQMFVKKEELSLESVKQYKVQCPDELAKVMVVKDKILELGEKVGQTIIFVRTRNSASALHQSLVNFGYEVTTIQGALKQEDRDKIIKEFKEGLTQILISTDVLARGFDQSQVNLVVNYELPVRFNNLSEPDYEVYLHRVGRAGRFGRKGAVFNLLCGDRDRRVMEKIESYFNCQVAEVGSWNSDEDFEDALKKAGLI >CDP15278 pep chromosome:AUK_PRJEB4211_v1:6:3460624:3464114:1 gene:GSCOC_T00042949001 transcript:CDP15278 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLKILRNKVPRLQQREQSNRRNNCEPADVETKFEKLSKMVCLACLLPLFLVPIVNLLPLLFDFIMGKIYRLFGWEYRKPERAPPACPYKPSATKPNSNSVAKADSVAPDNIQKPALIDDSKKD >CDP03108 pep chromosome:AUK_PRJEB4211_v1:6:9691604:9691975:1 gene:GSCOC_T00041595001 transcript:CDP03108 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAVSMGGMPLTYASRKRLPTADSFFKPLPVRPSNAAAAAKPIAKFQVKASSLKEKAVTGLTAAALTASMMIPDVAEAADSGLSPSLKSFLLSIVAGGVVLALIFGAVIAVANFDPVKRS >CDP03193 pep chromosome:AUK_PRJEB4211_v1:6:8983770:8986355:1 gene:GSCOC_T00041697001 transcript:CDP03193 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLTAVINKAIEVISNLITEGSSGLHWLEEDIRWIERETRHVWSYLDEAEARQDENHLVRNLVQDIEDLAHDIEDILDTLLPWLASHKSKGHLGCLTAASHVFSCGNDAAQHFVGEIEKIKRKIEDIDRLRTTYGIVDRGGTRSGDTWDPRRSFLYADESEIVGLEQDSDNLETRLVDTDLENGVISIVGMPGIGKTTLGKEIYRRVRHHFDCSAQVYVSQEPSIRELLLDIARQVGLEKGKFEDPIEANLGEYLRGKRFLVFLDDVWNTRTWDCLKLGFPNKPMSGSRIIITSRNTGVGRYIGGESSLHLLQPLTPENSWKLFSKMVMTSRGRNAVDLQGFEYIGKKIVEKCGGIPLAIVVTAGMLRERERTVHAWNGVLKSMSQDDRGELSKVLTMSYKDLPSALKPCFLYFGLFPEDHEIPAFQLINMWAAEKFIIASGEQDVEDVAEDYLNNLVARNLIQVASRRFDGRIRSCRIHDLLHNLSISIAKQTNFFRSISGGDKHSNTDSSSSRGRRIAYNPSNTREPDHFGANYEILKVRAMLCFDTNQYLQEEDFVVSHQLGGLTFLRVLSVETNSFLSSVPDEIGNLRLLSYIGLRGYYRGNLPSSIGNLKNLTTLDLRECRGICLPTCIWNMKKLKFFLLHESATFTTSRRLKNEVSLSSLRILDVVNCRHLEPHWLHKFTSLRKLGIRYPSTKISEILSGAGPILTKLENLRLTGAYPPTGKLNLYRYESLIKLHLGIVIEKLPDVKEFPRNLTKLSLRFTELEEDPFYTLKKLPRLEILKLGHRSHVSKELVCSGADSFPQLRVLKLRQLYDLENLLAEDGAMPELETITIRDCWKLKVSKRFLSRTIIER >CDP06735 pep chromosome:AUK_PRJEB4211_v1:6:2970785:2973321:-1 gene:GSCOC_T00023682001 transcript:CDP06735 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIGSTGVNNRRRSGSRRSHPPPPPPQPPQPEITANRYVFAAATPYPPQYPNPNAPPPYYQYTGYYPAPPPAMPVPLPAPYDHHHRMDPPHANWVSGRYPCGPMMQPPTPYVEHQKAVTIRNDVNLKKETLRIEPDEANPGKYLVAFTFDATVAGSITIIFFAKEGEDCTLTPMKDSLLPPVTVSFEKGLAQKFRQPSGTGLDLSMFEEAYLLKEGEMDVYPLAVKAEAFADNQSTSADGNTEPEPGSTNSQITQAVFEKEKGEYQVRVVKQILWVNGMRYELQEIFGIGNSVDSDFDGNDPGKECVICLSEPRDTTVLPCRHMCMCNGCAKVLRFQTNRCPICRQPVERLLEIKVSNAAEE >CDP06370 pep chromosome:AUK_PRJEB4211_v1:6:142771:147481:1 gene:GSCOC_T00023192001 transcript:CDP06370 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNPNFSPARAVSPQIRTNSDVDSNQYLSELLAEHQKLGPFMQVLPICSRLLNQEILRVSGMIPNQGFGDLDRLRHRSPSPMASSNLMSNVAGTGIAGWNGLPQERLSGTPGMTMDWQGAPASPSSFTVKRILRLEIPVDNYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPANVVDIRLRQAQEIIEELLKPVDESQDYIKRQQLRELAMLNSNFREESPGPSGSVSPFNTSGMKRPKTGR >CDP10515 pep chromosome:AUK_PRJEB4211_v1:6:5958061:5963330:1 gene:GSCOC_T00031264001 transcript:CDP10515 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKRLKDNHAHGAADHNNNNGSDHQAPEVVVNDEWEKDKCKAEILNHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLAQSQHVVAKYSSVLRGHDPHQQALDDKDLDHFMTHYVLLLSSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVAPSEGTGATMSDDDDDQADSDTNLFEGSLDGQDSMGFGPLTPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSLLKSWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSSSQKSKRKSAGEKSSNEQFM >CDP10157 pep chromosome:AUK_PRJEB4211_v1:6:13737688:13740284:-1 gene:GSCOC_T00030779001 transcript:CDP10157 gene_biotype:protein_coding transcript_biotype:protein_coding MELIGGSGLQAGEGSVAAEDAPVDVVKTCENNNVFLEDEALGKKDNDEKGNSEAGSFFDCNVCLDLAKDPVVTCCGHLFCWPCLYRWLHVHSDAKECPVCKGEVTMKTVTPIYGRGKNARQLEDDSNLKIPLRPQARRVESFRQSLQRTAFTVPMEEMIRRLTSRYDLYQVHSHNADAPRDSPERSHSLLNRILTSRGMRREQNNVISPDDVVDFTQSSPTNSEVGETRRISSLLLRRSHPNQAAISHLTSTLSSTERLVESYFRNNPVDRTQEQTLPVDDRDSISSIAAVIQSESQTVDTAVEIDSTVSLSTSSSRRRNDASRISDVDSGDSRPHRRRRLG >CDP06592 pep chromosome:AUK_PRJEB4211_v1:6:1908416:1911166:1 gene:GSCOC_T00023499001 transcript:CDP06592 gene_biotype:protein_coding transcript_biotype:protein_coding MALAILPQHALGITRHYELNITMLNVTRLCHTKSLVAVNGQFPGPRIVAREGDRLLIKVNNHVSNNITIHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNYTIVGQRGTLFWHAHISWIRATVYGPLIILPKRHVQYPFAKPHEEVPVIFGEWFNADTEAIISQALQTGGGPNVSDAYTLNGLPGPLYNCSAKDTFKLKVKAGKTYLLRLINAALNDELFFSIANHTLTVVEADALYVKPFETDTILITPGQTTNVLLKTKPQFPAATFLMLARPYATGAATFDNSTVAGILEYEQSPSPDSSTPVSVMKLPLFRPTLPALNDTAFAANFLNKLRSLANRQYPANVPQNIDEHFFFTVGLGTSPCDQTQGCQGPNGTKFAASVNNISFAQPTTALLQSHFSGQSSGVYSPDFPYNPLRWFNYTGNPPNNTMVGNATKVMVLPFNTSVELIMQDTSILGAESHPLHLHGFNFFVVGQGFGNYDPNKDPKNFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWLVLDGKLRNQKLLPPPADLPKC >CDP14282 pep chromosome:AUK_PRJEB4211_v1:6:36336440:36341546:-1 gene:GSCOC_T00040585001 transcript:CDP14282 gene_biotype:protein_coding transcript_biotype:protein_coding MESISGWQVSLLGGIASWVVFSSMLNVTGKIRSLTQPWVSHQVISSTPISLKIQKYQHGFLDGLFTALSCVVSVPFYTAFLPLLFWSGHCKLARQMTLLMAFCDYIGNCIKDVVAAPRPSSPPVRRVTATEDEKENAMEYGLPSSHTLNTVCLSGYLLHYVLSYAEISDISTQLVGLATICLVVGLIGLGRIYLGMHSLIDVIGGLIIGLGVLAIWLSIHEYIDAFVVSEQNVSTFWTVLSLLLLFAYPTPEFATPSFEYHTAFNGVALGIVIGIQQTYHHFHHEDVPRLFTSDLAILAFLGRALVGIPTILLVKFCSKALAKWILPIVANILGIPVKSTSYIPTLSVSAMSKKSDGTRQAGYLQKLFFFSHQETFDVDTGIRLLQYTGLAWSVVDLVPYVFFRLGL >CDP18661 pep chromosome:AUK_PRJEB4211_v1:6:15407835:15410096:-1 gene:GSCOC_T00004156001 transcript:CDP18661 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLLQDPPQFSRPSPPIQTLPYKPPKNPLNQQSFQENFRIICNFLLSLTRSGSLLKGQALHSHIVKSGIQIIPLVSHHLINLYSKLQRPFDSQFVFEEAPFKSSTTWSSMISTFAQNDLPILALSYFRAMLYNGFAPDEHILPSAVKACAIMSRHDVGMSMHCLAVKNAMNLDVYVGSSLVDMYAKCAELGYARKLFDEMPERNIVSWSGMIYGYAQMGEDNEALGLFKRALEGGLSLNDFTFSSVIRVCGNSTLLELGRQMHSLCVKMNCDSSSFVGSSLISLYSKCGVVENACQVFNEVVDKNLGMWNSMLIACAQHGHTKKVFELFGRMKSCGMKPNFITILCVLYACSHAGLVKEGNYYFGLMKEYGIEPGDQHYASMVDLLGRAGKLGEATKLIKEMPIQPTESVWGALLTGCRLHGNTELAAYAADRILELGSVSSGFNVLLSNAYAAVGRYEEAAKARKMLRDRGVKKETGLSWVEEGNRVHTFAAGERQHFKSKEIYEKLGELENEMELAGYVMDTSQVLRAVGNEEKSEAIRYHSERLAIAFALITFPPERPIRIMKNLRVCSDCHTAIKFMSKCTGRLIIVRDNKRFHHFSGGECSCGDYW >CDP06532 pep chromosome:AUK_PRJEB4211_v1:6:1504940:1507725:-1 gene:GSCOC_T00023419001 transcript:CDP06532 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MIELQRRLLTWSPCPLFLSPSTHYSKAFNKLRSPIISFTISATVEAVTEKQPTARERRQLRNERRESKPAYNWREEVEERLVKKPKKRHASWTEELNLDNLALLGPQWWVVRVSRVSGQDTADRLARALARNFPALDFKVYVPSVQIKKKLKNGSISVKPKALFPGCVFLRCVLNKDVHDFIRECDGVGGFVGSKVGNTKRQINKPRPVDAEDIEAIYKQAKEEQDKADQAFLEEHEGHRTSDFEKLNLDALPASLDDVESNTSVEAQGRSRKTTKPPLGFQLGGSGFKLLVPGSKVQVVSGAFAGFSGILKKFNKKKALATVSFTLFGKETLADLDVKEIVAEKN >CDP03308 pep chromosome:AUK_PRJEB4211_v1:6:8015518:8022769:1 gene:GSCOC_T00041848001 transcript:CDP03308 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRGTSRDNMDSFDIDDNSALLENGMEQETSTPSWKLSFPHVLVATIVSFLFGYHLGVVNEPLESIASDLGFNGNTLEEGLVVSTCLAGAFLGSLASGWIADGVGRRRAFQLCALPMIVGSALSATTGTLVGMLLGRFLVGIGLGVGPPVASLYVTEVSPAHVRGTYGSFIQIATCFGLMASLLVGIPVKSIVGWWRYCFWISTIPAALLAIAMVFCVESPHWLYKQGRIAEAEAEFGRIFGVPHVKTAITELAKIDRGDEADSVNLSELMLGRHSRVVFIGSTLYALQQLSGINAVFYFSSTVFRRAGVSSNLANVLVGIANLTGSIIALILMDKLGRRILLLWSFFGMAAAMALQVFAASLHASTSGSFYLSIGGMLMFVLTFATGAGPVPGLLLPEILPSRIRAKAMAFCMSVHWVLNFFVGLMFLPLLEQIGPQLLYSIFGTFCLMAVVFVKRNVMETKGKSLQEIEIALLPQWYLFF >CDP06354 pep chromosome:AUK_PRJEB4211_v1:6:46671:48017:-1 gene:GSCOC_T00023169001 transcript:CDP06354 gene_biotype:protein_coding transcript_biotype:protein_coding MFIHLALDLVIDVAVLGANASKSLENSAQTRESDNITDSAMRGTRGVCIYRLLHRTLSKLPLFLDNNRFENPVPDLFRSKCLVRLPSHSSGYPTLYSDRYRNSPLVTMSKIHPAENQGRHRPSRHLTTPIPDHPLRPGPSPSVLTVWKKSSMSFQGTDGFTVFDHCGRLVFRVDNYTRKSWAAASAGLLLMDGSGKGLLTLKPQLLSVQHQWTGYRGEDNRCSRAFTMRRPPPLLIPRSACEAEVFIGENIKETSERKPDLKAEGSFRRRNCKIVTTATGELVAQISRKRINTTLLLSDDVFTLVVHPGFEPDLVMAFVIILDRICRQTNYAPILCS >CDP03392 pep chromosome:AUK_PRJEB4211_v1:6:7281245:7283215:-1 gene:GSCOC_T00041957001 transcript:CDP03392 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLCQPSVRHPSLSSIQYPSAENNVNSILACLNALDPKYSGLKPAPFSRAFHLANGSCLSTRAESSQHTMLHISFCMYISAKTLSARFEQASSESNIVE >CDP06270 pep chromosome:AUK_PRJEB4211_v1:6:24736511:24739489:1 gene:GSCOC_T00023008001 transcript:CDP06270 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVVKYCVFFSFLLPVPLLQQVYPVSALGGIQYIAALKKPFPHIPLIASQGITVDLVGEYIAHGATSVVLSDAIFSKKAMGERNFETIYQLSRSAASQAIEAVERLEFA >CDP10391 pep chromosome:AUK_PRJEB4211_v1:6:6959028:6961340:-1 gene:GSCOC_T00031102001 transcript:CDP10391 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTAAVNNINISGGGGGGGGGDLHKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGLAISTVVSVAEILKNNGFAVEKKIMTSTVEMRDDSRGRPISKAKIEIVLGKTEKFDELMAAASEREAAEAEEQS >CDP15389 pep chromosome:AUK_PRJEB4211_v1:6:4412482:4417317:1 gene:GSCOC_T00043104001 transcript:CDP15389 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAHALSSFDLNSSPDNFPFTTTAAREPPELRNSQPFRSSKLLGYSESDHAPVREDLGSPVAAGGKCQDVGGNSASPVANAFAGEEPLPEKMGRCPSRAAMKLQKVYRSYRTRRMLADCAVVAEELWWQALDFARLNHSTISFFNFSKPESAASRWNRVSLNASKVGKGLSKDAKAQKLAFQHWIEAIDPRHRYGHSLHLYYEEWCKGDAGQPFFFWLDLGDGKEVDLTACPRSKLRKQHIKYLGPKEREHYEYLVTEGKIWHKQSGKPLDTTEASPGAKWIFVMSTSKRLYAGEKKKGTFHHSSFLAGGVTLAAGRLVVIDGTLQSISPYSGHYRPTDDSFDTFLDFLKAHGVNLDEVEMNKANEDYENFEEAKTTKDESTSEVSTVSDSCETNAPDDGAVETIEAPKLEINVNYQRTLSGGLQSPKAEVPKTSILQRINSKKAARSYQLGKQLSLKWSTGAGPRIGCIADYPIELRIQALELTNLSPRLPSTSATPIWGTLPSPIRLPSQELTNGDLKLSGI >CDP06665 pep chromosome:AUK_PRJEB4211_v1:6:2465081:2467105:1 gene:GSCOC_T00023591001 transcript:CDP06665 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPMSTVFASPKASKPSAPPSPSPTRPSFSESVMDRTLELAGPVIAKWSPDTAPFASARVVVTSLFPDNRGEAKRLIKCVNNLQQAMRLLLPENPTSPKLASAQNLMQTAMKTLQREFYQLLSMNRAYLDPESVSSCSTRTSIHSTSDSDSDDDDDEAADSIVQVEDASSLVTADLRLIAECMISSGYAKECVKIYNIIRKSIIDEGVYRLGVEKFSSSSIHNMDWEVLDDRIKKWLDAVKVSVKTLFNGERILSDHVFAASESIRESCFTEISREGAMILFGFPESVVRNSKKSPEKAIRVLDMYTAIATHWPDIKSIFSSESSSTVRSQAITSLAKMGQYVRSDLAEFESAILKERSKSTVAGGAVHHLTIDSMNYLSLLADYSNPLSDILADSPPPPASTTSVLESYSSLSDSGESPAPTISLRFAWLILLLLAKLDGKAKLYKDASLSYLFLANNFQHVITKVRTSNLKHLLGDDWLPKQEVKVRQFAANYKRLAWGHVIESLPEVPAISLTPEEARERFKRFNASFEQARDKQSACVIPDSKLRDDIKVDLSRRILPVYREFYNKHKAVMVGSERDLGSIVRFSPEDVGHCLSDLFFGNVGSGSSSSSSLRSPPSHTRSTKSYTSFVGFTTRYALSFFGSRTGKF >CDP22140 pep chromosome:AUK_PRJEB4211_v1:6:4822904:4824058:-1 gene:GSCOC_T00006893001 transcript:CDP22140 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSLLAFGIALFCICAAMKAEAEYLPYKGPKQPLNTRIKDLLSRMTLEEKIGQMVQIDRSIASREIMKKYYIGSVLSGGGSVPAKQASPETWVDMVNDFQKGSLSTRLGIPMIYGIDAVHGHNTVYKATVFPHNIGLGATR >CDP15423 pep chromosome:AUK_PRJEB4211_v1:6:4651415:4653011:-1 gene:GSCOC_T00043152001 transcript:CDP15423 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLSRVFLKFPPLAPLFLPLLLCLNFILRSSLFLPLLLCLNFILRSSAAFTLFPPLQNIRSLIASSFFCIFSHSPVAEPTVSSIITRGVFICYICL >CDP02956 pep chromosome:AUK_PRJEB4211_v1:6:11160003:11162617:-1 gene:GSCOC_T00041394001 transcript:CDP02956 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYCFVVIHGAGGMEMIDPLFQRILVKECQSRKIPVIFDEVFTGFWRLGVESAAKLLCCQPDISCFAKLMTGGVVPLAVTLASEAVFEAFVGESKLKALLHGHSYSAHAIGCSAAVQSIKWFKNSQRNVNLIPGADLLRELWDTELVHQLSSHPAVKRVVTIGTVCALELRAEGCNAGYASLYATSLLKKIREDGIYTRPLGNVIYLMCGPCTSPQVCSQTMNKLIRRLDEFSEVKNVEACQP >CDP15363 pep chromosome:AUK_PRJEB4211_v1:6:4150031:4151502:1 gene:GSCOC_T00043065001 transcript:CDP15363 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEGLLKGTNGGVDQPPKESGNQVVGRKAAWNRKGLGEKKAAVDEEISRMNKLPPNSTYATHRLRVLNKILHLLSMQRTTSQEEELGLLFAGLSL >CDP15403 pep chromosome:AUK_PRJEB4211_v1:6:4541618:4543138:-1 gene:GSCOC_T00043126001 transcript:CDP15403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TERMINAL FLOWER 1 [Source:Projected from Arabidopsis thaliana (AT5G03840) UniProtKB/Swiss-Prot;Acc:P93003] MARSAEPLVVGRVIGDVLEYFTPSIKMTVIYNNKQVCNGHELFPSAVVSRPRVEILGGDMRNFFTLVMTDPDVPGPSDPYLREHLHWVVTDIPGTTDVTFGKELVSYEIPRPNIGIHRFVFVLFKQKRRQTVNPPPSRDQFNTRNFATENDLGEPVAAVFFNAQRETAARRR >CDP03273 pep chromosome:AUK_PRJEB4211_v1:6:8307940:8313240:-1 gene:GSCOC_T00041801001 transcript:CDP03273 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPMGDGGENPTVLDLTSYQLRDLDSVDLPPSLTELDLTANRLSKLDPRIAQLSNLKKLSLRQNLFDDSGVEPLATSHLIADLEELVLRDNQLKKVPDVSIFKKLLVFDVSFNEIPTLQGLSKVSTTLRELYVSKNEVTKMEEIDHFHALQILELGSNNLRVMENLQNLINLQELWLGRNRFKTVNLCGLKCIKKISLQSNRLTSMTGFEECVALEELYLSHNGISKMEGLSTLANLRVLDVSSNKLTEISDIKKLTQLEDLWLNDNNITSLDGIAEAVAGSRDALTTIYLERNPCVNSPNYIVTLKEIFRNIQQIDSELFG >CDP06555 pep chromosome:AUK_PRJEB4211_v1:6:1638495:1641487:-1 gene:GSCOC_T00023446001 transcript:CDP06555 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRKQSGSSSSFTSDLFGSKESSASSSSWIFESILAPSPKGLGRQPPRPEFLEKKQDPASQPCSTKARPSESIPPVSEGHNVGILNKEASSFYQEEKMQPCHLSSSIYYGGREVYSYPQDTQTQSSGSNTFGKDGGEDDSGSASRGNWWQGSLYY >CDP02970 pep chromosome:AUK_PRJEB4211_v1:6:11066316:11066833:1 gene:GSCOC_T00041414001 transcript:CDP02970 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSHSSVMILSSSDKYLFVLPLYSRFQYGSLVKLFLLNTFISKLDTLFGDTKLSLLVYLHGGGFLIKSAFSLTYHTHLNVVVAEAGVIAVSINYRLAPEHPLPIAYEDSYIAVK >CDP06386 pep chromosome:AUK_PRJEB4211_v1:6:249224:250841:-1 gene:GSCOC_T00023212001 transcript:CDP06386 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGVILLLDVILVPTSLFITIGYHAYLWHRLRRKPCLATIGLNSIKRRSWLQHLNQASKTYLKGMLAVQSLRNTLMATILTAIVTITISLCLAALANNSFDGANHLLPSPSILLGGLQSGKIVALKCGSASILLLASFLCSAMGLGCLIDANFLVNALGMDRELSSSPDPQYTRSIMERGFRLAVVGNRVLCITFPLLLWVMFGPIPLALSSLALVGALYEHDFPATSLSSKLNNN >CDP06639 pep chromosome:AUK_PRJEB4211_v1:6:2279851:2288144:-1 gene:GSCOC_T00023557001 transcript:CDP06639 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFAKPENALKRAEELITVGQKQEALQALHDLITSRRYRAWQKTLERIMFKYVELCVDMRRGRFAKDGLIQYRIVCQQVNINSLEEVIKHFMHLATERAELARSQAQALEEALDVEDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEGLYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFEQLRVATELELWQEAFRSIEDIHGLMCLVKKTPKASLMVVYYAKLTEIFWISSSHLYHAYAWLKLFSLQKSFNKNLSQKDLQLIASSVVLAALSVPPYDHSQGVSHLELENEKERNLKVANLIGFDVEPRSEGKEVLSRAGLLAELVSKGVMSCVTQEVKDLYHLLEHEFIPLDLATKVQPLLTKISKLGGKLSSASSVPEVQLSQYVPALEKLATLRLLQQVSQVYQTMKIETLSKMISFFDFAVVEKISVDAVKHNFIPMKVDHMKGAVFFGEQTLESDGLRDHLALFAESLSKARVMIYPPVKKARKLGETLSGLSEIVEKEHKRLLARKSIIEKRKEEQERQLLEMEREEESKRLKLQKITEEAEQKRLATEYEQRKNQRILKEIEERELEEAQALLQEAEKRSKKKGKKPVLEGEKITKQTLMEMALTEQLRERQEMEKKLQRLAKTMDYLERAKREEAAPLVEATFQQRLVEEAAVHEREEQQEIELSRQRHAGDLEEKRRLGRMLENKKLFHDRVVSRREDEFNRLRKESQDRINQIIQTRKQEREIQRKMIYYLRAEEERLRRLREEEEAQQREEAERRRKEEAERKAKLDEIAEIQRQRERELEEKAKKMREEALGKPSSVAPRPADPPAVARPTDPVPTVPAVAAQNTGKYVPRFKRQQSEAAGQAPPPETGGSKLDDRASLPGDRWRDDRRPSYGGGASRPTWSLNRNRER >CDP06743 pep chromosome:AUK_PRJEB4211_v1:6:3032080:3035381:-1 gene:GSCOC_T00023694001 transcript:CDP06743 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRPSVHPVDAPSLTNDAAAAMPRVRMKDFQGMGGTLGGLLLRLCQFGFAVVSLCVMATTSDFPSVTAFSYLVAAVSLQSLWSLLLAIADIYALLVRRSFRNPGLVSFFTIGDAITSTLTFAAACASAGITVLIGNDLDRCSVNHCKRFMSATAMAFLSWFAVSPSFFLNFWSLASQ >CDP10079 pep chromosome:AUK_PRJEB4211_v1:6:14832646:14833163:-1 gene:GSCOC_T00030675001 transcript:CDP10079 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVYEQKLWSMKKTSIPHQTKNRGEQRRGDQSGGHWQRKPGSGIRRRCRGQAYLFSRGGSNGQHDDCQHQSLDHTNLSHFLEDVFYFFLAKVAAVVAAIEIQKFAVL >CDP06231 pep chromosome:AUK_PRJEB4211_v1:6:23345042:23352472:1 gene:GSCOC_T00022935001 transcript:CDP06231 gene_biotype:protein_coding transcript_biotype:protein_coding MSENGEEETRETTTKKRQLGGIKTMPFILANEACDKFAATGFHANMITYLTKELNLPLVKASNTLTNFGGTSSFTPLIGALIADSFAGRFWTIIVASIIYELGMVCITTSAVLPQLHPPPCPTQQNCKEASNTQLWVLYIALLLTSLGTGGIRPCVVTFAADQFDMSKFAAASRSWNFFNWYYFCMGMATLLALTVVVYIQDNVGWGWGLGIPTIAMALSIVAFVVGAPLYKKVQPGGSPLIRLAQVIVAALKKRNIVAPNDPSQLYENKELDATISSNGKLVHTNQFRWADRAAVLTDDDIDESNQPKLWRISTVHRIEELKSVIRVLPIWGAGILLVTSHSHLGSFTIQQARSMNRHLSHSFEIPPASLSIFSIITVLVGLGLYERLFVPFARRFTENPAGITCLQRMGIGFTINILATIVSALVEMKRKAVAADHNLLDKPTATIPISVFWLLPQFCLHGIAEVFHSVGHLEFLYDQSPESMRSTMVALYSLAASFGNYIGTFVVSTVHKYTGIERNWLPDRNLNRGRLENYYWLVTGIQVVNLIYYLICAWCYTYKPLEELIESSEDIDMELANDKTSTQDSKVITRETELAIQQTS >CDP16136 pep chromosome:AUK_PRJEB4211_v1:6:34314991:34315354:1 gene:GSCOC_T00017208001 transcript:CDP16136 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGVPVATVAINNATNAGLLAVGILGVGDLNLQTRMAQYLEDRRDEVLAKGKELEEGSWEDYLNSQR >CDP03093 pep chromosome:AUK_PRJEB4211_v1:6:9817140:9817969:1 gene:GSCOC_T00041576001 transcript:CDP03093 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTVQMKSFLLIIFPMVLAITTMLLPIAIAGDKIDIGVCYGMVADNLPPAAEVIALYKKYSIGKLRLFDANRDALRALKGSDIDVTLGVKNEDIPNIAASVDGARSWFTTNLQPYTNDITFAFVSVGNEAIPGEFADSIAPAMKNLQSVLSDDNLNGITLLASSKNLLSWSTCLYPYFDHAADRSHQHSSLDFAQFTANEPVLLGSGNLNYTKLYDATLVAFLWAVERARFRNLQN >CDP06451 pep chromosome:AUK_PRJEB4211_v1:6:845682:847818:-1 gene:GSCOC_T00023308001 transcript:CDP06451 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MVGSNERGDGSNSVQRRDDEGQALMVKVSHGSTLVDISVPSNSTFGDLKRVIAQETGLEPQLQKLFFRGKEKEDGDLLQMAGIKDNSKLVLMEDAGDKQMNPQEINEPSEVSRGGAAVFEVRAEVDKLSEQVSALQAVVHTGNKVDERNIVYLTEMLMRQLLKLDSIEAEGEGKIQRKLEVRRVQSLVETMDTLRARNSNPFSDCTNAVSVTTNWETFDAGVADPNAPTPVPCSIKVTREWEQFD >CDP10064 pep chromosome:AUK_PRJEB4211_v1:6:15045177:15050292:-1 gene:GSCOC_T00030651001 transcript:CDP10064 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSLSKALTRAESSIPDFGPPPRPKRNKYSLACALLASMTSILLGYDTGVMSGATLYIKQDLHVSDVQIEILVGTINIYSLVGSAIAGKTSDMVGRRYTIVIAAVVFFVGAFLMGFATNYAFLMFGRFVAGIGVGYALMIAPVYTAEVAPSSSRGFLTSFPEVFINFGVLLGYVSNYFFAKLPVNLGWRFMMGVGALPSVLLGLGVLAMPESPRWLVMQGRLADARKVLDRTSESLQESHDRLADIKEAAGIPAECNEDVVEVPRRKKGGAGVWKELFIHPTPKVLHILIAGIGVHFFQQASGIDSVVMYSPRIFEKAGITSTNGKLLCTIAVGVTKTIFILISTCYLDRVGRRVLLIASSAGLVASLWGLAIGLTVIDHYPGQKLTWAIGISFFCTLSSVATFSSGMGPIAWVYSSEIFPLKLRAQGNSIAVAINRVTSGIILMTFISLYKAITIGGSFFFYGAIAFAGFLFFCTLLPETKGRSLEETEELFGTFFRWRSRAREVESRKWENEKNPPI >CDP06779 pep chromosome:AUK_PRJEB4211_v1:6:3388444:3390093:-1 gene:GSCOC_T00023744001 transcript:CDP06779 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKEYIEKSGTGGNWIALPHKAGLRRCGKSCRLRWLNYLRPNIKHGDFTDDEDRIICSLFASIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKIMGSHKKCHQPSPYASPYSGFEPASLTSSPFSAPSPSISSAYHNYHTATQFRSLSGYETLSSTPPSLSLLRFGGEASCSSSDGSSTNQNSYGKEVEHDLQNYLCSTGVDHEDQKIIFNSDVTIEYADDQKPYSENPLDYSLEEIKQLISTNLCNNFFVDENKTDEKVAYYN >CDP10130 pep chromosome:AUK_PRJEB4211_v1:6:13928907:13944640:-1 gene:GSCOC_T00030746001 transcript:CDP10130 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGPRSKLDHETRARRQKALEAPKEPRRPKAHWDHVLEEMVWLSKDFESERKWKLAQAKKVAIRASKGMLEQATRGEKRVKEEEHRLKKVALNISKDVKKFWLKIEKLVLYKHQLELDEKKKKALDKQLEFLLGQTERYSTMLAENLVSSPGHCKLDSSSPQERMRIEYKEGDYDRGSAEPNIVILIESQSNGPDIDGDYDMDSEDESEDDEHTIEQDEALITKEEREEELAALQDEIDLPLEELLKRYEERVSRKASPEQTAVATGANGPVENGKENKIGTVSANGRSSSPVSPGRRCVGSNGFLHNSNNHFLDVQVHKVRTPNKFQDWEKQCILDDYSDEQDDEDFDIGTGEEKDDFMTTLLEEEELAKAEPNDAPNEARTAQSLLHFKSEIPIEDLLARYKKDWDSEKDEHDDTGSEYASASENFMDSLEPKDRELKELKVSLNREDNFSAFQPAACSEVEEPETEYVAKAGGEGESADIIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKYPLREYQHIGLDWLVTMYEKKLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERRIKRQGWLKPNSFHVCITTYRLVIQDAKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKYEHVIYCRLSKRQRNLYEDFIASSETQATLASSNFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMTGIDLQLSSSVCAMLTPRPFSTVDLGDLGLSFTHLDNCMSSWESEDIQAIATPSSLIEGRVNQVCGEVGHAYKHKKFHGMNIFEEIQKALAKERQREAKERAASIAWWNSLRCRRKPIYSTGLQELVTIKNPVYAIHDQKSNPLSYSYSSMLADIVLSPVERFHKMVDQVESFMFAIPAARAPTPVCWCSKGGSSVFIQPTFKERCLEVLSPLLTPLRPAVVRRQVYFPDRRLIQFDCGKLQELALLLRRLKLEGHRALIFTQMTKMLDVLEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRVLDDLVIQSGGYNTEFFKKLDPMELFTGHQKISVENVQEEDNCNESSEVPLSNADVEAALKYAEDEADYMALKKVEQEEAVENQEFTEEAIGRLEEEEFGNEEDLKTDEPAEHDGQVTASNKDSVAALNVEGPVEGGSITFAGKEDDFDMLADVKEMAAAAAASGQTILSFENQLRPIDRYAIRFMELWDPIIDKTATQSQVQFEEKEWELDRIEKLKEDMEADIDDDEEPFVYESWDADFATEVYRQQVEALTQHQLMEELEAEAKEKELAEYGYSDSVRNQISTVRKPKSKKKTKKAKFKSLKKGALASEFKAVKEESAMELMSIDGESLYDDEMTSSGDVSPCRRLEKKRKQAPWVDEERSTKKSKKFKKAPEMCSSVLDSKMLGKLQNDTKYSRQCESRVVDVELKSVSRSKIGKKVSIIPMPVKRVMTIRPEKLKKKGNMWPKDCFPVPDFWSPQEDAILCAAVHEYGANWSLVSEMLYGMSTGGLYRGRYRYPVHCCERFRELIQRYVLTSTDPVNNDKASNTGSGKGLLKVTEDHTRMLLDVASQFSDAEPLIQKHFFALLSSVWRFSSLKTNRHNLAPSQNASSSYRKAVTSPLNVVSRDFSGESLESMKFTNSFACGKLVAAALCDDHTAKKDDNVPISKQRDEASVVSERLDVTLQLLGGEHDATLNLPSVVNLSILGRETPPSSKTIGQEKHLRAASRACLEDSFGWATLAFPVGDAKSRTPMKVQSLGKHKLLVSDSNKSSRSKLRKTTTESSDARNLAADPVLHPVFSDFCVKDDVVSTSSAEIGMADLCVSSPFNINPELPMATDSSDMVAHDYVPGFISGLDDYLILPEFTDIG >CDP16339 pep chromosome:AUK_PRJEB4211_v1:6:29818315:29818864:1 gene:GSCOC_T00018158001 transcript:CDP16339 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLEMKAIPSASRVTVKKSKNVNFVYYLKDDEDVDKTGVEPKNIELKMTQASVSRTKAVKSLKVVDGDIVSTFMKLTNKETLYRVS >CDP03245 pep chromosome:AUK_PRJEB4211_v1:6:8625926:8633982:1 gene:GSCOC_T00041760001 transcript:CDP03245 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNVISSFIAIATSISFLLLSPPSKSFYHSLFLSDSLSCNQSIANHLYILTKRPHVAGSEANAEAAAYVLSTLTSYKVRSHITTYEVALTYPISRSLTLKPTPQDSPVKFDLHQEIYDGDPCADVADEVLPTFHAYAKSGTVAGPVVYANYGRVQDYAVLKQMKVNVSGNVVLAKYGKIYRGDIVENAYAEGAIGVLIYTDRKDYGGVGDAKWFPDDKWMPSSGVQVGSVYNGAGDPTTPGWPSSGFCERLSDDDAERGGEVPLIPSLPISWADGDRILRSIDGQVANDDWQGGKDAPVYKVGPGPAILNLSYTGKQVITTIQNVIGIIEGVEEPDRYVILGNHRDAWTFGAVDPNSGTAALLEVAHRMWKLQEKGWKPRRTIIFCNWDAEEYGLIGSTEWVEENREMLASRAVAYMNVDIAVQGLGFYASATPQLDELLIKATKQVQDPDNSSQSIYQSWIRSSENPKIGRLGGGGSDYSAFVQHVGVPATDISYGEDYPVYHSMYDDFIWMKKFGDPKFHRHVAVTSIWGLLALQLADEEFLPFDYLSYANELQRSVEELKIELSEKGIKLFPLFNSIKELRMAATKIANEIKVLQDRNVWASVSKNDHYKVRELNDRLMMAERSFTDREGLPGRTWYKHLIFAPSKHNDYGSKYFPGIDDAIEKAKSINTTDLWLAVQHEVWRVSRVIKHASLVLSGQLT >CDP06470 pep chromosome:AUK_PRJEB4211_v1:6:987622:997565:1 gene:GSCOC_T00023333001 transcript:CDP06470 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKRLFQKAAALHRHHHPPPPHNAGSCLTPEDVDFRINVHHGIPSTASILAFDPIQNLLAVGTLDGRIKLIGGDNIEGLLISPEQHAYKYLEFIHNEGSLISITIDNSIQVWNLKSRCLACSLCWESNITAFSIISSSNLMYVGDEYGVISVVKYDAENAELLHLPYHIPSVGFSVPFHQPVVGVLPQPCSSGTRQDLVLIAYQSGLIILWDVLEAQIVIVRGNKVLWLKNEVGNPSNGDLGLPRDASHELEEKEITALCWASCDGSILAVGYIDGDILFWKTSTSVPGKCQQSGLSEQVVKLQLSSAEKRLPVIVLHWWANYKSHRDSDGQLLIYGGDEIGSEEVLTVLSVEWSSGMGTIQCLSRVDLSLSGPFADVVITAGTLRSAKTASLFVLTNPGHLQIFEVADSSASESQQEKKLSVSAVDIPPVVPTINPLITVANLFCLPMDEDTSKALAEIFRIRKSSSRKKLSGGTSFPLTGGVCPHLSSDKDYRIWRVYVAGYHDGSVRIWDATYPVLSSLCVLQNEIRGTNAAISIASVSKLEFCIHTLRLAVGDECGLVRIYDLHSSKETHFHFVTETKNEVEQLAQDEGPICRAAFNIIDSQVQALKFTNSGAKLAAGYECGRIAVLDMNSFSTLFLADTVSCPISMMSVICDIVIHQVGSSYRSGSNANDQTKNLMVILTKDGAVYTIDADNGHLISSRPMRLSKDRTAVSMHVIGK >CDP06703 pep chromosome:AUK_PRJEB4211_v1:6:2735596:2747253:-1 gene:GSCOC_T00023639001 transcript:CDP06703 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHQENTRNSKNQRWTVTDDLANILALYFPQIDISLEASKFKLQLASELVRFFSAPIGQTIVSQVKNDDGLCFLSLDFQLLKSICQIKELYGAMEENPKDALLCLSAAVHKVLMMKWDSNMMEEFVKINIRLHNYPESLIALKNLKAAYIDRLVSVHGTVVKVSTVRPLVRQMCFVCTKCGTNITCNFPDGKFSPPSICELLKNEHHEEGRVPRTVECELTEDLVDACIPGDIVTVTGIIRMINNYMDIGGGKSKGKNQGLYFLYLEVVSIKNLKSQSVPEDLQDAKVDARTTQLSDLFSFSPRDLEFIVKFSEEYGSDVFRQMLQSICPSIYGHELVKAGITLALFGGVQKHSMDQNKVPVRGDIHVLIVGDPGMGKSQLLQAAASISPRGIYVCGNATTNAGLTVAVVKDPMTSDYAFEAGAMVLADRGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPAGGHYNRAKTVNENLKMNAALLSRFDLVFILLDKPDELLDKRLSEHIMSLHTGNGQNSPAAKRLCTASQRIKCINLTLRSHSLAARLRLDPKDSDFVPLPGPLLRKYIAYAKTYIFPRMTRPAAEILQRFYLQLRDHNTSADGTPITARQLESLVRLAEARARVDLRDEITVQDAMDVVEIMKESLYDKYVDEHGLVDFGRSGGMSQQKEAKRFLSALNKQSELQQKDCFTISELYSLADRIALRVPDIDTFVENLNSVGYLLKKGPKTYQVLSSSYSHSQSSRARG >CDP03330 pep chromosome:AUK_PRJEB4211_v1:6:7877547:7882813:1 gene:GSCOC_T00041877001 transcript:CDP03330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable chlorophyll(ide) b reductase NYC1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13250) UniProtKB/Swiss-Prot;Acc:Q93ZA0] MATMAKLHVSPYTKWTVHSPPVRQGFPPSILTWDSVSVKGRCRIRFRPCRSFRSEEEPNERASKGEILKGKNGLQSKTSADEVLRAIRSFVWKFSKPSSRSQALEQLEEKLSGVAIQIARYIVTMMSTGAILLIGFRLSGGDGQMNELIWYSWLGGIIIGTMIGSNMVLDEVSRAGPRNVVITGSTRGLGKALAREFLLSGDRVVVASRSRESVNTTIKELEENLKQVLISSDVSSSKKLAQAKVVGIACDVSVPTDVKKLANYAIKELGSIDIWVNNAGMNKGFRPLLQFTDDDIQQIVSTNLVGSILCTREAMRIMQSQKLGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLLKECRRSNVGVHTASPGMVLTDLLLSGSSIKNKQMFNIICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWLRWGRWFDDQGRALYAAEADRLRNWAENRTRFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSTTS >CDP02896 pep chromosome:AUK_PRJEB4211_v1:6:11881857:11885445:-1 gene:GSCOC_T00041304001 transcript:CDP02896 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVVSFVPIYNKKLTKWDNKKKKVLHKLKKVLEFLKSDVYMFAPLVSSQTSDHVDSCCTTSTGIQAYEPFEVKNEDMFGKVKDYMKSDCYMYAPLVTHQPWLRSPIHAVSPAAGTMSCSEMALTGRKGESVKNVAEETRDIGQKIKRISIADQHVDGYSYPRSTIIKHTVLQQETLKHVIKQSCWSASVQGKGLLGPNVRKLVQQ >CDP03391 pep chromosome:AUK_PRJEB4211_v1:6:7288232:7295859:-1 gene:GSCOC_T00041956001 transcript:CDP03391 gene_biotype:protein_coding transcript_biotype:protein_coding MPHWQRKHTEQKHLISRTPLQEAMNQNTQSETFKTCEDNTEDTKSSVGKRRRRRRGKGAQLEKIQDMTSEICVVSPPLSERSLTFPCRPGYGTLGSKCVVKANHFIAEISEKNLCHYSVTITPEVNSSPLNRAIMKQLVKLHGHTDLGMMLPVYDGRRALYTAGLLPFTLKDFTINLSDLDESMSITKFMVTIKFVAQANMLQCREVLSEKQVETNSQALKIIDVVLRELAAQRYVSVGRFFYSPTLKRPQSLGNGLESWRGFYQSIKPTQMGLSLNIDMSSTAFIEPLPVVEFVAQVLGKDISIRPLSDADRVKVKKALRGVKVEVTHRGNMRRKYRIIGLTSQPTRELIFPVDEEKNMKTVIEYFQEVYKFTIQHSHLPCLQVGSQKKLNYLPMEACKIVEGQRYTKRLNEKQITSLLKFSCQRPQDQELDIMQTIQHNAYKQDPLAKEFGITVDEKLASVEARVLPAPWLKYHDTGKEKEYLPQLGQWNMINKKVINGSTVNYWACINFSRGVQESTACSFCQQLVQMCQDSGMEINCDPVIPIYVARPDQVKKTLGYVYNAAANRLGGKELELLIAILPDNNGSLYGNLKRICETDLGLISQCCLTKHVLKVNKQYLSNVALKINVKMGGRNTVLLDALRWKIPLVSDIPTIIFGADVTHPECGEEYSPSIAAVVASQDWPEVTKYAGLVCAQPQRQELIQDLYRTWKDPQRGTLNGGMIRELLLSFKKSTGQKPSRIIFYRDGVSDGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHNDKSNIDRSGNVLPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMDPEAHESASRNATHSAVRPLPALKEKVKNVMFYC >CDP06584 pep chromosome:AUK_PRJEB4211_v1:6:1877067:1880032:-1 gene:GSCOC_T00023489001 transcript:CDP06584 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g02130 [Source:Projected from Arabidopsis thaliana (AT5G02130) UniProtKB/TrEMBL;Acc:Q9LZL9] MIDYALSVGRSQKSDESISQGLLVLEQCHSTQAADDDSKGMVLLAMSTLLYERGNFAEAFEKLQSIQDLSSSSIAIRVAATEALVGIHLELDEARPQRTICNCYDDASSVLTNIWYNLMDAIKLDIGGGSGFDVLEARAKALKGLLELVRGNLQTAQDDLLVAQDTEACTGNVALSYGEYLHGMRKLPIAKELYQKVIQQLSERDFNDLHNIGACNMSKDEVYLAATCALGQLEAHLGNFGDAEEILTAALKKAEQHFGSNHPKVGVILTCIALMYRLKATTEGSSSLLIQEGLYRKAIELLRAPPLDSDGAEGKVYRREMVALARGGYAEILCVQQNRKAEGEKMRRWAEAVWKNRRLSLAEVLDISENSTKVPVIDARICRSV >CDP06746 pep chromosome:AUK_PRJEB4211_v1:6:3079771:3087904:-1 gene:GSCOC_T00023698001 transcript:CDP06746 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGTLVGIIGFGIGVPIGLVLGYLIFIYFEPQDVIKDPISRAPLEEIDSNSLVDLLPELPLWVMKPDYERVDWLNKFVRHMWPYLDKAICGAIKSAMKPYLAEYTGRFKVDSLEFEILSLGTLPPLIDGIKVYESNESQLFFELALRWAGNPNMILAAKLLSAKVTVQLIDLQISAAPRIVLRPIVPTFPCFSSVVVSLMQKPKIDFGLKVMGGDLMAIPGLYQFVQELISKEVAKLYLWPQTLEIPILDNSKARVKKPVGILRVKVLRAYKLLKKDFLGSSDPYVQLSLSGDTIPARKTSVKMNNLNPEWNEEFKLSVKDPYTQVLELRLYDWEKVGAHDNLGMQVVPLKQLTPYEKKELTLGLLNSTNNSDSHDKKPRGQIMLEITFVPFLEDSKKFSGVPDYARNGSTKEAPADNLSGAGLLLVTAIGAEDVEGKNHNNPYAVVLFKGEKKKTKSISKNRNPTWNEEFQFVLEEAPSTDQIHIEVLSKRRGIGFRSKESLGHVDINLADVVHNGRINEKYHLINSQDGKIHLEIQWKNRLGLSSPEKKKKVEQKENLIYSAYPSNPSKPANTLLLITHSLLIHIMAASASSLHFLSFTPQTTLSLSKPNATHLNSLSFLSPPPPSLTRCLSLNAFSSLHPRELSLPWSRKAAVSSDLDAIGVIDGEEELEDEVLGDGGSSPEEEPSFSPDLKLFVGNLPFSVDSAALAGLFERAGNVEMVEVIYDKVTGRSRGFGFVTMSTTEEVEAAEHQFNGYELEGRALRVNSGPPPPSRREAGSFRGARGGASIDNTNRVYVGNLAWGVDNLALETLFSEQGKVIEARVVYDRDSGRSRGFGFVTYSSADEVTNAIESLNGVDLNGRTIRVSPAEARPRRDF >CDP19203 pep chromosome:AUK_PRJEB4211_v1:6:15580600:15585960:1 gene:GSCOC_T00012652001 transcript:CDP19203 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQDRSSAKPPKPPHQESDGQLLPTSAKFSKPTKNLDFSTCVCENLYRIIILFILVTTVATLFFLRNGAYGSDTSALLCIHAFANFRSEKWIVVSVSDYPSDSLRKLGRIKGWQLLAVGNSNTPKDWALKGTIYLSLEMQAQLGFRVVDYLPYDSYVRKSVGYLFAIQHGAKKIFDVDDRGEVIDDDIGKHFDVELIGEEAKQEVILQYSHENLNRTVVNPYVHFGQRSVWPRGLPLENVGEIGHEEYYTEVFGGRQFIQQGISNGLPDVDSVFYFTRKTGLEAFDIRFDEHAPKVALPQGMMVPVNSFNTIFHSSAFWALMLPVSVSSMASDVLRGYWAQRLLWEIGGYVVVYPPTIHRYDRIEAYPFSEEKDLHVNVGRLIKFLVSWRSDKHNLFEKALQLSYVMAEEGFWTEKDVKFTAAWLQDLLAAGYQQPRLMSHELDRPRANIGHGDRKEFVPRKIPSVHLGVEEIGTVNNEIGDLIRWRKNFGNTVLVMFCSGPVERTALEWRLLYGRIFKTVIILSERKNVDLAVEQGQLDHIYKYLPKLFERYSSADGFLFLQDDTILNYWNLLQADKTKLWITNKVSKSWTTVSATGDNDWFAKQADMVKKVVTNMPVHFQVNYKESVKSEQSLTICNSELFYVPHQFVSDFVDLVNLVGDLDIHHKVAIPMFFMAMDSQQNFDPVFDTMKYQQTPPSNLTMLYSSEVAAVHPWTISSEQEFIKLIRIMAAGDPLLMELV >CDP06248 pep chromosome:AUK_PRJEB4211_v1:6:24122565:24127310:1 gene:GSCOC_T00022969001 transcript:CDP06248 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLILLPVAFIFLAYSLYERLRFKLPPGPRPKPVVGNIYDIKPVRFKCYAEWSKLYGPIFSVYFGSQLNTVVNTAELAKEVLKDNDQQLADRYRSRPSARMSRNGQDLIWADYGPHYVKVRKLCNLELFTPKRLEGLRPLREDEVTAMVDSIFKDCTIPENKGKSLLMRNYLGSVAFNNITRLTFGKRFMNSEGVVDEQGQEFKGIVSNGIRIGAKLSVADHIPWLRWMFVGENEDLDKHNARRDKLTRMIMEEHTLARQKSGNTKQHFVDALLTLQKQYELSDDTVIGLLWDMITAGMDTTTISVEWAMAELVKNPRVQQKAQEELDRVIGSDRIMTEADFAKLPYLQCVAKEALRLHPPTPLMLPHRANANVKIGGYDIPKGSIVHVNVWAIARDPAAWKNPLEFRPERFLEEDVDIKGHDYRLLPFGAGRRICPGAQLALNLVTSMLGHLLHHFTWSPPPGVRPEEIDLEESPGTVTYMRTPLQAVATPRLPAHLYNRVPVEL >CDP03349 pep chromosome:AUK_PRJEB4211_v1:6:7733818:7734252:1 gene:GSCOC_T00041900001 transcript:CDP03349 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBF1C [Source:Projected from Arabidopsis thaliana (AT3G24500) UniProtKB/TrEMBL;Acc:A0A178VDH9] MPSRFQGAIKQEWEPVVLQKSRPKAQDLRDPKAVNQALRTGGQVQTIKKADAGANKKAAPPAVNARKLDEAEEPAALGRVSTEVRQAIQKARIEKKMSQAELAKQINERPQVVQEYENGKAVPNQAVLAKVERVLGVKLRGLRK >CDP14252 pep chromosome:AUK_PRJEB4211_v1:6:36859682:36861514:1 gene:GSCOC_T00040542001 transcript:CDP14252 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIIRRFTSASASASGPITSSIADARAQIKDFLAKGLYDKAINLFKDHVHPFFPNHESSVFILPSIIKATASSQTHNPLGLQLHCYAFKNGFDSESTVSNSLISMYAKFSDTKSAYKMFDTMPQRDTISWNSMINCYMQNGFLLESMKMFKDMYICGFEPKPELIASVLSACSQTENFRLGRLIHAIVTVDERFDWSVFRSTALVDFYWRCHDQETAFRVFDRMEVRNEVSWTAMITGCVADHDYAKALDCFRAMQVEKVKPNRVTVISILPACAEYGSVNRGTEIHGYAFRHEFDRDFRFRSALMHMYCECGEIWAAKLIFERSTTKDVVMWSCIIAGYSRKKETAEEALELFNEMQKKGFQPNSVTLLSVISACTNLLSTCHAGGVHGYVLKSCLISELNIQNSLISMYAKCGCLQDSVKIFKEMTLTDSVSWTAIISAYGLYGCGEDALQLFGEMQESGMKADAIALLEVMTACNHAGLVEEGYKLFNETMKDEKISLTIEHYACYIDLLGRAGKLEDACDLVSRLPMKPSPRIWSSLVSSCKLHGKLEVAELLVHKLIESEPDNAANYTLLSMIYAESGNWHGVEEMRKNVKIRKLVKTCAFSKI >CDP10476 pep chromosome:AUK_PRJEB4211_v1:6:6215844:6217164:1 gene:GSCOC_T00031212001 transcript:CDP10476 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLDKLVYGSSFVDEEGIAKACGCPLLPLKSHIKGPALVSVQDTTDIVDKAITLFRANVFFRTPPT >CDP10610 pep chromosome:AUK_PRJEB4211_v1:6:5156173:5157583:-1 gene:GSCOC_T00031380001 transcript:CDP10610 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKQCSHHKEKRKKMLQRLCAGLLILAFIILLVILIVWAVLQPKKPRFILQDATIFIFNVSAPNILSTNIQVTVYSRNPNGKIGIYYDKFDVYATYHSQQITYYTTIPPVYQGHKDVNVWSPFIYGNNVPIAPYNGLALTQDQSNGAVQLMIKMNGRVKWKVGTVTTGRYHLHVTCPAYIPFGNKNTGIVIGTGIKYQLSQSCSVSV >CDP06784 pep chromosome:AUK_PRJEB4211_v1:6:3418469:3427814:-1 gene:GSCOC_T00023751001 transcript:CDP06784 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDIAVRESDDRRLKTKYKNAIYVIQRAFALYSVDEVAFSFNGGKDSTVLLHLLRAGYYLHKAEKIGCNGDLMDGEIAYPIRTIYFESASAFPEINSFTYETAKSYGLQMEIIRLDFKAGLEALLKAKPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCKVRYCSLYDEGYTSIGSVHDTVPNGLLCIRDSSNPEGKFRPAYLLADGRLERAGRVKKNSSPPCGQLASVSNGLKSRDLSWHSMLTASIIAVGDEILFGTVEDKLGSSLCRRLHSIGWTVSQLAVTRNDIDSVADEVVKRKSTNDMVFIYGGIGPLHSDVTLAGVAKAFGVRTAPDEEFEEYLRHLIGEKCTGDRNEMAQLPEGITELLHHEQLPVPLIKCHNVIILSATNVAELDLQWDCLLDLSSSNGLLVLMEPLQSKRLCTNTSDVEAAQPLSKLCLEFPDLYIGAYRASRNGPLIITFQGKDQGRIAAATAALSEKVHTGQFSEVD >CDP10520 pep chromosome:AUK_PRJEB4211_v1:6:5904220:5907060:-1 gene:GSCOC_T00031273001 transcript:CDP10520 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSLVPAGVAKERAEEYQGRVTPYVIIACLVAAIGGSIFGYDIGISVIREDTRIRQLFCGFPWLALHIQVLLPNPGGVASMDEFLHRFLYTVYKNEKHAHENNYCKYNNEGLAAFTSSLYLAGLVASLLASPVTRKCGRKRSIIYGGISFLIGAALNAGAINLAMLLLGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFSANMINYGTNKIHPWGWRLSLGLAAAPACLMTVGGLLLPETPNSLIERGLEEEGRKVLEKIRGTPNVSAEFEDMVEASKLANSIKHPFRDILKKRNRPQLVMAIFMPMFQILTGINSILFYAPVLFRSMGFKGNAPLYSSALTGAVLASSTLVSMATVDRWGRRFLLIGGGIQMITCQTIVAIILGLKFGNNKELSKGFSVLVVVVMCLFVVAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFIIAQSFIGLLCAFKFGIFLFFAGWITVMTIFVYIFLPETKGVPIEEMILLWQKHWFWKKIVSENPEVEKNDE >CDP03337 pep chromosome:AUK_PRJEB4211_v1:6:7817187:7819085:1 gene:GSCOC_T00041885001 transcript:CDP03337 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANNNIIAPFVMKTYQMVNDPSSDGLIAWGKANNSFIVIDPLDFSQKILPAYFKHNNFSSFVRQLNTYGFRKVDPDRWAFANEWFLRGQTHLLKNISRRKHCSRNSSNSRAEDDEDDEGIIMELARLKQEQKELDLQLSRMNKRLEATERRPQQMMAFLSKVAQDPEILPRMMLEKERTKRLNIASGDKKRSIMMISSTSPSASVSSPPSSSGMPLTSSSVKSEEEEDDANLDVDNYCHFSSSPETPSSAWFSQRPVLGRSVIAQESSNSGGNISSSLSSGSSAGSSGSTVAPPLDGVPASDATFGGDISYVGRAAVGEAVSPQPPYPFSLLGGGF >CDP10417 pep chromosome:AUK_PRJEB4211_v1:6:6778209:6781896:-1 gene:GSCOC_T00031137001 transcript:CDP10417 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCLPNLDNTVVFNLFFLFFTFCSLSSVVVVSKVATGLCKTLKLSARGCFGCCTKSQPIIAVDEPSKGLRIQGRLVKKPSISEDFWSTSTCDLENSMVQSQRSMSSISISNQSLSQQGGTGNSINHSEFVNHGKYTLQSPLYCWLSFQ >CDP10529 pep chromosome:AUK_PRJEB4211_v1:6:5800778:5801902:1 gene:GSCOC_T00031285001 transcript:CDP10529 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTGSTARLSYEEFEPFCRWQREEACDTLLVHLPDFKKEQLRVHINNRGILKISGERKLSSTKGSKFYKEVVVARNCNTNAIQAKFSAGQLCIKMTKNVNAAAVPEQKGSTLDPTAKPQAGPEEGQATQPPQKPGEEKYSIIVPSGTPIATASGKSNGTVTEGEETTVSRGKQLANIALNVGMPVALLAALVAFVLYMYKSTIVED >CDP14144 pep chromosome:AUK_PRJEB4211_v1:6:18111857:18118996:1 gene:GSCOC_T00040381001 transcript:CDP14144 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAAPASLGPRYAPEDPTLPQPWKGLIDGSTGVLYYWNPETNVTQYEKPTAVAPPLPPGPPPSASTPTLAPIPGARTTQASEVQNQQMQAQQQVQQVNPHLQQQQQQQALISPHIVQRHSSQIPPTGQQQGSQLVPSVEQQGQLTPQHLRPQMLQYVGQSTPSQSGQVQVPPGSHAPQQPMQQMGQQTLMYQGPPGGNPQTHQFPHQQTQYMPYQQNVLQHGHQNSQQQTLQRHHFSHQQDHKMGFPPREDIDSQQGKQVGHSPAQIQSSASSSIQNLPAGSSSMQAPQMGVQPNQATQFGGSSVNMQQPASLLQLQQGAMDLLQPQHGSRFQNQMGPSMMHAQQPKGPPFGPNVGYDGSPLGRAGNDLYYNSNKDGHVMAPQQPKLAAIPMARNNQEMRRGDVHLQNVVPSHPGGLSGVTGPPMHDLYGHATGGPLFPNDSFTRPPPGIIGPSDANATHLSPADVYRQKHEVTATGDNVPAPFMTFEATGFPPEILREVSAISAPQEEHMSYAAVLMLILTPQLFSVFEAAKWTVGAFLGSFLKGGWPFIHITIFIQLAGFSFPTPIQAQTWPIALQSRDIVAIAKTGSGKTLGYLIPAFMHLRRLHNNPQYGPTVLVLAPTRELATQIQDEAIKFGRSSRVTCTCLYGGASKGPQLKELERGADIVVATPGRLNDILDSKRIDFRQVSFLVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPKEVRKIANDLLVNPVQVNIGSVDELAANKSITQYVEVVPQMEKQRRLEQILRSQERGSKVIIFCSTKKLCDQLARSIGRNFGAAAIHGDKNQGERDWVLNQFRSGKTPILVATDVAARGLDIRDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAADLVKVLEGADQQVPPEVKDMALRGAPSFSRDRGIVALVAVEG >CDP15296 pep chromosome:AUK_PRJEB4211_v1:6:3623789:3631298:1 gene:GSCOC_T00042970001 transcript:CDP15296 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPAASFGVIVSGDSNIAYDSTGKLLLAAALEKVGVWHVRQGVCGKTLAPALSSTSRGPALAVTSIAASPSPSLVASGYADGSIRIWDSEKGTCETTLNGHKGAVTALRYNRLGSLLASGSKDNDIILWDVVGEAGLFRLRGHRDQVTDLVFLDSGKKLVSSSKDKFLRVWDLDTQHCMQIISGHHSEIWSIDIDPEERYLVTGSTDPELRFYMIKHDFTRQEPLASEKKTVTSDKETSYENKWEVLKQFGEIQRQSKDRVATLRFNKSGNLLACQVAGKTVEIFRVLDESESKRKAKRRIHRKKEKKALKGAVEMENGDANVVAEDGSCPVVTVPDVFKLLQTLRASKKISSISFSPISSKSSLATLALSLNNNLLEIYSIESSSTTRTSTIELQGHRSDVRSVTLSSDNTLLMSTSHSAIKIWNPSTGSCLRTIDSGYGLCGLFVPGNKYAVIGTKGGTIEIVDVRSGTCVEVVEAHGGSVQSIASTPDGSGFITGSADHDVKFWEYQTIRKPGQDAKHLTVSPTRNLKMNDDVLVVAVSSDGKHIALALLDCTVKVFFMDSLKFFISLYGHKLPVLCMDISADGDLLVSGSADKNLKIWGLDFGDCHKSLFAHADSVMAVKFVRNTHYMFSVGKDRLVKYWDADKFELLLTLEGHHAEVWCLSVSNRGDYLVTGSHDRSIRRWDRTEEPFFIEEEKEKRLEEMFESDIDNPFENRYAPKEELPEDGATAIAGKKTQETLTATDSIIDALDMAEAELKRITEHEEEISMGRNADLRPNILLLGLSPSEYVLRALSNVHTNDLEQTLMALPFSDALKLLSYLKDWASIPDKIELVCRVATVLLQLHHYQLISTVAARPILTHLKDILHARVRECKDTLGFNLAAMDHLKQLMAQRSEALFMDAKARLLEIRSQYARRFEARSETKTEKRKRKKQKKSDSEHVWS >CDP02847 pep chromosome:AUK_PRJEB4211_v1:6:12490644:12492997:1 gene:GSCOC_T00041239001 transcript:CDP02847 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLQFLYLSYNHFRSHNGNTDLKPFFSSLANSSNLQELELAGNHLGGELPSVIGNLSTNLVQIHLDDNHIYGPIPREISNLVNLTLLNLSSNLLNGSIRTELCQLQKLERLYLSNNSFSGTIPTEFGNVLHLGLLDLSKNKLSGTIPDSFANLSQLRRLFLYENDLSGMIPPSLGNCINLEILDLSHNGITGNIPSEVAGLSSLKLYLNLSSNHLDGPIPLELSKMDMVLAVDLSSNNLSSTIPSQLGSCIALEYLNLSSNHLQGDLPPSIGHLPYLKGLDVSFNLLTGEIPQTLEGSTTLVQLNFSYNNFSGNVTNKGAFSSLTIGSFLGNPGLCGSLIGMPNCHRKRTHHFPMAMLVSLIVAAIIFMVGYPIILKSKFGRHLAVASAKDTESEEKENKELNLPRISYNQLIEATGGFSSSSLIGSGQFGHVYKGTLTDNSCIAVKVLTPIAAGEISGSFKRECEVLRRTRHRNLIRIKTICSRPDFKALVLPLMPNGSLENHLYPSPEMKHGLDLIQLVSICNDVAEGMAYLHHHSPVKVVHCDLKPSNILLDVDMTAMVTDFGISRLIKGVDEHTSINDSASFSSTQGLLCGSVGYIAPEYGMGRRASTQGDVYSYGVLLLEIVTGKRPTDVLFQEGSSLHEWVKSFYPNKFQPIVEEAVMRLSPSYAPPITNDQSKRWREVILEMLELGLICTQYNPSTRPTMLDIAHEVGQLKEYLSCSSSQLIEEDPTELRYL >CDP15659 pep chromosome:AUK_PRJEB4211_v1:6:33063121:33065137:1 gene:GSCOC_T00015607001 transcript:CDP15659 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLNITLSFNSALFISWMVCLPEFCNMSFVCIPLQKMDLKTTYSSHDVFSCESCSREYFEILTAVNVVRTRHLLSATRTWIASHEILSYGMYIVRKGQGVVGKAFSSKSACFCRDIRQLGITEHPLVPIARCYKHSACFAVCLQSSCSNNCIYVLEFLLPRNEKDHGDPRTLLHMLMETLTLHLQSSFKIASGQEFGKKLSVEVIKVSSEDKFDSFELCNTIGDESTPRPAEVRKPGAMVQLDFSSQQVDAANGSTDGIHEQQNGSVGSTPRLAAQGGGEIMQVDFSSHPVDTANGYINGVHGQQSGIVGSPPRSEHVQGFVNISYQELMAVQINASNVAGVEAGNNSINGIYEQQNGIVGSSTEQELAQNIVSIANHEPIAEINVADPERDGPSIEQRGNEVTDVKKQKSRCTLKSELGITREVLEQISKMKLVDAAKFLDVSRSTLKRICREYGIRRWPPRKARKVIQAFAVQKVIQPFAVQKVIQPSTENIEGLHWSDATRLENGSCMWVKADYQQYKIKFRLPLSARKVNLEEKVAQRLNLSIGSFKIEYEDEDNDWIWITCDEDLSTSMSTLSSLGRTTIKMLVS >CDP02935 pep chromosome:AUK_PRJEB4211_v1:6:11365601:11371750:1 gene:GSCOC_T00041359001 transcript:CDP02935 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYGVLPRANFLPLLYPQDGLSSSSLLPSTIPCIPSNKRKFSGVVAVHQENEASDESDVLVKTRQDGQQKRILNFSGAKPKTPILDTINYPVHMKNLSVEELEKLADELREEIVYTVSKIGGHLSASLGVAELTVALHHVFNTPDDKIIWDVGHQTYPHKILTGRRSRMHTIRQTFGLAGFPKRDESAHDAFGAGHSSTSISAGLGMAVGRDLVGKDNHVISVIGDGAMTAGQAYEAMNNAGFLDSNLIIILNDNKQVSLPTATLDGPAPPVGALSKALTKLQSSRKFRQLREAAKGVTKQLGGKTHELAAKVDSAVRGMVSGSGAPLFEELGLYYIGPVDGHNMEDLVYIFKKVKSMPAPGPVLIHIITEKGKGYTPAEVAADKMHGVVKFDPQTGKQFKSSSKTKAYTTYFAESLVAEAEQDEKIVAIHAAMGGGTGLNIFQKRFPERCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDTTYMACLPNMVVMAPADEAELMHMIATAAVIDDRPSCFRYPRGNGIGAALPPNNKGTPLEIGKGRILREGTRVAILGYGTIVQNCLAAAQVLQAVGVSATVADARFCKPLDGKLIRELVQEHEVLLTVEEGSIGGFGSHVSHFLGLNGLLDGNLKWRAMMLPDRYIDHGAPADQIEEAGLTPKHIAATVLSLIGEHKESLHLIDI >CDP09592 pep chromosome:AUK_PRJEB4211_v1:6:21330373:21331681:1 gene:GSCOC_T00029025001 transcript:CDP09592 gene_biotype:protein_coding transcript_biotype:protein_coding MIELANELGVSSYVFFTCSAAILGFVFYLPIHYNQIGREFETSDSDSIIPAYSHPVPTNVVPSFAFNKYGGYASSLKHATRFKETKGIIVNTFAELEPHAVNQLKSDSETLPIYTAGPLLDLEGKRQDSDCERIMKWLDDQPPSSVVFLCFGSMGSFEPDQLAEMAIAIERSGYRFLWAVRSPPFKDDPTKRMGEYSNLSDVLPEGFLERTENRGLLCGWAPQMEVLAHEAVGGFVSHCGWNSTLESLWYGVPVATWPLYAEQQINAFELVRELELALELKLDYRTENAKNLVMAEEIEKAIRCLMDSENPIRGRVKEMKEMSRKAIQNGGSSFISVGRLIEDIHINKANKA >CDP02992 pep chromosome:AUK_PRJEB4211_v1:6:10852449:10855459:-1 gene:GSCOC_T00041445001 transcript:CDP02992 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKRVQERSKRKRVHDLEVALEKHKVLSKILFFFEILKQEPEQIVPIKSLDRYRRQINLPKPHKLSAFLRKSPKLFELYKDQRGVLWCGMTKMAEELVEEEGRIIEENSDKAAEYVTRMLMMSVDKRLPLEKIAHFRRDIGLPVDFRSRWVHRYPENFRVVRPFKPYDEGEYLELVEWKSSWAITELEKKSGMVEGLSGGDHVPGLLSLSFPMKFPPNYKKVLSRYYPQIGNFQKREYLSPYADARDLKAGSQEFDKRAVAVMHELLSFTTEKRVVTDHLTHFRREFVMPQKLMRLLLKHFGIFYVSERGRRFSVFLNEAYEGSELIEKHPLVVWREKVDRLIDYRKKKKRIGTVEVLHDIDDKDLFESESENDSILLDHEQEETMGNLEDASPADSSEMEIEEIYAAYQD >CDP02945 pep chromosome:AUK_PRJEB4211_v1:6:11276352:11278319:-1 gene:GSCOC_T00041376001 transcript:CDP02945 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKKSHVAALTFPFASHPSVLLHLVQRLAAAAPSVTFSFFNTAVSNQKLFSRIKLHGWDNVKAYDIYDGAPEGYIFSGNPLEPINNFINATPGIFKKRIAEVVDETGLKITCLLTDAFLGFSVDIAEELGVPWVPFWTAGPHSLSAHLSTDAILRTLASAGKNIDRTLEFLPGLSAIRASDLPMELLNNGNYDQPFPKMLYNMILALPLARAVVQNCFDALDPIVTNDLRSKLDKVLNIGPLAFPSAKSSSEFEDEFGCSAWLNKHAKASVAYISFGTMLTPPPPELVALTEAIQEKQVPYIWSFRDDPKLPPLKEFFQRTSDIGKVVPWTPQLEVLAHSSVGVFITHCGWSSLMESIACGVPMICRPFFGDQKLNRRVIEDVWQIGVGIKGGVFTKDGMLNALHLVLSKEIGNRMRANIAELKQSAGKAVEENGSSSEDFKNLVEIVTSQQDVL >CDP06534 pep chromosome:AUK_PRJEB4211_v1:6:1510969:1512312:-1 gene:GSCOC_T00023421001 transcript:CDP06534 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFCNCLRSSGRTGIIASEEENTPIAVEETNSGNCIVVFDPIDGSANIDTSLTTGSIFGIYGLDQQCLVDLDDDSTLDEAKQKCIVSVCQPGSNLLAAGYCQCSSSVVFTLSVGKGQEWLLYECAPMSYLVEQAGGKAIDGSQRILDIMPEQIHQRTPIFIGSPDENDKLEKHLFSELEAKKPKNSLCSTTHNVQLEALASYDIQNGGMTSKDGTGKKFVLSYKCWKIIKDMLSNM >CDP15442 pep chromosome:AUK_PRJEB4211_v1:6:4764400:4766097:-1 gene:GSCOC_T00043175001 transcript:CDP15442 gene_biotype:protein_coding transcript_biotype:protein_coding MVASERNDVNHETEAEYDRKREIKAFDDTKAGVKGLVDAGITEVPRMFIGPPEDLNASSNSNSEQHEFPVIDLHGIHEDAAQRKETVEKVREASGTWDTEVKKPWCTREPGSRRVVYDSNFDLYSAPASNWRDTLTCAMAPNPPSPEDLPSVCSEILLKYSEEVTKLGYSLFELLSEALGLDPNHLKDMDCAEGLIVLCHYYPACPRPELTLGASKHEDDDFLTVLLQDHVGGLQVLYGNQWIDVSSNTWSSCSQYWGPSTGKLVSNDKFLSARHRVLASRVGPRVSVASFFTTYLRPSTKLHGPIKELLSENDPPKYRETTIKDYSAYFDARDSMELLLCCTTGCNYPYGNDTDLTSSEL >CDP16132 pep chromosome:AUK_PRJEB4211_v1:6:34229995:34231585:1 gene:GSCOC_T00017200001 transcript:CDP16132 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRRGRGGTSRGSSAAEFAIKHYANPRRISLLSSPTTSSASAEPLLLVEKDDENQIPKAPRLHSSSWSADFVTHNEDLLAQILLFLPPKSLLRFQSVSKQWLSIISSPGFRRMHSRKYRSAGSSFFVLDSCVDDPPLNFISLRQEDVKLMGTITSGLNDFLDGGLVLNMHSCNGLLCIDVSFELTFFNEDRRLLVYNPTTDEYRTIPREKSAQDQSEGVNIVFDPSKSHHYILVSALVVNEDEDEFRFTVYSSETGLWSETAAKFEHDGDRYYFEKGAYWNGDLHWVSVSSGTLCFDLDNKRLRPVIPHPSTPSVEWRDICYFGESGGDLYLIGLDKPQAMLWKVYSLKRDYSGWVVKYSIDVASLVTFYPSMVVEEESNEKHFDLHMPCFVVDEKEKKAMPVILLRCKVISYDISDMTVEELAEIDSSHLEHAFSFRAPKYCLVDAVQHTETLACI >CDP03027 pep chromosome:AUK_PRJEB4211_v1:6:10400262:10402710:1 gene:GSCOC_T00041497001 transcript:CDP03027 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKAPEVVILTEDLKNGYDDDDDADVSVLYAKPFSRKEGVNPENPISVESYSDDRDLQLAIMASLQPQSKISSSDYFIYDLDDDDEVQLIASFSTTPRKKLFTGESSNSKATANNDHDNVDSGDVDVTFMCDICVDEKPKAALFCIKGCTHSYCSECMAKYVASKLQDNITSIYCPVPGCNGRLEPEYCRSILPVQVFDRWGDALCEALLLASEKFYCPYKDCSSLLVDDRGGSDEAITHSECPECRRLFCAKCRVPWHSEISCDEFQMLNKDEREREDILLMNLARSKKWKRCPECKIFVERISGCLFMKCRCKYTFCYNCGAQMGTNHYCANCKH >CDP12647 pep chromosome:AUK_PRJEB4211_v1:6:15821812:15823407:1 gene:GSCOC_T00036351001 transcript:CDP12647 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB60 [Source:Projected from Arabidopsis thaliana (AT1G08810) UniProtKB/TrEMBL;Acc:A0A178WD25] MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKIKRFQAGSDPQILSDSSTATINQLVSRAFCDDGKHNNTSAALKLNQNTTVYASSAENISRLLEGWMKSSPRSNNITNSPTGGDKTRATGDSVASISGSETSGNEEKIIMSSDHHAHEQKPKLENNPPLSFLENWLLDEAAAQVEGVMEIPSIF >CDP10558 pep chromosome:AUK_PRJEB4211_v1:6:5592429:5599688:1 gene:GSCOC_T00031318001 transcript:CDP10558 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAAAAQTSTIPFGGGGGSKATTTTTTMKRKTPSELRGELLKRKNVIELVDESLFPATASTRDGEGNVPVQKNDLPKNPKYIDTRMDELFPVRKNSIRLRLLSKQEHSKDNAPVEVSGRLKASSAPMNMADESRAKTSCKEDSVTSVTFCEDRATKTCNTAEKCGESTFRNVAELSLGGDSLSGPLNVDMDKALKGLVANDRLTASASLAKSSELKLQSSFSDFIISGNKTPLDLTLKTTMRVVSSTSVNWFHRSVNCGTFCIKFESGSQDGKSVGQDMAGSTELGSLTPRCDAGGLSSWVFPQSSLPPSVISALTSAAVGGQVDFLTNRQKAWEDSFRSLYYLLRKNICNIFYVCTAQFVVMFTACDGPKETKRSCNAYISQSTRGLRSLLKEHDVCFSMPLCHSKMDEVNAEDLIELSVLENHNLEGQHFVAMSDVNNGPQSLLMFTGNQSVHGLYDFLLNYRFFFLSLTGVDVPILYSPVPFDNAALSAPQVRCKEVRRADQMHLPLKNCNATDESTRDSAAGIHYSVEVKDAYLPPWIISCICNAMGSDGTSFEASFVTEPTSIGLNVGLDTIGQDSHPQATTYEALPKDIWNFGIQNTSFSPHLRSAFLKGLKYNSGSYTASLSRV >CDP06749 pep chromosome:AUK_PRJEB4211_v1:6:3145235:3150135:1 gene:GSCOC_T00023702001 transcript:CDP06749 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIVGRLLRSTTRHNPIFPKSGSFSRVFHRNISADSASESNLIRATLFPGDGIGPEIAESVKEVFRAADVPIEWEEHYVGLDIDPRTQSFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVDLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFLYAKTHGRQRVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSAVTMLRHLELHDKAERIQDAILNTIAEGKYRTADLGGSSSTSDFTKAICDHL >CDP10482 pep chromosome:AUK_PRJEB4211_v1:6:6176792:6178848:1 gene:GSCOC_T00031221001 transcript:CDP10482 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSELDPYRMVQELAEDGDEIPERFICKDTPYGLITASLPLMDIPVIDLSRLSSSSATTDEELEKLQSALSSWGCFQVINHGIEFCLLDELRENGRQFLKLPMKDKQKYARAANEIEGYGNDMILYENQTLDWTDRIYLLVSPEDGRKLQYWPEDPKSFRVVLEEYAKRVKMIVETLLKSMAKALNLPDNSFLNQYGERPVMYTRYNFYPPCPRPDLVHGVKPHADGSAITVLQQDEEVEGLQFLKDNQWFRVPIRPHALIVNVGDEIEVMSNGIFKSPLHRALTNSAKERISVATFCSPEVGMEIGPVEELINDKNPRLYKTVKDYPELFFKYYQQGKRPIDAVKLYSS >CDP03345 pep chromosome:AUK_PRJEB4211_v1:6:7768256:7769247:-1 gene:GSCOC_T00041895001 transcript:CDP03345 gene_biotype:protein_coding transcript_biotype:protein_coding MNSARSFALPIIGFIMLALFELSRGQSITAPSPAPIGPPSNDGAAIDQGVAYVLLVVALAITYLVH >CDP03375 pep chromosome:AUK_PRJEB4211_v1:6:7489912:7494428:-1 gene:GSCOC_T00041933001 transcript:CDP03375 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNRKDDLVISNNNVFAALGTLRKKKKSESKGGKTPASSSSSSKKQEAKQPESQVFWAPAPLTAKSWADVDDEDDDDYYATTAPPQSVWGTAQQDSHPIPKDSSTPLEESESEEGLDEVDDDNDEENEYEPEVHAEKESVVEKPAEIVAPKESERQLSKKELKKKELAELEEMLAQFGYKQPKDQDASQEISQDKKVDDLNEDKKESSAPGESKSAKKKKKKDKLSKETKEQHDQPHGNEVESGAVENLGSVKVEDRPSVDVKEKMKKLASIKKKKSSKEMDVAARAAASEAAARNARIAAAKKKEKSHYSQQPPR >CDP17644 pep chromosome:AUK_PRJEB4211_v1:6:31162623:31164330:1 gene:GSCOC_T00001552001 transcript:CDP17644 gene_biotype:protein_coding transcript_biotype:protein_coding MDFARNGDHTGELFQAQAHIWNHLFNFINSMSLKCAIQLGIPDIIHKHGQPMALAQLIDALPINNAKAHFVYRLMRILIHSGFFIKAKMPDNEGHEGVTPFLLAMLDPILTDPWHHFSQWFQDNEETPFHSCHGTSMWELAGRQPRLNQFFNEGMASDARLVCTMVIKNCKDVFMGLNSLIDVGGGTGTVAKAIADAFPHLKCSVLDLPHVVDGSESSKNLAYVGGDMFEAIPPSDAVLLKWILHDWSDEECVQILRKCKEAIPSKEKGGKVIIIDMLLKSRQNGDDDDNAEAIETQLFFDMLMMVLVKGRERNEKDWAKLFFEAGFNGYKITPVLGLRSIIEVYYY >CDP15775 pep chromosome:AUK_PRJEB4211_v1:6:26032795:26033506:1 gene:GSCOC_T00015854001 transcript:CDP15775 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNSNCLCRSYLTYVKELISNAFGNLIFLKRIIFTWNAK >CDP15412 pep chromosome:AUK_PRJEB4211_v1:6:4604400:4607556:-1 gene:GSCOC_T00043139001 transcript:CDP15412 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLVPFFAARIRENHLRLLGSSSASSSVLREQPPPPQPSPSQSQSQSIVAVHMTDNCVRRMRELQSQEGKEKMLRLSIEAGGCSGFQYNFSLDDKHNDDDRIFEREGVKLVVDKISLDFVNGATVDFVEELIRSAFQVSSNPSAVGGCSCKSSFMV >CDP03267 pep chromosome:AUK_PRJEB4211_v1:6:8381091:8392893:1 gene:GSCOC_T00041789001 transcript:CDP03267 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLQTQLRGSVSLSEPVERMIEQICIEKQQPPPDHYARQRLFDIGEEKAYEIVRRIYYSEEAIRTTLSRYITWFTDSPQSPFRQSSSTPSPRTPPPQLHASSSPPKRALHFPPPPPTCFSQCDESPCSPMSKLNMSSPLPHPESARPSQTTHNYQLTVLSQLEFRKFFLLYSYIGRLVLSKLEEVITTENANKILEMKNLPMGVYESCIWDMCGKQLCAPSDRIEVIDWDSGKTHFYYCHVYEDGSYCFKGPYLKTIRTHLQRALGDDNVLIVRFVDDGKCNSDRIIEDGIIVGLRRYRFFAFKDECKDAKKKKKGLEKNKTPLSAFPKCYFVRFDSIATSGTDEPYLLSSLEVNEARRLFMDVNSISSMAKYIARFSLILSKTIKLPVDLSSVVIDDTIQDIECRDGNNRPIKDDNGEPLIHTDGTGFISEDLALKCPQDFGRAQYIKDKDFQKFHNFVGFVDAPFDVRGTEARNRDPPLLMQCRLFSQGLAVKGTLLVNKKLKAGTIQIRPSMIKVQKDREGPIGQTFDSLEIVAVSHRPRRCHLSKYLIALLSYGGVPRTFFVDLMTSVLEETNAVFTDKRAAFKVALHQEGFYDAGGALRLLLAGIPLNEPHLQKRLSELANNERTLLKEGKIPISESFYLMGTADPTGLLKENEVCVVLDNGQVSGKVLLYRNPGLHFGDVHVLEAVYVPALEDIIGNAKYGIFFSTKGRRSVASEIANGDFDGDMYWVSRNPQLLKYFSASPPWTRVYPSLRAERKNPNEYSAEQLEHELFRHFLKKPSYNMAVAADSWLAFMDRLLILGDDNAKEKDALKENMLKLIDIYYDALDAPKRGTKVIVPEELKAERFPHYMGKDGSYRSTSVLGDIYDRAEAFQSEQRCIAIEKLPCFDVEVPQNYLSSWRGRYNEYRKEMNVALQKDDESRNDAADDVIKKYKRLLYEAAEFEESKKDVQEIHKEALALYQVTYDYAISTKDAGKCGFAWKAAGSALLSYFATGRNERLVPVSPSALRELFS >CDP10380 pep chromosome:AUK_PRJEB4211_v1:6:7031408:7035501:-1 gene:GSCOC_T00031089001 transcript:CDP10380 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVLQKKNTDCVYFLASPLTCKKGIECEYRHSEIARLNPRDCWYWLAGSCVNPTCAFRHPPLDGRTETASESASADHQSAITVNKTNVPCYYYYNGFCSKGERCSFMHGPEENTYTWRSSKQSSAIADGPPLEEKISTGTGRGPVPAETHSARTEPKSLAGTKVIPQRESRQFTPNNAAEHCQPAPNDAAEKSVYPQISVSSSEEAATIRSNFQIHTEGLVHRRSLESTDQGFDDEFDNHNRQEVDNHIEREEWLESSPGFDVLVNDRSEDLGYEDEALYLPHRDMEDRECNGQHLAYEYEDNIEYDPAYPDIRTSFEQGIPNWYHHVEEDVCNVYQKTPFYARERVLDHPLPRKRKLLQTELAGMDLRDYLKKRRMPESEDTKYFSGRPHFSHLTGKITEKASTGGRGHLHGRLASKVEFADKSRIETENCSSSTYQHGRTRRPSINRSRLHTKERKQGKRQIVSGVPRKAVPRKRKSARESTVFTGPKTLAQIKEEKEKALCGRTGEGHFSGDA >CDP10569 pep chromosome:AUK_PRJEB4211_v1:6:5499642:5502295:1 gene:GSCOC_T00031331001 transcript:CDP10569 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVWTDDEAAADGSSSSFYSDASDFCISSSSSGERCSTRKVVKTQCRTEEVEPGKFMRKCQKTEEIFKDCVGRRAWLQEDSEILSKHMVLVLLNVLLLCLSPTELVQSNKEYTEEDVTGQMAKGALSLDNSEDTNFPGLNRDIEAIGRSLFGTISRAFEAAEEIKNGFFNVFGDPVTPHINGGDSSSAAKSRGFPIEVPQKEVPSGPSKSDGDVDLSGLARDI >CDP14270 pep chromosome:AUK_PRJEB4211_v1:6:36657088:36659895:1 gene:GSCOC_T00040563001 transcript:CDP14270 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTCLNWLLKFMKAACLDFSPPDTGKGKSKVSGSNCKLAHGFHLVEGQSGHSMEDYHVAEYRKRGGHVLGLFAIFDGHLGDRVPSYLKDNLFNNILEQPNFWDYPELAIKNAYRSTDDLILENTRQFGPGGSTAVTAIVIDGKDLWIANVGDSRAVVCERGAANQITVDHEPHSERRRIEKKGGFVTTLPGDVPRVNGQLAVARAFGDQNLKAHLSSEPDIRHVPIDSTIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTMEALARKSKDDISCIVIRFG >CDP10541 pep chromosome:AUK_PRJEB4211_v1:6:5714157:5717603:-1 gene:GSCOC_T00031299001 transcript:CDP10541 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIKLLYRYRARALSWKLQYVLFNITSCVGFEIIWSNCVRTTLVDSNNSVLYVKVLNPIKQRMEKDVDEVGKITRVIKSKIEELDRENLSNRQKPGCGKGSAVDRSRTATTVSLKKKFKDKMTEFQNLRESIHQEHREVVERRVFTVTGNRADEETIDKLIETGDSEQIFQKAVQDQGRGQIMDTLAEIQERHDAVRELERKLLELQQIFLDMAVLVDAQGDLLDNIETHVSNAVDHVQSGNVALQKAKTLQRNSRKWMCIAILILLIIVAIIVVGVLKPWQNNNKGA >CDP02952 pep chromosome:AUK_PRJEB4211_v1:6:11187279:11194819:1 gene:GSCOC_T00041390001 transcript:CDP02952 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTVASGSAPNLNDENPRIKFLCSFGGSILPRPHDGKLRYVGGETRIVSVPRDITYEELMAKMRELFEGAMILKYQQPDEDLDALVSVVNDDDVTNMMEEYDKLGAGDGFTRLRIFLFSHSDQDGTMHFIDGDERDHEKRYVDALNSLNESPEYRRNHLMDNQFVGPLDDAHVVAEQFLSQLNLEAVVQNQRNTEMPMPQMNLRQLTIPTLVSGQPQQTASQRYNEMDAPWSPAYYSPRQHGHQDPRQVAEFPTSPSSGRYRAQYNEFSDKSFDRMPEVQMNHQSLYEHQPQYSENLALYTSDKAGFPGNILHGANVFEGNSVCDHCRVPFQRNQVYNDAPWKPGEHQHLEPPGNGFHQATNPCAECPPNREILMLNTDPNMHHIYYPRDQDPRQLYSESQSHDRGWLLPHQSNTRPEEPRPHVSVAGSISHGHVNVSDSLYIPSHFVHPDDPRYIRSGPEMSQIFHDSTVATGSHIHGQPSDERGVRYANPPYAYAPDSHYPVAGHTPAHALWRNIHGPMHGGLSYEGSSSPQLPGGLVSPGYIRVEGSPSLRAGLENQNPWVDSSQKVVGNDGSLLSDYPNGHALKLVPTTYNQESQLLYNTEPVRSNIDVLNIATPTDPFMRSDSAPAVNDKLFSSATSRGEPRIETDVLRPVWVDNTVEGGHKEAIHLEKAEDIGVLSHPKGSKDSDNVQFPESITSGPMVHGARSNGTTKPEEKDTCFPLEREQSDDRLSCLPELIASAKKATLDSIEEVKAKVQDITDPHVEHDAAVKEEHQNEADASDAQGDLEVDSDNENSNSPKIELTKAEEEAINRGLQTIKNEDLEEIRELGSGTYGAVFHGKWKGSDVAIKRIKASCFAGRPSERERLISDFWKEALILSSLHHPNVVSFYGVVRDGPGGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLMIAMDTAFGMEYLHGKNIVHFDLKCENLLVNMRDPHRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGVVMWELLTGDEPYKEMHCASIIGGIVNNTLRPQIPTWCDPEWKSLMESCWAADPAERPSFSEISQKLRSMAAAMNLK >CDP03309 pep chromosome:AUK_PRJEB4211_v1:6:8008953:8011436:-1 gene:GSCOC_T00041849001 transcript:CDP03309 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREKDHSTPHQPLLSSLVVRPTDSGGGAGGGGGGGGTTGAGGAGSDYEPGEVRRDAPPPYSRSDRFADDHALNVAWCKYLELEKARPCDC >CDP09580 pep chromosome:AUK_PRJEB4211_v1:6:21132824:21136618:-1 gene:GSCOC_T00029010001 transcript:CDP09580 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGYLVLRLMMERELCSKQLSSVLCKAILAAPALSVHKVVDHWIEEGNEVTRSVIASTMFHLHKRQMFESALEFSEWLELEGHEFGERDYASCVDLIAKVHGLQKTESYIANIPKSFQGEVVYRTLLANCVPVANVPKAEEVFNEMKDLGFPITNIACNQLLFLYKRSDKKKIADVLLLMEKEKVKPSLFTYKQLIDVKGQSNDITGMENIVETMKDDGLEPDFTIKDLLAKHYISSGLKEKAENLWR >CDP10422 pep chromosome:AUK_PRJEB4211_v1:6:6741846:6745135:-1 gene:GSCOC_T00031144001 transcript:CDP10422 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPLLNSRLSHHYCKDVFSSASSSPEPESILHIIRSSSSSSSPSSDHGSIKKMPRTLSENDLKDVKNPPSKKDDELLVSDEVGRPTDQRLLLSNSGLDLEEGCEAGRQAEALAVGGGSGSGGGRICQGGEGGSWGGDGNDSGGGSDCWDSDHRHDNTDVYYQKMIEANPRNSLLLSNYARYLKEVRGDYVKAEEYCGRAILASPGDGTVLSLYADLIWQTHKDAPRAETYFDRALQAAPQDCYIMASYAHFLWDAEGDDEDEEELKDRNDANKGSQPRNISWGINGGAPPSVPPPIAAAS >CDP10473 pep chromosome:AUK_PRJEB4211_v1:6:6228953:6233224:1 gene:GSCOC_T00031208001 transcript:CDP10473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MMSVKDWVLSQLLSNSLATSRPLSANDSLLSEGHLDEEFRSEAHTSVRVPVSTGSYHHSGYNQENPNDAPYASGDSQEDQDNFSSRQIEGRDSIQSNCTVDVKKLDPVGKIECLQIKFLRLLRRFGFSQDNLLVSKVLYRLQLAMLIRAGESDLRRVNIRIDRAQEIAAEGEVSGIPKLDFSIKILVLGKSGVGKSSTINSILNQMKAPTNAFQPATDHIQEIVGTVNGIRISFIDTPGLLPSSPSSFRKNRKILHSVKQFVRKSPPDIILYFERLDLINMGYSDFPLLKLVTEVFNPAIWFNTILVMTHASSSLPEGPNGYPVSYGSFISHCTDLVQHYIHQAVSDTKLENPVLLVDNHPHCRTDSKGEKILPNGQVWKYQFFLLCLCTKVLGDVNNLLDFRDSIQLGPFSSNRLPSLPHLLSSFLKHHTELKLNESDNKIDEVLLSDFEEDDGYDQLPPIRILTKAQFEKLKSSQKKQYLDELDYRETLYLRKQLLEESRRIREKLSNSQGLAAENHSNDQEVAPEPVLLPDMSVPPSFDSDCPVHRYRCLLTSDQWLARPVLDPHGWDRDVGFDGINLETSAEIRKNVFTCVTGQMSKDKQDFSIQSECAAGYLDPEGQTYSVALDVQSGGKELMCTVHGNIKLRNFKYNLTDCGVCVTSFGNKYFLGAKVEDSIVVGKRMKLSMSAGQMGGGGQVAYGGSFGATLRGRDYPVRNDKVGVSMTVLSLDRETVLGLNLESDFRLSRSTKMCVNGNINSRKIGQLCVKTSSSERMEIALIAAISIFRVLLRRKPHDD >CDP15449 pep chromosome:AUK_PRJEB4211_v1:6:4807368:4809104:1 gene:GSCOC_T00043182001 transcript:CDP15449 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEIKTIGRLQNGRRWKDTGIAFLYKSRDFMKWKKAANPIHQSAGTGNWECPDFYPVAKSGTNGLDTSVLGQNVKHVLKVSLDATRFEYYTLGKYYAAEDRYVPDNTSPDNWKGLRYDYGNFYASKSFFDPSKNLRVLWGWANESDTAKDDIKKGWAGIQLIPRTITLDPNGKQLLQWPVKELDTLRGAHVRLSNQLLKKGDLVGVTGITPAQADVEVTFSFRSLDLAEPFDPKWRKLDAQDVCSKRGSFVQGGLGPFGLATLASEDLQEYTPVFFRIFKDAGKHVVLMCSDATRSSLKKELYRPSFAGFVDVDLTDKKLSLRSLIDHSVVESFGAGGKTCISSRVYPTKAVFHKAHLYAFNNGTEAITVETLDAWSMKTAKVN >CDP10591 pep chromosome:AUK_PRJEB4211_v1:6:5308412:5329916:-1 gene:GSCOC_T00031357001 transcript:CDP10591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein virilizer homolog [Source:Projected from Arabidopsis thaliana (AT3G05680) UniProtKB/Swiss-Prot;Acc:F4J8G7] MGRPEPCVLFAQTFVHPTLDEYVDEVLFAEPVVVTACEFLEQNSSSTCSTVKLSGTSSPPSFALEVFVQCEGETRFRRICQPFLYSHSSSNVLEVEAMVTSHLVVRGSYRSLSLVIYGNTAEDLGQFNIEVDLDSSLTHTVSAIEGDLEDLPPALCPTNLTIEESLSTLNKLSFKVARVDIPVEHKQLLQLMFKILESQNLGAATDTVISSMLSAALVHATPNLYSTIIDQKHVGMDKFRDNGGFDDVLNEARKELMDVYKSFKQGGYFPAESSTENMSFESEADMPTSKQLVDLLSLYFKFGSNHEIAANPVRSKHKSAVLWLSVALLLCSGRESCFHFVSSGGMEKLGHVLNHVIQNSLTLKLLSLAVVEQATRHSIGCEGFLGWWPREDESVPPGTSEGYNQLLKFLLQNQRHDVASLATYILNRLRFYEVASRYECAVLSILGGLSTAGHITSVTLDMLTGAKFQLKKLTKLINFHGPIEDPSLVARASSTLIPCDVGSLSYKATSTFINQSNCCFSKWDTDPHLLLLLKERGFLPLSAAFLSCSILRTETGRVVDLFMDIVSYIEAIILSLLFSRSGLTFLLCDPEVLTTVIRGLRGTDDWNKEESASLRYASALISRGFFCHPQEVGIIVETHLKAIVAIDHLITSTPNTEEFLWILWDLCGLSRSDCGRQALLALIHFPEALSVLTAALHSVKELDPVSMNSGSSPLNVAIFHAAAEIFEVIVTDSTSSSLGSWIDQANELHRVLHSSSPGSNRKDAPARLLEWIDAGVVYHRNGFIGLLRYAALLASGGDAHMASTSIFGSDMMDVENVVGEAPCSSDGNVIDNLLGKRITDKDFPGVVLRDSSIVQLTTAFRILAFISDNSAISAVLYDEGAVMVIHAVLINCKVLLERSSNIYDYLVDEGTECNSTSDLLLERNREQSIVDLLIPSLVLLINLLQKLQEAKEQHRNTKLINALLQLHREVSPRLAACAFDLSSPYPEALGLEAVCHLIVSALACWPVYGWTPGLFLFLLDNLHSTSSLALGPKEVCSLFCLLNDLFPEEGVWLWKNGIPMSSLLRAFAVGTLLGREKERQIDWYLQAGVSEKLLSQLTPQLDKVAQVILHCAISTLVVIQDMIRVFIIRIACHGTDNASVLLRPMISWISNQLSGPLAVADTDSYKVYRLLDFLALLLEHPRAKPLLLREGGIQMFIKVLERCNVAASSDAKQFPEVRHVARNGFSWLAWCIPVFKSISLLCDCRTSLPRPVMLDRHIPKDVTAGECLLILSYVLNLCKVLPVGKELLACVSAFKELGSSAQGRSALLSIFLKVQPFIFEDSALERSRADDRDLKIVNACEWRESPPLQICWSTLLMSIASNDGSPEYAVETIGLLASGALLFCLERESLNLERITAIKFLFGIVKDGSGTDSFLDESMKSLHELANILEPEASDDYSASFHNSRFSFCILEYSLLLMLQKPTHAVKVDNIKASILPSSSIAASVSTRIRGIADSGSERIEDYDLGEFGDKFLWECPENLRDRLTQTGLSMKRKISSLDGPNRRVRADNASTETIAQSSFSRGSVPPATSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERNADGNNNPNVIAIPRIGSSSGRPPSIHVDEFMARQRDRQHPVGMAVADATAQVKRTAPENNKDAEKSNKSRQLKPDLDDDLQGIDIVFDAEESEPDEKLPFPQADDNLPLPAPVVAEQSSPHSIVEETESDSNLNAQLASNMDENTNGEFSSMMTVSRPEVSLAREPSISSEKKFPDKSDDSKSFINKISSGFDSAVLASSSELSSSTYANVNKVSGQLSTDSRMTNNLYSKVGLQHGGLPSAIGSQGFYDKKFHLNQPPLPPYPPPPTISPSPSQNTDAVGSQSSPFVHSVADVQPPLPPGFHVQAEYQSAYTNSSLASSSPLTDSKFGRATLSSPGGSTRPPPPLPPTPPPYSVNASTLSSSKPLPSQSVVYSQSVGAVDLQQTSIASSSDARLSNLSSSGTMVTSFAPAPLGPPLLFGRPGSMPGNLYGSSSGPQHMENLPNILQNLPISLPAIQSVPSLTQLQPLQPPQIPRHTAQSLRPVIPSSPQPEQVGSLLPSSLQLQMQTLQMLQQPHASPAHLYYQTPQTDNVLQPQLVERSQLQSLHQQGDGPSQQHDAGMSLQDFFRSPEAIQSLLSDRDKLCQLLEQHPKLMQMLQVC >CDP03049 pep chromosome:AUK_PRJEB4211_v1:6:10169765:10178538:-1 gene:GSCOC_T00041525001 transcript:CDP03049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MKAPTNGYLANSGEGERKAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDSIPSYPNLPSKLICLLHNVTLHADPETDEVYAQMTLQPVNKYDQEALLLSDMGLKQSRQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDQTWTFRHIYRGQPKRHLLTTGWSVFVSSKRLVAGDSVLFIRDEKSQLLLGIKRANRQLPALSSSVISSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPFAKYNKAMYAQVSLGMRFRMMFETEESGVRRYMGTITGIADLDPVRWKSSQWRNLQVGWDESTAGERPSRVSIWEIEPVVTPFYICPPPFFRPKFPKHPGFPDDESDMESVFKRGMPWLGDDLGLKDATSSVLPGLSLVQWMNMQQNNQLSAAQSGIFPSALNGNLSTEDHSKLLNFQSPALAATNLQFGKTNQFNQQTNQLQQTSLVGTQPQQLQQLLHSSVNSQQQQHPQQHSIQLRQQPQLPQHQQQCPPHLQQPQQLQQQQNMMPLASVNNGPSVATSQIPNQNLQQPALYSQLQQQHLLTGNTQPQQPASSNKSSLTSLPQDVQYQQLEHQNNLLQKTQQQQTPMLQAPLQTLQQNLIQRQQVQQTSQQNLSEQNLQLQLLQKLQQQQQQQQLLSPLSNHLEPQLPQQQQPLQQNRPFQQAPVAQQQPSGNSFSTSTLLQSPQHPVNQLQGQNKSRIFVKAYSGPTDGDAPSCSTSPSTNNCHVSSSKFPNRNQQGPITQAGESVIDPSPILVHELQSRSDVRVKHELSGSKGAEQPKFRSNTEHLDAASSATSYCLDTGGIQQNFPLPGLCLDSDVQSHSRSTLPFTSTVDSLAPDALLSRGYDSGKDIQNVLSNYGGSSRDIETELSAAGFGVPNMSFKPGCSNDVPITEAGVLNSGLWPNQTQRMRTYTKVQKRGSVGRTIDVTRYKGYDELRHDLARMFGIEGQLEDTQRMEWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGNLPVPNQACSGTDSGNAWRGHYDDNSAASFNR >CDP14248 pep chromosome:AUK_PRJEB4211_v1:6:36880413:36882428:-1 gene:GSCOC_T00040537001 transcript:CDP14248 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENGCAEPKLVTGDAGYVLEDVPHLSDYIPDLLTYTNPLQNHHAYSVVKQYFVNVDDSVTKKIVVGRSSPRGTHFRRAGPRQKVCFESDEVLACIVTCGGLCPGLNTVIRELVCGLHDMYGVNSILGIEDGYKGFYSRNTIPLRPKVVNDIHRRGGTILGTSRGGHNTSKIVDSIQDRGINQVYIIGGDGTHKGAAMIFEEIRRRGLKVAVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEGESIENGIGVVKLMGRDSGFIAMYATLASRDVAAV >CDP10188 pep chromosome:AUK_PRJEB4211_v1:6:13401420:13408241:1 gene:GSCOC_T00030827001 transcript:CDP10188 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSRIILLLLVILPSNLPYSIAQNWIKAGYWYSGTEFPIADINSALFSHLICAFADINSSTYELHISPSDQKYFSAFTSTVKQKNPSVITLLSIGGGSANYSVYSSMVSQFSSRKSFIDSSINIARLYGFKGIDFSWRSASTAADATNMGTLFNEWGAAVESESRNNNSSKLFLTMAAPYSQYISEATLPIDSIRRNMDWVHVLAYDFYTPVANPEHTGPSAALYDPASNQNTDFGMNSWISGGLPANKIVLALPFYGYAWTLRDPKDNAVGAPANGSAVTTEGDMTYKAIRDYIQQYNAVSVYNSTYVMKYCSVGSTWIGFDDVEVVKTKVSYAKQRGLRGYAVWQVPNDYNWELSRAAGKECTFWNWNFCMNCIKDLGKLCETSINKNQQRKKHILLKTLLPVASLVLLLLGGFTVWHIKRKQLTGKELRIETEMGHKQVFSMEGSDSHSLQMFSFDDIKAATNDFSNENKLGQGGYGPVYKAILLNGREVAVKRLSATSKQGIEEFKNEVLLTARLQHVNLVSVLGFCIEREEKMLVYEYMPNKSLDFYIYDPINRLSLNWEQRAQIIEGVTQGLLYLQEYSRLTVIHRDLKASNILLDDQMKPKISDFGIAKIFQKDKVEANTDRVVGTYGYVPPEYVRQGIYSTKSDVFSFGVLLLQIISGRKNTCFYGPHSNINLLEYAYELWKNGEGKEFLDETLDDTHSSCKLMRCLQIALQCVQKDPNDRPNMLEISHMLRNENLDMKHPKRPAFSIKKDEGGDKSSTQSEGTGQVDTATITRLVAR >CDP06520 pep chromosome:AUK_PRJEB4211_v1:6:1422822:1424138:1 gene:GSCOC_T00023403001 transcript:CDP06520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steroid 5-alpha-reductase DET2 [Source:Projected from Arabidopsis thaliana (AT2G38050) UniProtKB/Swiss-Prot;Acc:Q38944] MSLSDQHVFNYSLIFFLVITPPTIIALRYLTAPYGKHQRPGWGPTIPAPLAWFLMESPTLWLTLLLFPFGKNHSNPTAQILILPYLVHYFHRTVIYPLTLFLKTTRSHRGKNNLDSRAGQKATAFPVSVAAMAFAFNLLNAYLQTRWVSHYADYEGDGRFWWRFASGLVVFVVGMAVNLRSDYALLGLKSRGGGGYKIPRGGCFELVSCPNYFGEILEWLGWALMTWSWAGFVFLMYTCANLVPRAASNHKWYLDKFGEDYPKHRKAVIPFVF >CDP10589 pep chromosome:AUK_PRJEB4211_v1:6:5343982:5362118:1 gene:GSCOC_T00031353001 transcript:CDP10589 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPASSNLGRMLTDEITPVIMVLRTPLVEETSQKNGLSFIEMLSPFCAFNNIDVPVRTASDQPYRLQKFKLRLFYASDIAQPNVEVAKERINQVITCAGEKDFPDVSTDHLLNETALPTAQHKVPPSWFEFFNRELVRTVSFAEHEAFDHPVACLLAVSSMDEDPIDKFVDLFNTNQLPALINDGAMDPKILKHFVLVHDNQDGMLERATKVLTEMRTTFGANSSCLLCVNSSEDGLIKHQENPWVSYKNDGSKLPQLGCFLSLDDMDELKKTMQDLSSKHIIPYMEQKIRVLNQQVSATRKGFRNQIRNLWWRKGKDDVPDHPNGPAYAYNSMEFQIRLLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKHYAGVQEMMGLTYFMLDQSRKDAEYCMENAFSTYLKLGSSGQRNATRCGLWWVEMLKARDQHKDAASIYFRISGEEPLHSAVMLEQASYCYMFSTPPLLRKYGFHLILAGDLYKKCDQIKHAIRTYRSALSVFKGTTWNHIKDQVHFHIGKWYAFLGMFDVAMEHMLEILACGHQSKSTQELFLRDFFQIVQNTGKTFDVLKLQLPVINVNSIKVVVEDHRTYASEAATSVKEGIWLSLEEDMIPSLSSMRNNWLESHGKNFPQKYKDSNVCVAGEVIRVDVELKNPLKIPISISSVSLICEHSSRSDEMNSDLNSSIDNDQLRKLAINGKCSAEASSLLSSEIDLRLGAGETIVAQLSVIPNTEGILEIVGVRWKLSGSVSGFCKFGSDLLKKKFARGRRRSKKSSGSYLKFLVIKSLPKLEGVIHHLPETVYVGDMRRLSLELKNPSNSPLKNLKMKVSQPRFLKVGDQEVMQMEFPSCLEKRGSSGDGHVPRKTSKASRSLYSFPEDLVVCGDDPLQWPLYLRAAAPGNLSLYISIYYEVGDASSTMHYRILRMHFNLEILPSLDVSFHISPRPSRSQEFLVRMDVINRTSSESFQFHQLSSVGNEWEISLLQPMDTVFPSDFLVAGQALSCFFKLKNCRKWVNAEDDPSSLVPLEKADIKLVHGNGEALFDTSRSPLVDFHHYERVHQGTLEQDHQTKVDFILISRSRRDDKSAIDLFSHHACDCRQVPLIKIMSFSTISS >CDP09563 pep chromosome:AUK_PRJEB4211_v1:6:20811935:20819998:1 gene:GSCOC_T00028986001 transcript:CDP09563 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNNQEDGERNHSALSRGGNGGGGGATRSWGTTVSGQSVSTSGSVGSPSSRSEAAMATPASEGTFLKLNNLDIQGDDAGSQGTAGNRKKKRGQRAVGGDKTGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPNNTISSPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDIEELKNERLGLRNRIEKKAAYLEELEEQYVGLQNLIQRNEQLYGSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQSDNVPQNVSADGGESSMANVFQPQVHQPSIPSIPARPPTSPPLPGILKARVKHEH >CDP06245 pep chromosome:AUK_PRJEB4211_v1:6:23959117:23961365:1 gene:GSCOC_T00022963001 transcript:CDP06245 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHNFARWFVLLLHLGTLLSSVACPYCPYPTPPHKPPHRPKPPAVKPPYTPKPPAVKPPHKPKPPAVKPPYTPKPPAVKPPHKPKPPIVKPPIIVHPPYIPKPPFVHPPFIPKPPIIIPPHPPIVKPPPFIPKPPVVLPPYVPKPPIVKPPPYVPKPPVVLPPYIPKPPIVKPPPYVPKPPIVKPPPYVPRPPIVKPPPYVPKPPVISPPFVPKPPVVSPPYVPKPPVVVTPPPYVPKPPVVSPPFVPKPPEVSPPYVPKPPEVSPPYVPKPPVVVTPPPYVPKPPPTPQPTPCPPPPPTPVPCPPPPKKPEICPIDTLKLGACVDLLGGLVHIGIGSNVKSTCCPVLEGLVDLDAAVCLCTTIKAKLLNINILIPIALEVLVDCGKTAPPGFQCSAD >CDP19197 pep chromosome:AUK_PRJEB4211_v1:6:15462386:15465529:-1 gene:GSCOC_T00012645001 transcript:CDP19197 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVALSDIFQDKFSSSSVRDIALKWNLDDELKKLQESVVTIRSKCFGEDNDKAAKMLRDILYDAEDIFDECGFYTLKSQQQQNHHRKLLQVRHIFYKIRSFAFRVKMGYEMQRLGNRLHKIDMYGKPRNAGDPLYGGFHLVSLSPPRCGAAAFDSSVASSVCGSVGASGAAYLSESPLYGGFDLVSVSPPCGGGASFHSWVASSVDGSVGASGPAYFFQPPPCALSQSAAFHSSVASSVCGSVGASGAAYSSEIVKKRRKFILPDRDIRQNDKDRIVEALLEWSNEGILSILPIVGVGGIGKSTLVKLVYNDERVVKHFQLRIWVNLSPCFSVRKVVERIIQSATANKRMPFRNYEKVGDILRKKVYLLVLDGVWNEYEGKWNQLKDFLVAGAKGSKIVVMTRDESVASAIGTMPIYCVERLANDDCLSLFLKMAFEEGQKEKYPNLVRIGADIVQKCEGVPLGVILLGSSLRGRIREIEWTGIRDHSVWDSQENGKMFPVLKLSYEKLPSYLKACLAYCSIFPKGCEIEIDKLIQLWISQGLIHTSTHFEEPEEIGLEYFNQLSFKSFFQDIEENGLFFSSMCKMNDTVHDFLLSVAGSECSTVYAHTQNIPEEVKHVAFSDYDESGKQLPISLLQNHGLRTIFFPVDEVGPTSTSFVDNCVSRFMHLRTLDLSHSSFEMLPSSIGELKRLKYFDLSSNCSIQTVPNSIGKLHCLLILRAALCTQLTELPKDAEDLISLRHLYLTTKQESFPDKSVGCLSSLRSLSLYSCKNLVSLSDELKHLRNLRTLTIVDCPKLTFLPSSMKYLTALENLYIIDCDELTLFEWQDIEGIKMVRSLVIGGLPELSSKDVQCFENLKSFVIDGLPKLVVLPRWLEGSALTLRNLRIARCPNFLELPEWLKNLTALESIQIAECPRLRSLPAGMHRLNELEELKIDNCPQLSVDCGEKDRAKIAHIKETYLDGILQVQKIQNK >CDP10616 pep chromosome:AUK_PRJEB4211_v1:6:5094637:5098830:-1 gene:GSCOC_T00031386001 transcript:CDP10616 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKRSRFKRICVYCGSCSGKKASYQEAAVELGKELVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPRTLMPREITGEKIGEVRAVSDMHQRKAEMVRQADAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLSFIDKAVDEGFISPTARRIIVSAPAAKELVRELEEYVPEYDEITSKLTWEEMDRLNNYVPASGIPI >CDP02826 pep chromosome:AUK_PRJEB4211_v1:6:12661395:12663581:-1 gene:GSCOC_T00041212001 transcript:CDP02826 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRLNLQESARLSMALDNILQPKSSWSDFNQNSVNGDGGQRQIQMNSPFPAGISVSSLKSFHTCLRFMPRSAPVPAPPRGTQKIIRGMQPGSRLCWATHWAFRALTIKRRIR >CDP10580 pep chromosome:AUK_PRJEB4211_v1:6:5415976:5416485:1 gene:GSCOC_T00031344001 transcript:CDP10580 gene_biotype:protein_coding transcript_biotype:protein_coding MNATETSPGGFMGSGNIGGLGYGIGVSVGILVLITTITLASYYCTRRSATVVAPLQRNPQQDPADPRHLVVEVGLDEATLLSYPEMLYSEAKVKNNDSTGSCCSICLADYKNTDMLRLLPDCGHLFHLKCVDPWLRQHPTCPVCRTSPLPTPLSTPLAEVAPLATRPIG >CDP11654 pep chromosome:AUK_PRJEB4211_v1:6:28563761:28567370:1 gene:GSCOC_T00034060001 transcript:CDP11654 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPSRRTSSSSSSSSPYSGSNYLLPISDTNNNNNQFQSQATAATATIRLVNSIKIFLRKPHAFPFLLSIFLFLTWVSLRIQHHQHPRSDFNTWALKLGNKGKDEDANLVRFHSMSSSIVKDKRGWFVDPVSLGLQAGISGGATNCASVHIGEIRAGGVRGNHRHHSCNETFVIWGAKTLFRLENNLVDKGYAEVIVGADEVAVAASPSGKAHALVNLDALRSTFFLGCQDNIINYNDSTSDFNVWKDI >CDP14184 pep chromosome:AUK_PRJEB4211_v1:6:18555059:18556550:1 gene:GSCOC_T00040438001 transcript:CDP14184 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKPQSRAIMILLGLLIVATLDFTGAQTGVCYGRLGSRLPSPADVVALCKQNNIRRMRIYDPHRPTLQALGGSNIELILGVPNTDLQNIAASQASANNWVHNNVRNYPNVRFSYIAVGNEVSPLRDTAQYTRFLLPAMQNIYNAISAAGLGNQVKVSTAVETGLVGQSYPPSAGIFRQEVRSFINPIVQFLARNRAPLLVNVYPFFAYTGNPRDISLQYALFTSSGIVTPDGVRYQNLFDALVDATYSALEKAGGSSIEIVVSESGWPSAGGQATSIDNARTYNSNLIGHVTGGSGTPKRPRRNIETYIFALFDEDQKSPEYEKHFGLFLPNRQPKYQITF >CDP14110 pep chromosome:AUK_PRJEB4211_v1:6:17645882:17649462:1 gene:GSCOC_T00040335001 transcript:CDP14110 gene_biotype:protein_coding transcript_biotype:protein_coding MILAIAPVAIAGATKSAVNLEAEALLKSGWWGDSSIIATNISAHCQWPGILCNDAGSVAEILLPGYEIQDDLTNFSFSSFPNLGRLDLSRNGLYGAIPHQIGALSKLTHLTLSNNFIKGIIPHSIANLTNLVTLDLSYNSLFGFIPSILGQLSNLEFLDLNNNHFSGVIPRTLSNLTKLSWLYIHGNPGIRGDLPEKIGNLKSLLELDLSYSGFSGSIPPTLGQLSNLYSLDLSTNHFSGTIPPTLFNLTNLSRLDIHWNPAIGGFLSEEVRNLKSLVELDFSGLNLSGALPSSLCGLTKLLMDNKHGDIFRIWNYDGNMAYDDIIKATNDFEVSYCIGTGGYGSVYRAQLPSGKVVALKKLHRLEGENPNFDKSFRNEADMLSKIRHRNILVLVNLIKGIASALSYLHHDCDPPIIHRDVSSNNILLNSQLEATLSDFGTARILELDSSNQTVTAGTFGYMAPELAYTMAVTEKSDVYSFGVVVLETLFGEHPRDFLSSFSSQPNEPTMLKDLLDARLPPPTNPLVVRSVVLATALALDCVNANPKCRPTMQQVVNRFEVGRREPTRPLHTIAVNQHVSPPVLSLPDQTCADGTSSLSTIK >CDP03297 pep chromosome:AUK_PRJEB4211_v1:6:8111687:8119816:-1 gene:GSCOC_T00041834001 transcript:CDP03297 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVLPSSPSNNLVNPADALINRKDTFLTRCSFLQKSRKQGLLSQRYTLPMSTSFRLFPQFRIGSLEETDLPGADKDTGEVSESPLDAIETNEDSSAKSDGSSTTARSKRTRPVRKSDMPPIRTEDLIPGATYTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSDGFVKDVGDVVSVGQEVTVRLVEANTETGRISITMRESDDPSKPQKQRDTPAGTSRAPRKSNQRRDEVKRSSKFVKGQELDGTVKNLVRAGAFISLPEGEEGFLPTSEEADEGFGNFTGGSSLQVGQEVKVRVLNINRGKVQLTMKKEETAGEFDLVQGVVHTATNPFVLAFHRNKDIATFLDEQDTTVKPTEPSQDTVLNTDVIADSAEATGKSEDQESLHESEGSFEILSLEGSTSAAIDTVDEAQTLGKYEDQENIIADSLREVDSAVQNVGDESEASSDVLAAAGSTSTVDPVTDVLPATEKVEDGEKSELSGIVSGQISSSENLIGQEAQEDESKKKDVTVKDEIQTETPIGNEEFISAAKDAEEIVAPNLEIDGGIASSESLIGEEGTEDEVKDVTVKDQVQIQTEAPVEKQKVISASKNEEEIVAPNPEINTEGLIGEEGPEDEVKDVAVKDEVQTEAPIEKEEIISASKNEEEIVAPNPEINTGVASSGEKGLLPWLIVRSVFKDVAVKDEVQTEAPIEKEEIISASKNEEEIVAPNPEINGGISSSGTPEEESAVNEVTENIIVSVADAVQAESPLSETEPLSGTTVITEQVGPDSTKKGNVADLSEQSSTPSQKEATTKAAISPALVKQLREETGAGMMDCKRALSETGGDMVKAQEYLRKKGLASADKKASRTTAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKELVEDLAMQVAACPQVQYLAADDVPKEIVDKEREIEMQKEDLLSKPEQIRLKIVDGRIRKRLEELALLEQPYIKNDKMVVKDWVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFATEVAAQTAAKPTEPKKEQPIAVEAKETVDKPVKATISPALVKQLREETGAGMMDCKKALSEMGGDLEKAQEYLRKKGLSSAEKKYSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRGEIFKELVDDLAMQVVASPQVQCVSVEDIPATIVNKEKELEMQREDLQSKPENIREKIVEGRVSKRLGELALLEQPFIKNDSMLVKDLVKQTVASLGENIKVRRFVRFTLGEESEPELGEEAAAAVKE >CDP11664 pep chromosome:AUK_PRJEB4211_v1:6:27900387:27902287:1 gene:GSCOC_T00034084001 transcript:CDP11664 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLGFSVDQLMELAGLSVDTAIAEVYKSSERSRVLAICGPGYNGGDDLVAAQHLYHFGYKPYIYYPKRTAKPLYNGLVTQLESLSVPFLSVEDVPMDLSDIE >CDP02906 pep chromosome:AUK_PRJEB4211_v1:6:11675168:11678731:1 gene:GSCOC_T00041321001 transcript:CDP02906 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFSLVGNSFGCSASGERLVSAARDGDLQEAKALLDYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLIESGVDINLRNYRGQTALMQACQYGHWEVVQTLIIFKANIHKADYLNGGTALHLAALNGHSQSIRLLLADYIPSVPNFCSILRKRVKNEDSVSGFDEDALCQVINKPADGGITALHMAALNGHSEAVQLLLDLGASVSDITVEDGTTIDLIGAGSTPLHYAACGGNAQCCQLLIARGASLTTENANGWSPLMVARSWHREWLEEILSRRPEGQPRLQPSPYICLPLMSIVNIAR >CDP10606 pep chromosome:AUK_PRJEB4211_v1:6:5181242:5182694:-1 gene:GSCOC_T00031374001 transcript:CDP10606 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGGGAAFPVIFYDGEREINIGDIRVQPMLEFKTFQMMISQKIGISPNQISIYLVHHSSLAEERRKTPITGKVNFALIIRQKDCFFLVVLKRSRKSRNRKLRPNGVDFCDYLSDNDFLPSPPPENVVLLRRNQAEVNMNGLQLNCFGKPFYDQITQVELAGLNDRLQNLKVQREKYGIPAPQPVNFSGPSLAPRPMPPDLNPSLDPGSFPRIQETMTRNVKESSTSASNKVACEECVNAKKAGCTAPFHPCVNDPLIPRFLTRAGPIARPVKSPR >CDP06553 pep chromosome:AUK_PRJEB4211_v1:6:1626890:1628410:-1 gene:GSCOC_T00023444001 transcript:CDP06553 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKLFGHPASPFSCRVEIALKLKGVHYDFIAEDPSNKSPQLLQYNPVHKKIPVLLHNGKPIAESLVILEYIDEVWKTNPILPQNPHEKAKARFWANYVEEKCLPALFKTFWSVGEQYDKDKAEAGEVLKFLEDELQGKKFFGGDSIGLVDIVANFMAFWFRTIQEALGLDVLTKDNFPKLWEWAENYVNCSDINQFLPPKDKLIAIFPPPYMGQHLVAFFQSRLAAAAAAK >CDP18462 pep chromosome:AUK_PRJEB4211_v1:6:30063846:30077367:1 gene:GSCOC_T00003214001 transcript:CDP18462 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDTEKRYHINEKELLAVIRRCHKFYYFLLPKKFKLRTNNSQVRAFIKNNIKPLIVKPPPPQSPSTTAAPTPGGGGGGGGYRNQDAELLFRTKPISEIRKVEATTRKEIEDKSEELRQLVGNRYRDLIDSADSIVQMKSTCEFISANISAIHHSIVSNLSSSIDSPRTVNSNANSNRARIYGIACRVKYLVDTPENIWGCLDESMFMEASARYIRAKHVHDYLIVMNNDSVGIRNGGSYNVLSKFPLLQHQWQIVESFKAQISQRSRERLLDQALLLELGINAYADALAAVAIIDELDPKQILTLFLDSRKSCILQKLSACSSNVNADSSDVISVFCQVLSIIQVSVGQVGELFLQVLNDMPLFYKTILGSPPASQLFGGIPNPDEEVRLWTAFRDKLESTMVMLDRDFLARTCSDWLRNCGKEIVNNINGRYLIDVIASGKELASAEKLIRETMDSKQVLEGSLEWLKSVFGSEIELPWKRTRELVLGDDSDLWDDIFEDAFLQRMKAIIDTRFEELSGAVSVVESVRTIVKTPSDVGFQSYPNRVPNAGGVWFMEPNIKRVGSSQCTEQNDVRTCLNAYFGAEVSRIRDAVDSRCELVLEDLLFFLESPKAHVRLKDLAPYLQDKCYATMSTILRDLKSELDLLDADLKNVDQEGESAPLAAIIVERSLFIGRLLFAFQKHSRHVPVILGSPRSWLNETFAGVSLKFHAALRYSRSSFDSFMSDSPGKKMLDSPKRQTSLAASALFGIDDNSSPQLEELSRTTQDLCIRAHNLWISWVSDELSIILSANVEKDDALSAAAPLRGWEKIAVKQERLNEGESEIQILLPSMPSIYINSFLFQACEEIHQVGGHVLDKPILQDFASRLLEKVIGIYVAFLECHGSQVSEKGILQILLDLRFVADVLSGGDVSSNTVPSKVPKVKLPFRIKQDIHETKSVIRERLDGLVSHLSQRLDPIDWLTYEPYLRENGKQSYLRHAVLFGFFVQLNRLYMDTAQKLPSNSESNIMRCSDVPRFKYLPISAPALSSRGAARPSASTSIDDVSSRSSRNSYTTDELSRNIDYDDNSSLGMAAPFLRSFMQVGSRFGESTLRLGSILTDGQVGRFGDMLPAQAAGLLSSFTAGRLDS >CDP03052 pep chromosome:AUK_PRJEB4211_v1:6:10138854:10142905:1 gene:GSCOC_T00041529001 transcript:CDP03052 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNINCWFHACILSFLVLNSLSCAFAYDVSYDGRAIKINGERRILISGSIHYPRSTPSMWPDLINKAKEGGVDAIETYVFWNAHEPLRRQYDFSGNLDLIRFLKTVQDAGLFSILRIGPYVCAEWNFGGYPVWLRNLPGVELRTANDVYMNEMQNFTTLIVDMVKQEKLFASQGGPIILAQIENEYGNVMGPYGAAGKVYIDWCATMAESLDIGVPWIMCQQPDAPQPIINTCNGWYCHDFEPNNANSPKIWTENWTGWFKAWGGGDPYRTAEDVAYAVARFFQTGGSLQNYYMYHGGTNYGREAGGPYISTTYDYNAPLDEYGNLNQPKYGHLKQLHDTLHSLEKILTYGNVTNTDFGNSVKSTVFTLNGTSACFFENSNTTTDATITYQGSQYNVPAWSVSILPDCKKEVYNTAKVNTQTWVKVKRSNEAENEPVSLSWTWRPEAIDDAVVHGKGHVSANRLLDQKVINDASDYLWYMTRVNLEKNDPIWSGNLSLRVNATGHILHAYVNGQYVGSEWAPYGVFNYVFKKDIQLNRGSNQIALLSATVGLTNYGARFDEIPAGISGPVEIVGRNGDETIIKDLSHHKWSYKVGLHGLSKKIFKSSDPSLWQSQDLPVNRRMTWYKTTFKAPLGEDPVAVNLQGLGKGWAWVNGNNLGRYWASLLADDQCSTDPCDYRGPYTNSKCVTGCGEPTQTWYHVPRSFLNDGDNDLVLFEEFGGNPSQVTFQTVTVGTTCGNAYENTVLEISCQGRPISDIKFASFGNPEGTCGSFQKGTCDANNDPLLVVREACVGKESCSFAASETLLGPTNCDASVTKRLVVEAVC >CDP10185 pep chromosome:AUK_PRJEB4211_v1:6:13454185:13456742:1 gene:GSCOC_T00030819001 transcript:CDP10185 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQEVELKISQKQNKMVLAPMKAASSSIFCTCLLFMIISFSSSYASPSYSSEAMKGAYYPSWKVAEFPPSAIDTTLFTHIYYAFLNPNASTFKFDIDASTANLLIGFTSTLHSKNPPVKTLLSIGGGGAGPTTFSRMASTPASRRSFILSTIEVARKYGFDGFDLDWEFPQNAQEMNDLGSLFDEWRAEIVKESKATQRPQLLLSAAVYFSSTFFLSATPRTYPIASINKNLDWINAMCYDYHGSWEPTITGSNSALFDPKSNISTSYGLGSWIKAGILRSKLVMGLPLYGRTWTLKDPSVHGVGAPAVGVGPEGDGGSGILLYYEVEEFNKKNNATVGFDVATASTYSFAGTAWVGYDDGRSTAARVGYAQALRIRGYFFWALSFDLDWKISRTASELWNR >CDP15321 pep chromosome:AUK_PRJEB4211_v1:6:3812462:3818957:-1 gene:GSCOC_T00043006001 transcript:CDP15321 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF1620-containing and WD40-like repeat protein, Scaffold protein for assembly of the restoration of fertility comple [Source: Projected from Oryza sativa (Os05g0230600)] MAMAIRIRVLLLFLFFLSLSHLTFSLYQDQVGLMDWHQQYIGKVKHAVFHTQKAGRKRVVVSTEENVIASLDLRRGEIFWRHVLGSNDTVNELDIALGKYVITLSSGGKYLRAWNLPDGQMIWESFLPGSTASRSILSIPTNLKVGKDDLILAYGSGSLYAVSSIDGEVLWTKDLASEGIDVQQLIHRHGSNIIYALGFIGSSHFATFEINAKNGELLKHDKVRFTGGFCGDISFLKSDTAVALDSTRSSLVSVRFRDGEISIEQVHISDLLLDSSGVAAILPYKLIEMVAVKVKEFIIFVKLTNEGKFVVVDQIDHTAIISDSLSILEDQQAIALIQHGDNKIQLTVKLVSDWSNHLIKETIYMDCQRGLAQRIFLNNYVRTDRSSGFRALIVMEDHSLLLVQQGEIVWSREDGLASIVEVTTSELPLEKDSVSVATVEHSLLEWLKGHVLKLKGTLMLANPDDIAAIQKLRVQSSEKSKMTRDHNGFRKLIIVLTRAGKLFALHTGDGQIVWSVLLNSLRKSQTCEAPNVLTLHQWQVPHHHALDENPSILVLGRCGPNLDSPGVYSIVDAYTGKELNSVGPFHSIVQIIPLPHTDSREQRLHLLIDKDWHAHLYPRSPEALAIFQREVGNIYWYSVEADNGILRGHAAKENCILQLPDEYCFGTRNLWSIVFPSESERIIATATRKLNEAVHTQAKVVADQDVMYKYISKNLLFIATVAPQAAGDIGSVTPDESWLVVYLVDTITGRILHRMTHYGSQGPVHAVVSENWVVYHYFNLRAHRYEMSVIEIYDQSRADNKDVLKLVLGKHNLTSAISAYTRTEVVTKQQSYFFSHSVKAISTTSTAKGITSKQLLIGTVGDQVLALDKRFLDPRRTLNPTQAEKEEGIIPLTDSLPIIPQSYVTHALKVEGLRGIVTVPAKLESTTLVFAHGVDLFFTRLAPSKTYDSLTEDFSYALLLITIVALVVAIFVTWILSERKELQEKWR >CDP10581 pep chromosome:AUK_PRJEB4211_v1:6:5411887:5414646:1 gene:GSCOC_T00031345001 transcript:CDP10581 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNQVFLHEWWLEKAHPSSAGRRLSVGGCTERLGTRIFHSSAVLKRYDTVTLGTEDGILVKICGAINKSRTHENGFPLEVCFRFLYGFPFDWEEFANQSFAEESTNRAILQGLSNLDGHEASSVDGLNRFSAKSFDDLPVALLRDFSDSSVEYSATCELWKSTFKDILQKYGDSVKDHATTEISSEEETPRKQKNSKGEHDISIRKDRITKDQVMAESSSVEIPNEHEKSMVKQNNKREHDMPKGRGRRIKLQNFTLDKSTSSASNVERKGPRTRSSTQNSTSKHEESVSVTNAGNTVFNGTEITRKLKLRNRFVEVHVKRIQ >CDP10612 pep chromosome:AUK_PRJEB4211_v1:6:5143191:5144948:-1 gene:GSCOC_T00031382001 transcript:CDP10612 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAALKHSSKLTRLAVVLGFVVADEHTADFSGSASFSLSSSLMVKRTLEGPYMFWSLKMSITSMSSSSWAVEFRLGGVEVGSTRELLRQRKQRSSLEEEDNEEAKLVDESENVWELVGAVVVAAWGGV >CDP16102 pep chromosome:AUK_PRJEB4211_v1:6:33270618:33272760:-1 gene:GSCOC_T00017142001 transcript:CDP16102 gene_biotype:protein_coding transcript_biotype:protein_coding MEILILLVFPISLLLILLLISNKKEKSSTIHHPPGPPRLPFIGNFHQLDTSCLHKYLWKLSQKYGPIMFLKLGSIPTLVISSARLAEEVLKNQDLIFCSRPKMLALQKLTYNGIDIALAPYSQEWREMRKICVIHLLSAKRLQMFRPIREDEVSRMIKKISEQAASTGQVINLSETLVSLACSMICRIAFGKRFDEEGQERRRFNGLIHEAQAMLGGFFFSDYFPSIAWIDKFTGMLARLESIYEKFDSFYQELIDEHLDPNRPKSMDGDIIDLMLQLQQDGSTSFEITMDHIKAMLMNVFFAATDTSTVTVIWAMTAMMQKPTVMKKLQAEIREIMGKKQMLDEDDVQMLPYLKAVVKETFRLYLAVPLLVPRETIGKCTIDGYDIQPKTLVYVNAWGIARDPEYWENPDEFLPERFLNSSVDVRGQDFQLIPFGAGRRGCPGYPMGLVTVELVLANILHSFDWELPPGMKKEDIDTDALPGLTMNKKNDLWLVTKLHL >CDP10069 pep chromosome:AUK_PRJEB4211_v1:6:15003287:15005859:1 gene:GSCOC_T00030657001 transcript:CDP10069 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEGCSERLQLNCNYSGSKEDPYGRWVVSICSAHCDATRAMCFCGEGTKYPNRPVAEGCGFPPSEPGGPSLADWTKADVDIFTTNGSRRGWCNVDPKEAYDGKVHFKEECDCKYDGLWGRFCEVPVQSVCINQCAGHGYCRGGFCQCDKGWYGTDCSIPSVLSSVAEWPKWLRPAQIKIPDSDKQTGKIDNLTAVVAKKRPLIYVYDLLPEFNSLLLEGCHFKLECVNRIYDHRNETIWTNHLYGAQMALYESLLASPHRTLNGEEADYFFVPVLDSCIITRADDAPYISMQDHSGLRSSLTLEFYKKASDHIIAQYPYWNR >CDP06562 pep chromosome:AUK_PRJEB4211_v1:6:1696270:1699506:1 gene:GSCOC_T00023456001 transcript:CDP06562 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVGVSGPGGVVDGGARKFLSQQQQPPPPPPLHHQSQIGTVPQLLAGGIAGAFSKTCTAPLARLTILFQVQGMHSDVAVLSKPCIWREALRIVNEEGFRAFWRGNLVTIAHRLPYSSVNFYAYERYKSLLKSIPAFSSHGGNASTDAFVHFIGGGLAGITAASATYPLDLVRTRLAAQRSAIYYRGIWHALSTICRDEGFYGLYKGLGATLLGVGPSIAISFSVYETLRSFWHSERPDDSTVVVSLACGSLSGIASSTATFPLDLVRRRKQLEGVGGRARVYNTGLLGTFGHIIRTEGLRGLYRGIMPEYYKVVPSVGIVFMTYETLKNLLSSGPFNS >CDP03300 pep chromosome:AUK_PRJEB4211_v1:6:8061089:8065035:-1 gene:GSCOC_T00041839001 transcript:CDP03300 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPNWWNLNNMRPPSQQPSSFLAPPPNLFPQYMPPPPPSSWADNQELPESWSQLLLGGLVAEDQDQNKSGVMHAKKTLENWEEQLLQQQPPNGSNIVDHVKQENSAANGAYNMYGHENAEFHAAKTTWSQMMPVSSPTSCVTTLSSNMLDFSSSKSDGRHPPPDRSSECNSTAIGGVSKKARVQPSSTQSSFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSSPYLGSKSGNVRQQQSAQGERNCLFPEDPGQLLNDNCMKRKAASEQDSEEEVKKDLRSRGLCLVPISCTLQVGSDNGADYWAPALGGGFR >CDP16117 pep chromosome:AUK_PRJEB4211_v1:6:33776720:33778009:-1 gene:GSCOC_T00017169001 transcript:CDP16117 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHFLFQLVRKSVCFLAFADDSVYFLSTYPQFLNMLIKLINAKNTMEIGVYTGYSLLPTVLALPQEGKVLLSVCFQLQSYELGLPIIEKACAAQTIDDFGEGPGLPVLDEVIVDVMPISSPPPTTVLLLFFFCVDKNMLIFFSLRK >CDP11659 pep chromosome:AUK_PRJEB4211_v1:6:28143249:28170980:-1 gene:GSCOC_T00034072001 transcript:CDP11659 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQLSGRWSLNGMTALVTGGTRGIGHSIVEELAGFGAIVYTCSRNQKELNERLQEWGAKGYKVYGSTCDLASRPERVELMKNVSSTFDGKLNILVNNAAAIILRRATDLSAEDFSRVLGTNLEGPYHLCQLAYPLLKVSEARSIVFISSVAGGTALPGTSIYGSSKGAINQLTKSLACEWAKDKIRVNTVAPHGVKTTRPKLEDYDESIALQMRPIMSRTPLRPLAEPNEVSPLVAFLSLPVASFITGQVIQVDGGYSAGSY >CDP10241 pep chromosome:AUK_PRJEB4211_v1:6:12783573:12785115:-1 gene:GSCOC_T00030904001 transcript:CDP10241 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRIRFFCFEYNRSKTKGKLVLHCFAPPKSLLSKVIKQFESEKLKRECNFLVGEKVRLRSWIVLMQIYINKRHDKESLYFSWYRLELSLGSIGRQRQCAIVSISSSGQ >CDP06202 pep chromosome:AUK_PRJEB4211_v1:6:22327274:22334593:1 gene:GSCOC_T00022878001 transcript:CDP06202 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAKAIDGKLSRWRSFVPIKYFASLPAFERPISAHEDLTSGANALMGSFSDTFCGRNDAMEAENFKNQGVNGFNSLVKYRNDENIRRPSPWNGQKAVASSYCFPNRVHDMLYMPLRNFSSQSSATSFSDVKTKFLGSIPKFVKIVEVGPRDGLQNEKAIVPTAVKVDLIKMLVSSGLSVIEATSFVSPKWVPQLADAKDVLEAIQCVQGAKFPVLTPNLKGFAAAVAAGAKEVAIFAAASESFSRSNINCSIKDSLYRYREVAHAARNSSIPVRGYISCVVGCPVEGAVSPSQVVYVAKALLDMGCTEISLGDTIGVGTPGTVVPMLEAVLEVVPVEKLAVHFHDTYGQALSNILISLQMGIRTVDSAVSGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDLEKVILAGDFICKHLGRSPGSKVAKALSRIPENASKL >CDP06530 pep chromosome:AUK_PRJEB4211_v1:6:1489615:1493116:-1 gene:GSCOC_T00023415001 transcript:CDP06530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54090) UniProtKB/Swiss-Prot;Acc:Q9M394] MFFRTMATLPFRTPSFLPNSHSFASNSLAHFQISTIWLVPIPEARTFHKLLPPKSSSDDDPTTAEPPKPSRRGRKKARSTTTTSSSATSTTKRPRGPRKSQPQNTQNDVPVTDKQEELDYYDDGIDFPYEYPPLICCFGAAQKEFVPTIRVAPEQMHPDIYSQWKMLQWNPPEFVRSPGGPPSNVAVSHVRLGGRAAFMGKVGDDEFGREMVLLMNTEKVQTRAVKFDSNVRTACAYMKIKHDNDGKMRMEKVKESAEDSLLSSELNLAVLKEARMFHFNSEVLTCPSMHSALFRAISWSKKFGALVFFDPNFPLPLWESRDMTREVLKKAWEQADIIEVSKQELEFLLDEEHYERRRNYTPQYYAENFEQTSKLRDYYHYTREEISPLWHDGLKFLFVTDGTLRIHYYAPSFDGVVVGTEDVLITPFTCDRTGSGDAVVAAIMRKLTTVSEMFENQDILERQLRFAIAAGIISQWTIGAVRGFPTESATQNLKEQVYAPSMW >CDP10407 pep chromosome:AUK_PRJEB4211_v1:6:6848747:6849928:1 gene:GSCOC_T00031125001 transcript:CDP10407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo-specific protein ATS3A [Source:Projected from Arabidopsis thaliana (AT2G41475) UniProtKB/Swiss-Prot;Acc:Q681K2] MAKPLPDLLLLFASFLAVVITISEARSLPPPTSTLLPHLLKSFEIKPNQSAASARSCSYTVTIKTSCSSSSYTRDRVSLAFGDAYGNEVYAARLDDPSSRTFERCSTDTFRIRGPCTYDICYLYLLRVGSDGWEPESVKVYVPDGRAITFNYNTFLPDGVWFGFNHCNGGSAALLM >CDP03271 pep chromosome:AUK_PRJEB4211_v1:6:8333915:8334556:1 gene:GSCOC_T00041796001 transcript:CDP03271 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPSSPPLIAKKLWNIVRIVFYMLKKGITKSKLMHDLHMMFKRGKLAGKAINNLVLHLQEDYTNSLTCRSTDVRMSIVHPREYEFSCSNSPAYPTYFSKRRNHHHHHHHHHHGYSYKPQDIHVVQKVFDILNHYDKVEASPMVLPGFGHSPAVRQLRVTDSPFPVKDNEENPQVDKDAEEFIKKFYKDLKQQRRVAALDSPSPYHIWARAR >CDP06399 pep chromosome:AUK_PRJEB4211_v1:6:372332:373711:-1 gene:GSCOC_T00023229001 transcript:CDP06399 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFRLSDMMPNAWLYKLREMSKSRNQKMIRPTSKKQHQTSSSSSLASSLSSSNIAAPGLSSETAGLPQHPHLSSQRKSYYFTRDLSTPPPPPDDHDHAFYDQHDDHVTRSSPPRKSSRKKRTTTSRNRAISSSNDTTSPSPRPARPSVVSAECSCRATFPSVCTTEPDSTTPEEYPNPPPDSPSSDDQSLEFCSTRGTPAETTVQGMVSGSASCRCKSVENRALLNQPELIKNVSEPCSAAKLGGFSIEVSEILDLPPIMTNKPPCSNISKEREEGDYEPSCRLRGSEYGSLSVKVAKDDILRSRSNNARPFKDHKKAGCPAPPAATVSVSSSSPGAGGLKLRTNSPRIANMRIQFQARKSLSSSPSSSSSRSRSRRRRRRSVSESFAVVKSSKDPQSDFRESMMEMIVENNICASKDLEDLLACYLSLNSDQYHDLIIRVFKQIWFDIVDLHHPN >CDP02841 pep chromosome:AUK_PRJEB4211_v1:6:12526516:12527376:-1 gene:GSCOC_T00041232001 transcript:CDP02841 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQWIAAVCIMAFLIFSAHSDDDDCYEACMHFCSDTLSEDCKLHCESVCSDKVPKTLDGPFFCKLGCSLRQCSHISTDKAKFRSCMDNCGESYCKLPHRN >CDP02941 pep chromosome:AUK_PRJEB4211_v1:6:11299022:11299981:-1 gene:GSCOC_T00041369001 transcript:CDP02941 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHSKMKMHPRKMTRCSRSCLDLSAQVIEVAPQHCVVQVSKSTGELGLYKEFCKSLSSLLAEELDMPSEVTQHQVPAQDHLNTITEDPVSTTNSNGKQNFNHQLG >CDP03321 pep chromosome:AUK_PRJEB4211_v1:6:7933509:7937509:-1 gene:GSCOC_T00041864001 transcript:CDP03321 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSRERDRELLIPVSDSVEADDDSSSKPSSSASSSHHHSGRETFYKVIRSWASKKFMTGCVILLPIAITFYITWWFIHFVDGFFSPIYAQLGIDIFGLGFVTSITFIFLVGVFMSSWLGASVLSLGEWFIKRMPFVRHIYSACKQISAAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVILQNYSGEEELCCVYVPTNHLYIGDIFLVNSNDVLRPNLSVREGIEIVVSGGMSMPQILSTLDPRIVQVDRIRPDRT >CDP10238 pep chromosome:AUK_PRJEB4211_v1:6:12815640:12820428:-1 gene:GSCOC_T00030901001 transcript:CDP10238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member 10 [Source:Projected from Arabidopsis thaliana (AT2G24170) UniProtKB/Swiss-Prot;Acc:Q8RWW1] MEVARPQLIHRWISVSVVLIGLFFATAHCFYLPGVAPQDFVKGDELKVKVNKLTSTKTQLPYSFYSIPYCAPKTIVDSAENLGEVLRGDRIENSPYVFHMREPQMCNIVCRIVLNAKTAKEFKEKIDDEYRVNMILDNLPLVQPIKRTEQDPIVYQHGFYVGLKGLYAGSKEEKQFINNHLSFTVKYHKDAQSDAARIVGFEVRPFSVKHDYDGGWNDNVRLSTCDPHAKRTVTSADPPQEVDDKKEIIFTYDVEFQESDVKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPTNSDMLCVYVGTGVQFFGMTLVTMIFALLGFLSPSNRGGLMTAMLLLWVFMGIFAGYASTRLYKMFKGTEWKRIALRTSFMFPGIVFVIFFVLNALIWGEKSSGAVPFGTMFALVVLWFGISVPLVFVGGYVGFKKPAIEDPVKTNKIPRQIPEQAWYMNPVFSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYAAFYFFTKLEITKPVSGILYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >CDP06222 pep chromosome:AUK_PRJEB4211_v1:6:23067033:23068370:-1 gene:GSCOC_T00022917001 transcript:CDP06222 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNDVLPSFNAPILGPRLVENPSVHLSSLDKECQILKRNVQISPLKTARSNPSYSRSITKCIKGIVFPKPDVIEKLVNGAIIPSEIVPKPQLKRKDFPPDFKFGNSTSALISIVTFVLQTEGSGTEDGRGPSTWDIFIGTDQKAVDSCDRYKVTQNIEINEDVQKLKEIGADTYRMSISYKLTICQFADGTLIGGVNQAGMDFYNNFIDELIKNGITPFVTLVHFDLPTALQNKHNGFLDSPIVDDFKAYADLCFKTDMRGIYIHN >CDP11666 pep chromosome:AUK_PRJEB4211_v1:6:27826772:27834981:-1 gene:GSCOC_T00034089001 transcript:CDP11666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 10 [Source:Projected from Arabidopsis thaliana (AT2G40935) UniProtKB/Swiss-Prot;Acc:Q8S8T8] MKEKRGSYVPPSYIPLEQAERSDSEAEKDGDEVVDVGGPSQTTKTQSESSGVPQQWSSGICACCDDLQSCFIGLVCPCFLFGKNAEFLGSGTFMGSCMTHFILWGLVNTLCCFLTDGVLLGLPGCFVSCYACGYRRTLRSKYNLQEAPCGDFITHFFCHLCAICQEYREISERSGCANPTDVGLVEVTAPAHQTMNQQP >CDP03162 pep chromosome:AUK_PRJEB4211_v1:6:9183362:9184619:-1 gene:GSCOC_T00041663001 transcript:CDP03162 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEGTGKRQTTECDYDVVRKKLRTEGDGDQDQDDVMASLAWLAFDDQTVTELSELLDSSSLEASSSSAQQFNVKVRFIEDPYMTPVIFQSSSAYVTINGNEESCGSSYSDSDSTVMASVDMGSFRVALRKLARELNVDETDSRGAWGSDAAAEEEEEEVIARGGGWRGGNDYLEGIFVKLPSGSSDLCETTPSDGENMWVKLLLGEEDLLGGAR >CDP15387 pep chromosome:AUK_PRJEB4211_v1:6:4393317:4399141:1 gene:GSCOC_T00043102001 transcript:CDP15387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G52880) UniProtKB/TrEMBL;Acc:F4J849] MAEKSFKYVILGGGVSAGYAAREFAKQGVKPGELAIISKEAVAPYERPALSKAYLFPEGTARLPGFHVCVGSGGERLLPEWYPEKGISLILSTEIVKADLPSKTLISAAGETFKYETLIIATGSTVIKLSDFGVQGADAKNILYLREIDDADKLVEAIKEKKNGKAVIVGGGYIGLELSAALRINNIDVSMVYPEPWCMPRLFTSGIAAFYEGYYAKKGVKIIKGTVAVGFDAHDNGEVKAVKLKDGRVLEADIVVVGVGGRPLITLFKGQVEEEKGGIKADGFFKTSVPDVYAVGDVATFPLKLYNEIRRVEHVDHARKSAEQAVKAIFAREQGKSIDEYDYLPYFYSRAFDLSWQFYGDNVGDTVLFGDSSPDSSTHKFGSYWIKDGKVVGAFLESGTPEENKAIAKVARVQPPADSLDELAKEGLTFACKI >CDP15438 pep chromosome:AUK_PRJEB4211_v1:6:4739396:4743802:-1 gene:GSCOC_T00043170001 transcript:CDP15438 gene_biotype:protein_coding transcript_biotype:protein_coding MTEILQSPPQHLPSSSSTITFVSSPPTDNDGLDQHTHLKNDTTLINNSPIISSPVAVYRGDTEEIQEGEEEEEEREEVGIGGASSRSVEFRKERKKREREEGDQLSLLAVLVTVFRKSLVACRSEEGGGVEERLSSSSAMEISWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVSRRPPSASTRVFGVSTESMQLSFDSRGNSVPTILLMMQRRLYAHGGLQAEGIFRINAENGQEEDVREQLNSGVVPENVDIHCLAGLIKAWFRELPTGLLDSLPPEQVMQAQSEEECSRLVRALPSTEAALLDWAINLMADVAQLEHLNKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLIVKTLKEREDAILEYVHAPKLEPSDENGHHGASQSIIWEEIEETQEDQLLVAKEPLSNTTEHSYQDDSNVSNAPDAFVSSTENDPPGEKENSSNSGLKRTAKKLLEQPVIQTTRNAEKNKEVSIISRINSQTERVEAWR >CDP03388 pep chromosome:AUK_PRJEB4211_v1:6:7325622:7327894:-1 gene:GSCOC_T00041952001 transcript:CDP03388 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLSALVKLRSLFSATRRFNLSLFSAFAIETVILNPRSYATAALPVVETYGEKVKTVGIQKKPLDPADVLRSWGCSENDVSTIFFRRPSVRKMDVNNLQSKLHTLSGLGISSSDLAKIIYCRPRFLNCRLNKNLDERIEYLQALFGSREVLLKAILRNPSLLTYDLHNSIKPVIARFENVGLSRKDLVPMLISRPTLIPRCTLNDAKMDYIRRTGVSKVSRMYKYVVTLFSISRLETIHAKMANLEKFGFSEDEILKLFGRSPLLLTLSVDKVQRNMTFILGTMKLSASSVLRNPCLLYLNLETLLRPRYLLAEKIDDMGLVPQVRGPSMVRALRMTEKRFIKAFISCHPEAVAKELMSFYTSAKGIKRLAESSKRSVQKGFPF >CDP06672 pep chromosome:AUK_PRJEB4211_v1:6:2500947:2501414:-1 gene:GSCOC_T00023600001 transcript:CDP06672 gene_biotype:protein_coding transcript_biotype:protein_coding MTTACISDCVGDAGCPIRRTNNYVSLQKWPDSDAEFVKSTGNLGGKGRLHNNYLHRSGAVVDSASCRQLYLRSAYTFSREEGVQERTKKCFGRVKEKVAIARTATTSRFQPRRRKTRTRFVMVRRVKDFSCGALRTIFQRLLSCTATVDVVEHKI >CDP19208 pep chromosome:AUK_PRJEB4211_v1:6:15618411:15620321:1 gene:GSCOC_T00012661001 transcript:CDP19208 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTCICKCGHLMNYVFISLSILLPLFLIGVLLYVIFSRTKIRKEEVELVGKKHGDIFRIWNYDGNMAYEDIIKATKNFDVRYCIGGGSYGTVYRARLSSGKVVAVKKLHDMESENPTYVKSFRNEAQMLSNIRHRNIVKLYGFCLHRRGMFLVYAYMKRGSLFGALRNRNAATQLDWIKRMNLIKGIANALSYLHHDCRPPMIHRDVSSKNILLNSELEASLSDFGTARLLELDSSNQTTVAGTYGYIAPELAYTMVVTEKVDVYSFGIVVLETLFGKHPGEFLSSLSPESAKQTKLKDLLDARLVPPVNGLVARDVVLAVTLAMACLDPNPKSRPTMQQVVLQFIFPTRNSTIPLHAITVDQLMPPRFSAQYVARTLPVQIMGEGEGRKVARLQSFQAV >CDP02890 pep chromosome:AUK_PRJEB4211_v1:6:11928949:11930441:1 gene:GSCOC_T00041298001 transcript:CDP02890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-15 [Source:Projected from Arabidopsis thaliana (AT5G57810) UniProtKB/Swiss-Prot;Acc:Q1PDI1] MAENSAPPEEVVVPVPEEKNEAKEKEVVVTIPEAKNEAEEKEVAVLISEAKNEAKEKEAKEIPDDTTTTAAAATTNTSVAAKTDKMKIIVLSLTVLSFLLSFPILFSIAWLLYLRQYDCENLLDLPKLQLVIVIGLAIVFFVSNFVVKFGSRFPMLGLLLVVVPLILMLIVGLGLEGAFDMEARTIPGTPRWLEFRVDNDYNWKDIKSCLYATTTCRELALRSYTTKSFDFTASKLSSIESGCCLPPTSCGMEYVNATFWRKEDSTLDASNLLERDCDLWKNDETILCYNCQACKDGFLKPLLGKWQNIGTFLVVMAALLSVSHLLLFISTMWEQLRGYHKSKLIAHSF >CDP06219 pep chromosome:AUK_PRJEB4211_v1:6:22975618:22976712:-1 gene:GSCOC_T00022912001 transcript:CDP06219 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVTPGYCVFFFFFLRYSLRCCFNFFYCFSVFFPCGALDVYLFLLSRVFLNFLQLLPLSLSLFPFSFASSSSLEASSQLLRFLFSKTFNLSFFSPFSWFCVETFIALIFFHFFFRFDIILQVFSSLMNSGKLDALLLLEYVFVANSDNLGAAVNLKILNHLISNKNEYYMKVTPKTLANVKGGTLISYEGIM >CDP14311 pep chromosome:AUK_PRJEB4211_v1:6:36005242:36008281:-1 gene:GSCOC_T00040630001 transcript:CDP14311 gene_biotype:protein_coding transcript_biotype:protein_coding MKETELFADMKLDSPKSNNNSSSSHTARGIKPQIDAGAFQFEEKADTYKAAEVKWEENHGGLNVLKWSLTGIGAICSFGVATVCILVFGGVRKNKQQQQQQQQNQKLQFHIYTEDKRLKRVVHHATKLNEAISAVRGVPITRARITVGGYYDGL >CDP14231 pep chromosome:AUK_PRJEB4211_v1:6:37256548:37261865:1 gene:GSCOC_T00040504001 transcript:CDP14231 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSVEEEIQQPQNKVAHGIAAFPGQAHSQLSNKANAPQTSSTEGAKSVVIVSKDVNDLRQNPVNSNLDLFTYEEMKLATKHFRPDQVLGEGGFGIVYKGVIDGNVRPGYKTTRVAIKELDPEGLQGDREWLAEVNYLGQLCHPNLVKLIGYCCDDDHRLLVYEYMASGSLEKHLFPRVCSTLTWSRRMKIALDAAKGLAFLHSAERQIIYRDFKTSNILLDADFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMLIGRRAMDKSRPSREHNLVEWARPLLNSSKKLLKILDPRMEGQYSTKVAVKVAVLAYQCLSQNPRGRPVMSQVVELLEGFQTQTGSREEEMLQSGGGSVTLYEQKNQLKSESQRDVRPPKSKQTNGRSRSEPPKECDLYSSFPDAGLGERKFS >CDP10074 pep chromosome:AUK_PRJEB4211_v1:6:14904918:14926307:-1 gene:GSCOC_T00030668001 transcript:CDP10074 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTEGGASEAVEKVLFSFMTSEEVRKHSVVKIKNPVLLDTVGRPMPGGLYDPAMGPFDEQSPCKSCGQRSFHCTGHCGHIELVSPAYNPLLFNMLYNLLQRTCFYCFHFRSSTEEVDKCVLQLERIAKGDVIGAKRLDAASSADLDNSEDSEGSHVSCGNVYHGAEEHMKQPSWDSFQFTEAMSVLNMFLKPKLSKCGKCEAKNPKIKKPTFGWFHMVVSNAETRANIIRGHRLDGFRSGGSEERSSSEVVNANDSLWEDDSQTGKTASYTVSDGIDTPVAKKSPNQPGRVGEELKKQKEAFSEHLLPSEVRDVVKRLWENEAPLCSFICDLQREQHNSAAGIAGYSMFFLDSILVPPIKFRPLARTGDAVMEHPHTNLLSKVLQSNIALGNAYINNAERYKIVNRWMDLQQSISVLYDSKTTTGQSQKAGPVGICQLLEKKEGIFRQKMMGKRVNFACRSVISPDPYLAVNEIGVPPYFALRLTYPERVTPWNVGKLRGAIVNGPEIHPGALSYTDKVSTVKLPSSKKMRIAISRKLPSSRGVVTQLGKNSEHEFEGKVVYRHLQDGDVVLVNRQPTLHKPSIMAHVVRVLKGEKTLRMHYANCSSYNADFDGDEMNVHFPQDEISRAEAYNIVNANEQYIVPTRGDTVRGLIQDHIGSAVLLTMKDTFLTYDEFNQLLYGSGVFTTGSSCTFSNYSGKISIVDSEGIIQPILPAVLKPKPLWTGKQVITALLNQLTKGCAPCSIEKEMKIPKQYFPTETYEVQQSENDDKENDEKKADNCLLVWKNELVRGVIDKAQFGQFGLVHSIQELYGANTAGLLLSALSRLFTMFLQMHGFTCGVEDLIILPHFDLRRKEELEGEDVGEDVHCEFVNFKRGQIGPLELQLEIEKVICRDREAATASLDMKMKNKLTKKASQISKELLLKGLLKPFPKNCISLMTISGAKGSTVNFQQISSYLGQQELEGKRVPRMVSGKTLPCFPPWDFTSRAGGYITDRFLSGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLESLKVCYDYTVRDADGSIVQFYYGEDGVDVHRTSFLKNLRALALNQVPVRQKYERERDFNAYIVELPDGLKRKAEGFLKGVNTDSHMDFMTLLAQKYLSSLAPSGEPVGVIAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTAAQVIKTPVMTCPFLQFKSMDDAKSLVAKVKKITVADIIESMEVHLLPLSVLNNGIGIIYKLMMTFKHHEFASSEDCKETLRHSFLRELEDAIQNHLVLLSRISGIKNIMSNTRSKGNETDEDASGVTSHEEGDDENDDGDGGKGNDDMAEDLGSDAQKRKQQATDEMDYEDITEDESTADHEQGKDGQSNDEGEIREGKEAMDVDDEHAIEMEHDNEEMSKPKSGNKNGKSTLKKRKKAEKKFVRKETDRNIFVEVEGQKFEAHFGFTNEPHILLAQIAQKTAKHVYIKSSGKINQCKMVEHDVSENTVIWDAKKVDKKIKAKKQMKANVDDLLPYWALKAAGVDFIPFWEMQDDLDTARIYSNSIHAMLETYGVEAARATIIREVTNVFGIYGVQIDYRHLSLIADYMTHSGGYRPMSRHGSIAESLSPLAKMSFETAGKFIVEAASHGMTDNLETPSSRICLGLPVKMGTGCFDLMQQLDTDINV >CDP18656 pep chromosome:AUK_PRJEB4211_v1:6:15347072:15352765:-1 gene:GSCOC_T00004150001 transcript:CDP18656 gene_biotype:protein_coding transcript_biotype:protein_coding MREKSGTFDKLESAVKSISHASTDSRSYLSGFENNSSVSTEVLVFGNSRSSLTLPSQQNAGLVTTSNELEAKFLERSGGEIYREVETAKFLESDQNSAQELLKFSSIASPTMSEHLIPVTQLKLACTTYPCPESSFWGNSHDHGQTWENGMRGKSDSGNRFLLSDDSLEHRGTLYGISDVELSGEIDSVIFLLLFDLFARSITQRLSSFRDSLVQHKLFFLS >CDP06203 pep chromosome:AUK_PRJEB4211_v1:6:22359428:22364347:1 gene:GSCOC_T00022881001 transcript:CDP06203 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPLLNRVLVEKVIPPAKTNAGILLPEKTAKLNMGKVVAVGPGYHDSQGKLIPVTVKEGDNVLLPEYGGTQVKLGEKEYHLYRDDDILGTLHD >CDP15318 pep chromosome:AUK_PRJEB4211_v1:6:3792873:3793787:-1 gene:GSCOC_T00043003001 transcript:CDP15318 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFVVLIISALLLTGSVGAMDDWKEGKEAIHVGGKVLCQDCTEGWKQWIDGAKPLQGCKVSVTCLDDRNRVMYYGSDLTDEAGDFDMIINKYINGKAVNAENCFLRLVSSPDPVCNVATDFAGGKTGVKLHRPTVVYRDVIKYVLGPFYYTTPMCDEPDATNNGDDGSSDEDAKDSNYY >CDP03209 pep chromosome:AUK_PRJEB4211_v1:6:8894386:8904293:-1 gene:GSCOC_T00041715001 transcript:CDP03209 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPPAEAASPDLMKKRKSGFRSLKLVEVDMDAVLAEEPFGVHYGRLDNGLTYYVRSNPKPRMRAALALAVKAGSVLEEEEERGVAHIVEHLAFSATTNYTNHDIIKFLESIGAEFGACQNAVTSADETVYELFVPIDKPGLLSQAISVLAEFSMEVRVSMEDLEKERGAVMEEYRGNRNANGRMQDAHWILMMEGSKYAERLPIGLEKVIRTVSPETVKEFYRKWYHPQNMCVIAVGDFPDTQGVVDLIKAHFGHKFSAAVPPVMPYFPVPCHEEPRFSCFVESEAAGSAVMISCKMAVEELRTVKDYRDLLAESMFFHALNQRFFKLSRKKDPPYFSCSAAADVLVHPCKAYIMTSSCKEKGTIEALKSMLTEVARVRMHGFSEREITVVRALLMSEIESAYLERDQMQSTNLRDEYLQHFLRNEPVVGIEYEAQLHKTLLPYITASDVSGYSENFMTSHSCVIKIIEPHATATVDDLKAVVLKINSLEKEGGISLWDDEYIPEEIVSTKPNPGSIMQQLEYSNIAATELVLSNGMRVCYKCTDFFDDQVLFTGFSYGGLSELPESDYFSCSMGPTIAGEIGVFGYRPSVLMDMLAGKRADVGTKLGAYMRTFSGDCSPSDLETALQLVYQLFTTNLEPGEEDVNIVMQMAEEAVRAQERDPYTAFANRVRELNYGNSYFFRPIRISDLRKVDPFKACQFFNNCFKDPSTFTVVIVGNIEPAIALPLILLYLGGIPRPLEPILSFHRDELKGLPFTFPSTIIREVVHSPMVEAQCLVQLCFPVELKNENMMEDAHLVGFLSKLLETKILQVLRFKHGQIYSVGVSVFLGGNKPSRVGNVRGDISINFSCDPDISSALVDLALAEILRLQDEGPSDDDVLSILEIEQRAHENGLQENFYWLDRILRSYQSRIYCGDVGASFQVQDEGRSKVRNSLRPLTAQLALQRILPFPCIKQYTVVILMPQASRWKRLKSFIRSGRKHYGRDAKFLAGIAGLAVLGLSLWRYSRSTQRS >CDP15437 pep chromosome:AUK_PRJEB4211_v1:6:4735687:4738995:-1 gene:GSCOC_T00043169001 transcript:CDP15437 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNTQRSAIPIIIKSLCWLVLWFGLPLPDINSHVSPRSLKFIKNVHGKPEVDWQHCEQWRPLPLHFNISHTSSLIACGVTVDSPIGIDVEKKNRRLKHNVMSFAQRYFSKHEVQVLSAISDPQLQQQEFIKLWTLKEAYVKALGRGFSDAPFKTFTIRIRSANKGSFAGNSNFEASEIVVDSFDDSMDLTSDWKFVLMDLAGSHYAAICTKKDSSIQGIQITPTKLTVWKTIPFVHDECVSGTAAVVIISG >CDP10502 pep chromosome:AUK_PRJEB4211_v1:6:6038566:6043220:1 gene:GSCOC_T00031249001 transcript:CDP10502 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVQDRFPLDPKCPFFPYNSLSHPPLRCSSPQQFRQIIRATSAVALEPEAQIESKEGLKIDLFACPICYDPLVRKGPSGFNLPAIYRSGFKCRTCNKTYSSKNIYLDLTVTAGSKDYNEFKPAGTELFRSPLVSFLYERGWRQNFNRSGFPGPDDEFKMAQEYFQPVEGGVLVDVSCGSGLFSRKFAKSGTYSKVVALDFSENMLLQCYDFIKSDDSMLNSNLALVRADISRLPFPSGSVDGVHAGAALHCWPSPSNAVAEINRILRSGGVFVGTTFLRFSSSTPAFLRPLRQAGRNYNYLTEEEIEDVCTSCGLINYTSKVQQSFIMFSAQKP >CDP09573 pep chromosome:AUK_PRJEB4211_v1:6:20948189:20953416:-1 gene:GSCOC_T00029000001 transcript:CDP09573 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYITYQDNGQIPSSVGNLKNLESLDLSSNQLTGEIPENISSLTFLSFLNLSHNQLVGRIPGGRQMQTTLFRVNIRDHRDNGQIPSSVGNLKNLESLDLSSNQLTGEIPENISSLTFLSFLNLSHNQLVGRIPGGRQMQTFLESSFEGNSGLCGFQLNRTCNGDRDPALPDSQLEEKQLYSKTKIYVSVAVGSLVGLAFFFGPLWLSKRWRICYNKNVV >CDP10530 pep chromosome:AUK_PRJEB4211_v1:6:5798502:5800348:1 gene:GSCOC_T00031286001 transcript:CDP10530 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSCNCESICKEIHDSWGQPAHLIQHLAGLTQLECRKTRETYVKIYGEDPAQLLLQSSESSSQGTGVSQRTSAALSMLMLNPHERDAIVAREAFEQNDTVNYKALIEIFTCRKSSHVLLIQAAYHAKYKRQLDQDIISIEPSHPYQRILMALSASHKALQADASQHIARCDAMRLYQAGEGKPGAVDEAVLLEILSKRSILQLRLTFSAYKHIFGHTYTASLKNEKSGEFEDALRVVVTCICNPPKYYAETLYACIKGTATDKGALVRVIMSRAEVDMNEIQKVFKKKYGVELMIAMSESLPSGDYGELVLALATKTCRVPDGSV >CDP10567 pep chromosome:AUK_PRJEB4211_v1:6:5517879:5521349:1 gene:GSCOC_T00031328001 transcript:CDP10567 gene_biotype:protein_coding transcript_biotype:protein_coding MESENGVQVEDEKSGFVGMRTNGGTGSVQDVKEDGSVKNNEQASDENGFSEMKAKVTIKISGSGTELSKTNSNVNTKNSRTGSKHNSLKKNKNTGNQDSLGASALHARKTKASLTQSLSFPARVSHPDGMTRSTDALTAKLGSRHSQTVGVKAEAARSNGTLSSSARLNQVSKGVATNAGGTISKRTSLGSLPCLRQSLSTKSVSANGIAKKAISEESPDQNPKSIRKGEDDARSTASSSTTPRGQRRASVAGFSFRLEERAEKRKEFFSKMEEKIHAKEAEKSNLQAKSKESQEAEIKQFRRSLTFKATPMPSFYKEPPQKVELKKIPTTRPVSPKLGRAKSSINESSENGATCPGNAAVTDKGKSPKDFQANGDKGSSASKKQLKRFPSKSQNREPLAAKAEGKSGKIKVQPTEGKACTENSEDIQNESTVHSLELAGEVEMEADKNSAED >CDP14145 pep chromosome:AUK_PRJEB4211_v1:6:18120042:18124907:1 gene:GSCOC_T00040382001 transcript:CDP14145 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLKEFNVPAKHGSEEELSLWRNHVTPVKNSRRRFRYVANLDKRSAVQEQKAKIGEKLQACFVLYMEELKSTDAGDVLYEWAREAEETLQEDKEKEFLNEASAAGCGSESSQLPLPMISSTYP >CDP10123 pep chromosome:AUK_PRJEB4211_v1:6:14056410:14060736:1 gene:GSCOC_T00030739001 transcript:CDP10123 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVGAETQEFHSMKLDDKQIYNVKLKVKMVDDTKKGLRYFQVQSTLSSGEEGAAVILSGFPLRSPKGDCNVDSQVILKSNSPYILKDYYLVEQSDRIWLLARGFEHSLSLTICEYLNLILYILYRSITRGMIVLHDISCGHGNLQEGIGITHDYKAKLFGMVPKDTLKSTLKADGDQLKMLITQVLNVVGMEYSQLPTRDLRDLYDNLQFASNWLGPQFRWQLDIPYFKTSTERIKFVRLIHERSKRLQSFPLTCLTDHMESYMGCTWKDALEGPNDPLSVGFRTIAAGTSRICAFGDRLHDLVFFVIYIYTHYNEEHKNDPRKFQSIHALEGKVATCFPDFMGALRSALAKTYTVEPDRWEVLEKFLSIEKVKELT >CDP06700 pep chromosome:AUK_PRJEB4211_v1:6:2713722:2716800:1 gene:GSCOC_T00023635001 transcript:CDP06700 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSQGGTPASPGGGSHESGDQSPRSGVREQDRFLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKVYLTRYREGDTKGSAKGADGSAKRDGVQLGPSPQLAHQGSFSQGMNYGSSQVSLSLSLSFTCT >CDP03214 pep chromosome:AUK_PRJEB4211_v1:6:8860138:8864061:-1 gene:GSCOC_T00041721001 transcript:CDP03214 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRIFGKPKQETNAVTTLDKLNETLEMLEKKEKVLQKKASAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALATPIGAAADFDEDELEAELEELEGAELEEQLLQPAINTPAAPVHAPTPAGRQPARPAPQKQSAEEDELAALQAEMAL >CDP10433 pep chromosome:AUK_PRJEB4211_v1:6:6646518:6648552:1 gene:GSCOC_T00031157001 transcript:CDP10433 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPIRGSIIQRIFKLVYERHGAVTRKNNEWQAKLPIVVLKAEEIMYSKADSEAQYMDLETVWDRVNDAIDTIIRRDESTETGELLPPCVEAALNLGCVPERASRSQRHNNPRTYLSPRTQEGGYAASKVSGGNTTDVRNRSLLPPYSGNQSNLERSTGSLEPLVSESNRHLVPNVNSPSTSSSKNLNFPTDSQIAWKDGKASFNVGSVYPLYYGTSLQPDIPRLGSQEPHVSKDIIVGRPIFASVGKPAEIGCIRTLLANEANSIAPKQADAGERITEEPEVECDLSLRLGPFSNSILCRGKGTKPSVNDKIDPANPSEMGEVKVVSSSSSSREKDFSLFSLEAANDPSSFCLGRYNVEGEGRQNVETFLRKRKLPFGSSSADNRQILWQLKPTPHHFADQMKRQTS >CDP06517 pep chromosome:AUK_PRJEB4211_v1:6:1395689:1396916:1 gene:GSCOC_T00023398001 transcript:CDP06517 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSMEEFWLFYMNQHSKPATRRWHFVGTLCSIICLIYSMLFNWWFVILVPVLGYGLAWYSHFFVEGNVPATFGHPFWSLLCDFKMFGLMLTGQMDREIKRLGKRPVLQAY >CDP06189 pep chromosome:AUK_PRJEB4211_v1:6:21872279:21878965:-1 gene:GSCOC_T00022860001 transcript:CDP06189 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPIEPPRHLSENPIIPSDNEPALSTTQTGKTPKFPFYSPSPLPSGFKNSPANSSVSSTPLRLFKRPFPPPSPAKHIRALLARRHGSVKPNEATIPEGSECEIGLDRSFGFAKNFVSHYELGEEVGRGHFGYTCSAKGKKGSLKGQDVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHKNLVQFYESYEDEDNVYVVMELCKGGELLDRILSRGGKYSEEDAKAVMVQILSVVAYCHLQGVVHRDLKPENFLFTSKEEHSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSADAVDFVKRLLNKDYRKRLTAAQALSHPWLAGHHDLKIPLDMIIFKLVKAYTCSSLLRKAALGALAKTLTIAQLTYLREQFTMLGPNKSGYVSVQNFKSAVTRMSTDAMKDSRVLEYVSLVSCLQYKKMDFEEFCAAAISVHQLEGMESWEQHARRAYELFEKDGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRTFQKA >CDP10506 pep chromosome:AUK_PRJEB4211_v1:6:6001850:6005826:-1 gene:GSCOC_T00031253001 transcript:CDP10506 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQDSEQVWDGMENCSENQENSKAWPLHSPLVFSPSEDDTSPQIDNWEKGTILDHVPLGNSFPLESIGEDTAFTERKQISMNNFFPAVRSGECSDIGRRPDMEDTHICIEDLAKKFGCQKIGEEAISLYGVFDGHDGKDAAQFVRDQLPRVIVEDADFPLELEKVVTRSFLKTDAAFANSCFLKLSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGVAIEMSKDHRPSCMNERMRIESLGGYIVDDEYLNGLLGVTRALGDWHKGLKEGDKGGPLTAEPELKLLTLTKEDEFLIIGSDGIWDAFRSQNAVDFARRRLQEHNNVKQCCMEMVNEAKIRGAVDNLTVVIVCFHLEPPPSITVQRTSFRRSISPEGLQNLKILLEG >CDP10428 pep chromosome:AUK_PRJEB4211_v1:6:6677067:6682441:-1 gene:GSCOC_T00031151001 transcript:CDP10428 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQFCVRFISLLLAFNLFTGNAKLTVRAFTGTYGINYGRIADNLPPPDKVAKLLRAAKIKNVRIYDADHSVLQGFSGTGLELIIGLPNDHVKEMSTNVDHALTWVKDNVKAFLPDTRIVGIAVGNEILGNGANSDLWGSLLGAVKNLHNATQKLGIDDIVQISTAHFSGVFDNSFPPSNCTFKESVSQYMKPLLDFFSQIKSPFCLNAYPFLAYTYASDKIDVNYALFQPNEGIHDQKTNLHYDNLLDAQIDAAYAALEDAGYKKMEVIITETGWASQGDQNEPAATQNNARTYNYNLRKRLAKKKGTPLRPKTVLKAYIFALFNEDQKPGAASEKNYGLYKPDMSISYDIGFPGLKSSSAVSLKEIQGGRRFGSKTLVLAVSSVVFLLIL >CDP06648 pep chromosome:AUK_PRJEB4211_v1:6:2338375:2339301:1 gene:GSCOC_T00023569001 transcript:CDP06648 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKAEKKPAEKKPATAEKKPPKAEKKIPKEVGDGKKKKKMKKSSETYKIYLFKVLKQVHPDIGISSKAMGIMNSFVNDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CDP06572 pep chromosome:AUK_PRJEB4211_v1:6:1791010:1792232:-1 gene:GSCOC_T00023472001 transcript:CDP06572 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSIDPQNQRMIIRPPSFIPSTCLSTDLNSEGIHLALPFNITSSNTVLLLNCTDRGSQTAHFVRVHEGGCLAYQSFVNLDPSLPVADWPAPGMELMWVTPKEPPCKSDVDCVQLPYSRCLAPDRANVSQKRCVCTTGRYWDSSSGYCESYPPPEQISRSKRSVKFLSISALGAILQLILCGIVAYERRQLLKRRAKKF >CDP15349 pep chromosome:AUK_PRJEB4211_v1:6:4020885:4022013:1 gene:GSCOC_T00043044001 transcript:CDP15349 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVHKDKEKKEKVEIRADCRAEEGRKHVERVQVKTRDVDTLKYIERKLIDKGVQRMERHPADGLPLKHDPKKGHGGKYSWEGPDEEFMNELQAAPPAIDEKDPNYVDEEAEARLVKQGESAAGDDDDEGGVVVGEVEAPKLAEEGVARIEVHPHSTG >CDP06714 pep chromosome:AUK_PRJEB4211_v1:6:2800976:2806373:-1 gene:GSCOC_T00023652001 transcript:CDP06714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-9 [Source:Projected from Arabidopsis thaliana (AT5G03070) UniProtKB/Swiss-Prot;Acc:F4KF65] MFFLIRFRLSFFLFPPLKKLRRKSLLFCFGNVAAQRRRQHAITVAKERREAVVRTKRLCRVGVGGGGDDQLTYDDDGDGGDMMIDAEQSILEAQTCSAVQDLKLAMLFQGKGAMQKRVAALREVRRLLSRSEFPPIEAAIKAGAIPLLVQCLSFGSPEEQLLEAAWCMTNIAAGKPEETKELLPALPLLIAHLGEKSSLPVAEQCSWAVGNVAGEGEELRNILLSQGALPSLARMMLRNKGSTVRTAAWALSNLIKGPDPKAATELIRVDGVLEAILQHLKKADEELATEVAWVVVYLSALSHVATSMLVKSDLLQILVERLGMSNSLQLLIPVLRSIGNLVAGDAHVASTVLVIGQSITDSILPALIKCLESEHRVLKKEAAWVLSNIAAGSVEHKKLVYASEAVPLLLRLLVTAPFDIRKEVAYVIGNLCVAPSEGSGRPKLIADHLVFLVSKGCLPGFIDLVRSADVEAAKLGLQFIELVLRGMPSGSGPKLVEKADGIDAMERFQFHENEELRCMATKLVDKYFGVDYGVDDE >CDP03110 pep chromosome:AUK_PRJEB4211_v1:6:9687353:9688367:-1 gene:GSCOC_T00041598001 transcript:CDP03110 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLHFIITLVIILVTIGFRWWWQVTSSHRINLLGKEASGSRASSPQFAALAKQSRASRI >CDP10621 pep chromosome:AUK_PRJEB4211_v1:6:5041103:5046303:-1 gene:GSCOC_T00031394001 transcript:CDP10621 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDNIKLMDTKTRANFRLCNVAGYKTDLLEIHAQNPAFHVLFIPGNPGVVSFYTDFLESLYELLGGGASVTAIAHVSHTEKNWEHGRLFSLQEQIEHKLNFVEQELEGVEVPIVLVGHSIGAYISMEMFKRSPDKVRYCVFLYPFLAVNSKSSTQSLIRKISASPLICAVLSSIAGMVPIWTSKFLVTKTLGKPWSSSAVDALCTHVLQYHSMRNVLFMAMTEFKELSETPDWDFIREKRGQIAFLFGIDDHWGPLHLFEEISKQVPDAVLAVERQGHSHTFSCTEAGSLWVAQHVASLIKNHMLKSSM >CDP10144 pep chromosome:AUK_PRJEB4211_v1:6:13822282:13827420:1 gene:GSCOC_T00030762001 transcript:CDP10144 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLGKIVSACCGPLSQYVRMNRDDNSNRDINNEDASITMLGEEDDPSIWYKDLEKHFCGEFSFAAAQANRVMEDHGQVETGKNATFVGVYDGHGSHETARFIGDNLFYHLIKLAQENGTMSEGVICNAFSETEDGFLSIVKQLYPVNRLVASWGSCCLVGVIWEGKLFVANLGDSRAVLGCVGQKMLSCLCQSKRVTAKQLTKDHNANMKEVRQELHASHPDDPQIVLQKFNVWRIKGIIQVSRSIGDAYLKNPDFALDESYPRFHLPEPLRRPVLRADPSICTRILQPSDKFLIFASDGLWEHLSNQEAVEIVHKNPREGIARRLLRLALQKAAAKVNRSYDELKGCDQGKRRLWHDDITVAVVFIDYEMLNNKAPVPERSFRAFVDSIAPSKFKILQGNDVNPESVE >CDP10450 pep chromosome:AUK_PRJEB4211_v1:6:6468256:6474608:-1 gene:GSCOC_T00031179001 transcript:CDP10450 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRDQLEEIKAMLGARNSSSNPQKPHKSNAYSTLLSLQEQATTTNDDSSSFIQLLGDSANILVSSFGTDILDNDEEIAAQALKCLGFMIYHPSIVPMITGDNADMILESLFKVITTTRIKSVCNLGVWCISIQQFSAAILDSHFDSLLRIIIYALDNPIGSISITFEAMQSVIKLATLWGEKMRHVSNVWAPPIYRRLVSADKREREITERCLLKVRYLICPTPVTLSKTILLDVKKKLLPAMKELLNLGLKIETMRAWGWYIRFIGPSAMKSKDLVNEMLKLPEQAFSDSDPQVQIAALVAWEAMIDALVLLPVQASETDAIQICDKPRVKISSWDSYQTDGDRYLKKLKLIMTPLIGITSSKCHLSVHVACLNTWSYLLHKLETSISSDLVIKSVWEPILELILRHGPDNQSVWLWNICLDLLDAFTSARTANSNGGMHNLEKSRLLGKSSACSRKQYAIKWSPWNLSQLDFFIKMIDIVINQASNAAVSLEFRKLAQNAALKLFRSLLRAVQGAVKCISVPYDEIMLCLNTTFTFLKKICEKVTSEDNCFVDFSHASLQLLELVAEEIEPLILESPLYRTALDLKCLDELEPVCKFRSSCEPGLWLISNMDMVSPIVYLSVLYFSVAVKLTSKAADCKSITDRMCRHVKLSLCSHGTLDILSVCVGLLYKYEAFDCLGIWKGLANGLKDYLDDKRNPSLFKMGSKNHGCAVVLHLLSYPFAACSHLQSQQRLQDIIEVWRQLYVSVHRDSPLQCSTDLNFSENLFAILDEYLNDITVTIDSRAKFQQRDKNQDFDMLLLIGNVISCVMEQFVSEVRFIRSTMSNGNNRTSSIFKTSLGFALRFLKLAFAEKETIFLTINFRLFSTLIQFADSLQLKEDIVAFIEAMTSPLLEWLSLVAVNDQKTTDQLQLLWTKVLNSLRKSRPSIKFDSEFLKFQSSLLEKTLDHWNVTISENTINFWNSTYGEQVLLEYPHNLLPVLDKLSRNGKINICKSVLAISGKNGSGVTSNISPQRYSVTARVNSCSKRVELVGDAVNDLQGENKLHLRSKRKRPDLTEHQKEVRQAQQGRARDCNGHGPGVLTYTSADFSQTNEESQESQGIRDADSILEMLRSVP >CDP03149 pep chromosome:AUK_PRJEB4211_v1:6:9309553:9313245:-1 gene:GSCOC_T00041646001 transcript:CDP03149 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKDNFNVSDLTSALNAEDRADLVNALKNKLQDLTGKHSDVLENLSPVVRKRVEVLRGLQACDLISNTSEHDELEAKFFEERAALEAKYQKLYQPLYAKRYTIVNGVDEAEGATAEAAKEDETTSEDKGVPSFWLTAMKNNEVIAEEISERDEAALKFLKDIKWARIDNPKGFKLEFIFDTNPYFKNSVLTKTYHMIDEDEPILEKAIGTEIEWHPGKCLTQKILKKKPKKGSKNAKPITKTEQCESFFNFFSPPQVPEDDEDIDEDAAEELQNLMEQDYDIGSTIRDKIIPHAVSWFTGEAASDEFAEIDDDDDEELDDEEEEDDEDEEEDDEDEEDDEEDDQPKNRKKKSGRVPIGDGQPGERPPECKQQ >CDP14170 pep chromosome:AUK_PRJEB4211_v1:6:18397197:18401612:-1 gene:GSCOC_T00040419001 transcript:CDP14170 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKQKFIHFFFTLLFLSSHLLLVTCKNADDSEVEDESPFTYEEGTGKGPKNWGHIDPQWQVCDKGKLQSPIDLLDERVQIFPGLGKLKRGYKPAAAVLKNRGHDISVEWRGDAGKIVANGTDYKVLQCHWHSPSEHTLNGTRHNLELHIVHRSSSGGIAVVGILYKIGRPDSFLAKLLHHIKRADEGDAIDLGVVSPGDIKFGSRKYYRYIGSLTVPPCTEGVLWTIVKKVRTVSREQIRAIRDVVHDGFEANARPLQQENGRPVYLYRPIA >CDP03302 pep chromosome:AUK_PRJEB4211_v1:6:8043168:8051275:-1 gene:GSCOC_T00041841001 transcript:CDP03302 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLILLSPTTSGRLPDINPTRDSAQVLSQHPATTPGATAMSAADAPAEILQQTTAYLSEVLSQSDLRHRLFSTFLQKLQPSEEVTLKPLKLASETLENALTTTNPSIKSSSLHLAEKLLLSYPKNSFSSFLLSLIYSLFNRSADAAISLFDVFQTNPSLTRLEIAPVLFEELFLIHFLPILEWYNEQRSRILSNVSQNLASGYDSDEQSVVVSPTRLLKNMSRNQTLELKDLERGYEDILDENCKVFAGYFREVLQIKDGNQLIDPPVVVLQIDETETLDCQEDDKLKRDHSMKNGRYNPIWAEGDESVEFNSSSRGKSFSTFPSFYPERVSPNVLTKQGSTRKSKSPRNFNFDSELESFSNDNLSNCYSSGSEAEEEENIEKVASFNSRASRESQTRKEKQTNNAESSGHPDPLMEDMDNQPGSGKLTPPKDFVCPITTHVFDDPVTLETGQTYERRAIQEWIDRGNSACPITRQKLQSTMLPKTNYVLKRLIASWQELNSDTVPGQSETLQPLDEPKFVPVIRSASPNCVISQATIEGKLSELRVAITNLCTSEVLEDSEMAVLLIEQFWQESQIEMDVQNMMSKPAVINSFMEILFNSVDPRVLSATVFLLSELGLRDNNVIQTLTRVDSDVECIASLFKRGLLEAVVLVYLLRPSAVSLAEMDIVDPLLTVLKTRELDLLKMCMKQKTATVLLLGQLLNSGEEVRTSEIARDLISENGIEYIMGSLDAEVREEKISAIVILLRCMLEDGKCRNMIADKAELCPILESFVGANDEDFYQIVQFLSELVKLERRTFNEQILHIIRDEGTFSTMHMLLIYLQAAPQEQCPVVAGLLLQLDLLAEPRKMSIYREEAIDALISCLKNPDCRSAQIAAAETIVSLQGRFSHAGKPLARDFLLKCARVNRNKWMKRDQLGSIPDEIQENMEEDNSAEKWEKKMAFVLVTHEFGIIFEALAEGLRSRYAELSSACLVAATWLVHMLSILPDTGVRGAARVCLMKCFVSIFKSSKDNEDKLLSMLALNSFICDTEGLQDLAVHMKDILKGLRELKKSSAFAVQMLKLLSEEHESSADMWNHKELLSEDCSINGEVLSVICFKDKIFSGHSDGTIKVWAMKSGNMHLVQESQEHTKAVTSLAILQSVERLYSGSLDRTVRVWAIGGKVMHCEQVHEMKDHVNNLLVSNSISCFIPQGAGIKVHSWSGASKSLNPQKYAKCLTLVQGKLYCGCHDNSIQEIDLATGTVSTIQSGSRKLLSKASPVHALQADDGLLYSASSPLDGAAVKIWSTSNLSVVGTLPSTSDVRSMAVSSDLIYLGCKGGLVEIWSKKKLSKVETLQAGTNAKVLSMALTRNEDMLAIGTSDGKVQAWELS >CDP14256 pep chromosome:AUK_PRJEB4211_v1:6:36793547:36793759:1 gene:GSCOC_T00040546001 transcript:CDP14256 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGRLIAGSHNRNEFVLINTDEIGRVSAPQICLSLSLAVLVSNFGVFFGFSPTFLVSGVSPLLSFFFF >CDP06516 pep chromosome:AUK_PRJEB4211_v1:6:1385085:1391601:1 gene:GSCOC_T00023397001 transcript:CDP06516 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERFKVVALVASIMCLCNADRVVMSVAIVPLAAQHGWSSSFLGIVQSSFLWGYIFSSVIGGALVDKYGGKRVIAWGGALWSLATLLTPWAANHSTASLLAVRAFFGLAEGVALPSMNTLLSRWFPSHERATAVGISMGGFHLGNVVGLVLTPLVMSSVGISGPFILFSSLGLLWLTTWVYRVTNDPQDSSTIRKSELRLIQAGKSDSSATKGKLPPLGLILSKLPAWAIILANVTNNWGYFVLLSWMPVYFKTVFGVNLKQAAWFSAVPWGTMAISGYIAGAISDYLIKAGYSLTLVRKIMQSIGFIGPGVALLCLNFAKTPEVAAVFITVALSLSSFSQAGFLLNMQDIAPQYAGFLHGISNSAGTLAAIISTIGTGFFVQWLGSFQAFLTVTACLYFVTTIFWNLYATGEKVF >CDP14116 pep chromosome:AUK_PRJEB4211_v1:6:17715759:17724076:1 gene:GSCOC_T00040343001 transcript:CDP14116 gene_biotype:protein_coding transcript_biotype:protein_coding METFSNATDICQQLLHRYGNSAAPQHRHLCATAAATRSLIQSESLPLSPFSYFAATISTLSDQTELDPDAFAALSSFLSILLPLVPENAISPDKAKEAVEVVVEKINSDVNEVAPGNASARALVKSLGVLLGFCNLEDWDSVQLGFNSLLKFSIDKRPKVRKCAQDCIGAVFKSFKSCSVIKKASKSVNSLLKDHMPVAIKISYLKAADESKKDIMSKPEHQEVLYTLNMLKSIVPYLSVKSSALAQHVFEILQIMFETSSSEVIAPEAENIVKSLVSYISSQDKNPMDSVLFAATSLKDLINKIHASEMTDWINHLPSVIGSMAGLLRSEASALQASNILQELINIHIDGKVILAIQGQFEDDKAIFIERTAVKSICGVFEDLLTAQVGIPNEQLLQVISVLFLKLREVSDVYLKSIVLKLAQMMTNDSGDSDTIHLKKCIGSAVIAMGPEKLLVLLPIALDTKDYSCSNTWLIPILKEYVVGSSLGFFMEYFVTLADSLQQESRKVKKSEIVKDLETYACNCWELLPSFCRFPTDTYQNFGALAKHLIPCIKDPPMLQIVAVALKELVDQNKKVLASDELPGAKSSKMENPVQELKNDQIYSKKIASKNMRALASWSQILLQALIDIFFDLPPDRLEILKDAIGCLASITDPLTTKKIFISSLERLQLIKDLADSGEEEFHIKASVDNDETNATSREKDTESYCTPDNGPAISCWSMYPHFI >CDP14274 pep chromosome:AUK_PRJEB4211_v1:6:36483054:36487237:1 gene:GSCOC_T00040572001 transcript:CDP14274 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKLTEYERRRLENIKRNDQMLAALKIHSKLSQLSESTKRPRAQTKSYKVSPEKKHRTETPIVLRRSLRTRGVAPDSSTAGGLKDDFDESQSSISRKNPNSDSESPLTKRAYERGPIRMRDAYRTDASDRKLIEAILDCSRKSRLSESNNELDDTIKGMEGNERLGSLKIGRKVWGSIDVDAMKLEPDNIARVVPGRILNLRFLPTTDARIVVVGNKFGDVGFWNVDADAEDGDGIYLYHPHPAPISGMVCNPFSLSKMFTSCYNGFIRLMDMERELFELVYAGDHAVFSLSQSPNDMNSLYFGEGNGQLRVWDARTGKSSSSWGLHQKRINTIDFKPQNTNIMTTSSTDGTACIWDLRKVGVNGSTALKSIRHERAVHSAYFSPSGRFLATTSADDMVGLFSGDTYQNMTMVYHNNQTGRWISSFRGIWGWDDSYVFVGNMRRGVDIISTTGKDVTATLQSEHMSAIPCRFDAHPFEVGTLASATSGGQVYIWRPS >CDP06751 pep chromosome:AUK_PRJEB4211_v1:6:3175113:3178892:1 gene:GSCOC_T00023706001 transcript:CDP06751 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPLLDISSVVDQEFLDKYDIKLNDAILAEEKHLPMYEEMASKFNVEYIAGGATQNSIRVAQWMLQIPAVTSYMGCIGKDKFGEEMKKNSAQAGVNVHYYEDESAPTGTCAVCVVGGERSLVANLSAANCYKSEHLKRPENWALVEKAKYYYIAGFFLTVSPESILLVAEHAAAKNKVFMMNLSAPFICEFFKDVQEKVLPYMDYVFGNETEARTFSKVHGWETDNVEEIAVKISQWPKASGTHKRITVITQGADPVVVAEDGKVKLFPVIKLPKEQLVDTNGAGDAFVGGFLSQLVREKPIEDCVRGGCYAANVIIQRSGCTYPEKPDFN >CDP03040 pep chromosome:AUK_PRJEB4211_v1:6:10271343:10278197:-1 gene:GSCOC_T00041513001 transcript:CDP03040 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILRTPSLGSGSKRSSYSRSFDLPDDSQDYGFVGGAMLPVFLNDLRRNANNPGQDLVEVTLEVDDNSIVLYSVAPAGERNSELASPQNANGFLSRSSSALRRKFFWLKSPASSSGASSEVGDQCSTTLVADKREEMKMKAKLMRTKSSAQRALKGLRFISKTTRDCDATELWRKVEERFNSLAKDGLLSREDFGECIGMADSKEFAVGVFDALARRRRQRIGMITKAELHDFWLQISDQSFDARLQIFFDMVDSNEDGRITRDEVRELIMLSASANKLSTLKERAEEYAGLIMEELDPENLGYIELWQLETLLLQRDAYMNYSRPLSQASVGWSQNLGNFRPKDAVRRLRCALKCLLLENWQRAWILLLWLMAMAGLFTWKFLQYRRKAAFQIMGYCLTSAKGAAETLKLNMALILLPVCRNILTWLRSTRARLFIPFDDNLNFHQIIAGAIAIGVAIHAGNHMVCDFPRLVNSPPEKFAVIAADFNNVKPTYKSLLTGAVGLSGIGMVILMTIAFTLATRLFRKNVVKLPFPFNRLTGFNAFWYSHHLLALVYILFLIHGSFLFLVHKWYQKSTWMYISVPLLLYVAERSLRTCRSGHYAVKILKVSVLPGDVFSLIISKPNGFKYKSGQYVFLKCPTISPFEWHPFSITSAPGDDNLSLHIRTVGDWTLELKRVFTDVNASSRAIGRAKFGELGNIDKNGLPRLLVDGPYGAPAQDYQNYDVLLLVGLGIGATPFISILRDLLNNTKTEDHGDSTTETSTSDESMTSIGSSSTTSSVKKKPQRAKSAHFYWVTREPGSFEWFKGVMHEVAEMDHKGQIEMHNYLTSVYEEGDARSTLITMIQALNHAKHGVDILSGTKVRTHFARPNWREVFKRIAMKHPYSTVGVFYCGMPVLAKELKNLSHELTYKTSTRFEFHKEYF >CDP03403 pep chromosome:AUK_PRJEB4211_v1:6:7192866:7194707:-1 gene:GSCOC_T00041976001 transcript:CDP03403 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFVVLRRNFTIFIPVIEWFPTDLTLIYGSKDESLIRSRSYNSIWPSRYSCLYVSASTSIQRFQIAQSRWISCVQHLQFNCSQNEGVVEKFLSQNKSAGLLEIDCARTWPCKSGQIPKTLRFDPNTSCTSFPIANLVF >CDP10122 pep chromosome:AUK_PRJEB4211_v1:6:14121777:14124860:1 gene:GSCOC_T00030737001 transcript:CDP10122 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNCGRLPPPRFRGICPEDPDAFLLVPIAPFKYATVWSPDLKEEPCDVRLSVFTVSPPASPRLNSTTLRARFEVSDEHLPLGLPLNVRVLAAVAVLPASLSRHDLSMDAVLTEASVEKLWWWRLVGLKEGGMGLEATPSW >CDP14259 pep chromosome:AUK_PRJEB4211_v1:6:36761007:36777083:-1 gene:GSCOC_T00040551001 transcript:CDP14259 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLRFNRSFSFFFLLILLLGNGSYGSRVGQRKSGRSSVFSLFNLKEKSRFWSESVLRTDFDDLESSKPDKESAANYTKAGTIGHYLKLFEVDAMYLPVPVNFIFIGFEGKGNQAFKLHAEELERWFTKIDHVFEHSRIPQIGEVLTPFYKISIDREQRHHLPLVSRINYNFSVHAIELGEKVTSIFEHAIDVFGRKDDVLDTRDDVAGLWQVDVDMMGVLFTSLVEYLHLGDAYNIFILNPKREGKRTKYGYRHINLLKENKALQSRIFQSGSVPDSVLALEKIESPSHEKRTMAKFSWTITEDTDTNELESLCIILKSTIVLVGNGPTVHYNLSVGRYVSATRLKTSYKYLVESEVLLAIISWSLSRLLGNVVEVILLILIRIKHSVRILVLKKYIQIQHCLTSSVDGFSFIKHSKHLNVRKGSISTLFYIFLLSIAYPFFVGKTTHSWRVVSFLVEWYNHCLDALNNIERQYQGKDTADIIQSKILQSLRGKYEDLKLLFEKNIKSGDFNGFHAECLTDAWIGKDRWAFIDLTAGPFSWGPAVGGEGVRTDLSLPNVEKTIGAVLEISEDEAEDRLQEAIQEKFAVFGDKDHHAIDILLAEIDIYELFAFKHCKGRRVKLALCEELDERMQDLKNELQSFEGEEYDESHKKKAIDALKRMENWNLFSDTYEGSQNYTVARDTFLAHLGATLWGSMRHIISPSLADGAFHYYEKISFQLFFITQEKTRNIKLLPVDLKSLQDGLSSLVVPSQKVMFSQQMVPLSEDSALAMAFSVARRAAAVPLLLVNGTYRKTVRTYLDSSILQHQLQRLNEHGSLKGSHAHSRSTLEVPIFWFIHGDPVLVDKYYQAKALSDMVIVVQSEESSLESHLQCNGQSLLLDLRRPIKAAMASVAEHLAGLLPLHLVYSQAHETAVEDWIWSVGCNPLSITSQGWHISKFQSDTIARSYILTTLEESIQIVNSAIHLLVVERTSEQTFKAFQSMERELVNKYNYVVSLWRRISTVTAELRYDNAMGLLNTLEDASKGFADYVNSSLATLHPIHCTRQRKVKVEFDVTTVPAFLIVLVVLWVILRPRRPKPKIN >CDP03369 pep chromosome:AUK_PRJEB4211_v1:6:7526602:7535519:-1 gene:GSCOC_T00041927001 transcript:CDP03369 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSSQPQTHVVQTVSISYTQLKDKGADLSTKIEEGFGPNGLGILSIADVPGYSSLRQSLLHLSPRLAGLSDDQKRELEDPDSRYNFGWSHGKEKLESGKPDTLKGSFYANPVLDKPTSDPALIQRYPSYCGANIWPRSALPDLEPAFKALGKLILEVGMLLAYHCDLYAFQGMKMLEDEGLEQILRRSRCHKGRLLYYFPAKHSSCSQDGELMSSWCGWHTDHGSLTGLARAMFKKDAVEISCPDNAAGLYVKSRGGQLVKVVYGEDEIAYQIGETTEILSRGRLCATPHCVRAPLGEAAFGVDRSTFALFMQPDWDEKLKFPEVVHIHQELIPSRGSLTFGEYTEKLLDKYYHLKM >CDP02987 pep chromosome:AUK_PRJEB4211_v1:6:10887047:10891500:1 gene:GSCOC_T00041437001 transcript:CDP02987 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQFSSWFSFFFFCADQILFPTSVIKVVLFEDKKGELKVILNRPKQLNCLTYEMFCQMLKKLGDYEEDPNARLVILKGNGRAFCAGGDVKSVLSFMTTGHWSFGASFYRKQLNLDYKIGTYKKPVVSIIDGIVMGGGAGLSLNSTFRIVTENTVFAMPEAAIGLFPDCSASHFLSRLPGFLGEYLGLTGSRLDGAEMVKYGLATHFVLSKDLASMENALDSLAASGSVDLSSISKTIHKFVHGPHLKKDSVYKRLDAINECFSKDTAEEILSSLEELAAKNEEKWIVHAIKSMTSVSPTSLKIFLRLIREGRTQNLKECLVREFRASCHILRRTVNSDFYEGGRALLVEKGRQPQWMPSKLDLVSDEMVGKYFCEVDNDDDWEPLHLPPRPAPAYFAPMLSCDARNFLVIYIDL >CDP03231 pep chromosome:AUK_PRJEB4211_v1:6:8735485:8738551:1 gene:GSCOC_T00041742001 transcript:CDP03231 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEDLDEIENESETAIDRRMIARVDAKRALVGAGARILFYPTLLYNVFRNKMNQAEFRWWDQIDQFLLLGAVPFPKDVPRLKQLGVGGVITLNESYETLVPTSLYRAHAIAHLVIPTRDYLFAPSFFDINRAVEFIHENASVGLTTYVHCKAGRGRSTTIVLCYLVEYKNMTPASALEYVRSRRPRVLLAPRQWKAVQEFKEWRLACAGQSPSVDAVLITKADLEGYQSSGDDTSKELVIVPKMRSRPMIARLSCLFASLRVSGGGVPVIRQLTEARAC >CDP06571 pep chromosome:AUK_PRJEB4211_v1:6:1786496:1789566:1 gene:GSCOC_T00023470001 transcript:CDP06571 gene_biotype:protein_coding transcript_biotype:protein_coding MKELIGSPGTVCGLLLRIGQCVFGAASIGVMVSAYGFSNYTAFCYLIASMGLQVLWSLGLACLDVYALRIKRDLRNPVLVSLFVVGDWVTATLSLAAACSSAGIAVLYAKDLKYCKGPMQPSCSKFELSIVLSFITWCLIAVSSHVMFWILASV >CDP02999 pep chromosome:AUK_PRJEB4211_v1:6:10788968:10792141:-1 gene:GSCOC_T00041455001 transcript:CDP02999 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLFPNRPGFPIQQTKPTIQPPNQRLKFNTSTLLPPLHQQLLPQQQQQPKTACSSSFPIDSLLQHLLHISNNKPPIIKSAKSNGTHFTSALISSENGEFEETRLANSIAIPRIERYREEHAFQTGSGNGALDFLPLDCKLMLNSILEYPVSSLSKYLDSVKFQLLEVDLMSLLKGLDVLGNWEKAIILFEWLVMNDTKDKLDNQVIELMVKILGRESQYLVTSKLFDLLPVEEYLLDVRACTTILHAYSRTEKYDKAIALFGFMKEKGLYPTLVTYNVMLDVYGKKGRSWNEIVGLLEEMRSEGLQFDEFTCSTVISACGREGLLEEAKGFFDELKKRGYVPGTVSYNSLLQVFGKAGVLPEALNVLKEMEENQCLPDSVTYNELVATYVRAGFHEEAAALIGTMTEKGIRPNAVTYTTVIDAYGKAGKEDKAFTWFKHMKKAGCVPNVCTYNAILGMLGKKSRLEEMMEIVCDMKINGCAPNRVTWNTMLAMCGSKGMHKYVNHVFEEMKNCGFEPDRDTFNTLISAYGRCGSRVDASTMYDEMMKAGFMPCVTTYNALLNALARRGDWREAEKIILDMKNKGFKPSETSHSLLLNCYSKGGNVRGIEKIAKDIYDGRIYPSWMLLRTLVLANFKCRSLMGMERAFQEFKNHGYNPDLVMFNSMLSVFSRNKMLDRAHEMLHLICESGLQPDLITYNSLMDMYARAGDCWKAEEILNGLQRSGGEPDLVSYNTVIKGFCRQGLMQEAIRVFSEMTSRGIRPCIVTYNTFVAGFAGRGLFSQVDELIDYMIQHNCRPNELTYKTIVDGYCKAKKYKEAMDFVSNIKERDASCDEQSLHRLALRVRENVES >CDP03097 pep chromosome:AUK_PRJEB4211_v1:6:9784921:9790758:-1 gene:GSCOC_T00041580001 transcript:CDP03097 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVLAGNRPPVAISAVQSILSVLFNIAAARRHSSNPQPATVEMTVLRSREVAPPGMILKTPAKAVDIGVFEPETPAKTLEGLNHQSTTSPLSLSPKAPCQDGKEGLAAGSVHRRSARLASKLDLTEGFETVKTFSRKRKRLDAGNDGSLGDGLNLATASTEKKDDVGIGVGDVMLEEPNKSDSLVDLGNSGQSSDAVESVKQGRRRRKFGIDVELSDLMLVGQDQNENKVLNLRSGRRIVKSGGKKRSGDSSEGVESNDGDKFYTGNDSECMSSGCRGNGGVMYESKVIAEGDGYHSINNVKKFTGEEKSKSKVLEKASFMSGIDLLKLKSEVKEAGGNMVKTEKKVEARSTRRKDKGKEKLVESSSLSKSSCSAGRKSENKLEKENDDTVSSSSLLADSMSSQNAEQTDMSVTADRRVHKVRFREIARRNASRFAHFSSQEEEDNAADTSGGEIPPSDINAETEDWPGPFSTAMKIIRDRQMNANVQRHNSLSDKRGVASVTWKPKKDRQCNLQKQLVPSLQDLCLTILVKNADAITSLDCIPDVLRRRLSQLLCDSRRMGDHFFGLLVQGSPTEIHLRDCSWLSEEIFTRTFEACDTSNLTVLQLDQCGRCLADYVLFGTLARASNCLPALTAASLRAAYRLSDVGLSALVSAAPSLRSINLSQCSLLTSDGIASLAASLGSVLRELYLDDCQGLEAKHILSALLEFEQLEVLSLAGMETVSDDFVSRFVSERGHKIKELVLADCMKLTDSSVRVIVEYCSELCAIDLSNLCNLTDTAIGYLANGCQAIQALKLCRNTFSDEAVAAYLETCGDTLRELSLSNVKQVAQNTAISLARLSRNLQYLDLSWCRNLTNEALGLIVDGCLSLKVLKLFGCTQVTDVFLLGHSNPEVRIIGLKMKPLLEHLEVPDLLRGPLHYSSVSPSL >CDP14155 pep chromosome:AUK_PRJEB4211_v1:6:18239978:18253449:1 gene:GSCOC_T00040398001 transcript:CDP14155 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLLMILAIAPVAAAAKESAVNLLEAEALLKSGWWGDSSTTATNTSAHCQWYGIVCNDAGSVTEILLPNYGIYGELTNFSFSSFPNLVSLDISGNELYRVIPQQIGALSKLTYLNLSSNYLQGQLPSSLVNLTQLTQLDVSSNSIASLIPPALFNLTNLSRLYIRLNPSMGGFLPKEIGNLKSLVKLDLSNSRFSGSIPQTLGQLSNLDSLDLSNNHFNGTIPSALFNLTNLFRLDIRSNPAMEGFLPKEIGNLKSLLILDLSNNRFSGSISPTLGQLSNLNILDLSNNHFNGTIPSALFNLTNLFRLDIRSNPAMEGFLPKEIGNLKSLLILDLSNNRFSGSISPTLGQLSNLNILDLSNNHFNGTIPSALFNLTNLFLLDIHSNPAMEGFLPKEIGNLKILLELDFSGLNLSGALPSALCRLTRLVFLSGAENQINGSIPSEIGNLENLVYLDLGSNRLTDQIPPTLGNLTTLVSLELSSNQISGSIPLELSNMPFLQNLDLSSNQLVGPIPTQFWDDILKSDGPLFTLNLSHNILSGTVPSSLWRLGDVDLSYNALEGELPCELVIQFGSESFAGNRDLRHDSTLCGVSPSVVGNHTPSVAGNHRHRTLYYIIGLGVSLLVFAITGGLVIYICCFKKVEVEMMDNKHGDIFRIWNYDGNMAYEDIIKATNDFDVGYCIGTGGYGSVYRAQLPSGKVVALKKLHRLEGENPNFDKSFRNEADMLSKIRHRNIVKLFGFCLHKRCMFLIYEYMDRGSLFCILRDETEAVELDWIKRVNLIKGIASALSYLHHDCDPPIIHRDVSSNNILLNSQLEAILSDFGTARILELDSSNQTVIAGTFGYMAPELAYTMVVTEKSDVYSFGVVVLETLFGKHPQGFLSSFSSQPNEPTMLMDLLDARLPPPTNPFVVRNVVLATALALDCVNANPKCRPTMQQVVNRFEEGRRESTRPLHTIAVNQLVSPPVFSLPLCRLTNLSRLYIHSNPLMERFLPKEIGNLKSLVELDLSNSRFSGSIPPTLGQLSNLDSLDLSNNHFNGTIPSTLCRLTNLSRLYICSNPSMGGFLPKEIGNLKSLVELDLSNNRFSGNIPQTLGQLSNLDFLDLSNNHFNGTIPSALFNLTNLSRLDIHSNPSMGGFLPEEIGNLKRNLKSLVELDLSNNRFSGNIPQTLGQLSNLDFLDLSNNHFNGTIPSALFNLTNLSRLDIHSNPSMGGFLPEEIGNLKSLWYLWTLDLSHNILSGTVPSSLLRLGNVDLSYNTLEGELPCELVIEFGLERFAGNPGLRHDSTLCGASPSVVGNHRHHTPYYIIGLGVSLGAFSLIGGLAIYIFCKTKVKKVEVELIDNKHGDMFRIWNYDGNMAYEDIIKATNDFDVSYCIGTGGYGSVYRAQLPSGKLVALKKLHRLEGENLNFDKSFRNEANMLSKIRHRNIVKLFGFCLHQRCMFLIYEYMDRGSLFCILRDESEAVELDWVKRVNLIKGIAGALSYLHHDCDPPIIHRDVSSNNILLNSQLEATLSDFGTARILELDSSNQTVIAGTFGYMAPELAYTMVVTEKSDAYSFGVVVLETLFGKHPQDFLSSFSSQPNEPTMLKDLLDARLPPPTNPLVVRNVVFATALALDCINANPKCRPTMQQVVNRFEVGRRESTRPLHTIAVNQLVSPVFSLRDQNHTDGQVV >CDP15364 pep chromosome:AUK_PRJEB4211_v1:6:4152437:4154951:-1 gene:GSCOC_T00043067001 transcript:CDP15364 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPFVDLESRQHLQEIVPGILSGGTITTEAARSFPGMPQPMECLQGTPVPPFLSKTFDLVDDPALDSIISWGASGQSFVVWDPVEFARRILPRNFKHNNFSSFVRQLNTYVSVRLYGLLLACVAILYGFRKIDADKWEFASESFLRGKRHLLKNIQRRKSPHSHQLGGSSGSTGEAGKIVLEGEVEKLRKERSLMMQEVFELQEQQLGTIQHVEAVNEKLQAAERRQKLMVSFMAKLFQNPDILDRLKQIKEQKQITSSRTMRKFLKHQPHEPGKAESFLEGQIVKYRTNFRDLATPSAAPEFDPGAVEQLPEFTLQEPSGGGFSSQGTEDTLLKGKTVVPPEVIPEYFVTSPDDLAKEKNFPEYSSPDIESMLKEQEPWSMDFEAGAGVSSSSNEIWGNAVNYEIPELMVSSGLPDIWNLGSLPAAGSSGAEKWPDDESPFSKLDDQVGQHKDESSNF >CDP14159 pep chromosome:AUK_PRJEB4211_v1:6:18281390:18284350:1 gene:GSCOC_T00040404001 transcript:CDP14159 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFAWMQLVEQDSKGQCNSECKTIDELVKRSWGIRILIGACSKKPPKVPEDRIPGEPFVPKSSKEAEMEKIPKSMEGMPGAPGMKMYSKEDLMNTKNFGDDDVDEEDDEDEAQFPSNLGKVLREKENKKDDWTRRITKGILNAGETVKNHANKVSHRIRKWWKAKKAVLNKKNSNAKKSEL >CDP14213 pep chromosome:AUK_PRJEB4211_v1:6:18947642:18950801:1 gene:GSCOC_T00040479001 transcript:CDP14213 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDTPAGLDPSGSRMGGPAGALASSPRGSNSQVIPVNSVGYEVFLLMLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTSAVDLALDTLAAARSFGVEQLALLTQKQLASMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKTSLVRGSLMPHHHHHHNHDISAAAELEGQKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCSREVVKALLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGITPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAAMVISREEGNANAPASATIYPPMSEEHTSSSSSGNMANLNLDSRMVYLNLGAAASAGQMGRHGSQGGCDPSIYHHHSHDY >CDP03164 pep chromosome:AUK_PRJEB4211_v1:6:9148721:9150119:1 gene:GSCOC_T00041665001 transcript:CDP03164 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTNILLLIIVLVSFLSCTVRAKVSYDGRSFIINGQRKILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNVHEPSPGKYNFEGRGDIVRFLKLVKAAGLYAHLRIGPYICAEWNFGGFPVWLKYVPGMEFRTDNGPFKAAMQGFVTKIVNLMKSENLFEPQGGPIIMSQVGK >CDP18634 pep chromosome:AUK_PRJEB4211_v1:6:15087739:15091295:1 gene:GSCOC_T00004123001 transcript:CDP18634 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLLKPHVKKEALMDLAAKVGKHVYRRNGVLTGVKSFGQVQLGYGIRKLDGRYYQGLLMQMTMMTPPSFNEELHYLNKEDRLLRWLLVKHRDMKYGLDFLGEDDPNLRRYGSSLFDNEDDKDDSEDEDDDDEYDADQGHDNDGNDDDEDDDGDDDDEDEYEADQGEKKEG >CDP10492 pep chromosome:AUK_PRJEB4211_v1:6:6099096:6103114:-1 gene:GSCOC_T00031237001 transcript:CDP10492 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQTWSWVLLLSVNLFNLAAVFSDQIFPAHTGGSFSRSSREPKYKIEFHTDDAPFHPDDDQESLVMPNKNGEKFLCFLPRMEKSKSGKLVNQQNTSSMILETEKSIKLKTPDELLEVLKDRCFVRQEGWWSYEFCYQKKLRQIHLEDEKVVQEFILGAYDAEATAAYHQNLSDISTLKDPRSKDASQRYHAHQYTNGTICDLTNEPRETEVRFVCSEPRAMISSITELSTCKYALTIQCPTLCKHPLFQEERPVWHTINCNALPKDYKEAKVGGDYFQDEKIAMVTGLVHPSGFDSEESAT >CDP14175 pep chromosome:AUK_PRJEB4211_v1:6:18466452:18468325:-1 gene:GSCOC_T00040425001 transcript:CDP14175 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSDITVAARGRGHSLAGQAQARGGVIMSMESLRHPEMRFYPGKMPYVDVSGGELWINILHESLKHGLTPKSWTDYLHLTVGGTLSNAGVSGQAFRHGPQINNVHQLEVVTGTGEVVRCSDDENSDLFHGVLGGLGQFGIITRARIALGPAPTMVKWIRVLYSDFSIFTEDQEFLISSQNSFDYIEGFVIINRTGLVNDWQSSFSPKDPVRSSQLQSEGKVLFCLEVAKYLYPENNYDVNQNIETILSELNYIPSTLFQSEVPYVQFLDRVHISEMKLRKKGLWEIPHPWLNLLVPKSKIHDFAAGVFGNIIRDTSNGPILIYPVNKSQWRNGTSMVSPEEDIFYLVAFLSSAIPYSTGKDGLEHILSENKRILNFCASTQLGVKQYLPQYSTQDEWKAHFGKQWEVFSRRKSTYDPLAMLAPGQRIFKKAVAFQ >CDP02954 pep chromosome:AUK_PRJEB4211_v1:6:11171394:11180283:1 gene:GSCOC_T00041392001 transcript:CDP02954 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRHSYSDTTPLLKQHHHDDDHHHHHHHHRFSSHPAAIEMTTPPPPRRSVYLGVDVGTGSARAGLFDGDGKLLGSASSPIQIWKEGDCIEQSSTDIWLAVCTAVKAACSLANVAGEEVTSLGFAATCSLVAVDSDGEPVSVSWSGDTRRNIIVWMDHRAVKQAERINSSNSPVLQYCGGAVFPEMEPPKLLWVKDNLKESWSMAFRWMDLSDWLSYKATGDDTRSLCSTVCKWTYLGHAHMHQASEEDSRDMEACGWDDQFWEEIGLGDLVDGHHAKIGRSVAFPGHALGCGLTIDSAKARSHSELGLLAGTPVGTSMIDAHAGGVGVMESKAGSDPESKETDVEAICRRMVLVCGTSTCHMAVSHTKLFIPGVWGPFWSGMVPEYWLTEGGQSATGALLDYVIENHVASPHLVKSAASRRISIFELLNEILETMRHELGSPFLAALTNDTHVLPDFHGNRSPIADPKSKGMLCGLTLDASEKQLALLYLATVQAIAYGTRHIVEHCNAHGHKVDTLLACGGLAKNPLYIQEHADIIGYPIILPRENESVLLGAAILGAVASKKYITIRHAMKALNAAGQVVYPSQDPKVKNYHNAKYHIFRGLYEQQLEHRSIMAKALA >CDP14348 pep chromosome:AUK_PRJEB4211_v1:6:35663606:35665605:1 gene:GSCOC_T00040680001 transcript:CDP14348 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >CDP06454 pep chromosome:AUK_PRJEB4211_v1:6:858159:859445:-1 gene:GSCOC_T00023312001 transcript:CDP06454 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLRLFGCNFSGPIASSISSLSQLVAIDLSVNHLTGSLPSFSLSRKLSSIGLRDNKLIGKIPLEWKGLKNLTFLDLSDNSLSGGLPAFLFSLPSLETLTLPNNRFSGQISELESASLSPLEQLDLSGNNLEGPIPKFVFKITGLSTLSLASNKFTGTVELVEFIELKNLFSLHLSYNKLSVGTSGSDSDFSLLPQFNSFMLASCKLQKFPFLKNQSRLNMLDLSENQITGEIPNWIWEVHDGYLPYVNLSHNHFTGLQEPYHFHTHQYLDLHSNLLIGGIPLPPRSAVYVDFSSNKFTSSLPADIGNHLSSAMNFSIANNSIVGGIPLSLCNASLLEVLDLSGNSLSSSIPSCLIEKSRSLVVLDLHGNKLSGNIPDTFPGDCKLETLDLSFNQLEGKVPESLVNCTKLTVLNLGHNRIGSSPSTP >CDP06778 pep chromosome:AUK_PRJEB4211_v1:6:3381621:3385557:1 gene:GSCOC_T00023743001 transcript:CDP06778 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKFVIVREERKPSSGPRGNLASRMGCGVKFPTFPILHPSPSLSSPSRQEILPSDSSSFPSLGFLLVCRMPGETSVIYFSTLSSICLPKDTTILAPLSKFPSFSSKQLPLRSFRFVPKASGSGHFLGDDAFGHYPWESSSDSGDSSIQWVPEERVTLFTADGLIQIGGNLVPRRITSGDKKYEKIKTLQRIQRFQESDYMDPSQGLCLGALFDIAATNGLDTGRRLCIFGFCRSVEMLSDVIEDTVLEHGGEVVAAEKASKGGLHEKLTMTVAVPLLWGVPPASETLHLAVRSGGGIVEKVYWQWDFV >CDP03374 pep chromosome:AUK_PRJEB4211_v1:6:7495668:7498691:-1 gene:GSCOC_T00041932001 transcript:CDP03374 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGILLKLLQSMNSATRVTGDHRSPLLQVIGIVPALSTSDSLWPNHGFYVQLSDSQNSTYISLSEKDTDLILANRLQLGQFVHVDRFVFESPPVPRGVNLRPIAGRHAFIGSPEPLIARISSSKNGFVIQPASDSDHPVAAYLSKNGNFGEGEAKLNATEKNVEANVEKRKAVRQVSTAPKENVNVNVVLDSGNELKGSSDKAPQRFSSPASARQRSISAGRKTVVAERDPSPAGKAKRSASPVPSKCAVPSLVAAKEENRRSSREAAIIVPSRYRQPSPTAGRRQASPVVARRMSLSPGRRLSSGLRVSPAVESSGKKKLATIAAGISKVSEALVATTKHSRKSWDEGPTAAGANTEHIKDKVGTKNKPDFQAILRTQAAISRRLSDVSMLSQDDLSHDERTKSGAVESPSAIEKQNGEAPVITVHDKKWTDGSIPLNAVSSELGRLGKEAMHRRVVASVAAAEALEEAITTESVVRNLSKFSDLCLLSRPENPLPTIDRFMSVYEDVMKATAVAESVASNRSTEKFLETVPTEHAKSSSLWVEAALATDLEVVSLLTSQNFETLEKSSSKQAPTSMKNKALASSPVSGTWIRGHGMNATFDFAKKLQAEMQKWFVKFVEESLDAGFRVFEKCSPAGNERGANNCGPIAAILSQLKRVNNWLDHVVLKRDELLVEKIERLKQKIYDFVIQHVGTTVEH >CDP15415 pep chromosome:AUK_PRJEB4211_v1:6:4611640:4613700:-1 gene:GSCOC_T00043143001 transcript:CDP15415 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQAFPMVPTVLKEIKAALFQGFNSLNQLKHIHGRLLRSGLNQNNYLLNMLLISTFKFSSPNYAERIFYQTQAPNMFLWNTMIRGLVSKDRFDQAVEFFYSMREEGFLPNNFTFPFLLKACTRLLDMELGSKLHTLVVKGGFDYDVFVKTGLVCLYAKCGGVKDAEKVFDDIPEKNVVSWTAIISGYIEFGRFREAIDIMEMARAVFDEMPEKDIVSWSSMIQGYAANGLPRDALDLFYQMHRENLRPDCYAMVGVLSACARLGALDVGEWASRLMGMNDFFSNPVMGTALIDMYAKCGKIVSAWEVFLQMKKKDLVVWNAMMSGLSMGGHVGSAFSCFAALEKNGLRPDGNTFLSLLCGCSHAGLVAEGRRYFYSMNSIYSIYPTIEHYGCMVDLLGRAGLLDEAHSLIQNMPMKANTVVWGALLSGCRLHRDTQLAEHVLKQLIELEPWNSGNYVLLSNIYSANKKWADSEKIRALMNGKGIQKVPAYSWIELDGVVHEFLVGDTTHPMSDKIYAKLGELDKKLRAAGYVPITDFVLFDVEEEEKEYVLGYHSEKLALAFGLISTRPNDVIRITKNLRVCGDCHMAFKLISKITGREIIVRDTNRFHCFIDGSCSCNDYW >CDP10440 pep chromosome:AUK_PRJEB4211_v1:6:6583102:6588218:1 gene:GSCOC_T00031165001 transcript:CDP10440 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLRLSSRRPSRRIHIIIILLILVPLSIIGIFNHGRKISYFFRPLWDQPPEQFERLPHYYAENISMGQLCRLHGWTLRLEPRRVFDGIIFSNELDILEIRWHELLPYVTKFVILEANTTFTGVPKPLFFASNRDRFAFAEGKVVHDVFPGRILPRGSHENPFNLEAEQRGAMNRLLQGAGISEGDLLIMSDTDEIPSPHTVKLLQWCDGVPPVLHLELKHYLYSFEFPVDYSSWRATVHIYNPWTRYRHSRQSNLILSDAGWHCSFCFRYLREFVFKMTAYSHADRVRHRSFLKYSRIQKLICQGDDLFDMLPEEYTFKEIIKKMGSVPRSASAVHLPAYLVENAERFKFLLPGGCTRSTG >CDP21150 pep chromosome:AUK_PRJEB4211_v1:6:35578266:35578637:-1 gene:GSCOC_T00010542001 transcript:CDP21150 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKYIQANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRSDLKPHPWIN >CDP14167 pep chromosome:AUK_PRJEB4211_v1:6:18379033:18382628:1 gene:GSCOC_T00040416001 transcript:CDP14167 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPHNYGYGAPPPYGAPPPPSTQPYGAPPPPSAQPYGAPPPPGQSPYAPVATPYSGPSAPPYSSDPAKPPKDNKPSASAPYGAGAPPHSAPYGAPAPPHSSPYGAPAPPPPYGSPFAALVPSAFPPGTDPNIVACFQIADQDGSGFIDDKELQKALSSYNQSFSLRTVHLLMYLFTNSNARKIGPKEFTSLFYSLQNWRAIFERFDRDRSGKIDSSELREALLSLGFAVSPVVLELLVSKFDKTGGKSNAIEYDNFIECCLTVKGLTEKFKEKDTSYSGSATFTYESFMLTVLPFLIA >CDP10179 pep chromosome:AUK_PRJEB4211_v1:6:13524815:13525393:1 gene:GSCOC_T00030809001 transcript:CDP10179 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFSHLKYSDSLTVVAISIFTAVVCEAISWLLIYRTSSYKSLKSTIDKASKKLETMKTPNGTSELTKKSKTKKIDRVETSLKESSRDLSLFKFKSGAVVAVVLFMVFGLLNTLFEGKPVAKLPFVPLRIVQKMSHRGLSGDDMTDCSMAFLYFLCSISIRTNLQKFLGFSPPRGAAGAGLFPMPDPKTS >CDP03036 pep chromosome:AUK_PRJEB4211_v1:6:10326325:10328239:-1 gene:GSCOC_T00041508001 transcript:CDP03036 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYRSFNLNWPRSQSSQTLRDLKEARKEAIAITVFLALIAVLAALVFMNPPSSRSARIHHPRPTSLHTPLSSVIKKACTKTLYSSLCFTTLSSIPPSNTTVTFHHVLEFAINQTKEHVLDTQVASVAHFENQELNAQQQNALRDCMEMLDQTTYELEQAIDDLHKFPSKRGYIPRSYGYLKILLSAAMTNGYTCIDGLSDLEELGSDGQKDLKGHFENLLTPISHMISNCLAMIAEVEREMRKETLNNPRMLSMKVQEDGFLDWMTVADRKLMETPTELSPNVIVASDGSGDYTRIGEAIANAPDFSTKRYVIKINAGIYGENVVIPREKINLMLTGDGMNSTILIGSKNFVDGYSTFASATLTVAGDKFIAQHLSIINTAGAEKHQAVALRVTSNAAFYRCEMISYQDTLYAHSLRQFYRECSIGGTVDFIFGNAAAIFQNCLILPRKPGPGQRNMITAQGREDPNQNTGIILQNCTIKAAPDFPFQDRKYFPTFLGRPWRNFSRTIIMKSYLDDLINPQGWSVWNQYSRLDTIEYIEYMNTGPGSDTRRRVQWGGYKKNCTEDIERQFAVGAFLHGADNWLESTVFPLLSGS >CDP06414 pep chromosome:AUK_PRJEB4211_v1:6:527217:539174:1 gene:GSCOC_T00023252001 transcript:CDP06414 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSLSPLNLRHLSPQLPAATLPSSSSLLLLRRSLRLNFALPNAASGQSPCCQAPAPVVRASVRTQPLGETKIDDENNNILRVGVICGGPSAERGISLNSARSVLDHIQGDDLQVSCYYIDYNLNAYAISSAQMYSNTPADFDFKLDSLAQGFESLSEFARHLAATVDIVFPVIHGRFGEDGGIQELLEKFNIPFVGTQSRECRKAFDKYDASLELERQGFVTAPSVLVQGSEMDETDLSKWFARYQLDTEQGKVVVKPTRAGSSIGVTVAYGVTDTLQKANAIISEGIDDKVLVEIFLEGGSEFTAIVLDVGCDLNCQPVVLLPTEVELQSYGNIDVSDQDAIFNYRRKYLPTRQVTYHTPPRFPLDVIKTIREGASLLFKQLGLRDFARIDGWFLPPSVNASYFPENKFGKSELGTVLFTDINLISGMEQTSFLFQQASKVGFSHSNILRTIIQRACLRFPNLLTYNIISNTSSRRFKSLPSTGSLPVHQHARKVFVIFGGDTSERQVSLMSGTNVWLNLRASDDLEVTPCLLAPSNGYSSGLESTGKEIKVSSRTVWTLPYSLVLRHTTEEVLDACIEAIEPTQAALTSHLRKQVMDDLMEGLSKHNWFRGFDISDDLPKRLSLEQWIKLAKEIQATVFIAVHGGIGEDGTLQSLLEAEGVPHTGPGLTASKICMDKVATSHALQNLKDCGVLTINKEVRSKGDLLKIPVLDIWQDLRAKLQTETLCVKPARDGCSTGVARLCCDKDLEVYVKALEACIPQIPPNSLSKAHGMIEMPNPPPELLIFEPYIETDDIVVSSKTKNKNKQHLLWEGRSRWVEVTVGVLGKCGSMRSLTPSITVKESGGILSLEEKFQGGTGINLTPPPLSIISNEALEKCKQRIELIANTLQLEGFSRVDAFVNADSGEVLIIEVNTVPGMTPSTVLIHQALAEEPPLYPHQFFRTLLDLASERST >CDP15328 pep chromosome:AUK_PRJEB4211_v1:6:3855137:3864236:-1 gene:GSCOC_T00043016001 transcript:CDP15328 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MDEPRKHQVPVSIYSTCIHVSLRGASAKEISRDALLAKVSQERELRNFTRRATSAALFLQRVWRRHRAVKVVSMQLQQQWLAEMNQNAICWNKMQISRIVLRPFIFFMTFLSTRYQRIETREEDCVKLCFRVLLESINSTDPNENFCSLVTGTTEERKIWIYQSKKLILLCLLILVEVDYTDRGLQDVGVVSLGMRLAVLLTDWKGWKSITERDTQYADAAVKNLIWFIANKESGTYNSIRRYIWKLDPVPTQGASSCRTDDRFLITASALTLALRPFHFRDTAGTGSGVLELQHAAENYFFFLLTIPWFSERLPMVLLPALKHKSVLSSCLRSLLISKDRISKEISEMDRLEIHLQSKKMPQVAWALANIIFLATSSDDGAVSSGKFAPGLDLVAYVRFVIILAENLLACFGKDGQVRFRNQEIQVDVDNQVDPIGMGLLESETTCESLKMSYTDLLKPVCQQSHLLGLLNLEKDISGRMTDTHQSSEAPMSFELLDVAYYYSCMIRIFSALNPVLGSLPVLNMLSFTPGFLSKLWEVLERSLFPGRSHDAKDNSFGNDISKSKDDVSQRKQKWCAKDRGNKWVNVLHKFSGNSPTESSKMNSTGKSSFDRIRDQSSSVWDVEALRRGPVGLSKDMHCLLHLFCAIYSHLLLVLDDIEFYEKQVPFTLEQQRKISSMLNTLVYNAVSGGVSPHNRTLMDSAIQCLHLLYERDCRHQFCPPALWLSPGERNRLPIAVAARTHEVLSATVIPDDASAPSSMASVITLTPHVFPFEERVEMFREFINMDKESRRMAGEVVGPGPGSVEIIIRRGHIVEDGFQQLNALGSRLKSSIHVSFISESGLPEAGLDYGGLSKEFLTDIAKAVFSPEYGLFSQSSSSDRLLIPNTAARFLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKHYDGDVKELSLDFTATEESLGKRHLVELKPGGKDICVTSENKLQYIHAVADYKLNRQILPFSNAFCRGLTDLVSPSWLRLFNASEFNQLLSGGNHDIDIGDLRKNTRYTGGYTEGSRTVKLFWEVVAGFEAIERCMLLKFVTSCSRGPLLGFKHLQPAFTIHKVVCDVPFLATLGGQDVDRLPSASTCYNTLKLPTYKRLGTLREKLLYAINSNAGFELS >CDP14290 pep chromosome:AUK_PRJEB4211_v1:6:36290638:36292141:-1 gene:GSCOC_T00040595001 transcript:CDP14290 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREFVELIPGLPEEIALECLTRLHYSAHGVASRVCKCWLHLLQSRDFYYHRRKAGQTHKAACFVQALPVPSESKPLGPPSYGISVFDSVTGTWDRVDPVPRYPAGLPFFCQITSTEGKLFVMGGWDPSTWDPVRDVFQYEFTTRRWTQCADMPSTRSFFAVGAVEGRIFVAGGHDESKNALSSAWVYDINGNEWSELGRMSEERDECEGTIIGSEFWVVSGYDTETQGGFKSSAEWYELGTGEWKRVEDGWRVTQCPRSCVRVGGEGELTSWAECDSAVRVGACGVDLGGNALVTGSPYQGAPHGFFLAERSRGGQNGKFTKLDVPDEFSGFVQSGCYVEI >CDP06483 pep chromosome:AUK_PRJEB4211_v1:6:1096887:1102398:-1 gene:GSCOC_T00023349001 transcript:CDP06483 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNQSPPTTSAASSSPSTDSTRNGEDFGVASTSSTNSQVQEEETAAAEEEAEGNGLRYPELIQQRTSLSYNAYSTVTENAHDVVGRMRGDSLSCFVVILAYWFFVSMTMIMGVYGPASLLLGPYSSILIKPNSFFVEYVKIAEIHEAANGVMLYGFHKDPPLDVVTSWSETHKTTLFSGNHKAINFLVQQEWIYFLNEGSQVNVSYDVNSPSSSSLVFVIAQGSEGLNQWLEDPSYPNSTLLWQIIRGSGLIQQDILTSSNYYVAIVNLNAEAVEVQLNMRLKTHLYNTTGAYYQCGLTESGCSFKLSFTGGHTALLTSPAPTPGRGNNESHVKLSYGPRWVTYVVGIGGMTILMLVAFHFFIHLGCTNQDGSRIRFGETGSARTPLLENKDDDISSWGSSYDSVSQDDEDAEEGQAAGSLEGISAKDGEYNNNIRRLCAICFDAPRDCFFLPCGHCVACFACGTRIAEAAGACPICRRNMKKVRKIFTV >CDP10470 pep chromosome:AUK_PRJEB4211_v1:6:6253459:6261104:-1 gene:GSCOC_T00031204001 transcript:CDP10470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-5 [Source:Projected from Arabidopsis thaliana (AT1G05500) UniProtKB/Swiss-Prot;Acc:Q8L706] MGFVLGLVMGVIVGLALIVGFVRSENSRSKRRSELATTVAAFARMTVGDSRKLLSPEHYPSWVVFSQQQKLTWLNLQLEKIWPYVNEAASELIKTNVDPILEQYRPVLLASLSFSKFTLGTVAPQFTGVSIIEDGSEGITMELEMQWDGNPSIILDIKTYLGVALPVQVKNIGFTGLFRLIFRPLVDEFPCFGAVCYSLRKKKKLDFTLKVIGGDISTIPGLSDAIDGTIRDAIEDSITWPVRKIVPILPGDYSDLELKPIGILEVKLVQAKELTNKDLIGKSDPFAKLYIRPLRNRMKKSRTINNQLNPVWNEHFEFIVEDASTQHLTVKIYDEEGVQSAELIGCAQIYLKDLEPGKVKDVWLKLVKDLEIQRDNKNRGHVHLELLYCPFGMKNGFTNPFAKNFSMTSLEKVLKNGAEGKEVAENGDEAKKRKEVIIRGVLSVTVISAEDLAPTDLMGKADPFVVLTMKKTEAKNKTRVVNENLNPVWNQTFDFVVEDGLHDMLIVEVWDHDTFGKDYMGRCILTLTRVLLESEYKESFELEGAKSGKLNLHLKWAAQPIFRDRD >CDP02997 pep chromosome:AUK_PRJEB4211_v1:6:10818533:10826509:1 gene:GSCOC_T00041452001 transcript:CDP02997 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKAISLEEIKNETVDLEKIPIEEVFEQLKCTREGLSSEEGANRLQIFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGQDPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGQWSEQEAAILVPGDIVSIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTRNPGEEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLIELIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEAFAKGVDKEHVLLLAARASRVENQDAIDAAIVGTLADPKEARAGIRELHFLPFNPVDKRTALTYIDAEGNWHRASKGAPEQILTLCNASEDFKRKVHAIIDKFAERGLRSLAVARQEVPAKSKDSPGGPWQFVGLLSLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSASLLGQHKDESIAGLPVEELIEKADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGGYLAVMTVVFFWAMHKTDFFHDKFGVKNIRNSEDEMMAALYLQVSIVSQALIFVTRSRSWSYVERPGLLLLTAFVIAQLVATLIAVYANWSFARIKGCGWGWAGVIWLYSVVFYVPLDFLKFFIRYVLSGKAWLNLIDNKIAFTTKKDYGKEEREAQWAVAQRTLHGLQPPDASGILDEKSSYRELSEIAEQAKRRAEMARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >CDP06711 pep chromosome:AUK_PRJEB4211_v1:6:2793173:2794375:-1 gene:GSCOC_T00023649001 transcript:CDP06711 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLKRAITSQLSHSSHPSRKSLFLLRPVTQSSYYSTTYKLNNPQTNKPRTQKPPQEPSGSSSSLTWSQRVKKQSDELTAWPRPSEIPFQAKVANLVNLIGHITTPIKFQSTPDGKYLSGAVFSQENESFDIPVVFEGDLAHVVASHVKKNDCVYVVGRLSEDPLPFVLSESQGKFHLLVENINFVQGFEKKAFDFKSKKSSASDAARNALSKTTIAEGENDASEKYSGKTVETGNFEPKISQTSLRNADTRRDGDSVWRDLVNNPTHWRDYREQKLKGLVKEKYPDFKNKDDGTALWLNKAPEFVWSGLQRLDFDGYAPKQKNMNVAKDEESWKSLVENPSKWWDNRVGKKNAKSPDFKHKETGDALWLNRSPDWVLSKLPPLKEPQNAPAGKKNMQAT >CDP03200 pep chromosome:AUK_PRJEB4211_v1:6:8937414:8940869:-1 gene:GSCOC_T00041705001 transcript:CDP03200 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGSSSVSFRTRGPAALVFITIISLLFFNVIAETSNNSQVGCSKTCVAENCNSIGIRYGKYCGVGWSGCPGEKPCDDLDACCKIHDECVEKKGLTDVKCHDKFKKCIKKVQKSGKVGFSGDCPYDTAVPTMVQGMDLAIMFSQFGNSKVEL >CDP06430 pep chromosome:AUK_PRJEB4211_v1:6:724277:730839:1 gene:GSCOC_T00023281001 transcript:CDP06430 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWQLFSDAGDNVRWEISDQHLSNQEAESNRALEYPPPQSHRLPSMADLLLQGTANELLFTRYSRFTEVRDGNSDNTPSFRSGLGKSVAVKQSSISRALAILGDEGDAVTQTGSKFSTPLLRDVRHGNLDSASSFRTGLGESVGVKQSSISRAFEILSDGADEVIRTAINVPKSALRTSLEQMTDTSNSFFQTASGKAVSLSSAGLLRAKVLLGPEEHGDCKNFEDLEQEGQLSTSYQPSGLRSSFLFEGEADGKINSASCQSKYVIPDFLQSAPKPPPVKFQTAGGRSISVSNDALKRALSLLGDQEAGAYSDEGNATDHEYPFSRDRESKNTKPSKENDPNTPFPYQETANSNHLSKNFTSPFRSNSCQRESSVRPRIIGQGSNLIKKFDAEAKHNLSSPCNSLPSYENHLRKKTQVATMDSLERPSSGPLVDISNSIGANSTDVKWNSAEKRRLGRSTVSPFKRPRSSKFITPFCRNKTSSPNGMPSLTTNEISYEGRVSTRLPFQVSRLYVKEYFTEPPFGRSKIENLSDEIRRMNPGVAEYYTFPDDFGSGCIGAEEFYHMLVQPEVSPYHVSKEWVKNHYKWIVWKLASYERCYPAKFSGKLLSVSNVLEELKYRYDKEVNHGHRSAVKRILEGDAPPSSRMVLCISSVLSVCGTEVGCQPIASREVESGAAAKIELTDGWYSIIALLDVLLSKKLAAGKLFIGQKLTIWGAGLCGWAGPVSPLEAPRTSTLLLHMNGTYRTHWADRLGFCKVDGLPLAFRSIKSTGGVVPSTLVGILRIYPVVYWERLSDGGFIVRSERMYTKMLHSYNKRRSVVVEDVLSKFQGENETFHTLDDNDSEEGAKIMKMLEKAAEPEVIMAEMTSEQLTSFASYQAKLEMLRHSDMQKSLETALKAAGLGGREVTPFMRVKVVGLTSKDSPQKCFPHTGLITIWNPTEKQQSELVEGRVYAVSCLTPSTSDSSTLYLQTNGPSTKWLSLSPSAIEHFKPFFTPRKSILLSNLGEISLSSEFDIAACVVYVGDAYTTDRHKKQWVFVTDGSIHGLHSREPLESLLAIGFCSPFSDGDMLAPVNYNLAGSTVGFCNLIKRAKDQVNNVWVAEATENSTYSLTFDDRCYFSHLKEAAASTQKWANNSSLVCELSDVVELSKLGFWKLTFCKFVALPTGY >CDP09536 pep chromosome:AUK_PRJEB4211_v1:6:19866210:19868124:1 gene:GSCOC_T00028944001 transcript:CDP09536 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNRYVVMRNHIDGTPQESDFELKTEALSLSIEPGKKELIVKNLYLSIDPYQLNRMKQKSSSQETTSFARAVKPSEAIDAYGVARVVASGIPAFKEDDLVAGLLTWGEYSIVKEGGLLNKVDNIGFPISHHVGVLAFSGLTAYAGFFEICKPKKGEKVFVSAASGSVGSLVGQYAKLFGCYVVGCASSQKKVDLLKQKLGFDEAFNYKEETDLKSTLKRYFPDGIDIYFDNVGAEMLEAAVENMNTFGRVAVCGVISEYTDKGRRAAPNMVDVIYKRITMQGFLAGDHMKVYKDFISDTVEHIQAGKLQVLEDISHGLESIPSAFVGLFRGDNIGKKMVQLADD >CDP15330 pep chromosome:AUK_PRJEB4211_v1:6:3870127:3874781:-1 gene:GSCOC_T00043018001 transcript:CDP15330 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYNAKAFFVFTIVLFATCLGCQSAQISYDGRALKIDGQRRIIISGSIHYPRSTTEMWPSLIRQAKEGGLNTIETYVFWNVHEPLRRQYDFGGNLDLVRFIKAIQDEGLYAILRIGPYVCAEWNFGGFPAWLHNMQNVTFRTTSPAFLDEMRTFTTLIVEMMKNANLFASQGGPIILAQIENEYGDVAWNFGSVARPYIQWCAQLAESLSIGVPWIMCQQPDAPDPMINTCNGFYCDQFKPKNDNIPKIWTENWTGWFKDWGDATPRRTAEDVAFAVARFYQLNGTVMNYYMYHGGTNFGRTSGGPYIITSYDYDAPLNEYGLLNQPKWGHLRQLHLLLYSLEKILTYGDHKYQEHGTYMLSSSVYTYGPERVCFFGNANSNDAMTLTFEGIQYTIPPWSVSIYRDCRTLAYNTAQVSAQTNVLDAVEDIAGLKWRWTIEPIENLAQKGLTLATELLDQKTVTNDTSDYLWYMTTYDPVWGGDLTLYVHTTGHVIHAFVNGIHVGSQAAQNGHFEFDFSSPVSLKHGMNSISLLSATVGLQNYGAFFDNVKNGILGPVKLVAPNHEEKDLSKNTWAYKVGMGGIDQKQLYLNLPHQSWHSSQKSLPINRMFVWYKTTFGTPSGLDPVVLNLQDLGKGTAWVNGHNIGRYWPSKFAAGQQGCQTCDYRGQYQPSQQCRRGCGNSTQQLYHVPRSFLRDEGNNDLVLFEEFGGDPRNVKFQTIKLVKICANVEEGNILDLSCQGRVMTRIDFASFGNPDGVCGAFTKGNCEASDSLSVVQDACIGKSRCSIDLTAKGPFRCIAGCESSKEPRRLAVEISCA >CDP10367 pep chromosome:AUK_PRJEB4211_v1:6:7110287:7114996:-1 gene:GSCOC_T00031076001 transcript:CDP10367 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGPSAGASGRTVTRAFNFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSTLLESLPLPNIKWICPSAPQQPITLFGGFPSTAWFDVNDLSENTKDDVEGLDAAAAYVASLLATEPPEIELGVGGFSMGAATALYSATCFAQSKYENGNPYAVNLSAVVGLSGWLPCAKCLSKRIEGLQEAANRAASLPILLCHGRGDDVVPFKFGEKSSQTLISSGFRDVTFKTYSGLGHYTIPEEMDEVGAWLTSKLGLEGKL >CDP09517 pep chromosome:AUK_PRJEB4211_v1:6:19541950:19543161:-1 gene:GSCOC_T00028912001 transcript:CDP09517 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSASIPQAFISRDQPQQSQSSNFSSSSLSQSPHSNFSSSTPVSRTLSLPTPLVHHLPLCKGDTNHLVSLTSTTYGSLVLVDPPKPNFDAEDFVNSTVTAQIVKPPNVNDSGDPLSPDSVINTWELMEGLDELDFDVVDPPGESPKKPILCSKSKTFDLGSMTKDFDANELERSYEFVEHSDSKPLWQHLSEESLLAKLDPNVVSSYRKALLSKQFGGKEAKEWLKSGKIQPIGSPNSTSFCSKRSDIYLSGAEDRVVLYYTSLRGIRKTYEECCTVRMIFRGFRVCVDERDMSMDSSYRKELQSLLGGKTVTLPQVFIKGMYIGGAEEIKELHEEGELAVLLDGIPVKDSRFVCESCGDARFVPCSTCNGSRKIFEEEEGKLRRCFDCNENGLVRCPSCCP >CDP06611 pep chromosome:AUK_PRJEB4211_v1:6:2073144:2089378:-1 gene:GSCOC_T00023520001 transcript:CDP06611 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT6 [Source:Projected from Arabidopsis thaliana (AT5G02310) UniProtKB/Swiss-Prot;Acc:F4KCC2] MEIDSPMEAMDVSPGARILQRLAELGIPPEYLEGQQPGLVSYVKNSHFDMAELVSTILPTDKDALEALSEAESEETENRVGPTLKQILHESMVWLQWLMFEGDPGTALENLSQMNVDQRGVCGAVWGNNDIAYQCRTCEHDPTCAICVPCFQNGNHKDHDYSIIYTGGGCCDCGDITAWKREGFCSKHKGAEQIQPLPKEFADSMWPVLDSLLGYWKRRLICAESASEAKSDHVPELKKFAEELTSAVVELLLQFCKYSESLLCFISGRVFSSAGLLDILVRVERFFVGGESGDVRKLHELLLKLLGEPHFKYEFAKVFLSYYPTVVAEVIKEYNDNIFKKYPLLPTFSVQIFTVPTLTPRLVKEMNLLPMLLECLGDIFISCAGEDGQLQITKWVKLYETTIRVVEDIRFVMSHSVVPIFVTRERRDISRMWMRLLGFVQGMNPQKRETGMHIEEENDNMHLPFLLGRSIANIHSLLVGGAFSASGHDGSEEETFLNTYKQEFEDQDSIRHAKVGRISQECSVSSMTGRNLFDHSSKVGDAKSDDFSLPSSVLWLTYECLRAIENWLGVDNTSGPLLSYLSPKLGNMSGNNFFALKRTLSKFRKSRYIFKSSIVPSSSSKLNSSGEVLGRQYSLPSRSGINMGVGRESCKSLGQEAGAGSSDDSPMDGECATELEALRVLSLSDWPDLAYDVSSEDISAHIPLHRLLSMVLQRSLRKCYCESTLQNVSRATFGDPSSTIHQDFFGHILGGCHPHGFSAFVMEHPLRIRVFCAQVRAGMWRRNGDAAILSCEWYRSVRCSEQGLELDLFLLQCCAALAPADHYVKRILERFGLSNYLWLNLERSSEHEPILVQEMLTLIIQIVQERRFCGLTNTECLQRELVYRLSIGDATHSQLVKSLPLEVSKIDKLQEILDTVAVYSNPSGMNQGMYKLRLPKWKELDLYHPRWNSRDLQVAEERYLRFCNDSAVTTQLPKWSKIYDPLSGIARIATCKTVLQIIRAVLFYAVFSDKSTALRAPDGVLLAALHLLSLALDVCSVQRESGEHSCYAGDVIPILEFAREEISTTKHRNQSLLSLLIMLMRIHEKEKVDNFIEAATSSLSSLCGNLIRKFAELEPGCFMKLQKLAPEVVNQLSQSISNADANVSGFASDNDKRKAKAREMQAAILEKMRAQQSKFLESIDTTIDNGAEDSECQKELCNSDVEFGSKDTEEVVCSLCHDLNSKSPLSFLVLLQKSRLLSFLDRGPLSWAQSVPSKREEVSTGESADNLSSPSSASSTSPLGSNSELLHLIQSAVDDFALDGRSSEINAFLKFIEAHFPSVRNIESPSNSNDHKERTASSIEMVEDHMYSLIRDAMHGKLLHINNYPAAGGNQERSTQCLLLGKYIASVYKETEDYPSVSESTHSCRQTDTRMVLPAYDGFGPSGCDGIYLSSCGHAVHQGCLDRYLSSLRERYTRRIVFEGGHIVDPDQGEFLCPVCRGLANSVLPALAKGSKKVPVSPVFSTANASYAVGLPASSCREVDVLQVQEAWSLLQSAADVSGNSEILKSIPLQQFGRQRSNLESVFRVLCKLYFPGKDKISDSGRISQSLVLWDTLKYSIVSTEIAARSGKTSLSPTYGLNVLFGELKSSNGFILSLLLNIALGSRVKNSPSILLRLRGIQLFAKSISSGLSLDKFPAHNCHGEGNMRYILENSETDAQYPDTQLWKRASDPVLARDAFSSLMWTLFCLPSPFLSCEKSFVCLVHLFYVVTIAQAIIVCCRKQHFSITELGYKDCLITDICKFMGEHEIALQYFDSNYIDASYDIKDAIRSLSFPYFRRCALLWRLINSSASLPFSHGNDAPYGSSYVAGDLLDHQNNIIEFVGVEKLEKMFKIPPIDVVINDEISRSMALRWLHHFSKEFKIKGQCVLYSTPAVPFSLMVLPYLYQDLLERYIKQHCPDCGTALEEPALCLLCGKLCSPNWRPCCRESGCQTHAMGCGAGTGVFLLIRKTSILLQRSARQAPWPSPYLDAFGEEDNEMHRGKPLYLSEERYAALTHMVASHGLDRSSKVLRQTTIGSFFML >CDP11663 pep chromosome:AUK_PRJEB4211_v1:6:27919669:27920982:1 gene:GSCOC_T00034082001 transcript:CDP11663 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGMLLQYELPHMDRPARFFCPTCPYVCHIDSKVKIKRKHRLVKKELDPIISKDDELEHLPETEAPCPNCGHLKAAFGQQQTRSADEPMTTYYTCKKCRHNWKED >CDP06439 pep chromosome:AUK_PRJEB4211_v1:6:780861:784249:-1 gene:GSCOC_T00023293001 transcript:CDP06439 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVISILMQRHILVDGKVRTDKTYPSGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKITDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDATGHEFATRLGNVFIIGKGAKPWVSLPKGKGIKLSVIEEQRKRIAAQAATTA >CDP02871 pep chromosome:AUK_PRJEB4211_v1:6:12201242:12206865:1 gene:GSCOC_T00041271001 transcript:CDP02871 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALRSIKQFRSASRYRGDTISDGFPKRQHFWANTSGRAAYCLHQYADIRGCYHPSYPFSRGLHADAARVANGEIDGAGPLVEYERRIASGELVDGDICQLGTLRELQRLYDQLVQNADACQLDRYAASEKAGRSRWLWSRFIPQSSYSPVKGLYLYGGVGTGKTMLMDLFFEQLPSSWRKKRIHFHDFMLNVHSHLQKHKGVADPLEVVAGEVSDESILLCLDEFMVTDVADALILNRLFRHLFCNGAVLVSTSNRAPDKLYEGGLQRDLFLPFIDILKERCVVHEIGSSIDYRKMTSAQQGFYFVGKNLSSLLNEKFFQLIGEYRAVPQEVEVVMGRTLRVPLGANGCAYFPFEELCDRPLGAADYFGLFKKFHTLALEGVPRLGLHNRTAAYRFVTLVDVMYENRARLLCTADATPIQLFEKIVTIADAQQISPRTSSRSRKNDDSDICVDNELGFAKDRTISRLTEMNSREYLEQHAAMLAQKQQSYEECSKNALHPQ >CDP18464 pep chromosome:AUK_PRJEB4211_v1:6:30269899:30286419:1 gene:GSCOC_T00003216001 transcript:CDP18464 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKQIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSDTRDWLNNVVSELENQIDSFEAEIEGLSVKKGKTRPPRLTHLETSIARHKAHIMKLELILRLLDNDELSPETVNDVKDFLDDYVERNQEDFDDFDDVDELYSSLPLDKVESLEDLVTIGPPGLVKGVSASNAVLSMKNHLATPAAQVPATATSANQQGASPQEQVEETATQDTTDTVARTPPPKSSSAAASAPPTPVGSHSNPGIVKATSDFVGASTASSGHLGSSSSTGLLDNAGVPSSPVSVPYSVKEEDITSFPGRKPSPALAEVGLRGVGRGGLSNQPSSSVPISSGSTISSNGALGSVTSGSEMAKRNMLGAEERLGSSSMVQSLVSPLGNRMILPQAGKTGDGIGSADAGSVGEAASMAGRVLSSSVVHGIQWRPGSSFQNQNEVGQFRGRTEIAPDQREKFLQRFQQVQQGQTNLLGLPLSGGNHKQFSAQQQNSLLQQFNSQSSSISPQLGVQPAGLNSVPSSPSLQQQPIPIHQSSSQQTQILAGSRDADVGHAKVEELHQQPAVSEDSSESIGNSGLVKNLMNEDDMKASYALDPPAGAGSALTESSQMPRDIDLSPSQPLQSSQPSGSLGVIGRRSVADLGAIGDNLSVSPATSGGMHDQLYNLQMLESSFYKLPQPKDSERAKSYTPRHPVVTPPSYPQVQAPIVNNPAFWERLGADNYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHKEPDIATDDYEQGTYVYFDFHIGNDEQHGWCQRIKTEFTFEYNYLEDELIV >CDP10578 pep chromosome:AUK_PRJEB4211_v1:6:5435140:5436543:-1 gene:GSCOC_T00031341001 transcript:CDP10578 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFTNPFSLHSEHLIAGPSSGAMILRLPLQLKHSTNFIPAQPVQAELFGVRGIPCRSFLSIPLSCNPITSSAPPIYLPRMNSLGGTTLCPITLQSSSLYSKCIATSLSWYSTRKLSKRNRMLVQSSKVFLIPLMLVV >CDP09578 pep chromosome:AUK_PRJEB4211_v1:6:21052358:21054067:-1 gene:GSCOC_T00029006001 transcript:CDP09578 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLFLSLNPEKTRIHQKMPSTSTPVFTSSESQNRWINHMRLNYITNHTVSPCVFHVPDNLRDTKPEAYTPQLVGLGPYHHFWPQVQHMESDKRAAVLDYLKALDDEHFSKLVKEGPEDFEPKIRSCYDRYLDLDKETLAWVVIVDGLFLIHYLGNGDVGKSRIYLNPEALAADVLMLENQIPIFLIQEMLVTLQKSFNIYSNAQLFGKFVRFCDKYSPLKLASDSTSRDEAFDNMKHLLHFMYQMIVKNIPQDNRNNNHDSVGIGDEVSEISQTNQEHHRESRVGAIAKEVASHAGEFLEHAIEEFDSALSDAKMAGIRLPGNVEKVTNILRNLQKNIQHVNHKKDGSLGDQIGIPSASRLYIFLKMKFNPLPKDCGIRNIILDGEKRILCLPVITLRANSEVILRNLVAYEATSKMGSTQMRDYVDLMCGLIRSEKDVEMLKRSGVIETKIPDEEIVKMCNGFTKSGEKPDEVSKVAGTVKEVSNQFNDVRIVKASRCCMKVSADCVKFWRPLFPIFVVLLLLVQSICEIWDCRRRSSLGGGALRDLYLGDLDPEATLMLPRKMYPY >CDP03244 pep chromosome:AUK_PRJEB4211_v1:6:8634597:8646430:-1 gene:GSCOC_T00041759001 transcript:CDP03244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MGNKIARTTQASATEYYLHDLPSSYNLVLKEVLGRGRFLKSILCKHDEGLVLVKVYFKRGDSIDLRDYERRLSQIHGVFTGLDHPHVWPFQFWLETDKAAYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLYAVKQSHENGVCHGDIKCENVLVTSWNWLYLADFASFKPTYIPHDDPSDFSFFFDTGGRRRCYLAPERFYGHGGETQVAQDAPLKPSMDIFAVGCVIAELFLEGQPLFELSQLLAYRRGQYDPSQHLEKIPDSGIRKMILHMIQLDPEARFSAESYLQNFSGIVFPGYFSPFLHNFYSLLNPLNSDARVLICQTSFQEILRQMMSSSVVKETVSGEALSLDAMHQSLHGTDAQHNTNVEDTSSKREKVDKGSIHDRFDLLGDVGNLLRDVKENNRFHSMKPLLEGVARSAYSQNRKQCDVQSPDELIQSISNIFNRSHHPFLKKITTSDLNSLMSDYDNQSDTFGMPFLPLPQDIMSCEGMVLIASLLCSCIRNVKLPFMRRGAVLLLKSCSLYIDDEDRLQRVLPYVIAMLSDPAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNISKLALTAYGFLIHSISLSEAGVLSESSSPQKSLSTSSDTSGRRYSRNSDAQLVQLRKSIADVIQELVMGPKQTPNIRRALLHDIGNLCWFFGQRQSNDSLLPILPAFLNDRDEQLRAVFYGQIIYVCFFVGQRSVEEYLLPYIEQALSDVTEAVIVNALECLAILCKSGFLRKRILLEMIEHAFPLLCYPSQWVRRADVTFIAASSESLGAVDSYVFLVPVIRTFLRRQPASLASEKALFSCLEPPVSRERYYRVLESARSSDMLERQRKIWYNSDSQAKNWETVDFLQRGVKELDPMMCWSDRQRDLQSNKVVERANLPLDLADCNDNDQNSKVVGNSVQSPMAMDSGDFLDSEKLQLPGFISPPLSGMNSLMEKSSQGIPLYYFKVDNKRAAGSPTVSDSSLPCGSLGFGSASLPWMDPVSKSFSLANSDPAPKFISGSISIGGGSTQLRRVVHEVEDREMDQTAFVNNKFLEMGVSGTTKGSSLSIESNSASSEGADVPSFGRTSTIPDSGWRPRGVLVAHLQDHHSAVNDIAVSTDHSFFVSASEDSTVKLWDSKRLEKDISFRSRLTYSLDGSRALCVAVIQGSAQVVVGACDGAIHMFSVDYVSGGLGNVVEKYSGIADVKKNGVGEGAILNLLNYSPDGGACKMILYSTQNCGIHLWDTRTSSNVWNSKVVPEEGYVSSLVADPCGNWFVTGSSRGVLTLWDLRFGIPVNSWQYSLACPIEKMSLFVPPPSTSFFLSTRPLVYVAAGCNEVSLWNAENGSCHQVLRVANNETDAEISDSPWALARASSKGNAKSDIRVSANSKYRIDELNEPPPRLPGIRALLPLPGGDLLTGGTDLKIRRWDHSSPDRSYCVCGPSIKGVGNDDSFETKSYYGVQVVQEGRRRPLTMRLTTKTILAGAATDSAGCHRDSVLSLASVKLNQRLLISSSRDGAIKVWK >CDP10214 pep chromosome:AUK_PRJEB4211_v1:6:13075603:13080196:-1 gene:GSCOC_T00030868001 transcript:CDP10214 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMNSLLNQQLHDLQNGQQQQHHHHFDSTTSSHDDFLEQMLSSISTASWPAPDLIKTQPPWDPLSQTPLGSLEDQSVLLANKLRQHQIGGAKALMLHQQLMLPRGLAGNGLRSPPGPNGGLLAMPPLNLAGNGDQNEVIVDGSPFKSANPGNDASVQALYNGFTGQASTQPQHFHHPQGGAMQAQNFGAQAMNQAAASGSMGTAGGGGTAAAQPRQQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAVAPLAADMSSEGGGDCGNGRSSNGTQTASSSNNNNNDGMTVTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHSRNPMHPGLTGNGANNPLLGLGGGASGNGVGEAGGPSSPSMSVLTVQSATMVNGGGGPGGEPSSVKDAASVSKP >CDP14316 pep chromosome:AUK_PRJEB4211_v1:6:35931878:35936811:1 gene:GSCOC_T00040639001 transcript:CDP14316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G03900) UniProtKB/Swiss-Prot;Acc:Q9SRW7] MATLGNSANIFWHECPVGQAERQKLLNQKGCVVWITGLSGSGKSTLACTLGRELHSRGHLSYVLDGDNLRHGLNKNLGFAPEDRTENIRRVGEVAKLFADAGLICIASLISPYRKDRDSCRALLPDANFIEARILVFMNMPLSLCEGRDPKGLYKLARAGKIKGFTGIDDPYEAPSNCEIELRQINGVCPAPSEMAGKVVSYLDGKGFLQA >CDP03259 pep chromosome:AUK_PRJEB4211_v1:6:8502211:8506648:-1 gene:GSCOC_T00041779001 transcript:CDP03259 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSFQPVISISITLDGPSKTKAHLRYSLNGIQAAPNVITSANDFQAPGMEMESAALDLDMERLSIYKAARTIKRRDNTLYNALRSIYEDSIFVGEISQIWRELPLLANLRCGLWYSSNFQSTCYFKSTDGHTNNWSFNTSRLNLHVALLAGQKGGCMIVDSTRKGKRFPDSMSKTIPIWTCVLNRAIFNYRNRSVGGGSGSPQDRVSSDWDCSLHLPLWVSDTEKLLIEDRLEGWTAELEASGADIASLALSLEKPLRSLWISQKTVIWLNEVPEYDSWDFTPIMLVSTSSPNGAYQQKTTSEFSWNYIPGAGDDEESWARGLSPNLFWKHAYDLISSGPNLCNQKVADIVEKDRVYRAQRGGNASQISVRPSKFSRTLDDLPIKQPMELEVPNLDENKDSSSSSALDDSTIFWLDLTNVAVGATRLAMEISCSDSLLCCGGESLSRCLENTEAYLHLQIVSSKFDRFSLFRNLPYALDFARLNLRQGKRLIICCNDGQDISICVCLAILVSLFNDKGQFDDGKSFSETHMTKSELRRRLVFICKFAVNARPSRGNLKQVFNYLSGGSAGNASSK >CDP15649 pep chromosome:AUK_PRJEB4211_v1:6:32753249:32753875:-1 gene:GSCOC_T00015582001 transcript:CDP15649 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPVNDTVASPSLASQSNSSVVPLGSSSSGKSSVLEALVRRDFLPRGLDICTRRPLILQLVQTRRKPDGTKWALYWINDFESFAESLVAFACVEGIFFSRSFCAIFWVKNWGLMPGLTFSNKLISWDDGLHCDFACLLYALLRVKLSEEILISEMEGFTVTSPREAMAEAMEDS >CDP03342 pep chromosome:AUK_PRJEB4211_v1:6:7782977:7784223:-1 gene:GSCOC_T00041890001 transcript:CDP03342 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLIVVVSIGIVLGLVLVLLAQLYCSLLLRRRRPLRASTSNIPNSRAAATSNDGSPERDQDLSGTPSLSAFYAHGVLHAPRNFLFPAVYGNESNEDLEKQRDSQIPNQQPTSSPNELHRHVFSLPSSPSFVPLAPPRLIPEAPLDSTSTRNDEFCGGSSKETYIYISNPIYDNVGNRPSRVATPFETPDSSPSRLDTNCSSGEDDNDNAKSTASSPSSLPMTTPPLSPIKKLPAQACSISLRDARSLATSGSDSNNEGISSSSTSGSPCTSPSW >CDP15657 pep chromosome:AUK_PRJEB4211_v1:6:33046016:33046793:1 gene:GSCOC_T00015604001 transcript:CDP15657 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLDFSSQQVDAANGQQNGVVGSPPRTEHAQGFVNISYQELMAVNFDASNVAGVDAAKNSMNGIYEQQNGIVGSSSGQELLQNIVHVAHHEPILEFDVANPSKDGANIKQSNNEETNSRKQKSSCTLRSERGITRELLEQISTMNLVEAAKFLDVTRSTLKRKCREYGICR >CDP06456 pep chromosome:AUK_PRJEB4211_v1:6:870136:873551:-1 gene:GSCOC_T00023316001 transcript:CDP06456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate decarboxylase 2 [Source:Projected from Arabidopsis thaliana (AT5G54960) UniProtKB/Swiss-Prot;Acc:Q9FFT4] MDTRIGALDTCKPLSNDVGSLPTNGAVTIHQSPISFNSADSTLGRHLARRLVQVGVNDVFSVPGDFNLTLLDHLLAEPGLNLVGCCNELNAGYAADGYARCRGVGACVVTFTVGGLSILNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHEAIDTAISTALKESKPVYISISCNLPAIPHPTFSREPVPFSLSPKLSNQKGLEAAVEAAAEFLNKAVKPVMVGGPKLRVAKACEAFVELADASGYALAVMPSAKGLVPEQHSHFIGTYWGAVSTAFCAEIVESADAYIFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVTIANGPAFGCVLMKDFLRELAKKLKRNTTAYENYERIYVPEGHPLKCQPKEALRVNVLFQHIQNMMSGDTAVIAETGDSWFNCQKLKLPAGCGYEFQMQYGSIGWSVGATLGYAQAAQQKRVIACIGDGSFQVTAQDVSTMLRCGQRTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTTKVRCEEELIEAIETATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >CDP10162 pep chromosome:AUK_PRJEB4211_v1:6:13674570:13678361:-1 gene:GSCOC_T00030787001 transcript:CDP10162 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTLIPKKLTKPIKKTTTATSIIKRKFKTTAKVNPRANPSSTIPESDFRDDDDDNDLNPSSSLSTFFEKWPILSPDFYQIDALDLAPLLLGKYLRRDDVVLQITEVEAYRPNDSACHGRFGMTARTAPVFGPGGHAYVYLCYGLHTMLNIVADREGAGAAVLIRSCAPISGLKTIQQRRGIVTEKPVLLTGPGKVGQALGISTDWSNHSLYTPGGLELLDGPRPEHVLVGPRVGIDYALPEHVNALWRFAIAGTPWISAPKNKLRPP >CDP09556 pep chromosome:AUK_PRJEB4211_v1:6:20635475:20635903:-1 gene:GSCOC_T00028975001 transcript:CDP09556 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKKKIEIKKIEKESSRMVTFSKRRKGLFKKVRELQAKIGANVAVIVFSPAGNPYTIGDVSLFDNALNKGTCPASGGAIFNGFDFKKGGKDANAFCASTSNGLREWLNGIDVDGCSEIEELLHMKEQLLVARERIQGLIR >CDP10622 pep chromosome:AUK_PRJEB4211_v1:6:5035035:5036153:-1 gene:GSCOC_T00031395001 transcript:CDP10622 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGKKKLIRSSVAVNLGCSSCRRPRLLSHVFRPKARRKAAASYHKPNHYYSSSGSLETNTSTFSTDADDTCNAYYSSDTDSDIKSLRAVQGFGRIGGESVAVEKDSDDPYLDFRQSMLQMILEKEIYSKDDLKELLNCFLQLNSPYYHGTIVRAFTEIWNGVFSVRSTAASPNLLHGLWRSRGF >CDP15298 pep chromosome:AUK_PRJEB4211_v1:6:3635647:3644373:-1 gene:GSCOC_T00042972001 transcript:CDP15298 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFAQLREAIERVELVDAHAHNIVALDSGVHFLSCFSEATGEEALSHVPHTINFKRSLREISELYGTESSLPAVEEFRRSSGLEVITATCFKAARISTLLIDDGFELDKKQETKWHEKFVPCVRRILRIERLAEQLLDEGSPSGTTWTLDAFTEKFVQKLKSYPFSDLFIAAYRSGLDIDTKVTVEQAEKGLYDVLGAGSPVRIANKNFIDYVFTCALEVALCFDLPIQIHTGFGDKDLDLRLSNPLHLRDVLEDKRFSKSRLVLLHASYPFTKEASYLASVYPQARPVYLDFGLAIPKLSFHGMISSVKELMDLASTRKVMFSTDGCAFPEAFYLGAKKAREVVFSVLRDACIAGDLSIQDAVAAVKDIFADNAKEFYKIKVAEKPIKSEVLAFASNLPTEISASDEDLVLVRIIWVDASGQQRCRVVPRKRFHDVVKKNGVGLACACMGMTSAVDGPAVGTNLSGVGEVRLIPDMLTKYTIPWAKQQEMVLGDMHLTPGEAWEYCPREALRRVSKLLRDEFNLVMNAGFENEFVLLRNIAREEKEEWVPFDTKPYCSTSAFDAVFPILQEVTSSLQSLNITVEQLHAEAGNGQFEMALGYTVCTKAADNLVFTRETIKAVARKHGLLATFLPKYALDDIGSGSHVHISLSENGENVFMARGESTEHGMSNVGEEFMAGVLTHLPSILVFTAPIPNSYDRIQPNTWSGAYLCWGKENREAPLRTACPPGVPNGAVSNFEIKVCDGCANPYLALASIIVAGLDGLRRHLKLPKPVDQNPDNLKEEIQRLPKCLSESLEALEKDTLMRDLIGEKLLTAIKGVRKAEIKYHAENKDAYKKLIHRY >CDP14138 pep chromosome:AUK_PRJEB4211_v1:6:17997806:17999263:-1 gene:GSCOC_T00040374001 transcript:CDP14138 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGHSRVHGQNQNLSQNGSSIQEIHEVTVVMVPLPAQGHLNQLLHLSCLISSYDIPVHYVGTASHNRQAKVRVHGWDPLAISNIHFHEFSIPSYETPPPNPNAPTKFPTQLFPVFNTSIKLREPVYTLLQQLSGTTRRLVVIYDSLMAYVIQDVGLILNAESYCFPSTSAFTVYSIVWEQEGKPGLSEPELLETLEDLPTWESCFPQELTDFAKLQQDFKPISSGYLFNSCRAIEGPYLDLHVKSKITDSDKQWAIGPFNPVEMNGQKNSEKRHYCLDWLDKQAPNSVIFISFGSTTSVSDEEAKEIATGLDKSGQKFIWVLRDADKGDVFQGEDRRAQLPEGFEERIEGRGIVVRDWAPQLEILGHSSTGGFMSHCGWNSCIESISMGVPVAAWPMHSDQPRNALLLEKVLKIGLKVRDWSKQNEPVTSITVENAVRRLMDSAEGEEMWQRAKLLSKAVKDSGMEGGVSRLEMDSFIAHIRR >CDP09545 pep chromosome:AUK_PRJEB4211_v1:6:20291265:20293884:1 gene:GSCOC_T00028962001 transcript:CDP09545 gene_biotype:protein_coding transcript_biotype:protein_coding MLTISPPLFSYPLGDLRSYEQNGSYHGETETSESLLNSPTFRQQVGQNHESHQFSSVNDQSKTVKKLNHNASERDRRKRINGLYSSLPIYTNCAQQSKKLSIPTTVSRVLKYIPELQKEVESLIEKKECLKTKISEQENSSPLRKQRNLGSSTQIPSSTVSASRLSDREVMVQISTMKFSKCSYADVLSSLEQDGFFSLNSSCFESFGERVFHSLHLEVHP >CDP10505 pep chromosome:AUK_PRJEB4211_v1:6:6012175:6013891:-1 gene:GSCOC_T00031252001 transcript:CDP10505 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFSIISLLLLSILVDHQAQGARLIKDSSLAEQHKVHEDKSDLSSSSIGVEDQVNPSVESHGSELGSNRKVMKKTLSPSSTIPTTTTTTSKNDKNDHQGNYKKAEPVLEGQSSSDRLGGKEENFSVNSSPSTHHPPETALDHYPDILDIAGMDYSPAKRKPPIHN >CDP19198 pep chromosome:AUK_PRJEB4211_v1:6:15492381:15495481:-1 gene:GSCOC_T00012647001 transcript:CDP19198 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLFDIAHTILGKLGSLALQQFSMVFCVKNDLDKLQKTLLTIKDVLLDAEEQQAKNDELANWVEELKEVLYDADDFLDEIEVNGLRGQLLFRNIKGKVTFDRSKLAASIVSCATNKPCNESLTFNEVITCLQDSLRGKRFLLILDDVWNEDRPKWLEFRSWLCGGGGGSKVIVTTRNEYVASVMESTYTHKLNSLPDNDCLSLFVKWAFRDGQEKHHPNLVKIGEDIVKKCKGVPLAIRTLGCMLFMKTDESDWLSVRDNEIWLLEQKEDDILPALRLSYNQLPCYLKQCFAYYSMFPKGQDIASIMLIQLWMAQGLIQSCSENEELEDMGTRHVKELCSRSLLEEVEAYGSFITFKMHDLVHDLAVSVAQNERSVPYKNFGNSTEKMRHISLHNYKKESTVAIGGVISRFKYLRVFDLSHSHLEEVPLSIGDLKHLRYLDLSGNNIKSLPTTICRLQNLQTLRLVLCTQLLKIPRGIKYLISLRHLYITTRETSFLEKGIGCLTTLQSLSILRCENLTSLFEGAEKLINLRTLVIGDCPRLTSLPGGMKFLTALENLMIINCEELNFSDWQDFQGFTSLRSLMIGGLPRLGDLPQFASTNSNKLEFLEIIGCPKLTSLPDILICLGGLMVLKIDKCPELSRRCEKELGEDWSKISHVQEIYLNGIKL >CDP14319 pep chromosome:AUK_PRJEB4211_v1:6:35921353:35922056:1 gene:GSCOC_T00040642001 transcript:CDP14319 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFILVFLSAVLAGYFAWTSVRSSQESGLMMVSDHSAHKPTREKQGLSYVEMMQNGFWVFIDMASGRHLWRHLKEMKKGHTTNEEAKTVRVESS >CDP03396 pep chromosome:AUK_PRJEB4211_v1:6:7236433:7239636:-1 gene:GSCOC_T00041969001 transcript:CDP03396 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKTKAPLLAPSSGKFPDYKKSIKLKYVKLGYHYLITHAMYLFITPFVIVIAAQMSTWSLPDLYELWDNLQYNLISVILCSSLIVFISTIYFLTRPRPVYLVDFSCYKPDEAQRCTMKTFIDQSEMVGSFAKENLEFQRKILQRSGLGDNTYLPEAVLKIPPNPSMKEARKEAEAVMFGAIDELLAKTKVKAKDIGILVVNCSLFNPTPSLSAMIINHYKLRGNVASYNLGGMGCSAGLISIDLAKKLLQFHPNSYALVVSMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKFSEKRRSKYQLVHTVRTHKGADDKCYACVFQEEDADGKIGVSLSKELMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFKMKLKPYIPDFKLAFDHFCIHAGGRAVLDELEKNLQLSEWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRVKRGHRVWQIAFGSGFKCNSAVWKALRTVKPAKEKNPWMSEIDKFPVEVPKVADI >CDP03270 pep chromosome:AUK_PRJEB4211_v1:6:8342089:8354211:1 gene:GSCOC_T00041794001 transcript:CDP03270 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLKAAEDLFEVVDRRAKLAAGDKPDEQLNLQTPASNGRGSQPQRTRSKAKTKKPVSSDETPVMVDTEIKQSIPETSQTETVLDKDTAAQLAENSVVSPHSVTRTTVNEDQHGVEGAGSIAETPSPGNVINEDLKTDAGHIEAAATGQIEVVASDNNGEIAHKNVADADEGTAPLSAGDLDHADLPTESSRSTVLGESKFPANIGNDGSQISSSDAISDAETQPRESDLKVESGPDQQRHPERSIVISSEKVQEQLDEAQGLLKNAISTGQSKEARLARICAGLSSRLQEHKSENAQLEELLIAERDLSRSYEERIKHLQKDLSVSKSDVTRVESNMADALAAKNAEIEALVNSVDGLKRQAALSEGNLASLQANMESIMRNRELTETRMMQALREELAAAERRAEDERTAHNATKMAAMEREVELEHRAIEASTALARIQRTADERATKAAELEQKVALLEVECSTLNQELQDMETRARRGQKKSPEEANQAIQMQAWQEEVERARQGQRDAESKLSSTEARYSSTIMFNNNLK >CDP09530 pep chromosome:AUK_PRJEB4211_v1:6:19811721:19815705:1 gene:GSCOC_T00028935001 transcript:CDP09530 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTKIARSLLGTALFSTKTQRFASGSGTRYGSEVHAGSLGSFWSSFSTSAAAAAEPEEARLGTTSSGVETGLFGMLKEYEDYRRALYGGLTHKALLVDAAGTLVAPSQPMAQIYRQIGEKYGVKYSEAEILNRYRWAYEQPWGRSRLRYVNDARPFWEFIVSSSTGCSDSQYVEELYHYYMTEMAWHLCDPDAEKVFQALRKAGVKVAVVSNFDTRLRPVLRALNCDHWFDAVVVSAEVEAEKPNPTIFLKACDLLGVNPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVQSLKEVAQRIGVQV >CDP06357 pep chromosome:AUK_PRJEB4211_v1:6:71721:72239:-1 gene:GSCOC_T00023174001 transcript:CDP06357 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRLFREIEMSVTGIRIQVTEIRMGSLIKRGRMKKMRVKMTDMIMKIQQHRSSLTLLFCYWLII >CDP10389 pep chromosome:AUK_PRJEB4211_v1:6:6968830:6970344:-1 gene:GSCOC_T00031100001 transcript:CDP10389 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFFAIFFYCYTRNKKESAEVPKILCHFTRFRDLPLASVIPQKLHKMRLRRLVHRKLRNESRMRVPTYVASTGSGGADHFFLFFFSKFMQAIQDF >CDP10416 pep chromosome:AUK_PRJEB4211_v1:6:6782615:6785756:-1 gene:GSCOC_T00031136001 transcript:CDP10416 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSSVKKMCEFCRTVKRRGRVYVLCSVNPKHKQRQGISTFAYEAPRPLISSVTSSKPEGTLGSSVHTGLPSLISKKTEPSVTPWWRVGLASILLNRATKQ >CDP15375 pep chromosome:AUK_PRJEB4211_v1:6:4278379:4279701:1 gene:GSCOC_T00043085001 transcript:CDP15375 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVSRLAKNCPCTRSITIDEQQLADNDRTSTNSAAHATVNQLERDFRNKYPRPNKLELDSPPYSSRHSYQFKLLKEGQPNP >CDP20270 pep chromosome:AUK_PRJEB4211_v1:6:21807243:21809637:-1 gene:GSCOC_T00013709001 transcript:CDP20270 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYLCVFMGFIRNECFDPKTWIIPGDNSQTYTLRKEVLSTRIIVYAREKRTIKNKTVADVIEALIGVFICTTSERAALAFMKWMGFEVDFIYVPYKRPVPANPEKLVDLKFFKKLLNQYSFRDASLLVEALTHGSYVRPESPTSYERLKFLGDAVLEYLITMHFYNKHPNLSSGLLTDLRSASVNNDRYARTAIKTGLHKHILHDSQDLQRRILAIVKNFKQSSQDSTFGWGSGPVIKLVADIIKSLAGAIYVDSGYDKEVVFRSIKPLLEPLPTPETLKLQPVRELEELCAKEHFDLKEEDGKLKVNANGVIYARRLSAANKKTARKTASMAILAKLKKNKLHRGEPHSGV >CDP02976 pep chromosome:AUK_PRJEB4211_v1:6:11013253:11014146:1 gene:GSCOC_T00041420001 transcript:CDP02976 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC3 homolog [Source:Projected from Arabidopsis thaliana (AT5G57450) UniProtKB/Swiss-Prot;Acc:Q9FKM5] MNPAALLQHPLPTRTTKCTTGCPILDSFLHGGIPCNSITEIVAESGCGKTQISLQLLLSAQLPTSLGGLSASSLYLHSEFPFPFRRLHQLALSNPTLQNPLDNILIHPLHSADHLLDLLSRLDPLLVHPPPQTRLPIKLIVIDSIAALFRSEFDNNPSDLKRRSGLFFKISSKLKAQAMRFGLAAVVTNQVTDSVDSSDGLRIGNSWFLYSSGRRVCAALGLSWANCVNTRLFLSRDEENVKDDDGDIVNTRTRTRRFISVVFAPHLPHSSCEFFIAKEGVLGFDSCNDFHLENREL >CDP03033 pep chromosome:AUK_PRJEB4211_v1:6:10354686:10358047:1 gene:GSCOC_T00041504001 transcript:CDP03033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit K [Source:Projected from Arabidopsis thaliana (AT4G33250) UniProtKB/Swiss-Prot;Acc:Q9SZA3] MGREISSGGQKSSSSSSAGMSYTVEQLVAVNPYNPDILPDLENFVNEQVSSQTYNLDANLCLLRLYQFEPERMSTQIVARILVKALMAMPAPDFSLCLFLIPERVQMEEQFKTLIVLSHYLETARFRQFWDEAAKSRHLLEVVPGFEQAIQAYAIHVLSLTYQKVPRSVLAEAINIEGLSLDKFVEHHTANSGWVVEKGHVKSPLITLPSNEFNRPELKKNTADGVPFEHVARIFPILG >CDP19210 pep chromosome:AUK_PRJEB4211_v1:6:15640527:15644300:1 gene:GSCOC_T00012663001 transcript:CDP19210 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVCSGVVLLMILAIAPVAIATAAKSALNLEAEALLKSGWWGLSSIIATNISARCRWSGIICNDAGSVTEILLPNYGIQDELTNRELTNFSFSSFPNLVRLDLSGNELDGVIPHQIGTLSKLTYLNLSSNELLGELPSSIVNLTQLAQLDVSLNSIKCLIPPGVGNLTNLITLDLSHNLFFGPIPSTLGHLSKLASLYLRGNGLEGDIPNQIGALSRLVYLNFASNYLYGELPSSLVNLTQLVQLDASWNLIGSLNPLGIGNLTNLVTLDLSYNSFGGFLPEEIGNLKRLLELDLSYSGFSGSIPSTLGQLSNLRSLDLSNNHFSGTIPSSLFNLTNLSQLDIHSNPAMGGFLSEEVGKLKSLDKLDFSGLNLSGALPSSLCGLTKLVSLSGAQNQMHGSIPPEIGNLKILKHLLLGSNRLTGQIPPTLGNLTALVSLDLSSNQISGSIPLQLSIIPSLTFLDLSSNQLRGPISFILNLDTRSSLKRLYLQNNSLSDIFPWKILDLPLLQTVDLSRNRISGKIPTQSEPHVSTLDLSHNILSGTVPWSLLQLEDVDLSYNALEGELPFMKNHRHHPPYYIIGHGVSLLAFSLIGGIVLYIFSKTKVKKVEIELMDNKHGDIFRIWNYDGNMAYEDIIKATNDFDVSYCIGTGGYGSVYRAQLPSGKVVALKKLHRLEGENPNFDKSFRNEADMLSKIRHRNIVKLFGFCIASALSYLHYDCDPPIIHRDVSSNNILLNSQLEATLSDFGTARILELDSSNQTVIAGTFGYMAPELAYTMVVTEKSDVYSFGVVVLETLFGEHPREFLSCISSQPNEPIMLKDLLDARLPPPTNPSVVRNVVVATALALDCVNANLKCRPTMQQVVNRFEVGRQEPTRPLHTIAVNQLVSPVLSLRDQTCADGTSSLSTINEFHVLDISATLPSSNFSIHVNSRQSNTYQSNNLQFQV >CDP03128 pep chromosome:AUK_PRJEB4211_v1:6:9509891:9515063:-1 gene:GSCOC_T00041619001 transcript:CDP03128 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGNLAPNLKLSLPPPDEVALSKFLTESGTFKDGDLLVNRDGVRVVSQNQVEAPSLIRPSDNQLSLADFDAVKVIGKGNGGIVRLVQHKWTGQFFALKVIQMNIEESARRHIAQELKINQSAQCPYVVVCYQSFYDNGAISIILEYMDGGSLADFLKKVRTIPEPYLAAICKQVLKGLWYLHHEKHIIHRDLKPSNLLVNHRGEVKITDFGVSAILASTSGLANTFVGTYNYMSPERIIGSNYGYRSDIWSLGLVLLECATGQFPYSPPQPGEGWINVYELMETIVDHPAPRAPSDLFSPEFCSFISACIQKDPKDRLSANELMTHPFLSKFGDLDIDLAPYFTSAGPPLATL >CDP06595 pep chromosome:AUK_PRJEB4211_v1:6:1960176:1963389:1 gene:GSCOC_T00023502001 transcript:CDP06595 gene_biotype:protein_coding transcript_biotype:protein_coding MEENVICTTETNSVPIEEVFQTSILFMDRKRKLQAEELGTPPPKLKWLSRGFASEYTFPANGDSDVIDKGELNGMSTAEESEQESAKDSNSIAADADSSISVSNETEVGAGHGYFKEYSSDKPINSSVTCGGNCSKHAQNSSKSSFIMKSSSHILESPSAGKKHDFLYHDIGLKSSLNYEEHLLEFGSHSNCSCLECRASFEGYTDKELEEMLYSNGATPNNYVLSSERWTLNQDTQQGAKKLTIDKEFEQYFSMLML >CDP06281 pep chromosome:AUK_PRJEB4211_v1:6:25161547:25162670:1 gene:GSCOC_T00023030001 transcript:CDP06281 gene_biotype:protein_coding transcript_biotype:protein_coding MRALDDLCHYSTSIITLVIKSIIYTLFYFILSDIRIIIFSRNYFLAKELGNSGKESHKLADIDVIDEQDLREALANIEQKHEKEIDELIKSYKNSLCWIINRCGFGLEDFASTAKTEYSVVVLNSYL >CDP14289 pep chromosome:AUK_PRJEB4211_v1:6:36305569:36306840:-1 gene:GSCOC_T00040594001 transcript:CDP14289 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTMTASFLGGSAITKPLPTAAAGRRGALVVKASKVSEGEKMVMNNKEEGSNGRRDLVFAVAAAAACTLAKAAMADGVEPKRGSLEAKKKYAPICVTMPTARICHK >CDP14262 pep chromosome:AUK_PRJEB4211_v1:6:36737771:36743244:1 gene:GSCOC_T00040554001 transcript:CDP14262 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSISYTPEDAISGMGFGKFQGLLLVYAGLGWISEAMEMMILSFVGVAVQSEWGLSPAEESLITSVVFAGMLAGCYFWGIISDAYGRRKGFIGTTTVVTVAGICSSLAPSYILFLASRCLLGFGVGGGLVFAAWFLEFIPIANRGAWIFALTAFWSSGSVVEALLAWIIMPRFGWRWLLALSCLPSLFVLLLSGFTPESPRYLCVKGKMEESQKILEKVATMNKTKLPPGVLVLDRTRNIDEEHCPLLDTSLLPSAREKKRTSGICLSSFFMIFSSKLWKTTLLLWFLYFASTFSYYGIVLLISELSSKHSNCGLIKNFLKSTEDSSLYRDVFITSFADLAGLAVSAVVVDRLGRKLTVKIFLALGFILLLPLVVHHNEIVTTALLFGSRMSVMSGYSVIVVYSREVYPTSVRATGVGSATAIGRIGGIICPLVAVGLVRDCHQTAAILLFEAVIVLTGFCTLFLPSETSGKALTDVTSLSNEEQITPD >CDP06644 pep chromosome:AUK_PRJEB4211_v1:6:2313806:2316273:1 gene:GSCOC_T00023564001 transcript:CDP06644 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASGFRRILSLASSTNSSSSSIRPCLLASTRLHSTLTSPKLFISGLSRTTNDEALNNAFSQFGKPVEVKVITDRATGRSKGFGFVTYETIEEAEKARQEMSGKFLDGWVIFVDPAKPREPRPPPPAQSQGQPSQPGYTVNRTIGWCG >CDP10627 pep chromosome:AUK_PRJEB4211_v1:6:4949238:4953121:-1 gene:GSCOC_T00031401001 transcript:CDP10627 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCLRPSPSTAATNSHASPTFSQGSVDGTAASSFQPSPTVNLTREYACAVQTSSYSEIWSKIHHDSHYNEKVDVGQVELLEESELLEQVLRPSHEFVSEALSHIRLSPLTKLVATYFKHSESTSRLCLFIHRSVHRARLIYASFDNLLDILPEDLDNGAPSLSQFQCDRVFDIFLQFDQFDNPFPSPGSYNFDDMRHCFSQLRQELDHRLHKSRSKIRLLHHATRGSVVCLFAATVGVVISAVVVATHAFAALVASPLCPVCLPSKVSKREVSHLAQLDAAAKGTYVLHNDLDTIDRLVARLHMAFEGDKLLIRLGLERGRDRHSIQEVVKQLRKTRSNFLQQLTDLDEHLFHGISAFLYHQMANKDNGFWRSCKLYQDKLGVFKPVRIWKHSSWDWNPLKLARTVSECARRCHQMAVATKMLQ >CDP03063 pep chromosome:AUK_PRJEB4211_v1:6:10018258:10028566:1 gene:GSCOC_T00041541001 transcript:CDP03063 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFARAVEDGLRLSKRIYFGKDRAVAPPKPITPMDKTPQQMYLPTAVMIYAVIGDPAIVDNPDVPSYQPHVHGRCDPPALIPLQMNGVSLEVDCFLDTAFVTVSGSWRVHCVMGSRACDCRIVIPMGEQGSILGVEVEVPKKSYSTQLISMDDERNAEKGAKAEDVCFLKPHIFTLTIPLVDGGSNLSVKVKWSQKLSYHDGQFTLNIPFSFPDYVTPAGKKISKKEKIHLNVNCGPETEVLCKTTSHPLKELRRQAGQLGFSYESEVLTWSSCDFIFTYNISTSQMYGGALLQSPSLFDVDRREAFCFYLFPGNQSRKVFKKTLIFIIDISGSMRGKPLDDTKNAILSSLSKLDSEDLFNVIAFNGETYIFSSTLVPATTEAIEKVTEWINMNLIAGGSTNILLPLTQAMEMLSDTKSSVPIIFLITDGAVEDERRICDVMKHRLMDKRKMFPRIYTFGIGSFCNHYFLRMLAMIGRGHHDASYDADSIDVRIEGLFTRASSIILANIALENVGDLDEFEVYPSRIPDLSSVSPLIVSGRYQGTFPDALAVSGIFADISNFSADLKVHHAKDIPLHKVQAKQEIELLTAEAWFAENKELETKIAKLSLQNSVISEFTHLLLLETDMVNKKQVPKKVDPQRAEDPKAQKIIVKRNLGVGFGNLTATADNIPPGSDETKHLEAAEIIVKAASNCCGKLCDYCCCMCCIKVCSRLNDQCAVVLTQLCGSLACLGCYTCCGACCPGQDG >CDP10436 pep chromosome:AUK_PRJEB4211_v1:6:6622930:6625609:1 gene:GSCOC_T00031161001 transcript:CDP10436 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MSNVVVLDNGGGLMKAGIGGERDPTAVVPNCTARPLSSKKWLVADQLLSPTEDLTSATLRRPFDRGHLTNPDLQSTLWAHIFANLLKIAPSQCSLLLTEPLFTLPSIQRSVDELVFEEFNFKALFVADSPSLVHLYEASRRPYGLVSKAQCSLVVDSGFSFTHAAPVFQNFTLNYGVKRLDLGGKALTNYLKELVSYRSVNVMDESFIIDDVKEKLCFVSLDVDRDLQIARRRGKTNLFRCAYVLPDGITHTRGYVKDPEEAKRYMGLDDGASLDSLEKDETDRADIIGKPEDRRTIDLSKNEFSMTNERFIVPEMLFRPADLGMNQAGLAECIVRAVNSCHPYLHPILYQSIILTGGSTLFPRFSERLERELRPLVPDVYEVKISSQEDPILGVWRGGSLLASSPDFETMCVTKAEYEELGSARCRKRFFR >CDP10227 pep chromosome:AUK_PRJEB4211_v1:6:12883356:12886673:-1 gene:GSCOC_T00030887001 transcript:CDP10227 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEHHFESKADAGASKTFPQQAGTIRKNGYIVIKARPCKVVEVSTSKTGKHGHAKCHFVGIDIFSGKKLEDIVPSSHNCDVPHVNRSDYQLIDISEDGFVSLLTESGGTKDDLRLPTDDTLLAQIKGGFEEGKDLVVSVMSAMGEEQICAVKDIGKN >CDP10242 pep chromosome:AUK_PRJEB4211_v1:6:12761021:12768616:1 gene:GSCOC_T00030905001 transcript:CDP10242 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] MVVSYQSSSKFANFQFHPSPPLDHFRQKPAGFFLCTSVRPKSNAHNRFRFGLFPLKHSTWRISNIYDFTSKRRCAHASRLSFFPVEKFCGVYDECVSDRCKLSRCIIRSDISASCSASASYPLSESQAISKFRGICFYAVTSFVAIFLFVLMVVAHPFVLLFDKYRRKAQHLIAKVWALLTISPFLKIEFEGLHNLPAKDAPAVYVSNHQSFLDIYTLLTLGKSFKFISKTSIFLFPIIGWAMYFLGTIPLKRLDSRSQLDCLKRCMDLVKKGASVFFFPEGTRSKDGKLGTFKKGAFSVAVKTGVPVIPVTLIGTGKIMPAGMEGRLNPGGIKVVIHPPIEGKDPDFLCSEARKKIADVLIREGYET >CDP10562 pep chromosome:AUK_PRJEB4211_v1:6:5554520:5559223:-1 gene:GSCOC_T00031322001 transcript:CDP10562 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIGSQYLLQPIINNCLGPKLARPSSFPLPLSPSGSGSYPPGGERLILLLRTHPSSPIRPIASAASLPPPPTPNEVHIKVTMDRLADAAHLMIVSDLDHTMVDHHDPENMSLLRFNALWEANYRDNSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGNAMVPDDGWVEFLNQKWDRKIVTEETSKFPELTLQSHTEQRPHKVSFYVQKDKAQDVIKALAARLEERGLDVKIIYSGGMDLDILPQGAGKGQALAYLLKKFKAEGKSPNNTLVCGDSGNDAELFSIPEVYGVMVSNAQEELLQWHAANAKDNSKIIHATERCAAGIIQAIGHFNLGPSVSPRDVTDLSDSKLEDFDPAYEVVKFNLFFERWRRAEVEKSELYLANMKAVCCPSGVLVHPSGIEKLLGDCVNAFRTCYGDQQGKSYRVWVDQVLPTQVGSDSWLVKYKKWELSGEKQKGCLTTVLLSSKGVSVPEGLTWVHVHQTWLDGAGPTDDSSWFF >CDP02886 pep chromosome:AUK_PRJEB4211_v1:6:11987664:11993468:1 gene:GSCOC_T00041292001 transcript:CDP02886 gene_biotype:protein_coding transcript_biotype:protein_coding MATSERSDAAAASSPSSSSPASRSSASAIDFLTLCHRLKTTKRAGWVKKGVENPESIADHMYRMGVMALIAADIPGVNRDKCIKMAIVHDIAEAIVGDITPSDGISKLEKSQREQEALEHMCKLLGGGPQAKEIADLWTEYEENTSLEAKVVKDLDKVRQDFIETLEYENEQGKDLDEFFQSTAGKFQTNIGKAWASEIASRRRNH >CDP06673 pep chromosome:AUK_PRJEB4211_v1:6:2509263:2513990:1 gene:GSCOC_T00023602001 transcript:CDP06673 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGNTTRLYVGHLSSRTRSRDLEHLFSRYGRVRDVDMKQDFAFVDFSDPRDADDAIYSLNGREVDGRRIIVELAKGVPRGPGGVREYLGRGPPPGSGRCFNCGLDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLRRGRSYSRSPVRSRTPRRGRGRSPSYSRSRSYSRSRSPPVRGRVLEREDRRSRSPYSSPDLKKAPSRSRKHSLTPDEADMRARESPLIEKERLVNKQDENSQSPIERSKSPVGYDRRYDNSPVEANGHSRSPSLRDERSPVGDNDEQNTPRGSESP >CDP03084 pep chromosome:AUK_PRJEB4211_v1:6:9862736:9869881:-1 gene:GSCOC_T00041567001 transcript:CDP03084 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRQSSIIAASPEENNLFLDILHEAPLFGHRKPTSILGSIFYCILLASYAIFAAGATWFFHPQPELISPLLCSSDVILLVITGLFQQYLVYQVQKIRLQGYYVFSQKLKHIIRLPFAVIAYGTAAMLLIHVWEIYIRFLSISTILRIIMLAEIICAAFFMSVYIGYVHQYNALDSQPDVLKSLYSPLQPSSSLEELRYHEGGRLSDQQMALLQYQRENIHFLSEEILRLQECLSKYRRSDDGSTPQVDLAHLLAARDQELRTVSAEMNQLQSELSLARSLISERDSEIQRVQSTNNQYIEENERLRAILGEWSTRAAKLERALEVERLSNLELQKKLATMKSQTSRELTGPS >CDP11661 pep chromosome:AUK_PRJEB4211_v1:6:27981466:27984647:-1 gene:GSCOC_T00034078001 transcript:CDP11661 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRCNLFSLQVFPKIKDSIQGGIMLCISSYFEFVRLRNFLKSQEALFCLLGEYTKQSDISRGRVWFFQGQRKIMLYTERAHFYHRYKIRAIQKLIIYSLPERKEFYPEIVNMLEGSQNMSCTVLFSRFDQFRLERIVGSASAKRMITSEKGVFVFC >CDP02846 pep chromosome:AUK_PRJEB4211_v1:6:12498191:12500052:-1 gene:GSCOC_T00041238001 transcript:CDP02846 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGMGRHNFDIDILKETLCAQQQLLQKLYNELEAEREASSSAASEALSMILRLQGEKAAVQMEAEQYKRLAEEKMGYAEESLAIFEDIMYQKDMEMAALDSQVQAYRYKLLSMGCEDPGIGETTSPEDLLQRNESFVGETNLKRIGRRNSAPLLTLISCMRKGVTERESCLSPKTDLTDNSNMGDINSYLEQIRKLDGRVKEIAGVSYANLQSSTRSPSPLSQSSGNPYDLTREPTAYDMDKVKHPGNVKESEITTECPCSPGVLDVFEVPQVEESYDDCRLKRKNQKKMVLQDENKVEKPDAISEEIVKPYIQEQESDWLQKVLKSSQQQKTFCKPSSAIEVDCNFATVHPIGKVSISQPLLQQFNQTSEIVEIDRQAGRLVSADREEERKLLKEIREKLNSIECEIRSWKVKESSHRDEVPIATLAEQAMLHFWL >CDP10552 pep chromosome:AUK_PRJEB4211_v1:6:5647564:5651058:-1 gene:GSCOC_T00031311001 transcript:CDP10552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase BAH1-like [Source:Projected from Arabidopsis thaliana (AT2G38920) UniProtKB/Swiss-Prot;Acc:Q8GW10] MKFGETFMEYLNGDQERHLDKCSHVEYKRLKKVLKSCRTTASSPADTSSITTTSSSPFCQCQSCPLCDQIFFSELMKEASEVAGFFSSRVRRLLHLHIASGMQRYVVRLRHFFKHDEQVMVQEGRMLIEYVTMNALAIRKLLKKYDKVHRSANGMRFKSKMRTEHIEILRSPWLIELGAFYMNFTGSNGGSPNDLFSRVSYELSTAEATMTLQLSDLLKLEYNLTCPICLEIVFNPYALSCGHLFCKLCACTAASVMIFQGPKAARPDSKCPVCREVGVYSNSIHMLELDLLLKKRCKEHWKERLSAERAEMVKQSKDYWDMQTKFMVGF >CDP15325 pep chromosome:AUK_PRJEB4211_v1:6:3843908:3844537:-1 gene:GSCOC_T00043012001 transcript:CDP15325 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCFFKGHFCLHLVSKKETTPPPPPHLGSTSPVPCSSSHLQILRRGRRSRLRQPHIYRYRSRVPWSPLKSIRPRRAVPLQQPRRPWLPSQSPQPLVATAASSSKNSRILPLQILITSDHPNQNFSKSPLPFKPLPEFLTNPFGPCDLLDKESPLLLKPHSNGLSFDTSRKHAKRDSSSGRLLESGDMERFAMCRNWQFGSDNPCKCPH >CDP10379 pep chromosome:AUK_PRJEB4211_v1:6:7037561:7044218:-1 gene:GSCOC_T00031088001 transcript:CDP10379 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase-like protein [Source:Projected from Arabidopsis thaliana (AT5G20080) UniProtKB/Swiss-Prot;Acc:P83291] MAALLKKLAKKAAPMIAFNGAFLAQSKSTFPNFRIPFGAIAAVSGGISYYYYFSSSSVAYLDEIADDTGSKMALNPNKWTEFKLEDKAQVSHNTQLFRFSFDPSVRLGLDIASCILTRAPTGQDAEGKTKYVIRPYTPISDPDAKGYFDLMIKVYPEGKMSQHFASLKPGDVMEVKGPIEKLRYSPNMKKHIGMIAGGTGITPMLQIIDAILKNPDDNTQVSLLYANVSPDDILLKKKLDVLAASHPNLTIHYTVDNPTNDWIGGKGYISKDMVVKGLPGPADDTLILVCGPPDMMQHISGDKAKDYSQGELTGLLKELGYTESMVYKF >CDP03131 pep chromosome:AUK_PRJEB4211_v1:6:9470011:9480440:1 gene:GSCOC_T00041623001 transcript:CDP03131 gene_biotype:protein_coding transcript_biotype:protein_coding MERQVGEEVEGKVLAAPAEELDVESDEQLMSTLTLERVAAAKKYIEDHYRAHMKLIQQRKERRSALETKLACSDVSEEEQLNLLKDLERKETEYMRLKRHKISVADFQLLTIIGRGAFGEVRLCQDKKSGEIYAMKKLKKSEMLSRGQVDHVRAERNLLAEVASYFIVKLYYSFQDADYLYLVMEYLPGGDMMTLLMREETLTETVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHLKLSDFGLCKPLDCSNLTPINENEVMGDPSTKGSVVPNGCFPDKGNGSFWNSSLEQLQHWQKNRRKLAFSTVGTPDYIAPEVLLKRGYSMECDWWSLGAIMYEMLIGYPPFYSDDPITTCRKIVHWKNHLRFPDEARLSPEAKDLISRLLCDVEHRLGSHGAEQIKAHPWFKDILWDKLYSMEAAYKPEVNGELDTQNFMKFDEVDPPPAKNSSGHLRKMHLTSKDLSFIGYTYKNFEAVKGLPKSHDSKSSVSPERLSNASVCSDSEVDYCRMYATDDAEVLIRASSAADVSSQ >CDP10251 pep chromosome:AUK_PRJEB4211_v1:6:12693683:12698016:-1 gene:GSCOC_T00030916001 transcript:CDP10251 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKYRAVDEPPPPPPPQPYYRHPTASSSGYSYFTEQAIRAGYRIPSSGRANEYFNSGPGWANDCFNADFGQANEYIRNRLDVREAIQRELEKERIREEIIAAERQALEAEVRREMMMERELAIWARRGRVDAFDRFSFPFGGAMEFQPRDVLFLHNKGQSLEERIAMSLEERLGMRERHETGRLGMVPLQHGSIEPKIKEFMSSPADVKKDKIVILAKPDASLLGAKRKAVPPEEEGAGDLSGGVSKKKVEEEWSCALCQVSATSERGLNDHIQGKKHKSKEAALRAQRNGNNIGIGTFPKKDIKPSVEVAHKVSSEMGLKSRGELSQFNQTRESSLQINSSTERTKENNKAPNKGQKKKKICTFWCEMCQVGACNESVMEAHRKGKKHMNRLQEADEATIGDDINNEDADGAVLGR >CDP15669 pep chromosome:AUK_PRJEB4211_v1:6:33191778:33192842:1 gene:GSCOC_T00015618001 transcript:CDP15669 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIEHDEPIVEDPQRDGASIEQGDNEVTNLKVQKPSCTLKSDLGITREVLEQNSTRKLEDAAKNIGVSRSTLKRICREYGIHRWPPRKARKINQAFAEQKIVQHSTEDTHEPHQSGAARLEDDNGMWVKVEYQGFMIKFRLPFSARKIDLEEKVAQRLNLPVGSFKIEYQDEDDDRIRITCDEDLRTFMSSLSSLGRTTIKMYIVEDSPNRR >CDP14109 pep chromosome:AUK_PRJEB4211_v1:6:17632232:17635773:1 gene:GSCOC_T00040334001 transcript:CDP14109 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGCSGVVVLMILAVAIAGAAKESAVNLLEAEALLKSGWWGDSSTAATNISAHCHWPGIICNDAGSVTEILLPNYRIQDDLTNFSLSSFPNLVRLDLSGNELYGAIPHQIGALSKLTHLNLSSNALRGELPSSLVNLTQLDVSSNRIKSLIPPGIGNLTNLITLDLSYNSFFGPIPPTLGHLSKLVSLDLSGNGLSGAIPHQIGALSKLAYLNLSSNALQGELPSSLVNLTQLAQLDVSWNLIDSLNPLEIGNSTNLVTLDLSYNSFGGPILPTLGQLYNLRSLDLSNNLFSGTIPSALFNLTNLSWLHIQCNPKIGGFLPEEIGNLKRLLELDLRYSRFSGSIPPTLGQLSNIRSLDLRNNHFNGTIPSALFNLTNLSWLHIQCNPKIGGFLPEEIGNLKRLLELDLRYSRFSGSIPPTLGQLSNIRSLDLRNNHFNGTIPSALFNLTNLSRLYIHSNPSMGGFLPEEIGNLKSLVELDFSDLNLSGALPSALCGLTKLVSLSVAENQIYGSIPSEIGNLKYLEYLDLGSNRLTGQIPPTLGNLTALFYLDISSNQISGSLPLGLFDPPFLQILDLSSNQLVGPIPTQFGDDIIKSERYHLTLNLSHNILSGTIPSSLMRLEERLGDVDLSYNALEGELPCELVNVFGSKSFAGNRDLHHDSTLCGVSPSVMGNQAPSVVGNHKHRTLYYIIGLGVTLLVFAITGGLVIYICCFKKVEVELMDNKHGDIFRIWNYDGNMAYEDIIKATNDFDVSYCIGTGGYGSVYRARLPSGKVVALKKLHRLEGENPNFDKSFRNEGDMLSKIRHRNIVKLFGFCLHKRCMFLIYEYMERGSLFCILRDETEAVELDWIKRVNLIKGIASALSYLHYDCDPPIIHRDVSSNNILLNSQLEATLSDFGTARILELDSSNQTVIAGTFGYMAPELAYTMVVTEKSDVYSFGVVVLETLFGEHPREFLSCISSQPNEPIMLKDLLDARLPPPTNPLVVRNVVVATALALDCVNANPKCRPTMQQVVNRFEVGWQEPTRPLHTIAVNQLVSPVLSLRDQTCADGTSSLSTINEFHVLDISATLPSSNFSIHVST >CDP06509 pep chromosome:AUK_PRJEB4211_v1:6:1306113:1307504:-1 gene:GSCOC_T00023385001 transcript:CDP06509 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTAVHVVSKCTVYPESKSALFPSLKLSVSDLPMLSCQYIQKGVLLSQPPLDAASLLSLLKLSLSKALSHFPPLAGRLHTDPHGHVHILCNDAGVDFVHAKALHLSLPTLVPSDQLHLHDIPPCFRKFFQFDHTLSYAGHHKPLLAVQVTELNGGLFIGCTMNHAVVDGTSFWNFFNTFAEACRGAKRISKSPNFCRETVFTSPAVLQLPAGGPSATFSGDEPSREKIFHFSREAVLQLKLRTNKTCKMGSWVAGDGEKGGRTNGKVTRKSLKPATDEISSFQSLCAQLWRSVTRARNLDGNRRTTFRMAVNCRHRLEPRVDPLYFGNLIQSIPTVACVEELLGNDLSWGADRLHRNVLAHDDSTVRRGVEEWESNPRLFPLGNFDGAMITMGSSPRFPMYDNDFGWGRPIAVRSGRANKFDGKISAFPGREGNGSVDLEVVLAPETMAALEKDVEFMQYVS >CDP14113 pep chromosome:AUK_PRJEB4211_v1:6:17660704:17665727:1 gene:GSCOC_T00040338001 transcript:CDP14113 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAKAKMVSAERRGGRKRGKKKKKKCVGVGKVLNSKARKYYSHVTTLLCPIYSRSSPCHVTIRRKLLVKIQIGFSSLIDFLAMELDNQATAAVEDHHQPRRRLCEKGCERPINVCLCDTIPKKPIPTVTKIVILHHPHEQRHKLATVPVLKKCLDNCEVIVGRKLRYGDSVLLDSLHDFAVANPDLPFRAIYFFPGKDALPLAEFKLPNSLAGDSDMINSVLVVFDGTWKHAKEMLHASLSFLSKFAIQACLDYDDRIEGGTIFESDLTLRKEPFSGCMSTMEAVARCLRLFEPNGLEIESILTDVLGAMVKFQVSFLKPVKPRPKVLKKTKEDGKKRN >CDP09583 pep chromosome:AUK_PRJEB4211_v1:6:21145082:21151703:-1 gene:GSCOC_T00029014001 transcript:CDP09583 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRRAFNPFRKQSFRVGTSQICNELETARCIVENCNSGYTGPHQMISNKHLSLTRFFNTTCDSPKLLLGTRSFASQAGTKSSGEDDDDDLEDGFSELETSTTSNITNESDATHRNEDDLISEPELSEEDDDDIGLDKPQDELELSDAEDDKGKKTLQKTASSELFKVILAAPGLSVHKVVDKWLEEGNEVTRSEIAYTMLNLRKRRMFGRALQLSEWLELKGGHEFGEREYASHLDLIAKVRGIEKAENYIQNIPKSFRGEILYRTLLANCVAVANLKKAEDVFNKMKDLEFPITSFACNQLLLLYKRCDKKKIADVLLLMEKDNVKPSLFTYKILIDAKGQSNDITGMEKIVETMKDDDIKPDFATKALLARHYISGGLKEKAESLLQEMEGVDIKENRWACHSFLRLYADLGKADEVHRIWKVCESRPRLLECLAAIEAWGKLKKVEEAEAVFESMAKKWSKLSGKHYSALLKVYANQKLLDKGKDLVKRMADSNCYIGPLTWDALVKLYVDAGEVEKADSILQKAAEQNRMRPLFSSFMAIMDQYSKRGDVHNTEKLFHKMRKVGYVSRIRQYQSVVQAYINAKTPVYGFHERMKADNIFPNRALAAQLAQVDPFKKTAVSDLLD >CDP09549 pep chromosome:AUK_PRJEB4211_v1:6:20524333:20526470:1 gene:GSCOC_T00028966001 transcript:CDP09549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT2G39060) UniProtKB/TrEMBL;Acc:A0A178VR23] MAVLSAQVLALIFGLLVWLSNFEFSTGNIISFLVFLAPLPTFYTIYKKKTSEGFQSIPYVVALFSASLLLYYAFLKTNALLIVTINAIGCIIETIYLSMYVLYAPKTSKMFTLKLLGFFNIGCLGVIVAVSLALAKGAKRVSLVGWFCAVINLAVFAAPLSIMAQVIRTKSVEYMPFTLSFFLTLCATSWFFYGFFVRDYYIAVPNVMGFLFGIVQMILYFIYKNAKKDGEINTKLEGAMEQKEGIQMNIEGVEDKKFSSIEKPKSAAAHKPQDIEMGNV >CDP14194 pep chromosome:AUK_PRJEB4211_v1:6:18671941:18684634:-1 gene:GSCOC_T00040452001 transcript:CDP14194 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFPDTSRPYVKQELPGVLLFRLKEQLFPYNLNWKVPCFPFFNCNLDLFGVRRLHSQEIVRQKDSSSRKMSTWAVKTMDMDVTTEEDSVALRLSPAYETAMEALSSLITRQKRGGASKIGGKYKKLDRMLMYIKILGLEGHLGGLKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRVNGLDITEDKFLLHFWDCWHRLKENLTEDLPMPPLFQFLTVMAFKIFVEEKVDVAIIEVGLGGKKDSTNVIKEPVVCGIASLGMDHMEALGDTLAEIASHKAGIFKPQVPSFTVPQRSEAMDVLRERANELMIPLEVVAPIDCKWLDGIKLSLSGDHQYSNAALAASLCKSWIRSTGNWEKLFQHDDKDSLPEPFIRGLSTARLFGRAQIVHDSSSNSSTVSMVNGAASGDLTFYLDGAHSPESLEACGRWFATVVKDDKNLLSLDSCLKTGIGEEVSENGFVYTGSKESNKISKRILLFNCMDVRDPQILLPQLVNTCATLGTHFSKAIFVPSISTYNKVTSGASAVPFHLPAKDLTWQFNLQRIWENILHGKGFPEKPLKKENPACFPPNNFLYEDISHCKPADGNFACSTVVSSLPQTIKWLRDCVKENPRLRIQVLVTGSLHLVGDVLKLINR >CDP03326 pep chromosome:AUK_PRJEB4211_v1:6:7903714:7908547:1 gene:GSCOC_T00041871001 transcript:CDP03326 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLIASPHTKTTSHLILTSICRTGLLSEYPIHPIRLRFYPLLRLQFTFRPSSRYLYSCTKEQQHGPIDLSKYRETFSKRMALAGLKPHHRLALGVSGGPDSIALCVLAAMWKREGQCGIEVIDSSGFIDGLMAIVVDHGLRRESKEEANLVCSRVTKMGIRCEIALCEWSEGRPKQGHLQEAAREKRYQILQEVCIRHQIGVLMIAHHADDQAELFILRLSRGSGVLGLSGMASVSQLFPMFPDYSREALNWHGLLLVRPLLEFSKEDMFQICKGGNQEWVEDPTNQSPVFARNRIRMSLNNLSSSIFKAELQAIISACREMRLHVDKICSNLINQAVTIMPEGYAVINLKILNASSIKDIYLSKFLALVLQFISQRHRPVRGSASKLLLDYIRTFPSRTCLTAAGCYLSPVPGSKGSKVLICCSLNSNVPVKLEIIQAHANGKQNSISSEVEQIVRNAKACLDKFICGVSDVRLFSLTSPHIVDLKSSESVLIEAKRLGILSNSTYDTIFSLQNHEKQHFMSKTEVMSDRDLTNGRKPEDTIASRRIYPGQIGYFMSRFLIKWNPCREMPYKLFSSSETHYDKVLELERQQSCSSCLVHHEHVAEIRCMVDSDWLYLANLSKSQNMGNSLEQVLSAAAIKQEAGKIKTWSDFVKLSAQRALMSLKCIPVNARRSLPVLVDSQGLLLSIPNVGFRHCPCLDVCAIFKPRVPVGGGHTSFL >CDP10078 pep chromosome:AUK_PRJEB4211_v1:6:14833655:14839999:-1 gene:GSCOC_T00030674001 transcript:CDP10078 gene_biotype:protein_coding transcript_biotype:protein_coding MESFCSNFDLNPSTTSLISKSFQRPTSKLFFPSPSSICDKNKKFGHKLPATRKSNTQQKNKAPVELKDSLFRWVSGGVFGFAAAVSLCCSDSPAFAQSITIAFPVSHTREINTVQRTLVEAWGLIRETFVDPTFNHQDWDLKLQQTMVEIFPLKSEDAAYGKIKGMLSSLGDPFTRIISPKEYQSFRIGTDGNLQGVGLFITVEPKTGHLVVSSCVENGPAARAGIHEGDELVEINGEGLEGIGSEAAAQKLRGRAGTTVTVKIHPGTDSKNSNFREVKLPREVIRFSPVSSAIITHRTPDGHMSKTGYVKLSAFSQSSATDMQNTIREMENQGVQSYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTIDRDGNLFSISMANGHAVTHDPLVVLVNEGSASASEILAGALHDNGRALLVGHRTFGKGKIQSITELDDGSALFITVAKYLSPGLHDIDQVGITPDVQCSADMLNSPKESSKDKNLNSPLEADSCIIIAEHELDIQESEASPSQVIVQPRRTSTPLN >CDP10626 pep chromosome:AUK_PRJEB4211_v1:6:4955575:4959186:-1 gene:GSCOC_T00031400001 transcript:CDP10626 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPIQELVDWEHKSKINGKMHACGHDAHTTMLLGAARLLQNTKNNLKGTVKLVFQPGEEGHAGAYHVLQEAALEGVEAIFGLHVSPEMFVGTVASRPGPMLAGSGRFSAIVQGIGGHAAAPHKTRDPIVAASMAIVALQQIVSRETDPLEARVVSIGSIEGGQAGNVIPETVKFGGTFRFMTSESFSYLRQRIKEVIEIQAHVYHCTATVDFMEEKLRAYGPTINDPSMYKHAKRIGDILLGENGVQLTPMSMGAEDFSFYGQKMRAAFFKIGIRNKTMDSIKDIHTPYFAIDEEALPIGAAFHAAVATTYLDSHAQIQ >CDP10170 pep chromosome:AUK_PRJEB4211_v1:6:13624422:13625121:1 gene:GSCOC_T00030797001 transcript:CDP10170 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISTRVSLCLLALMMAGCVRVSSDFAQDKRECQDQLAGLSPCVSFISEEEKHPSPVCCLRLGNNYDQKRRCLCMLVRDRNEPGLGFKINATLALALPFICRIPANATQCLDFLHLDPRSPDAQIFLQFSNSSGSRTTTISGIGTLSILYALSYNFLCPCFCRIFLFLINSTPMIIGG >CDP06600 pep chromosome:AUK_PRJEB4211_v1:6:2001165:2004480:1 gene:GSCOC_T00023508001 transcript:CDP06600 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLYKPDVCLPLFLPFFFFLLSPHLRLSLSLCKDALALSPSPSPSLCLSLSAIWSFPLQFCCWRSIEMEFEGRYGQVQRQKYDCLLFDLDDTLYPLSSGLAAGCLKNIQDYMVEKLGIHKTKIPDLCNLLYKNYGTTMAGLRAIGYDFDYDDYHSFVHGRLPYDNLKPDPVLKSLLLGLPFRKLIFTNADEAHATKVLSRLGLEGCFEGIICFETLNPTHKTAVSDDEDDIEFVGSATPSSPGSRSSDIFDIIAHFAQPNPSAALPKTPIVCKPSEAAIERALELANINPHRTLFFEDSVRNIQAGKRVGLHTVLVGTSQRVRGADCVLESIHNIREAIPELWEADKMAEVNYSGVAVETSVTA >CDP10385 pep chromosome:AUK_PRJEB4211_v1:6:6999082:7002551:-1 gene:GSCOC_T00031094001 transcript:CDP10385 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMLQPPLVDTTACLCRVDAGLKTVAGAKKYVPGTKLCLQPDIKPSIHPTRQKPPRDKNRSQSPLLPGLPDDLAIACLIRVPRIEHRKLRLVCKRWYRLLAGNFFYSLRKNLGIAEEWIYIMKRDRDGKISWHAFDPMYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPVKGSMRRVIFYSARTNKWHRAPDMLQRRHFFGSCVINNCLYVAGGENDGIHRSLRSAEVYDPNKNRWSIICEMSTAMVPFIGVVYEGKWFLKGLGSHRQVLSELYQPETDRWYPVVYDEATDSWSKHIDSKMHLGNSKALEAAALLPLNGKLCIIRNNMSISVVDVSKSDDSRSGAPAEHLWETISGKGQLKTLVTNFLSGLAGRNRVKHHIVHCQVLQA >CDP10584 pep chromosome:AUK_PRJEB4211_v1:6:5390378:5395074:-1 gene:GSCOC_T00031348001 transcript:CDP10584 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGHSQFDGNAAFAGGGFMPSQATQTTADASYSPAKNRDTQTLIPLTVKQISEAFHSSDDKMNLLVDGVEVNNVKMVGLLKNKTERVTDIQFVVDDGTGCIDCFRWVNEAVDSKEMERVMDGMYVVIHGHLKGFQGKKQMMVYSVRPVTEYNEIANHFADCIYVHCYNTRLQKLQENTSTSVNVPNSGFNTPVKGYQPSLSNQFSGQYTVDRLEGVEKLILDYLQQPALLESVKGASRDELAQRLNVPLERILKAIEWLECEGFIYSTIDEFHFKSITNG >CDP02998 pep chromosome:AUK_PRJEB4211_v1:6:10798667:10806263:1 gene:GSCOC_T00041454001 transcript:CDP02998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate phytyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G18950) UniProtKB/Swiss-Prot;Acc:Q8VWJ1] MESLLIGSFAKPSSLPSFPLTSELSSPSSGLLGLKCKRWNNLEKQLAVIPKRRLLMRQHVGSDSSQKFVAFCWKGSDKYLVNAVSEHPFESEPSNSPLKSLQASLDAFYRFSRPHTVIGTVLSIISVSLLAVEKVSDFSPLFFTGMFEAIAAAFLMNIYIVGLNQLSDIDIDKVNKPYLPLASGEYSVETGIIIVSSFVIMSFWLGWIVGSWPLFWALFVSFVLGTAYSMNVPWLRWKRFAFVAALCILAVRAVIVQLAFYLHIQTFVFRRPALLSKPVIFATAFMTFFSVVIALFKDIPDIVGDKIYGIESFSVRLGQERVFWICISLLQMAYIVAIFVGVTSSQLWSKYIMVGGHILLASILWRRAKSVDLESKTQIISFYMFIWKLFYAEYFLIPLVR >CDP16330 pep chromosome:AUK_PRJEB4211_v1:6:29001749:29001961:-1 gene:GSCOC_T00018129001 transcript:CDP16330 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIEDNNTMVFIVDIRADKKKIKDAVKKMYDIQIKKVNTMIRPDGTKKAYVWLTPDYDALDVANKIGII >CDP06210 pep chromosome:AUK_PRJEB4211_v1:6:22476662:22478311:1 gene:GSCOC_T00022893001 transcript:CDP06210 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILIGYDLQKFIDGSCPPLPPTINTNDIVSSNPAYQTWLCQDKLLLGTLVGTLSPSLVPLITQSQSSLEAWQTLANTYACLSRGYIKQLRDNLKHITKGIQSITEYMQSIKTQANELAALGKPLDHEDLVEKASAHATTTQGPPTSRTSRAACPFLGRCQWCSIQGHVVSRCPLFRQQFSHAQPPSRHTASSFSVTSPPWQAQAHVATHPTSDTLNTPWLLDSGATYHVSTDLRNLALHSPYHGTDEIMIGDGSGLPISHTGSISFNTPFFSFTLSNVLCVPTTKRNLIFISQFCKSNNTSIEFLPSSFCVKDLHTEALILQGRTKNRVYEWPTSISKSPLLAFSSVKAPLPAWHHRLGHPSLSTFKHILSIHKLPFSSSSLSTSSPLEVVFTDIKALVEKFFA >CDP14230 pep chromosome:AUK_PRJEB4211_v1:6:37261949:37264561:-1 gene:GSCOC_T00040503001 transcript:CDP14230 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGLQLIFSLWVGGGGRMGLSDCSSWGGGGGVVDLTAIHGPNYVVISSKARRDFLLAVQQIAGLKFSNPVERRFVTELAMTLQQMWGKCS >CDP15439 pep chromosome:AUK_PRJEB4211_v1:6:4749078:4751788:-1 gene:GSCOC_T00043171001 transcript:CDP15439 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRMVVGTLRGFDQFMNLVVDDTVEVNGNDKIKIGMVVIRGNSVVTVEALEPVARAQ >CDP15444 pep chromosome:AUK_PRJEB4211_v1:6:4773751:4782225:1 gene:GSCOC_T00043177001 transcript:CDP15444 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRHEKEKGVNVQVLLRCRPFSEDELRNNAPQVVTCHDYQREVQVSQSIAGKHFDRVFTFDKVFGPSAQQKDLYDQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKSGPNGELPPEAGVIPRAVKQIFDTLESQNAEYSVKVTFLELYNEEITDLLAPDDLSKVALEDKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIDRLKAEVHAAREKNGVYIPKERYYQEESERKAMADQIEQMGITIENHQKASFISNSYCITQLEELQARYDAQVQECLDLRTKLESTQKDLYRTTKLLAITEEELRRSQYTLEERDYYISEQKKAENALAHQACVLRANLEKALLDNASLLAKIAREDKLIADNRFAVNNFQSELAQQVSSLCRMVTGSISQQNDHIECVDKLCHSFLESHEKAMADIKKKLSASKASFISHFEAMQNVVRLHGATSNAALEEISALAASDADSTEEFLAADSVEAKSVFDKLQDTLSTHQGEMAHFARELRQRFNAGVEHLTSTSDGIHGFLEKLMEESKRLERHASQIDEVQTNSIAEFQKAYEEQSRSNAEQLIADMTALVSTHIQRQKELVDARLVNLRETVTGNKTFLDGHISLVDGITTETKRKWQDYFMQAENDIKDSADFSAAKHCRMELLFQESVSTTQAAIKRWEKTQESVTEMGSQHVTKMASLVRNLCDGNEQHLSEIDSARIAAEVDVVRSNEDTIQCFNGMSEQERRSVSEILTASRAHSETFEKLQNDHNMQSAVIGQKANEAFNEQYMDYEPAGTTPTRSEPDVPSKSSIEALRAMPMDTLLEEFRENHSYESFRRKEAKPSLVPRSPLSQIN >CDP16101 pep chromosome:AUK_PRJEB4211_v1:6:33245299:33246977:1 gene:GSCOC_T00017141001 transcript:CDP16101 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLFLLLLLFSILISIGKKHKQLRNIRQPPGPPGLPFIGNLHQFDSEKAHEFLSQLSKKYGPLMSLQLGSVPVLVISSARMAKQALTTHDLVFSGRPASVGRQKLSYNRRDIAFSPYSDYWREMRKICVLQLFSLKRVQSFRPIREDEISSMIQKILNLSSSSKLVDLRTIIMSLTSTIICRVAFGKRYDEEGHERKRFDKLLQESQAMIGGFFISDYFPSFSWVDKFSGIIERLEKNFNELDLFYQELIQEHLNPNRPKSMKDDLLDLLIQLKEEQSSIIGLSWDHIKAILMDIFIAGTDTAAAAIIWAMTALMKSPSALKKVQAEVRKLVGEKGRVDEEDIQELPYLKAVIKETLRLYPPAPLLGPRETTQECTIEGYEIKYKTLVYINAWAIGRDPECWKSPDDFIPERFLNSNIDFRGQDFEMIPFGAGRRGCPGFSLGLATVELALANLLYHFDWKLPFGMKAEDVDTEVMPGLTMHPKNALSLFAKKYG >CDP14219 pep chromosome:AUK_PRJEB4211_v1:6:19002479:19003614:1 gene:GSCOC_T00040488001 transcript:CDP14219 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFLNHAEQYSVSRPNYPQELFHFIASKTPHHDLAWDVGTGSGQAALSLAKIFKNVIATDTSQKQLQYAPKLPNVRYRSTSPAMSAEELERDVAKPDTVDLVTIGQAIHWFDLPTFFQQVKLVLKRPQGVIAAWCYTTPQVNDRVDAVFRQFYFVDSRPYWHPGRNLVFDKYESMEFPFLPVDGAEHTGPFEFITEKLMDLDHYLRYAKSGSAYQTALEKGIDLLSEDVIEEFTSAWNEDGSNKKSVKFPVYLRIGKVGK >CDP15416 pep chromosome:AUK_PRJEB4211_v1:6:4614353:4621347:-1 gene:GSCOC_T00043144001 transcript:CDP15416 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSCGRRVTVGDVASKAGLQFNEAQKALQALAADTEGFLEVSDEGDVLYVFPKDYRSKLAAKSFSIRIEPLLEKAKLGAEYLVRVSFGTALIASIVVVYTTIIAVLSSRSDEDNRGRRSRSYDTGFTFYFSPTDLFWYWDPYYYRRRRLREDSGDMNFIESVFSFVFGDGDPNQGIEEERWKLIGEYIASNGGVVTAEEVAPYLDIETTDKVDEDSYILPVLLRFDGQPEVDEEGNILYRFPLLQRTAAPQRSGRKEYIGRKWSDWVGGEKFFKENKWRFSKTSSSERAMVIGLGGLNLFGVIFLGTMLKSMTVSPSGFISFVSDIFPLLQIYAGSFFAIPLVRWFFVQRTNAKIENRNKAREQRARALELPDLSLRRKILSARDMAQRNYIGKERIVYSTDKDLYDQDYEGREWDRRFREVEKSD >CDP06351 pep chromosome:AUK_PRJEB4211_v1:6:8877:13046:-1 gene:GSCOC_T00023166001 transcript:CDP06351 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVGGGGGGSSYTLPGKRRWKGLVIGVLGLVLLSMLVPLVFLLGLHNSFQSHSGFDSGQQISGTNDITIFGQPSDADTKNNSTEEQSRHVDDLIRRLEPTLPKDFRRNSVEEAKNRSNGIIPIKVSLSLSVSCVSVYHSYFNDC >CDP10463 pep chromosome:AUK_PRJEB4211_v1:6:6309307:6312012:-1 gene:GSCOC_T00031195001 transcript:CDP10463 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKVARRKSKVVMEIANQKKELQLQKQQQANSNLVTVEVSAAITSKIDDDEEKFSCTSNKKLKGCTGIEKRRQRYGLLSFHQLPEYMKDNEFIVNYYRADWPLKEAFFSLFRWHNETLNVWTHLLGFVLFLGLTIANSVHFSRVADFITMFTRHFPTSASTNVSHNSEDFSVGPTKLIDLKREPQLQMEMTSPEMASTSWPFFIFLGGSMFCLLSSSICHLFSCHSQRLNLLLLQMDYAGITVMIITSFFPPIYYVFQCSPHWQLVYLIGITMMGICTIITLLTPAFSTGKYRAFRAILFMSMGFFGLIPAIHSVVVNWNDPHRNVILCHEAVMALFYITGTMFYISRIPERWKPGFFDLTGQSHQLFHVFVVLGALAHYGAAKIFLEYRGRVECEK >CDP03115 pep chromosome:AUK_PRJEB4211_v1:6:9648256:9652166:1 gene:GSCOC_T00041605001 transcript:CDP03115 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLSVNYHHLCTKLSYYDLVFVESCGFKIALCQLLVTADKERNIAHARKAIEEAAEKGAQLVLLPEIWNIPYSNDYFPVYAEDIDAGAGASPSIAMLSELSERLKITIIGGSIPERSGDKLYNTCCVFGADGKIKGKHRKIHLFDIDIPGRMTFKESMTFTAGESPTIVDTEVGRIGIGICYDIRFQELAAIYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADCQLYVATCSPARVDNASYVAWGHSTVVGPFGEVIATTEHEEVIVIAEIDYSLIEQRRTYLPFQKQRRGDLYQLVDVQRLNTGKS >CDP14197 pep chromosome:AUK_PRJEB4211_v1:6:18726863:18727630:-1 gene:GSCOC_T00040457001 transcript:CDP14197 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSLKSSPRWSVLSLVANHLDPKTLIIASCVCKSWSICMSSDHLWKPICSTLYPIIFAIDVFNGNVCLSTTIKPGNQLVVDKSFLFRFDIGADLGNGHGDPSVAIEAMDNLRVTWNVVLGGLRSVFTMMDCKGKGSFVLGLEGWFSKELPHSGCCSSGDASGLVADLRLGLRKSGGKVMVEKISVGVLSILSWRYVCIDDALRYLQHFLLPF >CDP14162 pep chromosome:AUK_PRJEB4211_v1:6:18296295:18299715:1 gene:GSCOC_T00040407001 transcript:CDP14162 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLCSGVVLLMILPIAPVAVAAAQESAVNLLEAEALRKSGWWGDSSITATNISAHCHWSGIICNDAGSVTEILLPNCRIQDGGFSGSIPPTLGQLSNLDILGLSNNHFSGTIPSALFNLTSLSRLYIHSNPLMGGFLPKEIGNLKSLIALDLSYSGFSGSIPPTLGQLSNLDILGLSNNHFSGTIPSALFNLTSLSQLYIHSNPLMGGFLPKEIGNLKSLVELDLTYSEFSGSIPPTLGQLSNLEFLELGNNHFSGTIPSALFNLTNLFRLVIRWNQIYGSIPSEIGNLQILEYLDLGSNRLTGQIPPTLGNLTAPVNLDLSSNKISGSIPFEIGNLKSLEQLLLGSNRLTGQIPPTFGTLTTLINLNLSSNHISGSIPSEIGNLKYLEYLNLGSNRLTGQIPPTLGNLTTLAILDLSSNQISGPIPTQFVDDMLKSYGHIFTLNLSHNILSGTVPSSLMQLSDVDLSYNALEGELPCDLGFQFGSERFVGNRDLRYNSTLCGASPSVMGNHRHHTLYYIIVLGVPLLVFAITGGLVIYICCFKKVEVDLMDNKHGDIFRIWNYDGHMAYEDIIKATNDFDVSYCIGTGGYGSVYRARLPSGKVVALKKLHRLEGENPNFDKSFRNEADVLSKIRHRNIVKLFGFCLHKRCMFLIYEYMDRGSLFCILRDETEAVELDWIKRVNLIKGIASALSYLHHDCDPPIIHRDVSSNNILLNSQLEATLSDFGTARILELDSSNQTVIAGTFGYMAPELAYTMVVTEKSDVYSFGVVVLETLFGKHPQDFLSCISSQPNEPILLKDLLDARLPPPTNPLVVRNVVLATALALDCVNANPKYRPTTQQVVNRFEEGRRESTRPLHTIAVNQLVSPPVPSLPDQTYTEV >CDP02985 pep chromosome:AUK_PRJEB4211_v1:6:10912663:10916297:1 gene:GSCOC_T00041435001 transcript:CDP02985 gene_biotype:protein_coding transcript_biotype:protein_coding MTDALCACRESVRNLWPFGLTGCKITITKNFVLNLQEAKSMAGRVDSDKLKEKASDNLNDLPTFNAENMQNNAKVMYYSRTFMSIIGGVVAGILGLTGLMGFVFYFLIMGITSAGLAAKAGFSIHSYFDSWNKILLDGFFGGLMSFVLFWTFAYDIVHIF >CDP10593 pep chromosome:AUK_PRJEB4211_v1:6:5298407:5299678:1 gene:GSCOC_T00031360001 transcript:CDP10593 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIEVPPFFLCPISLQIMKDPVTVSTGITYDRESIEKWLISGKNTTCPVTKQVLTDSELTPNITLRRVIQSWCTVNASHGIERFPTPKAPISKAQLVKILNEAQSPQLQIKCLRRLRSIASHNETNKRCMETVGAADFLASIINNIEEVSIPTLASSATDDHHGIFEHNTLESTKASDEALSLLCHLQLSEDRLKTLFQRNAKLIDSLVRVMQRGNYESRAYAVLLLKSMSEVVDPMQLTSLKTEFFVELIQILHDQISRKASKATLKLLINVCPEGRNRIKAAEAGAVPVLIDLLLDSTEKRATEMILIVLEQVCQCAEGRAELLKHGAGLAIISKKILRVSQVASDRAVKILHSISRFSATTSVLQEMLSLGVVSKLCLVLQVDCGSKTKERAREILKLHARTWNNSPCIPTSLRPSYPC >CDP03159 pep chromosome:AUK_PRJEB4211_v1:6:9202376:9210863:-1 gene:GSCOC_T00041659001 transcript:CDP03159 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVLQVVFSVLKVTVLAAFLAFQGSSGYSLPPSPSTFPVFSPGGDAIAAPPTPAGRPNGTFVDPPLLLPPLNSASAPQKVKDHIPSLPPSTLVLSPSDSMPIPVIANDTVPPLPTGPEASAPRASPSRISPQSPPTSLPLVPESVPPKPAQSRAPEAPFMATAPASNTHASKRAPQNSLPPGISSSILPVPVGLSPGKSPGNPLSIPPALPKEPPSTSSEPDTAPASSPVATPPNGTSTQQSPVSTSPAKAPSVHDNMGNSDNAPPPLPSRNAPVVSGSNSPASQPSSSFQWHHGKNYKRTPAPSVYMAPPPASAVEAPSVHDNMGNSDNAPSPLPSRNAPVVSGSNSPAYRPSSSFQWHHGKNYKRTPAPSVYMAPPPASAVEAPPDNSSSDPKTDFRFHAPPPLNPGSSTPSSHFPFPTPKSHDSSSPSPHPSSSSQQMPIHSPKMSPTRPMPRMPKMPLQPPFQALPPPPPNGDCTSLTCSEPLTNGAPGSPCVCVLPMQVGLRLSVALYNFFPLVSEFAAEVAAGVFLKPSQVRIMGANAASQDPEKTIVLTDLVPLGETFDNTTAYLTFRRFWLKEVIIQTSLFGDYEVLYVHYPGLPPSPPSASSDIGTIETDPYSSRNNNGAAIKPFGVDVGRQHHRGGLSRSVIAIIVLSTSIVVIFCCAIAWVLVLKHREQLCPPDSTPPATMPSLAKSSGIPASMIGSGPSSASLSYGSSIAAYAGSTKSFSTCDIEKATDNFSETRILGEGGFGRVYSGVLEDGTHVAVKVLKRADQQGGREFLSEIEMLSRLHHRNLVKLIGICTEERTRCLIYELIPNGSVESHLHGVHQEYAPLDWGARLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEENKHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVSWARPVLTSEEGLESIIDPTLGPDLPFDGISKVAAIASMCVQPEVSNRPFMGEVVQALKLICNECDETKEMGSRSCSQEDLSLDMDTGISNTLDPLGVPLHGQSPVSHYGQSPVSHYDSEVDVERGISVSDLLSSSARYAMQDSGPLGRRSGSGPLTLGKARQLWQKMRRLSGGTVSEHGLMFKSWRGSR >CDP06420 pep chromosome:AUK_PRJEB4211_v1:6:651496:654290:-1 gene:GSCOC_T00023270001 transcript:CDP06420 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKCLSWRKDFEADKILDEDLGFKELEGVVAYMHGHDREGHPVCYNAYGVFKDKDMYERIFGDEEKLKKFLRWRVQVLERGIELLHFKPGGVNSIIQVTDLKDMPKRELRVASNHILSLFQDNYPEMVARKIFINVPWYFSVLYSMFSPFLTQRTKSKFVISKEGNVAETLYKFIRPENVPVQYGGLSRPDDVDGGPPKPASEFTVKGGEKVNIQIEGIEAGATISWDLVVGGWEVEYSAEFVPSGEGSYSIAVEKTRRISNGNAAAGAANQEAIRNSYTSKEAGQLVLLVDNTASRKRKVAAYRYTVRKSAPA >CDP10133 pep chromosome:AUK_PRJEB4211_v1:6:13910075:13915261:-1 gene:GSCOC_T00030749001 transcript:CDP10133 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRKRNRTDSNLNANGGFKKSKPEMDSLSSGIGSKSKPCTKFFSTAGCPFGESCHFLHHVPGGYNAVAQMMNLPPAPGPRGAAAPTSVPNGAGSATVKTKICNKYNTAEGCKFGDKCHFAHGDWELGKPIAPSPPHTMSAIPGRFGGRMEPVVPGPAASFGASATAKISVEASLAGAIIGKSGVNSKQICRQTGVKLAIREHDSNPNLRNIELEGTFEQIQQASAMVRELIASLGPVGGPGRAPAIPGGPAPPGSNYKTKLCENFAKGSCTFAERCHFAHGAAELRKSGV >CDP10240 pep chromosome:AUK_PRJEB4211_v1:6:12790384:12792347:1 gene:GSCOC_T00030903001 transcript:CDP10240 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVISLQTIDMSPYLREGDEKGRKKVVEEIWKASTECGFFQAVNHGIPVELLKKTRRIYREFFDRPDEEKFKCVPQVGERPSPGYFKSQQFGTKEGHEDFLTVAPGRLNVYPKDFPEFKQVLEEIFPYLVKLAAAIEEIINSASGLPPNFLKEYNDDRNSDFLLGLHYPAAENLSNIGRYPHEDVNLITFVYQDHVGGLQVLNNGQWIPVIPDDEKLVVNIGDILQVLSNNRLKSATHRVYRTEGTERDSFAFFYSLKPDKWVEPLPQFTTEVGEPPKYRGFLYDDYMQLRRRDYTDNQPDKYEDIARITYYAINT >CDP16332 pep chromosome:AUK_PRJEB4211_v1:6:29076797:29077347:1 gene:GSCOC_T00018131001 transcript:CDP16332 gene_biotype:protein_coding transcript_biotype:protein_coding METMKQPFSTSEVNSLSLVHFLRVLTKQPLFNGKTEVDQLDKIFKIFGTPNDTIWHGFSKLPGVWVNFVKHQ >CDP10120 pep chromosome:AUK_PRJEB4211_v1:6:14148749:14153371:-1 gene:GSCOC_T00030735001 transcript:CDP10120 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKRKRVQERAAIHPRNKYSENPPDFGALASIYPSFKPYVFYSSRDGRPRIDWTDYNATRELTRVLLLHDHGLNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDIIPDCRADGDAVKGFDIGTGANCIYPLLGAALLGWRFVASDVTDVALEWAERNVTSNLHISELIEIRKVDNAKTIFEEKDLHSELGRDNESIEDLGNTRAVMIGPEPSSSLELQSMVEKNYHGPPILVGVVKDEEKFDFCMCNPPFFETMEEAGLNPKTACGGTAQEMVCPGGEKAFITRIVEDSVQLKQSFRWYTSMIGRKSNLKILISRLREVGVTVVKTTEFVQGQTCRWGLAWSFVPPSRKIVSSSATEKNNLSFMLEGIQRQYSAIHVLQSVESFLCSCGASCKIDSTSFKIDVIASKDQCESILNIETQNIFTTPSCPYELGMSNGPNDLQSCDLHLSISVFQQIPGSLLVKGFLHQKESTGVFFLIFQKLEEFLKQKFCRPKTSAEPSCSERKR >CDP12660 pep chromosome:AUK_PRJEB4211_v1:6:16323196:16324585:-1 gene:GSCOC_T00036377001 transcript:CDP12660 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCIHGASEYWTKCSFKHTPSSELVNAAYILSRNDLSRPALMLPGASKPVVAVRFCPVRFSLRGLKSSDFFRLPYRLIFALATLNSLDIYDTEGIEPIAILAGLHYAAITDIAWSPNGKYLALSSQDGYCTLLEFQNQELGSSVPVSEERNIVDDCKTLQQAQGASFTKTEPDNGLDGAESEKAEAHNDEKQASTATLATPTANKPAKRRITPIVID >CDP21138 pep chromosome:AUK_PRJEB4211_v1:6:21780966:21784302:-1 gene:GSCOC_T00009740001 transcript:CDP21138 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNSRNIKYNVHCSFFTISPTNSLSIILPTHYCTNISRQHSVWKFSINQTKRDLCIEQIILYRWYILMKIIIFWKEI >CDP02889 pep chromosome:AUK_PRJEB4211_v1:6:11931125:11934900:-1 gene:GSCOC_T00041297001 transcript:CDP02889 gene_biotype:protein_coding transcript_biotype:protein_coding description:FLX4 [Source:Projected from Arabidopsis thaliana (AT5G61920) UniProtKB/TrEMBL;Acc:A0A178USJ6] MTSRKHIPSAHEGRSVPGPAFIHHGALPAGHRPMEPLPPPELLDSRLAVQAAEIEQLAVDNHRLAASHGALRQDLVAAQQEIQKLADHIRSMQTESDIQIRVLLERIAKMEVDIRAGESVKKDLQQAHSEARSLALARKELTAQVQKASQELEKARADVKTLPEMNTELDSLRKEHQRLRMTFEYEKGLNIEKVEKMRIMEKEMVGMHGELERLRAEVFNVEKRAHAPYTYGGPNINPHTFYPPAVHGSGGYVDNYGRPAVPMGAGPISPGMIPYGGSVTAGAPDGVGAVAGAVAGAAGNPSWTGTYDALHARR >CDP06773 pep chromosome:AUK_PRJEB4211_v1:6:3335250:3339570:-1 gene:GSCOC_T00023734001 transcript:CDP06773 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVFLEPEPEVNLYDDTGRSLSQAWDRIRVPIIVPILRFALYVCIAMSVMLFIERVYMAIVIGCVKCLGRKRYTKYNLDAIKEDLEQNRNYPMVLVQIPMFNEKEVYKLSIGAACGLSWPSDRLIVQVLDDSTNEVLRALVELECQRWIEKGVNVKYETRNNRNGYKAGALRDGLKKPYVEDCEFVVIFDADFQPEEDFLWRTVPYLLENPELALVQARWKFVNANECLMTRLQEMSLDYHFSVEQEVGSSTCSFFGFNGTAGVWRIQAVSDAGGWKDRTTVEDMDLAVRASLKGWKFIFVGDLSVKNELPSTFKAYRFQQHRWSCGPANLFRKMFKEILLCERVSIWKKFHVIYAFFFVRKIVAHWVTFFFYCIVIPATILVPEVHLPKPIAVYLPATITLLNAASTPRSLHLLVFWILFENVMSLHRSKAAIIGLLEASRVNEWIVTEKLGNALKQKYSIPKVSKRPRSRIAERIHFLELIMGMYMLHCAFYNMIFANDHFFIYLLLQAGAFFTIGLGYIGTIVPT >CDP03242 pep chromosome:AUK_PRJEB4211_v1:6:8655548:8664635:1 gene:GSCOC_T00041756001 transcript:CDP03242 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYFRRRPLPPNLLLPSFSSLQNSLKSLLISPTFQPTGILRTASRPFSVAKLQSVSSMAEVMERVGSVKQQLQRLFGEAFRDKFPDELDIVPIITPCKDLRHGDYQCNNAMSLFAKIKGRVPEFKKPQAVGQAIMDSLPYSEMIRGCTIAGPGYVNVTLSTEWMAKRIHKMLIDGVETWAPEPPVQRAIVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFQNVEVLRRNHVGDWGTQFGMLIEYLFEENPNWEAAPTEAIGDLQEFYKESKKKFDADSDFKERAQKAVVSLQGGEEKYRKAWAQICETSRREFDKVYLRLGIKLEEKGESFYNPYIPTALELLSKENLIEESEGARVIYVGGITRPLIVVKKDGGYNYASTDLAALWYRLNEEKAEWIIYVTDVGQREHFEMFFAAARRAGWLPAEEDKYPKVSHVGFGLVLGEDGKRFRTRATEVVKLVDLLDEAKNRCKATLIERGKAEKWTGEELEQTAEAIGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGRDVEELKKVGTVDLAHPDERVLGLHLLQFPEVVEEACTNMLPNILCEYLYNLSEDFTKFYTNCQVVGSPQEISRLLLCEATAVVMRKCFFLLGITPVYKI >CDP03354 pep chromosome:AUK_PRJEB4211_v1:6:7692787:7696725:-1 gene:GSCOC_T00041906001 transcript:CDP03354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.5 [Source:Projected from Arabidopsis thaliana (AT5G19600) UniProtKB/Swiss-Prot;Acc:Q94LW6] MSIDSSSAHGVNFAEPRSFPTVFKSNFKETLFPDDPFGHLKNEPCSRKTLKVIEYFLPIFGWLPRYNLALFKYDLLAGITIASLAIPQGISYAKLAEIDPIIGLYSSFVPPLIYALFGSSKHLAVGTVAASSLIIAASIEEVVPASEDPILYTSLVFTSTLISGLVMLALGVFRLGILVDFLSHSTITGFMGGTAVLICLQQLKGMLGLKHFTTHTDCVSVVRAVFKNRKEWQWESALVGIIFLAFLQFTRFVKQRRPKLFWVSAIAPIVTVIVGGLFAYFGHADKHGIPIVGALKKGINPLSINRITFDPKYIAGPLKGGVVTAMIAIAEGIAIGRSFAIMKNEQIDGNKEMLAFGLMNIVGSLTSCYLTTGPFSKTAVNFNAGCRTQMSNVVMAICMMLTLLFLAPLFSYTPLVALAAIIMSAMLGLIEYEKAYHLYKTDKFDFVICMAAFFGVVFISMDMGLCLSVGLALIRALVYVARPATCKLGHIHGSNLYRDVEQYPNASDIPGILAIQLGSPIYYANSEYIRERILRYLKTEQSDATLKGTGHIEYILLDLGGVSSIDITGVEAFVEIMRILESKEIKMILINPRLGVMEKLTVTKFVEKIGKESIFLSIADAIESCKFSMKSSKGMSVVEVNVA >CDP14158 pep chromosome:AUK_PRJEB4211_v1:6:18276712:18281281:1 gene:GSCOC_T00040403001 transcript:CDP14158 gene_biotype:protein_coding transcript_biotype:protein_coding MVARFKQLQSKTLFLPPPLLSVRSLSSSCAAPRIIDYNNIATDSQFRNAKIPRFGSPDAPTKGSRNGGRNKEVPRFRLSNGSKRGPRNLDSPDLELLPMQRGIKRRIREDYLGGVDDDGGVVNLDRGKVLRWYSETFRYCAVNLCLNEGKVFHANLIKIGIDPDSHLFVSLINFYAKCGALSFARRVLDEMPEKDVVSWTALISGFVAEGLGQEGVELFCEMRREGIRPNEFTLATVLRACSMVSGLEFGKQLHAEVVKGEAFTDVYVGSALVDLYAKCGEMEYADKVFFIMPEQNAVSWNVLLNGYAQLGDGHKLLILFCKMTESDMRFSNYTLSTVLKGCASSRSLWAGQVVHSMAIKIGSAFDDFISCGLVDMYSKCELANDALQVFKMIRDPDIVTWSTMISGLDQQGQKLQAVELFRLMMQSGLRPNQFSLSTVVSTATDLGDPHFCKSIHACIWKFHFESDLSVSNALITMYMKLGLVYDGLKVFSAMSQKDVVSWNALLSGYHDGESSDQGPMIFKKMLTEGLMPNQYTFISTLRSCTSQLNASFGKQVHAYLLKNNLCTDVHVGIALIDMYSKCRCLDDVELIFNRLSERDIFTWTVLIAGYAQTDNQGEKALGFFNRMHREGVKANEFTLASCLSACAGIASLINGQQLHSWAIKSGHFCDVYVASALVDMYGKCGCIDDAEMIFKSIETVDTVLWNTMICGHSKHGQNEKALQSFGAMLNKDVQPNGVSFIGVLSACSHMGLVEEGKKHFHLMSELYGIAPSVDHYACMVDILGRAGRFSELESFIQHMKIAPNTLIWETVLGACKIHGNVEMGEKAAQKLFEIDPDEDSSYIWLSNIYAAKGRWNDVSRIRALMSSRGVKKEPGCSWVEVDAKTHVFLSQDASHPRLTDIYQKLEDLHQRLQSIGYTPNTHYVLHNVPDAVKKENLFHHSERLALAFALVSNANGGRIRIFKNLRICGDCHEFMKGVSDITNKEIVIRDSNRFHHFHNGICSCKDYW >CDP03060 pep chromosome:AUK_PRJEB4211_v1:6:10057111:10059104:1 gene:GSCOC_T00041538001 transcript:CDP03060 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSWMQSKLNRNQRSSKPNTKPAKYHLMHDTCKEEFSDWPSGLLAIGTFGNNNPNDPEKSNLPGEECPSQEYPEEFTHEEMREIHTELKLLLNQQALADSDLAEESANDIPQEKFFDVSKSFEDDRSTCGELISDNLTSKGSHYQSNKSIHTKGKNSDMDKKNNIRKRSLSFLIRKAFHRTVKPQLSPQFKDPLPDLKLEKSILEKILRTILHKKVYPQSSTPKAPIKKCLDSKHQSETDGEDEMHDSTSEGSKWVKTDSDCEFSTFSFRD >CDP14236 pep chromosome:AUK_PRJEB4211_v1:6:37097654:37098431:1 gene:GSCOC_T00040517001 transcript:CDP14236 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVAEGGPWLTTTNGHVGRQHWEFDPEAGSPEERAQVEKIRQEFKKNRFQKKQSSDLLLRMQVGLIQAFYSLSQIKELLSNDLCCKTAESSPQPNEKRKSQILKTTLIRAKMFLTKPTQGSFSLARRHCGAACI >CDP15431 pep chromosome:AUK_PRJEB4211_v1:6:4700060:4705451:-1 gene:GSCOC_T00043161001 transcript:CDP15431 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIQLTILLRGFFWPLILFPSIRACLLSFANVSGFFAPIRVPAQAYLLRSIRCQGRGQVLQLLNQAKLTSLLIEVEEDEEVEVEEEEVEEVDEDEEEEEVEEEEEETEEHDVSGGGEQNVINDENMKDAEIEEEVKKKHNQLLALPPHGSEVYLGGFTQDVSEDDLGRFCGSIGEVTEIRIMKGKGSNENKGYAFVTFRTKEMASEAIKSLNNTELKGRRLKCSTSQEKHRLFIGNVPRSWGEEDMKKVVTKVGPGVITVELLKDPQNSSRNRGFAFIEYYNHACAEYSREKMSDSSFKLDENAPTVSWADPKNVESSASSLVKAVYVKNLPKNVTQDQLRKSFEHHGKITKVVLPPAKPGHENSRFGFVHFAERSSAMKALKNTEKYEIDGQVLECSLAKPQADQKSSGQPNSQKAAVLPSYPSPLGYGLVGANYGAVSGGLTQPLVYGTGAAPPGLAMIPMLLPDGRIGYVLQQPQPQTPQPLQRGGRSGTTAGSSGGRRGGDRGRGRSRYNPY >CDP02982 pep chromosome:AUK_PRJEB4211_v1:6:10948236:10954089:-1 gene:GSCOC_T00041428001 transcript:CDP02982 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTDSDFDLRRASTPPGSLGISDAFADVNNLEHCAKYLNQTLVTFGFPASLDLFANDPVSIARTCNCIYALLQQRQRDIDFRESANEQRQRFLSDISRFEAKVERLEAQLASKDREIATMTRTEAKASAAFKAQIEKLQQERDEFQRMVIGNQQVKTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDTYEAKNQELVAENADLRALLRSMQVDMRDFLNAPNGTSNQSFLVNGRQEIDHLQSPLGGRTDMFDLPLHMARDQIEESLRTKMASIKERMVQLQDAQQGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHLTKSERPRRLSGNINSDRELILSSPTEV >CDP19051 pep chromosome:AUK_PRJEB4211_v1:6:34644296:34656384:1 gene:GSCOC_T00012884001 transcript:CDP19051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter ZTP29 [Source:Projected from Arabidopsis thaliana (AT3G20870) UniProtKB/Swiss-Prot;Acc:Q940Q3] MDSQVLVALGLSLIGGLSTSIGALFVVVNQAPNVKMLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLRGNLWFFAGVIFFAIVSGFIPEPTLAPSSDVKSSKKNGDEGGKDIMKKHRRQVLFSGIVTAIGISLHNFPEGMAVFLGSIKGLRVGLNLALAIALHNIPEGVAVALPVYFATHSKWKAFKLATLSGFAEPLGVIIVAYLFPSSLNPEVLEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFFGMAFMSASLYFLEISLPKDTSL >CDP03039 pep chromosome:AUK_PRJEB4211_v1:6:10290371:10292273:1 gene:GSCOC_T00041512001 transcript:CDP03039 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHGGSKVTGIRQIVRLKEFLQKWQNVTLGTKDGDASNTQCNNDQSRGGISPAISRRLMSCNVYCDSDEDGCASPEPPHDVPKGYLAVYVGPELRRFIIPTRYLSDPLFKVLLEKVEEEFGFDHSGGLTIPCETETFKYLLKCMETHQRDQAEAEHNHDDAGSA >CDP06263 pep chromosome:AUK_PRJEB4211_v1:6:24542599:24543608:-1 gene:GSCOC_T00022994001 transcript:CDP06263 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKGGTSQACAACKYQRRRCTADCPLAPYFPADQPKMFQNAHKLFGVSNILKVLRQLDPSQKLVAMKSIIYQANIRDKYPVHGCLGIIQQLWYQIQLAEEELQAIHAQLAFYRQHQQQEVSTGLSDSISQLQLGMAPPSNALSLFHQDAPQNYDNTVSALPIGSHPTYSSNSANAANYNATYMDSKENNAVNSLWVQQPPYNNSSSNINSNPMVMQSQLINSQPLIIQQDCGTQDYDEMHPFFDTIDDRQSYIASKEAYESSSESSLKDTTQSVEHVAENELKSAAACFSLTSVN >CDP06581 pep chromosome:AUK_PRJEB4211_v1:6:1867389:1872188:1 gene:GSCOC_T00023486001 transcript:CDP06581 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVPESSMPSQVSDDNAGNTTLPPCTLLSVGQAFSGTQNVSSLQKDEAWRVNVRILGCDLDHGYLCGTMEALNVPMADTPVITFWEGEIVDTKNYTFFTNKWGATSDDDIKHWTKFPSFSPLQSQVETDGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSVSGFYYDPNSSPFQKLELKSINEGRSGFSFSSYQLQ >CDP09560 pep chromosome:AUK_PRJEB4211_v1:6:20682599:20683027:-1 gene:GSCOC_T00028980001 transcript:CDP09560 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKKKIEIKKIEKESSRMVTFSKRRKGLFKKVGELQAKIGANVAVIVFSPAGNPYTMGDVSLFDNALNKGMGPASGGATFNGFDFEKGGEDANAFCASTSNGLREWLNGIDVDGCAEIEELLHMKEQLLAARERIQGLIR >CDP14245 pep chromosome:AUK_PRJEB4211_v1:6:36904537:36906489:1 gene:GSCOC_T00040532001 transcript:CDP14245 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAICNLCTTDSILENLAARMTEVLIKCHSSILSNDFVMVHWFNWFNQLSQMVIPFFLYIFTKTMKKKYIRETRTVCCLTLIIYVSNFLHKIGKYMHYFLRFVYTEGSWEISYKFSIVSVVAGLVESHPISTKLDDDLTVPLSSAVVGSCKF >CDP06652 pep chromosome:AUK_PRJEB4211_v1:6:2368719:2371308:1 gene:GSCOC_T00023573001 transcript:CDP06652 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYVFVVYRHAIATALIAPFAIILDKKIRPKMRLSIFAKILVLSLLEPVIDQNLYFLGMKYTTATFAAAMSNILPAITFLMAWIFRLEKVKLKSINSQAKIVGTVATVGGAMLMTLLRGPVIKLPWTKGTTSPEHQSGGINLQNSIKGALFITIGYFGWSCFMVLQAITLRAYPAELSLTAWICLLGTAEGAILALIMERGKAAVWSINWDTKFLAALYSGVFCSGLAYYIQGVVMKQRGPVFVTAFSPLNMVIVAVLSSFILHELMDLGRVLGAITIVVGLYLVVWGKRKDYDCPSIEGLPTDIQMMSADTKCKENNNHEVVNINSSKEGAVTGDEV >CDP14186 pep chromosome:AUK_PRJEB4211_v1:6:18566488:18571887:-1 gene:GSCOC_T00040441001 transcript:CDP14186 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNSAKNPLSILSFYYWVLEIVIQLMILTVSSGPSELTAVNLRTKPGDYLQMPRGSTFKIAIFADLHFGEDAWTDWGPQQDVNSIRVMSNVLDREHPDFVIYLGDVITANNIPIENASLYWDQAISPTREKGIQWSTVFGNHDDAPFEWPMEWFSSSGIPQLRCPTTNVSYSGGKNCSFRGTPRLELMTNEIQHNSLSYSKSGPSNLWPSVSNYVLKLSSSSDPEVTLALMYFLDSGGGSYPEVLSSSQANWFNQTSQQVNPESRVPEIMFWHIPSQAYKRAAPRFLKGKCVGSMFNESVAAQEAEMGMMKLLEARPSVKAVFVGHNHGLDWCCPYKQLWLCYARHSGYGGYGNWPRGSRILEITEQPFSIRSWIRMEDGSSHSSVDLSS >CDP06380 pep chromosome:AUK_PRJEB4211_v1:6:216338:220270:1 gene:GSCOC_T00023205001 transcript:CDP06380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01920) UniProtKB/Swiss-Prot;Acc:Q9LZV4] MASLLSQTAPTALLSQQDPKFICFSPSNPTSQTASLCSSLTRNTSLRCSAFLDGISDATSSSSLPLPLPLDYLDLDQSSSLQFPALQPVLPLLQSLIAELPQGHQSGVLLFAGFAWLYLTARPGVLLGAFDSYLLAPLQLGFDTLTGRRRLKRTDFLIGERLGEGSFGVVYCGLVVPKNVSVDADRVLTRATLRALENDQRFKDKVILKKVKLGVQGAAECGDFEEWFNYRLSRAAPETCAEFLGSFISDKTNSQFTKGEKWLVWKFEGDLDLADYMQDRGFPLNLESVMFGRALQGLEPVERNALIIKQIMRQIITSLKKIHDTGIVHRDVKPSNLVVTKKGKIKLIDFGAATDLRIGKNYMPDRGLLDPDYCPPELYVMPEETPNPPPEPIAAFLSPVLWQLNSPDLFDMYSAGIVFLQMAIPSLRSTLGLKNFNLELKTVGYDLRKWRERTRMRPDLSILELDSGRGWDLATKLVSERGFLRRGRLSAGAALRHPYFLLGGDQAAAVLSKLAFK >CDP06548 pep chromosome:AUK_PRJEB4211_v1:6:1596888:1597436:1 gene:GSCOC_T00023439001 transcript:CDP06548 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYQYLANIFIMFGMHLVNVCKMIHRKFQLASSLPSLLGASSPPESPQYSSFWISYMMQFYPGWPNHQSLGECIFSMFLVFLLAFTTEICSTSNDPISIKQQCRRRRHDVRGLLLDAGLHGLRMFMAYLVMISVITGDFLFLLVALAGHTAGCLFTKLFEYQIEQTIAEPRSKQASKPWVT >CDP10475 pep chromosome:AUK_PRJEB4211_v1:6:6218084:6219266:-1 gene:GSCOC_T00031210001 transcript:CDP10475 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEGNIISPVGCTEVLNYQTAICDTLVHHCKSPPQLDEINNNIYIYIYKKRMSMCVCACIMVSSAALR >CDP06679 pep chromosome:AUK_PRJEB4211_v1:6:2541570:2545152:-1 gene:GSCOC_T00023610001 transcript:CDP06679 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKDFIGRVSHDMLEQEDLDAANVLMDLAKDTGSVDRLLAKAPTNQNRNPKKISASAFDSEFSIIHFLSAVRLVLITPVAEDFTVTHSRRVESDKCINASECVQKKLPSLTIEEIVQRLRSSPGDARIFELKASLKDLVRGALKIFSSTIAPSGVPSWQPLITYHKSSKHWSWIGPIPSLLFRGECACVSPKAWGLRSQTLNKLVECFSEWLRASRDMLQKIWNLPPPPLEFMVHWSSAERFSATRNLKISIATISPSSEQVRAYFRMEEALRYLVPQQSFSYTTVDGKKSSVAPMRRCSGKPSRKARDHFILKPNRPPCFTMLCLVRDAAARLPDGMGTRSDICTLVRDSQFIIEDIDDDQVEHVVSGALDRLHYELDPCIRFDRDSRLWFYMHGDREQEDYEYDGTSSIKIHNKMKLPTLDILTSFLNPALSLLFFLKKKKRKKEIVMHISLLKSHLYNFTKIIAGGETEKKRAVSF >CDP09608 pep chromosome:AUK_PRJEB4211_v1:6:21760073:21766955:1 gene:GSCOC_T00029048001 transcript:CDP09608 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQEIANSIVGKIAEKCIDPILRQFQYLIFCKSNVQSLSVNIEELELKEAEVQQLVRQAKDNAEEIKPTVVDWLKRVEDVKKDAHTISEGMETAKVNCFNIVRLPNLKSCYLLGRRAVKRTSVVEKLLGNCPIDEVGYLAPLGEMRFSELTPSFEEGLVSRMSTKKQVMDALKQEKTSLMAICGMAGVGKTTLVKQIADQVKSEKLFDEVAVATVSQSPDMRKVQDELAEQLGLKITEQTDLPRAERLCTRLTGRGKRTLVILDDIWKKVDFESLGIPVKGECKSLKVILTSRSSNVCRGLGAEIFEVNALPKEEEWHLFKKVAEISDYAAFSGVAKLVAQECKGLPLAIVVVAKALKSTQDWEQDLEQLKEYTIRDLERDEDSVFSRIKFSYDYLQSAEAKSLLLLCSLFPEDYSIPIECLVRYGKGLELFPNRRSLKDVRYWVDKHIGHLKNSYLLLNDAETEDSVKLHDVVRDVCLSIASEGEHEFLVSNSGVGEKNSYTAISLISQHSNHDLLPFCKEYPRLRLLRLVLQSDLGVQSWTLNLPEDSFVGMEVLWVMELNHSQIEFPLSWPGPMLRSLQTLSLDYCVLGTGMSSMLGHMTQLETLSLFQSKILDDRFPAEIGQLSNLKLLDLRVKSSLHPLPRRILSRLKKLEELYLGSGDHLQLGGDKQEEIGCLKEISSISNLACLQIALDDLSLLLLSLQEFVTERLSRFDITVGNYKGAMIDLNKKYQFRKSLGLYLLDHGDEGLKQLFDPNVTSIVKRTKNLTLHLPTSSCLRNLVPDLGEKVFITLKWLYLFGGQYECLVDSTANEFENLVFMKLESLKLKEICKGFLPPGCFNQLQEVKLYYVSALEYLWKGSVEPLSLCNLRSIEVYSCDQIKTLFSQSALKCLVKLQRIDVSFCYNLERIVLREESLTEEVLELPQLKALTLLFVTKFIGFGSEGDKAVAFFDQVSLPSLEVLDIDHLGDGPEQLIGGKMPSGSLDNLKSMNLKDCNSIQCIAKANTVTLIQNLQALEVWNCSGMESLVDFEGLKVRNMLSKKGLEILPKLESLDLRDCLRLTHIWRNFPEEVRVFQKLRSLYVYKCPLKCLFHPPSVVTMLISLEKLNVHCCSEMCEVIGEEDKEVSQEDNTQHHDVGKRREIALGRSGKGFMFPKLNSLWLEDLKNLRNFGGSHREDYEFKFPLLTELIIVSCPKLKKFCSGKLNAPLLKKVQTAPGDIEDFEAPVDLKAFKSPLRINFRN >CDP14108 pep chromosome:AUK_PRJEB4211_v1:6:17626126:17627734:1 gene:GSCOC_T00040333001 transcript:CDP14108 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFCIVHGSGIARFCLHCNIHHFLHKSGFHCLHHETRHRRSSVLHHFFEFVSLSLRMLFWCKRGSLFGALRNRNAAIQLDWIKRMNLIKGIANALSYLHHDCRPPMIHRDVSSKNILLNSELEASLSDFGTARLLELDSSNQTIVAGTYGYIAPELAYTMVVTENVDVYSFGIVVLETLFGKHPGEFLSSLSPESAKQTKLKDLLDARPVPPVNRLVARDVVLAVTLAMACLDPNPKSRPTMQQVVLQFIFPTRNSTIPLHAITVDQLMPPRFSAQYIVRTLPVQIMGEGEGRKVALTPMHPTYID >CDP06360 pep chromosome:AUK_PRJEB4211_v1:6:78180:79312:1 gene:GSCOC_T00023178001 transcript:CDP06360 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESTKKISSTQNQMEPRSSRRWRRKTVVVWAAIIGVILVVGLVMLILGLTVFKAKRPVTTVNSVSLRDIDVSVDVARLRVLLNVSLDANIAVNNPNRVGFHYANSTAILKYRGQDVGQVPIPAGYIGPRQTLPMNITLTLMADRLLSNSNLYRDVLSGTLPLTTFTRISGYVRILFKIHVVSYTTCDLDVDVGNRRLANQTCHYRTKL >CDP10377 pep chromosome:AUK_PRJEB4211_v1:6:7048843:7053656:-1 gene:GSCOC_T00031086001 transcript:CDP10377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20070) UniProtKB/Swiss-Prot;Acc:Q94A82] MSINLNSHAFAGNPIRLNTPKSSDPFSPTSAFQTLKTLLLSHTHEPSSPDFKVLPFRKGRPLAGSVCDASGSGGKPSWHLGWLSLGECRAFLENSEVNLNEDSLVYLGFTAEDDVVYWAIDVSEATGLVSEFGARQFCFVELRTLMVATDWIDAKAMGELAVAGHARALLEWHTSSRFCGYCGAKTVPMDAGRRKSCSNELCKRRVYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEEAGIEVGEVVYHSSQPWPVGPSGIPCQLMVGFFAYAKSLEINVDKEELEDAKWHSREDVKKALTFAEYKKAQRTAADRVDQICKGVEKGQNLSADFSVESGELASMFIPGPFAIAHHLISSWANQVVVNGAETHGF >CDP02910 pep chromosome:AUK_PRJEB4211_v1:6:11613289:11614488:-1 gene:GSCOC_T00041329001 transcript:CDP02910 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQAQFLNLPAHVVSDILSRLPPKTIIQCKAVCKSWLSLLSESEFTNLHLLRSPPCLIINNLDYHPSDLNCFSLVEFEDQPDHHDFQHVAGTKIKAPEGCVVENGATATMVGSINGLICLNEFDHKHDAVYLWNPIIRESITLPMPEGVRSYPNIVSYGFGSSSETRKYKVVRIFQEREKNTRRVLKSNCDVYTLGEETESWRRIGHAPFLYNSCRTHGVFLNGNLHWLIGDQAGSELISCFDLETELFSPFPAPPELSKDFNLASLGLFGGCLSLCDNTSDYEIVIWVMKEYGITKLWTKDIVIDKEPADLVGPSFEVVRVFKVFEDGNILLLWRDDILLSYDSQRQILHQSGVHKLIKKIDDQMNEDGYPCIEIMEYVPTFLSLKSFGIQMMESIN >CDP06574 pep chromosome:AUK_PRJEB4211_v1:6:1811214:1818014:1 gene:GSCOC_T00023476001 transcript:CDP06574 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSLRQFLQSLCCNSHWDYAVFWKFQEHQNEMLLVWDEGLFDFSRPMDPMEGMMSSFSLNSSYERLTSACVSGTQNGSLSDCPIAVAMAEMSNTYYVVGKGLVGEAAYTECPSWIFVDNFMAGGLSSNGVSEYPEELLHQFVAGVKTMLLVPLIPHGVLQLGSLDVVQEDAGLVVRVKNKFCGQQLAACFSPFRAVRISPVQPTDLMSSYMENLGESCMGIFEIDEDHKVLGNFGMEGQHMTATNQLMSMFQDSHQIFSEDMAKIIGKETKSKINMDSVNLIDVAEPFNLEIWENSELELVKNLFGSSCLDEELACLSNFSGYSTSNLGNPVDKIMQSCSNNGIVESSFGVNDCQNESYGSGSQNLKFPSDCELHKALGQILLNHRDLNLSDDSAAASSIFKEDIINIDAPSACKYGTSYLNKTEHLMHAVIPKTNSISGDKSSNRESCSRESIMSSFMRLGSRQVEQGALTEDNQVKLSCVTSAFSRDKNSALKVSSSASSFGCMINALLEERPKKNGNVPIRSTKGSKFTDANKQRARSGENQKPRPRDRQLIQDRIKELRELVPNGTKCSIDGLLDRTIKHMQFLARVTDQADKIGKHVVKKAWNQKDIKLPKVESNTQDGASWALEFENEQQTCPIIVKDLECPGHMLIEMLCNDHGRFLEIADVIHRLELTILKGAMEKRPDESAWAHFIVEASESFHRLDIFWPLMQLLQQNRTQISSKI >CDP14152 pep chromosome:AUK_PRJEB4211_v1:6:18218678:18221422:1 gene:GSCOC_T00040394001 transcript:CDP14152 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKIRATVGPSTINSYTDKIFMPTVPFHLPIITTVPFHLPIITTVPLMLTSYFLCMQTAALSSPDKLSPSSLLSPSIAHKFQCVIVCELFRRLFDHFQKYSI >CDP06484 pep chromosome:AUK_PRJEB4211_v1:6:1110001:1111769:-1 gene:GSCOC_T00023351001 transcript:CDP06484 gene_biotype:protein_coding transcript_biotype:protein_coding MCIIARVVLLFHVNIGKLLTESCSSGQVLQNIQEVNDSMFIDDGEAIDLPDGLVQFWINLVELFVDIYQIFGSAAFRLSRVTVFSIITSYFLDAFLGVDQLSFNNLSIQD >CDP03075 pep chromosome:AUK_PRJEB4211_v1:6:9944645:9945436:-1 gene:GSCOC_T00041555001 transcript:CDP03075 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSSAEEEVEFINCESCGFTEECTVAYISRIRQRYCGMWLCGLCIEAVKVEVLKSERLISTEEALNRHISFCRNFQSTSPPSSSEHPMFAMGKLLRKSLDAPRSLRSSSSCPVREVNQSSLVRSASRFSSLPS >CDP02955 pep chromosome:AUK_PRJEB4211_v1:6:11163153:11170327:-1 gene:GSCOC_T00041393001 transcript:CDP02955 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLATLSRPPVRRRLLPICLLHHRNIHAQPPPAPPPPPSIEYPLSHPIYAIWAANTSLGKTLVSAGLSIAFLNSSCSSTSKKFVYLKPVQTGFPEDSDSRFVYCKFSQFSLQNRPKLSVFASNHVINTSFPALKALLGEDSKYGNFGSEKVGNFGGAFENLGWYEETKLEGAENDETKGVGLCSELICKTIYGWKEPISPHLASEREGARVEDSELLEVLMRGVQSGDEEGGDRNVDVMCVIETAGGVASPGPSGTLQCDLYRPLRLPAILVGDGRLGGISGTISAYESLKLRGYDVVAIVFEDHGLTNEVPLSSYLQNRIPLFVLPPVPQDMSNDLVEWFEKSQTVFSSLKDVMLSAFLKRVRKLQHMRKKACDILWWPFTQHEFVSEGNVTVIDSRCGENFAVHKTSNLETITQQFDACASWWTQGPDANLQIELARIVGYTIGRYGHVMFPENVYEPVLECAELLLEGVGKGWASRTYFSDNGSTAVEIALKMAFRKFLLDRGIHFDQLKVNASERYINLKVLALKGSYHGDTLGAMEAQAPSPYTGVYQQPWYSGRGIFLDPPTVFLCNGIWKLQLPKKIQVENVKMDDFCFNLRDEIFQETRDASLFASIYSSYISKELSHVNSTPYTDIGALIIEPGKILL >CDP14192 pep chromosome:AUK_PRJEB4211_v1:6:18649219:18658907:-1 gene:GSCOC_T00040450001 transcript:CDP14192 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MIQAALKLGFQNFRGFTFTSFAVSARNSKFVGLASLRLSAMGSKNARHSKSSASSSSPLSSPAAKLGEPESSPKGGISLDEILEIDLDKVEKMTVQQLRVKLRSVGIPAKGSKNELVSAWKEFVKSKKDGTGYLELDDHESSRKKSNESNSQKAGSLPCREHVTTRSSGTKRTKRKVEESLIGHNKVEINRMGSSAQDEQSIEYHEPIAKRSITTKRKVSSEIISMSSEASNSVHSSGEPWTILTHKKPQNGWVAYNPKIMRPPPLAGDIKHVKLMSWNVNGLRALLKLESLSALQLARREDFDEKDVEAIRHTLLEDYDHSFWTCSVSKLGYSGTAIISRMKPLSVRYGLGISDHDTEGRLVTAEFDNFYLLSGYVPNSGEGLKRLSYRITEWDPCLGNYLKELEKYKPVILTGDLNCAHQEIDIHDPAGNKRSAGFTVEERQSFETNFLNKGFVDTFRRQHPGVVGYTYWGYRHGGRKANKGWRLDYFLVSDCIADKVHDSYILPDVTGSDHCPIGLILKL >CDP10477 pep chromosome:AUK_PRJEB4211_v1:6:6210230:6213185:1 gene:GSCOC_T00031214001 transcript:CDP10477 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTGGGHRASAEAIRDAFKLEFGDEYRIIVKDVWKEYTGWPLNNMENQYKFMVKHVQLWNVAFHSTSPRWIHSLYLAAIAAFYAKEVEAGLMEYKPDIIISVHPLMQHIPLWVLKWQGLQKKVIFVTVITDLNTCHRTWFHPGVNRLYCPSDEVAKRALLDGLEESQLRVFGLPIRPSFCRAVLSKDDLRVELEMDPVLPAVLLMGGGEGMGPVKKTAKALGETLFDQELSRPIGQLIVICGRNENLANTLKSLEWKIPVKVRGFETQMVKWMGACDCIITKAGPGTITEALIRGLPIILNDYIPGQEKGNVPYVVDNGAGVFTRSPKETARIVAGWFTTKTDELTRMSENALQLAKPDAVFDIVRDIHELACQRGPMASVPYMLASSFSELI >CDP03103 pep chromosome:AUK_PRJEB4211_v1:6:9719652:9722136:1 gene:GSCOC_T00041587001 transcript:CDP03103 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKILSLKVASLLLASYFFLKVFYSIWWKPKWFERHLKQQGIEGHPYKLLIGHMKDFMNQITEAWSKPMSQPLSSDCTTRRSLHSQHSSKIWEDITMLGRDNSKVEHYGSRDNKRKGLTLLEGEIWATHRKIMTPAFKLEKLKGMVSIFAEGCVLLVEKWKKSIALGGTSEIDVWPDFQELTGDIISRTAFGSNFDEGNQILKLQIELQSLLKPCKARTYLVLGTLPFIPTKKSQRRKSLDKNITSMLRTLIERKRTLMRTGQTNTDDLLGLLLQSNDENSLQSSPYNKDGNKMTIKEIIEECKQFYIAGRETSSSLLTWTMVILAMHPEWQEKAREEVLTVCGKEHPDAKTISQLKSVTMILHEVLRLYPPTIALYKHTYQEIKIGNLSLPAGVDLTLPLLLTHRDPELWGSDAEEFKPERFSGGLSKASKNQLAFFPFGWGPKTCIGQHFALMEAKVALSIILQNFSFELSPSYAHAPNTVMALQPQHGAPIILKEV >CDP03229 pep chromosome:AUK_PRJEB4211_v1:6:8757851:8763469:-1 gene:GSCOC_T00041739001 transcript:CDP03229 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTVASRLRALKGRTGNGALKRFASSAAVATQSSSGGFFSWLTGEKSSSLPSLDFPLKDVTIPPPVPDYVEPGKTKITTLPNGLRIASETSANPAASIGLYVDCGSIYEAPTSYGATHLLERMAFKSTKNRSHLRVVREVEAIGGNVTAAASREQMVYTYDALKTHLPEMVELLVDSVRNPAFLDWEVKEQIEKVKEEIIEYSKNPQHLLLEAIHSTGYSGPYGHPLMASQFAVERLNNTVLEEFVAENYTAPRIVLAASGVEHDELLKFAEPLLSDMPKVHRSEEPKPVYVGGDYRRHADEGTTHFALAFELPGGWLKEKEAMTLTVLQLLMGGGGSFSAGGPGKGMYSRLYLRVLNEYPQMQMFSAFSSIYNSTGLFGIQATTSSDFVTKAVDVAVKELIAVASPGEVDQVQLNRAKQATKSAILMNLESRMVTSEDIGKQISTYGERKPVEQFLKTIDEIKVQDIVSVAQKLITSPLTLASHGDVVYFPSYDAISRRFH >CDP03037 pep chromosome:AUK_PRJEB4211_v1:6:10313389:10325633:1 gene:GSCOC_T00041509001 transcript:CDP03037 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKISNFYHQSLLSTPQSGAQRKFSIPRHRGWKRRKLKLKSTQNLSLTIRCHFNYNFLDSSPFENLFQSLISQFSSANSLHLLAPALGLASGAAIFFSQFSEKSELMRIPRKHRNNKFVGDWILFTSPTPFNRFVVLRCPSISVEGSELLEDVNEKLMKEDRHFVRLNSGRIQVKEGDVEEAEKLVYQRVCVGTEDGGVLSLDWPANLDLEEERGLDTTILIVPGTAEGSMEKDIREFVCECLRRGCFPVVMNPRGCAGSPLTTPRLFTAADSDDISTAIQFINKARPWTTMMAVGWGYGANMLTKYLAEIGEKTPLTAATCIDNPFDLEEVTRSTPYHILLDQKLKTGLIDILRSNKELFQGRAKGFNVKKALLSTSVRDFEKAISMVSYGFAEIEDFYAKSSTRDMVGKVKIPLLFIQNDNGTVPIFSTPRSLIAENPFTSLLLCSYLPSKEITGSKSTVSWCQHLTIEWLAAVELGLLKGRHPLLKDVDVTINPSKGLTLAESRALHQNGRVNKLLNVPNFDALGVHSLNLAKNIFEAGDTRAKIYSRSKQESKGLRPDKDSLGQSSSIDAQLVREEVTNPDDGEMGQVLQTAKVVMNMLDATMPNTLTEEQKKKVLSAVGQGETLINALQGAVPEDVRGKLTTAVSGILHSDPNIKIDRLLSLGRIPDKASRLKSKVEEKTGQPSTDNGNEDPQPSAQSQRTDDFADVSKISKDKTSVGPESEPQASEYGQQSANSNHLPMTNGNAGEILDSDKKATNDLGNHMENMDSSRDRTGLGSDSLVNGSETVSKPELPGRSEGTVNAEDMVIEQHKENDSGKGQSSMKGESSSEEDSVKAAESSHLDQTISMPATQTEDRSSAPVPMSESQIQEKEGDSSLKREENSVQGGSAEYDSKLPSFDVSQAFDAFTGIDDSTQVAVNSVFNVIEDMITQLEGGRENGDGAKDSTDENQKRENIGYEPKERGETQDPTAQNQFTGDDHKLEKQEENRNEKSIPCDSSFGIHTSKEFTSNDHSGRDPATSSGTDTNLSWETHSESYKREGNGRIKDLPTRKLSTESLVRYLNVIYQPNLLSVTTNLYGDHLYKEVFQKYLMSKKSNTKTLDMDTTATLFLDYSPEEGKWKLLEEPQNNSDNIHGDITDVKGETEAETNFSTDVDSIIEPSYVIFDSDRQEERVEKCKKTHTRVGIGDDNLEELLLLIKGIILDALKLEVERRVSDKDIEEMQPKLAKDLELVANSVCLSVGHDEQVFIMRGKDLTLDKFGTLEGQHIIRAITSAVQETSYLGRVLPVGVIVGSTLAALRNYFDVAALNGNSQNEHLILDQVEKSRNINHTRLTMKEADKMVSGKIYEKDDWDSSVDKCSQSSAINSSNGNTVMIGAVTAALGASALLVEQKSSGTTETLLKPLEEQDGHFKGPNNEEMSEKTQNNIVTSLAEKALLVAAPMVPTKEGGGVDHERLVAMLTELGQKGGILKLVGKIALLWGGIRGALSLTDKLISFLHVAERPLFQRISGFVLMVLVLWSPVVVPLLPTLVQSWATHNSPRIAELACLVGLCVSIMLMVTLWGKRIRGYDNPLEQYGLDLTSPSKVQHFAYGLIWGVILVLLIHYANFVSGFVHPSMPTYLSSSSSDAVTWLKVCGRLLWLVFRGLATATGVAIVEELLFRSWLPDEIAADCGYYPGVIISGLAFSLSQRSPWAIPGLWLLSLGLAGARQRSQGSLSLPIGLRAGIIVSSFILQRGGFLTYSPSLPNWLCGSHPFEPFSGIVGIAFSLALAIILYPRQPLLRKKSGAIREFSEGTARER >CDP14190 pep chromosome:AUK_PRJEB4211_v1:6:18618967:18621682:1 gene:GSCOC_T00040445001 transcript:CDP14190 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHEDEEASGSATQGEGLEEDIEYPFYTEGSKALLDARVDIAKYSIVKAALRLHRARRKRDDPDEDLDAEIDWALKQAGNLVLECSEIGDDRPLSGCSFSCDGKMLATCCLSGVARTWSMPEVKKVSTLKGHTERATDVAFSPTGDQLATASADRTAKLWNKEGSLLNTYKGHLDRLARIAFHPSGKYLGTASFDKTWRLWNVETGEELLLQEGHSRSVYGISFQNDGSLAASCGLDALIRVWDLRSGRSILALEGHVKPVLGVSFSPNGYHLASGGEDNTCRIWDLRKRKSLYIIPAHSNLISQVKFEPQEGYFLVTGSYDTTAKVWSSRDFKPIKTLSGHEAKVTSLDVVGDGQYIATVSHDRTIKLWSGRNSEKEKTMDVD >CDP09550 pep chromosome:AUK_PRJEB4211_v1:6:20535555:20542662:1 gene:GSCOC_T00028967001 transcript:CDP09550 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPMDNNPDNSSRERVQKLFNKNVELENRRRKAAQARIPSDPNAWQQMRENYEAIVLEDHAFSEQHEIEYALWQLHYRRIEELRAHFNAAAASVSAGSNTSQNGKGPTRGGPDRLTKIRTQFKTFLSEATGFYHDLMLKIRAKYGLPLGYFSDDSENQIPLCKDGNKSAEVKKGLISCHRCLIYLGDLARYKGLYGEGDSKSRDFAAASSYYMQAASLWPSSGNPHHQLAILASYSGDELVAIYRYFRSLAVDSPFTTARDNLIIAFEKNRQSFAQLLGDARASSVKTTSVRGNGKGRGRGESRVASKDNKVEASSVKEKTSTTLETFRAFGIRFVRLNGILFTRTSLETFGDVFAVVRGDLLELLSSGTDEEYNFGSDATDCRLAIGRMVAILIFTIHNVNRETENQSYAEILQRSVLLQNAFTATFEFMGHILERCSQLNDPSSSYLLPGIMVFVEWLACHQDIAVGSELEEKQASARLFFWNNCISFFNRLISSGFMFVDEDEEETCFSNMSRYDESETANRLALSEDFELRGFVPLLPAQLILDFSRKHSFRSDSNKEKKARVQRIIAAGKALANVVRIGEEGIYFDTKSKRFVVGVEPQVSDDFSLTTTLEAPKLSGVVEDNLVSGQMTPRALEQKPQLYMEGEEEDDEVIVFKPSMTEKHLDGIALNPTSSEVFGSTMNAASIGGDVGSFSTGREGYIAQNAFSASLRPPTSLVNSSYLQPVQPSTTWMAEQGTLVNGLGNLNLFENGFIKKPESQKHFGALPAQTFPVSLPDSSFGTGSNFPNQLPETVVPSKLDSIMSLGADNISMKPSSVSPAGLKKNPVGRPLRHLGPPPGFGSVPSKTVDESLSAMSFKNENATIPQMDDYSWLDGYQLPLVNRSVAGLNSSNHPGQGYPIGSKSSSSMGMPSFPFPGKQTTTLQQQQQLQKANQQSAVLQQQYQGQSLWEGRFFV >CDP03105 pep chromosome:AUK_PRJEB4211_v1:6:9705765:9707969:-1 gene:GSCOC_T00041590001 transcript:CDP03105 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAFSGLGNGTQIDGKVLQTFQKSFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSTSFTKSMDASSEGDSSGAFKSDGKAGHKRNRPG >CDP09525 pep chromosome:AUK_PRJEB4211_v1:6:19730884:19739752:-1 gene:GSCOC_T00028930001 transcript:CDP09525 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEVRKVERQDIQLVQDLIERCLQLYMSQKEVVNTLLHQAKIEPDFTELGFLPVWQKLEEENQEFFRAYHLRLIVKDQIARFNELLERQVELMSQICPTGIASIPMSNGSQIPSMNHNTVCPAPEHTVGICKTETMHQDVSTSLHHTYDNGASSLPQGITTTIDFSAHAQRIDVPPNMLLAQNSTVGIMQGMNGGVIKSEAGYAGNTPFMFGPNGNVLEPRPAVGDVSLSSYNNTESNAQPLNETILDPETTPFGFLGQIPRNFSLSDLTADFSNSSDIILESYSRSPFLAADPNSFQDPRGRGEHQVNTNYRIDTMSDCFSYEGFECD >CDP10507 pep chromosome:AUK_PRJEB4211_v1:6:5998207:6000947:1 gene:GSCOC_T00031254001 transcript:CDP10507 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLKTLDPPPPPWPPGFTVFPNLKPPHRTLPFHQFLVLFLTFTAYSSFHASRKPPSIVKSILGPEVQTANTTIHVVASVFNSDPFQSSQNPGKNATGWAPFNGRRGPHRLGELDLSFLLAYSVGMYFAGHIGDSFDLRLFLTIGMVGSGCLVIFFGLGYFLDVHSFAFFVIVQILCGLFQSIGWPCVVAVVGNWFEKSKRGLIMGIWNSQASVGNIIGSVVASSVLGFGWGWCFVLPGTLIIIVAVLVYLFLVASPENVGFEFPEMEIEMSVEAVALVDSEKVESGEEGFVGSVDEGVSVAIGFLEAWRLPGVAPYAFSLFFSKLVAYTFLYWLPFYLRHTAIGGVHVSHRTAGILSTIFDIGGVAGGILAGFISDLIEAQAVSSLLFFLLSIPALVLYRIYGSVSMVWNIALMFVSGLLVNGPYSLITTAVATDLGTQSVIKGNSRALATVSAIIDGTGSVGAAIGPLLAGYISTGGWNGVFFMLIVSIFLASLLLIHLVKNEIKGKLNEGKWLWFHVITH >CDP02872 pep chromosome:AUK_PRJEB4211_v1:6:12191055:12195763:-1 gene:GSCOC_T00041272001 transcript:CDP02872 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKEENARHMKNLLYVIIISNVVFLVIRAGFMYSTFKWKHAGGLLLTAFAYIIPYQQLYAMSMPSYGEDGELIDGGFDMSTGGICGYLHDVIYITSFVQLASIFSEKFWYIYLVIPAFAAYKLFGLVKGFLPSGSEGPEEDEKTRRKREKMEKRASRGKFVKTRAR >CDP06702 pep chromosome:AUK_PRJEB4211_v1:6:2732447:2735042:1 gene:GSCOC_T00023638001 transcript:CDP06702 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF152 [Source:Projected from Arabidopsis thaliana (AT3G09650) UniProtKB/TrEMBL;Acc:A0A178V9F2] MNTTPPPSSRSTPSPDPPVFIQTATLLRWVSPLPFSSTLFPSISTSSSAITSKTASPFWVQTTSKPSSISLAGTGRDTRPKPPSHSRDQNLLRLLRLRKTEEAWLAYTQSEHLPDPTCLSRLVCQLSYQNTNLGLTRAQAVIRRLRNERQLHRLDANSLGLLAVAAAKGGHILYATSIIRSMLKSGYLPHVKAWSAVVSRLAASGDEGPAEAIKLFRSIAGRVRRFADPTVVKDSRPDTAAYNAVLNACANRGDSRKFLQLFSEMPEFGCEPDVLTYNIMIKLCARADRKDLLVFVLERIIEKGIPVCMTTLQSLVAAYVGFGDLETAEKMVQAMRKGRTDLCKILRDSVMDDSTPNESDIFGELLPNSIGSRNSEPRELPKLFAPNTRMYTTLMKGYMRAGRISDTVRMLEAMRHQEDRTSHPDHVTYTTVISAFVRAGLMDRAREVLAEMARIGIPANRITYNILLKGYCQQLQIDKAKELMQEMSNDSAVEPDVISYNTLIDGCILVDDSVGALAYFNEMRARGIAPTKISYTTLMKAFASSSQPKLANKVFDEMLNDPRIKVDMVAWNVLVEGYSRLGKVEEAKNVIQRMKERGFYPNVATYGSLANGIALARKPGEALLLWNEIKERCGMQNEGDISNSSTNLPPLKPDEGLLDTLADICVRAAFFRKALEIVACMEEHGIPPNKSKFTRIYVEMHSRMFTSKHASRARQDRRRERKKAAEAFKFWLGLPNSYYASEWHFDPVNEDD >CDP10166 pep chromosome:AUK_PRJEB4211_v1:6:13650093:13655232:-1 gene:GSCOC_T00030791001 transcript:CDP10166 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTHLFSAFSTTTLTSRLLLLTLVFSFFILVSFVFDFGESITIKFRFLLLAKRNRFQFRVGLKKMAPGGGAFREDVDSLPKATNYDIAIVKAEKSSSSVKPKIGLSEKHGISSMNGVHELLQCPVCGSSMYPPIHQCPNGHTLCANCKVRVHNSCPTCRFDLGDIRCLALERVAESLELPCQYQGLGCHDIFPYYSKLKHEQNCRFRPYNCPYAGSECSITGDIPTLVAHLKDEHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCYGKQFCLHFEAFQIGMAPVYMAFLRFMGEDSEARKYSYALEVGGYGRKLTWQGVPRSIRDSHKKVRDSQDGLIIPRALALFFSGGDGQELKLRVTGRIWKEL >CDP10540 pep chromosome:AUK_PRJEB4211_v1:6:5717689:5719959:-1 gene:GSCOC_T00031298001 transcript:CDP10540 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLTDSFVIPREETSRNGDIEMGTQQPMNSGELGLDNFFKKVQEIENQYEKLNKLLKKLQDAHEESKAVTKASAMKGN >CDP06667 pep chromosome:AUK_PRJEB4211_v1:6:2472285:2475888:1 gene:GSCOC_T00023593001 transcript:CDP06667 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPLTMVSQGSYIADGERDLEMGLNIEPPSPSPSPRAPALVVSNSGNCLMVSNSGKALMGSNSGKALVVSNSGKALVVSNSGKRIDPSGKKKYVKQVTGRHNDTELHLAAQRGDVAAVGQILGEINEQMMKTSSAADFDAEVAEIRAAVVNEVNELGETALFTAAERGHIDVVKELLPYTTKQGITLKNRSGFDPLHIAASQGHQAIVQMLLEHDPELSKTFGQSNATPLISAATRGHVAVVNELLSRDPSLLEISKSNGKNALHFAARQGHVEIVQALLRKDPQLARRTDKKGQTALHMAVKGVSCPVVKLLLRADAAIVMLPDRFGNTALHVATRKKRAEIVTELLMLRDTNVNALTRDHKTALDIAEGLPLSEESAEIRDCLTRFGAVRANELNQPRDELRKTVTEIKNDVHTQLEQARKTNKNMNGIAKELRKLHRAGINNATNSVTVVAVLFATVAFAAIFTVPGGDDDNGRAIIVGSVSFKVFFISNAIALFTSLAVVVVQITVVRGEIKSERRVVEVINKLMWLASICTTVAFIASSYIVVGRHHRWAAILVTVTGAVIMAGVLGTMTFYVVKSRRVRRVRKREKSIRGANSYHQHKSDYSDSEVNPIYAI >CDP14146 pep chromosome:AUK_PRJEB4211_v1:6:18138626:18140245:1 gene:GSCOC_T00040383001 transcript:CDP14146 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKNLLNVDLKDFEIPAKHPSEEAQKNWRNLVTLVRNKRRRFRYGPNFEKRTEARERIEKLRHQEVTPHHMLFSLGKKLPYLIIS >CDP03111 pep chromosome:AUK_PRJEB4211_v1:6:9677286:9681138:1 gene:GSCOC_T00041600001 transcript:CDP03111 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAVLKQKVGTDEVVLMIPNPQQTSKSTKQIGGLNGNTTTPTILESTTSFYSYSAMEQGNVQSTTVQMSTSSSSPATGRLSSSVLNPPSTSNQPPSETSTGSISIRRRPLAQFRCPKPKSRLVEPPQPPKVKLIEDGNIQPPAPTTNSPYRSPIRRTSTPNTPKTTGSITPKTPLMASPGYHEDQEDDDDDGGGVYQPGYIPDQFEKKRSKKVEFIILIEWIALFSILGFLIVSLTVDKLSSLYVSGLRIWRWCVLVLVFFCGHLVTQWFTELLVFVIERNYLLKNKVLYFLYSLRKSFRVSLWLGLVLIAWALLINRGVKRSRHTMRVLNHITRALASSLIGSVMWMVKTFLVKLLASSYHVQAFFDKIQESIFHQYVLQTLSGPALMENADTAGRFRNSGRLSFKYSMKGKQKGKGDEVVNVDKLYNLTREKISSFTMNGLVQVIRTSGLSTISDALERSDDDEEVPQKEIVSEVEAKAAARDIFKKVAKSGNRYIDEGDLLRFFPPEEVGNVLPLFEGTAQTGQIMKASFKSWVVKVYNERKYLAHSLNDAKTAVEELNKISSAIILVVIIIVWLLLMGFATTKVLVFISSQLLLVVFMFGNTAKTVFEAMVFVFGTHPFDVGDRCVVDGVQMIVEEMNILTTIFLRYDNQMIYYPNSILATKPITNFNRSPPLGDSVEFSVDFSTSVESIAALKAKLKGYLESKPEQWQPSHSVQIKEIEDVNKLKMALYVTHAINSSSSQRSSHRSDLVLELKKIFEVLQINYHLLPQEVHVRYVGSEFPPSNTY >CDP02950 pep chromosome:AUK_PRJEB4211_v1:6:11220836:11225353:-1 gene:GSCOC_T00041384001 transcript:CDP02950 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHVISSFKLPIKVKVIFLHVLLQYLEAKAKLQKLNSMNYRLRPKALSINLTNNWLIIFTSLIVSAMTYLPILSDSENQLYFKRRTFFGELFWLFYEGHHVNLIWPKKSHDLAVSKVMDDDQQMPDLETPLISDSQEKGIELKGLFSKDDILAEAKKQLWLAGPLMFVNLLLTLIQTISVMFVGHVGELALSGASMATSFASVTGFSLLIGLCGALDTFCGQAYGAKQYHMVGIHTQRAMFVLLLVCIPLACLWANTGHILAFFGQDPEISAEAGVYALYMIPTIFPYALLQCQFKFLQTQNIVIPMILTSGVTTLLHIPTCWTLVSKLGLGNKGAALANAISYWINDLFLALYVKYSPSCKSSWTGFSKEAFKDVSKFLSIAVPSAIMICLEIWSFELMVLLAGLLPNPVIEASVLSISLNTNTMVYMLPLGLGCAISVRVANELGAGRPLAARLAVWVAVVMVAFESIMVAAIMILGRRIWGYCFSSEERVVKYVADMMLLLAVTHLLDGIQSVLNGIARGCGWQKIGAIINLGAYYLIGIPLGVFLAFVQHMGGKGLWTGIIAAVFSQTMFLLIATVRANWQAEVNKFSNSSNVYSLENYFRW >CDP14180 pep chromosome:AUK_PRJEB4211_v1:6:18524588:18525538:1 gene:GSCOC_T00040432001 transcript:CDP14180 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASEEVILLDFWTSMYGMRARVALEEKQIKYEHKQEDLIGNKSELLLRMNPIHKTIPVLIHDGKPVCESLIAVEYIDEVWKGKASLLPSDPYERAQARFWADFLDKKVYEYGRQVTWSRKGEEPEAAKIGLTNSIKTLEEEALGDKPYFGGDKFGYLDVAVIGLCSWLHTYEIICNFNAATECPKLVAWAKRCMERDSVSKSLPEPKKLYEAILGFKKVFGLD >CDP02875 pep chromosome:AUK_PRJEB4211_v1:6:12159589:12160752:-1 gene:GSCOC_T00041277001 transcript:CDP02875 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAIGFEGFEKRLEIAFSEPPVFRDPNGQGLRALTRSQLDSILEPACCTIVSQLSNAEFDSYVLSESSLFVFPFKIILKTCGTTKLLLSIPPILKLADSLSLGVNSVKYSRGTFVFQNAQPAPHRTFSEEVAFLNAYFKDGSGYVLSDPNFQNRNWHVYVAQVGPLKSDYPKPVFNLEMCMTGLDGEKAAVFFKTSDGYNQMTKMSGISEIIPSHLVCDYEFEPCGYSMNGIEGSAYSTVHVTPEAGFSYASYESMGLDFGSENLGGLGSLVNRVLRCFGPAEFSVAVTCLGGGDRCGEEHLEFWGGDVEGYRCETGVRQELPGGGCVFYRCFAASRGGKEECRVGTPKSVLHCWEEVAEEGAEVVGIGPVGGGAVVSCPCVGSA >CDP10077 pep chromosome:AUK_PRJEB4211_v1:6:14875114:14877623:-1 gene:GSCOC_T00030672001 transcript:CDP10077 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPYSNFYNQWFKFNTPYPFSSSISSNHQPPNYVQNFYYSNNCIQYNGTSTSFHSHNSPPSSPPLREALPLLSLSPTRHDHGAISEEPCCQEMDMERTVHEVHEEAEAEDDDEDVTVALHIGLPNPSAADLASVLSSSVETTDKDHDQDGDVSAAGYHPIMNRLNKGQYWIPTPSQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHGAYGVNSFEEDDEPASEIEQDDDQSMHS >CDP06599 pep chromosome:AUK_PRJEB4211_v1:6:1986286:1992302:1 gene:GSCOC_T00023507001 transcript:CDP06599 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSRIGRSLLSSSRSAYSNKLISGFGVRGMRSPSVTSTDLNACIPRVDAPTLGPVRSYLTSIRAGAGGGTKIAGTTFLSEFNSVFANPRLRRLFCSEAPKQRNYENYYPKDKKEIPKGDNKRSSEAKDESSAGDQANGQDSSSKQFQNLIMPLLFIGYVLSSILLSPREQNQISFQEFKTKLLEPGLVDRIVVSNKSVAKVYVKDSSPGANDAVQGPVHGPSSRRNVSKYKYYFTIGSVDSFEEKLEEAQEALGIDPHNYIPVTYADEMNWLQELSKFGPTLLILAALFYMGRKVQGGLGVGGPGGKGARGIFNIGKAHVTKLDKNSKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFMEMFVGVGPARVRSLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGHDERESTLNQLLVELDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFRIYLNKLKLDQDASYYSQRLAALTPGFAGADIANVCNEAALIAARNESTVITMQHFEAAIDRVIGGLEKKNKVISKLERQTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPSENLLMTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQRDDTFEMSKPYSSKTAAIIDNEVREWVSKAYERTIQLIEEHKDHVAQIAELLLEKEVLHHGDLVRVLGERPFKSSEPTNYDRFKEGFIEDDKEVVKDSPEGKSVQDDSSPPLDPDVVPA >CDP14294 pep chromosome:AUK_PRJEB4211_v1:6:36175329:36179348:-1 gene:GSCOC_T00040603001 transcript:CDP14294 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSFSCPLSHDLDGQLESLLVRQISFERGDVKAVIRSVSFNGRDSDSKAAMKSFDSGKMILEGSLSFKGRELEISLKAPAFDVENAGIIASINAKSKQVPPTSPAPDSVFEKSIATPSPGPANDRDMAALKLQKTYKSFRTRRQLADCAVLVEQRWWKLLDFAELKRTSVSFFDEKPETAISRWSRARTRAAKVGKGLSKDKKARKLALQHWLEAIDPRHRYGHNLQFYYVNWLQCESKQPFFYWLDIGEGKEVNLEKCPRSKLHQQCIKYLGPIERMAYEVVIEDGKFKYKESGQLLDTRGGPEDAKWIFVLSVSKVLYVGLKKKGTFQHSSFLAGGATLSAGRLVVEDGILKAVWPHSGHYLPTEENFEELMSFLKQKNVDLTVVQRAPSGEEEEVFVRKQSLRKTISEPDFSRAGDDADAKSSERERVDSIKKYCMGTENLPPQISRWSRGLHSKISVLEIPKREDMLELFKNQEEQEHQIGGETPADGYETAEENLSDSDFSVSKENLFDEDVEEDEEEAIPKEKIIKRIFSHKGMKSYQLAKQLSCKWTTGAGPRIGCVRDYPSELQVRGLELVNLSPRTSFSSPRKSLTPNFCRETTGRSPLGS >CDP10576 pep chromosome:AUK_PRJEB4211_v1:6:5456005:5457445:-1 gene:GSCOC_T00031339001 transcript:CDP10576 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIYSQSTTCSTTAFSSSSASSMKITYFVHARPFVTPVRGTIKRRIFSSLFQKLQLAAAELQETLCPCNTETSGSSASP >CDP10245 pep chromosome:AUK_PRJEB4211_v1:6:12748210:12749785:1 gene:GSCOC_T00030908001 transcript:CDP10245 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKTVFPCFALVFFLFSLSEAREFLVGGKGGSWKIPSYPDEYNKWAEKNRFQIGDYLVLEYDKNSDSVLEVVESDYRGCSRGNPVKEFHDGNTKIQLDRSGPFYFISGADGHCEKGEKLIVRVLAANHTSAGSHSPAPAPSPSALQHAPAPAAATAAGHALKLGFMGWLALMAASLIFVFAMA >CDP10228 pep chromosome:AUK_PRJEB4211_v1:6:12879912:12882772:1 gene:GSCOC_T00030888001 transcript:CDP10228 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPLLVPGEGMEESPPKTLPKSLRDRISAYKAMAWEAYRSKPISHWILLVLSSAAMLVAFPASSLLSRLYFSNGGKSKWLISWVAVAGWPIPALILIPTYFILGVYPTPLNLKLVVSYIVLGFLTGADNLMYAYAYAYLPASTASLLASTVLVFSSLFGYLLVKNKMNASIINAIVIITAGMVIIALDSDSDRYGYITDSQYIMGYVWDILGSALHGLIFALSELVFIKLLGRRSFHVVLEQQVMVSLFGFIFTTIGCIANKDFPAMASEARRFKGGKSAYYLVLTWGVITFQLGILGSTAILFLASTVFAGVLNSIRVPLTSIAAVILLKDPMSGFKILSLIITFWGFACYIYGNRPASKEPS >CDP06699 pep chromosome:AUK_PRJEB4211_v1:6:2703756:2713050:1 gene:GSCOC_T00023634001 transcript:CDP06699 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHKCYCCCCYYFLFLSLAFPLLMDVAKAQMPGFLSLDCGGKGNFTDELGLLWTPDGQMISGGRANISVANEQRTQYMTLRYFPADNRKYCYTLNVMSRTRYLLRASFLYGNFDTNNVYPKFDISLGATRWATVVISDASTIEYQELIFLATEPTISVCLSNATTGQPFISTLELRQFNGSIYMTQFENEFFLSVSARINFGADGDTPIRYPHDPFDRIWESDTVRKANYLVDVAPGTEKVSTRMPIYVSSGERPPEKVMQTAVVGRNGSLTYRLNLDGFPGSGWAFTYFAEIEDLAPTATRKFRLVLPGNPDLSKAIVNIEENASGKYRLYEPGYFNISLPFVLSFRFGKTSDSTMGPLLNALEINKYLKKSDGSLDGQLVAGIISAYSTADWAQEGGDPCLPTTWSWIQCNTDPQPKITSIKLSRKNLTGIIPLELTKLSGLVELWLDGNSFSGTIPEFSGCPNLKTIHLENNQLTGGLPSSLGGLPNLRELYVQNNELSGTIPSNLLNRGLILNYTGNIGLHKGGSAGNHKKIIIGSSVGAAALLLATVISCLLMQKGNKNYANQDQHEHRLPGQRVVSSLGDAASEVAHYFTLSELEDATRNFERKVGSGGFGVVYYGKLKEGKEIAVKVLTNNSFQGKREFSNEVALLSRIHHRNLVQFLGYCQEEGKSILVYEFMHNGTLKEHLYGPITHERRISWIKRLEIAEDAAKGIEYLHTGCTPSIIHRDVKTSNILLDKDMRAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELISGQEAISNESFGMHCRNIVQWAKLHIESGDIQGIIDPSLHNEYDIQSMWKIAEKALMCVQPHGSMRPPISEVIKEIQDAISIERGAEAIREGSSDDISRQSIHSSLNLGGSLDLGASEHYLSIDESIARPTAR >CDP03376 pep chromosome:AUK_PRJEB4211_v1:6:7487089:7487857:1 gene:GSCOC_T00041935001 transcript:CDP03376 gene_biotype:protein_coding transcript_biotype:protein_coding MERMKSKLSMICICIATVAIVAVATIQEDEKDCADQLTNLAACIPYVSGDEKIPTPECCEDTKKVKSAKPKCLCVLIKESTDPSMGLPINTTLALKMPSACKIDAKVSDCPSILNLAPDSPDAKIFEAASKDSSTSSSTTNSPPASASTSSSSSGSSTSPSSSRSSSSSATKPTTSSSIAGAKLCQSSLVIAGSAVIALLLL >CDP03393 pep chromosome:AUK_PRJEB4211_v1:6:7271180:7272394:1 gene:GSCOC_T00041959001 transcript:CDP03393 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYRSLTTNAIGFCLLPSELIQYIILRLALPDIVRLKSVNKSISSMISDQDFVRDYNLHSSSATWLFIYKKRWHRDSMLQGYTNCSNRWFKILISDMLKSVAPPGEDLYLLTASGNIFLFALNNRLEVISVNPMSKTVKKIPPSPLGPRGTSSWRRSGIKLLSGPPGSDHFRFLFAEMRENQPILFEYDSRSNEWSSTEAGENVVSAETGRDYGTIYLSAYNGTSGSVIIAKGKGTTDQDERDAAAVVRPRFVGWGGNEGGQLAVGFSWGNAIDRLYVYGDGKAMIVRSDGVEDGNSRVMRMLNGLELWGLSSSGGHWELISTVPNELVEKIRKPYGAMMGCLEQRLGVVRAILMSNLEGVWDIVWLCYELESRIWNWVPIPDCKMKGANMAGIAFSSGLTLK >CDP06478 pep chromosome:AUK_PRJEB4211_v1:6:1060244:1064450:-1 gene:GSCOC_T00023343001 transcript:CDP06478 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILQVDRNAKEDDLKRAYRKLAMKWHPDKNPNNKKDAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGQVPPPSAYASTSDGGGPTMFRFNPRNPDDIFSEFFGFSSPFGGMGGMGDMGGPRSAGSSFSRGMFSDDIFASFRSATTEGSSGSVPRKAAAIERTLPCSLEDLYKGTTKKMKISRDVNDANGRPTTMEEILSIEIRPGWKKGTKITFPEKGNEQRGFIPSDLVFIVDEKPHIVFKRDGNDLVITQKISLVEALTGYTAQMTTLDGRSLAIPINSIISPTYEEVIKGEGMPIPKEPSKKGNLRIKFNIKFPTKLTSEQKTGIKRLLTSPGAST >CDP10388 pep chromosome:AUK_PRJEB4211_v1:6:6970494:6974736:-1 gene:GSCOC_T00031099001 transcript:CDP10388 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLLSKTASARNSLHFSQFNSQRGMHGRNKKAMEFIAKGWNALKEVDRVIDYCDLNDHRLIPMLRTAKENFELALEADNSNTHARYWLSKLHLKYHAPGACKAIGAALLVEAADMGDKDAQYELGCRLRVENDYVQSDQQAFYYLEKAVDQLHPGALYLLGAVYLTGDCVKKDVASAIWCFHRASERGHAGAAIAYGSLLLRGKMPLFFFSLVH >CDP02888 pep chromosome:AUK_PRJEB4211_v1:6:11949021:11949788:-1 gene:GSCOC_T00041294001 transcript:CDP02888 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKALLVLVCWLISALAMVAESRVARKDLGLDLGLPIGVGIGAGVGIGLGGGSGSGAGAGAGSGSGSSSSSSSSSSSSSSSSGSGGAGSEAGSSAGSYAGSRAGSRSGSGGGGSEAGSSAGSSAGSRAASGSGGSRGGGSGRGSGSGHGEGYGEGSGNGGGHGEGGGSGSGYGEGNGK >CDP03340 pep chromosome:AUK_PRJEB4211_v1:6:7793717:7794447:-1 gene:GSCOC_T00041888001 transcript:CDP03340 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSLVRLVKSLVAQPAASITTMLYYGDLLPENDSLNRLVRQELFDRDNLLFNVLINFLRCLA >CDP06763 pep chromosome:AUK_PRJEB4211_v1:6:3277327:3282373:-1 gene:GSCOC_T00023723001 transcript:CDP06763 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQAESSDSKGTKRDFSTAILERKKSPNRLVVDEAINDDNSVVALHPETMEKLQLFRGDTILIKGKKRRDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPVRREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDSIDAEILNSMAVTNEHFQTALGTSNPSALRETVVEVPNVSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRRDNPEAMEEDVDDEVAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFSETSAGVTGADPFAASAGGADEDDLYS >CDP03190 pep chromosome:AUK_PRJEB4211_v1:6:8999113:9002304:1 gene:GSCOC_T00041694001 transcript:CDP03190 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIVIIFDFDRTLIDDDSDRWVIKGMGLTHLFNQLRPILSWTSLMDRMVKELHSQGRTVKDIAECLKGVPLHQRTAAAIKSAHALGCDLKVVSDANKFYIETILKHHGLYDCFSEIITNPTLVDEHGRLQIFPYNDLASPHGCHLCPSNMCKGIVIKRIQTSIGPRREAKFIYLGDGNGDFCPILKLGKGDHALPRKDYPLWELIRSNQEFVEAEVHEWCNGEELEQILLALIDRISGEDIKKAPVDEIR >CDP10397 pep chromosome:AUK_PRJEB4211_v1:6:6926648:6929889:1 gene:GSCOC_T00031110001 transcript:CDP10397 gene_biotype:protein_coding transcript_biotype:protein_coding MITGQLKFKSLCAKLPQLAAFKTLHSFNYEHQLFDETPSPQPASLHHTMLNHVHCRHPFEALKAFKKQLQLGISEIDEAAVAIALKACRGDPEIGTQFHGFAITSGFIDHVSVSNSLMNVYCKSGQFDRALCVFNRLESPDTVSYNTLLSGAQNGEDALSFACHLHSAGVLFDAVSFTTILAHCTDTEDFHFGSQLHSLVSKFGMRSEVYVGNALVTMYSKWGRITEAERAHGLEAIFGFVQMVRVGLKADHVSFTSVISACGQERNVEFGRQVHGLIIKRIFGTHVSVCNVLISMYSKGEGDFVEDAKLVFSKMVERNVISWTTMLSMDEEDAMNLFNKMRRDGVYPNDVTFVGLLPTLTKNDMVQEGQMVHGFCIKANFLSKLNVANCFVTMYAKFAHIVGSVKVFEELDYRDNVSWNALISGYAQNELYQEAFQTFLLASAELRPNEYTFGSILNAIGSSESISLRYGQWCHTYLLKLGLNFDPVISGALLDMYAKRGSICESMKVFYESGQRSQVAWTAIISAHSRHGDYESVMSLFNEMEKKGVKPDSITFLSVLTACGRKGMVDAGMQIFNSMIKDHLIEPSSEHYSCIIDMLGRAGRLKEAEELVAQIPGGPGLSVLQSLLGACRIYGNVDLATRVADTLIQMEPEESGSYVLMSNLFAERGLWEKVAKIRKGMRDKGVKKEIGFSWVDAGSVDDSFNLHGFSSDDKSHPQSEAIYRMAEWLGSEMKYLEKEKESFMPDNHSGTPTRR >CDP10429 pep chromosome:AUK_PRJEB4211_v1:6:6667756:6669468:-1 gene:GSCOC_T00031152001 transcript:CDP10429 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTFAAVPLLVILAAAILGNTDAATHVVGDSLGWTIPSGSSVYPNWASQQTFKVGDILVFNFATGAHDVAVVPKASYDGCTSSNPISLQTVGPARINLTSPGQAYFICTFGQHCSLGQKLTVSVSGASTPSTTPAPPTSAPAPAKTPAAATPAPAPKTPAGPAPTPASVPAPSPASVPSSSPAPGPGGAPTPSSAPGPGGTPAPTPTSPSGAPGGGSSSPPPSSAPVTATATATLVVMLLSFVIGITC >CDP15655 pep chromosome:AUK_PRJEB4211_v1:6:32914945:32919445:-1 gene:GSCOC_T00015599001 transcript:CDP15655 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNVIGYDNGDGKLTFRKDTNEICFQPPSDPLLARKMEALQRITKKLGGILFMSRYRSTSVHLLAGCCASSDASSGVCNSNGQVFDTLNSTTVYPGLYVCDGSLIPCSVGINPCLTIATAAEHVSKHLLQDALDYKSKDVDFVRGKPVEKKSLVRSWKSEISRGSAVLFKETMRGHVGGLPCAAYLKLKLNVRTTSEKTIGDFRESNPILQGKVSGHVMCSAIEMDKLYVIDGEVDLCHVDIKTPYTQYMHYRLLLAASSGSRYILEGKKVMNPFLFGLDAWKDSTTLHVVLRKISQHTSEEVMISLKGKLHISMIELLKSLFSMSGSGKRKFLHILLQSLFRTYISQVPRASQKGFTPLDPYQKNYPRSTLHEIRTEDGIIISCQQWKCNQGPQRQEEGNKPFPVLLINGYATESYCLPTESNDLVRSLLHQGHETWLLQTRLLGTNSSINMTVEASGMFDIPAAIDKISELHGESVKIHVAHCIGGLSIHIALMGGYVFYKRIASLSCTNSSMFFKITAWSKFKLWLPLIPVRHLSISMAIFGKDKSLPLFEALKASSRQKLLKSIARAMPRYERCTYDECEVLSGIFGNAFWHQNVSHKVHHWMNKEYLPRLNMGAFSHLQKICNAGFIVDTKGRNTYLIHPERMGLPTLYISGGRTLLVTPQTSFLANKYMKLHQPGFRHKRMVIDGFGHSDLLIGEDSSKKVFPHILSHIALAEKQNTAAFTSSERECQKDALSWVDDPYQDEGGFWGCASSFINAYS >CDP02918 pep chromosome:AUK_PRJEB4211_v1:6:11573935:11575300:-1 gene:GSCOC_T00041339001 transcript:CDP02918 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLNRVVLYIKREYQPSLLKEAFKVTVKNGRLVLFITFIMFSYYSLMKFADHLVLEPVLSSFVANSMPLPKNGSFTDSDLALYKPFLKDLRFILAFKFVEWAFLCVVLMFSVAASVHSTYEAHTAKQLGLKDMLCGLRGKIRRPLKTSIWMIIISSASAALLFFTVGVVGVMTEGSVTHYLSLAVLVFAALYYVGVFSLWMLSLVVSVLEENFSGLKAIYQANELMKGKKLKGFGLMVLLILISAAIHKTFACLASLAMAEKVAFVGGAISTLKIWPYCLMKLFVFAVYTLFYHELKQKQQAEENAALYTPISAIAEF >CDP06521 pep chromosome:AUK_PRJEB4211_v1:6:1424262:1431259:-1 gene:GSCOC_T00023404001 transcript:CDP06521 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPQPPVTFSGKLSSKPSASDLLRSSSNGVSGVPLRVLGRAHIGSKRMDFAVSAKLRKVKKHEYPWPEDPDPNVRGGVLSHLSPFKPLKEKPKPVTLDFEKPLMDLQKKIIDVQRMANETGLDFSDQIISLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHVFNITEKFVELHGDRAGYDDPAVVTGLGTINGRCYMFMGHQKGRNTKENIQRNFGMPTPHGYRKAMRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIISIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKASPKAAEKLKITAAELCKLQIADGVIPEPLGGAHADSHWTSQQIKTAILDAMDELVKMDQEELLKHRYLKFRKIGGFQEGIPVDPQKKVNMKKKDTPIIQPGKTSGLELKEEVEKLKQQILKARETSTEKPGSGLKEMIEKLKREVELEFSEAAKALGFGDKIVVLREEFAKAKNSNDQPMNTALKEKIDKLRTEFNQKLSSAPNYASLKYKLDMLQELSNAQNLSGESNKVGELKQEINKRFKELTEQPEIRQKIEALRAEIENSGISEEVKEKSLLLKDEIESEFTGILKSLNLYVYPPPSSVEAQEKVDKFNREITGIMEDVVRSTDLKDKIEFLKREVAKAGKTADAESKAKFQALWQQIKKSLVDAMSFSELREKHEKLKAEISEDIESSKGTNGSLLKENIVDDNLKYDDGPKVESQVKIASN >CDP14346 pep chromosome:AUK_PRJEB4211_v1:6:35688442:35691355:1 gene:GSCOC_T00040678001 transcript:CDP14346 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAIYASTSAAAEASAYLALEDFLHASVKCLWEAFWSQDEPLPFYVSSLYNANLRFYQAEKAIAKGRFRDLCATAIMLKNPRHPLGKWDDVLELALLRPDIETLATLDVDSRPSSLAIGEALFFAVRVLLARSFSRSNIPLSLNSVFVLLLDSQYGGVVKVEADVNKLEADVNNVYGCAAEWIKNHSRISISPVDRIWNKLGNANWGDIGALQLLFATFHSIVQYAGMPKNSVEDLAADHSSRLQARRIERQLGDSSVNGNGLFRYQQRNASPEIVEVQDESIRLGSERSMKLEVGSVLWLEESDSRQGYEINEVLSDGEILYYIASSIKDSGTALFLYIGSPPTQLEPAWEDMKLWFQVQRQTKILGVMKQKGLSSKYLPQLSVSGRVIHPGQCRRPSSGGNCDHPWCGTPILVTSPVGRTVADMVRVGEFRAEEAIRCCHDCLSALHTAASAGMRHGDIRPENVICVTSGRGQPYFVLIGWGHAILEERDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYFASGAELPDLDSVEGALQWRETSWSKRLIQQKLGDMSAVLKAFADYVDSLCGTPYPMDYDIWLRRLRRHIHEEDHGKEIDRGS >CDP15346 pep chromosome:AUK_PRJEB4211_v1:6:3999303:4001826:-1 gene:GSCOC_T00043041001 transcript:CDP15346 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETRAELLFRSLWKHSQKSPVGGPEKVGIGILSFEVASLMSKLVNIWQCLEDRQIMRLRREISTSPGIQMFISEDDDYLMDLAHLEVMENVGCVARAVVLLGKRCTDPTYHHLKQVFDDPVEIGLNWCGWEYRLKKMERKVKKMERFVAVTSQLQQELDVLAEHEQTLKRMRANPESSQVKLLEFQQKVMCQRQEVKNLREMSPWVRTYDYTVRLLLRSIFTIVARIKFVCGINQWGAVEKIYGSIQISKICLVRSNSISDSTQYAVHLSENNLFGINSRELGRSCSNLGMAGEKDRSNSRKLNAWHNRSIFGGKKQQKKTIRFAHIGPFHGCMTGSDSPALKNFMASSSNILRSDCASHEGIEMVKNSKIWPVSHCSINFKHKQLNAPPSTLGYAALALHYANIIILIERLVSSPHLISMDARDDLYNMLPTSIRTSLRAKLKVFTQSSASSVYDAVLEAEWRLTLSRILDWLSQLAHNTIKWYSERNFEKQDMTSGTNVLLVQTLYFADQAKTEAAIAKLLMGLNYLSRFYKQLHEQPFLDSSCKRACDVYVFDTEYFPKHDQSHNVDVPQTC >CDP06677 pep chromosome:AUK_PRJEB4211_v1:6:2536315:2540007:1 gene:GSCOC_T00023608001 transcript:CDP06677 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRTATKSSRLIRPVHQHQGLPSLLSPRRFATETQQPSQDTTSDSFLRTPATGLVYGKLFGTTKHTTKTDVLNLLQGCNLSTDDVKVCYDHYYETMSMSIQFPTQLAYNAGIRSISRKGRFRLDKADRSQWETLKPYDGKAILLQGIPRNALMEDVQRFLSGCQYDASSMQMFFRQAFPDAIRMALVHFPSQALAMHAFITKNQGFCLNSQIFVRILH >CDP02948 pep chromosome:AUK_PRJEB4211_v1:6:11242490:11245356:-1 gene:GSCOC_T00041381001 transcript:CDP02948 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMSSSSQKHVAVLAFPFATHAGLLLGLISRLAKAAPNVTFSFFSTAKSNASLFSSSSNIGDDNVKAYDVPDGVPDGYVFAGRPMEDIDLFLKVAPENFRRAMEAAERDTRRRVSCVVADAFLWFSCEIAEKKGVSWVPVWTSAAGSLSIHFYTELIRQTLGTGDGIAGREDELVKFIPGFSEVRLGDLPSGVVCGDLESPFSVMLYKMGQILPRANALPINSFEELDPPVIEDLKSKFKNVLNVGPFNLTSPPPLANISDVHGCIPWLDKQKLGSVVYIGFGTRATPPAHELKALAEALEARNAPFLWSLKDNFKSNLPDGFLERANAIGKIVPWAPQVQVLAHHSIGAFVNHSGWNSVLESIAAGVPIISRPFFGDHQLNSWMVEKIWKIGVRIEGGSFTKDATALALELVLSSEKGKKLKEHILTYKELALNAVGPNGRSTKNFEILVEMITN >CDP03072 pep chromosome:AUK_PRJEB4211_v1:6:9975650:9981044:-1 gene:GSCOC_T00041551001 transcript:CDP03072 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGSGGGYDRHITIFSPEGRLYQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKYLGLLATGMTADARTLVQQARNEAAEFRFKFGYEMPVDTLAKWIADKSQVYTQHAYMRPLGVVAMILGIDEEAGPQLFKCDPAGHFFGHKATSAGAKDQEAINFLEKKMKNDPAFSYEETVQTAISALQSVLQEDFKANEIEVGVVRKENPIFRVLSTEEIDEHLTAISERD >CDP02993 pep chromosome:AUK_PRJEB4211_v1:6:10843658:10847102:-1 gene:GSCOC_T00041447001 transcript:CDP02993 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFQYAINPLATASNNSFAVNQNSDWGYSRETGARGQSEESALHHFKHSMDHEMFDLQKIESIKKTMLLHEDIFQRQVIELHRLYNLQMKLMYELKKEMTYKQGPLAGKDPSSSQFLICQKQMQDIRSNLPVHGLTYGSAERSASSCGESLRMPKLFNLERVAEEGPSTSIQPTEQDQQRPNRYGLSTSNKASMDGSDEEIDVELTLSIGCSKSKKRSNSRNNPCSPELGGSDSNCSGKELCSAAIVKTEKREDSDDPSPALSSSSATPNQNTNATRSHWLFQDLRSLAPFKEEISQNMVEIGKNSYLQASVTSRSILRSIGASKLRFIVYYLLDNFISSQILCYTQGEQNKFTSMRLYSLKKRTYIFIFIKKKNGGSFFTRVISLASVLIFTMQSMHSPLPNIIKQQKKEIQRQKEKQPSVASMINLGR >CDP15448 pep chromosome:AUK_PRJEB4211_v1:6:4806158:4806645:1 gene:GSCOC_T00043181001 transcript:CDP15448 gene_biotype:protein_coding transcript_biotype:protein_coding MQLESQITAKGLYKPSFAGFLDVDLARKKLSPRSLIDHSVVEAKCALPLGFILLWQSPTMAICMHSTMAARQLPSRRWRLGASRDLNL >CDP15314 pep chromosome:AUK_PRJEB4211_v1:6:3768898:3769827:1 gene:GSCOC_T00042998001 transcript:CDP15314 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNSRPGTPNSSFYTPLLISMIGVFSTCVVLVIYHFILIRYCHRRTPQTASNGNAVAQAVLPESPSLPSGVDHKLLETMPIIAYSPTKQQGGLFRVDQSECVVCLGALEQGEMVRLLPNCRHAFHVPCIDEWFVAHASCPVCRSPIVAAVNDDIPPSSPPPPLQVFTSSSSFDVGSRRVEFPGQDYGNDASSSSSSSSSSSSGSFGLLRHSGSLVLLPTERASSSARVITGLKRSLSMDQSSVIIEMQGESSTDGSSSSAKEDDDDDAATTTVGAFRSVRHFDRVSAKFLSSFSRLRLGNNNEILPY >CDP15779 pep chromosome:AUK_PRJEB4211_v1:6:25934268:25938010:1 gene:GSCOC_T00015863001 transcript:CDP15779 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLSGGGRAYRLNLEIIKSPTTSWTSQSSSPSSTLSESSNSPIAISTRKPRTPRKRPNQTYNEAAALLSTAYPKIFSTKHLTKPCKFTKQQYPFSYEPSDLLLPFPVIDNSSFLIHHPPVLEKPNSYPIEQKMASSCEKPCQSPGEINSKMNFSEVSDDFNEDFDAESILDEEIEQGIDSIMGNLGVENEAVDESNTFCYGANTSQIGTCYGYPVGLGFGVNSVYGNFGMRNNHIRAFRNSDEGDWWFPSVNVRDITPKFHKSPAEKKKKKVEKTVELKNLAEPSSVTAKETPISSSSARATKQNSGPAQKLAKDKVKDKDKDNDKEEEPNEPKPNVGSLLLKLNYDNVLTAWSDGPSPFSDDSPVAEASGNDVQARLAKIDLFSETGGVREASVLRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKGRFVRTPNSPDSEQG >CDP16325 pep chromosome:AUK_PRJEB4211_v1:6:28747079:28747216:-1 gene:GSCOC_T00018122001 transcript:CDP16325 gene_biotype:protein_coding transcript_biotype:protein_coding MTADWYNFEHNFLDVVARKICNSVRGVNRVVQDITSKPPSTIEWE >CDP10392 pep chromosome:AUK_PRJEB4211_v1:6:6954532:6958467:1 gene:GSCOC_T00031103001 transcript:CDP10392 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRLNGVENNGDLLFPNSGIGFLWCPLDEGHKPSGFFASVGQMGTGFGMSPNSPNSVGSDFKLPHASFFEKSILPEPGLKVGEVPDLLVGDEMELEEQGVLKKKKAKRGGLKLRIKVGNSSLRRLISGAIAGAVSRTSVAPLETIRTHLMVGSCGTSTGEVFQNIMNNEGWQGLFRGNLVNVIRVAPSKAIELFAYDTVKKQLTPKTGEEPQQPIPASLIAGAVAGISSTLVTYPLELLKTRLTVQRGVYKSFLDAFLKIVREEGPAELYRGLTPSLIGVVPYAGSNYFAYDSLRKAYKQVFKQEEIGNVATLLIGSAAGAISSSATFPLEVARKHMQAGALNGRQYRNMLHALISILEHEGVPGLYRGLGPSCMKLVPAAGISFMCYEACKRILVENEDDS >CDP15417 pep chromosome:AUK_PRJEB4211_v1:6:4621835:4624812:1 gene:GSCOC_T00043145001 transcript:CDP15417 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSLEPSWLSYLGGSYESLVGSTQAQHEAIEPKIAHRDIKSSNILIDDDFDAKLSNFGLAKLLGAGKVILQPKLWIPLDGYLYQGVHPCDKRSINQYQCLFLAIDFSLAKSDEDTLWKANVRETKEEVAVRGMNFKKYLLTRRERERWLLLLIVDSCFIHYPHLVMTVTLQSRKKSLSSKFSCY >CDP06608 pep chromosome:AUK_PRJEB4211_v1:6:2052135:2056068:-1 gene:GSCOC_T00023516001 transcript:CDP06608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL11 [Source:Projected from Arabidopsis thaliana (AT5G02290) UniProtKB/Swiss-Prot;Acc:P43293] MGICLSQQVKAEFPFSSGTEVNSRNVSEDGTQTSNLSSKASSFSMCQTPRSEGEILESSNLKSFTFGELKAATRNFRPDSVLGEGGFGSVFKGWIDEQSQAASKPGTGIVVAVKRLNQDGWQGHKEWLAEINYLGQLRHPNLVKLIGYCLEDDHRLLVYEFMPKGSMENHLFRKGSYFQPLSWSLRMKITLGAARGLAFLHSAETKVIYRDFKTSNILLDSNYNAKLSDFGLARDGPTGDKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDVYSFGVVLLEMLSGKKAIDKNRPTGEHNLVEWAKPYLTNKRRIFRILDARLEGQYSMGRALRVANLAIHCLSVDPKVRPSMDEVVTTLEQLQDLKGTSNHDQKDSQLNLDGNSGGGPRHCRRSAEEAPGMTAYPRPSASPLYA >CDP03333 pep chromosome:AUK_PRJEB4211_v1:6:7862155:7863903:-1 gene:GSCOC_T00041881001 transcript:CDP03333 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGVKSATDARETAALESQSQSTNQSPPRLNKYALACAVLASTNSILLGYDIGVMSGAVLYIKDALKISSVKVEILVGTLNVCSLIGSFASGKTSDWIGRRYTIVLAALTFLIGALLMGLAPSFPFLMAGRVIAGIGVGSSLMIAPVYSAEVSPAMTRGFLTSLPEVFINVGILLGYIFNYAFSGLPPHINWRLMLGLAGFPAIAIAIGVMKMPESPRWLVMKGKTGEAKKVLIKTSDNEREAKERLDEITRAASLVVEDELPSSNDWHGQGAWKELLRPSKPLRRILVAAIGINFFMQASGNDAVVYYTPSVFKSAGIHSRKGLVGVTVIMGIAKTSFVLVSALFLDHYGRRPLLLMGTTGMAFSLAGLGIGSTFLQRSRRKPLWAIALCVLAVCSDVSFFSIGLGPITWVYSSEIFPMRLRAQGSSLAISVNRLVSGVVSMTFLTISTKITFGGVFFVLSGIMVAATIFFYFCLPETKGKSLEEIEALFEDEDKNKHKV >CDP06535 pep chromosome:AUK_PRJEB4211_v1:6:1512842:1515138:-1 gene:GSCOC_T00023422001 transcript:CDP06535 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETASEAAKTKKAGYEIQTLTGWLLKQEQAGVIDAELTIVISSISMACKQIAALVQRAGISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLKSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIGDDATLDQVKQRCVVNVCQPGDNLLAAGYCMYSSSVIFVLTLGKGAFAFTLDPMYGEFLLTQENIQIPKAGKIYAFNEGNYQLWDGKLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPPDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGSRRILDIEPTEIHQRVPLYIGSVEEVEKLEKYLS >CDP14222 pep chromosome:AUK_PRJEB4211_v1:6:19029175:19029315:-1 gene:GSCOC_T00040493001 transcript:CDP14222 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILTSHWNHGHISFRVLGIEPDTAPVCHFFPVEHLVLVPSTSSI >CDP15393 pep chromosome:AUK_PRJEB4211_v1:6:4467734:4471592:-1 gene:GSCOC_T00043112001 transcript:CDP15393 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKLLLCSFFFLFSILAAGNAGVHASSPHHDRRHHHHKKQRYGFRPTKLFVFGDSYADTGNVQKSVGSSWKEPYGITFPGKPAGRFSDGRVLTDYVAKFYGMKSPVAYRWIKYAGNRLRYGVNFAYGGTGVFDTLVLEPNMTTQIDFFEKLLKDLVYNKTDLDSSLFLVTVAGNDYGAYNAKGGTAKGLPAFTTSLISQLAVNLKRLHGLGARRIAVAALEPLGCLPRSTVLSSFQQCNVTQNTAVNFHNLLLNQAVSKLNNESRDSACHVLDLYTTFTTLLENKGDVSGTLKFETPLKPCCMGLSTAYSCGSVDDKGRKMYTVCNDAKSAFFWDGVHPTQAGWHAVSMALKPTLQQIC >CDP16111 pep chromosome:AUK_PRJEB4211_v1:6:33641588:33642670:-1 gene:GSCOC_T00017161001 transcript:CDP16111 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASSSFFINAKNILLTYPRCVLPKQQALDEIRNLQFPISPIYIRVAQEAHENGSPHLHCLIQFEGKFLTASARFFDIKSPIANSMFHPNVQGARNSSAVRDYISKYGDFVEWGEFRSDGQTRFSSGKTDDVYAAALAGEDKGMALNIIKRGDPRSFIIHYNKLSSNLDRIFQKPPEPYVARFQQFECVPSFLTHWADTNVTGPGNRPHRPMSIIIKGPSRTGKTCWVRSLNSQAHNYYAGHIDLAHHSDDAWYNVINDVNPQFLKHWKEFLGAQRDWSSNCKYAKPRKIKGGIPSIVLCNPGLNSSYDVYLSAPDRQDLFNWTKQNAAFFFLQQPLFALTNQEQAPIVQEVEELDSSN >CDP15429 pep chromosome:AUK_PRJEB4211_v1:6:4695457:4698970:1 gene:GSCOC_T00043159001 transcript:CDP15429 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRRKLKKLPEESRDKENKPDAQQTWLELPEDLLEMVISRLTLSENIRASVVCKQWLAVAISVRVVNKPPWLMFFPKYGDLVEFYDPLHRTFYTLELPELVGSKVCYSKDGWLLIYKTSTNRLMFVNPYTRELIKLPKLELTYQIVAFSAAPTSSCCIVFTIKHISPTIIAISTCHPRAAEWTTINYRNRLPFVSSIWNKLVFCGGKFYCLSLTGWLGVYDPEKRSWVVHVLPPPKCPENFFVKNWWKSKFMAEHNGEIFVIYTSSEVNPVMYKLDQAIKEWVEVKTLGGLSIFASFLSSHAKIDLLGTMRNSVYFSKVRFYGKHCVSYSLDDNRYYPRKQFYDWGEEDPFGSIWIEPPEDHSSFA >CDP03410 pep chromosome:AUK_PRJEB4211_v1:6:7140856:7141119:1 gene:GSCOC_T00041986001 transcript:CDP03410 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTATVSRVSDEYMVNISPAAKGPPVPKMLIAADHLPTRLDATKKEIPPPKSSGEKAVHLIPLVLSLCALILWFFSKPVTIPGGVH >CDP06257 pep chromosome:AUK_PRJEB4211_v1:6:24248375:24249851:1 gene:GSCOC_T00022982001 transcript:CDP06257 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSFIHYVSECLVQPKYVPQDSEQRIYFAPWDLSLASIHYNQKGLLFAKPPAFDSEGKMKDFLQKLKESLSLTLVHFYPLAGRLATLKQENPPIYSIYVDCTNLPGAKFVHASVDLTIDDFLTPIYMPKIVHSFFDHVGAVNHDGHSMSLMSIQVTELKDGIFIGWSTNHLLVDGTSFWHFINTWSEVFNAKGQIPTISRPPILKRWFPEGHRSPVIGLPFTHHDEFISRFQTPELLERYFHFSAESLAKLKAKANAECNSTTISTFQALSALLWRCITRARNMPSDQQTSAGTSVNSRLRINPPLSEEYFGNCVQVVAVTTTCGELLTNGLGWAAWKLHELVINQTDRSIREWVESWVKSPFIVWIGRFSNRIQIGSSPRFNVYGSEFGLGKALAVRSGFANKPDGKITLFPGREGGGSMDVEVCLPPQSMSFLESDQEFMENVSL >CDP15668 pep chromosome:AUK_PRJEB4211_v1:6:33169263:33171226:1 gene:GSCOC_T00015617001 transcript:CDP15668 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTTTCVSLPGKVNSCQDGEIAIIDKALSKVSEICGVAYEVAKTWTSSGEILSSREGLDFIRKGQGVVGRAFSSKSACFCRDVRQLSITEYPLVPIARYNEHSACFAVCLQSSCSSNCIYVLEFFLPTYEEDEDDYGDPRILLNSLMETLKECLGSSFKIASGQELGQKLTVKVIKVSPKDEFDSFEIYSATGIGGEGMTKLQMALFSAEGLGPCELSYSHSINNYDDHDWVFWSTCGNDEGRCGKPHHLSATADGAVIKDQIKWLLQHLKQTIWCWCHLVQFWGLVKNGDKTYLTTRDQPFALQYYHIREARKLCKYRKHCLEYSIPVDEDDDDHEIGPPGRVFRSGLPEYAPYVAGYTIREYPQRDYAVGHVRDYWALPIYHHPAQHLPIGVLEFVSPTDFNELPRRRVLEKLQVRLFSF >CDP02858 pep chromosome:AUK_PRJEB4211_v1:6:12358674:12361341:-1 gene:GSCOC_T00041255001 transcript:CDP02858 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISQQNQRNNSNLGNGINNSAIKVKRTRKTVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQDYLRAYDDEEAAAHAYDLAALKYWGQDTTLNFPLATYQKELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAIAYDMAAIEYRGLNAVTNFDLSRYIKWLRPNATNSTTTSAAIAEPNPNVDTNFMPTPDQMLASSSIYHPQTEQQPQPLDITTDITLNQSRPASATSALGFIPDDAQSFFTTPDMGSYIDQSQEDIFSELINPFMQPMLQFDFDV >CDP19791 pep chromosome:AUK_PRJEB4211_v1:6:34361183:34364384:1 gene:GSCOC_T00005214001 transcript:CDP19791 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVLIIALLSALPIIYLILLQKKGKKSSTKSHPPLPAPPGIPIIGNMHQFDSSAPHIYLWELSRKYGPLMSLKHGCLPVLVVSSARMAEEVMKNHDLIFCSRPPMLGQRKLSYNGQDIGLAPYTNQWRELRKICVLHLLSSKRVQSFRPIREDEVYRMIQKISRESASSQVTDLTHTLLSLTCTMICRIGFGKRYDEEGQERKRFHFLIHEARQCHAYGNKLTLNLLFPYRRFLNSTIDATGKHFKFIPFGAGRRSCPGYYLGLATVELALANLLNSFNWGLPSGVKKEDIDTDVLPGLAMLKKNALRLVAKKRVPS >CDP06657 pep chromosome:AUK_PRJEB4211_v1:6:2411712:2413605:-1 gene:GSCOC_T00023579001 transcript:CDP06657 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLDLISSLPHDVLLLIVSMIPLKEAIRTAILSNAWRSLWTPSQVKLELGSAHDRSAREKVSQIIGAFSMCYDYPEKLKLCLHSVDHTKQRAEYPRFEDESFAIVTKGAQGELHFDCSGGREKLNSTEFNLILETGRVICPRFSSIKSLQLRSVTHVSGNLAADLFSGCQILESLRLEKCRGLRKIDISTNDCLKSLTIMDCSNINSIKVSAPRLEAFSYRGILPNFVLLNGTPHLNDAILNFKDGLNFSDFHCEDVLNLLSSIRDVETLTISGWLLEWLCTAGVIFGRLEFKFSKLKELVWIDSVMDARKRDSLACFLNMTPSLERLFVKIDRDCSPIPSPFFQEYWHEPHLWMGYADVKCNGPRLMYLKSIEIAGFTSKENEVLLMDLLLHKAVNLKDMIVISPDDEIHSAWRVRVTPRSQLNYVLRGNQNHRLLPSPSSGYSLYVLTQCKRLGLPLRLLDD >CDP03233 pep chromosome:AUK_PRJEB4211_v1:6:8724586:8727027:1 gene:GSCOC_T00041745001 transcript:CDP03233 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSSWGFGQIVLLSLHVSLSTAFPDSILQILPENSGSSPHHRHRKIPRDDNLYCDSWRFVVEANDVGPWARIPSRCVAFVQDYVTNDRYRADSEAVADASLEFAKTVKVSGDGKDAWVFDIDETLLSNVPYYAVHGFGSETFDENSFDEWVDLAEAPALPASLRLCKELEQLGFKIFLLTGRTEFQRSATSKNLDYAGYSDWERLILRGPSDDGKPATVYKSERRKELEDEGYVIHGSSGDQWSDLNGFAVAERSFKLPNPLYYIA >CDP15323 pep chromosome:AUK_PRJEB4211_v1:6:3834672:3840360:-1 gene:GSCOC_T00043010001 transcript:CDP15323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G10060) UniProtKB/TrEMBL;Acc:A0A178V516] MESVLLQCLTHPLRPPLFPHSLTSTAITGKRPLKQNASFKPSQCALSSASEATEGKLSLEQQGRRVFMGYLLASATGFLVSDVAGAVSTSRRALKGAKVPESEFTTLPNGLKYYDLKIGGGAEAVKGSRVAVHYVAKWKGVTFMTSRQGLGVGGGTPYGFDVGQSERGIVLKGLDLGVQGMRVGGQRLLIVPPELAYGSKGIQEIPPNATIELDVELLSIKQSPFGSPVKVIEG >CDP15334 pep chromosome:AUK_PRJEB4211_v1:6:3894370:3896081:1 gene:GSCOC_T00043024001 transcript:CDP15334 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVAKEERAEAAARVAADELSDVSKERTERVEGARIEDEPRFHDGRGEKERGTGFLDGMLNKVQGTLEHAKEVVTGKTHEAAERTRETKEEPSEKAREAKDAASERAREDFAAEKVKEAKDTTVEKAEEYKGYTAEKAKEGKDTTVSKLSELKDSTADATRRAMHYLTGKKDEAEQKVAETTETGNEKYELTEEEPRRRKKELRLKKEGVWEEDKQGAEADHETAVDS >CDP15381 pep chromosome:AUK_PRJEB4211_v1:6:4332081:4339592:-1 gene:GSCOC_T00043093001 transcript:CDP15381 gene_biotype:protein_coding transcript_biotype:protein_coding MALALTGDDLARKSTGSRSWASASFREAWHPAPEAFGGSTEHEEDEEQLKWAAIERLPTYDRLRKAVLRQVLDDGRVVGHELDLVRLGSDKKRMLVNNILRVVEEDYEKFLQRLRSRVDRVGIQVPTIEVRYEHLSVEGEVHVGSRAIPTLLNAILNVIEVNHHATYLLKLEGISSFHTALRSIRLAPSNKRKITILKGISGIVKPSRMTLLLGPPGAGKTTLLQALAGKIEDNLKVQWSLTSILTQTCGKITYCGHEMDEFVPQRTCAYVSQHDLHHGEMTVRETLNFSRRCLGTGRRYEMLAELSRREKEAGINPDPEIDAFMKAVAVAGQKSNLATDCVLKLLGLDICSDIMVGDQLKRGISGGQKKRVTTGEMLVGPATAIYMDEISTGLDSSTTFQIVNHMKHMVHVMDITMIISLLQPAPETYNLFDDIILLSEGQIVYQGPREHVTSKKDQVQYWIRKDQPYRYISVPELAEAFKAFHVGQRLAEELSVPYDKSKTHPAALVTNKYGLSNWEILTACFSREWLLMKRNSFVYIFKTVQITIMAIVSSTVFLRTQMPHGQLQDGGKYFGALFFSLINIMFNGMAELSMTVFRLPIFFKQRDSLFYPAWAFSLPVFLLRVPLSFMESAIWIILTYYTIGLAPSPARFFQQYLTFFCIHTMALSLFRFIAAIGRTQVVANTLGTFTLLVVFVCGGFVVAKGDVFVLLPEDALKPWIRWAAYISPMSYGQNAIVMSEFLDKRWSAPNLDPRIDAPTVGKALLKGRGFYTEWSWYWICIAALLGFSVLFNGFFVVALTFLNPLGDSRTVVLDENQEKNSSSASNKETSEGLQLATKKLSFSFKAHRIKASFIVHHICMTCFLAPTMFVEEVMELVELKPIRNLLAGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKRGGRVIYAGPLGRNSQKLIDYFEASVVPGVPKITPGYNPATWMLEISTPSVEAQLQVDFADIFAESALYKRNEELIAELSTAPPGSKDLYFPTKYSQPIFTQIRACFWKQRLSYWRNPRYNAIRFMLTVACGVIFGVIFWDKGGKMQVQQDLLNLMGAIYSCVLFLGATNASSVQGVVSIERTVFYRERGAGMYSAFPYAIGQVAIEVLYVSIQTFVYTLIIYSMIGFEWTAAKFFLFYYFLLTCYTYYTMFGMMLVALTPNIQVAAISMSFFMSFWNLFSGFLIPRTQIPIWWRWYYWGSPVAWSIYGTITCQIGDKTNQVVVPGSPNVTVKGYLKHGLGYDHDFLPVVGVVHICWVLLFAFGFALGIRYLNFQTR >CDP10223 pep chromosome:AUK_PRJEB4211_v1:6:12939603:12941585:1 gene:GSCOC_T00030882001 transcript:CDP10223 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQKDRVRFNVGGKNFDTSATTLANAGRNSLFGAMFDENWDLQSGHSTTEHFIDRNPDYFAVLLDLLRTGELYLPSNMSEKQLYREALFYGILDHVRSAKWGPFDGNRLRLARSVTGRAPGDGTAIRAGPDGGCCVAHGSMVHVYDWMLEVHPPINLDYQRVNDAGWIDPESIVISACERFGTGDGGMGLFSASTGERRYKFHVSHENQVKSYTAGALSFSPDYKLFSSCKGRSNEYGIGVWDQVTGKQIDFFYEPPGWSLGEADKLQWLHGNNCLLVATLFPRKDNCYIGLLDFRDKSMPWSWSDVGAPITDEKRVRDAIAMEESSSVCVVNEYEDLGFMDLRRAAGSVRWSSRSRLMKGKMPDEPCYPKLALHEGQLFSSMNDSISVFCGQDWVLTSRLRRTYGGSICDFSIGGDRLFALHSEENVFDIWETPSPPII >CDP03003 pep chromosome:AUK_PRJEB4211_v1:6:10727938:10729524:-1 gene:GSCOC_T00041461001 transcript:CDP03003 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKGGGGGYSVPDGLQLSSFSSVSQLSAADGRTNAATEDSRSEEKKRMEKGEAEIRQLLRDIFPLANYYLVFQGVIFTSIFGNPSPLKCQYRWLPVSLSLIAGLLNLSILIHLTRKYDRLTYERELDLAGVGGRQVPPILSERRESRQMMFMICFVLFVLFLVILLFGSWKILCYHSGFPQSCNIKNCFEVCNDAGRCILICLSTS >CDP14171 pep chromosome:AUK_PRJEB4211_v1:6:18421852:18427359:1 gene:GSCOC_T00040420001 transcript:CDP14171 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHRAFYHAEDVPQDMVDRRAEVVARLKALEEGASPLVNFLQNENAYQELRAEKQYNLQMLNERYQIGPEQIEALYQYAKFQFECGNYSGAADYLYLYRTLCTNSERSLSALWGKLAAEILMQNWDIALEELNRVKEIIDSKSFSSPLNQVQNRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTSAPHLLRYLATAFVVNKRRRPQFKDFIKVIQQEQYSYEDPITEFLACVYVKYDFDAAQQKMRECEEVILNDPFLGKRAEEGNFASVPLRDEFLENARLFIFETYCRIHQRIDMGVLAEKLNLNYEEAERWIVNLIRTSKLDAKIDSKTGTVLMEPNQPNVYEQLIDHTKALSGRTYKLVSQLLEHAQTQQAAR >CDP11660 pep chromosome:AUK_PRJEB4211_v1:6:27986383:28010043:-1 gene:GSCOC_T00034077001 transcript:CDP11660 gene_biotype:protein_coding transcript_biotype:protein_coding MINGAKESGVPGSTEKSEDSGSDDGEIGASDSDEEHDSRTNVESITSRSTFSSHLEYKLSQGEVDNLLKRKWNYKWEVPALRAPNCKWRGTGDCFLKDIDMNEMCGLKPRLYKHWVDVYQGSGGTDFQSSEQRFFFSICNSYRDVLHHNKKPFYLKGQEDSSILDAYVLHTLNHVLRTRDLISKNDAKVADAQGQGSILNGDGFLDHGFTRPKVLILLPLASIAFWVVKRLIQLTPSKHKVNIEHMDRFTEEFGSGGVESEEEDEDVENSKKKKSSKPSDFQSLFGGNNNDHFMIGIKCMGRKIKLYADFYSSDIIVASPLGLITKIGAAEVEKEKDIDYLSSIEVLIIDHADVILMQNWSHVNTVVEQLNRLPVKQHGTDIMRIRPWYLDGQAQFYRQTIILGSHLNPDVNSLFNRHCLNYEGKVKLVCLYKGVLPKVLIPVRQIYERFEADSIADVDDARFQYFCKKVFPKIKDSIQGGIMLCISSYFEFVRLRNFLKSQEASFCLLGEYTKQSDISRGRVWFFQGQRKIMLYTERAHFYHRYKVRSFFFVFFLVFPTVNRYLGRKTSSHIL >CDP10611 pep chromosome:AUK_PRJEB4211_v1:6:5150145:5151890:-1 gene:GSCOC_T00031381001 transcript:CDP10611 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPHLNGAYYGPSIPPPKHYHRPGRGGGSSCNPFSCCCGCLCNCILSCIFQILCTIVVVLGIIILVLWLIFRPNKVKFYANDAELTQFDLSNTNNTLYYNLAVNMTVRNPNKRIGIYYDRIEANAFYQGQRLKTVELDSFYQPHKNTSSLHAVFQGQQLVTPGSDEASNYNDDKSSGLYNIDVELYMRIRLKFGWVKSPKFKPKIKCDLEIPLNSNGTASGTFQTKRCGLDW >CDP10489 pep chromosome:AUK_PRJEB4211_v1:6:6124165:6130738:-1 gene:GSCOC_T00031233001 transcript:CDP10489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 24 [Source:Projected from Arabidopsis thaliana (AT5G20350) UniProtKB/Swiss-Prot;Acc:Q52T38] MSSEIEVVEEVEESRDHGRSSPTSAVSTTAKGTGGEIEEESLRNDVYTAAAYGDMEKLQRLVESEGCSVSEPDSLGHYALQWAALNNRTAAAQYIIERGGDVNAADHTGQTALHWSAVRGAIQVAEVLLQEGARVNAADMYGYQTTHVAAQYGQTSFLYYIVTKWNADPDVPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMLTDNTGFTPAQLASDKNHRQVAFFLGNARKLLDKRCDGNSRLGKLSKLGLAPVLWCTIFLLLVTYTHSVIMAPNLPKLTAGFALLAWLGVFLATSGLVLFYRCSSTDPGYIKVNMHDSQNTRDDEPLLKMEINHPALLAGNWAQLCSTCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFLFLVVEALAMVITGGVALTRVLTDPLAPSSFGGWLSHAANEHVGAIAFIVSDIFLFSGVAILTAVQASQISRNITTNEVANIMRYSYLRGPGGRFRNPYDHGCKRNCSDFLITGYNEDIERDEESGESEGLRMVQIGRASNLQNGACHSHQTNGNTEGNGGHVVVNVNKSSNTHQSHVHSSYCTHHKNNQKTDSVPVGLGIGLGGRNSGRSVIAS >CDP06453 pep chromosome:AUK_PRJEB4211_v1:6:853692:854529:1 gene:GSCOC_T00023310001 transcript:CDP06453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) transcription factor, Axillary meristem formatio [Source: Projected from Oryza sativa (Os01g0831000)] MEGSSLRDRRSCALASNGKDKKGGGKRPAGKAAKLSTDAQSVAARERRHRISERFKILQSLVPGGSKMDTVSMLEEAIHYVKFLKTQIWLHQTMINFVDTDPSLPLPSAYHLPANQADDLYSRLNMQGDQTNALAALPQLGFAYSGPLCGESISLDKTFLY >CDP12657 pep chromosome:AUK_PRJEB4211_v1:6:16067123:16070036:-1 gene:GSCOC_T00036366001 transcript:CDP12657 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLCRSWSHRLMSSSPHKLKGNSPMLCSSTSNYCQSESGANDLEVCLKYYHNRTSAQMARGKTNDYGGSSAIAKWEYEIKCYYVQLLQEHKEVGNLSKNNINGCVFEDMRNRLNMRFNHKSYDYDQVKRKYCSFMRVAPV >CDP16327 pep chromosome:AUK_PRJEB4211_v1:6:28809738:28813707:-1 gene:GSCOC_T00018124001 transcript:CDP16327 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLNLGLLTQTKNLKSVTVSFRIPYYTQWGQHLLVCGSEPILGAWNVKKGLLLSPYNQDGELIWSGGIPVPADFRCEYNYYVVDDERNVLRWEVGNKRKLLLPEGVQSGHLVELHDLWQTGSDALPFSSAFKNVIFRRSWSLDIERPLGVNQRELHEEADSVIVQFRICCPNIEEGTSIYVLGSPLKLGQWKAQGGLKLNYAGESVWQADCVMQKDDFPLKYPFK >CDP14337 pep chromosome:AUK_PRJEB4211_v1:6:35784828:35789569:1 gene:GSCOC_T00040667001 transcript:CDP14337 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDNQQQGLLLLQNGHSSSTSSSNNNTRAPTNIATTFSRLTSATLNHHRIFFNDYDDDDEEEEREEHEDDRGRGPSLPVGQECPFSRPFVILDLIWNLGFVLVAAFVLLTTVTERPTTPLRLWIGGYALQCLLHVGFVWLHSQSSHFYIHHFHAFHPFSLLCRRGFVKRLESINTVISSVWWVFGFYWIYMGGKALLQDSPRLYWLSVVFLAFDVFFMIFCIAMAFIFFVLLACCFPILARVAHAMTVGEGASENDIRTLPKYRYCLLNAAGTINSCRKREEAALLAHLGSGNIPELALSLEDSECCICLHGYEDGVEVCTLPCNHHFHYRCIRRWLRINATCPLCKFNILQGEMLV >CDP10100 pep chromosome:AUK_PRJEB4211_v1:6:14406756:14409344:-1 gene:GSCOC_T00030710001 transcript:CDP10100 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQGYIFLFLIWLISTVLLHVLFRNPKLSRLPPSPLALPIIGHLHLLAPIPHQALHKLSNRYGPLLHLFLGSVPCVAASSPEMAKEFLKTHENSFSNRPNSAVVDYITYGLQGFIFAPYGPYWKFMKKLCMTELLGGRTLDLLLPVRRDEITRFMELLSRKSKAGEAVDVGAELIRLANNVVSRMAMSRRCSENENEAADIKTIIHEIAELTGKFNVSDFIWFCKNLDLQGFKKRIRDAAERFDVMIEKIIEEHQETRTKRRQNNDAGQQMKDLLDILLDISEDESSDIRLTRENIKAFILDIFAAATDTSAITLEWALSELINHPHIMQKAVQEIDSNIGKNGLIDESDISKLPYLQAIVKETLRLHPTGPMIVRESSEDCEVAGYHIPAKTRLLVNVWAIGRDPNYWENPLEFRPERFWNVNGEGNGKVDMEEGPGITLPKARPLVCVPMARFNPLPI >CDP14142 pep chromosome:AUK_PRJEB4211_v1:6:18091229:18102428:-1 gene:GSCOC_T00040379001 transcript:CDP14142 gene_biotype:protein_coding transcript_biotype:protein_coding MASDADMGSWNDLLHSSSKLLEQAAPSAQFPPLQRNLDQLEALSKKLKAKTLRTEAPSQSIAATRLLAREGINAEQLARDLKSFELKTTYEDVFPAEATTVEEYLQQANVELNQPVVEVLHCLQVASHSLLTWTSFSLGEHQLSLTLSILSGFTFVDLFTISSVHEMAMVSAVQEAQKDNLRSFSDYMMTVLEEDWQKEKRDFLQSLGRISRLPRINVMDSGTGSVRQGQIGTLTSSPHVSSGPSTMELAPLANKPIVEKKAAAYGEVVKDLNSARERGSSFKPATAFKLAYESLGIDTSGGKSVGMQKMWNLVLTLMNEDSTPKPNVSRKLSLVMGARRHLEWGHEKYVLDTIQSHPAQASLGGAVDNLQRIRAFLRIRLRDYGVLDFDADDARRQPPIDTTWQQIYFCLRTGYYDKAQEVAQSSRVAHHFAPLLTEWIASGSLVSPRTVAAASEECEKLLRSGDRVGRASYDKKKLVLYAIISGSRRLIDRLLREQPTLFNTIEDFLWFKLSAIHEWSDGSSSAVLNEGFSPYTLEDLQAYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAVLYLSKDIGDEGYGIDSVHINIVFADHEVLSEGAGAAQKFGVMDACAEASSIIRQYGSAYLRNGDLSMALEYYAQAAAAVGGGELSWTGRGSIDQQRQRTLMLKQLFTELLLHDGGIYLLLGPRGTGEEGQLGRFFTDGKTRQQFLLEAARQCQDTGLYDKSIEIQKRVGAFSGALETVNKCLSEAICALSLGRLDGESRTSGLIHSGNDILEAFKYHPEISPQERENVMEQQTVLRQLEAILCVHKLARVGHYLDALREVAKLPFLPLDPRAPDFASDVFNNLSPYVQTCVPDLLRVALHCLDNVKDTDGSLRALRTKIANFLANNVNRNWPSDLYEKVARSL >CDP15341 pep chromosome:AUK_PRJEB4211_v1:6:3948370:3952283:1 gene:GSCOC_T00043032001 transcript:CDP15341 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFSLLGDDNATTSNPNPNLIHHRQSFTHRNLSAPNDDDDDVVSADADGYSDGAFCSSQPNPKLANASNATSFHVVNVNPYDPDPNPFDNEHDPTKSRANNHNHTPNDKRSDREEVSDSGTPYSYKRPRVSSSATGGEYRKDREEWSDTAIACLLEAYTEKFMMLNRGNLRGRDWEEVAVMVSERCEKQTKSVEQCKNKVDNLKKRYKLERHRLSNGGVSASHWPWFKQMEQIVGNSLSGKAATDDDKSVGGTANTGRQSKRYGTTTVSPGPQINSIKSKATSARWRRVVFKISGGTLAGTAPQNIDPKVAMLVAREVSIACRMGVEVAIVVGGRNFFCGHTWVTTTGLDRFAAYQAGMMATVMNSILLQSAFEKLGVQTRVQSAYSMPEVAEPYCRLRAIRHLEKGRVVIFGGIGAGTGNPLFSTDLAAALRASEINADAVLKGTNVDGVFICDSRNNNIAADHICFTDLASGGACPMDMMAIRSCEDNGIPVVIFNLHEPGNISRALCGEQVGTLIDQTGSVN >CDP06725 pep chromosome:AUK_PRJEB4211_v1:6:2880818:2885023:-1 gene:GSCOC_T00023670001 transcript:CDP06725 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRHLFSSSQMFDNENEQGWNHSDQPYMHLARPGAPENSALPYPPDNMTLDEGHFTAQWNSAPRSTPGYSSSGVNVEMPHYQPQVPGPSRDPFLHPSAVGSLNMAQENYAHHASSSSHGGQTFHGVDGGFFELSMGNGRGPYKRKSPGIPAVCERGNTSRYYDAGSSSDHSFPADSWLDKQSTDPHHSPWDFAPSYRGNGLSIGSESSLRNVRSRPAFDLESTPARSHLSSNPSHLPFPGQTTDHPSSVDLLAQSSSAPSREWNYTFASSASNGRNITSDTNFICHEINQFGALNGNPGASLEIGGYVNDFSSNRNPAPQALHGTSSQYSRGVRSSYSHRPMPTLRASSSNMQVASTAACDEGLQLVSESYASRHPRPFSTIGIRNGDRNGRSRIPSDRYRSFSDHAGFHERPTPEGLMSMDRSAIYGSRSLFDHHRDMRLDIDNMSYEELLALGERIGTVCTGLSEDSLFKCLTESIYCSSDQLQEEGTCVICLEEYKNMDNVGTLRSCGHDFHVGCIRKWLSIKNLCPICKASALDDNVKEE >CDP03071 pep chromosome:AUK_PRJEB4211_v1:6:9981787:9989880:-1 gene:GSCOC_T00041550001 transcript:CDP03071 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAKGIAAEYLSSTQTAQVKSKIYEDIESGKPSLRLLYVTPELIATSGFMSKLTKIHARALLNLIAIDEAHCISTWGHDFRPSYRKLSSLRNRLPGIPLLALTATAVPKVQKDVIESLSLHNPLVLKSSFNRPNIYYEVRYKDLMDDPYADLCNFLKSHGDICGIVYCLERTTCDDLASHLSKHGVTCAAYHAGLNSKLRSSILDDWISAKTQVVVATVAFGINFFVNLYLPLKLFILNTGIDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQLPSRSLLYYGVDDRKRMEFILGTAKNNRSRSSSLQDDTSKKSLADFRQMVEYCENSGCRRKKILESFGEQVPASLCGKTCDACKDPHLVSKYLGELTSACTFRNHSSRIFISSSANLHDEEQISEFWSRDEEASGSEEDISDADDVDSPKNMFKSRSVTNSSLHDRIELLQRAEESYYRNDLPDKQVHKMDKNNITEALREAGKQRLLNTMKQNQQKLDVLRIDYEASARTLENECHKKYGKSGKSFYLSQLASTVRWISTANSEELVNRLNSSQSPGCSAMIAKSDCSLPSVSPSGGKSIVTDENLHDSSGSETPLRALQHASEDKKLPPIPSFSDFINSRNPNDNKNLKSRKQPPSRDHKNPDKRVRNQ >CDP15285 pep chromosome:AUK_PRJEB4211_v1:6:3545272:3545916:1 gene:GSCOC_T00042956001 transcript:CDP15285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB27 [Source:Projected from Arabidopsis thaliana (AT3G53200) UniProtKB/Swiss-Prot;Acc:Q9SCP1] MQEAEMRKGPWLEEEDERLIAAVSILGERRWGSLAKASGLRRSGRSCRLRWMNYLRPNLKHGHITEEEEHLIIQLHKQWGNRWSRIARSLPGRTDNEIKNYWRTHLRKKALILEEGL >CDP18653 pep chromosome:AUK_PRJEB4211_v1:6:15318576:15321264:1 gene:GSCOC_T00004146001 transcript:CDP18653 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQRTIATTSRDEEQEPEDDHIRDIHALTPPRPPSANRSRPWETNSHRSSSLSMASEGASSENFSSMSREFNALVLAGSTIANNGSEVDGTVNSSNNNLGRIGEEEIPEETNPLAIVPDPHPLGPLTSSPRREGASESLAHGNHREVSIQRVKKEEVESKINAWQTAKVAKVNNRFKREDAIISGWETEQVQKANSWMKKVERKLEEKRAKALEKMENDIAKARRKAEERRASAEGKRGTKVAKILEVANLMRAVGRAPVKRSFF >CDP03252 pep chromosome:AUK_PRJEB4211_v1:6:8541663:8543269:-1 gene:GSCOC_T00041770001 transcript:CDP03252 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLLSWVQGLMQHMSRGRMQFRSGMVCCLNQERWLSTWKWGNFRSSHLPLTEYDQGLDAESLNPGEQVNRALYSCFVFNKVLCRMAEEAAFFGDGVPPKLNIPFILRTPEMSAIHHDTSCDLKVVGAKLKDILEGTPGFYTFFPPLEDGEKQKSVVALDGGLFEHYTKFRNCMDSTLQELLGDAYENVSIIHSNDGSGIGAALLAASHSQYLEVEES >CDP10201 pep chromosome:AUK_PRJEB4211_v1:6:13259318:13264122:-1 gene:GSCOC_T00030846001 transcript:CDP10201 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKVGPDESKDPVILANATDVSSFGFFQKSSVREFIVFVGRTVAKRTPPGQRQSVQHEEYKVHAYNRNGLCALGFMDDHYPVRSSFSLLNQVLDEYQKNFGDAWRAAQADNTQSWPYLDGALSKFQDPAEADKLLKIQRELDETKIILHKTIDSVLERGEKLDSLVEKSSDLSAASQMFYKQAKKTNQCCTML >CDP06670 pep chromosome:AUK_PRJEB4211_v1:6:2490481:2492039:1 gene:GSCOC_T00023597001 transcript:CDP06670 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPLLLLIPLLVTLVPSASAEIKTLKITSDSRTMILFERFGFTHTGHATISVSSVSVVSSLSTPDPSRLGFFLLSEESLIQVLLELQQTQSFCVLDSKFITPLFTFRGLSPPPASSFVHSYPVTSPNEYTLFFANCAPESKVSMAVRTELYNLDNMGRVKDYLSAGLTQLPALYFTFSMIYFGFLGFWMYYCCKNRLSVHRIHVLMSLLVVMKALNLLCAAEDKHYVKSTGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEREKKVLMIVIPLQVLANVASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIIVFALRTIAAYKYQWVAFAAEEIASLAFYTVMFYMFRPVEKNEYFVLDEEEEEAAEMALRDEEFEL >CDP10643 pep chromosome:AUK_PRJEB4211_v1:6:4825306:4827696:1 gene:GSCOC_T00031422001 transcript:CDP10643 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNGTVNSRHPRSPSSDRFLGVFSPTERSSALSASGDNSASGDELNEDDVFWTGDFTEPRGRSSSPSSVINMRQPFRKPENFGILAALSDDHRNPNQSLLYRKASLSPSTSSFNKTPLSASPTQPSPFSRAIPSIPKPQQNYSTYSQSMPARKFQQSAPVNVPMMPRKVRNGSLADVDVDDNDADDEMLPPHEIVARGSAAGSPKTTFSVLEGVGRTLKGRDLRQVRNAVWRQTDWQSVSIYDISGAMLRNDKLVLDKIRERIISR >CDP03247 pep chromosome:AUK_PRJEB4211_v1:6:8615210:8617220:1 gene:GSCOC_T00041762001 transcript:CDP03247 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 25 [Source:Projected from Arabidopsis thaliana (AT4G29150) UniProtKB/TrEMBL;Acc:F4JMV6] MGRATRWLKSLFGIKRDKGQKEINPNSGSQRENKWTGMGHSGRDTADGLCSNPNTIPPNITPAEAAWLRSFYADSGDKEQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGGSMFGGGGGSREKWAVTKIQSVFRGFLARKALRALKGLVKLQALVRGYLVRKQAFATFHSMQALIRAQANVRAQKTRGLLDSTAASSNRQFHAANSAEKFDEGRSRQNSFHNRRLSASFDSINSNAIDEIPKIVEIDTGRPKSRSRRSSAWVSDSISGDAQTLSSSCQCRYPPPHLSIPDCRNSQDSDWGLTGDECRFSTPQSTPRFSNSCGTNPPVTPAKSVCVESLFRNCPNYMANTQSFKAKLRSYSAPKQRPEPGSKRRLSLNEMMESRSSLSGVRMQRSCSQVQEVLSFRNAVVGRLARSSEFSKGQLYNRDSYSQEYFE >CDP15376 pep chromosome:AUK_PRJEB4211_v1:6:4279754:4281685:-1 gene:GSCOC_T00043086001 transcript:CDP15376 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSALSTFRAKEEEIEKKKLEVKEKVQAQLGRIEEETKRLATIREELEALADPMKKEVSVVRKKIDAVNKELKPLGQTCQKKEKEYKEALEAFNEKNKEKVQLISRLMELVGESEKLRMKKLEELSKSIETIR >CDP02972 pep chromosome:AUK_PRJEB4211_v1:6:11054305:11058571:-1 gene:GSCOC_T00041416001 transcript:CDP02972 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNPDSDTIPLHSTSQSDIDEIENLINSQPYPSSVLPARPPSPPRASIPISSSPLPPPAPPLSSSSNSTKPIPVPPAAAKLPPLPPIAAAGFGPAPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMLKDNVILKVVVVCVTLAWSSWAAYPFMSTAVNPRRKALALYPVFLMYVSVGFLIIAID >CDP10414 pep chromosome:AUK_PRJEB4211_v1:6:6796208:6801278:-1 gene:GSCOC_T00031134001 transcript:CDP10414 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIYEIDKLKGGFGQNLLHKIVEGIERVVGFNNTASRLYATVDLEKARVGRTRLLEGDSNPRWYESFHIYCAHMASNVIFTVKVDNPIGAELIGRAYLPVQELLGEEEIDRWLPILGDDHKPIHGHSKIHVKVQYFDVTRERNWSRGIKSARFPGVPYTFFSQHNGCKVTLYQDAHIPDNFIPKIPLAGGKFYEPHRCWEDMFDAITKAKHLIYITGWSVYTEITLVRDSRRPKPGGDMTIGELLKKKANEGVRVLMLVWDDRTSVKLLKEDGLMATHDEETGAYFEGTEVHCVLCPRNPDDGRSIIQNIEIGTMFTHHQKIVVVDSEMPNGNTERRRIVSFVGGIDLCDGRYDTPFHSLFRTLGTAHHDDFHQPNFKNSSIQKGGPREPWHDIHSRLEGPAAWDVLQNFEQRWRKQGKKDLLLELRELAGVIIPPSPVMFPEDHETWNVQVFRSIDGGAAFGFPEAPEEAARAGLVSGKDNVIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWYSDDVKGEVVGALHLIPKELSLKIVSKIEAGERFSVYIVVPMWPEGYPESSSVQAILDWQRRTMEMMYRDVAQALHAKGIEANPKDYLTFFCVGNRETKKRGEYEPSEHPEPDSDYSRAQQARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSIRQPARGQIHGFRMALWYEHLGMLDNRFLHPENVECIRKVNQMADKYWDLYSSETLGHDLPGHLLTYPIGVTAAGEVTQLPGFEYFPDTKGKVLGTKADYYPPILTT >CDP10518 pep chromosome:AUK_PRJEB4211_v1:6:5918605:5921414:-1 gene:GSCOC_T00031270001 transcript:CDP10518 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKIKIWVLFICMISQLGHGFYLPGSYPHKYGIGGFLNVKVNSLTSIETEMPFSYYSLPFCHPKEGVKDSAENLGELLMGDRIENSPYRFKMYNNETEVFLCQTKPLSGEEFKLLKKRIDEMYQVNLILDNLPAIRYTRKEGYFLRWTGYPVGIKVQDVYYVFNHLKFTVLVHKYEDTNVARVMGTGDGAEVIPGGNAGSDAPGYMVVGFEVVPCSFQHNADSLKNLNIYDKYPSPVKCDPSIVSMPIKENEPVAFTYEVSFVERDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVFVIFLRTVKRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPSNPALLCVMVGDGIQILGMAVVTILFAALGFMSPASRGTLITGMLFFYMILGIAAGYVAVRMWRTIFCGDHKGWVSVSWKVSCFFPGIAFLILTVLNFLLWGSHSTGAIPFSLFVILILLWFCISVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSLWMGRVYYVFGFLFIVLILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSVNYLIFDLKSLSGPVSATLYLGYSLFMVTAIMLATGAVGFLSSFWFVHYLFSSVKLD >CDP06475 pep chromosome:AUK_PRJEB4211_v1:6:1043197:1046269:1 gene:GSCOC_T00023340001 transcript:CDP06475 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQSWPEPIVRVQSLSDSGIRAIPKRYVKGPSDRPSPLVESSAGSDVQIPVIDLQKTLDSIATACREWGFFQVVNHGVSHELMARTREVWREFFHLPLEEKQQYANSPSTYEGYGSRLGVEKGAKLDWSDYFFLHYLPTSLRDQNKWPKLPSSCRELVGKYNEELVKLCGKLTKILSLNLGLKEEYLQQAFGGEEVGACLRINFYPKCPQPDLTLGLSSHSDPGGMTLLLPDENVAGLQVRRARKWITVKPVPNAFIVNIGDQIQVLSNARYRSVEHRVIVNSVKERVSLAFFYNPRGDLLVQPAPELVAEDSPALYSPMTYNEYRVFIRTRGPCGKSQVESLKSPK >CDP03316 pep chromosome:AUK_PRJEB4211_v1:6:7963693:7968164:-1 gene:GSCOC_T00041857001 transcript:CDP03316 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHGDSVFANVVRAPEDPILGVTVAYNKDPSPKKLNLGVGAYRTEEGKPLVLNVVRRAEQMLVNDTSRVKEYLPIVGLAEFNKLSAKLIFGVDSPAIQENRVTTVQCLSGTGSLRVGAEFLARHYHEHTIYIPQPTWGNHPKVFTIAGLSVKTYRYYSPETRGLDFQGLLDDLNAAPSGAIVLLHACAHNPTGVDPTPEQWEQIRQLMRSKGLLPFFDSAYQGFASGSLDEDAQSVRMFVSDGGECLVAQSYAKNMGMYGERVGALSIVCKSADVAVRVESQLKLVIRPMYSNPPIHGASIVAAILKDSHMYHEWTVELKAMADRIISMRQKLFDALQARGTPGDWSHIIKQIGMFTFTGLNAQQVAFMTKEYHIYMTSDGRISMAGLSSQTVPLLADAIHAAVSTVV >CDP15407 pep chromosome:AUK_PRJEB4211_v1:6:4570612:4575027:-1 gene:GSCOC_T00043132001 transcript:CDP15407 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPVEVIGNILSRLGAARDVLIASATCRKWREAWKYHLHALTFNSNDWPVYHDLTTTKLEIIITQTIFQTNALQRLSIIMDHVDEFSAAPVIAWLMYTRDTLRQLHYNVTTTPNINILEKCSRHKLEVLRLAHNTITGVEPTYQKFPCLRLLALSYVIISALDLSLLLTACPKIEVLTLVSLDVVMSDPQATMELSSNSLKDIYVEAISLDKFILEADSLEKLHLKDCTLEVFELVCKGSLKLLRIDDVSVIHLDIGESAENLEVVDVGNFTIMWAKFHHMISKSSKLRRLRLWGVVFDDDDEIVEIETISACFPLLSHLSLSYDLREAALQYGLQGSFQLENIVVLELGWTVITDLFSHWVAGLLERCTILRKLVIYGIVSETKTPEECHALANFSSFMIKLMRKYPDVEVQFIYE >CDP09603 pep chromosome:AUK_PRJEB4211_v1:6:21576950:21579640:-1 gene:GSCOC_T00029040001 transcript:CDP09603 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNLCNSSRMCINPIHNKKGILHQSIDASSEQIVPGATCLNQKMQDVEFSTLAQCIPCIILISYQKWLITVASGAIDKQEIGILRDCQCGREDLRSKSLYSNILLSHNCLRAPLSLFMKCKDQNNRSAILVILFSSFSGWHEVEDWSRKGWFMVLYAVFCSIFCFLSLLSVTGATRIIVLWEFPLS >CDP17152 pep chromosome:AUK_PRJEB4211_v1:6:35541509:35544180:-1 gene:GSCOC_T00011697001 transcript:CDP17152 gene_biotype:protein_coding transcript_biotype:protein_coding METFSLYLLSLAVVLVSFIFLGILSQRYNSNRSKVPPGTFGFPLIGETIQFLASGPEKFFHRRMEKYSGDIFTTSLFGEKVAVVCGAAGNKFLLYTANHQLTPWIPSATFKLLNWMDSAGQSYKQSLSGGRIFLNKEMLKPEILRQYITIMDSLARQHINTYWDPSQVVKVYPLSQKVVLNSNQFAWHHIQSCFERDQNTKAAILEYHCGKEKDCFGEQGKSRFGRIVSHPPGRERQFHVQLRDWCLYCEFNASQLRSSKCISYIVLMYLAELPHIYDMVYKDSLQYVKYMEIAESKAPEELLNLEDIKKMKYSWNVIYEALSLTPPSLVNFREAQTDVNFAGFTIPKGWKVLWSPFTTNKNPKYFHDPETFDPTRYEGDGPTACTFLPFSAGPRMCPGKEYSMFLILVYIYNVVRNFKLQKMIQDEKIQYRVSAVPANGLPIRLQPHNK >CDP10491 pep chromosome:AUK_PRJEB4211_v1:6:6107265:6112115:1 gene:GSCOC_T00031236001 transcript:CDP10491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOX3 [Source:Projected from Arabidopsis thaliana (AT5G20360) UniProtKB/Swiss-Prot;Acc:F4K487] MGRRSMKNKQSGGKSGGSNVKQSKGNGNSPRAYDKDTAVFISMSRDLKDEGNKLFQKRDHEGAMLKYDKAIKLLPRNHIDVSHLRSNMAACYMQMGLSEYPRAIHECNLALEVTPKYSKALLKRARCYEALNRLDLALRDVNSVLKMEPNNLMATEIYERLKRTIEQKGSTANDIPVDLKAEDKLVVEERITNITDKEPKQTIKLVFGEDIRWAQIPANCSILQLREAISDRFPTSRAVLMKYRDQEGDLVTITNTEELRLAEAAADHSSFRLYVVEVSPEQDPFYEKVKRKEEMHTLDIKETQKMQNGNLGRSMEFLNRPFCIEDWIIQFAYLFKNYVGFDPDSYLDLHELGMKLYSEALEETVTSEEAQDLFNMAAEKFQEMAALALFNWGNVHMSRARKRVYLTEDASRESVLEQVKNAYGWAQKEYSAAGKKYEEALLIKPNFYEGILALGQQQFEQAKLSWYYAIGTNVNLELWPSTEVLQLYNNAEENMERGMQMWEEAEELRLFNLHNPNKIKAMLQKMKLDDMFTDVSEDEATEQATNMRSQINLLWGTMLYERSIMEFKLGLPVWQECLEVAVEKFQLAGASPTDIAVMIKNHCSNDTASEGLGFNIDEIVQAWNEMYEAKRWQSGIPSFRLEPLLRRRVSKLFYALEHA >CDP15446 pep chromosome:AUK_PRJEB4211_v1:6:4789900:4794018:1 gene:GSCOC_T00043179001 transcript:CDP15446 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYYCDHELVRALSLVFVCLLLSLQSIKKVDASHQVYPEYQTLPAVKVKQLEHRTHYHFQPQKHWINADPNGPMYYNGIYHLFYQYNPYGAVWGNIIWGHSVSMDLINWKALQPAIKPTKPYDRHGCWSGSATILPGNKPVILYTGVVDENKTQVQNYVLPKNINDPYLEEWIKPDDNPLLVAEPGVNRTAFRDPTTAWMGRDGHWRILIGSRRKHTGIAYLYKSKDFIKWVKAKHPLHSKDRTGNWECPDFFPVAREGKKGLDNSMIEEQNVKFVLKVSLDTTRYDYYTVGKYFPEIDRYIPDNTSVDGWKGLRYDYGNFYASKSFFDASKNRRILWGWSNESDTKMDDVEKGWAGIQTIPRRIWLHPNGKQLLAWPIEEVESLRGHKVQLRNENMKKGDVVEIKGITAAQADVEVVFSFPSLDKAEPLHPSLDHLDAHELCSRKGSSVHGGLGPFGLLTLASGKLEEYTPVFVRVFKAKNKHKILLCSDASSSSLKKGLYKPSFAGFLDVDLAKKKLSLRSLIDHSVVESFGAGGEVCITSRVYPALAVSDNAHLYAFNNGSETVAIETLDAWSIKGPKFMN >CDP02920 pep chromosome:AUK_PRJEB4211_v1:6:11546044:11549780:-1 gene:GSCOC_T00041341001 transcript:CDP02920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha,alpha-trehalose-phosphate synthase [UDP-forming] 11 [Source:Projected from Arabidopsis thaliana (AT2G18700) UniProtKB/Swiss-Prot;Acc:Q9ZV48] MLSRSCFNLLNLEDYSRAADRTRLPRVMTVPGIISDFDDDSVNVADDQKSELSETTVSSVNQERRIIVANQLPVKAYRDESKNGQKWCFDWDKDALVLQLKDGFPADVEVVYVGCLNVPVDPVEQEEVAQLLLDKFRCVPTFLPVDLMNKFYHGFCKHYLWPLFHYMLPVTSSYGVRFDRSMWQAYVSANKIFADKVMEVINLDEDYVWIHDYHLMVLPTFLRKRFHRLKLGFFLHNTFPSSEIFRTMPVREEILRALLNCDLIGFHTFDYARHFLSCCSRMLGLDYQSKRGYIGLDYYGRTVSIKILPVGIHMGQLESVLSLPDTAEKVKELREKYEGKIVMLGVDDMDMFKGIGLKFMAMGQLLDYHPRYRGKVVLVQIMNPARSQGNDIQEVQNEISRVASEINHRYGEPGYEPIVCVSGSVSTQDKVAYYAVSECVVVNAVRDGMNLVPYNYTVSRQGSSYMDKALGLESAAPRKSVIIVSEFIGCSPSLSGAIRVNPWNIDSVADAMHLAVTMPDAEKEMRHEKHYKYIKSHDVAYWARSFNQDLERACSEHYLKRCWGIGFGFGFRVVALGPNFRKLSVEHIVSAYNRTSSRLILLDYDGTVMPQDTVDKSPSDEVIAVLNSLCSDPKNIVFIVSGRGKDSLSKWFSPCQKLGLSAEHGCFTRWTKDSPWESCIEALDLDWKKIALPVMEHYTEATDGSSIEQKESAIVWHHQEADPDFGTWQAKELLDHLEGVLANDPVVVKRGQHIVEVKPQGVSKGVVVEKLIATMSGRGKPPDFVLCIGDDRSDEDMFESVACSVAKHSLPDKAEVFACTVGQKPSMAKYYLDDTSEVIKMLQGVSVASAHLLPKPSHHQVSFERLL >CDP03132 pep chromosome:AUK_PRJEB4211_v1:6:9467318:9468814:1 gene:GSCOC_T00041624001 transcript:CDP03132 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSFSSPYSGSSSEDYLSSNLFSFFQELDPIAIPTNNTSSSSSSQSNINLSKKRKRVDHEPTSSSIQDFVNTFLTFDSDNKQQEQQQEDEFLLFPSLASFSQSPSSTSPMREMKEGAIGTASESKRARRSSPEVEAPEAGTSSQRRLWVKDRSKAWWEHCNSPDFPEEEFRKAFRMSKATFDMICDELESVVTKKDTMLRLAIPVRQRVAVCIWRLATGEPLREVSKRFGLGISTCHKLVLEVCSAIRNVLMPKFLQWPNEENMREVKRGFEMISGVADVAGSIYTSHVPIIAPKVSVASYFNKRHTERNQKTSYSITVQGVVDPKGVFTDICVGWPGSMTDDKVLEQSALYQRANRGLLKDVWVVGNAGFPLMDWVLVPYTRQNLTWTQHALNEKVGEVQNIAKEAFMRLKARWRCLQKRTEVKLQDLPILLGACCVLHNICEIRDEGMSPELRFELFDDEVVPENPVRSMNAVQARDQIAHKLLHHNLAGTTFL >CDP15441 pep chromosome:AUK_PRJEB4211_v1:6:4758165:4762691:-1 gene:GSCOC_T00043173001 transcript:CDP15441 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQESLSSPMPRVLEPKSRPAGGTEQSWCKAVPGGTGITVLALLLSKAPDVPFLQTTLRNLQNTHPILKSKLHYDSTSTTYSYIIPATPQLQIQPFDLASASEILRGLIRSNSTTFSTTDFHLILEHELNRIVWPNPDPSSEADDVDLFFASVYTLSDAKWVVALRLHTSVCDRTTAVSLLRELLKLMSADNGEGTQKEIDEELLEVRLGIEDYVPSGKGNKPFWARGVDMLGYSLNSFRLSNLTFQDTGLPRSSQVIRLQINADVTQKLISGCQARNIKLCGLLASAALIAAHSAKCFPDDHWEKYAVVTLIDCRSLLDPVLENNDHGFYHSAILNSHDIKGGENLWELAERTHASYNNAKNNNKHFSDMSDVNFLMCKAMENPGLTPSSSLRTALISVFEDPVIDHSNQLHQRVGLEDYVGCASSHGVGPSIALFDTIRDGQLDCACVYPSPLHSRDQMHELIGEMRRILVEASNEIDTS >CDP14131 pep chromosome:AUK_PRJEB4211_v1:6:17944068:17949912:1 gene:GSCOC_T00040364001 transcript:CDP14131 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYCSDCKKSTEVVFDHSAGDMVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPSNPLLTDGGLSTVISKPNGTTSDFLTSSLGRWQNRGSNPDRSLILAFKTIATMSERLGLVATIKDRANEIYKKVEDQKSSRGRNQDAILAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLELEMGQSVEMGAIHAGDFMRRFGSYLGMTNQAVKAAQEAVQKSEEFDIRRSPISIAAAVIYIVTQLSDNKKPLKDVSVATGVAEGTIRNSFKDLHPHLPKIIPSWYAVEEDLKNLCSP >CDP06476 pep chromosome:AUK_PRJEB4211_v1:6:1050357:1052743:-1 gene:GSCOC_T00023341001 transcript:CDP06476 gene_biotype:protein_coding transcript_biotype:protein_coding MVNATRVPEGRVAAHFYARETSEVNMGASRSDGSSKERLKWTQELHSLFEKAVNQLGGPDRATPKGILKAMGIPGLTIFHVKSHLQKYRMSKFIPESPNRGNRFERRSISEILPNFSATSGAQLNEALQMQKEVQKRLSDQLEVQRSLKMKIEAQGRFLERIANEHKNRAANAKPSKPYSPAVSLPSLCEESDSEVDRNEIRPAVAAAAAEFRAPKRIRIEDDDALLQKCNLESYNTGHQSPFLLRGLNSPCWAHEMGYPWGVATQSPMLPALYDPLN >CDP03228 pep chromosome:AUK_PRJEB4211_v1:6:8768607:8771031:1 gene:GSCOC_T00041738001 transcript:CDP03228 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAAICQWPVFSGQHFQETQGIAHTRDSNAIDLFSSLSWTCIPSKSKTQKAKLCSSPMPKKGGIHVFSSNTLSPSMPAELLDAWDDDYNGIVIDSASLPSSANAFAAALRASLSNWKAKGKKGIWLKLFHEQSELVPIAIQEGFDYHHAEPGYIMLTYWIPDEPCMLPTSPSHQIGIAGFVINDSREILVVKEKSSCSCVGVWKLPTGYINKSEEIFSGATREVKEETGVDTMFLEMVAFRHVHRVAFEKSDLLFACMLKPLSSEITIDEKEIEDAKWITPEELLAQRFYAEDEMSRKVIEICMATYGNRYRGFTEHQLISKFDGKLSVLYFNNSNVI >CDP10117 pep chromosome:AUK_PRJEB4211_v1:6:14178269:14180222:1 gene:GSCOC_T00030732001 transcript:CDP10117 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAHLQKLGKPSIVKWVSIGFVNNNFRVSRSNFWAIWGTGSCQIAKNFSGYSRKKARMMEIAAEKEAQAALLDYLHSTRSLLFTDADNMSRNSPHFLRKLLGKVDNQAEIGRSLTRFLRYHPINEFEPFFESMGLKPSEYSTLLPRQLMFLNDDPVLLENYHVLCNYGIARNKVGKFYKEVPEIFRYGEGVLQKKIDSFEKVGLSQSTVIRAVLSSPYLLVGDANLEFFDVLDKLRSADPAFDWIEHLMEENSYDWRQMLEILCLLGKMGCSEEQLGSLIRKHPELIFENSGSDALLLIGVLTKFGLRPDEICSTFLQFPQIPIAKFLGNLKHCYNFLVEIEMAMLDVGRIVCSQTILLGSCTIKKLETLLTALRVTKDQLCEIVKENPEVMENWVVGKKIKRLPNLTAEEEELRSQRMKTEFLLDLGFVENSEEMKRALKLFRGKGLNLQERFDCFVNAGFSKKDVAEMVKDSPNILNLSKDAIETKIEFLVNDLGYPVSILRKFPPCISYKIERIKLRLTMYNWLKDQGAVEPNLALSTVLATADKLFIKAYVEQHPEGPAVYERLKQTICSH >CDP10203 pep chromosome:AUK_PRJEB4211_v1:6:13255246:13256728:1 gene:GSCOC_T00030849001 transcript:CDP10203 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQEVVRGLNVEKYMGRWYEIASFPSFFQPKGGVDTRATYTLRPDDGNISVLNEVWVNGRRKSISGIAYKADPRSDEAKLKVRFRIPPDLPFVPVVGDYWVLYVDDGYQNAVVGHPTRRFLWNPIVGEYLGHLLSVKYYCYKTLVVFSYVLKTF >CDP02869 pep chromosome:AUK_PRJEB4211_v1:6:12226357:12241537:-1 gene:GSCOC_T00041269001 transcript:CDP02869 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMDKQSALDYINQMFPTEASLSGVEPLMQKVQSEIRRVDAEILAAVRQQSNSGSKAREDLAAATHAVEELMYKIREIKTKAEQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQVEAVNQLCSHFEAYRDIPKITELREKFKSIKQILKSYVFSDFSSLGTGKETEESNLLQQLSDACLVVDALEPSVREELVKNFCSRELTSYQQIFEGAELAKLDKAERRYSWIKRRLRTNEEIWKIFPPSWHVAYLLCIQFCKLTRKQLVDILDNLKEKPDVGTLLLALQRTLEFEEELAEKFGGGVQNRETKTDTEEADRGDNVSDIRKKYEKKLARHQGSGEEEPDGCKDLSAPAAGFNFRGIISSCFEPYLIVYVELEEKTLMENLEKLVQEETWEIEEGSQTNILSSSMQVFLIIRRSLKRCSALTKNQTLFNLFKVFQRILKAYATKLFARLPKGGTGIVAAATGMDGQIKTSDKDERVICYIVNTAEYCHKTSGELAENVSKLIDPQFVDKVDMSEVQDEFSAVITKALITLVHGIETKFDAEMLAMTRVPWSTLESVGDQSEYVNGINTIFSSSIPALGTLLSSIYFQFFLDKLASSLPPRFYSNIFRCKQISETGAQQMLLDTQAVKTILLDIPSLGRQTSGASSYSKFVSREMSKAEALLKVILSPIDSVADTYCALLPEGTPTEFQRILELKGLKRTDQQSILDDFSRRGSGITQPAIAGPSAVPSAPPPSAAPAITSPAVVGSLISREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDFRKLFTG >CDP02877 pep chromosome:AUK_PRJEB4211_v1:6:12145519:12146133:-1 gene:GSCOC_T00041281001 transcript:CDP02877 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGARKAYDQEVASVAQREERRKKLMKCFAYVAAFAVFQTAVILVFAFVLMKVRTPKFRVRSAAFEDFQVSTLNTNASFSTKMIAELSVKNANFGRYKYQNSTIEFFYQKYKVGEAVVPRGKANFRSTKKFVIPVDLSSANVPGDVLGNELSQHAWIPLTSRATLRGKLMLMLIFKKNKSTDMNCTMNLNISSRQLQDLECS >CDP03201 pep chromosome:AUK_PRJEB4211_v1:6:8929164:8935538:-1 gene:GSCOC_T00041706001 transcript:CDP03201 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKFLHNPKDMLSKSFNPAKCKTALKLAASRMKLMKNKKEVQVKQMKRELAGLLESGQDQTARIRVEHVIREEKMMAAYDLIGIYCELIVARLPIIESQKSCPIDLKEAVTSIIFASPRCGDIPELLDARKHFTAKYGKDFVTPAIELRPNCGVSRLLVEKLSANAPDGQTKIKALRAIAEEHNIKWDPESFGQSDALPSDDLLNGPSTFEKFSAVHAEARPHVTPDIQAAPSHHMMDNQPVNFPEQNLRSQETQKLASRDNTLSSNRVSSVPQGQMRPSGDGADVGEVSLSFQKERNSYSTRQGWEMEFKDATSAAQAAAESAERASMAARAAAELSSRGRGATQPQYPSEVRSSAGDARRDERTAKHGSSKYKSDNLPRDPANSSFSDRQPRFQNRPVDGIEHDNGRFSGNSFGDGHDYGKIYAHSASRSTASYKIDTAAHGVQMPPDGNHHRSLSNEEENKVQSSMQKQSGKSQIEGMNHSQEGFSSENLDYSREGRINKQSSVLSSSSHSSVSSSDEKYSISGHSMFDYDAGKEFSVDIDQEKNARDTVQPSYHASAAPVVFDDSGSDTEDFAFDKGFWIDEESNDQFAPLGRNSPPRLSNSKGNESLESKTTKSLESSISEFSTKKHSFPESFESLEAPVDGSQADNFGPATFDDSDGANSESEIDMLHSVHHATVDYGDLLTEKSSSLGFSDIERHRSDYYRTETAVDSSQKFGFGDSPTSQSSFRLGKSHRESINAGSKYDNVKLHDSDGANSENEIDMLYSGHHGTVYSQDLSTERNKSPRFSNAEKHRNDRAETVVESSQKFGFGDSPASQISTGLGESRQEPIVVGSKSSFYNSVDGVGNLSASGSKSSLVHEVEDNVGVSHSHGRQMDDESSDGSMGLSLKKLTGGLRQKGYMRPPYTRSQVDESSSSAGRVSEANPNMIPQPAAYITTEGLANKGMNEGVGSGRSSRLSNYHSDSESDSSVEELRKQPASRRQDPNIQHPGNKDRKQSSLRSSVTYFDSDSSDSEDDSAQVFTGRSHLGSGISRRTKASPSSSTLVSNLKGGSNSRMQVNPDSSVERNPKRHYGVETPQESRTRTRNSDQQADSQQPSSAKVVSNPNAPRSRTRHSDQPGNSEQPSSAKVASNPSLVSKVSLPEEKRKSSGLEQPKSSERKLETSDSTENQKTASGSAFSREDSLKKASHVHPKLPDYDTLAAKFQALRTNRQ >CDP10119 pep chromosome:AUK_PRJEB4211_v1:6:14164662:14167769:1 gene:GSCOC_T00030734001 transcript:CDP10119 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLFATAYSSKEFVNKKDRFPTVISCNFGLDNFSGTHLLLLFLVQSFELLHLTSIIIIPSMNIQKIGSYERMLQNCGHTSDYASEFSYRFPQSFGNPPEASDMGLCFQSADRDEGSQRQNFWPNNSSSTIMGQIGSASAFYAAEVYMGLTQLGARDNQSTCSTQLSKINHDVHIPSYDQSENCLFTDSPPRKLEPCSFPANTTLQAVPNSQTSNSQYISSEASYRHPFSDLTEKERLWQLKKKLLGDVDNPNKRQHTMPLQRNQDVGVSCNLYDPHFPNVKPSGRSSGCISPISTNPASAAGAVTNKTRIRWTQELHDRFVECVNHLGGADKATPKAILKLMEWEGLTIFHVKSHLQKYRNAKYIPASAEGKSDKGPCTSNEAQIDIKNGMQLKEALQLQLDVQRRLHDQLEVQRKLQLSIEEQARQLKLILDKQQETAKSLLETQNSAITSPTYLSTTLENVDILISEDSESTPFSSKIS >CDP15337 pep chromosome:AUK_PRJEB4211_v1:6:3912387:3914380:-1 gene:GSCOC_T00043028001 transcript:CDP15337 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNGNLRPPFISRPTDTSLGFFYNYNYDPYQVIEMKHALQAPHAAVVSSMDKTIGYGNQDQKKKRLTTDQLESLESSFQEEIKLDPDRKMKLAKELGLQPRQIAVWFQNRRARWKAKQLERLYDAVKQELDVVSREKQKLQEEVVALRAILKEQVGKKPVSTGYTEMSGEETVESTSIPSSSKPRGVGGNNLQTAECSYVFNVDDYNPVMPPYWAGLPSYP >CDP06721 pep chromosome:AUK_PRJEB4211_v1:6:2847410:2849560:-1 gene:GSCOC_T00023662001 transcript:CDP06721 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRGDFPGTIVLTLLINCVVFLHSPVSVSAAQFDFGTLAISSLKLIGDAHMLNGSVRLTGDLTVPNSGAGKVLFSKPVRFLQPGSQNPVSFSTFFSFSVTNLNPSSIGGGLAFIITPDDVALGDAGGYLGIMDSEGAQAGVVAVEFDTLMDVEFKDINGNHVGLDLNSMVSAEASDLDAVGVDLKSGDLVNSWIDYSGSTRILNVFVSYSNLKPKEPLLSTTLNLNEYVNDVMFVGFSGSTQGSTETHSIEWWSFSSSFDVNSKSNSPSAMSSTPPPPPPGNNVNSTSPALAPSQSNNASSSTALHEKSSSKCHNQLCKQGPGAVVGVVTAGAFFLALCALLLIWVYSKKFKHVKNSETLAKDIIKMPKEFSYKELKLATKGFDSTRVIGHGAFGTVYKGILPDSGDIVAVKRCSHSGQGKTEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFESRMVLPWAHRLKILLGVASALAYLHQECENQVIHRDIKSSNIMLDEGFNARLGDFGLARQVEHDKSPDATVAAGTMGYLAPEYLLTGRASEKTDVFSYGAVVLEVASGRRPIEKEASGVGKVGVGSNLVEWVWSLHKEGRLLTAADSRICGEFEEAEMRRVLLVGLACSHPDPMARPAMRGVVQMLVGEAEVPLVPRARPAALSFSTSHLLLNLQDSVSDLNGLLTISTSSSDHSFNGDGINLV >CDP15645 pep chromosome:AUK_PRJEB4211_v1:6:32610127:32611068:-1 gene:GSCOC_T00015578001 transcript:CDP15645 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHPVADSPEVLHNFLPFFRIFKDGRVEKFLQTPFIPPSDDPNAISGGVRSKDVFISPENKVGARLFLPRTIKADEKQKLPLLIYFHGGAFVIESAFSVQYHNYLSSLVAEANIIAVSVEYRLAPEHPMPACFDDSWTMINWVTSHAKTRQGPESWINNHADFTKVFFAGDSAGANIAHNMAAKASQHSLGDGVKLLGLILMHPSFGNGQPHKLWELICPDLNGWDDPRLHPMAHRSLLSSLVCSKILICISEKDSLRERGWLYHEALKNSGWEGELDFLEIEDEGHVFHLLNPNCDNAKVLMKRVVSFLRA >CDP14106 pep chromosome:AUK_PRJEB4211_v1:6:17557863:17561283:1 gene:GSCOC_T00040330001 transcript:CDP14106 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSRVADGESVVLLQQTSLPTAIGLVSFATMLVVFSSFPNLVRLDLSRNGLIGAIPHQIGALSKLTHLNLSSNALRGELPSSLINLTQLAQLDVSSNSIKCLISPASLYLRGNGLEGDIPHQIGTLSRLVYLNFSSNYLYGELPSSLVNLTQLVQLDASWNLIDSLNPLGIGNLTNLVTLDLSYNSFGGLIPPTLGQLSNLDSLDLSNNHFSGTIPSALFNLTNLSWLHIQWNPKIGGFLPEEIGNLKRLLELDLSYSGFSGFLPEEIGNLKRLLELDLSYSGFSGSIPPTLGQLSNLRSFDLSNNHFSGTIPSSLFNLTNLSQLDIHSNPAMGGFLSEEVGNLKSLVKLDFSGLNLSGALPLSLCGLTKLVSLSGAQNQMHGSIPPEIGNLKNLQHLLLGSNRLTGQIPPTLGNLTAVVSLDLSSNQISGSIPLQLSVIPSLTFLDLSSNQLRGPISFILNLDTWSSLERLYLQNNSLKRFVGNPDLHYTSTLCGASPPVMKNHRHHPPYYIIGLGVSLLAFSLIGGIVLYIFGKTKVKKVEIELMDNKHGDIFRIWNYDGNMAYEDIIKATNDFDVSYCIGTGGYGSVYRARLPSGKVVALKKLHRLEGENPNFDKSFRNEADMLSKIRHRSIVKLFGFCLHKRCIALSYLHHDCDPPIIHRDVSSNNILLNSQLEATLSDFGTARILELDSSNQTVIAGTFGYMAPELAYTMMVTEKSDVHSFGVVVLETLFGEHPREFLSCISSQPNEPIMLKDLLDARLPPPTNPLVVRNLVVATALALDCVNANPKCRPTMQQVVNRFEESRRESTRPLHTIAVNQLVSPPVLSLRDQTYADGTSSLSTINEFHVDISETLPSSNFST >CDP12649 pep chromosome:AUK_PRJEB4211_v1:6:15892763:15893109:-1 gene:GSCOC_T00036354001 transcript:CDP12649 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGATQASAKELIDSIVEIVVRIFENHVIVGELLETKAAQQVDLNTPKSIVGDSKWNPDPKASHDTSGYCIGFSLTVLQVGLLCL >CDP12673 pep chromosome:AUK_PRJEB4211_v1:6:17239405:17243736:-1 gene:GSCOC_T00036397001 transcript:CDP12673 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSDEEMDSLLSAFDQIYDEFKNGISEIQSLQLKCNAETKNREALEFSVHSLQSENERLTKLYTESLRKLTNKIELHNSYQSLKDELKRLNDEHLQKENEYKNAMELLKQDHVTRIEELETQIRLVPIQKVENEATINQLQQDLAVQRNQVEFLTRRLEKVPSDLESRYHCEIEGLKDYVLVEQEEKDELSKKLQNLEKELLISRTKLAEHQRDSSSNQHVETLKQKIMKLRKENEIQKVENEATINQLQQDLAVQRNQVEFLTRRLEKVPSDLESRYHCEIEGLKDYVLVEQEEKDELSKKLQNLEKELLISRTKLAEHQRDSSSNQHVETLKQKIMKLRKENEVLKRKLLESKEG >CDP03090 pep chromosome:AUK_PRJEB4211_v1:6:9828144:9829036:-1 gene:GSCOC_T00041573001 transcript:CDP03090 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKLRRSSCSFPIVLVSFLNFILFILSSASLAPIILLKTPPTCLGWAFLTVSCISLLSSFIGFYSQLTHFCFMTHVSLLLTSLIGQILAIVALFRKEKSSLSMLKSPRDPREAKLLVRMECGVLMAMFVMQVGVLILTCAVHSCLMREYEGLEADKEAVERKRSMRIAKVQEESMANAAKLAEIKSKKLDERVKSKYGQWVKTDFEG >CDP14341 pep chromosome:AUK_PRJEB4211_v1:6:35718817:35725641:-1 gene:GSCOC_T00040673001 transcript:CDP14341 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPPSMHEHDHHPPSSKPKKRLYQVWKGRNKFLCGGRFIFGPDGSSVLLSTFLIGAPALTFCMKMLLRLSEVDDVYGHIVLLVGFILTVLDLTFLFMTSGTNPGIVPRNSRPPELEDQFSSSSASMEWISSATPDIKLPRTKDVLVHGQTVKVKYCDTCLLYRPPRASHCSICNNCVERFDHHCPWVGQCIGIRNYRCFILFITTSTTLCTYVFTFSLLNIIKQPGSIWRSMSEDIISVILIVYCFIAVWFVGGLSVFHFYLMSTNQTTYENFRYRYDKKENPFNRGIIRNLGEIFLSRAPPVLVNFREWVVTEEDDTYRGSISHKFCGDTINPKAIIDIEMGGKLSKDSPFPLPDILEKIDYNGISDSLKKEGGENIKFDSFLFANAQELKDPQWRTRNEECSTDDDRSDNSSQRASSAVLQR >CDP06444 pep chromosome:AUK_PRJEB4211_v1:6:815293:816909:1 gene:GSCOC_T00023300001 transcript:CDP06444 gene_biotype:protein_coding transcript_biotype:protein_coding MTESQIFQPSWPYFRHDNNLNSILDNVREDGFCGGGEYTCYPLPTLMEESYLETSMLQERCANFPVHLDGDMDITTEDVLVYFEDPISIDGQITDVSMSPEESKGGNCIHSCHQISMPSSSMRSSHAASLDVTSMPSSLVLPAEGVELDNELAAFHLLMAYAEAMEMGQEELAEVIAKRISEKVSPVGDTVERLLYYMFHALDKQSDYLKQESAKNFYQAFKAFYQIFPNGKFAHFAANLAILESLPDDADTIRIFDFDIGEGIQWASLIEAIGHQCREVTLTSVKFAEGEDAAMDANPLTMWRFEDAKKQLSDYARSYGLKLMVDEVELADLVGELKNISNRKQGSSGRRQFLVFNCMVGLPHMGRVRSRRDVFEFLQASQSFLQLVHASAACGNYKGGVITFGDGDAWEKVRNTSTYGSFLDGNMAHYQALLESIKLNFPSHLGEARTAMENLFVAPSVSSLAWAEKWEERKRYGQLELGFGLEGLKLSRESLMEAKEVVREGESLYGVRMQGESHNEMVMDWRGTPMVRVSCWRS >CDP06410 pep chromosome:AUK_PRJEB4211_v1:6:488101:489857:-1 gene:GSCOC_T00023245001 transcript:CDP06410 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSISPSSSYNTATVSSSSAIVRVEKATSEFLIGPDWTMNIDICDTLNSNQWLAKDVVKAVKKRLQHKNPKVQLLALTLLETMVKNCGDYVHFQIADRNILQEMVKIVKKKASFLRLQGTMSLSVDKHFSFPYVRTLHVFFFFPDWDCTSHF >CDP03318 pep chromosome:AUK_PRJEB4211_v1:6:7951656:7956780:-1 gene:GSCOC_T00041859001 transcript:CDP03318 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMPQDGPKSVVYRSFFSCDDPKGVVECKTNRKSKTDSLKSKEKVKHQKNQKNLSASFSFKEERKDRVSKGPTDHQLHNPSSYQLMEISRGAQKINQVTDSWPEEKGFDRQTKDIAEELLRGALDLKESLTMLGKLQEASQIMAKLKKKQKERARGGSHEGIGIERTVSERFGYHDRKMLEFQNPRLSVDGSTRDCFEELREVIRESFARQNLLPKVSNEEKAYSAAKTSEEDKVYSEEKPYFDRTKSDFSLDVPSTSSSQSSMFHSHEFDTSSDLSLSKSIEEKPKAPNVIAKLMGLEAIPPKPLLSNPQKHYGKDRALNQERTPFDIDLPMASMRTRFTVQKVDPRPMKLNKLTDDMQYKGLLTGKSVDGPEHLSNASEWKKRFAYDAAPIVIIKPLHVSGLLDEELLGQKYIHQDLDTKRMLRKWKTKAGLPSRPNNSHEGALNSTEIHRKLQVEKAPAKGPIQEKEDKDCLDAFARKDTKSVKRQDNLSSTTVKASTPGKPKLQKKDANENKVVPKIQRAVVNTRKQVEVDTEKLRDRAKSHHINKQASTNPRKPEREPNVTKVRVSAQKGSTWDPISDCITPTTLLNSSARKKNAKNEKRASEPSTIVVEKKHKNDDVPADPEVGDDEALTAKGITSSEQLPGDEKKDTPTNLDNCSNNRTCPCESTYSVQPNNEIRSMDNAKCSINCNLTEVKSCKRDNNTRKLLLDSSSFLCHAEELFETRAYQPAVSHKPGLHSHGTADTKLLLECAKELLEQKSLQFRVAGHPLPHICIKKLKICISLDHLVNEISDGIQYLRSYCKLAGKTIVVDALSTVLQKDMWCKGVVNGGWDFGWRNGFTLDEIDQVVIDIEQKILTGIIDDMLMDMVM >CDP10086 pep chromosome:AUK_PRJEB4211_v1:6:14657346:14658988:-1 gene:GSCOC_T00030690001 transcript:CDP10086 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPMATEGQSMAHPPERDSVQSIFSMPVPHFPQEIIIEILSWLPVKSLGQLQCVCKSWFSLISSSNFIKNHQKYSSRHPKHALTKLVLQASEDNPDILSTFSLHSLLNGISSIEVVEQLVLDSTIKEDPYDIVGSCNGLVCLSSIPGVLTLWNPCTKKSRTLPYHEVEILYTGTNISDRVRAATDRSYCATHAFGYDDSNNDYKVVKLYSTLTYSFPKVEEQYVYALGVYSWKSDSWKLIDDVPDGFSSWDSNALVNGALHWRSYEDGSRSTPVIMSLNLEAEKYGKIAVPDNLRGKFDWKLRVVDGYLCIVCTFDDGTMEIWIMKEYSVLESWTKVASIPNSAILNNCASPLFVSAKVRFCCGFCRKI >CDP06452 pep chromosome:AUK_PRJEB4211_v1:6:848940:849643:-1 gene:GSCOC_T00023309001 transcript:CDP06452 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGSEEFRLVSPGIDSEGRLPRKYTGEGQGARKNISPPLEWYNLPPGTQSLALVVQDIDASDPDGPIVPWAHWVVINIPPTIKGLPEGFSGKEKEEETAAGDYAGIKEGHNDWKVPGWRGPVLPNHGHRFEFKLYALDDQLHLGNKVTKEKVMEAIEGHVLGEAVLMANF >CDP10618 pep chromosome:AUK_PRJEB4211_v1:6:5068073:5068837:-1 gene:GSCOC_T00031390001 transcript:CDP10618 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDLLATPLRTVLRPAVTFLLWEAHVSGKDLHHVINRRPRLFTCSVNRRLRPTLYFLRGTIGIDDVSRCAPLLSCCVESKFIPRLDYFLKLGIPKREAISLFRRFPSLFCYSI >CDP15646 pep chromosome:AUK_PRJEB4211_v1:6:32618030:32684857:-1 gene:GSCOC_T00015579001 transcript:CDP15646 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVQNKAAYVALNIQQELELAKKIPSPVNEEYELPCGHFMNFRSQKFRNPEALFQPSSARFVKDRENVGVRKMIFNSIMKCDIGIRNYLFKNIMLTVGSTLFPGFVEGITKEILELGSSTLAFKFSDLIAREINHKFANKMFRNVAPPNRMYNAGVGGPALALLNTFEQASPFKTQFYVNFCQVSVQVCGHDDSVEAMACGLGGGSLVNAGVVVPTPARTRRNPKWPKDWEKDWEVCEAIASTMLRIQDVPIKFRNARIMDEAVGEETGFKNPEPLKLTVNFDTEDQMYSSKKFGKADSCQACGNCMSGCPYNAKNSTDKTYLLSAIQAGCDIRTACEVQYVFRNLDDTSEVDEAICRRKSCRWLDAAGVFGTTKMLFQSQMRGLILSKKLGCGLSCNGNNVAYLSRSRAPLNACGLDRKKLPEVPFEERPGPSITSSYISSLGFTIQVYILFGVEVDKTNFPILQSAVIPIAFPWLLFKGITTYGWPIGYSILDSIVHKVKHLFGQPSQDMVLNVIGYDNGDGKLTFRKDTNEVCFQPPSDPLLARKIEALQRTTKKLGGILFMSRYRSTSVHLLGGCCASSDASSGVCNSNGQVFDTLNSTTVYPGLYVCDGSLIPCSVGINPCLTIATAAEHVSKHLLQDALDYKSKDVDFVRGKPVEKKSLVRSWKSENSRGSAVLFKETMRGHVGGLPCVAYLKLKLNARTTSAKTIGDFRESNPILQGKVSGYVMCSAIEMDKLHVIDGEVDLCHVDIKTPYTQYMHYRLLLAASSGSRYMLEGKKVMNPFLLGLDAWKDSTTLHVVLRKISQHTSEEVMISLRGKLHISMIQLLKSLFSMSGRGKMKFLHILLQSLFRTYISQVPRSSQKGFTPLDPYQNNYPRSTLHEIRTEDGIIISCQQWKCNQEPQRQEKGNKPFPVLLINGYATESYCLPTESNDLVRSLLHQGHETWLLQTRLLGTSSSINMTVEAIGMFDIPAAINKISELHGESVKIHVVAHCVGGLAIHIALMGGHVFYKRIASLSCTNSSMFFKLTAWSKFKLWLPLIPTSMAILGKDKSLPLFQTLKASSGQKLLKSIARAMPRYERCTYDECEVLSGIFGNAFWHQNVSPKVHHWMNKEYLPRLNMGEISLNMGAFSHLQKICNAGFIVDTKGRNTYLVHPERMGLPTLYISGGRTLLVTPQTSFLANKYMKLHRPGFRHKRVVIDGFGHSDLLIGEDSSKKVFPHILSHIALAEKENTAAFFSSEREYQKDALSWVDDPYQDEGGFWGCVLSFINAYSLFCCFIVLIAYFFS >CDP10599 pep chromosome:AUK_PRJEB4211_v1:6:5243435:5248564:1 gene:GSCOC_T00031367001 transcript:CDP10599 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMKASPEARTTSSSSSSPSRSIVTAEKAFSFISKGWSEVRSSAGADLQLIKNRANSFKNRADRELENFLNSASRSPFAVPTITASATTTPAEIDFVKKLRPKLTEIRRAYSSPDFKWSQWSPKPKIRIDLSAIKNAIVSEVEDEEEDEGQRFRRWRTVRFKETAARDEWQFGELWEPIRALKARLREFEHKSSSSSSLPSDIFEGIKNSEFVEKLKSSLRSIGKESNGAKMQEVPPFDVPELLAYLVRQSSPFLDQLGIKRDISDKIVENLCSKRKNQLLLRSLPAGESSIIEADNVTDELDIRIASVLQSTGHCYEGGFWSDSTKQEFADGKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQNVTLLVPWLCRSDQELVYPNSLTFNSPEEQELYMRNWLEERVGFKADFNISFYPGKFSKARRSIIPAGDTTQFISSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQDLPKSVICNVHGVNPKFLRIGEKVAEERERGQQAFSKGAYFLGKMVWAKGYKELIDLLAKYKNDLDGFKLDVFGNGEDAYEVKSMAQRLNLNVSFMKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVICADHPSNEFFSAFPNCLTYKTPEDFVAKVKEAMANEPQPLTPEQMYRLSWEAATQRFMEYSDLDKVLTSNAHSGRIDGKALKKSISLPNLMDMVDGGLAFGHYCLTGNEFLRLCSGAIPGTRDYDKEHCRDLHLLPPQVENPIYGW >CDP03367 pep chromosome:AUK_PRJEB4211_v1:6:7541056:7545741:-1 gene:GSCOC_T00041925001 transcript:CDP03367 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSDEFVKGTIYPNGVAVITLDRSKALNAMNLDMDIKYKSYLDEWEDDPKVKCVLVESSSPRAFSAGMDIKGVVAEIQKDKTTPLVQKRFTTFDAPCGDVKQISTKNQLSDMIEVFTAEYSLICKIFAYKKPYMCFMDGITMGFGIGLSGHGRYRLITERTVLAMPENGIGLFPDVGFSYIAGQSPGEGAVGAYLGLTGSRISTPADALYVGLGTHYVHSGKLGSLREALLETSFSEDPHEDIRVLLAKYSSNPESEPHLKLLLPRIISTFSGSNSVQEIMGELEKHQESADTLVADWAKDALQGLGKGAPFSLCLTQKYFSRVATARQKNENDLSTLPSVMKTEYRIAVRSSLRNDFAEGVRAVLVDKDQNPRWNPSSLQEVNSSEVEALFEPLSQEIGELSV >CDP16336 pep chromosome:AUK_PRJEB4211_v1:6:29623680:29623970:-1 gene:GSCOC_T00018148001 transcript:CDP16336 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVVKAIEFLTITGASIDDIKVTKKALVWPFQKCKRIDAIPVNYTFQVNAMNAEKLPFLLPTVIIIGPRVDDQEGLIKYAKLLSDHRSDSQCENT >CDP10202 pep chromosome:AUK_PRJEB4211_v1:6:13256842:13258310:1 gene:GSCOC_T00030848001 transcript:CDP10202 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEMEVVKGLDVERYMGRWYEIASFPSFFQPKNGVDTRATYTLNSDGTIHVLNETWSDGKRDSIEGTAYKADAKSDEAKLKVKFYVPPFLPIIPVTGDYWVLYIDDDYQYALIGQPSRKYLWILSRKTHLEDEIYNQLVQKAVEEGYDVSKLHKTPQHDPPPESDGASTDNKGIWWIKSLFGK >CDP06705 pep chromosome:AUK_PRJEB4211_v1:6:2754544:2757969:-1 gene:GSCOC_T00023641001 transcript:CDP06705 gene_biotype:protein_coding transcript_biotype:protein_coding MILGFLDIFFDIELHRLKPRGRSIEGNNENKLDMSPVDDTPLSNPAGDPGEFSVTGVRDFGKVNGSSVTYGFEGLNAEMEGLKRDKSLEVETEGNNREPEPNEGYSWKGGVCGQETVKTDGKLDVQLKGTDNNEVKDKIEPDHNEEMGVCEFPQYGDSGNRKSFFVDLNSHHQEGIFIQGAESTTALIEGSLPFSVGLAEVTDATIKNGVSARNRQAPIKEVITSGPFNEVDGKDAKPCILIPKSRGEGNQMEKESEFYVSDLVWGKVRSHPWWPGQIIEPSAASEKEMKYFKKDSYLIAYFGDQTFAWNEASKIKTFQMYFSQMKKQSNATAFCNAVNSALTEVSNRVEFGLACRCLPEEVSAKVKSQVVLKSGIWEKSIRTYAGDSFSTAAAFSPAKLVNSLEALAKSPHNDIDDLEFVIARAQLLAFNRWKGYYQLPVFEELNGFLGNDLDLAAVQDEKNLVEVIDDGLGSEEDNEIECGKKMSPLHGVSCRGMDLPLNNERKTKKKKHLQDLMSGSSLSFRDGGYEDEGKASSDKASVSSGKKRKALGSTSSESTKRMRKRVSMQSARTATSLLRNYVEVGDSMHGLAGKLHSASTLTTEKSCRRPPPCEYPSMREIFSELCLAAENPVKGYSSLTTIAGFFCDFRNSICMEHNNLKKRTKSSGKQIVKKSADVEAAEAFEFEGMEDSYWTDRIIQSNLDDQVLFEPEPPSEKDVVVAEQEGLEGTNPNLDNQLEMNPVVTELGAEDPVRSYPVDEKSYEN >CDP12659 pep chromosome:AUK_PRJEB4211_v1:6:16296784:16304351:1 gene:GSCOC_T00036374001 transcript:CDP12659 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASFRVASRINCKEVPYCNPICNYRTLNLRFPYHHRLPHLSNASVYTLKSCYCKAVAKESSTEVVEKGRKMYSHDIKLSSLTALCPLDGRYWAKVKELALFMSEYGLIRFRVLVEVKWLLKLSQIPEIPEVPSFSKDTETYLQGLIDGFSLDDAMEVKKIEKVTNHDVKAVEYFLKQKCQSHPEIGKVVEFFHFACTSEDINNLAHALMLKEALSMVMIPAMDEVISAISDMAMENAHIPMLSRTHGQPASPTTLGKEMAIFAFRLSWERQDISEVKILGKFAGAVGNYNAHLVACPDINWPQVAEEFVTSLGIDFNPYVPQIEPHDYMAKLFHSIIQFNNILVDFDRDIWGYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGVANGGLSHLSMKLPISRWQRDLTDSTVLRNMGVGLAHSLLAYRNALMGIGKLQVNEASLSEDLNHTWEVLAEPIQTVMRRYGVPEPYEKLKEVTRGRVVTQESMREFIKNLDIPGDAKMMLLNLTPQTYVGAAAELAKNIKTAINLVNGLTA >CDP06653 pep chromosome:AUK_PRJEB4211_v1:6:2372405:2376247:-1 gene:GSCOC_T00023574001 transcript:CDP06653 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNTSSRTEPTNSHQWLMESAEAELFPNKKQAVEAPNSNSFSGFLNSNVSHWGHSSTFHSVANQFTQRLFDPETARTINFGQRNVPSVDLGSISMKRKVIEDCVESDFSFGLSISNSLEDPKTGLNYGGIRKVKVSQVKDTENLIPSFGHTYDRKDSGYVSVPHAFVNTDDNSVAMGLSFSRADKNVMSIGTSFMREDNDFVSVDQPGNHHGCDGTSIGPAYKENGSISLNTSLDKDGKNTGALSFQNFGINEGAVSHSLNMNGAVESIELPFSMDDSNIAHTGQLFDKEAQIAACIDHSYNNTEDHHMSGSKSYNTIDDNNLSLAHHCGKGESKIISFGGISDDDNLSASERLICSYDLLMGQSSVLKSETVKGKVSVESNADALAKATEIVTSKEIISRKKEEHKVIKKPPPNNFPSNVRSLLSTGILDGVPVKYIAWSREKELRGTIKGSGYLCGCETCNHTKAINAYEFERHAGSKTKHPNNHIYFENGKTVYGIVQELRNTPQNLLFDVIQTITGSPINQKSFRLWKESFVAATRELQRIYGKEEGKQLS >CDP06277 pep chromosome:AUK_PRJEB4211_v1:6:25078736:25079417:-1 gene:GSCOC_T00023024001 transcript:CDP06277 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFVMESCIGLGHLGASAMLLCCISKGQLVFVSRLVIWSNLDKVFIIEYWIAFYWWVSATCLMKGVKLNNSFDKTGIMTSDDVEFSGVGGLTDREELETEMSKVPGRTREILASCHALVFVDNKLVFFKLLVDIWIICIMDICGYLDNLY >CDP10438 pep chromosome:AUK_PRJEB4211_v1:6:6610852:6615352:-1 gene:GSCOC_T00031163001 transcript:CDP10438 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRIZ1 [Source:Projected from Arabidopsis thaliana (AT2G42160) UniProtKB/TrEMBL;Acc:E5KGE0] MFTLQIHSVDSPQRLPITVTSATHGPNRTASDACNSLNSQELRGIAHLFRQLLPPSVSSTAANPNSTPPTPSTTIANPTARTTQIFIVAVPNYLSSDDFLLFCGTHVDHFLEILFVRNDAVEDRYSVLISLANQLAADGFYCSFNGKRFRPSEAEVCHIYFTQSVEYTEVAEIASTPPPGFTELPTCPICLERLDQDTSGIQSTLCDHSFYCSCVSKWTYLSCQVCRLCQQQDEKPTCAVCETLENLWVCLICGFVGCARYEKGHAVRHSRDTEHNYSLKLETQQIWDYVGDKYVHRLNQSKADGKSGVMNSHCISNEGECGTCGYEEDSGLDGVLFSSKVETTVDEYNHLLASQLEIQRQHYESLLAEAKNSQDSTISKAVEKTLFSRMHDLQYRLERYTEEKKAISERNQELMKKQELLQQKYKDIEAMSSLTLKSKDEMVLDLKEQIRDLKVYLEAQKKIAKMTDREGVKGGTLLPVEATCPSSGNPKRRAKSGRRRG >CDP16324 pep chromosome:AUK_PRJEB4211_v1:6:28746453:28746954:-1 gene:GSCOC_T00018121001 transcript:CDP16324 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYLLFFKKKKMVKTSILQEDCCALQWICSTLDFQVKVAGEAQVYAAATVFLVPFLEIKGNFLR >CDP02953 pep chromosome:AUK_PRJEB4211_v1:6:11181750:11183112:-1 gene:GSCOC_T00041391001 transcript:CDP02953 gene_biotype:protein_coding transcript_biotype:protein_coding MESKESGISADEGLSKIFAPAGRLLEAGQICRNENLSRTSKQISEYGVAAFYNGSIAVDLVTDIMGAGGILKMNDLKQCKSNLENLWLMKLKGLKILGMPPPSSGGTAVILVNSKYPIQYEDTSNISSSLQIHREIESLKHAFPVRMNLGDPDFVDVKKVLSDVQSHEFAAELKKTIYDNMTFDSNYYDGSHLSIVDSERNAVSMTTTINSYFGALYLSPSTGIVLNNEMVDFSILENVTGDGAPPAPPNFICPFKRPLSSKTPTIVLKDEQLTAIVGARERSKDNSWNHGGVSESFCQ >CDP10220 pep chromosome:AUK_PRJEB4211_v1:6:12971141:12973371:1 gene:GSCOC_T00030878001 transcript:CDP10220 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRSKGQFLLCNFQQIRTIVKVRLKWVKNRSLDHIIDVQTDLKAACLLKDAIARSSTGYLTSKSLEDTQKLLGITVPTLRFIRRFPTLFHEFPHPKWPSLACFELTHVAKLLRQQEMKVFDECQTDLVERLCRLLMMSKSQMIPLQSIHPLKWDFGLPDDFDKNLVKRFPDHLQIVKGTSGLACLKLVQWREEFVVSELQKSNEKRGLESDNGADRRSAYKYREFKRGKSALEFPMSFPRGYGAQKKVKAWMEEFQKLPYISPYEDSRRIDPNSELMEKRVVGVLHEFLSLTTYKKTERNYLRSLREELILPHRFTRLFTRYPGIFYLSLKCKTTTVALREGYRRGRLVERHPIARHRDKFHHVMRTGLVYRCKGVEVLPQLDSLIDEAEEDKVEGQSEEEEIETSDECYEAGTSEVESASDED >CDP06503 pep chromosome:AUK_PRJEB4211_v1:6:1265803:1267563:-1 gene:GSCOC_T00023376001 transcript:CDP06503 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYFHSLGGGGFFFWVRSVFSLLICKEVFFWSVSLAIPIDTSSRISKIRSRKRERLHGPPWLKAVQGALLSSMYAVLDYAQTGLIATMMEGWYQSAEERMSAPTVYPPPPPSPPPKVAKEGIPLPPDRMLCPLRSQKCVNPSAVAVSGFVFCYSCIFK >CDP14117 pep chromosome:AUK_PRJEB4211_v1:6:17731148:17737840:1 gene:GSCOC_T00040344001 transcript:CDP14117 gene_biotype:protein_coding transcript_biotype:protein_coding MICLYLSFYVIYYFVFWLSFQRCILLKLASCFVDKASEELITLLFKFTKHALEVLEGTGQPEAYQTLSRIIEKHPSFCFSHFAELMDLLLNLKSPYNIDSLKSRFACLRTLFIHAVKEDLDDENTKAFLILNEIILALKDSAEEGRKAAYDVLTDISSSLRSTSCTISDGHFHNFITMVMAYLTGSSPHIRSGAVSALSVLVYNDKNLCLSVPDLIPSVLALLQSKAIEIIKAVLGFVKVLVSSLQENDLQKFLPDIVNGILPWSSVSRHHFRSKVTVILEIMVRKCRVAAIQSLAPQKYHDFLRSVLKNRHGKTSSKDAGITETESNPSDISQGSKHRWQKRKYEESTSLTKGGGLTGSRKRARHQRQKGNLPCAKKPFKPASSIKSQESVDREGDANHDRQNAKQSQWQRRDRKGSFMKGQSRGKRKRDFVGTKLRNGETHKPAAKLTNRKRVGKEQSKINK >CDP18651 pep chromosome:AUK_PRJEB4211_v1:6:15269366:15271162:1 gene:GSCOC_T00004143001 transcript:CDP18651 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGTLLVSRFTSFRTPLPRPNNGRFSMRICHKRGQVSAGDMSPRRTPSAFCIPSSLRVTLVTAALAAAASAAVFSAVAVEAGADSETLSNVPQTLSGECSSVSGKDDCKKPRIQRPKSKKAETCTVKCVNTCIRGGLGSPGEGPLNIRRPLVVFKQGFRSRQYCLIECSDICNLIGDGDDGP >CDP10131 pep chromosome:AUK_PRJEB4211_v1:6:13923780:13927629:-1 gene:GSCOC_T00030747001 transcript:CDP10131 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGVKREPISSRRSSSRRTSSREGEEDSQNQIVTRQQAEAEAQANTRDANSNCQNEAQGNASNGNNNHQNSRADNDDSVAGDGGVGGGDVVERRVLRSRYLNVKNRISDERDDLSKVDSVKFKSIIEEVDNLHQLVQKPREQVADAEALLDITNTLVTSVKAHSTEGLTPSDFVSCLLRDFTQGGASTSNEVASSSVRLKEIGLAVSHVFRSAPGCFTMVGPMNTEIKQRKAVIYRKCAKPTESARPEDLDGAATEEKTDTDKNMATMFDILRRNRRVRLENLILNKSSFAQTVENLFALSFLVKDGRAEITVDEKGVHLVSPRNAAAASAVHSGEVSYSHFVFRFDFKDWKLMMTCVGDGEVLMPHRDVGVAGNSESDPVSLGTQAALSTTPIRKFSRNRGLVLQEQTVDQRSPESDDSGARAAGIRKGKRKLV >CDP19789 pep chromosome:AUK_PRJEB4211_v1:6:34352845:34354677:-1 gene:GSCOC_T00005212001 transcript:CDP19789 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYNGLDIAFYPYSEQWRELRKFSVLHLFSNRRVQSCRGIREDEVSRMIRKTSKEASSSQVTNLSKTLLSLSCTLICRIAFGKRYDEEDQQRRRFHDLLQEMEAAFVGFFFSDYIPSIGWLDSLNGMRSRLERTCSKLDSFLQELIDEHLNPNRPESMNGEGSRNSFNETWERFERFLIFDVFSAGSDTIAATIIWAMAALMKSPEAILKKAQAEIRGAVGNKDIVNEDDIQKLPYLKAIVKETFRLYPPALLSVPRQTLANCIINGHEIQFNSIVYTNVWAIGRELEYWENPNEFLPERCLNISVDMKGKDFQLIPFGAGRRGCPRYSLGLAMVEVGLASLLYSFDWELPFGIKKDDVDTQVLPGLTMPKKNDLPLPAKNLYA >CDP06731 pep chromosome:AUK_PRJEB4211_v1:6:2940229:2947125:1 gene:GSCOC_T00023678001 transcript:CDP06731 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQWSAAAVDLTACGGFAFTIFLLNFVLVCQLLLLHPLVSALDGKPGDAAALFQRVSESVKVKKYTEALDDLKAAIDADPALSEAYWHQASILRQLCRYKESEKSYNKFLELKPGNSAAEKELSQLSQARSALNSASNLFDSGDFTKALEYIDKVVLVFSPACSKAKMLKVRLLIATKDYSGAISEAGYLLKEDEDNLDALLLRGRAYYYLADHDVATRHYQKGLRLDPEHGELKKAYFGLKNLLKKTKSAEDNASKGKLRLAVEEYRAALALDPNHSAHNVNLHLGLCKVLVKLGRGSDAVSSCSEALEIDGELVEALVQRGEAKLLTEEWEGAVADLKLAAEKSPQDMSIREVLMKAERSLKLSQRKDWYKILGVSKTAPVSEIKKAYKKLALQWHPDKNVDNREEAEAKFREIAAAYEVLGDEEKRTRYDSGEDIDDMGSGMGGGGFNPYGGGGQQFTFHFEGGFPGGGFPGGFGGFHF >CDP17149 pep chromosome:AUK_PRJEB4211_v1:6:35231450:35232991:1 gene:GSCOC_T00011687001 transcript:CDP17149 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQFSCATFFALLVCFLLLVPNKMPMAEAKFCKRPAEHYSGTCYPNRCYSYCKSKEHAYSGECIWTGQGHQRHYACYCVYNC >CDP15783 pep chromosome:AUK_PRJEB4211_v1:6:25604330:25606778:-1 gene:GSCOC_T00015871001 transcript:CDP15783 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNLFTNVPVDSVVASDILKDATKAVAKIIGKPESYVMILVNGGVPIAFAGTEEPAAYGELISIGGLGPSVNGKLSSTIAEILQTKLSIDSSRFYIKFYDVQRSYFGFNGSTF >CDP03232 pep chromosome:AUK_PRJEB4211_v1:6:8727098:8729447:-1 gene:GSCOC_T00041744001 transcript:CDP03232 gene_biotype:protein_coding transcript_biotype:protein_coding MYFIHFKTNKPHQLLSVIRAPASFFQAHKRAHHIGNLSVHLKMEKTTTLLLAFLLYMLNATGAAFSVGGGVGVGVGIGVGVGSVGGGVGGGGVWLGGGGAGFKNPIPSAGPADSRAYAALQAWKSAITDDPLGILSTWVGSNVCSYKGVFCSDSQDYMGNPMGPVVAGIDLNHANLRGTLVEALSLLTDLSLLHLNSNMFGGRIPDSFKDLSSLTELDLSNNQFSGPFPTTILLIPNLLYLDLRFNSFSGPIPEDLFNKKLDAIFLNNNQFDGDLPENLGNSPASVVNLANNRLSGNIPFSFGYAGIKEILILNNQLTGCIPEGVGTWTDLQVLDVSFNSLMGHLPDSISCLSGIEVLNFAHNKLSGELPDMVCALRNLLNLTVAYNFFSGFNQDCSKLSIGFDFSLNCIPGVVMQRPQPECSAVPGGGLSCLRIPSARPLVCGTLFGDRKTPTGPAPSPSHQ >CDP16126 pep chromosome:AUK_PRJEB4211_v1:6:34098508:34099006:1 gene:GSCOC_T00017191001 transcript:CDP16126 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVTKILYYQVYICIRRIPFEKKKSYPDAALASVLRVLNRIHSTFFDTENCSSLLDRDVRKVLKTVRK >CDP12663 pep chromosome:AUK_PRJEB4211_v1:6:16388875:16393459:1 gene:GSCOC_T00036380001 transcript:CDP12663 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVQCRPQDRSLGMVMKEIEGDLATFLEMRKREKERNGLFLIENNDGFDHSAASNPDNSVVSDAIFIETTQTTPADNFFAAVSNKSDYDWLVASPDTPSLPLPELEPENLPDEPSSANTTSAQLITSTSKHSSASRNKRSSLSEGQKPTASRSATPTRKSGLLSASKPLRSSTPTSRGVAASAKPVVPIVRSSTPTRATARSSTPTLSLRSASKSESRSARPTCKASTPSSATSMSAAGRSSSVTKTVPTTVKSSKTSCGTTPPVKSQPKKTAEKAVFSSNTPPNLRMAVPKRPSSASRGRLTASSYLLSSSDSIAGRPRQKSCSPSRGRVNDGAFNHEITIFPKSRGYSNCNDSVNPVLIGTKMVERVVNMRKLAPSKHNNYESQDNSSGKPSLSIGNSGFGRSLSKKSLDMAIRHMDIRGISGNLKTIVTSLPASKSGSTKSRTTSLSDSPLATCSTASSEPSVNNVANVLDGSDIDVNDIGCERESLPPTS >CDP15641 pep chromosome:AUK_PRJEB4211_v1:6:32552445:32561234:-1 gene:GSCOC_T00015572001 transcript:CDP15641 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAQAWRGGMKDMQILPAPRHRAHLKKPTWIIVLVSLVSMFLVCAYVYPPHNSAACYVFSSHGCKGLSNWLPPAPARELTDDEIASRVVIKDILNTPRTVSKSPKIAFLFLTPGPLPFERLWDKFFQGNEGRFSVYVHASKDKPLHFSRYFINREIRSDKVVWGKISMVDAERRLLSNALQDPDNHHFVLLSESCIPLRNFDFVYNYLMYTNVSFVDSFEDPGPHGSGRYSEHMLPEVEKKDFRKGAQWFTMKRQHAVIIMADSLYYTKFRDYCKPGMDGKNCYSDEHYLPTFFHMLDPAGIANWSVTHVDWSEGKWHPKSYRARDVTYELIKNITSITESIHVTSDERKEIQIRQCLWNGNEKPCYLFARKFLPDTLDKLLHLFPNYTRI >CDP02864 pep chromosome:AUK_PRJEB4211_v1:6:12293278:12296162:-1 gene:GSCOC_T00041262001 transcript:CDP02864 gene_biotype:protein_coding transcript_biotype:protein_coding MREVEVMASKDHEKAVCVLDASTYVGFWVVKKLLLKGYMVHAALQNSGDEVVMKKIVELEKTEERLTAFTVDVLDYHSVLEALKGCCAMFCCLDIADGYDEKDVDYEVRGAINVVEACAQTDTIEKIVFSSSLTAAIWRENICSQKDVDERTWSDQEFCREKKLWYALAKTLSEKAAWALAMDRMLNMVSVNAGLVLGPGVVQLNPRVTMAYLQGTAQMYENGVLAFVDVNFLADVHIRAFEDKSTTGRYLCFNQIVNTEEEAVKLAESLSPLISLPPRYKFQEGEVEECAERLRNNKLNKLVEGTAACC >CDP06564 pep chromosome:AUK_PRJEB4211_v1:6:1738796:1739554:-1 gene:GSCOC_T00023462001 transcript:CDP06564 gene_biotype:protein_coding transcript_biotype:protein_coding MTKINALRRFLLACIHPASTSPVHPSTTTATATPKKRLSTSLRDDLDDPHSQHHDNQAEEDSSEPPTPTAIAPPSAPPRPSKTMVIGTIFGHRRGGHVWFCVQHDRLNTKPALLLELSIPTSTLIQEMRCGLVRIALEFKDDSPESELSRCPLHSVPVWTLFCNGRKLGFAVRRKGTDQNRLMLKTMQSTTVGAGVIPSGFGSGPGSGSEELMYMRANYECVIGNADSESFHLINPDDGPGQELSIFLLRSR >CDP06614 pep chromosome:AUK_PRJEB4211_v1:6:2110835:2111778:-1 gene:GSCOC_T00023524001 transcript:CDP06614 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGAGCKCSGGCGGCKMYPELSYTENTAAETLILGVAPPKTAYLEGAGEEAAAENGGCKCGPDCKCNPCNCK >CDP10146 pep chromosome:AUK_PRJEB4211_v1:6:13811010:13811767:-1 gene:GSCOC_T00030766001 transcript:CDP10146 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCTVFSLFFVAVAYCYLASAFDPSPVQDFCVADPNSTVKVNGFACKNPMKVTADDFYFGGLHIAGNTTNAVGSRVTQVFAAQVPGLNTLGISLARLDYAPGGINPPHTHPRATELLTVIEGSLLVGFVTSNPENKLFTRVLQKGDVFVFPVGLVHFQFNAGKTNAVAIAGFNSQNPGAVVIRNAVFGSNPPINDDVLAKAFQADKTVIDQLQSKI >CDP02961 pep chromosome:AUK_PRJEB4211_v1:6:11129596:11131785:-1 gene:GSCOC_T00041400001 transcript:CDP02961 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPSRNYSYPTRSSSRSSIHYLILLAFAAVLVFKVDVLISQSIFAARRRASTSRNFVRPTYRRASRYINSITGFTNGTFNRNFVLSWGEERGKILEHGELLTLSLDRKSGSGFESKKEYLFAKIDMQIKLVPGNSAGTVTTYYLSSEGSAHDEIDFEFLGNSTGNPYTLHTNVFSQGKGDREQQFFLWFDPTEDFHTYSILWNPKCIILSVDGKPIREFKNMESIGVPYLKGQPMRIYSSLWNADEWATQHGLVKTDWSLAPFTASYRNFSAEACIWSPRTRKSSCESTDSAKSNSWLTEELDSRAREKMKQLQQKYMVYNYCEDPWRFPQGPGPECGSKSKINRQSNSNNNINTNANNNDNIIVPRKPRRPRRRSRSKRANKVAQID >CDP06239 pep chromosome:AUK_PRJEB4211_v1:6:23776010:23777566:1 gene:GSCOC_T00022952001 transcript:CDP06239 gene_biotype:protein_coding transcript_biotype:protein_coding MQASKYIEFDHSFNDDEKSLASSLLSSCSLNNAACKLKLGKYFEASRLCTKAKVDPCCVKALFRRSQSYLRTSDLEKAEADIKRALAIDPNNREVRLVYQELKDKKRQYFRQEAEIFTNMLSSMG >CDP14199 pep chromosome:AUK_PRJEB4211_v1:6:18766389:18767465:-1 gene:GSCOC_T00040460001 transcript:CDP14199 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFAGVACLVLMCMLVAVSLAPHAEAITCNTVAGDLGACLGYLQKGGAVPAGCCTGIRSLNSQAKTTVDRRTACSCLKSLAGRVRVNQGLAAGLPGKCGVNVGYPISTSTNCNSVQ >CDP10252 pep chromosome:AUK_PRJEB4211_v1:6:12681742:12682688:-1 gene:GSCOC_T00030919001 transcript:CDP10252 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCEICCEIILAILLPPLGVCLRHGCCTVEFFICLVLTILGYVPGIIYALYAILFIDRDMSRGEYYEPLA >CDP10393 pep chromosome:AUK_PRJEB4211_v1:6:6948662:6953060:1 gene:GSCOC_T00031104001 transcript:CDP10393 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYEIDLGNLMAFDPHRSFSSPPSSREELVKESLEQGTKLVQAVADTLFVLPSTEVPDGPIVTLPPPTTRLPREKPLPKPKAPTRWELFAKKKGIQKHKKDKVAFDEQTGTWKRRHGYDRVNDDKDVPIIEAKMNDEPGEDPFAKRREEKKKRVDKQEKNRLHNLKQAAKSGALPSHIQLAATALPITGSQAAPKKVGKDELQNVAGMAASATASGGKFDKKLPGEKPTKHEKKYRKFLPAAEGSGMSSLEKQQTEKVLNKLISKNSHEILNVEKAVTMYNVTEEKKRRSQKGKSFSSSSKLKPNKKSFKKMPKKGSSNKGKSK >CDP10156 pep chromosome:AUK_PRJEB4211_v1:6:13744263:13746271:-1 gene:GSCOC_T00030778001 transcript:CDP10156 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRVTNCTRQIYIVCLLPVKKNEMKTLSFPSLFVLTSFFLGAIQLHVSNAQLNATFYSNTCPNVSAIVQNVIQQALQSDPRIGASLIRLHFHDCFVDGCDASILLDNNGTLRSEKDAAPNTNSARGFDVVDKIKTAVENSCPGIVSCADVLALAAESSVSLSGGPSWNVLLGRRDSKTANQAGANTSIPAPFESLSNITAKFTAVGLNINDLVALSGAHTFGRAQCRLFSSRLYNFSGSGNPDPSLNTTYLATLRQICPQNGSTTALANLDFTTPNTFDNNYFSNLQTNQGLLQSDQELFSTPGAATISIVNTFSSNQNAFFQSFAQSMINMGNISPLTGTNGEIRSDCKKVN >CDP18637 pep chromosome:AUK_PRJEB4211_v1:6:15115260:15116148:1 gene:GSCOC_T00004126001 transcript:CDP18637 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLKVEDRSYQYRIQNYENVSAVPASMDWRKKGAVTGIKDQGQCGCCWAFSAVAAMEGIHQLKTGKLISLSEQELVDCDTAGEDEGCNGGLMDDAFTFIINNNGLTTEANYPYQAVDGTCNSNKEANHAAKITGYEDVPANNEAALLKAVANQPVSVAIDASGNGFQFYSSGVFAGDCGTELDHGVTAVGYGTSSDGTKYWLVKNSWGTGWGEKGYILMQRDIEAKEGLCGIAMQASYPTS >CDP10183 pep chromosome:AUK_PRJEB4211_v1:6:13491315:13494552:-1 gene:GSCOC_T00030813001 transcript:CDP10183 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVCKVEELEMPVTGIFQLPGEPAIVINGLPPMGPRDSAPSTSNVVSDAKQHENPGFGEWLVGREVLKLFGGQYYAGKVAKYDEEMCWYRVVYEDGDSEDLEWNELEEVLRPLDIMVPLKSISAKIIRRQQKSVQKPAKLGTGNMLG >CDP18635 pep chromosome:AUK_PRJEB4211_v1:6:15092041:15094452:-1 gene:GSCOC_T00004124001 transcript:CDP18635 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKYILSGLVGSFAIAYVCDHLIADKKIFGGKTPPTVENKEWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKNRIDQ >CDP03332 pep chromosome:AUK_PRJEB4211_v1:6:7867341:7871167:-1 gene:GSCOC_T00041879001 transcript:CDP03332 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGSLALLSTTLPSSSSNYSPLANPDWPSSSSSRLFSVFIRNSGLRNFKLRASFKQQQSKLVEADNGSAEHFLENNSIADFMRFIKRSSNSKSSDDAEGGSYRSSELQTAVVSYRKKFPWSLLQPFLQVDLVSTIHIADKEYFETLQKELELYDCVLYEMVASRESLESRRNHAAKKKLKGSASRGFNILGCIQRQMANFLMLDFQLDCIDYQAENWYHADLDFETFKLLQHEKGESFFTFARDMTLRSTRAIVQPTSIPEDLGPWRSKLLWASRVLPMPLVGLFIIGSVCTDVGNQASEFPELEALSRLDFGAAMKVFLAKRLTSEFTQVTADVEERSVIIGERNRAATEALDRAIAEGHNKVAILYGGGHMPDLGRRLREEFDLVPSRVQWITAWSIKGHNLRSSSLPFLKKMAEVLGWPLNRYQTLALLIFSSVLALDLWFWELLFGTAVNWFSHVASDIIGVVYSAKVT >CDP10570 pep chromosome:AUK_PRJEB4211_v1:6:5495330:5498482:-1 gene:GSCOC_T00031332001 transcript:CDP10570 gene_biotype:protein_coding transcript_biotype:protein_coding MRCILRFLLRPKSVNDQLCTQVSKFQKCTFSVISKSNSADKSPEHPIIAQRNDRKLGPLFNEILAILGAENEITDQNPTGFSSAEVTDLKRDAVGARPSQGLQDICLNSQKRVEQQDEILLVSENTVTEVSDGIDVSPMVHKVTEIVRGENRSKSMEEKLEEAGFEYNVEIVENVLKRCFKVPQLALRFFNWVTLKAGFRPSTETYNIMIYIAGEAKDLRSVDVLLEEMEKNSCQKDIKTWTILIDHYGKAKLISKALLTFEKMKKSGLQPDVMAYKVMLLNICKAGKGDIALEFYKEMIHGNMRVSSGLYQQLLKCLACSGHVDAVYAVGDDMIKVSEIPEHVIYGFMLKSFCIAGRIREALELIRTFKDKSASLGSENINTLVKGLCRADRIADALEIVDIMKKKNSVDEEMYGIIINGYLRKNDVSKAFDTFENMKVSGCSPTVSTYTTLMQHLIRMKEFQKAMELYNEVMDVGVQLDSVATTAIVAGYISQNRISDAWQVLNNMLEKGVRLTKRSYSIFIKELCKVSATDEIVNMLIQMQASQVKIGNDIFQFVILYMMKKGEMDKVKNIKQMQKSCNIFSKEEDSPTADIATQPESNAKLNFKQLEQQCLDCNVVESTSSSYNQDDLHEVNRILSSSKDWWSLQDKLEKRAIQFTPELVVDTLRKCSLHGGAALRFFSWVAKQSGYRHNTEAYNMAIKLSGQVKDFKHMRSLFHEMRRNGCSITSDTWTIMIMQYGRVGLTNIALTTFREMKASSCNPNASTYKFLIISLCGEKGRNVDEAILIYQEMIKAGFQPDKELLESYLGCLCQVGKLLEARRCVESLRKVGFTVSLTRSLYIRALCRGGRLEEALELIDESGSEKHTLEQYTCGSLVNGLLRRGRVEEAMSRVESMKQVGIHPTVHVYTSLMVQFFRQKQVSKALETFKEMKEMGCQPTTVTYSAIVRGYMEMGKITDAWEIFRHMKQNGPFPDFKAYSMFIACLCRAGRSEEALPLFDDMLDAGIIPSTINFRTVLFGLNREGKHDLAQIVLRKKLDLKRRRKSFIVW >CDP03339 pep chromosome:AUK_PRJEB4211_v1:6:7794808:7797842:-1 gene:GSCOC_T00041887001 transcript:CDP03339 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQAARLNLRMQKELKLLLTDPPPGASFPSLSSSSAPSLTSIDAQIEGPEGTVYAKGVFRLKIQIPDRYPFQPPMVTFATPIYHPNIDNGGRICLDILNLPPKGAWQPSLNISTVLTSIGLLLSEPNPDDGLMHEASQEYKYNRQAFDQKARSLTEKYARIGESEIAGRGQGSQAVLKPGIQLVINEGMDTSVKEKRVDEYEVGHKRLCGISRKLSLESSELDQRSNEGKGVNQAPSSHLHEKLLELKGLKKDSMITSNDHNQTHQEPPRTRKKLSLEPSVVKHDNHVIHVVSNECSATSECRSLITSSPKSGPLEQPDLYSQNKNKDGDGISKSNSTETKLEKKPILESLDSNQGTGDADEKLLSVPQQSDIQFHSRELHKNFALAQTIKHDGREQHGRIVNGDANDFTRIKQRKLGLAGRKSALWKLSSYQGQQKDNIENLDPNSSFHIAWESKNRTISSSSSEASETGHCNERGIENHTTYLPNKLSRTVQGQPLKPLGDWSININNQFEMQSLRPSKTPSEQPESCYDEKQQLERPKQDCRAMFFGEMNKQEETSPSCNTYSVIVLDSEDSDEEQGRVARSRLSIARRLFLGKSKP >CDP06421 pep chromosome:AUK_PRJEB4211_v1:6:659829:666491:1 gene:GSCOC_T00023271001 transcript:CDP06421 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPDPCCCLKGQEPSDMDFPIMHPMGVGRKQENFQLNDNLLPKMKQPATTYFARNLGKSNLGGVIFGCKNNTFKECLSKQIFGLPAQHFLYVKNIDPGLPLFLFNYTDRKLHGIFEAASCGQMNINPYAWTPDGSERTPYPAQVQIRIRLQCQPLLEDQFKPIILDNYYNVSHFWFELDHTQARRLMAKLSAMAIAPSTFVPQNTAKWRNALYGLTSNEKREENGAYEQPVLRNKFVNSPDPGNCQLLESSLGNQESDRDAKDIIYLKLKELAINLESSDAPMRGHVGEIATARDEKLGQESLLAEFSGKKNKNNPVISYDLSDPSLVAQMLEGMKELMAFKEEQIQKANRMEQKLLEAEKEISQLKCRFMMLESRSNTSLVHVDGTGIKSCDDFHLDLDESIFLVGGYDGVLWSSALDLFSPSHDVLKSLKPMSSVRSYSSVAKLNGELYVFGGGTGSAWLDTVESYNFAQDQWSLCSSLNKKKGSLAGATLDNKIFAFGGGNGVDCFSDVEMYDVNVGRWIPTRSMLQKRFALAAAELNGALYAVGGYDGDNYLKSAERFDPREHSWSRIESMNTKRGCHSVVVMNEKIYALGGYDECDMSPSIEIFDPRLGKWMTGEPMNHSRGYSAAAVLKESIYVIGGVKTGGDIVDTIECYKDGQGWQITNLSAPRRRCFCSAIVLGD >CDP10199 pep chromosome:AUK_PRJEB4211_v1:6:13278271:13278549:1 gene:GSCOC_T00030844001 transcript:CDP10199 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGNCVRCCLVVFAVVSALCVSGPAIYWKFKKSLSLKTASLNSCSPCICDCAPPLSLLKIAPGMIFIGQSFKLWNNFSFFFGRHACMIS >CDP02923 pep chromosome:AUK_PRJEB4211_v1:6:11508396:11511643:1 gene:GSCOC_T00041344001 transcript:CDP02923 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLTRCGENNSASKIWLIGTATCETYLRCQVYHPTMENDWDLQAVPIAPRSPMPGMFPRLGTDRILGNHVEPLNALKSLPTVNPALSRVVTENQDPSRRTSCCPHCLEKFEQELKLLKRQFENFAPENKSESAKAPLPQWLQNAKLSNGDDKMTDQSQRKESELLLKQKTQELQRKWNDSCLRMHPNYHQNVNSERIASLVLPTMGLYNPNLLLRQPLPPKLQPTRVFGDALQMNPNQVTVQPLDRLATPNQLAVQLSNRVDTPPGSPVRTELALGRKVTETTPEKTSETGENHVKDLLGSLCSAPETKLLDKFASALDADTFKKLLKGLMAKAWWQQEAASAVASAVTHCRLGNGKRRGSASKGDVWLLFTGPDRIAKRKMASVLSEHVCGASPIMVYLGSRRDGAEPDTIFRGKTALDRIVEAVRRNPFSVIMLEDIDEADVLMRGNIKRAMERGRLTDSHGREISLGNVTFILTGNWSTISSDNSVDERKLASLASDNWQLKLSMGEKNAKRRAPWLRDGDRMTKPRIEVGSGLSFDLNQAAADGEDDRTDGSHNSSDLTVDHDEEHGLEGSRQFSITSVPHELVDLADDTIVFKPVDMAFVRREIRKTIATKFSMVVVDDRVCIEVGEDATERILGGLWHGRSSLEEWVEKALAPSFEELKARIASSTAESTSVELKLELNPSLDCRSNGDLLPSKVAVSNG >CDP12664 pep chromosome:AUK_PRJEB4211_v1:6:16464444:16467171:1 gene:GSCOC_T00036381001 transcript:CDP12664 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRNFLWNSAKKFFTIGLIGLTVSDRYFSIVPVRGYSMSPTFNPQISSSTGSLTDDRVLVEKFCLEKYKFSCGDVVVFCSPSNHREMHIKRITALPGDWISTPHSYDAIVVPKGHCWVEGDNSVFSLDSRSFGPIPLGLVHGRVTHIVWPPQRVGKVDKRIPQEHLPF >CDP03008 pep chromosome:AUK_PRJEB4211_v1:6:10639157:10644582:-1 gene:GSCOC_T00041469001 transcript:CDP03008 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFLIRKDVRKILKRKDSDAGERGRALEEVRSSLFSKIRSLGGGKRQQTSLGPPVALAFNFLVSVSIILMNKLVLVKVGFNYPIFLSFIHYICSWLIMAVLKALSILSLSSPPKSTKYSSLLSLGIVMSLSTGLANVSLKYNSVGFYQMAKIAVTPAIVLAEFILFSKRISFLKVLALAVVSIGVAVATVTDLQFHLFGACVALAWIIPSAINKILWSNLQQQENWTAMALMWKTTPITLFFLLMMMPSLDPPGVLSFNWNFHNSAIIGGSAFLGFLLQWSGALALGATSATTHVVLGQFKTCVILLGGFLIFGSNPGLTSILGAMFALGGMSFYTYLNLHSQQQSVITSSRQSSFLLPKSKLSKENGDGHEEAYGEEHV >CDP02963 pep chromosome:AUK_PRJEB4211_v1:6:11124505:11125576:-1 gene:GSCOC_T00041402001 transcript:CDP02963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 25 [Source:Projected from Arabidopsis thaliana (AT5G57550) UniProtKB/Swiss-Prot;Acc:Q38907] MASLSLINVPFLLVISLSIVTSSMVASANNFYQDFDITWGGSRGKILDNGELLTLSLDNISGSGFQSKKEYLFGKIDMQLKLVPGNSAGTVTAYYLSSIGPIHDEIDFEFLGNLSGQPYILHTNVYTQGKGEREQQFYLWFEPTADFHTYSVHWNPSAVVFSVDTIPIRVFKNLESIGVAFPKTQPMRLYSSLWNADEWATRGGLVKIDWTQAPFMASYRGFSSSACSLASAKPSCVSSMPNYSPWLSEQLDPLGERRLRWVEKNYMIYNYCTDAKRFPQGFPPECRAIS >CDP06645 pep chromosome:AUK_PRJEB4211_v1:6:2316805:2324941:1 gene:GSCOC_T00023565001 transcript:CDP06645 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCIPHYASIRLSQLNELKNVGVVGSRRGNFIVMAASSTVMEASNYIPAAPSFLPEGPWRQIPGGVTAAKGFQAAGMYGGLRAVGEKPDLALVTCDVNAIAAGAFTKNVVAAAPVLYCKKVLESSRTARAVLINAGQANAATGDAGYQDVIDCSKSLEKLLGLNPGEVLIESTGVIGQRIKKDALLRSLPKLVNLLSSTVEGADSAAVAITTTDLVSKSVAIESEVGDTCVRIGGMAKGSGMIHPNMATMLGVITTDAQVTSDVWRKMVQVSVSRSFNQITVDGDTSTNDAVIALASGLSGTKTISTLNSLEAEHLQLCLDAVMQSLAKSIAWDGEGATCLIEVRVNGADNEAEAARIARSVASSSLTKAAVYGRDPNWGRIAAAAGKADILFDQNKLRIMLGNFLLMDGGQPLPFDRPAASNYLRKAGETRGKVEIQISIGDGPGNGLAWGCDLSYDYIKINAEYTT >CDP03083 pep chromosome:AUK_PRJEB4211_v1:6:9871682:9876841:-1 gene:GSCOC_T00041566001 transcript:CDP03083 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSRVALFAVVAVLVACAAGAEEGSAATFYSENPIRQVVTDGLRELETSVLQAIGNTRHALSFARFANRYAKRYETVEEIKLRFDIFRENLRIIKSHNNKGLSYTLAVNEFADMTWEEFHKHRLGAAQNCSATTKGNLKLANVVVPETKDWRTTGIVSPVKDQGDCGSCWTFSTTGALEAAYAQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEEAYPYTGVDGLCKYSSQNVGVHVLDSVNITLGAEDELKYAVGTVRPVSVAFEVVNGFRFYKGGVYTSNTCGSAPMDVNHAVLAVGYGVENGIPYWLIKNSWGAEWGVDGYFKMEMGKNMCGVATCASFPVVA >CDP03123 pep chromosome:AUK_PRJEB4211_v1:6:9532104:9535542:-1 gene:GSCOC_T00041614001 transcript:CDP03123 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGDNLKKPKLPNHFRTCSASKLSCIDCGEIFGRQNVESHTQCVTEAEKYGPKGQGKAINGSNNKPNGASKQKPDVDIHVGLSQRAPWSCSLCNTNVTSQQTLLLHAEGKKHIAKAKAFHAAKQPKQSEGNLLESDVPAENNAKNEAVEATEEEKEQKPSNTIAAKKDNSVAENDNLQLSKKRKLKVSENVDARQIPGVDMPDELGNGEIIHVRETKMKKLKEKDKKDEEVVSEDNKSVNPSPKNEDDKKKIKWKKLITSSLKSNPDGSLKFKKLIKLVLKSLKESGSIVEESRVAEILQQKINSSSRFDIDGKYVRLAVKS >CDP14287 pep chromosome:AUK_PRJEB4211_v1:6:36320815:36322037:1 gene:GSCOC_T00040592001 transcript:CDP14287 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCPHFAIFANVTPSKALTLMWYIPFLLGLDPVAISTIFSQAEYMGEKQIFGVDCFVLKLSANHTDLVERSDNTAEMIKHAVFGYFSQRSGLLIYLEDSYLTRIQSPGSLPSYWETTMATKMEDYRSVEGVKIAHSGQSTVIITRFGDNLKFGPSFTRMEETWTIDDIAFNVPGLSMDCFISPKELQKDYPEENLDWISPLHQ >CDP10217 pep chromosome:AUK_PRJEB4211_v1:6:13030082:13034576:1 gene:GSCOC_T00030873001 transcript:CDP10217 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPL4 [Source:Projected from Arabidopsis thaliana (AT5G58003) UniProtKB/TrEMBL;Acc:A0A178UQH1] MSLTADSPVHSPSTSGEDFAAFLDAELDSASDASPHPEEAEEEVVEEEEAENKGGDTDDYDLDSEKIKRRKVEILESSLDVEAMTSQEVEIQTSGASSDKDVCSHPGVIGGLCIRCGQKMDDESGVAFSYIHKNLRLANDEIARLRDKDLKNLLRKKKLYLVLDLDHTLLNSSRFLDLTVDEGYLKGSRDDLSDALKNSLYKLDYMHMMTKLRPFVHSFLKEASDLFEMYIYTMGERAYALQMAKLLDPEDVYFNSRVIAQGDCTQRHQKGLDIVLGQESAVLILDDTEAVWGKHKENLILMERYHFFASSCRQFGFGSKSLSERKTDESESEGALATVLRVLQQIHSTFFDTEHSASLVDRDVRQVLITVRKEVLKGCKVVFTRVFPTQFQGENHHLWKMAERLGAICSSEVDPSVTHVVSLDPGTEKSIWAVQEGKYLVHPRWIEAANYLWKKQPEESYPVSNPKNQ >CDP10175 pep chromosome:AUK_PRJEB4211_v1:6:13588215:13588967:1 gene:GSCOC_T00030803001 transcript:CDP10175 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAERETHDFMNVESFSQLPFIRPAPVKEKGIRLFGKEFGGDSNSTVTTADDSESALTTNPHRHEQSTKDSDNGDSTRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMVHGGLAETHVYGLMNYHRLGSVPTPALAYHSWGKSSNSYTSNSRFYGTGGHGSFSHQPPINGSPLALWRIPAAAHSSPTFSRDGSVHHPLPLFSNDDLKPPQVMSSNSQTRLGYETSKPKIQDHVSLDLHL >CDP15312 pep chromosome:AUK_PRJEB4211_v1:6:3761532:3763289:-1 gene:GSCOC_T00042996001 transcript:CDP15312 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKCFSLRLHPHPHHLHHRQRHGLPNSPATFPNRRRDHPFNSNQTPAPTKLSISDRSEAYHPNTNILQSGICSPAILTMATSQPQCSPEFDVLAPDPTLTNDDLKPTASGQRTFSGLEMATLWIGLVVGVPSYYLAGSLVDLGMAWWQGIATVVAANIILLVPLILTGHPGTRYGISFPVLARSSFGIRGAHIPTLLRALVGCGWYGIESWIGGEAIFLLLPEAVKQSALSQTLPWLGTSVVEFSCFIVFWLVQLAFVWNGIDGIRELEKYSAPILIVLTSCLLAWSYISAGGFGHMLSLSSRLSSSEFWALFFPSLTANISFWATLALNIPDFTRYAKCQTDQIIGQAGLPIFMGAFTFVGLAVTSSTKAIFGHVISNPIQLLGEIGGLWTMILSIFGISLATITTNIAANVVAPANALVNLSPSRFTFRRGALLTAVLGIAFQPWRLLKSSESFVYTWLVGYSALLGPIGAILLADYYLVQKTNLSIKQLYTLDPRGAYYYSGGFNLAAMTALVLGILPVVPGFLQKVGILSSVPESLVLVYNNAWFFSFFSAGILYCILSFLKGKENNDASMDPLVPSTS >CDP02866 pep chromosome:AUK_PRJEB4211_v1:6:12254351:12254695:-1 gene:GSCOC_T00041265001 transcript:CDP02866 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMTTRLVTVPGFSELRNKTGPKLENEPTYHGQESYVVVQNIFCLESQNVVFKVVGEIKEFLCFHKSWILVRRSEDGNSLDSYCIVNPATEEVVDLPAYEYSFFPTAGFLLHC >CDP06570 pep chromosome:AUK_PRJEB4211_v1:6:1777123:1785698:1 gene:GSCOC_T00023469001 transcript:CDP06570 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRKFVKHVKRVVFKVGTAVVTRDDGRLAVGRVGAIFEQLEELNSQGYEIILVTSGAVGAGRQRLKYRRLVNSSFADLQKPQVEIDGKACAAVGQNGLMALYDNLFSQLDVTSSQLLVTDNDFKSPDFRMQLSETVDTLLSLRSIPIFNENDAISTRRAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPSDPHSKLINTYIKEKHEGLITFGDKSRVGRGGMHAKVKAAVHAADSGTPVIITSGFAADNITKVLHGQRIGTLFHKDSHLWITVTDVGAREMALAARECSRKLQSIPSHERRKILLDIATALEEKESAIKAENDADVSAARKAGYDDSLVSRLLLKPGKATLAKSIRTIADMKEPIGQILSRTELADGLVLEKTSCPLGVLLIVFESRPDALVQIAALAIRSGNGLLLKGGKEANRSNAILHKIITSVIPDTVGKKLIGIVTSREEIPDLLKLDDVIDLVIPRGSNNLVSQIKNTTKIPVLGHADGICHVYVDKSANMDMARHIVVDAKLDYPAACNAMETLLVHQDLTTSTGFQELIVELKHEGVSLYGGPRASSLFNIAFADSFHHEYNSKACTIEVVDDVQDAIDHIHKHGRHVCHFWPEKCKVVGHTECIVTEDQEVAEYFLHQVDSAAVFLNASTRFCDGARFGLGAEVGISTSKIHARGPVGVEGLLTTRWVLRGTGQVVKGDKGVDYTHKKLALD >CDP06582 pep chromosome:AUK_PRJEB4211_v1:6:1873289:1875112:1 gene:GSCOC_T00023487001 transcript:CDP06582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclin-D7-1 [Source:Projected from Arabidopsis thaliana (AT5G02110) UniProtKB/Swiss-Prot;Acc:Q9LZM0] MESLLCNEVWLMMSPVLDHDEVHANEALEYCNVNCGYHSTSTTSLYSNSEDCQRAFISFLEKEAFYMPERGYLKLVKENCSTDSGRFKAIHWFVESQRRLNLSLETVFRAANYLDRFGSLKDCQVRNDWMFELVSVACLSIAFKFETNAPNVLNEIQTEGLEHSFESSLIQRMELILLKALEWRLCPTTSYSYLELLTRSIDPLNRHFVQELITELLVKALLDSSFSEFRPCIIALSAIQRISKEFLSTINDSLCSHFSVLIPPDQKDDLIKCCKMMMDLCHTSTSAKKISCINCPSSPVTVLGTHSCCDFCDCQIDHSLLLTINSPDINYFGGSTKKRKREQEEGGV >CDP03290 pep chromosome:AUK_PRJEB4211_v1:6:8161370:8164545:-1 gene:GSCOC_T00041827001 transcript:CDP03290 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLDDKEEEKQKEHEQQQQQSPANCSNSDSKKKRKRKEVAIFGNYRHYYGYRISQDLGEDPRLKVMKKEWFEGKDCLDIGCNSGIITITIAKKFSCRSILGVDIDRARIDDAHWSLKKIMKRSFNMQGEKVNQSKKSATELQSELQRDFSGNSGGDLSDIVSFQKGNFVQSWHPPENTYYHAILCLSVTKWIHLNWGDDGLLALFSKVWMLLQPGGVFILEPQPWISYSKNRLVSQTASDNYQNMKIGPEDFQDILLDKIGFRSVENITSSLPDSKSGFNRPILAFWK >CDP15777 pep chromosome:AUK_PRJEB4211_v1:6:26000175:26001587:1 gene:GSCOC_T00015859001 transcript:CDP15777 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPFSALVIAEVVLILTLLFRTPLRKPTVMMVDKMKQGRGPVVAKTVAGTLFMIFISTLNNIMKIQNRAVEAGTINPTDQILLVNHILEAILLGFSLFLGVMIDRLHYYIKELHRLRKSLEATKKSNYETDQD >CDP15353 pep chromosome:AUK_PRJEB4211_v1:6:4055947:4061162:1 gene:GSCOC_T00043048001 transcript:CDP15353 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOS2 [Source:Projected from Arabidopsis thaliana (AT2G36530) UniProtKB/TrEMBL;Acc:A0A178VT47] MATIVSVKARQIFDSRGNPTVEVDVHVSNGVWARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVNNVNSIIGPALVGKDPTDQTGIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAAVKNLPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYGKDKTYDLNFKEENNDGSQKISGDQLKDLYKMFVAEYPIVSIEDPFDQDDWEHYAKLTCEIGEKVQIVGDDLLVTNPKRVAKAINEKTCNALLLKVNQIGSVTESIEAVRMSKKAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGADAIYAGASFRAPVEPY >CDP03210 pep chromosome:AUK_PRJEB4211_v1:6:8891682:8893692:-1 gene:GSCOC_T00041716001 transcript:CDP03210 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRRFAQKAMGTTDVRVDVKLNKCVWSRGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVAEIPLEGLKGLGTKIIEDDE >CDP06757 pep chromosome:AUK_PRJEB4211_v1:6:3215674:3223632:-1 gene:GSCOC_T00023714001 transcript:CDP06757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative white-brown complex homolog protein 30 [Source:Projected from Arabidopsis thaliana (AT2G37010) UniProtKB/Swiss-Prot;Acc:Q9SJK6] MCRRRDIFASCTPRLSLLFVVIVLLAGFLGHARCADGNEGKTSPAAIPLVTALIYSQISNLTKVFHNDITQALGYCIKDVDADLNGAFNFTNLDFLNNCVRKTKDVPQRLCTAAEMKFYFSGFMERKSAEAQFLKPNRNCNLTSWVPGCEPGWACSAGSNVKVDMKNSKYMPDRTHDSQPCCEGFFCPRGLTCMIPCPLGSYCPLAKLNKTTGICDPYRYQLPAGKPNHTCGGADMWAGVTSSEEMFCSAGSYCPTTTKEVLCSQGHYCRQGSTAEKVCFKLSTCNPNTDTQNLHAYGVILIGALSFILFIFYNFSDQVIMTRYRRLAKSREAAARSARETAQARERWKSAKDVAKKRAMGLQQQLSRTFSRKTNGKQSDQFLPPKIPSDSTSQQSHKKEPGHLTKMLQSLDNDPENPEGFNMAIGDKNIKKQKVKPKQLHTRSQIFRYAYGQLEKEKAMEQKTQNMTFSGVISMATDTDVRSRPMIEVAFKDLTLTLKGKNKNLMRCVTGKLLPGRISAVMGPSGAGKTTFLSAVLGKATGCTISGSILINGRPDSIQCYKKIIGFVPQDDIVHGNLTVEENLRFSARCRLPADMPKPDKVLVVERVIESLGLQGVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSNLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGLIVYHGPVKKVEEYFGSIGINVPERANPPDHLIDILEGIVKPGGGVTVQQLPVRWMLHKGYPVPNDMMQYLDQIASSSTGVNASASGASEQSFVGDSLQEKQEHMQHIFLSSSDLSNRVTAGVLRQYRYYLGRVVKQRLREAKIQAADYLILLLAGACLGTLSKVKGDTFGYRGYMYTVIAVSLLGKIAALRSFSLDKLQYWRESGSGMSTLACFLAKDTIDHFNTLIKPLVFLSMFFFFNNPRSTFLDNYIVLVCLVYCVTGMAYVFAIILEPSPAQLWCVLVPVVLTLVANQDEGDKIGSALANYCYPKWALEAFVIANAQRYSGVWLITRCGTLLQYGYSLHDWTPCLVYLLLCGVGSRLLAFLCLVTFGKK >CDP02899 pep chromosome:AUK_PRJEB4211_v1:6:11854425:11854866:-1 gene:GSCOC_T00041308001 transcript:CDP02899 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFWRRGGETPSAVKWEDRIIEIREGSWSYVSSSIGKAPEKVVGTATPQEPPEEWQASWNISTGNELLIRWASPTSSSGLNFVIRNKEPTDRMVQPISGITDCQNH >CDP03353 pep chromosome:AUK_PRJEB4211_v1:6:7705322:7707803:-1 gene:GSCOC_T00041905001 transcript:CDP03353 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRLIVLVLVLFLTLAAAICAESSWESKNINDGDVEVGASVKKNLPEWFVGGGSSAAASWSGNEGLGSGGISKGYSLGHSFNFGGSGGGIGGGVGEGKGEGIGGGIGKGGGVGGGIGKGGGIGGGSGGGIGGSSGGEIGGGVSKGGGIGGGSGDGIGGGVGKGGGIGGGIGKGGGIGGGSGGGVGGGIGKSGGIGGGSSGEIGGGIGKGGGIGGGSGGGIGGSSGGEIGGGVSKGGGIGGGSGDGIGGGVGKGGGIGGGIGKGGGIGGGSGGGVGGGIGGIGGGVGKGGGIGGGIGKGGSTGGGSGGGIGGGTGKGGGLGGAIGGGSSGGIGGGGAGGGTSGGIGGGAGAGSGGSAGGIGGGGAGGGTGGVIGGGAGAGSGGSAGGIGGGGAVGGIGGGGASGGVGAGSGGSAGVIGGGAGVGFGDGIGKGIGVGGGSGGGVGGGGFGGSGRFGGGAGGEFGGVGGGGSFRGGSSVGVGSGSGIGGGFGGVGGAGGGIGGEL >CDP10193 pep chromosome:AUK_PRJEB4211_v1:6:13340819:13347588:-1 gene:GSCOC_T00030835001 transcript:CDP10193 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSARIAIVGDVHDDWSLEEDTKALQFLEVFLLRSFSFISLDKVVLEENACIRGDFGNENVDLVRSIAEVDIAKAVILGNHDAWGTQKFSAREKDPVQLQLECLGDEHVGYRRIDFPSVKLSIVGGRPFSCGGSSLFRKKLLVARYAVHNMGESAKRIYQSALGTPEDHSIILLAHNGPTGLGSNMNDICGRDWFFGGGDHGDPDLAEAISQLKETTKLSIPLVVFGHMHKQLAHGAGPRKMIVFGEDNTVYLNGAIVPRVKRLGNEQDSHKRSSTFNGTPGTASESGGTARAFTIAEILHGRVEKVTETWVSVVGENISLEEEHIMFRRTTAGNRRAL >CDP14293 pep chromosome:AUK_PRJEB4211_v1:6:36208951:36209953:1 gene:GSCOC_T00040600001 transcript:CDP14293 gene_biotype:protein_coding transcript_biotype:protein_coding MDELSKCGSGILEALNTRVYGNATNTLVLSHGFGVDQTVWQFIIPVLACYFKVVVYDLVFSPNVSPKLYDPKRYSHNFSAYAEDLICILDQLHVKETVFIGHSMSAMIGCIAATKRPQLFQHLILLNGSPRYLDAQHYEGGFSEQDLNAILTNLQRNFSAWAHELAPRAIGVNNSEAIAKFEGSLARMKPEIALDVAKTVFLGDNRRFLQNVRMRSTIIQPEKDIFVPLSVPRYMKKKLHAQLITLGISGHFPQLTAYALLLRVIKNLLLLNSN >CDP10501 pep chromosome:AUK_PRJEB4211_v1:6:6045283:6046460:1 gene:GSCOC_T00031247001 transcript:CDP10501 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSSLDSLHVSFITCEHCCERLHQTTNINQQGGEKSKKKKKKKNRSFSPVIRLPTFCLLGDCCRPCCCSAVERIDDNLPNFITDFYFFPLTPPSLIVTIIGAAPWILILAS >CDP10632 pep chromosome:AUK_PRJEB4211_v1:6:4917159:4918662:-1 gene:GSCOC_T00031408001 transcript:CDP10632 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQPSHHLAKTQHFPRLSFSPVIFKNRPTSLAIFSLSLLLSCIIFFTITRPFQPSSLLDIGLFSQILTKHQNSAPKVCDYSYGSWVRDESYPLHKYTEKCPFLDPGFRCQQSGRPDTGYQKWRWQPRACNLPRFNATDFLDRARDGRIVFAGDSIVRNQWESLLCMLAQGVSNQSTIYEEHGSPITKHKGYLSMHFEEYNLTVEYYRVPFLVIIDRPPKDSPKEVRGAIRVDRLHWYSAKWVGADILLFSPGHWWNEDKTLKMGNYFQEGGAVNTTIDVKEAFGKSLITLMSWVLQSLKPEQSYIFFRSYSPVHYRQVKNLPHCHLFFSEYLI >CDP10634 pep chromosome:AUK_PRJEB4211_v1:6:4888845:4891322:-1 gene:GSCOC_T00031412001 transcript:CDP10634 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTSKPLLPSSDSSTAKTDDQGGATPNFSMLHPDIIESHILTRLDGPSLATTSCSSATLHRLSAQEHLWSQICRSTWPSTALPRVSRLISAFPDGGPRAFFANSFPLPLPDPTPAASRSPQPSELISAVDIYYRSELILAKVGETETVTGWFRCSPFRIDLLEPKDVVPAPIKHPEGDNDSGTSLMEDMTLSWILIDPAGRRAVNLSSHKPVAVQRHWLSGEVQLRFASILVGDHKGPARGHVQCGVVVTCGKLEGGGMRVREVSMEMEDMDGMHLNGKDSLVILHRALEGKRGKARNRGEEGRRRYEEYVGMKSERKERKMRSEGALDVMCVASGVIAFVAFWCCVWWR >CDP10093 pep chromosome:AUK_PRJEB4211_v1:6:14506798:14509579:-1 gene:GSCOC_T00030699001 transcript:CDP10093 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDSQALSSDDSSIFHSSWDAKEALFAQSRELFMDSVSASSTNYSSLEFNNQYTGANQNSSGLLRFRSAPSSLLESLSAADKIVENSVENRGLTSRFNSSCEAQSNQVSHSSSTKGYTLKSQLPPQYPRASRGRLAQLGSVNGVYRVPGSMAMDHQRQPKMSPTLTRQNSSPAELFSHLTAQSGYATMRGVGNYRLTNGASGDLSPSSNRFKGPMSFSSGSPTTLGMLSRISEVENETGGADGSNDDKLGNTNGDTQLYGSGFSFGSWNNSPKFAENLTGLKREIDSDQNLFSDSQGGEPRNRPNILSHHLSLPNTAELAAMDKLMQFQDTVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQDLVPNMDKQTSTADMLDLAVEYIKDLQKQYKTLSDIRANCKCSAMQKPVPDQMV >CDP10387 pep chromosome:AUK_PRJEB4211_v1:6:6991419:6997214:1 gene:GSCOC_T00031097001 transcript:CDP10387 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSIWNAIEVAESHTSDIPSSSLTIDHSLSPSDMKPRIVELCKDLFKKWSNLDESHFSVERVSGGITNLLLKVSVVEKNGNIVNITVRLYGPNTEYVINRERELQAIPYLSAAGFGAKLLGIFANGMVQSFIDARTLAPPDMREPKLAAEIAKQLRKFHQVDIPGSKEPQLWNDIFKFYGKASNLNFDDFEEQKKYETISFKEIISEIIELKELTGCLDAPVVYAHNDLLSGNLMLNDDEERLYIIDFEYGSYSYRGFDIGNHFNEYAGYDCDYSLCPNKDEQYHFFRHYLDPERPEEVSDKDLEALYIETNMYMLASHLYWALWALIQAKMSPIDFDYLGYFFLRYGEFRRQKETCFSLAKAYLSRSHTR >CDP15776 pep chromosome:AUK_PRJEB4211_v1:6:26018247:26019684:-1 gene:GSCOC_T00015855001 transcript:CDP15776 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWTRGPIIGRGSSATVSLATTASGELLAVKSADLSCSSVLQKEESLISELSSPYIVKYVGCDVTTENDKPLYNLLMEYVPGGTLSDQIRKQGGPLEESMIQVFAHQILQGLDYLHLNGIVHCDIKGQNVLIGRDGAKIGDLGCARVVEEGNGMAGKSVICGTPMFMAPEVARGEEQGFAADIWALGCTIIEMATANNPWPDMEDPVSALYRIGYSGDVPEFPCWLSDGAKEFLSKCLKRDAKERWTARELLQHPFFHGVGEEKEAEFVRNSPTTVLDQGFWDSMEVAESSLQDSSHIVSSLGSPADRIKNLMGNLFSSNANFPNWSEDGDWVTVRGTDSQEIQPVSQQNENSEVHDSQLAMDPFLYSIDLEEELESSIVIDDLLINCLVDEISNVGNVSGGLNLTLSCDNVEETFNFVAKILDFDMNIMKFWFLINSILSEAHVFLCLLYFKVQHI >CDP06450 pep chromosome:AUK_PRJEB4211_v1:6:844046:845124:1 gene:GSCOC_T00023307001 transcript:CDP06450 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPQFNHGIQQLPWSFSMRSHDYSGGSVVRLASRAASFTAAYQVGAFFYEFPPNNLLLALTGAGILWMGRTGFNRGDAFAANVDSSLAVLHTHICAATILLMWTCWDVIFFKKPSVIGAIQGMITGLVCINPSQSHVIQGWAALVMGIASGAIPWYTMMSLTRKLKILQKIDDPLGVFHTHAVAGTLGWALTGLFAHPSLCSMFLAVPDSKGTFSGSKGGIQLLEQLVGASFIIGWNVVITSVILSPPHV >CDP02831 pep chromosome:AUK_PRJEB4211_v1:6:12608824:12610101:-1 gene:GSCOC_T00041220001 transcript:CDP02831 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIKYTWLASSGQDPANSSDSEKKAQMKCLVSLSLVLSLLLLFQCFLTSALDQTVSDLPDEVLVHKSLKQQQGKQLGIGKHDSIGIRKLGVVIKARRGQRFITSGGRASSTRSSAATGKELSLSKLPVLLLLPFS >CDP02879 pep chromosome:AUK_PRJEB4211_v1:6:12140226:12140873:-1 gene:GSCOC_T00041283001 transcript:CDP02879 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGEQKVYGSATGNPYGRVDEEVASLAQKDERRKKRMKCFAYVAAFAVFQTAVILVFALVVTKVRTPKFRVRSAAFEDFQVSTLTTNASFSTSMITELSVKNPNFGSYKYQDSTVDFFYQNYKVGEAVVPKGKANFRSTKKFTVSVDLNSANVPGNVLGSELSQHTWIPLTSRATLKGKVTLMLMLKKNKSTNMDCTMNLNTSSRQLQELSCK >CDP03066 pep chromosome:AUK_PRJEB4211_v1:6:10001688:10006071:-1 gene:GSCOC_T00041545001 transcript:CDP03066 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTQSAETISSSSVGEKDMNFYLPLYKAAIRGDWDAARKFFDINPEAVTAIIAKNHETALHIAVGRNEAYRFVDELVRLMPPNALSVTNKFNETALHLAARCGNTEAAKLLVNRDPDLPYVWSDTKLLPLHLAALFSHKETLIYLLTVTSNDAEPSPFVGQSGITLLNVVVTSGFYDVALDLLRSYPKLATTISPGGNTPLSIIAGKPSAFPSGTSLSFFQQVIYFCAPEKLEKPFDQNERQDIENQSKKSSNLLLYGCQKFHSKFWEVIRRIVPYVKHIQNIKLMHHQAVELVKCLCMEDVQVDNSISARIFKPAIILGARLGVYEVVAETLKSFPSAIWSLDQDGHDLFQLAVMNRHESIFNILYEKDEHSHLVTQNIDKYKNNILHLAGKLAPPDQLNLVSGAALQMQRELQWYKEVEKFVQPSYKVKENVDGKTPGMVFTEEHKDLISEGQNWLKDTAKSCTFVAVLIATVVCTSAITVPGGSIPDNGHPIFAKNGAFICFAISNALSLFSSSTSVIMFLFILNSRCAEADFLYFLPNKLIIGLVTLFLSLATLMIAFGAAIYIVFARQKSLTIIPLSVLVCFPLTLFMSLQYPVMKDMIKSTYGPSIFGKKSRAQFLQ >CDP02887 pep chromosome:AUK_PRJEB4211_v1:6:11952023:11952943:-1 gene:GSCOC_T00041293001 transcript:CDP02887 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKSLALVAFLLACSALISECRVARKDLGVDLGGGIGVGVGAGIGLGIGGGSGAGSGAGSGSGSGSGSHSSSSSSSSSSASSSGSGSGSSAGSEAGSYAGSRAGSGSGSSAGSEAGSYAGSRAGSASGQGRGRGSGSGAGHN >CDP10625 pep chromosome:AUK_PRJEB4211_v1:6:5001492:5005087:1 gene:GSCOC_T00031398001 transcript:CDP10625 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVEPKKFGLLANWQREYTMEDILTQLKKEMAAPHNRKLVQPPEGTYF >CDP06563 pep chromosome:AUK_PRJEB4211_v1:6:1709296:1717582:1 gene:GSCOC_T00023458001 transcript:CDP06563 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRIAQIRLVNSHPEVYDPCDDSFALVDALLADRTNLLEHRPIFCLEIGCGSGYVITSLALLLGGDVCGSYFIATDINPHALDVTSDTLKAHGVHAELVNTDVAAGLEGRLMGLVDVMVVNPPYVPTPEEEVGCDGIASAWAGGENGRSVIDKILPIADRLLSDKGWLYMVTLTANDPSDICLQMKKKGYAACIVLQRSTEEESLHVIKFWQDPNSKLEANKAKVLNHGTAIGSFISQFSQVSLWKSRNSTNN >CDP06455 pep chromosome:AUK_PRJEB4211_v1:6:865307:869677:1 gene:GSCOC_T00023315001 transcript:CDP06455 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDLHPQTASREIAKEEEGAQVKVENGKPVVVIMVGAPGSGKSTFCDHVIRTSARPWVRICQDTIGNGKAGTKIACIKSASNALEEGKSVFIDRCNMDGEQRGDFLKLGNPEVEKHAVVLDLPAKLCISRSVKRTGHEGNLQGGKAAAVVNRMLQKKEFPKLAEGFTRITFCHNEKDVEAAMNTYSTLGLLDVLPSGCFGLKNPEAKVQLGIMRFLKKVDIPGKDGLVESNPQHSSDNQSAVEKDSGSRRPANDSAPSEYVHMDVKNTVSQESGSSIRTNSVYSIPTLAFPSISTADFQFNLDKASDIIVEKVEEFVSKLGNARLVLVDLSHGSKILSLVKAKAARKSIDSKKFFTFVGDITRLYSQGGMKCNVIANAANWRLKPGGGGVNAAIFSAAGPALETATKERAESLAPGKALVVPLPSTSPLSIKEGVTHVVHVLGPNMNAQRPNCLNNDYVKGCKVLGEAYSSLFEGFASILSTQGWMPKESNEKSLKSQNQIESKHHDGDQKVKREALNECMGGKKSKIFCNELKPNFSHSVDRKGNIEGRKNVESLNEFERNKNSGGFLKELKPSASHSVDRKENLDGRDDTGMNKAWGPWAQSLYQFAMHPERHRNDLREILDDVVVLDDLYPKARKHLLVLARVEGLDRLADVSKEHLPLLNTMHAVGLKWAEKLLNENESLVFRLGYHSEPSMRQLHLHVISQDFDSKHLKNKKHWNSFNTPFFRDSVDVLQEVSKHGEAIIRDDDSFRSMELRCHRCRSAHPNIPRLKTHISSCQAPFPGVMLDNGKLVFAPGRIR >CDP18652 pep chromosome:AUK_PRJEB4211_v1:6:15271224:15284761:-1 gene:GSCOC_T00004144001 transcript:CDP18652 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAICSHTSLSTSNPYISPRIVNYTANRKSFSFSSSSFKFKKPFFGCTPPLCFSTKASFSLGSRIPLQKSIKCSVSQAETTTDKRAQLTRRNDVRNIAIVAHVDHGKTTLVDAMLKQAKVFRDNQFVQERIMDSNDLERERGITILSKNTSITFNDTKINIIDTPGHSDFGGEVERILNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVIVVVNKIDRPSARPDYVVNSTFELFIELNASDEQCDFQVIYASGIKGKAGLSPENLADDLGPLFESILRCIPGPRIDRDGALQMLATNIEYDEHKGRIAIGRLHAGILCRGMDVRICTSEDECRFGRVRELFVYEKFSRVPAEIVEAGDICAVCGIDDIQIGETIADKSSGKPLPSIQVEEPTVKMAFSINTSPFVGREGKYVTSRNIRDRLYRELERNLAMKVEDGETADTFIVSGRGTLHITILIESMRREGYEFMVGPPKVINKKVNDKLLEPYEISTVEVREEYMGPVVELLGKRRGQMFDMQGVGSEGTVLLKYKIPTRGLLGLRNAILTASRGTAILHTIFDGYGSWAGDITTRDQGSLVAFEDGTSTSYALASSQERGHLFIGPGVDVYKGQVVGIHQRPGDLSLNVCKKKAATNVRSNKEQTVVLDTPLDYSLDDCIEYIQEDELVEVTPKSIRMCKNPKFAKKSGGRS >CDP03061 pep chromosome:AUK_PRJEB4211_v1:6:10041621:10045726:-1 gene:GSCOC_T00041539001 transcript:CDP03061 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQSPFMLSILLILQHLRSYTNPAEQKWIVAVLFMVPVYATESILSLWNPSFSLACDILRNCYEAFALYSFGSYLIACLGGERKVIELLEDESRQMKEPLLEGEENPESKGRRICNFIFQPCVLGKDLLITENFGLMILKTVCAFLALILELFGVYGDGEFKWYYGYPYFAVVFNFSQMWALYCRVQEGKFQTGLQDFLICIEMAIAAVSHMFVFSAKPYHFIPASEHGKITSQKTEAVVKIEEDSEVKPTILEKTETEVEATGRSVKEGVQDIVVEGGQKARIFTLVVKDVVLTINQAIEPVERGVIKIQETFQASTTHQFHPMTTKKSESKG >CDP02936 pep chromosome:AUK_PRJEB4211_v1:6:11326437:11331701:1 gene:GSCOC_T00041363001 transcript:CDP02936 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFTRLFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKDEFHAILEEDELKGAVVLIYANKQDLPGALDDAAVTEALELHKIKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGGV >CDP19412 pep chromosome:AUK_PRJEB4211_v1:6:28699174:28702601:1 gene:GSCOC_T00006448001 transcript:CDP19412 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVMKINGEDTSLGGHFLEETCVDPAFIINHPEIMSLLAKWHRSGPGLTERFELFINKREVCN >CDP10219 pep chromosome:AUK_PRJEB4211_v1:6:13000078:13017431:1 gene:GSCOC_T00030876001 transcript:CDP10219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 13 [Source:Projected from Arabidopsis thaliana (AT5G58160) UniProtKB/Swiss-Prot;Acc:Q9LVN1] MALLRKLFYRKPPDGLLEISERVYVFDCCFTTDVWDEENYKGYVGGVISQLRDQFPDASILVFNFRDAEWQSRIANALSEYDMTIMDYPRQYEGCPLLPMEVIHHFLRSSESWLSLGQQNLLVMHCERGGWPVLAFMLAALLIYRKQYSGEQKTLDMVYKQAPRELLHLLAPLNPIPSQLRYLQYVSRRNLVSQWPPLDRALALDCVIIRMIPNFDGEGGFRPIFRIYGQDPSLVADRTPKILFSTPKRSKAVRHYKQAECELVKIDINCCIQGDVVLECISLYDDMQREKMIFRAMFNTAFIRSNILMLNRDEIDILWDAKDLFPKDFRAEVLFSEMDAATSVVPGNMSCFEEKDGLPVEAFAKVNEIFSSVDWLIPKAEAALSVIHQVAASNVVQEKLAADALHTMGSGTSPKEMAAEKLQEKENSAALEEIVKISTYLMSKEQSGSSTGSLLDVDVNKHTVGSQSVQSGIVTAKLPHPVAPSESCMITAEKSIPIFSATEPEPHTITPSTKPVPSPQPLKDAIIDSPSPPPLPPHPTTPPLMDKITRPPPPPPLPIVSSISAEVASSRAPPPPPPPPTTSLNENATSTEKATNSRASSPPPPPPSPPINATLIVHATSATKAAPLLPPLPQLSTASFPETLPRRTGPPSPPSAPDTAASLTESEVGRTGPPPPPPPPATPSSSRDGMPQVPSPPTPPPPPPAPPLKEISTPIGGPPPPPPPPAMPSSSRDGMPQVPSPPTPPPPPPVPPLKEISTPIGGPPPPPPPPLVSGPSAGHTNLSPLHWLKLTRAVQGSLWAESQKSGDTAKAPEIDISELESLFSAAVPSSDQGGRKANSRASLGQKPEKVQLIDHRRAYNCEIMLSKIKIPLPDLLSSVLALEDSALDDDQVENLIKFCPTKEEMELLKGYKGEKDKLGKCEQFFLELMQVPRIESKLRVFSFKIQFHSQVSELQKNLNILQSISYSYILNYIHQIRSSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKVLADKLPELLDFSKDLSSLEPASKVQLKILAEEMQAISKGLEKVIQELSMSENDGAVSENFCKALKEFLSFAEGEVRSLASLYSGVGRNVDALILYFGEDPSRCPFEQVISTILNFMRMFNRAHEENCKQLEFERKKAEKEAAAEKLQLDGRGKGAEHMIHSPIKS >CDP14269 pep chromosome:AUK_PRJEB4211_v1:6:36660964:36663957:-1 gene:GSCOC_T00040562001 transcript:CDP14269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G62440) UniProtKB/Swiss-Prot;Acc:O48809] MTKKLGAMLLKQHRPPFLISTIICFFLISQICRPASAAAEDIDGHDLDRIKNDLQKFNFENPSLQQAYIALQAWKQAIFSDPFNFTRNWVGPDVCSYNGVYCAPSPANASLRVVAGIDLNHGDIAGFLPPELGLLTDLALFHLNSNRFCGVIPKTFKNLKLLFELDLSNNRFVGGFPGVVLSLPLLKFLDLRFNDFEGPVPSQVFDKDLDALFLNDNRFRFGIPPNLGNSPVSVLVLANNKLGGCIPGSIGNMARTLNELIFLNDNLTGCIPPEIGLLTKLTVFDVSFNSLQGPLPSTIGRMRSLEQLDVAHNRLTGVIPASICQLPNLQNFTYSFNYFTGEAPVCGAISGRVADGRENCIAGKSNQRSAEECSSDAAKPFDCRKSQCGNFAPKPKPRNRRSPGVGSKPPSPKPNRTPRQFKPASPPPPPTSESSPSTRSHPPPPPTASTPTPTPSPTPTPTPGPSHPPPPTEGVSPVIHNRPPPPPGPVNPEPVHSSPSPPPPVYYQPPPPVETPPPTYQPKAPPPPPVYTPAPTYHAPPPPPPTYQPKSPPPPVYTPTPTYQAPPPPPPPPVYVTSPPPPPPEHKPPTTPAPSPGAYAPNPSHPLPPPPPPYKCNEPPPPPPPECNEPVPPPPPPIEHHWQSPPSPPPPSTPYHYTSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYSSPPPPSPSPPPPYVHPSPPPPEMNVPLPPITGVSYASPPPPTIPYY >CDP06513 pep chromosome:AUK_PRJEB4211_v1:6:1343438:1346725:-1 gene:GSCOC_T00023392001 transcript:CDP06513 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPKNLTSKHLLKLLKSEKNLNSALSLFDSASQHPGYTHTAVVFHHILRRLCLSNSNGKLPPRIGEIVDMIQTQKCQCSEDVALTVIKAYSKNLLVDKAVETFQNMVEIFGCGPGIRSYNTLLNAFVVSNQLSKAELFFRSFETMVVVPNLETYNILIKLSCRRRKFDNAKELLNWLWDNGFKPDVYGYGTLIDGLAKVGDLRSALKLLDEMSERGVIPDVTCYNILINGFFKKGDLAGANETWERMIRGSSVYPSVISYNVMISGLCKCRRFTEALELWSRMRKNERKMDLFTCSSLIHGLCELGNIDGAEAVFAEMIDTKVFPDVVVYNAMLNGYGRAGDIRRSFELWELMGREGCRNIFSFNIFMRTLFENGKVDEASALWKLLVETELSADSATYGTLVYGFCQNGLLFRALLVLEEAKDKGNVLDAFAYSAMISGLCREGTLDKAVRVLAQMIKCGCKPNSQLCNALIDGFVQASKIKDALKLLHKMNSINCSPNIVTYNTIIDGLCKGERFAEAYELVKEMLEKGWKPDMITYSLLMRGLCQGYKINLALNLWSQVVSKGLTPDVTMHNIMIHGLCLAGKIDVALHLYLNMSQLNCVPNLVTHNSLMEGFYKARDCKNALVIWARILRGWLQPDIISYNITLKGLCSCNRMSYAMSFLNDALSKKIVPTIITWNILVRAVLIERASA >CDP10526 pep chromosome:AUK_PRJEB4211_v1:6:5823338:5829133:-1 gene:GSCOC_T00031281001 transcript:CDP10526 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSKLQELCHQHAWELPVYTTVKDGPDHCPRFTTTVTVAGMSFESPLNQCKSSKDSQNVAAKLAYDHFSASPISVTSPPPPLFPGFAASSSIVDVRHAKNHNLHQKVGGNVAMSPVNETPLAHKDESRAKDLLHVYKSRLQHYAQKKSLALPEYSYEFDGPPHARLFRASVMIDGRTYRTLECYPTLKDAEHAAAKVALESLSLGEIQEDEGLYKTLLQELAHKEGFYFPSYSTVKTGPSHMPTFVSTIEVGGKTYQGQECKTKKQSEMSAAKVAYNSLMERTTVNNLPLGCSTKDILEVPSLSLEPAINDKCQPNIGAETTEEYHMGVAVGKMDGKSSVVTSGSERKEYSELFDSSVDSVVGNDLQQNIQPKPIPTVKENLDAAVKGEEKNAKRYRCSPTENMHESRPEISSPSSASLNTPSSCSMPSVSVESDAAKPAGGNTRRTKILVFPRGSPMEIPEGASILPYSDDKWVAVKVELNQNQQP >CDP03268 pep chromosome:AUK_PRJEB4211_v1:6:8366687:8376181:1 gene:GSCOC_T00041790001 transcript:CDP03268 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASKVTRQLQFSGEPECNEKKITPEVFSYQRTLLTSKLEYRKLFLIYSYIGRRKFEDVVSDDDADQILEMKDLPMGNFESRIWDAYGKRYVPADRRQSTEWDTGKTHLYYCYVYADGSCSFKGPCLDTTSTHLQRTLGDDNILIVKFAEDGRSCIDRILKEGILVGLRCYQFFGTKKQKEMEKDKTSLSPAVRCYFVNYDSIAARGNSESYSLCPSNLNKARCHFMHVHMVSSLAKYMARFSLILSKTIKLPVDLSSIVIESIEDIPCRDENGDEILDEDGEVLVLTDGTGFISEDLALKCPQNFCSAKFLKDNDFEVTCYAYNEEFECLDHPNPLLMQCRLFSRGLAVKGTLLVNRKIRSSMVKVKRDIRCPVAPTFDSLEIVAVSHKPRRCQLSKNLIALLSYGGVPRNFFLDILRTVLEETQALFTNLHAALKVSIRNGDMDDGWTTARMILAGVPLSEPHLQDRLAKLANFERKSLKEGKLPISESFYVMGTADPTGLLKANEVCVILENGQISGKVLVYRNPGLHFGDVHVLEAVYVKELEDVIGNAKFGIFFSTKGQRSVANEIANGDFDGDMYWVSRNPQLLKYFRASEPWTRVYSSPPAENKKPSDFSAEALEYELFRLSLEKRKQSFNMAVAAHSWLAFMDRLLVLRDENAGDTDGLRQQILKLVDIYYDALDAPKSGKKVNVPSDLIAKSYPHYMGKGNSYHSTSILGDIFDQTEQFQSERRSIGEIWKLPCFNSRIPEEYLSMWMARYDDYRYEMRDALEQNPADDDLKNKAADRVIKKYKELLYEAAELQESKKKMEEINNEALAIYHVSYDHAIGRGCISKCSFAWRVAGSALCNLHASNTSTNEYPMLVVPSVLRNALF >CDP12658 pep chromosome:AUK_PRJEB4211_v1:6:16156737:16172886:-1 gene:GSCOC_T00036370001 transcript:CDP12658 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPSEEESSKTVANLLSDIAIKGPLPSSVAKLSGSSRGIPSEKDFHFYKNFNEFKTPIKEIDDKSKSLLERIGVSSQLWGKALEFPRNLDFDDIDAYDWLVNINDDVLEKLDASLDEFRVGRGEESGFQVVQGRKNRRGVASGSEEAVRGVQVAVKPKPKVPFHIATIPRPQDEYKFIVNNSNQPFEHVWLQRSEDGSRFVHPLENHSFLDFVDRSTSNVTPVKPHPLESTPFMLVEDVKDLKKLAAKLKVADEFAVDLEHNQYRSFQGLTCLMQISTRSEDFVIDTLKLRIHVGPYLREAFKDSNKKKVMHGADRDIIWLQRDFGIYVCNLFDTGQASRVLKLERNSLEYLLHHFCGVTANKEYQNADWRLRPLPHEMLRYAREDTHYLLYIYDLMRMKLLSASSETEDVNPPLEEVYKRSYDVCMQLYEKELLTDRSYLHIYGLQGADLNAQQLAVVAGLCEWRDVVARAEDESTGYVLPNKTLIEIAKQMPLTTSKLKRSLKSKHPYIERNLGSVLSIIRHSMQNAAAFEVAAQQLKEQHVERATENILVAEVDEVLPSEAPEILKTVGDAEGVTLGTLSSNTMLGHSMVSVQQENKLVDPGCSTGGITANSQAIHKSPGESGSINSAADSYTAAIPRAAACGASESSGEAGASVQVLKKPSRGFGALLGGSTKRKLHPDIKEDQKLEEIKSSVNLPFHAFPSSGELLQPAAQERAALVDTLHNGQPVSNSSNLEDFILLGAGSDVESGDNGTEAVNVVVDNKEDNAVGSTLDMEEEEGEGEDTMSLSDLSSSFQKCLPSINRVRDGKLVEKPQESAGLLQFKPFDYEAAKKQVIFREDPSPRAEDSRSRLTKGDKKSQKEDGTRDLPQGRRRQAFPASGNRTATFR >CDP06188 pep chromosome:AUK_PRJEB4211_v1:6:21845012:21853589:-1 gene:GSCOC_T00022859001 transcript:CDP06188 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKTQRGKPLQRPPSRSSILIILTATVGCIAVFYIASSFSSTKGFFYSITETSIQSSNARNHRDSEKYLYWGNRIDCPGKHCESCEGLGHQESSLRCALEEAMYLQRTLVMPSRMCINPIHNKKGILHQSNDASSEERWAASSCAMDSLYDLDLISGTVPVILDNSKEWHRVLLTSMKLGSRGIANVQGVSREDLGSKSSYSNILLINRTASHLSWFMECKDRNNRNAILLSYSFLPSMASKKLRNAAEKIKVLLGDYDAIHVRRGDKLKTRKDRFGVVRSLHPHLDRDTRPEFILCRIAKWVPPGRTLFIASNERTPGFFAPLASRYKLAYASNYSSILDPVVENNYQLFMVERLIVMGAKTFIKTFKEDGNDLSLTDDPKKNTKTWQIPVYTLDIREC >CDP15434 pep chromosome:AUK_PRJEB4211_v1:6:4720026:4720682:-1 gene:GSCOC_T00043165001 transcript:CDP15434 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGDAFPAADAEKELNPGENKNFEVDPVSSEVATSLQIKEQIEAAEKKENEKKDALDKFKSAILVSGIVVALAGAVFAIVKKLKEK >CDP09540 pep chromosome:AUK_PRJEB4211_v1:6:20220174:20224943:-1 gene:GSCOC_T00028955001 transcript:CDP09540 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFGSSLVDNGNNNFLPNSLAKANYFPYGVDFPHGSTGRFTNGKNVVDLLGELLKLPTFIPPFADPTTKGRRILYGVNYASGASGILDETGAIAGQVFSLNKQIENFENVTLPEFGNGEDFGKSLAHYLFVVGTGGNDYTFNYFLSRDKDSSNVSLEAFTTKLTTILSRQLKRLYNLGARKFVLMSVNPNGCTPMARTMIPMHERCIQSVNRAIHLFNTNLKAMVDEIQLELPASKLVYVNSYKIVRDIIKEPSSKGFEDAKNACCKVPSIEEGGTGTLCKRGGSICSNRRSNVFFDGLHPTETVNAVIANKAYYSNSRAEVYPMNIKQLSQI >CDP06723 pep chromosome:AUK_PRJEB4211_v1:6:2863536:2865030:-1 gene:GSCOC_T00023665001 transcript:CDP06723 gene_biotype:protein_coding transcript_biotype:protein_coding MADNYAISCLVGLMDNLWFRNVILVSEPSASSLYAKIHKPSSMAAAVSEELLHSSSNDSQTQLVSSSSITNQAEFDEQESDEKETTTSTCAESEERPTRLNVTASRARSQSSSPSNLKRSRNLRLLSSTAGTTGRRLHKTMSCKSLCELELEEVQGFMDLGFIFNKENMSKRMMSVIPGLQRLELSGSTIQGKDNILMGSPPRHETRRVEVEGGAEQTITRPYLSEAWLIRRPDSPLLNLRIPRVSTAADMKKHLKYWARTVASAIALET >CDP03069 pep chromosome:AUK_PRJEB4211_v1:6:9991756:9995902:-1 gene:GSCOC_T00041548001 transcript:CDP03069 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVEKQNSLQAILYKRGSLQLLDQRKLPLETIYLDIQDTNDGWVAIRDMVVRGAPAIAIAAALSLAVEVSNLKDFSGTSDDAASFLGKKLEYLVSSRPTAVNLSDAASKLKEVVSKAASTAKDTMGVFQAYIEAAEIMLQDDVASNKAIGSYGAGFLLHQLKDFDRISVLTHCNTGSLATAGYGTALGVIRALHAEGVLERAYCTETRPFNQGSRLTAFELVYEKIPATLLVDSAAAALMKDGRVNAVVVGADRVAANGDTANKIGTYSLALCAKHHNIPFLVAAPLTSIDLSITSGEEIVIEERSPKEVLHARGGLGEQVAASGIAVWNPAFDVTPADIISGIITEKGVITKNGTEDFDIKGFVRKAASNS >CDP03360 pep chromosome:AUK_PRJEB4211_v1:6:7607998:7608900:-1 gene:GSCOC_T00041915001 transcript:CDP03360 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPLLIFTITACILRNVAVSSPNPTLTAAHAELLEYGFPAGLLPANLQAYDLNRTSGDFVLKLDDTCRITLPPDNYLATHSKKVNGKIVANRIAGLDGISVRAFRWWGLLESDPTVRI >CDP03368 pep chromosome:AUK_PRJEB4211_v1:6:7536484:7540972:1 gene:GSCOC_T00041926001 transcript:CDP03368 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSGITTICCCSGTSISKLHFHHHLPRASIPSISPSPSPALQGRIKFSSFSSRSVAAARHRLGSTTASSVGSCCFTWDDVFRAGESNETDSASDLGGFFDRVKLCNRNLEKLNEFMPFVIANQIVGYVHFGFADHLRKFRDVFIFQKDTKAFGSNFGCHVTLHSSLTTAEDRTRAVGDVVKCLGEELIPGIRNELYPVVSSFGSPIFFSVERAAAPYFGTKAYGVHMNGYVEKDGQKYLWVGKRSEVKPTYPGMLDHLVAGGLPHGISCEKNLVKECEEEAGIPSSISGRAVPVGAISYMDIDGHRFKRDVMFCYDLKLPEGFIPRNEDGEVESFKLVPVTHVANIIRSTEFFKANCNLVIIDFLFRHGYITPENIGYLKLLQSLRSGDCS >CDP06681 pep chromosome:AUK_PRJEB4211_v1:6:2552367:2553825:1 gene:GSCOC_T00023613001 transcript:CDP06681 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SGR9, amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G02750) UniProtKB/Swiss-Prot;Acc:Q8GXF8] MMADQNSAETIIMAALSALTPPQLSDLTHSVSSLFHLCYRRLYSILSIPTLFNLTLHHLQSLPLQHKSLLIARHLLSNLTALTRFMQNSSTGNSFPVPANFASSMKLRDLDAVVLLLLLCEIRQLYPEVLETPSSRWRSILCDYISRDMLKPSGIEVSSAQVLIKYVEMVTKCKRFVSVMASNDSVSVGGKGGIEAATAVAVVVALPSVEVSGNSLECVICKENMKQGRDVCKLPCDHLFHWMCILPWLKEKNTCPCCRYRLPTDDVFGEIERLWEVMASMGGGGKL >CDP03331 pep chromosome:AUK_PRJEB4211_v1:6:7871532:7876221:-1 gene:GSCOC_T00041878001 transcript:CDP03331 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLKDQRSRSSKPTTIHGLAQSGDLIAFQKLLRDNPSLLNDRNPVMAQTPLHVSAGYNNVEIIKLLLDWAGPEKVELEAKNMYGETPLHMAAKNGCNGAAKMLLAHGASIEAKANNGMTPLHLAVWHSLRAEDYSTVKTLLEYNADCSAKDNEGLTPLNHLSQSHGSEKLREILHKHLEEQRKRKAIEACSETQAKMDALENELSNIVGLDELKVQLRKWAKGMLLDERRRALGLKVGARRAPHMAFLGNPGTGKTMVARVLGKLLHMVGILPTDRVTEVQRTDLVGEFVGHTGPKTRRKIQEAEGGILFVDEAYRLIPMQKADDKDYGVEALEEIMSVMDSGKVVVIFAGYSEPMKRVISSNEGFCRRVTKFFLFNDFSSEDLAKILHLRMTNQVENSLLYGFKLHPSCSIDAVAALIDRETTEKQRQEMNGGLVDPMLVNARENLDLRLSFDCIDTDELLTLRLEDLEAGLVLLSQ >CDP15317 pep chromosome:AUK_PRJEB4211_v1:6:3789007:3791663:-1 gene:GSCOC_T00043002001 transcript:CDP15317 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLGRARAWAIAAALISSSTSPLLRNSAASVQASSSFLAVVDHGASKPRQLRAFSSSSSFSQTSQKPKGKVTDRLSSVIDAVNDRKLPPELRGQRNNVRSETDIINVVEQRIWHSMEEGQFENLPGKGKPLDLSVNPHADPAEDTLYRILSKNGCAPEWVELNKEVRNRIAEWRAALRKAWSFKGGQDDDKWTEGSEALKLQVQDINDRVFRYNLIVPFGRQMFGLKWEKELDRLTETA >CDP06733 pep chromosome:AUK_PRJEB4211_v1:6:2952295:2960246:1 gene:GSCOC_T00023680001 transcript:CDP06733 gene_biotype:protein_coding transcript_biotype:protein_coding MINTAGSNNMMSPSASSASANNAQSPGLKTYFKTPEGRYKLQYEKTHPSGLLHYAHGKTVTQVTLAHLKDKPMQAPSQLSSSLGVSSGVRSAAARFLGGGNGSRALSFVGGNGGTKSVSGSSSNRVGSLGASSSNSVISNSNFDGKGTYLVFNVGDAIFISDLNSQDKDPVKSIHFSNSNPVCHAFEPDAKDGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNTRCASIAWVPNGDGTFVVAHADGNLYVYEKASLWIFKDGSGDPSFPIIKDQTQFTVVHARYSKNPIARWHICQGSINSISFSADGAYIATVGRDGYLRVFDYKNEQLICGGKSYYGALLCCTWSMDGKYILTGGEDDLVQVWSMDDRRVVAWGEGHNSWVSGVSFDSYWSAPNSDGTGENIVYRFGSVGQDTQLLLWDLEMDEIVVPVRRPPGGSPTFSTGSQSSHWDSACPVGTLQTAPSMRDVPKISPLVAHRVHTEPLSGLIFTQESVLTVCREGHIKVWMRPGFAESQSSNSESLLGTSLKDKSLISGKAVSSSYKQ >CDP10587 pep chromosome:AUK_PRJEB4211_v1:6:5371922:5375689:1 gene:GSCOC_T00031351001 transcript:CDP10587 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHFSTLHNIAVNLTDGMFKGIYNGKKYHVADISAVLSRAWSAGVDRIIVTGGSLEESKEALAIAETDARLFCTVGVHPTRCKEFDESGDPEKHFQSLLSLAKEGVEKGKVVAIGECGLDYDRLHFCPSEIQQKYFEKQFELAHAMRLPMFLHMRAAAEDFCTILERNKERFCGGVAHSFTGSAEERDKLLSFSNIFIGVNGCSLKTVENLDVVKGIPMERMMIETDSPYCEIKNTHAGINFVKTSWPSKKKEKHDPDYAIKGRNEPCTVRQVLEVVAGCKGIADIDQLSKKLYHNTCRIFFPQDVDSAADALLAGHDTAERSQRV >CDP06747 pep chromosome:AUK_PRJEB4211_v1:6:3128024:3131052:1 gene:GSCOC_T00023699001 transcript:CDP06747 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRINTLLQGYSGIRFEILEAITTFLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEALNAEEAFRIAGLSGGFFELQPKEGLALVNGTAVGSGLASIVLFEANVLAVLSVVLSAIFAEVMNGKPEFTGHLTHKLKHHPGQIEAAAIMEHILDGSSYVKAAQKLHELDPLQKPKRDQYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNARLAIASIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEVAMAAYCSELQYLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTYLVALCQAIDLRFLEENLKNAVKNIVSQVAKRTLTMGANGELHPSRFCDKDLLRVVDREYAFAYVDDPCSATYPLMQKLRQVLVDHALKNGDQEKNVNTSIFQKIAAFEDELKAVLPKEVESARSAVESGNPAIPNRIRECRSYPLYKFVREVLGTELLSGEKAQSPGEVFDQVFTAMSKGQIVDPLLECLQEWNGAPLPIC >CDP16130 pep chromosome:AUK_PRJEB4211_v1:6:34211958:34213527:1 gene:GSCOC_T00017198001 transcript:CDP16130 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGRSTKTSRFEPIENPRKISLNSTASSSTPLPSSPSKSIQEKQNDDIPLDTSFSSSPSASATIIARNEDLLTQILLKLPPKSLLRFQCVSKQWLSLISDPVFRRRHSSSIRRYPTTDFLFFSSDSYRNEIDLLSFSGDGVDSVGNVSSPLRYLGSDCCTLCFDIENECLQPSTPRIPTNLEVVGRWDIRYFGETAGNLYVICLNKPKAMLFDVFALKRDYSQWVVKYRVDFAPLITYYPEMKNRGFHAPCFVVDKEGKKARIVISVEDKFIYYDIHGMFVKELVEVVPVYAEVGGWGDSTWYRWYEAYQHVDTLASV >CDP10437 pep chromosome:AUK_PRJEB4211_v1:6:6617882:6621006:1 gene:GSCOC_T00031162001 transcript:CDP10437 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTIRKAIGAVKDQTSISLAKVAGNVAPDLEVLIVKATSHDNEPADEKYIREILNLMAYSRGYVNACVYAISKRLSKTHDWIVALKALMLVHRLLTDGDVVFGQEIMYASRRGMRVLNMSDFRDEAHSNSWDHSGFVRTYASYLDQKLDFMVYERKLNGGEEKKRYDDGYGEFRDEPSYGMERRTRSYDDLNEDASGRGEKKVVTPLRQMKPERILERLNQLLRLLDRFLACKPTGAAKSSRMVLVALYLVVKESFRLYADTCEVLGVVLDRFTEMEYADCVKAFDAYVNAAKMIDELVAVYNWSKDIGVARSSEFPEVQRISDKLLGTLEGFLRERANRPKVSEKSTEESSSAVKEEEVPGMNEIKALPPPENFTPPPPQSQPQPKLQTQQVTGDLVNLKDDGVSADEQGNKLALALFSGAPAANTNGSWEAFPSDGEPEITSAWHTPAAESGRENWELALAESASNLSKQKADLAGGFDSLLLNGMYDQGAVRQHVNGTQLSGGSASSVALPGISQNSTPVLALPAPDGTVTPVGQQDPFAASLYVPPPAYVQIADMEKKQQLLMQEQQLWQQYASNGMQGQMGLTKIAGTAGYYGAGIQLYGQPQVSGMGQPGGYYYAPF >CDP06506 pep chromosome:AUK_PRJEB4211_v1:6:1278919:1283413:1 gene:GSCOC_T00023379001 transcript:CDP06506 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVRRVVDLCAAPGSWSQVLSRKLYLPVKLDPNAKDSDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHILKEGGKFIAKIFRGKDTSLLYCQLKLFFTEVTFAKPKSSRNSSIEAFAVCENYSPPEGFNEKDLHRLLERVGSPSGADDLDCSSGWLEGPNKVYIPFLACGDLNGYDSDRSYPLPKVADGKYQSLDPVQPPIAPPYKRALDMKKATSQGIRDMEKLNVMHDC >CDP10200 pep chromosome:AUK_PRJEB4211_v1:6:13271940:13276450:1 gene:GSCOC_T00030845001 transcript:CDP10200 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFFLWLLLFAVALAAVESIPVFVPGAYKSSLLKKQVKSAKPEIPYEAHYFPQVLDHFTFRPRSYKIFYQKYLMNSQYWHEGAPIFVYTGNEGDIEWFAANTGFMLDIAPKFRALLVFIEHRFYGESLPFHKKDLNSAKTLGYLSSQQALADYAVLIRSLKRNLSSEASPVVVFGGSYGGMLAAWFRLKYPHVAIGALASSAPILQFDDITPWSSFYDAVSQDFKEASLNCYEVIKGAWTELDAFGKRKDGLEKLSKMFRACKKLSSVDYARNWLWSAFTYTAMVNYPMEANFMKHLPAYPVEEMCKIIDGHSPRATKLSRAVAAASLYYNYSKTEKCFKLEDEDNDHGLQGWNWQACTEMVMPMTCSNGSMFPPSPYSYKEFADDCNRQFGVWPREHWITTEFGGMRINLVLKRFGSNIIFSNGMQDPWSRGGVLKNISSSIVALVTEKGAHHVDFRSATNKDPEWLIDQRRQEVEIIQKWLQEYYADSKQD >CDP03255 pep chromosome:AUK_PRJEB4211_v1:6:8526380:8528957:-1 gene:GSCOC_T00041774001 transcript:CDP03255 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQIHTSKPQCLLGYGYNPMLLGYTSEVNSRTFSCLVNQMTNKNFKFLRYRNCLVQLRRKTLFQEGKIAKGFASGTSFKNASRDSGNFQLVNGARSFSSSDSQKVAQRNDKNLPWLVKSRAKDTRRPEKMDVSKTSTSSWEESANKFLKGGGTAAKDRAFRGWEGGQHNYRGKYESIEEEDGEEEAEAEDIDDPRWDKIKNQYNRIVAAKLGSDKPEFKKWNKQEIWGRKTWKDATESTVPTIVGEGIYGVGPILAALSAGRREFYALYVQEGIDLSGNNRKKKDKKGFEKVLRMAENIGLNKKQISKHDLNMVVDNRPHQGMVLDASPLEMVRIKELEPVSVEGESGPLWVALDEVTDPQNLGAIIRSAYFFGASGVVLCAKNSAPLSGVVSKASAGSLELMELRSCKNMMQFLSSSAQNGWRVLGGSVSSRAVPLNEVVPGAPTILVLGSEGTGLRPLVERSCTELIRIPGNIPMDVIAGEDEEMERSEVDHGVSGKEFRSFMAVESLNVSVAAGVLLHHLSGVKCSDNGPKGES >CDP09605 pep chromosome:AUK_PRJEB4211_v1:6:21717360:21720272:1 gene:GSCOC_T00029044001 transcript:CDP09605 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQEIANSIVGTIVEKCVNPILCQFQYLIFYKSNVQTLSDDIKILELKEAEVQQLVRQAKDNAEEIKPTVVDWLKRVEDLKKDAHTIFVGMETTKVNCLNIVRLPNLKSCYLLGRRAVKRMSVVEKLLGEGQFDKVRSIAPLGKMRFSESTPSLEEGLVSRMSTKKELMEALKQEKTSLMAICGMGGVGKTTLVKQIADQVKFEKLFDEVAIVIVSQSPDMRNVQDQLAEQLGLKITEQTDLARAKRLYTRLTNSDERILVVLDDIWKELDLKSLGIPVKGECKSLKIILTSRFSDVCKGMRAEIFEVKVLPIEEAWHLFKEVAEISDDFALSGVAKQVAEECKGLPLAIVVVAKALKSNHTPESWDRALRQLKEYTIRELEGDEDLVFSRIKFSYDYLKSAEAKSLLLLCSLFPEDYSIPIECLVRYGKGLELFPDRRRLVDVRDKVDTLIGHLKSSYLLLNDATKEDSVKLHDVVRDVCLSIASKDEHVFLVSNFGVGEKNSYTAISQDCNHDLLPFCKEYPRLRLLRLVFRFGKLNLPRDSFVGMEALRVMELNHSQIEFPLSWPGQMLRSLRTLCLDDCVLGTGLSSMLGHMTQLETLSLFESKILDDQFPAEIGQLSNLKLLDLRVKSSLHPLPSGILSSLKKLEELYLGSGDHLRLGRDKEEERRCLKEISSISNLACLQIALYDLSLLLLSLQEFDTQRLLRFDIAVYDSERALAFHSKTYQFRKSFELYLSDHGDEGLKQLFDHPNVTSIVKRTENLILRLSESSCLRNLVPDLGENGFINLKKLYLDSGQYECLIYSTANLVARRVFENLVSMELLRLELKEICKGFLPPGCFSQLQEVRFFRISALQCLWKGPVKPPSLCNLISIKVEDCDQITTLFSESALKCLVKLQRIYVYGCQNLERIVLREESLTEEVLELPQLKVLDLRHTNFIGFGSEDDKAVAIYEGKSSLSLSLLVCVTI >CDP02978 pep chromosome:AUK_PRJEB4211_v1:6:10990053:10995940:-1 gene:GSCOC_T00041423001 transcript:CDP02978 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase III, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G62640) UniProtKB/Swiss-Prot;Acc:P49243] MANASGLFTPVVPSGIRSRFSASIGIHRSGFWFSEGVSTRRVLCASTVEGAENISPSQSRVPRLSNRGCKLVGCGSAVPSLQISNDDLAKIVDTSDEWISVRTGIRNRRVLSGKDSLNALAVDAARKALEMAEVDPIDVDLVLLCTSTPEDLFGSAPQIQKALGCKNNPLAYDITAACSGFVLGLVSAACYIRGGGFNNVLVIGADALSRYVDWTDRGTCILFGDAAGAVLVQACDGEEDGLFAFDMHSDGDGQRHLNASIKGNEVDHALGSNGSVLGFSPKISSYSCIQMNGKEVFRFACRAVPQSIESALEKAGLSGSSIDWLLLHQANQRIIDAVASRLEMPSERVISNLCNYGNTSAASIPLALDEAVRSGKVQAGHTIAAAGFGAGLTWGSAIVRWG >CDP03051 pep chromosome:AUK_PRJEB4211_v1:6:10150717:10152345:-1 gene:GSCOC_T00041527001 transcript:CDP03051 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPEPQKRSSSPPSTAATLERFKVAGDHSSSSIKYTGVRKRKWGKYVSEIRLPNSRERIWLGSYDTAEKAARAFDAALFCLRGKSAKFNFPDNPPEIVNGRSMTPAEIQVAAAQFANSEHRLIGEPARIDNLVSDNSSSSISSLELQAESPCPSVSDGVVQLESELPEIPLDNAFLDMFGIFPGFDDFFVPPMPTVDLDGEENYEGYFSQDSTLWNF >CDP06369 pep chromosome:AUK_PRJEB4211_v1:6:135328:135914:-1 gene:GSCOC_T00023191001 transcript:CDP06369 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWRVLEAFLPLGIIAGMLCVMGNAQYFIHKAAHGRPKHIGNDVWDVAMERRDKKLMEMLSSPSTPN >CDP06551 pep chromosome:AUK_PRJEB4211_v1:6:1620821:1622798:1 gene:GSCOC_T00023442001 transcript:CDP06551 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRKIGVAIDFSKSSKLALQWAIENLADKGDTFFVIHVNSHPLDESRNQLWSQSGSPLIPLVEFREPEVMKQYGVKTDIEVLDMLDTAARQKEIIIVWKLYWGDAREKLCQAVEDLKLDSVVMGSRGLSSIRRIILGSVTDYLLANATCPVTVVKDPDLHRH >CDP03362 pep chromosome:AUK_PRJEB4211_v1:6:7596513:7604702:-1 gene:GSCOC_T00041917001 transcript:CDP03362 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLRSSGFGLPALYVWTLADTVTGADVHRLFRVCVAIRTEIFCDSIIGRYALVSFAKKEHADLAMNKLASATLHGRPIQMMDCHPCTKMSGLVPDTLFVRNLPDRADIELLEYLFFKYGKIWYINIPRRPDGQSTDYGFVQFDTDGPCRAAIEEMNGYEVLGVRLRVDPYYLDPEKKIFELDSAKPCDGYSQDAADTVCAVRNVLAQQINTGASKAASCSKREKVKKVELLYDKIHACPFTQFLPNVE >CDP14203 pep chromosome:AUK_PRJEB4211_v1:6:18794661:18795497:-1 gene:GSCOC_T00040464001 transcript:CDP14203 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRATKRRKLVQAPIHDGVLNECRYPRKGLKMEAASSYIPKDNEEKPLGEDACFICEETQTIGVADGVGSWGKKGIDAGEYARELMNNASFSVLEQAEEEGSVSPLQVLHEAYWMTKAKGSSTACIVTLQEDFLHAVNIGDSGFLVIKKGKVVFQSPAMQKRFNCPYQLGNERDTPDSAQEFKVDVEHDDVLVVGTDGLFDNLFPHQIGSIVHNCVEGKLSPKMMASLIAELAWYHSLQKDRSTPYTKSAMEVGLVQENYLGGKYDDVTVVVAKIV >CDP15352 pep chromosome:AUK_PRJEB4211_v1:6:4049146:4050821:1 gene:GSCOC_T00043047001 transcript:CDP15352 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTFTLTKLLSSTPKPLTPLLSSKPLSSFSSSVAVSRLPLKLHHPLHLPKPFLRFSSTQTKISATISVGDKLPDASLSYLDASNDVQTVSISDLTANKKAILFAVPGAFTPTCSQKHVPGFVEKASEFKSKGVDTIACIAVNDAFVMKAWKENLNITGDDVLLLSDGNGVFTKAMGVELDLTDKPMGLGVRSRRYAMLVEDGVVKVLNLEEGGAFNVSSAEDMLKVL >CDP14313 pep chromosome:AUK_PRJEB4211_v1:6:35947386:35950236:-1 gene:GSCOC_T00040635001 transcript:CDP14313 gene_biotype:protein_coding transcript_biotype:protein_coding MLRICRIGVSVNSSVLNAQILELKKETQMEKEEKNERGASMMTTPPETEFFLQWGNRKRLRCVRIRSNTSPPYHHLSSSFAPDLSSATTASTRIRRRITSSRFLSVSSHDEKQSSFTQPPSRLTRNSAEPAVTLRSESHRRVSPEVDRSYTTRGSVQVVGNLEDNYGGKVNHLVVDSHGGAGAAGISGGDGHNNNNSNNGHNNSSSRGGAAVAPVWPKLYVALSSKEKEEDFMAMKGCKLPQRPKKRAKVIQRTLLLVSPGAWLTDMSLERYEVREKKISKKRPRGLKAMGNVESDSD >CDP06738 pep chromosome:AUK_PRJEB4211_v1:6:2998411:3001407:-1 gene:GSCOC_T00023687001 transcript:CDP06738 gene_biotype:protein_coding transcript_biotype:protein_coding MDAANRRQIVVRLLLAAEYEAQQIVNAAKTERVRKLTQAKEEAEKEVAGHHREMELEFRKNEAENSGELRAIIKRLEQETEGKINHLQMEAASVRNGIASMLFKHATTVIT >CDP18662 pep chromosome:AUK_PRJEB4211_v1:6:15416202:15440470:1 gene:GSCOC_T00004157001 transcript:CDP18662 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATLGRVMHTLLDARPKKLQHAISNLHSPPKLAPLSISLEQSLLFLHKYVGDAAEKEDTLDEVLVPMIEHYLKFRESRHSKQAMVLLNWLFQDEVLFQALASNLAEIILRKDDHYIALGWCFLARDLIQYEISMNKLMSNGIREKYTALLKILCSCIRHLSTLISSGSTLQGGFEVPTRLAIASADFILSLTVALTRKDLVSDYDKGKLISSNSRGRPVSLLNVDSDKEKVKVDTKALVILKDMGTKLLLWDHIDDLIVLVGRLKAWSRKSRSLHSRGLERVFKWLQATQTRYVCCQNEAGDMQMLKTGVLLLSSCWKHYGMLSHLEDCNFSRQYKELLDEYLSGIKFYADNHAHGPAADKDSAIETIKFFMNCLSLLLGRLHEKQLETALAENGSLLSEVLISQFRCADVEVIDSAVYIFKAAIFRMQYTSSGDYNANRREMDSVLPMLIHLLDEQDSAAKAVVKLVAEYCSVRSDNCRLQNVLKGLTSGNFPQRMNAIDVISDLIDISLESSSSLSDQMWQDIADHLLECLGDEELVIRTRASALLPVIDPSLTLPALVRLIYTSNERVQSLASETLLVVLKTHKEEPEVLCLLLDCLGNLCNTSDPDATGDAQGAKLDSDRVLKLLPEWSKLVEDWNVMISALLDKLFAEPSNAVIVRSLSYISEHLADLADLVFDQLLLYTKGQNNILESVSKGESGTCQDVDSFNSLFSRLCPLLVIKLLPLKVFDNLSSPLVYGHLKESVVHDTGRLTIDDTECIGALLIDRAFNKFEFEDVRKLAAELCGRMHPHVLIPLISSQLEVAATAEDTMKIKSCLFTICTSLLVRGEDSYKHSGMSVIRKTINTILSWPSMDRNDVLKAQHGCIDCLAWMMCAELEGSKRGRSSTKDEARMIDVGLSSGDVTLESSVCNYVIGLLTANANDSVTSGLAKWNKESEAKMHISFRLCMANVLISACQKVSESGKMLLAQKILPPVICSTRAIIESEIRAACNQVLFSAVYHLKSAVLPYSSDILKIALTSLTDVVEMVRITGAKLLASLMASEEAVIHNISGGLLEARTLLQTISTSDPSMEVRQLCQKLLACLTSYWMYVVYTSCTCLMNGCDVLQFPLSTPAFYFCLCCHIFVSYFS >CDP10386 pep chromosome:AUK_PRJEB4211_v1:6:6997651:6998274:-1 gene:GSCOC_T00031095001 transcript:CDP10386 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDYLFKYIVIGDAGVGAEFGTRIIRADKKKTKLHVWDTAGQEKFRSITQSFYRGAAGALLVYDVTSRESFAHLASWLKDLKELANKNMTIMLIGNKSDLDGSRAVSTEEGSEFAQKHGLIFIECSAKTAQNVEEAFVSTAIAIHKTVQGGDSEGLEDRGIRVRHRSISGLGDWAASQRGSCCN >CDP10583 pep chromosome:AUK_PRJEB4211_v1:6:5397254:5405794:-1 gene:GSCOC_T00031347001 transcript:CDP10583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39530 [Source:Projected from Arabidopsis thaliana (AT4G39530) UniProtKB/Swiss-Prot;Acc:Q9SVA5] MSHRNLTTFSTMINALYKQYELYNEALVVFSEFRRSCGQNPDEFVLGPVIRSCTQLKCVGVGLQLHTSAIRTGLDQNIYIGNCLVEFYWKLVDVEAARLVFDCLLIKSVVTWNSIMTGFAKSGRSEVSLDLFKEMLRTNVVPDKYVISSALRACALEQGRQVHAYSLKSNLDSDGFVSNNLIYMYSKCGSLVDARRIFDTMENLDVISYNAIIEGYLRQENLYEAFDLFAKMRMNLIPPSLSTFVSLFGVSASLNTFQLTKQIHALMLRSGFSLDKFAGSALIDAYSKCLSLGDAKLVFEEMKEKDIVVWNVMLGGYAQQLENEEALKLYLELQLSGLQSNEYTFVTLIAIASNLASLIHGLQFHNQIMKTGLNYDLYVMNALIDMYAKCGSLEEARKIFDFASMDDVTCWNSMIMSYALHGEAEEALNMFENMIQTGVKPNTVSFVGVLSACSHVGLVDEGFCHFGYMSSIGIVPETEHYACMVSLLGRAGKLYEAKEFIEKMPIKPTARVWKNLLSACRMNDNVKMAEYAAEITTSIDPKDSGSYALLSNTFASQGMWVKVKNVRDKMDRTGVVKETGCSWIELNNKIHSFTSTDRMHHAANLIYSVMDHLIQHMRGMMYDNASLPTQ >CDP18649 pep chromosome:AUK_PRJEB4211_v1:6:15251934:15252649:1 gene:GSCOC_T00004141001 transcript:CDP18649 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLMALDIYFTFHKLMVCISDCKRKGVDLLSDDLAKDFTLAWNEDGKQEKVGGTWPVYMRIGKDGNQ >CDP03078 pep chromosome:AUK_PRJEB4211_v1:6:9922576:9923431:1 gene:GSCOC_T00041561001 transcript:CDP03078 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGNSISKVPVVSKQYCSGSEIVLYVRRRPHVVNGGGFVVVDGNQKIVFRVDGCGILGRKEELVVRAGDGSELLLVRRKGGVMEALSLCRRWRGYAHDLEGSQKPIFCLREPSNSCLWMKNPITISVTSNEYRGFRDFEIRGDFCCKIYSISKIFFVPHRKYEKCYFLCPTLRSEKGCSLCPTSEVGRVAPHSEVYK >CDP06286 pep chromosome:AUK_PRJEB4211_v1:6:25247556:25249354:1 gene:GSCOC_T00023041001 transcript:CDP06286 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFSWKLSLTAFLMLAMMASQATSRSLNEGSMTEKHEQWMAQHGRVYKDDAEKADRYKIFKENVNFIAAARNKYKPWKVCESKSFMYENVSAVPASMDWRKKGAVTGVKDQGQCGCCWAFSAVAAMEGINQLTTGKLISLSEQELVDCDTAGEDEGCGLTTETNYPYQGTDGTCNANKEANHAAKITGYEDVPANSEAALLKAVANQPVSVAIDASGSAFQFYSSGVFTGDCGTELDHGVTAVGYGTSTDGTKYWLVKNSWGTSWGENGYIRMQRDIDAKEGLCGIAMQASYPTGLKC >CDP15639 pep chromosome:AUK_PRJEB4211_v1:6:32509246:32518470:1 gene:GSCOC_T00015569001 transcript:CDP15639 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKFLVFFLFLSFIFSEINSATLSDSEDEVSESEVPDSSLKLELDKLKSKISLAESSIEERTQEIKSKERTIKELEKIIDEKSSNLASLQSEVLSLKEKELSKAKKREDEADVRASQLQEQINNLKKVIEAQNEKKVGLEARANDAESRIKDLNLKLENLHRTNEEQKSRIHKSQRALEVAEEELMKAKLEASSLSKYLSEVHEAWIPPWLSVHFVHFQSFVVTHWHKHGKPTLDLTVNKALKKKSELEKWAQPHVHIVKSRWMPIVKEHCSTFVNNFSLHLRSLTNNAIDLYHISKKSMEPHVVRLQEVSNPYFQEAKKFSKPYVDQVSLLMKPHMDKARVYLKPYTKKVIRSYKRFIKFVTAYHRQVQATVLDLLKNHEHTRPLATNKLTWYMASALMALPVFLVLNMIAEVFSKKPKKRVPTSHSGHTRRRARRGHTERRIEQDN >CDP15664 pep chromosome:AUK_PRJEB4211_v1:6:33142734:33144387:1 gene:GSCOC_T00015612001 transcript:CDP15664 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLESTAGDESDNYLCKPRASQDGEIAKIEEALSEVTAICGLDDTETWITSGEILSSHGGVDFIQKGQGVVGRAFSSKSACFCRDIKQLSITEYPLVPIARRFNYSACFAVCLQSPCSSNCIYVLEFFLPMNKKDYRDPRTLLHSLMETLKKRLQNSFKIPSGQELGQKLTVEVIKVSPKYEFDSFEICNTTSVGSTPRLAKGQGGGGMVQLDFSSQQVDTANAYINEQRDNEVTNLKMQKPSYTLKSDLGITREVLEQNSTRKLEDAAKNIGVSRSTLKRICREYGIHRWPPRKARKVNQAFAEQKIVQPSPEDTHEHHQSGAARLEDDNGMWVKAEYQGRMIKFRLPFSARKINLEEKVAQLLNLAMGSFKIEYQDEDNDWIWITRDEDLRTSMSTLSSLGRTTIKMLVKLIEHL >CDP16337 pep chromosome:AUK_PRJEB4211_v1:6:29690529:29696480:1 gene:GSCOC_T00018153001 transcript:CDP16337 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQSQEELLAAHLEQQNIDPEEPVIEDEDEEDDDDDDDKDEDDVEGQGDGSGRSKQSRSEKKSRKAMLKLGMKAIPGVSRVTVKKSKNILFVITKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPNISNVISKPEPSTVAQDDEDVDETGVEPKDIELVMTQAGVSRAKAVKALKAADGDIVSAIMELTN >CDP06225 pep chromosome:AUK_PRJEB4211_v1:6:23146221:23148306:1 gene:GSCOC_T00022925001 transcript:CDP06225 gene_biotype:protein_coding transcript_biotype:protein_coding MREDDSNWFAKWEEELPSPEELTPLSQTLITPDLAIYFDIRNPNSPHHHHHHQQNPPPPPPPPAPSQGQNTPSSQPNSSAEFDSSELGGTGGGSGGAGAGSGGEEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQSISSGGGNGGGVNGNASMAAAGSEPDLFASTPVPPHFLHPGRPNSDHFLPFVPMTMQHHHQMATVVGHHHPHPQLQQQFRDFGTSPPNGQFEHRFLPRQSQQQVQRMGTPVHGNTPVMPSYIEDLESATAASANGRKVLTLFPTGDD >CDP06224 pep chromosome:AUK_PRJEB4211_v1:6:23126926:23138010:1 gene:GSCOC_T00022923001 transcript:CDP06224 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKKHSSKAAFSPSPISASKNPDDAEISNQEEELLWGLEEASRKFPSLISETAFIGKVFNNDAVHASIGTEAFDIKGCKIWMSESAMIASSILPGSLVSVSLASSRKLSSGHPLCSLADECATHFGFEFTEKLANDVGCYFILATVFPSSKLVKDAVRLSPNLFLTMGCPASGRTLYVNSIQDRPVMGVGEFGKSHRINDFGLSLNNCKELDLSFVSSQTKFVPSSMSSNNGRLTERTNIQDVNSKIASPKTPYFSQSKLKSPISGRFSTPNFEDSRSDSSHLQEMPEDIFDVKDILEDDNVRKLLQTCCTSWLFSRFLLCGNLLAIPILSGLCIFHVIGVSSLSTEMDHIVDAYFVDHGTKVSIHLPRHSAPETPLKGSSMWLEPVRQSFTAKEGDDLPNLGGLTVEFGVLKEIIVTSAVRCNLSSLGLRPTKGVILHGPPGTGKTSLVRLCAHEAGVNLFSVNGPEIISQYYGESERALHEVFDSASKAVPAVVFIDELDAIAPARKDGGEELSQRMVATLLNLMDGIGRSDGLVVIAATNRPDTVDPALRRPGRFDRELEIGVPSPKQRREILLVLLSKIENSLSESDVQHLATATHGFVGADLAALCNEAALVCLRRYVDLSVSDVGSECDPSIDVNDGCSHADMTNCCDLPVNNLEDLSSSISNSHFSSEATDYIEVGGTSASGTPVLRVTSEDFEKARMKVRPSAMREVVLEIPKVTWEDVGGQREVKMQLMEAVEWPQRHHDAFKRIGTRPPTGVLMFGPPGCSKTLLARAVASEAGLNFLAVKGPELFSKWVGESEKAVRSLFAKARANAPSIIFFDEIDGLAVIRGKESDGVSVSDRVMSQLLVELDGLHERVNVTVIAATNRPDKIDSALLRPGRFDRLLYVGPPGKKDREEIFHVHLRKMPCSSDVSIKELALLTEGYTGADISLICREAAIAAIEEDFNASEVTMKHLKAGIMQVPPSDILSYEDLSNKFQRLVNSSAEDV >CDP02926 pep chromosome:AUK_PRJEB4211_v1:6:11453560:11463327:-1 gene:GSCOC_T00041349001 transcript:CDP02926 gene_biotype:protein_coding transcript_biotype:protein_coding MKESILAKDVNAPAAKVLFDAAHAAGAEASTPPEGPLIVFINSKSGGRHGPELKDRLQCLMGEEQVYDLSRVKPHEFVQYGLGCLEKFSTLGDSCANEVREKLRVVVAGGDGTVGWVLGCLGKLHKQGRNPVPATAIIPLGTGNDLSRSFGWGGSFPFNWKSAIKRTLDSVPNGPTCRLDSWNLVLSMPAGEALETPYSLKQCEEIALDEGLEVEGELPENMSCYQGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPLSNKLIYSGYSCKQGWFFTPCSSDPSLRGLKNILRIHIKKVNCSDWEQIPIPASVRSVVALNLPSYGSGRNPWGNLKPDYLEKKGFVEAHADDGLLEIFGLKHGWHASMVMAEVISAKHIAQASAIRFELRGGEWKEAFMQMDGEPWKQPINKDFSTFVEMKRVPFQSVMVHGD >CDP03165 pep chromosome:AUK_PRJEB4211_v1:6:9128569:9130596:-1 gene:GSCOC_T00041666001 transcript:CDP03165 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNYLTSASPSPFSVRDPIQDHDEHDRPQLFFPNYQTASSSSVSSSGFRSIALDQTEDYHAQMHQQEYQQQVENHAPYTGSQDPEKKANKGSKISLWKNNTNGNQADDHEEINPVNNKWVSSKVKLMQKMNKPDLKEITSSTTTTMKFEDHQKQPTSASPEADNFSSNSSSNISNTPIRVCADCNTTKTPLWRSGPKGPKSLCNACGIRQRKARRAMAAAAAAANGTSPPTYDTTAPLKVKVQNKDKLKNNGQFKKRCKLNTSAESSQNLHAVQKKSGFEDFLFNLSKNLAFHRVFPQDEKEAAILLMALSCGLVHG >CDP03402 pep chromosome:AUK_PRJEB4211_v1:6:7206985:7210545:1 gene:GSCOC_T00041975001 transcript:CDP03402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel AKT1 [Source:Projected from Arabidopsis thaliana (AT2G26650) UniProtKB/Swiss-Prot;Acc:Q38998] MFGRVSMCGAGAAEEMEQLSREGSHYSITSGILPSLGARSNRRVQLRRFTISPYDRRYRAWETFLIVLVAYTAWVSPFEFGFLEEPEAPLPILDNVVNGFFAIDIILTFFVAYLDRTTYLLIDDRGLIAWKYASSWLAFDVVSTIPSELARRISPQALRTYGLFNMLRLWRLRRVSALFARLEKDRNFNYFWVRCAKLICVTLFAVHCAGCFYYLLAARYHNHKKTWIGASMGDFLRESLWIRYVTSMYWSITTLTTVGYGDLHAENTREMIFDIVYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRDTIQAASSFAQRNQLPLRLQDQMLSHLCLKFRTDSEGLEQQETLDSLPKAIRSSISHFLFYSLVDKVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVSGAVDLLVFKNGGEQASAMHSSDQILPHCFSLDYTIYFNK >CDP10464 pep chromosome:AUK_PRJEB4211_v1:6:6305890:6308595:1 gene:GSCOC_T00031196001 transcript:CDP10464 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKVARRKSKVVMEIANQKKELQLQKQQQANSNLVTVEVSAAITSKIDDDEEKFSCTSNKKLKGCTGIEKRRQRYGLLSFHQLPEYMKDNEFIVNYYRADWPLKEAFFSLFRWHNETLNVWTHLLGFVLFLGLTIANSVHFSRVADFITMFTRHFPTSASTNVSHNSEDFSVGPTKLIDLKREPQLQMEMTSPEMASTSWPFFIFLGGSMFCLLSSSICHLFSCHSQRLNLLLLQMDYAGITVMIITSFFPPIYYVFQCSPHWQLVYLIGITMMGICTIITLLTPAFSTGKYRAFRAILFMSMGFFGLIPAIHSVVVNWNDPHRNVILCHEAVMALFYITGTMFYISRIPERWKPGFFDLTGQSHQLFHVFVVLGALAHYGAAKIFLEYRGRVECEK >CDP02850 pep chromosome:AUK_PRJEB4211_v1:6:12453660:12455175:-1 gene:GSCOC_T00041246001 transcript:CDP02850 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATSQSSLLPSTLNIKLYAVKFFFNFPFSLIHSKKLKALLSLLLCSSCFKIPLQRFKLKSHSKLLISKSNASLLSDKRRSIISFLSVFIAFSPLFALLTPFHKFDLKFESFFTLFTPINLFQTLNPPFLKFPSKFPLLESTLSESTSSFDSKTESTTKFESQVSKSSVSN >CDP10126 pep chromosome:AUK_PRJEB4211_v1:6:13967509:13974656:-1 gene:GSCOC_T00030742001 transcript:CDP10126 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVHDSSGIGRSFTGSAPYQNINSDMESQIHILEQEAYGAVLRAFKAQSDALTWEKEGLITELRRELRVSDDEHRELLTQVNADGLIHKIREWRKAGGRLSTTQIMPQPVHDQLQSPTISASRKKQKTSQSVPFGTQSQTLHPQSIAATPQPSLVSKWGPTSGTVGRRPQHGQPVLSSPPAIQYQQSNQGPGGRFTNELAVRTSDPLIGRKVMTRWPEDSNFYEAVITDYNPIEGRHALVYDIGTPNESLEWVDFKEISPEDIRWIGDDPGISQLNVGSGQGFGGNTPNAGQGRGLLRDQLESDHRPSQNGVVKKIADDIEILHTDTLIQKVEKVVAASHPDLLELEKAKKMLKEHEQALLDVIAKLADACDDASDGEHHGLSVGMERGQGHLHGRNNSGPNMASEMRSRSSSSYDITRGQMPFDHQQDDDVVVI >CDP14308 pep chromosome:AUK_PRJEB4211_v1:6:36028338:36033586:1 gene:GSCOC_T00040627001 transcript:CDP14308 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAVEKKESETNNSSSDLSRLASSDTDSKDKEAQDREQRIALDLKAGLHPLKHKFVFWYTRRTPGVRTQASYEDNIKKIVDFSTVEGFWVCYCHLARPSSLPSPTDLHLFKEGIRPLWEDSANCNGGKWIIRFKKAVSGRFWEDLVLALVGDQLDYGDNICGAVLSIRFNEDILSLWNRNASDHQAVMSLRDAIKRHLKLPLSYVMEYKPHDASLRDNSSYRNTWLRG >CDP03397 pep chromosome:AUK_PRJEB4211_v1:6:7233085:7235616:1 gene:GSCOC_T00041970001 transcript:CDP03397 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLITGKNSSASAAANKDKDKDKKKPTSRSSRAGLQFPVGRIHRLLKQRTTAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKD >CDP06243 pep chromosome:AUK_PRJEB4211_v1:6:23904672:23908647:1 gene:GSCOC_T00022958001 transcript:CDP06243 gene_biotype:protein_coding transcript_biotype:protein_coding MWMAIVVGIITLLGWGLWWWNYFQFALPVTFRHSGGSITTKLPSGHMGIPFFGEMLHFLWYFKVIHRPDDFINNKDTKGLYKSLLFGSPSIIACSPSANKFILQSNANFGMGWPATQIIGNNSLLFLQGSSHARIRGLVVKVINQPDALHKVAIMVQPRIVAALRLWSEKGRIVALQEAKKLLLKILGSMIKGVRREYGGGFPSNIWGHVGNSLSCRKKVMTIFTMEINKKKFEGNAARAEFDLVDQLLNLKDDDGNQLQDEEVLDNIVGLIIAGYESTSLSIMWILYYLAKHPQCTQKATEEHMNVGTNGDFIRRDDILKLHYTNKVYLHQDYTEIS >CDP06197 pep chromosome:AUK_PRJEB4211_v1:6:22061777:22064841:-1 gene:GSCOC_T00022870001 transcript:CDP06197 gene_biotype:protein_coding transcript_biotype:protein_coding MITITDLYHVLTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNYRFIAADTLQKIIVLVVLAIWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDASGSLMVQIVVLQCIIWYTLMLFLFEYRGARMLIAEQFPDTAGSIISFKVDSDIISLDGKEPLQTEAEVGEDGKLHVTVRKSTSSRSEIFSRRSHGPNSGLSMTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGKNASNVSPRQSNFGNMNFDEENSLGGFGNLSRANGVSGQGNAGYPAPASAGIFSPVSGPGAKKKANGTDGGKDLHMFVWSSSASPVSEGGIHVFRGGDYGNDLGVGAHPKDYDDFGRDEFSFGNRPGANGDDREGPVLSKLGSSSTAELHPKASAQDIKATAMPPASVMTRLILIMVWRKLIRNPNTYSSLFGLTWSLVSFRWGIQMPAIIAKSISILSDAGLGMAMFSLGLFMALQPKIIACGKTVAAFSMAVRFLTGPAVMAASSIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTMVIFGMLIALPITLVYYILLGL >CDP03001 pep chromosome:AUK_PRJEB4211_v1:6:10771968:10772422:-1 gene:GSCOC_T00041457001 transcript:CDP03001 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKLINCCDYQQVVAQVDGSAIFAGPQIKPIGGNIMAHATTTRLALRKGRAEERICKVISSSCLAEAEARFQISPEGVTDVKD >CDP10536 pep chromosome:AUK_PRJEB4211_v1:6:5733270:5734515:-1 gene:GSCOC_T00031294001 transcript:CDP10536 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQEFAFLFRLNFRMLSSFCEVKGMLMVRMARSHFFVVGILVLCCWTVKTKAEYMRYKDPKQPVDVRVKDLMSRMTLAEKIGQMSQIDRVVASAEVVRNYYIGSVFNGGESLLPNRATPETWMDMVNEFQKGALSTRLGIPIIYGIDAIHGNNDVYGATVFPHNIGLGAARQVAF >CDP10586 pep chromosome:AUK_PRJEB4211_v1:6:5376261:5379063:-1 gene:GSCOC_T00031350001 transcript:CDP10586 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein CP33, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G52380) UniProtKB/Swiss-Prot;Acc:Q39061] MTSSQLADIFAEAGRVASVEIVYDRVTDRSRGFAFVTMGSFEEAKEAIRLFDGSQVGGRTAKVNFPEVPRGGEREVMESKIRSSNQGFVDSPHKIYAGNLSWGLTSQGLREAFQDQPGFLSAKVVYDRDTGRSRGFGFISFASAEEVDSALEAMNGVEVEGRPLRLNLAQQRSFISPPPAPETNSEPDS >CDP06497 pep chromosome:AUK_PRJEB4211_v1:6:1196594:1205825:1 gene:GSCOC_T00023367001 transcript:CDP06497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal domain (Ctd) phosphatase-like 2 [Source:Projected from Arabidopsis thaliana (AT5G01270) UniProtKB/TrEMBL;Acc:F4K802] MSRLGFIKRVVVYDGEACLGELDSVAVKDESFQFPNNEIRIHHISPNSERCHPLSVLQTISGSPVRCKLEPPSSIPTSDQSQLINLHASCFYELKTAVVVAGHEEVHLVAMPSKLKKFPCFWCYSVPCGLYNACLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRGWIAREIDPIRSSGMSAEMKRYIEDRALLKQYTENDSVVDGGKVYKAQQEEVPQLSDGHEQVVRPIIRLPEKNIVLTRINPEIRDTSVLVRLRPAWEDLKSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLISSKQLLDRVVCVKSGARKSLVNVFQGGNCHPKMAMVIDDRLKVWEDKDQPRVHVVPAFTPYYAPQAEMANAVPVLCVARNVACNVRGGFFKEFDENLLQKISEVYYEDEVVTLPSAPDVSNYLMSEDAGFASNGNPSAPFSEGISGPEVAQRLNHLDEKSFLNSGGYPVNNSVELKPGNHQLPAESIPNVVGPASTRAVPPSEKPSLLGAPLRLDSSMSESDPDVNRRFPILSRGQDAKYRNSAEPPILSRLAGQHPALPSQGGWLGEEDGNVGHPNGRSSGFLQESDELKHDRHHGRHYLHTHSPSASNATGLHTHLAQVKNEELSSRQEGQRQNFPLSNHSTGVVGSQNQSSGNVSDQQPESAKWNSLPSLSIGVLQEIGRRCNSKVEFRTLVSTSEDLRFSFEVLFTGEKIGFGMGKTRKDAQQQAAENALRNLADKYISYNASRSRAVDKESDKLSPLNENGFIWDVVNPGSDEQRPVQNGIKQNTSEVGISDDITYD >CDP10369 pep chromosome:AUK_PRJEB4211_v1:6:7098716:7102271:1 gene:GSCOC_T00031078001 transcript:CDP10369 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERRDRSVSFDRSRASPFPCSSSRSRHLLPKNPLESDENAKEWEEARCPVCMEHPHNAILLLCASHEKGCRPFMCDTSYRHSNCFDQFRKSFGEASSTTLQREEAPILASHLASTSMISEPPVTALNVERREGGPASLEAVSCEDEIKSKLVCPLCRQQIHGWMVVESARHFMNAKSRSCACETCDFNGTYRDLRKHARQEHPLARPTEADPERQRNWRRLEQQRDLGDLLSTLQSSIGEERSEESSLPFDEGGWLTVFFLIRILRPGSASRSSSWSGSSRTRAHVTIRRRPSRRLWGESYDWETDSRDDDNETSDGGSGLWAHRDRVQRRPTSDD >CDP06602 pep chromosome:AUK_PRJEB4211_v1:6:2010324:2011643:1 gene:GSCOC_T00023510001 transcript:CDP06602 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFPFLFFLRVWNFFCSDEEAYDLGVKAALSVLRFYKSEISPLLPKSCRFVPTCSEYSMIAYKKYGFAKGTALTAWRLCRCNPLGGSGFDPPRWFDETRPTRH >CDP02861 pep chromosome:AUK_PRJEB4211_v1:6:12319436:12320068:1 gene:GSCOC_T00041259001 transcript:CDP02861 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGRFCLFAWLLWGNLGVRGDDSAIVGVCKKIGIYYYMCYDCLKSNPQEPDFAAKSIICATDAYVIIRKSAFDFSLNSTGRFREVAKLCVDQFDITLGYCKAAFKAWRLKRKLATLAFLHSGLDYYFKCVDHLSEPIPNEYGIQLDTAKSFNEVSIKVASLP >CDP10109 pep chromosome:AUK_PRJEB4211_v1:6:14343411:14349974:1 gene:GSCOC_T00030720001 transcript:CDP10109 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSSSSAIPGNFISKDTGAYDLGELDQALFLYLDGQEPSAIQEQRQNSGMRPPTLNIFPSQPMHVDPSSAKASTGLVSNSPSSSGSKRSSEPSMELSNPRNDVASAPEPAKAVKREGNRRGPTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQEIQRARSQGIYFGGAAALLGGDQSMPAPMGNISSVADATVFDMEYSRWLEEHHRLMCELRNAVQEHLPENELRLFVDNCLAHYDEVMNLKSMIAKSDVFHLVSGMWKTPAERCFMWMGGFRPSELIKIIMSQIEPLTEQQLLAICGLQQSTQEAEEALSQGLEALNQSLSDTIASDALSCPSNMANYMGQMALAMNKLSTLEGFVRQADNLRHQTIHRLHQILTTRQAARCFLAIAEYFHRLRALSSLWLARPRQE >CDP06522 pep chromosome:AUK_PRJEB4211_v1:6:1434339:1438183:1 gene:GSCOC_T00023406001 transcript:CDP06522 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRSNEAILVLLRHGLAEFELTSSPVPSVSGYRPHKSPLASISVPATSHRFFARIGPSFSGGSPALKKVERYSVQKVTGDGRCLFRALVKGMAFNKGIALSPREEKENADELRMAVKEVICDNDKERLHYEEALIAITVEESLKRYCQRIGRLDFWGGESELLVLSKLCCQPIVVYIPEHEHGGRGYGFIPIAEYGAQFYKDSRKKEPRKVVRLLYSGKNHYDLLV >CDP14301 pep chromosome:AUK_PRJEB4211_v1:6:36108507:36111874:-1 gene:GSCOC_T00040611001 transcript:CDP14301 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAVSLALGTVKDLLAEEARFLSGVADQVKEVEVSLIRMQCFLKDADKRQLNDSTVRNYVREIRRLAYRTEDVLEKFAVEIESRRRGHGFRKAFRRFSCLISEGISLHRVGSEIASIKAGINSLTTDLENYGVIALSSTEDGQSSNARLDQNQQRLRQTYPHQVEEYFVGMKDDIRQLVSLITDEGIRSHRVISVHGMGGLGKTTLARKIYKHIEVERAFKQFAWVSVTQQCNTMTVFRDILKQLVPDQRKDSVGKMDERELVGELYKVQKETKSFVVLDDLWKIEDWECLKVAFPFAEADSKILITTRNQKLVEDEFLYPLNLLNEDEGWELLQKRAFTKRNGADCESDPRLEAVGRAIVRKCGKLPLAISAIGGVLSQKTSLEEWETVMNDVDSYIRMREGGKEGYGAVLQVLALSYDELPYHLKPCFLYLGQFREDEDIDAEMLYRMWTAEGMVSSDHRGKGETLTDVAERYLYEMAGRSMLQLKFDEFSTSRKVKSCYLHDLMREFCLARGKEVEFLKLLDFRGGNDPLSDYSTERDDSTPRCSIHVEDVICDSNKFKYLKVLKFEGYDFTGKGLPKGIKKLVNLRFLSVKDSDLKTLPSSIGQLQYLETLDIRVYFHRIRVPDK >CDP03304 pep chromosome:AUK_PRJEB4211_v1:6:8035041:8036765:-1 gene:GSCOC_T00041843001 transcript:CDP03304 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAVGDVIPDGTLAYFDEQDQLQSVSIHSLAAAKKVILFAVPGAFTPTCSLKHVPGFIEKAEELKSKGVAEVICISVNDPFVMKAWAKTFPENKHVKFLADGSGTYTKALNLELDLSDKGLGIRSRRFALLVDNLKVIVANVEAGGDFTVSGADEILKAL >CDP06279 pep chromosome:AUK_PRJEB4211_v1:6:25128269:25128529:-1 gene:GSCOC_T00023028001 transcript:CDP06279 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNVKSSSRINKATDTSTGTSNSGRFTVRQFAIMNSQARSGTVEGDFVKRALTALTRSSSQKQCPRRDFHPEPSRLSVMSRAEDS >CDP02911 pep chromosome:AUK_PRJEB4211_v1:6:11608283:11609210:1 gene:GSCOC_T00041331001 transcript:CDP02911 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMGVLMIIIGMVASLASVAYATPGTATFYTPPYSPNACIGVRVNGTLPLIAAASNVIWDNGAACGRNYRVTCTGTNSEGIAQPCRGSVVVQIIDYCPPGCRATFDLSQEAFALIADPNAGKVNIDYEL >CDP06760 pep chromosome:AUK_PRJEB4211_v1:6:3247255:3248134:-1 gene:GSCOC_T00023718001 transcript:CDP06760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP11 [Source:Projected from Arabidopsis thaliana (AT2G37000) UniProtKB/Swiss-Prot;Acc:Q9SJK7] MVLHPRTNGSHSQAKDNVPDPDLSATSPDLQLLSSVAAAAAADDDTANASSLSIIEQQQPEQPVPPKKPKDRHTKVNGRGRRVRMPALCAARIFQLTRELGHRSDGETIEWLLRQAEPAVVAATGSGTFPKTMVTSVGSLPTSHLSESTYATVTRVHPSAVVIPGMGGFFAVPPPPMCRLDLRQPPPTELSGGINAYSQMPYTALLLEPSPRGEEHAESSAKNRDDFWHL >CDP10103 pep chromosome:AUK_PRJEB4211_v1:6:14395025:14396047:1 gene:GSCOC_T00030713001 transcript:CDP10103 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGIP1 [Source:Projected from Arabidopsis thaliana (AT5G06860) UniProtKB/TrEMBL;Acc:A0A178UBN4] MKNSSSYSSAALLAFSCLLFLSLPSPSFCAHPKCNSHDKKVLLKIKADLNNPYHLASWDPKVDCCEWDALECDRNTGRVIALTIFAGNISGQIPPAVGDLPYLQNVDFHKLTNLTGEIPSTVTKLVHLTFLRLSWNHLSGPVPSFLGQIKALTFLDLSFNNFTGSIPPSLSELPNLLALHLDRNRLTGNIPESFGNFAFGNSVPDIYLSHNMLTGTIPRSFGGLNFSLRIDLSRNRLEGDASFLFGKNKTVQFVDLSRNLFMFDLSKVEFSENLIYLDLNHNKIFGSLPQSLTNLGLQFFNVSYNRLCGQIPQGGKLQSFDLYSYFHNRCLCGAPLPACK >CDP06250 pep chromosome:AUK_PRJEB4211_v1:6:24136340:24139213:-1 gene:GSCOC_T00022972001 transcript:CDP06250 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLSFVLRQLSTFLHEEGRLLGGLPREAEAREEDAQPTLQQWIKQVRDAAYDTEDILDDFVARFARHRATGFYGTVRRIFSSIKNLRARHRVASEIQSIKSRIKSISEGHQRYRSEYGISAPASNSLSAVNNTAWRYSRDDALLVEEAKLVGIDQPKKHLISQLLQGDDYQLKVVSVVGMGGLGKTTLVKRVHEDPEVRRHFPVRAWVTVSQTCDFQYLLKDLIRQLHEEGQKPVPQSIESMTTTELKKFIKDFLQQAGRYAIVFDDVWDMEFWNTIKFALPESSDGGNRVMLTTRKADVASASCIESLGFVYRMDPLSFEDSWALFCNKIFKGGNCPGHLMDVAKGILGKCEGLPLAILAISGLLALKDVNRTEEWEMVRRSLGGELEGTGKLDRVKKILSLSYSDLPWHLKTCLLYTSIFPEDWDIGCVRLINLWIAERFVEWRVGMSIEDVALGYLSELVNRSLIQVTKVFYEGSPDFCRVHDLLREVILLKSREQNLVTATTGQPTRWPKMLKVLDLRGQGAQEEIPNEIFKMFHLRYLNLYGTRVERVPKAIGKLQHLEFLDLSNTRVRELPMEILKLQKLRVLTVSQLEIGKLTQLRELGIANLRREDGKELCSSLANLTSLQELSVYSIGKGDDHEMIDLNHPSLSSLSSSFLQSLRLLILHGRLEKMPQWVAHLHGLVRIDLDWSRLRGQEDPLESLQHLPNLGEINFCGSYQGEGLCFKAGGFPKLKELHLKRMEGLRWMRVEEGALPHLQKLFLQQLPSLEELPMGIQHLIQLRRLNLFEMSSQLREKLLENQKEESEDYTRIAHIPEILIGFYTDDRKWRRRSLWVEKKKI >CDP06737 pep chromosome:AUK_PRJEB4211_v1:6:2992384:2994720:-1 gene:GSCOC_T00023685001 transcript:CDP06737 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLPKFLIPSPQTVAFFSLPFVLLLLFVDLVSGFGSMGPISASFGDNGVFCAIDASGKQEVICWGNKSLSSSSANPPPIYPDEVPAMAALTGGRGFLCGILANSSQAYCWDSTGPISDLVPESFKPNAYFHIAAGQNHVCAIRGTYYSEGDWGTVDCWDIVPQSKNGLTSRPSTLFYDQHVSTLAFKKIVSGDGFSCGVVRDGGLVCWGPYSKTLEVSSEPGDLFSMTSGIDSICGISRVSGGIKCWGNNDSFGILPDGIRFVSVAAGAKHFCGIREDDHGVECWGNFNTSLVPKSSGFLALASTDFVTCGIREADLVLDCWFANGPPPLDYDPPLQLCSPGLCNPATCGEGKFAFNASILNEPDLTNLCVRKDLKICSPCALNCSAGFFTSSACTENADRVCTACSLCQNSSCWDICGLQSSPEMKQKHQHQLRELLLIIGSSASGFMLILLGWYLLPRVASAKRGGGGGKRIHFASCIGKPEQEIEINGDPNPPSCGVQCPGIAQVFRLSELKDATNGFKEFNELGRGNNGFVYKAVLPDGRQVAVKRANAATIIHTNSRDFELELEVLCRVRHVNIVNVLGCCTEMGERILVYEFMPHGTLHDHLHGGLSPLNWAMRLKIAMQAAKGLEYLHNEVVPPIAHRDLKSSKILLDADWGARITDFALLTPNDGDISQDMKVDVLNFGIVLLEILSGRKAYDADCQPPSLVDSALPLIRQGKAAAIIDRCIGLPRNVEPLLKLADIAELALREDPCKRPSMSDLVLLLEHLVKEGLLL >CDP10381 pep chromosome:AUK_PRJEB4211_v1:6:7028427:7029460:-1 gene:GSCOC_T00031090001 transcript:CDP10381 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSEMSFRRQGSSGLVWDDKLLSGELRRISTSKDDQAQQQKETKVEPREAKTSAYRTVNLEPAFDPPSPRVSGCCGIFGGKPASKPQKRKHGHRKS >CDP02848 pep chromosome:AUK_PRJEB4211_v1:6:12479323:12483410:-1 gene:GSCOC_T00041240001 transcript:CDP02848 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKKLAPNLPYMKKLFLGWFEPLRNAIEKEQKAEKTKKKAAFAPFIDCLPADKMAVIVMHKLMGLLMTGDRDERSVRVVEAAVQIGAAIEHEVRIHNFLEKTKKSQRKGISAESPESMTNETIILRKRVQNLIRRKRVSEAQKLVKNDKFKSWGRDTQAKLGCCLIELLTETAYVQPPVSQSTENPPDFRPAFRHTFKIATNEAG >CDP06449 pep chromosome:AUK_PRJEB4211_v1:6:843153:843991:1 gene:GSCOC_T00023306001 transcript:CDP06449 gene_biotype:protein_coding transcript_biotype:protein_coding MHGQIVSAAPVGMQGMPGLVILHAGMVKKKWALNSAFMTLYAFAAVMPCWVLWAYNVSFGHCLIPLWGKAGLAVS >CDP10176 pep chromosome:AUK_PRJEB4211_v1:6:13572454:13574432:-1 gene:GSCOC_T00030804001 transcript:CDP10176 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKTGGNSTPTLLRRILVNCGAQAKAYGSCVAAKIPVVERDMCLKEFLLLRNCMQNVRKSLKHIRYPLEASF >CDP14191 pep chromosome:AUK_PRJEB4211_v1:6:18623790:18630735:-1 gene:GSCOC_T00040447001 transcript:CDP14191 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRKRAAVPGPARPAPPEPNPTPKPEDQPIAGAKWVVIVGCWLAIAVPYIYAILYHYKIEWELKKSILINAVVSLLGFVLTLALIPVASKYVLRRNLFGYDINKKGTPQGSVKVPESLGIVVGIVFLVSAILFQFANIPADSNWLVEYNAALASICFMILLGFVDDVLDIPWRVKLLLPSVAALPLLMAYAGHTTIIIPKPLVQYFGENIDLGWIYKLYMGLLAVFCTNAINIHAGINGLEVGQTVVIACAILAHNIMQIGGSKDPEYQQAHAFSIYLVQPLIATSLALFSFNWNPSSVFVGDTFTYFAGMTMAVVGILGHFSETLLIFFTPQVLNFLASLPQLAGIIYCPRHRLPRFDPQSGLLTGTKDGTLVNIFLRLFGRRSERSLCILLLVFQGICCCFCFYLRYILTGWYK >CDP03100 pep chromosome:AUK_PRJEB4211_v1:6:9730318:9745915:1 gene:GSCOC_T00041584001 transcript:CDP03100 gene_biotype:protein_coding transcript_biotype:protein_coding MACVYIPVQNSEEEVRVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKIEQFRQILEEGSSPEIDEYYADVRYERIAILNALGAYYSYLGKIETKQREKEDHFIMATQYYNKASRIDMHEPSTWIGKGQLLLAKGDVEQAFAAFKIVLDGDRDNVPALLGQACVHFSRGRYSDSLELYKRALQVFPQCPAAVRLGIGLCRYKLGQTEKAKQAFERVLQANPENAEALVAVGILDLQTKDAANSRLGMVKIQRAFEIYPYSAMSLNYLANHFFFTGKHSTVEKLTETALAVTNHGPTKSHSYYNLARSYHSKGDYEKAGMYYMASVKEINKPHEFVLPYYGLGQVQLKLGDLKSALANFEKVLEVYPDNCEALKALGHIYIQLGQTEKAYEFIKKASKIDPRDPEAFLDLGELLISTDAGAALDAFKTARNLFEKRGKEEEADEKKGGEVPVELLNSIGVLHFERGEFKLAEQAFKDALGNGIWLSFLEAKPQLVSKNQEAADTNTKASNNFNLFVRLEGQGIPVEVPYNKVSTIFNLARLLEQLHSIETASILYRLILYKYPEYVDAYLRLAAIAKARNDVQTSTELIADARKVDEKCQDALLMLGDLELKNDDWVKAKETFRAAKDMANGNDSYASLCLGNWNYFAAIRSEKRAPKLEATHLEKAKELYTKVLLQHTGNLFAANGAGVVLAEKGQFDIAKELFTQVQEAASGNVFVQMPDVWINLAHVHFAQGDFALAVKMYENCLKKFYYNTDSQVLLYLARTHYEAEQWQDCKKTLLRAIHLAPSNYTLRFDIGVALQKFSASTLQKTKRTVDEVRSTVTELKNAVRIFSLLSAASNLHIHGFDEKKIETHVEYCKHLLEAAKVHCEAAEREDQQNKQRIELAKQVKEAEENRKRAEEQRKSQLEKRKQEDEHKQVMQQEEHLLRIKEQWKSTAPASKRKDRPQAEDEEGGERRRRKGGKRRKKEKKSRHGSEEPLADADDQEEIDDEDSNVNFKEPHYQVDHVDQAENNPQDLLAAAGLDDSDAEDDAVAPTSNTNRRRQAWSESEEDESFQLQAVSDHAVGVPDSDAEAGGHDERLHGDVSVDDE >CDP17148 pep chromosome:AUK_PRJEB4211_v1:6:35090639:35091624:1 gene:GSCOC_T00011686001 transcript:CDP17148 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQFCCATFFALLICFLLLLPNEMPMAEATFCKRPAKYLSGYCYPDRCYNYCKYTEHAYSGKCIWTWAGDHDHHHKHLACYCFYKC >CDP06775 pep chromosome:AUK_PRJEB4211_v1:6:3350425:3356906:-1 gene:GSCOC_T00023738001 transcript:CDP06775 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 1 [Source:Projected from Arabidopsis thaliana (AT2G36910) UniProtKB/Swiss-Prot;Acc:Q9ZR72] MGIGTLGAFVHGCSLPLFLRFFADLVNSFGSNASNVDKMTQEVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTKMRIKYLEAALNQDIQFFDTEVRTSDVVYAINTDAVMIQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAIIGGIHTTTLAKLSAKSQEALSQAGNIAEQTIVQIRTVLAYVGESRALQAYSAALRISQRLGYKSGFAKGMGLGATYFTVFCCYALLLWYGGYLVRHHFTNGGLAIATMFAVMIGGLALGQSAPSMAAFAKARVAAAKIFRIIDQKPSVDRNNDRGLELESVTGQVELKNVDFCYPSRPDVQILNGFSLTVPAGKTIALVGSSGSGKSTVVSLIERFYDPNSGQVLLDGHDIKTLKLRWLRQQIGLVSQEPALFATSIKENILLGRPEASVVEIEEAARVANAHSFIVKLPDGYDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVVVLQQGSVSEIGTHDELISKGENGVYAKLIRMQEAAHEAAINSARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLDASYPNYRLEKLPFKEQASSFWRLAKMNSPEWPYALVGSIGSVICGSLSAFFAYVLSAVLSVYYNPNHALMIREIAKYCYLLIGLSSAALIFNTLQHFFWDVVGENLTKRVREKMLAAVLKNEMAWFDQEENESSRVAARLALDANNVRSAIGDRISVIMQNSALMLVACTAGFVLQWRLALVLIAVFPVVVAATVLQKMFMKGFSGDLEAAHAKGTQLAGEAVANLRTVAAFNSELKIVSLFTSNLETPLKRCFWKGQIAGSGYGIAQFSLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFDLLDRKTEIEPDDPEATLVPDRLRGEVEFKHVDFSYPSRPDIAIFRDFNLRARAGKTLALVGPSGCGKSSVIALTERFYEPSSGRIMIDGKDIRKYNLKSFRRHIALVPQEPCLFATTIYENIAYGHESATEAEITEAATLANAHKFIASLPDGYKTFVGERGVQLSGGQKQRIAIARAFLRKADIMLLDEATSALDAESERCVQEALERVCSGKTTIVVAHRLSTIRNAHVIAVVDDGKVAEQGSHSHLLKNYPEGIYARMIHLQRFSHGQAINIASGSSSSARHKEDQDGQ >CDP14299 pep chromosome:AUK_PRJEB4211_v1:6:36144822:36148509:1 gene:GSCOC_T00040609001 transcript:CDP14299 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIHPDGMMPSDKSVGIANDSFNTFFSETSAGKHVPRAIYVDLEPTVVDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGRDIIDLCLDRVRKLADNCTGLQGFLIFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIFPSPQVSTAVVEPYNSVLSTHSLLEHTDVVVLLDNEAIYDICRRALDIERPTYTNLNRLISQTISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISSAKAYHEQLSVPEITNSVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVASIKTKRTVQFVDWCPTGFKCGINYQPPTVVPCGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVDEEEEGEDFE >CDP06466 pep chromosome:AUK_PRJEB4211_v1:6:967020:969953:1 gene:GSCOC_T00023329001 transcript:CDP06466 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQESSPCHFKLWSELELHEFSDRFVIKPVESPDQGFSLSRFDGNIEQLDGDLGNTSGKVSTIYGVAGTIRLLAGLHVLVITSRKEVGTFLGYPVYRVMSMKFLSCNEASKFLTNQEKKDESYFMTLLKVVESTMGLYYSYDTDITLNLQRRFKLADGWMSKPIWKQADPRFVWNRNILEELIEKKVDGFIIPLIQGNILDLDSCHHAAL >CDP02958 pep chromosome:AUK_PRJEB4211_v1:6:11145364:11154083:-1 gene:GSCOC_T00041396001 transcript:CDP02958 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKRILDGEDDQQQERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAKLTGRSSSPKRIEGPDGRNLQLHFRSRLSLPLFTGGKVEGEQGAAIHVVLIDANTGHVVTSGPESCVKLDVIVLEGDFNTEDNESWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGYCEGIRVREAKTEAFTVKDHRGELYKKHYPPALNDDVWRLEKIGKDGSFHKRLNNAGIFSVEHFLRLVVTDPQKLRTILGSGMSNKMWDALIEHAKTCVLSGKLYVYYSDDSRNVGVVFNNIYELSGLIASEQYFPADSLSDSQKLYVDGLVKKAYDNWNLVIEYDGKSLLNFKQNKKSSASRDELPVGPVDYPNALDNQLSDPPRLPVPVPSEPSPVDPNMLIGGYNDNMATRYANQSQILNSSSRNQYGSTSYGLPDQQISNSHQIQNTRYDNRAALALAPPQSSSSFQTVGSSVQPSNLNPFEDWTQNRDKSVDDFLSEEEIRLRSHEMLENEDMQHLLRLFSMGGHAAVNVPDDNFAFQSYMPSPSPNYSYEEDRTRSGKAVVGWLKIKAAMRWGFFIRKKAAERRAQIVELEDE >CDP03284 pep chromosome:AUK_PRJEB4211_v1:6:8217433:8219799:1 gene:GSCOC_T00041818001 transcript:CDP03284 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFVDGGGSRAAHYEYKITSYFITACVIGSFGGSLFGYDLGVSGGVTSMDDFLKKFFPKVYERKHHHLNETDYCKYDDQVLTLFTSSLYYAALISTFGVSYVTRNKGRRMSIMCGAVSFFAGGLVNAAAENIAMLIIGRCLLGVGIGFGNQAVPLYLSEMAPAKIRGAVNQLFQLTTCLGILVANLINYGVQSIHPWGWRLALGLAMVPAAIMFVGGLFAPETPNSLVEQGKLDEARKILEKVRGTPNVDAEFADLLEASEAARAIKNPFSNLLKRKNRPQLIIGSLGIPAFQQLTGNNSILFYAPVIFQSLGFGSNASLYSSVITNGALVVAALISMALVDKFGRRKFFLEAGCEMIITMVAVGVTLALKFGKGEELSKGISAFLVIMIFVFVLAYGRSWGPLGWLVPSEIFPLETRSAGQSIVVSVNMLFTALVAQFFLKALCGLKFGIFLLFAGLIIFMSCFVYFLLPETKQVPIEEIYLLWQSHWFWKRYCAPDANEDGQEIQKPRKPNNETA >CDP03243 pep chromosome:AUK_PRJEB4211_v1:6:8651583:8652190:-1 gene:GSCOC_T00041757001 transcript:CDP03243 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSGKSSKTNVKAGNGQEIRRDRKSATGMNGSPKKGGHGGKFTWSGDGYSDVELGRIPKEALDVNDPNYEDPIKNED >CDP03005 pep chromosome:AUK_PRJEB4211_v1:6:10680477:10681673:1 gene:GSCOC_T00041466001 transcript:CDP03005 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDIMMDTPYTVNNRPPIKEIALAVALLVFGTLGIVLGVIMAVNKVGGDRAHGLFFAILGGILFLPGFYYTRIAYYAYKGYKGFSFSNIPPV >CDP03179 pep chromosome:AUK_PRJEB4211_v1:6:9069234:9071166:-1 gene:GSCOC_T00041681001 transcript:CDP03179 gene_biotype:protein_coding transcript_biotype:protein_coding MERWLPVFDIFLNSPCPETEASLWLQRSFDPSSTAPPISTSSFLQLLTNPSDVIVLNSSPSLQSPPPHTKRFMFIQMLPSFVQARILSFLVYDRKRFCKRDLIKFARSILGEDQELDFWVKKGAHQLLDLLSGSGFEWISNLNLDSEEDNAEDEFRAMPDWLKDAAKNRNSVLLPWLPILPDGVNLRKPFGNCEDDEDSENDAEKEKQEYGDDVMREDVVGGQEDVGMNPEVETRADFLKNQILKFETTSKTVEVTNEIRRLCVESRVDSLAILELIEPWKADDETASIMISQLLDGGEDELGWPSHVLCSIILPKMLSLTEPASRVLVSATIEYCKIHGRAAEYALLFPLILKVDGINNPMCDVLTRIVRECLHPAHAAAFFQKLLCEDAKNFICLPCHRCLIAGELVWTESLFYFMQNVLNHNVHLTQDAVEQLVQEVYKSTKRFPRSLKLGNFLLSFVNKFTPLLRPHKRSLMEAVEHTDTLVTKSLISKLASL >CDP15420 pep chromosome:AUK_PRJEB4211_v1:6:4643113:4643586:-1 gene:GSCOC_T00043149001 transcript:CDP15420 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIALRKKPIKVIKSDGRVLEYNPPMKVCHVLSKFGGHALYDSLPVVRHLHPDTDMIGGRVYYLLPLLTVHPQRAGDVSSKITATGQEGGVLRIKLVISKEELQAMLRKGGITVDNMVSKLQNNGKTCGTDSFSSDSRRSSPRWMPLLESVPEGN >CDP06249 pep chromosome:AUK_PRJEB4211_v1:6:24127493:24131662:-1 gene:GSCOC_T00022970001 transcript:CDP06249 gene_biotype:protein_coding transcript_biotype:protein_coding MKISTSTSLLCFLCLFGAVSVISESCEEKGFIKMKESGSVLGGSHGYRGDQNNGEIESIGRFAVQEHNKKENALLEFGRVIKAKEQVVAGKVYHLTLEAIDAGKKQIYEAKVWVKPWMNFKKLEEFRHTRDIPSFTTSDLGLNQGHLKKP >CDP03007 pep chromosome:AUK_PRJEB4211_v1:6:10646465:10652153:-1 gene:GSCOC_T00041468001 transcript:CDP03007 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGNCSFIPHSFTVTKQNRKIEKSNANRPEHAFPKWQLPPLLPRRKSSALLEFLYCCFYLFVQTRPPSSLNLPRSTETLISGDPELDDNAVALIGKNGLNTSLENPISSKVNLEDETSANLSLADGNDASLDAPASSKSNLDGEFHEKSTTKEHPTSSKSDFGDKDTGKEAPVDGKETSSEGLFSSESGNDNEVRRDSTSSSQSDDDLSNTKREKEGPVSEIYSEDFALWKGCDFYKGKWVKDEQYPIYRPGSCPYVDEAFDCQSNGRPDSEYLKWRWKPDGCDLPRFNATDFLVRLRGKRLMLVGDSMNRNQFESLLCILREGLHNKSKMYEVHGYKITKGRGYYIFKFEDYNCTVEFVRSHFLVKEGIRINAQGNSNPTLSIDRIDKSAGRWKRADILVFNTAHWWTHGKTARGQNYYKEGNYIYPKFDAVEAYRRAIKTWSKWVDNNMKRGKLIFYRGYSSAHFRGGDWDSGGTCIRETEPVVTGSILDSYPLKMKIVEEVIQEMKVPVCLLNITRLTNFRKDGHPSVYGKNVTGGRKVSAKRQDCSHWCLPGVPDAWNELIYATLVARQGSLMKHY >CDP06445 pep chromosome:AUK_PRJEB4211_v1:6:819577:820424:-1 gene:GSCOC_T00023302001 transcript:CDP06445 gene_biotype:protein_coding transcript_biotype:protein_coding MLICSCSSCSFAERLVAFACVEGLFFSGRNVLINSLPKRALMPGLTFSNEPISRDKGLHRDFACLLYRLLIIYVILSSVANGSSNVHEAVEIEIEFVCDALCCALIGMNATLMSQYIKFVTDHLLVVSLGSQKKYNVENPFDWMEFISLQYV >CDP06198 pep chromosome:AUK_PRJEB4211_v1:6:22171570:22174974:1 gene:GSCOC_T00022872001 transcript:CDP06198 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVQFEPWSHLDGKIVFVTGASSGLGKEFCLDLAKAGCKVVAAARRLERLKSLCDEINQLFPSPSRSSPVELDPNSTRAVAVELDVTADASTIETSVQRAWNAFGHIDALVNNAGVRGSISSSLDLTENEWNSTVRTNLTGAWLVSKYVGRHMREACRCGCIVNVSSVSGLNRAQLRGAVAYSSSKAGMDSMTRIMALELGEYKIRVNSISPGLFSSELTEGLVKKPWINNVAERTVPLRTFGTSDPALTSLVRFLIHDSSSYVSGNHFIVDAGYSLPGVPIFSSL >CDP10382 pep chromosome:AUK_PRJEB4211_v1:6:7024474:7026361:1 gene:GSCOC_T00031091001 transcript:CDP10382 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHHTREKQKKGGVMYVYKLDSGNLKKPSDSSSAKKGIIHSGISWGLRRSKTVREVVDHGRVKTSVEDETKEIVAESRKSVSSEAVAGGRKSVSHLEVNVASVASFLQVKVLVTDMPGFMQVHAFRCARGTYDSLEKFSAKHMAYNIKKEFDKVYGPAWHCIVGSSFGSFVTHATGCFLYFSMEKLYILVFKTKVERALEQS >CDP15764 pep chromosome:AUK_PRJEB4211_v1:6:26362850:26366165:1 gene:GSCOC_T00015832001 transcript:CDP15764 gene_biotype:protein_coding transcript_biotype:protein_coding MEYELRRLRGQYSFFSIHSYMTLALVEEEERESEMAGQGSSWNSTPLQVLHDVLGWIAFASWSISFYPQVILNFRRKSVVGLNFDFVVLNLTKHSSYLIYNASVFFSSAVQRQYHQKFGFDQMIPVAANDVAFSIHAVLLTAITLFQIAIYERGHQKISRTATAIVIVAWLAAAVCVFVAVPHHKWLWLVSCFNTVQVVMTVIKYIPQAVMNFKRKSTIGWSIGNILLDLLGGVTNYAQMAVQSIDQKSWVNFYGNIGKTLLSLVSIFFDILFILQHYVLYPSKEKALPPKLDAVSKERILKSSENAHSDNVRHKFIFEHSSGVKRVSYLDVVKFD >CDP06514 pep chromosome:AUK_PRJEB4211_v1:6:1352964:1358462:1 gene:GSCOC_T00023393001 transcript:CDP06514 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKLSARRGGRNSNSEDSLREAGKVMKESSVFGQYSDAELVAQLKGLALDPCNSEYSQSKIRPLWTQTIRVRKAMAMADTEFPRRKRKLQQLLKALPGYGSELSNQQCWTKLSRGRVLHASSASCFLSSVESAESFDPKVLLESNSSNSLLTFEDDFLEKQIYSESSLMCEPINCSPFWKDVFSLVDSDESVNCSNPASPGNLTFLEVPPLNMDDIGQRSKLGAFDDRNQKHLQPTRSNQKHLQPTRRSIRLLNFIGDNLQKMVVPVGPRFQAEVPDWTGPCCDEDCDSDSSRWLGTRIWPIEGREMKITTRVAGKGRPESCSCLSPASVECVRRHILEERLLLLCDAGSAFFSWKLDEAGEAVSKSWTSEEQRDFELLAKTKLNSTGKDFLKHALKCFPSKSRKAIISYYLNVFIPRRMSLQTRSAFSQVDTDEDEVEDSNYLGYQRKSEGNAPIGGCKNVRARFLRHPS >CDP10508 pep chromosome:AUK_PRJEB4211_v1:6:5994074:5994842:-1 gene:GSCOC_T00031255001 transcript:CDP10508 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVRCCSSLVGNLRKRASSKGIIYRSNSENLELCLNCFTWDEIGYSQQLPVRPQATSVRFLTNIHGKLLLLSASQDSDVERSIKQSSLDAENANGLDINRPIQ >CDP02949 pep chromosome:AUK_PRJEB4211_v1:6:11225623:11233221:-1 gene:GSCOC_T00041383001 transcript:CDP02949 gene_biotype:protein_coding transcript_biotype:protein_coding MISIMFVGHLGELALSGASMATAFTSVTGFSLLTGMGSALDTFCGQSYGAKQYHMLGIHLQGAMAVLLLVTFPLAYIWSNAGCILRFLGQDPQISREAGTYARFMIPSIFAYALLQCHVRFLQSQKNVLPMMMCAGITTLLHTFNCWFLVFKSGLGSKGAALANSVSYWINLLLLAIYVRVSPSCKETWKGFSKESLHDVPKFLKLAIPSAVMICLEVWSFEMMVLLSGLLPNPKLETSVLSISLNTSTLVYMIPIGLGSAISIRVSNELGAGRPHTAQLAAWTALFMISIEGTLAAGIMILGRNIWGYCYSSEEKVVRYVGEMLLFIAGSHFLDGLQSVLSGAARGCGRQKIAAIVNVGAYYLLGLPSGLLLAFVYHVGGKGLWLGIMVALFAHALFLLVITLRTDWEKEYGINSVVLRSLTKACPDLFLGDNGNLTKLKHFTFASNMEIKVFYSFCHDSIQHSTTCDLVRGINSYTHMFIGLSAENIDFSPSLLNRLHHQIVNSTYSFAFDNQYLRAQDTYRVRIFFKFLDMEREERAHQDLECPLIHYSQESEFQSKRKWDNVKIEVKKQLALAGPLMTVNLLINCLQVISVMFVGHLGELALSGATMATSFASVTGFSLLQGMASALDTFCGQSYGAKQYHMLGIHQQRAMLVLLLAACPLACIWANAGHILKFLGQDPEISTEAGLYARFMIPSIFAYALLQCHVRFLQSQNNVFPMMFSAGFTTLLHLLTCWVLVFKSGLGNKGAALANAISYWINLLLLAIYVRVSPSCKETWKGFSKESLNDIPKFLKLAIPSAVMICLEIWSFEMMVLLSGLLPNPKLETSVLSISLNTCSMVYMIPLGLSGATSIRVSNELGAGRPYAARLASQIAMFLVSTEGILAATIMILGRNLWGYCYSSEEKVVRYVGEMLLLVAASHVLDGMQSVLSGTARGCGWQKIAAVVNLGAYYLLGLPFGIILAFVFHIGGKGLWTGIIVALFAQALLLLVVALRTDWEKEAKKAAERVHSTTNTK >CDP03389 pep chromosome:AUK_PRJEB4211_v1:6:7312678:7315505:-1 gene:GSCOC_T00041953001 transcript:CDP03389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor EFM [Source:Projected from Arabidopsis thaliana (AT2G03500) UniProtKB/Swiss-Prot;Acc:Q9ZQ85] MASPSELSLDCKPHSYSMLLKSVGEQSDQAHKLEEFLSRLEEERLKIDAFKRELPLCMQLLTNAMEASRQQLQSYRANQVPKPLLEEFIPLKHPSSEGSDKAPTMSDKANWMTSAQLWSQASDQGTKQQSPVTSPKGTTDIGFGAVNPKQVLDNKQRNGGGAFHPFSKDRSISCPSHALRAIPDLALASSPDKDHAGDKKIIPESDLRRESSCRVNNGSGVVLEQGKGATTGQADSQTNSNPNTTSSQTHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQAVHSEPETKYNRHACLHERLDLYHYPSFVKKYRLHTRRPSPSPQAAVSAAPQLVVLGSIWVPPEYATAAAAAAHGGAPTALYGPHPTTHASAHYCATPVPQEFYPAQTPPPQPPHHQLHHHPLHNQLHVYKPPSQTQSSPESDVRGNGDRSESIEDGKSDSSSWKVDSNGENNAAERKVLVLREDGEESNGSEITLKF >CDP06709 pep chromosome:AUK_PRJEB4211_v1:6:2783833:2786812:-1 gene:GSCOC_T00023646001 transcript:CDP06709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G37090) UniProtKB/TrEMBL;Acc:W8QNT8] MGSVERSRKKVQLWKKAIVHFMLCFVMGFFTGFAPTGKSSIFSSRVSVSNRSDFSPQPLEVLQYQSKFQSYNRSLLDGSPAAVPEATSKSDRDAYDDHHPPKISKEEENEGDLIPRKLVIIVTPTSMKDTRRGVLLRRLANTLRLVPQPLLWVVVEQQSDSDEASEILRKTGIMYRHLVFKENFTDMRAEMDHQINVALSHIEHHRLSGIVHFAGLSNVYDLSFFDEIRAIEAFGTWPMAFLSANRKKVNIEGPVCESSEVMGWHLKNSSNLSTDTMKPAIHVSGFAFNSSVLWDPERWGRTSSAQDTSQDSLKFVKKEVLEEETQLKGIPSDDCSKVLLWNLDISHSSVKKMVTDKR >CDP10423 pep chromosome:AUK_PRJEB4211_v1:6:6739324:6741551:1 gene:GSCOC_T00031146001 transcript:CDP10423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleotide transporter 8 [Source:Projected from Arabidopsis thaliana (AT1G02630) UniProtKB/Swiss-Prot;Acc:Q84XI3] MESSKGNNDQSEPRDTYRIAYILHFFLGAGNLLPWNTLITAIDYYSNIYPNRHVAKVFSVAYMSSSVLVLVVMLSWGKWSNRFNLRFRMSLGFSLFAFSLMVTPTMDWTRHSNGTKVNSSVSYYMIVSSVVICGLADGLIAGSLIGSAGKLPKRYMQAIFAGTASSGVLVSVLRILTKASLPHTPKGLKTSAHLYFIVSTIILTGCIVCSNLLDKLPVMQQHYKHLQKVLPHSTPKFWKVARIIRWPALGVFMIYTVTLSIFPGFLAENIDSRFLRDWYPIMLITLYNISDLVGKSFTAIFVVQGIGKVTWGCIARLLFYPLFSVCLHGPKWLKSEVPVALLTVMLGLTNGYLTSCIMILAPKAVAAPEAEIAAIVMVVFLGIGLVAGSVLGWFWLI >CDP09548 pep chromosome:AUK_PRJEB4211_v1:6:20497010:20500647:1 gene:GSCOC_T00028965001 transcript:CDP09548 gene_biotype:protein_coding transcript_biotype:protein_coding MGIERSALGRILDMFPQLLTADPSNQIYPVFEFLLNNVEIPFSDIRKCIIRCPRLLVSGVENQLKPAFEFLMKLGFVGANRITCRTTVLLVSNVDHTLTPKIDFLMGLGFEYNEVAKMVIRSPVLLTFSIENNFRPKLEYFLEEMKGDLEELKRFPQYFSFNLEGKIKKRHQMLMQHRLSMPLSRMLKVSDGEFNARLIDMRLQLVEERQL >CDP09584 pep chromosome:AUK_PRJEB4211_v1:6:21164209:21164805:1 gene:GSCOC_T00029017001 transcript:CDP09584 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLDDQPPSSVVFLCFGSMGSFEPDQLAEMALALEWSGYRVLWSHSNISEMLPQGFLERVQNRGLVSSWAPQMEVLAHEAVGGFVSHCGWNSILESLWHGVPVATWPVYAEQQINAFELVRELELAMDLKMDCRMENAKNLVVAEEIEKAIRCLMDTENPTRKRVLEMKEISRKAIEKFHFPKH >CDP10088 pep chromosome:AUK_PRJEB4211_v1:6:14633183:14636517:1 gene:GSCOC_T00030693001 transcript:CDP10088 gene_biotype:protein_coding transcript_biotype:protein_coding MNWYLYIKKFSSANQKRKCCISLKIFHTFHASDRSHPRIEEILGKVRELSARIKGAGYVPDKGCVLHDVDDEQRELLLLLGHSEKLALAFGIIAIPQGKPIRVTKNLRICVDCHNFAKFVSQVYRRDASLRDEGRYDNIVVGKCSCGDHCTDFVTTQHQEKIHFDLWGWEEGGSIVAQKLSYVICSVLSLFEVEMSNCLYFSYKAFQEVILLNFFLIVQFPLLSISLSLRSQTRHRSGKMPSPKPLATAAGMLRARLSPALRTRGGDAHGPSRWATPGHQERPNGYLFNRTPPPPGQSRKWEDWELPCYITSFLTIVILGVGLNAKPDLTLETWAHQKALERLELESAASQDSSYCVSIVSNIYVNCISVAVFCSKCLGTW >CDP14242 pep chromosome:AUK_PRJEB4211_v1:6:36937017:36942396:-1 gene:GSCOC_T00040527001 transcript:CDP14242 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAPKFTGIIGLNNNHDDYGLSQNFYHKLNEGSNMSIDSYGSLQMSNGGGSVAMSMDNSSVGSNDSHTRILNHQGLKRVNNNYSVAASVNRGRVSHGLSDDALAQALMDPRFPTEGLENYDEWTIDLRKLNMGAAFAQGAFGKLYKGTYNGEDVAIKLLERPENDLERAHLMEQQFQQEVMMLARLKHPNIVRFIGSCRKPMVWCIVTEYAKGGSVRQFLTKRQNRSVPLKLAVKQALDVARGMEYVHGLNLIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPNIPNDCLPVLSEIMTRCWDANPDVRPPFSEVVRMLEAAETEIMTTVRKARFRCCMSQPMTMD >CDP06715 pep chromosome:AUK_PRJEB4211_v1:6:2810179:2812040:1 gene:GSCOC_T00023654001 transcript:CDP06715 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPgamma [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/TrEMBL;Acc:A0A178URC2] MSELHPQPTLKAVTLTHVRYQKGDQLGHFLAWVSLIPVFISLGGFVSHFIFRRELQVMFFAFGLLISQFVNEVIKTSVQQARPETCALLEICDSHGWPSSHSQYMFFFALYLTLLTYKRIGILARNQMSVVLLLVWPSAILTMYSRVYLGYHTVAQVLAGAALGTFLGGLWFWVVNSGLRSFFPVIEESAFGRFFYVKDTSHIPNVLKFEYENARAARKHVSYKRAD >CDP10182 pep chromosome:AUK_PRJEB4211_v1:6:13497484:13500430:-1 gene:GSCOC_T00030812001 transcript:CDP10182 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRSKGQFLLCNFQQIRTIVKVRLKWVKNRSLDHIIDVQTDLKAACLLKDAIARSSTGYLTSKSLENTQKLLGITVPTLRFIRRFPTLFHEFPHPKWPSLACFELTHVAKLLRQQEMKVFDECQTDLVERLCRLLMMSKSQMIPLQSIHPLKWDFGLPDDFDKNLVKRFPDHLQIVKGTSGLACLKLVQWREEFAVSELQKSNEKRGLESDNGADGRSAYKYREFKRGKSALEFPMSFPRGYGAQKKVKAWMEEFQKLPYISPYEDSRRIDPNSELMEKRVVGVLHEFLSLTIYKKTKRNYLRSLREELILPHRFTRLFTRYPGIFYLSLKCKTTTVALREGYRRGRLVERHPVARHRDKFHHVMRTGLVYRCKGIEVLPLLDSLIDEAEEDKVEGQSDEEEIETSDECYEAGTSEVESASDED >CDP03192 pep chromosome:AUK_PRJEB4211_v1:6:8986842:8991340:-1 gene:GSCOC_T00041696001 transcript:CDP03192 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNFDVPPMRLPPPPTSEVSCQLFNLYPIPCLMFFPSKSQALFLTPPLLSVRSLSSSCAAPRTIEYNNIATDSQFRNAKIPRFGPPGAPTNVSRNGGRNKDIPRFRLSNGSKTGPRNLDSPDSVLLPMQRGSKRRIRGDYLGGVDDDGAVVNLDRGRVLRWYSETFRYCAVNLCLNEGKARHANLIKIGIDPDSHLFVSLINFYAKCGALSFARRVFDEMPEKDVVSWTALISGFVAEGLGREGVELFCEMRREGIRPNEFTLATVLRACSMVSGLEFAKQLHAEVVKWEAFTDVYVASALVDLYAKCGEMEYADKVFFVIPEQNAVSWNVLLNGYAQLGDGHKLLNLFCKMTESDTRFSNYTLSTVLKGCASSQSLRAGQVVHSMAIKIGSAFDDFIISNALITMYMKLGLVYDGLKVFSAMSQKDVVSWNALLSGYHDGENSDQGPMIFKKMLIEGLRPNQYTLISTLRSCTSQLNASFGKQVHAYLLKNNLCTDGHVGTALIDMYSKCRCLDDVELIFNRLSERDIFTWTILIAGYAQTDNQGEKALGFFNRMQREGVKANEFTLASCLRACAGIASLINGQQLHSWAIKSGHFCDVYVASALVDMYGKCGCVDDAEMIFKSIETVDTVLWNTMICGHSKHGQNEKALQSFGAMLNKDVQPDGVSFIGVLSACSHMGLVEEGKKHFHLMSELYGIAPSVDHYACMVDILGRAGRFSELESFIQHMKIAPNTLIWKTVLGACKIHGNVEMGEKAAQKLFEIEPDEDSSYILLSNIYAAKGRWNDVSRIRALMSSRGVKKEPGCSWVEVDAKMHVFLSQDASHPRLTDIYQKLEDLHQRLQSVGYTPNTHYVLHNVPDADKKENLFHHSERLALAFALVSNANSRRIRIFKNLRICGDCHEFMKGVSDITNKEIVIRDSNRFHHFHNGICSCKDYW >CDP10065 pep chromosome:AUK_PRJEB4211_v1:6:15027652:15033465:-1 gene:GSCOC_T00030653001 transcript:CDP10065 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIPVPSTVAWSWVIEALSRSKQADTSLLIDIIKKAPEVSDDELGKNCREVVSLKILESLIVKGNQNKNKDAATVGQKLELDPSNNCEDVLRQISEMSAMDLTAVAPEMLNGDVQSFIVHKRASLPKPALQKLKDAILEGSHSILSSLKERSGLTVTTQCNDDIPVNGGNSNVLAQRLEDCSINNKVTLPYGNAGSPTHDNMDDESQENLPDTNLLPAKRKTAAMRSEIVEIQTDGDQTTLDDCCTSHVQATKKFKQKANFTDDTVVQNAIAPTTHGPSTTLSEGVVRYIEKEGCNSKKEVQCGSSTPQNVDDDLEGNFPESNSLPAKRNRTAIAAENSEGKTLKDQIPLGDGCDIRGEVVKKFKEDGNSMMDDIDEDTVASPVHGLMKFSSEGTVKHIEKEGCNLETEVQVGGIESNGSPHGDDDQHYQLKRIAQSSHAFHQDHSVCDLQVPHDDAKVAELHAELDGQNNSVDETDGHDQGFELQTENATSLAMGVMEKNNSPENPVQKFEGNFQLSFGGSGSKDDMQHNPDFDMTSDSDDYRDERMDIALKKDALLSSQCTYSQDSFATQAKYCVKCNKEGQLLVCSSDTCQLAVHSSCLPSAAHFDGNGKFYCPFCAYSRAISEYMQVKRKASFARKDLASFIGVQTVCQQKKATMKLGRESRNELQKNEGNNSEDFVNKVSDSHCGVKMGNKHQSEPPLSCSSDHSHSGEKVVSPSDGMPNTLVSGNLEPQCQLLGVQVEQPVVAHPVHGSDVDCKEAHMADRNEGNAGAEGKKVSEIPESALPQEPVCGPISESSEEDNEKSVRSYSIRLRRPIKNYTYPAIPQLRRKILPWTKAEEEKLKEGVQRLSSPHDRSIPWKQILEFGGDVFQRGRTTIDLKDKWRNICKGGPR >CDP09572 pep chromosome:AUK_PRJEB4211_v1:6:20943028:20944981:1 gene:GSCOC_T00028999001 transcript:CDP09572 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLKDKVAIAERTAKAEAQLKEKYHLRFKVLEERLKASSTCTTRSTPQGGSVCNGLSRRQSFGGGESLSKPPSNGISLKKTKFQSRSFRTNSSSALLEQSKFSSTLFGSGSRSLDGENTLTYENDIDNRFTNSCDHRHSNEIARIHENGYANEIGNLNAADKAEGENKDYVSGMLYDMLQKEVITLRKACQEKDQSLKDRDDSIEMLAKKVETLNKAMEVESKKMRREVAAMGKELAATRIGKEQDQRLRRVSSTRGPVNGTHQPAIR >CDP10135 pep chromosome:AUK_PRJEB4211_v1:6:13897377:13898303:1 gene:GSCOC_T00030751001 transcript:CDP10135 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAKETSADVAASAKSGMDKTKATLQEKAEKMTTDPVKKEMAERKKEEKLFEAECEKERMRQAARRGDHNLHTAGDPLGHAGPVREDYPTSVTSGVVGSQYPAGENKRSGRTGVLDPDRVEDVGRPGVQDPGVGGGARTGYGPGATY >CDP03266 pep chromosome:AUK_PRJEB4211_v1:6:8397014:8402054:1 gene:GSCOC_T00041788001 transcript:CDP03266 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMKDLRGGESVLDMSPRATVGGGVEDVYGEDRATEEQLVTPWVTSVASGYNLLRDPRYNKGLAFSEKERDAHYLCGLLPPALMTQELQEKKLMHSIRQYQVPLQKYIALMELEERNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKILEVLKNWPERAIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEKLLNDEFYIGLKQKRPTGKEYYDFLHEFMTAVKQNYGEKVLVQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLAGLVASLKLLGGTLAEHTFLFLGAGEAGTGIAELIALEMSKKANVSVEELRKKIWLVDSKGLIVSSRKESLQHFKKPWAHECEPVNNLLDAIKAVKPTALIGTSGVGKQFTKEVIEAMAALNEKPLIMALSNPTSQSECTAEEAYKWSEGRAIFASGSPFDPVEFNGKVYVPGQANNCYIFPGFGFGLVMCGAIRVHDDMLLAASEALAKQVTDEHYARGMIYPPFANIRKISAHIAAGVAAKAYELGVATRLPRPADLVKFAESCMYTPNYRSYR >CDP03289 pep chromosome:AUK_PRJEB4211_v1:6:8173333:8178726:-1 gene:GSCOC_T00041825001 transcript:CDP03289 gene_biotype:protein_coding transcript_biotype:protein_coding MVRILPMASSIRPSLSSFRSAAAAGPPSRFAAPFASPSHNSCRPLSLLHLGSAVPQSLSFGLKASKLLRGEGSSTAVSAAGNIAQASTAATEENVLEWVKKDKRRLLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGAGFGHFGIAVEDVTKTVDLIKAKGGKVTREPGPVKGGKTIIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLERAINFYEKAYGMELLRTRDNPEYKYTIAMMGYGPEDKSAVIELTYNYGVSEYDKGNAYAQIAIGTDDVYKTAEAIRLSGGKITREPGPLPGINTKITACLDPDGWKTVFVDNIDFLKELE >CDP02907 pep chromosome:AUK_PRJEB4211_v1:6:11658389:11660303:-1 gene:GSCOC_T00041322001 transcript:CDP02907 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHPNFSVKMNRILLDMKGKRFSPPPEQLYYVLEPPPSPSPPPPPPPSSLSSVHNGGFNLNNKVSPSILLIIIILAIIFFVSGLLHLLVRYLLRPPTRDPDEMDNVTALQGQLQQLFHLHDAGVDQSFIDTLPIFNYKAIIGVKSPFDCAVCLSEFEAEDKLRLLPKCSHAFHMECIDTWLLSHSTCPLCRASLIPDFSSNRHCSPIVLVLESGSESSREIVPDLGTTNSVARVSSHLSSRCEDEFRLPNSGALQKSCEIQAREDDNQQVLVGSGEKVVPVKLGKFRNVDGDGEGSSTNNADARRCFSMGSFAYVMDENSSLQVRIMTPAKKQSSKKPALPLTPGHRPAMSECGCDSRREFIGFEAFRSAEMQDTSNANSASLCNNGIHNKAVGSSRRESFSFSKIWLRGKKDKGNSATEQSSRRAFSFRFPGQGNVINEEDLKPNKNGGRRTISEIDIGRWENGGSDVVRDIETQSCNSLDSMSVNPPSFARRTLLWLMGRQNKVVHTPFSGNL >CDP15784 pep chromosome:AUK_PRJEB4211_v1:6:25548961:25568602:-1 gene:GSCOC_T00015872001 transcript:CDP15784 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MEESDIKFNVMVSLFNWMQKSKSSATKRSKFRKFLDAFCREPGDYFSAIRLILPVLDRERGSYGLKEHALATCLIDALGMSRDSPDAQRLLNWRKGGPKTGSSAGNFSLVASEVLQRRQGLTSGGLTLKELNEQLDRLSSSENRAEKTAVLSDLIRRTNAQEMKWIIMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLRDRSQRHKRQDIEVGKPVRPQLALRVSNASAAWKKLHGKEVVVECKFDGDRIQIHKNNSEIRFFSRSFLDHPEYEHAMSDIIAKNILVDRCILDGEMLVWDTSENRFADFGSNQGIAKAAKEGLDSDRQMCYVAFDILYVGDTSVIHQTLAERHDLLRKVVKSIKGRLEILVPNGGLNASRSPGEPCWSFVARSLDEVEKFFKDTIENRDEGIVLKDLASKWEPSDRSGKWLKLKPEYVRAGSDLDVLIIGGYYGSGRRGGEVAQFLVGLAERPMPNTHPRRFISFCRVGTGLSDEELDIVVTKLKPYFRKYEYPKKAAPSFYQVTNNSKERPDVWIESPEKSVIVSITSDIRTIKSEVFAAPYSLRFPRIDRVRFDKPWHECLDVHTFVKLVHSSNGTTQRGEAYGDSQDHKPKRMKSAKKGEKNLSVVPSHFIQTDVSTVKSETLIFAKMMFYFANVPSSHSVDLLHKMVVENGGNFSMNLNNSVTHCIAAESRGIKFQAAKLHGDVIHYSWLLDCCLQKKLLPLQPKYFLYLSERTKRKLEEEIDQFSDSYYVDVDITDLRQLLSNIDRREHPKRIDYYKKKYCPKEEWARFLGCCFYFYFPAQSIINLEWKVLVELALRRLKVAVSFGGGRISDDLSHATHVIVLSGPELTVDFNLLFSRLSAEKHLLLSQGLHVVSSQWLEDCIEKDQKLSEESYSLKPNMQEWILESELNLDKWSSLDKAEEHNTPVSKEYGTTTIRQATTNKPRVVTLPKKERRTKRGRSSGTNKTRGKTVVNQPRRVRARGGKPAKINEDESDGSGSSANLTFQKESVVQTGNSESGGLIGKQSPTKEHLMIEDSELSQKGKTIEQYPEDQSGDGIRVVEDNGMGSGNRNGTEENEKLEQMVDPVQAMLMHMIPSLGNKSRKTRGTDPAFADEGSSLDPNPKPEKKRKVSYKDVAGELLKDW >CDP03261 pep chromosome:AUK_PRJEB4211_v1:6:8473307:8474567:-1 gene:GSCOC_T00041781001 transcript:CDP03261 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFDVEEMFDLDTASTIPETTRLDGSSSDDQTNPMKLSESNASRHNRQVELPAVAATGACTVCMEAFVETGKQVPCGHVFHAECIGKWLTVRNSCPLCRFSVFSAGQASSTTTS >CDP15355 pep chromosome:AUK_PRJEB4211_v1:6:4064906:4068003:1 gene:GSCOC_T00043050001 transcript:CDP15355 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDLICFVLQYTVHLLGSFTWICGGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRQLFGRSKRRRSRSRSRSASPQRQFNYGERPRGGRGFPRRGDHLAHERSRRPRSRSPGRRGGRSRSPGGRRNRSPIREGSIERRAMIEQWNKEREQDGGFTKSYNSSPGERRNRSPTREGSAERRAMIEQWNREKEQADSAKGSSSFVTNNGSGGGGKTEHSSNDEYQKHQEEDGNHGY >CDP12665 pep chromosome:AUK_PRJEB4211_v1:6:16467318:16474420:-1 gene:GSCOC_T00036382001 transcript:CDP12665 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVGHAALVLFFALSVLVGHSQKLQSSQAQTLLRIQQFLNFPESLSGWKNDTDFCNPEPRSTLTVVCYEESITQLHIIGEKETNKLSKNFSIDSFVTTLVKLPNLKVLRLVSLGLWGALPDKLSRLSSLEILDISSNFFYGTIPRGILSLTGLQALIFDGNMFNGRFPDELGSLSVLTVLSMRNNSCSGSLPDSLGSLENLRILALSNNNFSGEVPDLRNLENLQVLDLENNSLGPQFPPVNKKIVSLVLRKNKFTYGIPDEVKSFYQLDHLDVSSNKFVGPFPSYLLSMPSITYLDISANRFTGMLFEDVSCNTELKFVNLSANLLTGRVPSCLLSNSRNRIFQYAANCLATKDVTQQPNSYCQNQALAVGILPHHRRQKEASKIILALSISGSTIGAVLLLALAFVLVRKFLAKNEAQKLPVRIIEENASTGYTSKILSDARYITQAMKLGAIGLPAYRTFSLEELEEATNNFDTSSFIGEGSCGQMYRGQMKDGTYVAIRCLKMKRRHSTQDFLHHIELISKLRHQHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISDRRARSKLNWTQRIAAAIGVAKGIQFLHTGIVPGIFPNYLKITDVLLDQNLVAKVSSYNLPLLAEHMGKDDVHSSNTSTQLKNLRVMHQEKFDIYDFGIILLEIISGRLIISEREVVVLKDQLQAVITADDASRKRVVDPAVSDACSDQSLKTMIEICCKCLLQNPADRPSVEDILWNLQFAAQVQDGWRGESFHSSDGSPVSSFKPPHQRLTIPWH >CDP17150 pep chromosome:AUK_PRJEB4211_v1:6:35400479:35407647:-1 gene:GSCOC_T00011690001 transcript:CDP17150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane import complex protein METAXIN [Source:Projected from Arabidopsis thaliana (AT2G19080) UniProtKB/Swiss-Prot;Acc:O64471] MEEVKNGEKLTLVTRKPCFGLPTACPTCLPVYIYLRFAQLPFDVEYNLIYPDSDQIPYVEFGSHVVYNNEKGGVIQSLKDEQTVDLDSDVSGIPEWVSTKAMVESWLAEAVMYELWVGSDGSSAQKIYYSDLPWPIGKCLYFKQIHMVKQLLGITKGNAERREEEIYRRATTAYNALSTRLGEQSFFFENRPTSLDAIFLGHALFTLHALPETSVLRSKLLDHANLVRYAENLKTEFVDADSSSSSVSPSQSDPSSSVPKRGPSNWKSNPKSKPKREKTEEEKKFKRRAKYFLVTQLVAVLVFISLLGGSNDAEVEFEDGDDGLDYE >CDP16105 pep chromosome:AUK_PRJEB4211_v1:6:33320351:33322080:-1 gene:GSCOC_T00017148001 transcript:CDP16105 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVVKGSDGDWSAKQLKGDLEASAASTFELQRKLVQAATAADSAGGVQSSFSFITPSSAVFQVVIGGGGGGGGFIGGGAAAAAAPAGGAPAAEAAPAKEEKEEKKEESDDEDFGMSLFD >CDP09512 pep chromosome:AUK_PRJEB4211_v1:6:19493508:19496314:-1 gene:GSCOC_T00028906001 transcript:CDP09512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein A [Source:Projected from Arabidopsis thaliana (AT3G57090) UniProtKB/Swiss-Prot;Acc:Q9M1J1] MDTKISQWLDSVTSFFNPGQHLPKCNRDIILGCEKEVAEVENSGTDEQKSESIMRLSWALVHSTQLEDVQRGIAMLEASLSGTNSPSQKSEKLYLLSVGCYRSGEYSRSLQLVENCLEIKPDWRQALYLEKTVIEQITKDGVIGVGISVTTVGLIAGGIITALASRKS >CDP06690 pep chromosome:AUK_PRJEB4211_v1:6:2634338:2639508:-1 gene:GSCOC_T00023624001 transcript:CDP06690 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQQSKTDLAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLKQSVQPKPDTSKINAKKKKEEEKAKEKELNDLFKVAVSQPKVPVGVDPKSILCEYFKVGQCAKGFKCKFSHDLNVQRKGEKIDIYSDTRDQGKEQDTMDDWDQETLEKVVESKSNEYNKNKPTDIVCKYFLEAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQIKALIEEETEKISVEEEIEDQRAKVTTTTPMTPELFMQWKKKKMEERDASLAAQVADRAKNDRMSGRELFLSDASLFVDDVGAYDQYQRHEESDVPEQKGDKVSTTDGPSSSTSVAQAAEVLSDDNVDDDDDDDLDVDELDELEASLSRASLQINEPSDRV >CDP02943 pep chromosome:AUK_PRJEB4211_v1:6:11287674:11288336:1 gene:GSCOC_T00041373001 transcript:CDP02943 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLESCSNCSVLKPEVPFHTDEMNPKYHPLLFILLTTLLCNVLSASSEASLPPQATRERPADNETIYKISKKLCWNCLAESIEFLFSHNLVRAAKWELPLIWDSQLQQYANSWAGQRQADCALKHSFPEGDFKLGENIFWGGGSTWTPTDAVKAWADEEKYYNYQANSCADGQMCGHYTQIVWKTTRRIGCARAICESGDVFMTCNYYPPGNYIGERPY >CDP10137 pep chromosome:AUK_PRJEB4211_v1:6:13862393:13866887:1 gene:GSCOC_T00030754001 transcript:CDP10137 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSAKESLLLAFKDAGGFEALVTGNVTDMQRIDVNERIVSLERLNPIPRPTTSPYLEGQWNFKWFGDGSPGFFAAKLVFQTFPSTLATLSKLDVLIKDGYANITASLKFVNSIDSNFILSTRLSVEGPLRMKEEYVEGVFESPKLNEETIPEQLKGAFSQAVSTVEQLPGPIRDAVASGLKVPLGGRIQRLFMISYLDDEILIIRDIGGVPEVLTRLDPAPGTKEDQITDYES >CDP06492 pep chromosome:AUK_PRJEB4211_v1:6:1166202:1168364:1 gene:GSCOC_T00023361001 transcript:CDP06492 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEVDFLMGLFEKGLKDDLKLILLREDIRNSVIGDTSKLPRNLDESIARVEKTTMNNPRLHLVVAVNYSGKHDVVQACRRISQKVKDGLIVPEDIDEVLVEQDLEMCRVSLP >CDP14177 pep chromosome:AUK_PRJEB4211_v1:6:18505601:18508671:-1 gene:GSCOC_T00040429001 transcript:CDP14177 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGRDSIKPFDVCCLCLKPFIDPLCCQKGHVFCKECILECLLSQKKDIQRKLEAHSAQQKQDKEEEEERLMLQKARELDAFDQQNHGAVPQYSDKNYNRDKNGFHGANSVKTTSFEEEALRTMKAFWLPSATPNAPVKVEAPSMSTICPEGKEKLKLKSLFPVYFTEDTSELKKSKSLDKNFICPSCKVTLTNTVALMALNSCGHVFCKKCGEKFMAVDKVCLVCNKPCKERNLVNLGKGGTGFAGHGDHLEAKDFKHLGSGSGLGLVRPAMKT >CDP15651 pep chromosome:AUK_PRJEB4211_v1:6:32826266:32828139:1 gene:GSCOC_T00015593001 transcript:CDP15651 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRKDSFITHRAFCDALAEESARFAPIPSANLNFRNELFNGGLSSLQPAGNPQFSNSIAGLDPATGQLNLNGQKPRLPLWLDNANTQLNNPIGNHPGNSNAFLASSSTSLPELVQMTPTNMLGLSSQNQWFNIGGNASSSGLPRVLKEEEENRGNLSDHISSLYYNNGQNSSQHETAPAHMSATALLQKAAQMGSTRSNSAIFGTGFGLMSSSLSSLSNFNSLNQSRNELQNFGQAENLNGLMTSTSQSTMTTNQGDGLLLGNMSSSNNLVGNLRHPSAPPTIMPGSTDRGGSQSKSSGNEAEGGLTRDFLGVGGNESRPFLQQNELVKFASSMSSAMDFGNQ >CDP10154 pep chromosome:AUK_PRJEB4211_v1:6:13757682:13759238:-1 gene:GSCOC_T00030776001 transcript:CDP10154 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCIYAESALFFGRKLKGRRLKMRGVAVMMQGRIAFKENYLLRSFLCHHLLLHQLLRDGVKGIKLFH >CDP14309 pep chromosome:AUK_PRJEB4211_v1:6:36016404:36021978:-1 gene:GSCOC_T00040628001 transcript:CDP14309 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGRLRLIICSICLLSWVPTPLASSSSHPVCPAASHSRPFSILHALTSQCPPFFFPNSPLHVNGNLLERVLSSKARNSYAAVLFYASWCPFSQRAYMTFEVLSSMYPHIEHLAVEQSSATPSLFSRFGIHSLPAIVMVKQKSRTRFYGSKDLDSLVEFYKQTTGSEPVQYVAANQSGSSVTSPKLIVQSWMGSSIREMVTREPYLIFSVLFLILRVLVYVMPTVLYRLEDVWASYRPHLNMEIFGETSQVLGRILQMIDVKRAWSKLRICKNRNFHQSARNARVWASSLASVSLGETSMLQEIWAKKG >CDP09519 pep chromosome:AUK_PRJEB4211_v1:6:19582251:19586119:-1 gene:GSCOC_T00028920001 transcript:CDP09519 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKAFSVVYDAHLRHKAGARGTVPTATCTTLSFLSPRNSILQSAFHSAASQAYSTRSKTRNCPPEIVRSGSRGLRSDIDSISNLDEALGFYKQMVRMRPLPSVVHFTQLLGRIVKMKQYLVVISLYRDMAELGCIPLDEYPLCIVINCYCFLGKVNFGFSILGSFFKRGILPNTATFTTLLKGLFREHKIHEAQGLFKKIIYEKLCILDEITYGTVIDGLSRAGNTSMAIQVLRFMEKGGRCRPHTAAYNTIIDGLCKDKMMDQALSLLHEMIEKGIAPDVITYNCLVQGLCNLSKWKDVENLLTEMKAYNIVPDVITFNILIDALCKEGQLADAEQVLTAMIEQNQNPTTVTYNALMDGYCLQGQMGEARRIFDKMAASGLSPDVQSHTILINGYMKKMKVEPAMNLFHEIQHKGLTPNVVTYNTVLQGLFGVGRYLTAIEVFNEMQAAGLKPDFYTYCVLLDGLCKNSHVEEALHLLQKMEVEGVDCQISMYNIIIDGLCKSGRLDTARHLFYSLSSKGLDPDVKTYNSMINGLFSEGLLQEAKEFIKKMEENVCTPDLITFNIIVQGLLKAGEFYDAVVYFDEMDRKGFSLHLSTFSILLDSYKDSGNDPSLFKIIEKFASKIGNRSLTVGEGGSYP >CDP06724 pep chromosome:AUK_PRJEB4211_v1:6:2871035:2876296:1 gene:GSCOC_T00023668001 transcript:CDP06724 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGRSLEETPTWAVAVVCFVLVALSIIIECVIHLIEKWLTKRNRRALCEALEKIKSELMLLGFMSLLLTIGQGPISEICISKSLGNTWHPCNKKQEHSRHDIDADKEASGHRRLLAFPESSYGQRRVLAAAGYDKSLLGKVPFVSSDGIHQLHIFIFVLAVFHVLYCITTYALGTAKMRMWKAWEMETRTSEYQFAQDPERFRFARDTSFGRRHLSFWSHSTILLWTVSFFRQFVRSVPKVDYLTLRYGFIIAHLAPQNQANFDFQQYIKRSLEEDFKVVVGISPLIWLFAVLFLLFNTHGWQSYLWLPFIPLIIILLVGTKLQVIITKMGLRIQERGEVVQGTPLVQPADDLFWFNRPRVLLYLIHFVLFQNAFQLALFVWSWYEYGFQSCFHENTKDVAIGISMGVLIQILCSYVTLPLYALVTQMGSTMKPTIFNKNVAKALHTWHQTARKHIKQKCHSDSVGPVSSQPSTPLQGTSPVHLLHYYKNEIDSVETSSRISSYNTQPWEKGSPQLSCLQNDPSSTQQARNNHTIEGRVASEQVKNKEGLVPQTHLFQHKVDIPSADF >CDP19043 pep chromosome:AUK_PRJEB4211_v1:6:34413838:34415389:1 gene:GSCOC_T00012875001 transcript:CDP19043 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSEKRREMKKPELVFIPSAGMGHLASTVELAKLLIDRNEHLSITVLIMKFPFETKVISNTDSQAEASDSSIRFVELTKDESASQTASPSLFLYQFIEDHKSRVRDVLAEISSSASFDLAGIVIDMFCTSMIDVANEFGVPSYVFYTSGAAMLGLVFHLQSLRDDFKEDVTDFENSKVELAVPTYINLVPVKVLPSGLFDKEGGNMFLNQAKRYRETKGIIVNTFLELESHAIQALSNDKTIPPVYAVGPVLNLKGSNGQNQETEIIMKWLDLQPDCSVVFLCFGSEGCFDGDQVKEIAYALERSGYPFLWSLRRPPPKGKFESPGEYENPEEVLPDGFLQRTAEVGKVIGWAPQAAVLSHPAVGGFVSHCGWNSTLESVWYSVPMATWPLHAEQQVNAFQMLKDFGMAVEIKMDFKKKFLEPSTEIVAADVIEKAIKHLMDPENEIRKKVKGMKEKSRLALNEGGASSASLGSFLDDVIDYIQ >CDP06651 pep chromosome:AUK_PRJEB4211_v1:6:2355973:2362186:1 gene:GSCOC_T00023572001 transcript:CDP06651 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSDIKVLELSCSTCFRLEVYEFGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLAETDSKAEAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLAETDSKAEPPTIKINKPDQAAGAAQAAQKSACCGS >CDP15287 pep chromosome:AUK_PRJEB4211_v1:6:3560473:3562359:1 gene:GSCOC_T00042959001 transcript:CDP15287 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQNNTTASFLGSVILLLLVVTSILLLFGATSTKAQEVEDEREFDYAEGSKKGPKRWGKLKKEWAACSNGAFQSPIDMSNERVRLISKPERPIYKPTNATIKNRGHDISLQWGGDAGSIAINGTVYPLRQAHWHSPSEHTIRGRRHLSLFSGFLRSFFRYDLELHMVHLSTDPNLKNKIAVIGVLYKIGKPDKFLSKLTSYISTMIDEKDEQRSLDVVDPRQIEMHSKRYYRYMGSLTVPPCTEGVIWTINRKVRTVSRDQVMLLREAVHDYAERNARPIQQQNGRDIYLYGPAAP >CDP10149 pep chromosome:AUK_PRJEB4211_v1:6:13790360:13791888:-1 gene:GSCOC_T00030771001 transcript:CDP10149 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKGVMLGPDQPVIRHMLDIPPATEALNGVLVVANPANTTALILKEFAPSIPTKNITCLTRLDHNRAHGQISEKLGIQVSDVKNAVIYGNHFCNLLLRFSKFSIHCHSLSALSAASAGCDHIRDWVLGTHEGTWLSIGVYSDGSYNVPVGLIYSFLVTCKNGEWAIVQGLSIDEFSRKKIDAIAWLSVNNAILQS >CDP03151 pep chromosome:AUK_PRJEB4211_v1:6:9291055:9294221:1 gene:GSCOC_T00041648001 transcript:CDP03151 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVFSLGEGDRAIFLQNLVQSSGCTYICLWSYDPQPSICLRYRDGYYHERSNNQQPSTSSGSLAQRRFIEYGQSIIIVERSHIPGLAFLNNLPYMELQLADLRRMASTETQRLFYEEAGIKTAIFMGCNAGEIELGFSDGSQVNMGLEMRNLFPVDFSHPLLDPTTTRDQLPQQPSTTDQNRPASSSSSLRSLSYDSPEYSPLLFDMPATSYLVPEPQREAMIQQALGSAHRPVFSPTAASPHEQAIWALNQIRNIQLPTIESEDAAMTEAMIAVISSSASPSSSVQQPQQNFPPNYRVNGSHASAFRRYRPSLAPRPPTSSRIQGENVLKRSITFLRTLNSMRSQEQMPAGRTSSTLHHMISERRRREKLNESFQALRSLLPPGTKKDKASVLTSTREYLSSLKDQVAELSKRNHVLEAQLLPKMSAAVEETISGVSSVERVEVQIRDVGASTSASSSSSSSSRIVDLQVILRAEVSMVDLVVRLLEFLKIDQNVSLMSVEANTRMAEPTSVSNVVLMRLRIEEGEWDESAFQEAIRRVLNDLAQ >CDP10235 pep chromosome:AUK_PRJEB4211_v1:6:12833117:12840373:-1 gene:GSCOC_T00030898001 transcript:CDP10235 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSIAHATLGLTQPNQIVPPKISFAAKEIDLVEWKGDILAIGVTEKDIAKDESSKFQNPILQKLDSKLGGLLSEASSEEDFTGKAGQSTILRVPGLGTKRVGLVGLGQAASTTAAYRSLGETIAAAAKSAQASNVAIALASSETLSADSRLTTVSAIATGTVLGTYEDSRFKSESKKPTLTSVDILGLGTGPEIEKKLKFAESVSSAVIFGINLVNAPANVLTPAVLAEEAKRIASLYSDVLTTTILNVEQCKELKMGSYLGVAAASANPPHFIHIVYKPLGGSVKTKLALVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVLGAAKALGQIKPAGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACIIALGSSIAGVYTPSDDLAKEVLEASEVAGEKLWRMPLEESYWESMKSGVADMVNTGGRPGGSITAALFLKQFVDEKVQWMHIDMAGPVWNEKKKGATGFGISTLVEWVLKNSS >CDP14264 pep chromosome:AUK_PRJEB4211_v1:6:36719834:36720577:1 gene:GSCOC_T00040556001 transcript:CDP14264 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDEVENNDGNLDQMYTLDEALSVVGFGRFQVLVLCYAGLGSIAEAMEVMILSFVGPAVKSQWELSSAQESLITTVVFAGMLVGAYSWGIISDNYGRRQVPLLLYWTS >CDP06663 pep chromosome:AUK_PRJEB4211_v1:6:2453205:2456249:-1 gene:GSCOC_T00023586001 transcript:CDP06663 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIDIFCASQAATSISCLSMDDPSSSSTIHLGGSGRAIDRYNPIIRDPRRFGGGSSSSRALPHVPSCSSQTPISPKPSSHSHQQTPYPHHHHHQNNNGTMSAMSSSSKPRNEKSHGKSTNTKKKFKMNNSNRSSSAELKPPSPNDEEKKKSSGSIKPASVHEPSDFRSSTSSFGNRKSWSCSSTKAAAGDFISPPGSSRYLLSDQAAFFNLITEDFDPLIKLLPPVVEAEPPPVNHSKPSNSDDNNSKALQKDDQSKSRSTDQVVVLRVSLHCRGCERKMRKHISRMEGVSSFNIDFAAKKVTVVGNVTPLEVLNSVSKVKNAQLWTPAAAAAAATAVPSFSHTNSSGINRNSQTTLLS >CDP09594 pep chromosome:AUK_PRJEB4211_v1:6:21342948:21343334:-1 gene:GSCOC_T00029028001 transcript:CDP09594 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLDDQPPLSVVFLCFESLGSFEPDHGFRFLWSVRSPIPKDFTYGTAECSNFSEILPEGFLESVENRGLVCGWAPQIEVLAHEAVGGFVSHCGWNSTLQSLWNGLPVARWPLYAEQ >CDP15371 pep chromosome:AUK_PRJEB4211_v1:6:4213568:4216508:-1 gene:GSCOC_T00043079001 transcript:CDP15371 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHQYGMADLRQYMNGSRPLFAPIPPPPPDLLSGHRTLTVVPTQQHYHEMVMGRGFRSDSSTSTTTPSIRCGLSTGAAIGFGSGGLEMEAGCGLGGGDGGTGRWPRQETLTLLEIRSRLDPKFKEANQKGPLWDEVSRIMSEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGDTSNAASVSENHLLGNSVRYNAVANNTTSVSNQEASFQAQKLSDSLSLSNSSDFDTTSSEDSDLQATVDNDSNEKRKKRSKGKRSWKAKIKDFIDSQMTKLMKKQEAWLENMMKTIEQKEQERMLREEDWRKQEAARVEREHRFWANERTWIESRDAAMMEALQKLTGKELVKASTTPDDQELMAAHGLQSMNKHHNDNGSETIPSFLKGDSWAECEITKLIQLRTNMEPRFQQIGCPEEILWEEIAAKMACVGYDRTPLMCKDKWDSVSSYLMKCSKKRKESSRFCCYNDQGASPPNGDTGHGMHDGCYRYLMGDAENLWENYGAKFSKGVNQ >CDP06781 pep chromosome:AUK_PRJEB4211_v1:6:3407062:3410309:1 gene:GSCOC_T00023747001 transcript:CDP06781 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVNAAASSLALSSQSPASFLPSVPFSLFPLSSTSCLYPSESRRYSSFVTSRKRVLSGLHVAANNQISETSDDSVEDTEDYEMEEANTETVVYSFSPLPLLFVAALPGAATVRSLFEPFVELVKSWNLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRVSDDVEEKAKAKDLHPKLLGGMFIFFALDAAGGITSLLTSDKPIFESPHAVTGIIGLALLTLQSILPALFEGNPGLRNPHGILGSGIMTYCFLSMLHLDFSWAVIHVTKMLNVISVCVQ >CDP10592 pep chromosome:AUK_PRJEB4211_v1:6:5305481:5306557:-1 gene:GSCOC_T00031358001 transcript:CDP10592 gene_biotype:protein_coding transcript_biotype:protein_coding MERRWKPNVEISPACPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKGRRRNNRTFRDGATTIGIPLRAAVAGDGASSTSSMHACTFARAREEGSTNIDLALVYANFLNQKSSGAGAHEVDDQIHEGAAAEPHDRHRLEIPILMPPNNIAAVGVGGGSSFHQFSSVESLQMMDLMTNNNQLSQEPFAERGSVSDRSSDNHFSAGDAFYFSGLDSIERQQVATDYDHLTSCATTDTRNALNQINNYMLPPLPGEELSTAHSEGMVWSGCCDQELLFPSHKFHGNQSSSGLVESHVVQDRSNHQLDESRSPFNLSIELCNIFRP >CDP12644 pep chromosome:AUK_PRJEB4211_v1:6:15660217:15664880:-1 gene:GSCOC_T00036347001 transcript:CDP12644 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSLKKLKAWGSETRWPFLTAVFLLSKHFKSILPDQKAKVDISLAGPFAGAVLSFSMFVVGLLLSSNPAVASDLVQVPSMLFQGSLLLGLISRATLGYGAMHAATVSIHPLVIAGWCGLTTSAFNMLPVGCLDGGRAVQGAFGKNALVAFGLATYTLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVTEVGNWRRAILSVAIFLVVLTLLPVWDELAEELGIGLVTTF >CDP03236 pep chromosome:AUK_PRJEB4211_v1:6:8708760:8710323:1 gene:GSCOC_T00041749001 transcript:CDP03236 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCDIEGTGHHLTAAAILGHDGAVWAQSSNFPQIKPDEIKGIMTDFDEPGHLAPTGLHLGGTKYMVIQGEPGAVIRGKKGPGGVTIKKTGQALVFGIYEEPVTPGQCNLVVERLGDYLAEQGL >CDP03013 pep chromosome:AUK_PRJEB4211_v1:6:10585134:10589856:-1 gene:GSCOC_T00041478001 transcript:CDP03013 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSERMSRIKTRKRIQHQQLAIRNPNSETLFAIILAALYCSSDNESYSSRNFHLINHCLNRLHRSLPQYLSPPILSLLPILLNSKSDEIACKSAELVGAASLISIEMNEMITLEDGIIMGLINLMLGSSSSDVSVAACNATLDLLTTSIGRQRLLHFSVLEKLIVCFIQEYKPFPAVVSLFSDLKGSGSCLRMVFEEEEYTVLLFHATLVLINSCTTKQLQDIPGNLSKSFINHLKRLWEEVHRMILCCTSLNYSQERHFCASNIGTNNLAESIFRLSIGNNQVEEHSNVKEIMRSIFPLGEVDFQQFILDYWEMSPLLIKGSLKDSLKQGNIFGCLVECFQSKEIPSFLLSMLKKLTSCPPIESDELNVHHFLEEARDNLGCPIIYEQDIRVVRTHYSEELHYSFRQSGSSRSEAHFFNVNDMLECEEAYNSGYTIALRGMEFRFESIAAIADGLAALFGQPSAGVNMYLTPPHSQGLSCHSDDHCVFVCQLGGVKEWNIFPRSSLQLPRLYQSNGNWPDSDPENQAVNGKLQFLLKEGDVLYIPRGFPHEARATIDEDGSARFSLHLTLAIEVEPPFAWEGFVRVALNHWCEKQGGPQRQFSDSMSWSLYITSVNLLHVAIKLIGDNDSTFRKACLVGQISLSTATEGWLSMNQRMIFNYLTSRINTESKFSDFLEFLETAVQKHEDFFQQLRWLQHLTMERDSSHGSCISSTDTRTILHFCMQHKDIVEDAFLLVKSKFCAEVLFEDVEPNYKMLLERYKRVRQQYTKGMLSLHLTS >CDP06246 pep chromosome:AUK_PRJEB4211_v1:6:23964976:23971561:-1 gene:GSCOC_T00022965001 transcript:CDP06246 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRQDSLLSSSHRQTPPPENRHAKQIGCMAGFFKLLSRYQNRNKRLTSGRKHVKSTSKEAKAMVEQEMKQQQQDSSSALKEDNKNISNTNGQNLENKRLSSSCDITPRSPMLPPEIRQSNALTTSEKSTTAKSLLVARLMGLEEPSEVNRNVKVEQEKSSSSTTEEKRRKLLRALEKCNEDLESLKKIIVAVQSDQKKRLKPPPPVMKEDHNLAKTCLKRNAEQLMMRPVAALEEMSRYYHTTKANSNGIMAHQSKRISVKKPREEDNICYFTKVNTATVKEKHRITTPRFWSSKAMIQSVDEVCNHISWGEKRELGNIGMVLQNHICKDLIEEVLYDLGFCKVHELPLEACKRRLSF >CDP15425 pep chromosome:AUK_PRJEB4211_v1:6:4664055:4669460:1 gene:GSCOC_T00043154001 transcript:CDP15425 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILSSTTTPIFGPFLGSKTLSTKRPFHVKLSAQSSETPIAASTSVSTKEEPSTSSGPSFSPPPNFRPPQPKPFSVRPDKNLDILGGSLALIFRLGTGVFVSGYSASFVPKNEIPPNQYALEIAGFKVKETSKLGPRPERPIEIYEFESCPFCRKVREIVAILDLDVLYYPCPKNGPNFRPKVVEMGGKRQFPYMVDPNTGVAMYESDEIIKYLVGKYGDGNVPIMLSLGLLTTLTEGFAMIGRMGKGSSYTPSKMPLKPLEIWAYEASPFCKVVREILVELELPHILRSCARGSPKRQVLYEKAGHFQAPYLEDPNTGVQMFESAEIVEYLKATYAL >CDP06469 pep chromosome:AUK_PRJEB4211_v1:6:979546:984214:-1 gene:GSCOC_T00023332001 transcript:CDP06469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase 4, peroxisomal [Source:Projected from Arabidopsis thaliana (AT3G51840) UniProtKB/Swiss-Prot;Acc:Q96329] MKFPSSKAQGDMYKEAKRSSYFDLPALDVSIAFPQATPASIFPPCASDYYQFDDLLTPDEKETRQKVRKCMEKEVAPIMAKYWEKAEFPFEVVPKLGALCIAGSTLKGYGCPGLSITASAVATAELARVDASCSTFILVHSSLAMLTIGMCGSESQKQKYLPSLAKLDTIGCWALTEPDYGSDASALRTTATKVAGGWILEGQKRWIGNSTFADILVVFARNTTTNQINGFIVKKDAPGLQATKIENKIGLRIVQNGDILLKKVFVPDEDRLSGVNSFQDTNKVLAISRVMVAWQPIGIVMGVYDMCHRYLKERKQFGAPLAAFQINQQKLVQMLSNIQAMILIGWRLCKLYESGTLTPGRASMGKSWITLRARETVSLGRELLGGNGILADFLVAKAFCDLEPIYTYEGTYDINTLVTGREITGISSFKPAAVRQQSRL >CDP14149 pep chromosome:AUK_PRJEB4211_v1:6:18180831:18185656:-1 gene:GSCOC_T00040388001 transcript:CDP14149 gene_biotype:protein_coding transcript_biotype:protein_coding MCHMAACALLSYVSIVFLKIVPFQRIKSMSQFLRIATLSIVFCASVVGGNISLKYLPVSFNQAVGATTPFFTALFAYLMTLKREAWITYLCLVPVVGGVVIASGGEPMFHLFGFIMCIGATAARAFKSVLQGVLLSSEGEKLNSMNLLLYMSPIALVVLLPAAIIMEPNVLEVTVSLGIEHRYMTILLLLNSAMAYGANLCNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVTFTGLAGYTMTVMGVVAYGEAKRRYK >CDP10534 pep chromosome:AUK_PRJEB4211_v1:6:5740141:5744095:-1 gene:GSCOC_T00031292001 transcript:CDP10534 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDLIKLNYNYNLNELATILLGFVHSIKIWITGLSRDPALVKKIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDPKIVSAMTEIIPGLQGEIPSNGRLGVPYLAGQKNIAACAKHFVGDGGTTEGINGNNTVISRHGLLSIHMAGYYNAIIKGVSTVMISYSSWNGIKMHANREMITGFLKNTLRFRGFVISDWQGIDSITSPPHANYTYSIVAGVSAGIDMMMIPYNYTEFILLIPATHRPCHPLPPFATRNSDLTFDSLPSIC >CDP03370 pep chromosome:AUK_PRJEB4211_v1:6:7521766:7522599:-1 gene:GSCOC_T00041928001 transcript:CDP03370 gene_biotype:protein_coding transcript_biotype:protein_coding description:DVL10 [Source:Projected from Arabidopsis thaliana (AT4G13395) UniProtKB/TrEMBL;Acc:Q6IM91] MTANLNNGTTISSTSLSLSGLSIYDGLSYTLLPAQSIFFSLDSISKLISEVPGRESPVSTTMSISYLIPPSSGSQRKSGRRNGFRKRCLMVMKQQKTRIYILRRCVTMLLCWHDHTLSD >CDP06676 pep chromosome:AUK_PRJEB4211_v1:6:2524506:2527075:-1 gene:GSCOC_T00023607001 transcript:CDP06676 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEWLKEFARGMIKPVAALAVVLMAMLLSYLQRLGLEGEMIYSIFRSFLQLSIIGFVLQFIFNQSSSIWIVVAYLFMVSVAGYTAGQRAKHVPRGKYVAGASILAGTAVTMFLLILLNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKRLRDDIKIQMTLVETALALGATPRQATMQQVKRSLVIALSPVLDNAKTVGLISLPGAMTGLIMGGASPLEAIQLQIVVMNMLIGASTVSSIMSTYMSWPSFFTKAYQLQTKVFISD >CDP14226 pep chromosome:AUK_PRJEB4211_v1:6:19216417:19217594:1 gene:GSCOC_T00040498001 transcript:CDP14226 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDFLSNSSKSHHNASKRSKKEEDQVSFEVADALNQPFADGSFDLICCIECENHISDKTKFVLELSRVAAPGATIIILTWCHRDLSPLEQDLHPDEKKLLTHVLRRNPLKWISTADYINLFKSCSFQEIKYADWSPHVAPFYAEMRKITLSWKGIMSYVRHAGWRQMDIKFLMMPSMFDRFKNGLLKYCILTCQKPQ >CDP10204 pep chromosome:AUK_PRJEB4211_v1:6:13246898:13251937:-1 gene:GSCOC_T00030850001 transcript:CDP10204 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQLLLSFLLIQTTLVAARGRGRRHPAVVPPPAKKWLTLNGGEPVAVARGGFSGIAPESSLPAYTTAQQTSLASTILLCDLQLTKDAQGFCQSSLNLQNATTIATAFPDLKPRTYNINGKNVEGFYGVDFLADDLLKNVFLVQSIYSRSPGMDGSYPLLTPYDVVGMQGPPPVLWMNVEYPSFYDGLKLSASAFIIDTMRDLNPAYISSPEIGFLKGLVGKVNPAKLIFKIPGINEVEPTTKKTYVTLLSDINMIKTFASGIILPKDSIWPVDSGRLLLPATSSVSDFHKTGLEVYASGFANDNYLSYNYSYDPTKEYLQFIDNSQFSVDGVITDFPATASESIACLAQNKNAPKIVKGKKFYTLYPLIISHDGASGDYPGSTDLAYQKAIDDGTEIIDCSVQLSKDGTAFCLPSADLIGTTTAASLFMDRSTRIPEIQDKNGIFSFDLTWTEIQSLKPQLASVFDGPLARNLANKNAGKFVTLNEFLDLAKKRAVTGVLINIENAAYLASNKGLDIVGAVTTALSNATMDKQSTQKVLIQSDDSSVLSKFQDIKTYQRVLAIDKDISGSTQETAQEVKKYADAVKVFRNSIVLDYPNPVFMSLNYSNLVEVMHAANISVYVGILRNEFQNFLFDYYADPYVELATLTQKGVDGIVTDYPATANAYMRSPCSNPNANLPYTILPINPGDMFNNLVTAKPPEAAPAVPLLQNADVDDPALPPVAKISAPPPASPSESGSNSLPAPAPKKSRSTKTASNTGLMVAAMVAVLTILSYNSKVY >CDP03372 pep chromosome:AUK_PRJEB4211_v1:6:7504409:7508691:-1 gene:GSCOC_T00041930001 transcript:CDP03372 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKPTSFRDRTLEFQSVADRLRKSSSSASNAPSSSGAGAYDAGSGGFASTAQPSRSAAPIQSEFNRRASRIALGIHHTSQKLSKLAKLAKRSSVFDDPTVEIQELTAVIKQDITALNSAVVDLQLLSNSQSESGLSTDSAAHSTTVVDNLKNSLMGATQEFKNVLTKRTENLKVHENRRQLFSNTTKESTNPFVRQRPLVSKTSSNSAISPPPWANGSTSSSQLFPRNQADGESQPLMQQQQNQQQQQLVPLQDSYMQSRAEALHNVESTIHELSNIFSQLATMVSQQGEIAIRIDENMEDALANVEGAQGQLVRYLNSISSNRWLMIKIFFVLVVFLMIFLFFVA >CDP06769 pep chromosome:AUK_PRJEB4211_v1:6:3303954:3306100:1 gene:GSCOC_T00023729001 transcript:CDP06769 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFGSPYRGDPGVPHSDPDRFVNIWVGSAIFSALTWTNPYMWTLSNQFNWHDKAMLFEQYHWKKALEKGQPYKFKWNELDKEVRDSYYFNWPVYFP >CDP06560 pep chromosome:AUK_PRJEB4211_v1:6:1678527:1681615:1 gene:GSCOC_T00023454001 transcript:CDP06560 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVKKGEPVEIDEEKWVYDASVDHKGRVPCRATTGVWKASLFIIAVEFSERLSYFGIATSLIIYLTKVIHEDLKTAAKGVNYWSGVTTMMPLLGGFLADAYLGRFSTVLISCIIYIMGLLLLTMSSTIPALKPCDNIGICLGPRKVHEVIFFLGIYLISIATGGHKPSLESFGADQFDDNHPAERRHKMSFFNWWNFGLCCGLLLAVTLIVYVQEHVSWGMADIILTVVMACTIVIFCVGKPFYRYRRPSGSPFTPMLQVIVAAISKRKLPYPSTAGDLYEVSKFAETQGTLLCHTQRLKFLDKAAIVDDKQNCSADKKQSPWRLATVTKVEELKLVINMIPIWLTTLQFGICVAQASTFFIKQGTVLNRKIIGGFLIPPASIYCIAAIGMLVSVSVYDRILIPFLRRLRGNERGIQILPRIGIGMVFSLLTMVVAALVERKRLGVVEENPSKASTSMTVFWLVPQFLIIGIGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGVANFLSSALITMVDRITERTGKSWFGKDINSSHLDYFYWLLAGMTAVNLAIYVLVARQYSYKNVQRTTVVVADCYEGGGVEEMA >CDP10639 pep chromosome:AUK_PRJEB4211_v1:6:4867067:4869565:-1 gene:GSCOC_T00031417001 transcript:CDP10639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP18-2 [Source:Projected from Arabidopsis thaliana (AT2G36130) UniProtKB/Swiss-Prot;Acc:Q9SIH1] MWASSEGGAPEVTLETSMGSFTLEMYYKHAPRTCRNFIELARRGYYDDVKFHRIIKDFVVQGGDPTGTGRGGESIYGPKFEDEITPQLKHTGAGIICMANAGPNTNGSQFFITLAPAQSLDGKHTIFGRVCRGMEIVKRLGSVQTDNTDRPIHDVKILRAVVKD >CDP14327 pep chromosome:AUK_PRJEB4211_v1:6:35846485:35853234:-1 gene:GSCOC_T00040653001 transcript:CDP14327 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMGMPAYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSQITRTSLGPNGMNKMVINHLDKLFITNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLCVSFAGELLQNAEELIRMGLHPSEIIIGYAKAINKTIQVLEELVEAGSDTMDVRDTNQVISRMKAAVASKQFGLEDILCCLIAQACIQVCPKNPANFNVDNVRVTKLLGGGLHDSKIVRGMVLKSDAVGSIKRIEKAKVAVFAGGVDTSATETKGTVLIHSAEQLENYARTEEAKVEQLIKAVAESGAKVIVSGAAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAVALLKLSPPNPDDLGYVDSISVEEIGGVRVTIARNEEGGNSVSTVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELAIRLKEFSLKETGLDQYAISKFAESFEMVPKTLAENAGLNAMEIISSLYAEHASGNARVGIDLEEGTCKDVSTNSIWDLYITKFFALKYAADAVCTVLRVDQIIMAKPAGGPKRDAPAGMDED >CDP10111 pep chromosome:AUK_PRJEB4211_v1:6:14316991:14317309:1 gene:GSCOC_T00030723001 transcript:CDP10111 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHTYNQEEETYDIIIFRGRVINTWADIINRANLGMEVMHEHNAHNFP >CDP14276 pep chromosome:AUK_PRJEB4211_v1:6:36450515:36455114:-1 gene:GSCOC_T00040577001 transcript:CDP14276 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQKTPSTNLLFLSFFFFVFHFPQLFFLAPSAAPQVSNYRCPVLGAGFAGLSVAWHLLQHGSRDLPLLVDIYDEVGICAGASGVAGGLLHPYSPEECWNSCWRTCVLKLQIGRASSLKCVEDGYRMDLATYISDDIFYIRGILRPAVSLKNINIMDHVRFKDYILYFSMDKHAAENLVPGLSVPLNVAFHMPEALNVHSQYYLENLWIFFSINCHLNKRVLQMHERWYQSIISFSGEYNAVVICLGARAAFLPEFSGILPLRTCRGVVTHMQLSDNIREEYPQHSPSILSDAWLAVQMSSKICIWAQHGNGGLQITQGLSQQ >CDP06437 pep chromosome:AUK_PRJEB4211_v1:6:771545:773029:-1 gene:GSCOC_T00023291001 transcript:CDP06437 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTKTDGTPKFHPAASDFRPENIPVSQPPIHEGLQFWQFMVAGSVAGSVEHMAMFPIDTLKTRMQAIGTSSSACKTAAPSEKVGQFFVSIMRAEGLGGFYRGIAAMGLGAGPAHALYFSVYELCKKHLSPNNPNNSVAHALSGVFATVASDAVMTPMDVVKQRLQLSGSPYKGLGDCVKRVLLEEGVGAFYASYRTTVVMNAPFTAVHFATYEAAKRALMEVSPQNYVRDDEETLLLHATAGAAAGASAAAVTTPLDVVKTQLQCQGVCGCDRFSSGSITDVVQAIVKKEGYGGLMRGWIPRMLFHAPAAALCWSTYEAVKSFFHTRNGSEDG >CDP14127 pep chromosome:AUK_PRJEB4211_v1:6:17892777:17894190:1 gene:GSCOC_T00040360001 transcript:CDP14127 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLIGSFCSLASFNFRQIKAEKFITFGQVIISPLQISSRPRTPLPITVISALYFLIGVARISFFLLAVLHPRPAPIFRYGRIQDTFRAFYSLSSAWRLGGGDSGIGNATGTRGRRKLLGFVGIQTRFSSADRREALRSTWLPSEPEGLFRCFQSVLLSFFLFVCIDIELVQLSCFSNAI >CDP06712 pep chromosome:AUK_PRJEB4211_v1:6:2795652:2800065:-1 gene:GSCOC_T00023650001 transcript:CDP06712 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 57 [Source:Projected from Arabidopsis thaliana (AT3G09720) UniProtKB/Swiss-Prot;Acc:Q84TG1] MAERDTSFLFSGTSFNRKKFAAVFTRFKEKKGNDVSPRTEPKLVEDATPAFQEDEGVKTHYVDKKRKRKAVDSDPVEGFSVFKSSKSQKANEGDQQAENAIMLEKKEYYRQLEGDAIFRKKHNIHVSGSNVPSPLRSFAELKSRFSSKSYILRNLAELGFEEPTPIQRQAIPVLLSGRECFACAPTGSGKTFAFVCPILMKLKRASKDGVRAVILCPTRELAAQTARECKKLAKGRKFYIKLMTKQLVKGADFSKLCCDILISTPFRVQFAIRKKKLDLSKVEFLILDESDKLFELGLVKQVDSVVKACSNPSILRSLFSATLPDTVEELARTIMHDAIRVIIGRKNSASESIKQKLVFVGSEEGKLLALRQSFAESLNPPVLVFVQNKERAKELYNEVKFDDIRAGVIHADLSQVQREDAVNNFRAGKTWVLIATDVIARGMDFKGVNCVVNYDFPDSAAAYIHRIGRSGRAGRSGEAVTLYTEADVPYLRNIANVMAASGCEVPPWIMSLPKLKWKRHRPQREAISTKPVGEDE >CDP15634 pep chromosome:AUK_PRJEB4211_v1:6:32061887:32071847:1 gene:GSCOC_T00015560001 transcript:CDP15634 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHASASHGEDGEEKKIQKSYFDVLGICCPSEVPLIENILKSMDGVKDVSVIVPTKTVIVLHDSILTSQLQIVKALNKARLEASIRVQGVNSAQKKWPSPYAIASGVLLLLSFLKYFYYPFHWLALGSVAFGIIPIIFRALSALRNLTLGDINILLVITVAGSIVLRDYWEAATIVFLFTISEWLESLASHKATAAMSSLLNLVPQRAVLAETGEEVDVNDVKVNSVLAVKGGEIIPIDGVVVEGNCDVDEKTLTGESFPAIKQKDSNVWAGTINVNGYISIRTTELAEDCVVARMTKLVEEAQNNKSRTQRFIEKFAKYYTPAIVLMSAALAVIPASLRVHNQKQWYHIALVVLVSACPCALILSTPVAIFCALTKAAKSGVFFKGADYLETLANVKVMAFDKTGTVTRAEFEVTEFRSLLDDCSLSNMLYWVSSIESKSSHPMAAALVDLAQSHQVEPKPDKVEKFQDYPGEGIYGRIDGKEIYIGNSKISARAGCPSVPKLGGNIDEGKSVGYIFVGSTPAGIFSLADVCRTGAKEALKELKSAGIKTVMLTGDSYAAARHAQDQLGGALDAVHAELLPQDKAKIIEDYQKLAYTAMIGDGINDAPALATADIGISMGISGSALATETGHVILMTNDIRRIPKVARLARRVRRKILENMILSVATKGSIVALAIAGHPLVWAAVLADVGTCLLVILNSMLLLREKPKHQRKCCKSSAASALDNKQNKKCSGRDSLHILPCCSAIIPQEHCNVKSCCSRNSAPRHQSGPQSSSSSSCRSSKIADYTDKHSCCGNGKGIQMAKCSNLGSDNSDLEHIGELSTHQHGELSCSKSIKMLGKSLSPAKEDNGKSSESDLLHTHQPCCHAIKPQKQCEVDTCFSKNCAPTYQSGALSSSSRGSCKKSEFKNKKSCCERDKQARKPKCCNRGSCKGNTEHIGLSTHHHGESNCSESSKRHAFDDGVNEIEQCSSFNCKSCGSSVQDSQLSSKTEVEVKLCPDHPKDHITADEELGEPKRFCCGQDQGDNFQSLCKDHSADCSPPYQQTTTDILGGHNHVGCGAPRACLSKRHVGGCCESFRKECCVHGGHFEASFRGGLSEIVIE >CDP03361 pep chromosome:AUK_PRJEB4211_v1:6:7605791:7606923:-1 gene:GSCOC_T00041916001 transcript:CDP03361 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGNLSFFSLVREILDQVDQWLTYKEHFSFNQNISSILFLSPPPRSTTFSSPATISSAAFGIFFPFLPLPLPL >CDP03395 pep chromosome:AUK_PRJEB4211_v1:6:7240843:7243854:-1 gene:GSCOC_T00041968001 transcript:CDP03395 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQLCRDQICRRTPTFHHHHPTTAAKPSTALLFIPSKNNPSKIRTTLTRGKPKLGWAVRMSIVERSPPKEPTSVNDVERLVGFLYEDLPHLFDDQGIDRTAYDERVDFRDPITRHDTLSGYLFNIATLKLLFRPHFQLHWVKQTGPYEITTRWTMVMTFMLLPWRPELVFTGTSVMGINPKTNKFCSHIDYWDSIKNNDFFSIEGLMDVLKQLQIYKTPELETPKYQILKRTATYEVRKYKPFIVVETDGDKLSGSSGFNAVAGYIFGKNAAEEKIPMTTPVFTQAVDAETSKVSIQIVLPSEKDLSSLPAPNEEAITLRQVDGGIAAVTKFSGEPIENIVREKEKALRSAVIRNGLKPKPGCLLARYNDPGRTWSFIMRNEVLIWLEEFTLE >CDP02856 pep chromosome:AUK_PRJEB4211_v1:6:12378589:12394416:-1 gene:GSCOC_T00041253001 transcript:CDP02856 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTGKAKPHKAKGDKKKKEEKVMPTVIELTVATPEDSQVTLKGISTDKILDVRKLLAVHVQTCHLTNYSMSHEVKGPRLKDSVEITSLKPCHLTIVEEDYTESQAVGHIRRLLDTVACTTSFGGSSPSPKPNSKPGSKDTGHKNSGSSESEPGPENVVSDPTSRPKLSDKKPGTAAHSQKPKPEIIPADGGLAENNGDAAAAMMCPPPRLGQFYDFFSFSHLTPPIQYIRRSNRPFLEDKLEDDFFQIDVRVCSGKPTTIVVCEKGFYPAGRRILLSHSLVGLLQQLSRAFDAAYKALLKAFTEHNKFGNLPYGFRANTWVVPPFVAEQPLAFPPLPVEDENWGGNGGGQGRDGKHDNRQWAKEFAILAAMPCKTTEERQTRDRKAFLLHSLFIDVSVFKAVAAIKNLVENNKHSTTGSSSIKYEEKLGDLFIGVTKDVADASMKLDCKTDGSRVLGMSQEEITKRNLLKGITADESATVHDTSTLGVVVVKHCGYTAIVKVVAETNQNDSLVSQDIEIDDQPEGGANALNVNSLRMLLHKTSTSQTSSPVQRSNITDLEDLRTSRSLVRKVLGESLLRLQGEDTKQAKPKPVRWELGACWVQHLQNQDSGKTDSKKGEKAKAEPAVKGLGKSGGLLTDIKKKSDDRASKSEQRKEVANDGFGAGKKELATLDEAKEMMWKELLSEASYLRLKESETGLHLKSPSELIEMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMFSLGCVVELADKLPHVQSLCIHEMVVRAYKHVLHAVVAAVDNAGDLASSIASCLNVLLGTASRGNADSEITDDDTLKWKWVKTFLLNRFGWKWKDENSHDLRKFLILRGLCHKVGLELVPRDYDMDSSCPFKKTDIISMIPVYKHVVCSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGPDDLRTQMFLQDAAAWLEYFESKALEQQEAVRNGTPKPDASISSKGHLSVSDLLDYIIPDEVRDAQKKQVRAKVKAKVGQNGETVEDKYNKDELLSPAHSVVENSSDKENKSDLENKSELLYVENIDQKHDLFSAEQAILNDHDDLAQDNISEEGWQEALPKGRSAIGRKPPGSRRPSLAKLNTNFMNTSQVSKFHGKSTNFSSPRTSPDESAAASTPTPALKKFGKSASFSPKSINPAISSTGAEKSANPKSASASPVHTDPVSKAGQTISSVSAQTAGKLFSYKEVALAPPGTIVKAVTEKFPNESSAETSLPSSKETVDPDEQMNENANRMVQIEEGATTLVKSLEGDETGGSNVKGSEVIEGKQEKSTVESKEGETAQGSVLEAENSVTSEKSELGTSEVEVFERQDDKCKGASSENEPISTSVENTTLLSEKHTFHQKNEVEANQEKLSAENEANEDKEIASSLPTKGEKQGDTKAGKGTSKKLSATAPPFNPSTVPVSGSVPVQSFKELGGILPPPVNIPPLASVCPVRRSPHQSATARVPYGPRLSGGYTRSGNRVPRNKTVYQTAEHNGDGSHFSLPRAMNPHAAEFVPGQPWVPNGYIAYSNGTAVSPNAYTISQNGVLVSPNGSRAPLHVFPVTQDGLPSSGNLTVESSIVEQGEQDQSDIGEKPCDDESGVAAKSCTDPEEKPTGTATPIPEIVAAKESCGCIVVENTKIKRWGDYSDGETEIVEVQS >CDP15313 pep chromosome:AUK_PRJEB4211_v1:6:3764667:3766196:-1 gene:GSCOC_T00042997001 transcript:CDP15313 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPHQPRLDDADADTEPEHSIYSDELKPTTSHQRSFSWLEMGSLWVGLVVGVPAYYVAGSLVELGMAWWQGILTVVLANMILLIPLILTGYAGTLYGISFPVLARSSFGIRGAHVPALLRSLIACGWYGIETWIGGQGIFLLLPQTAKESALSKALPWLGTSPLEFGCFVVFWLAQLAIFWNGIDGIRKLENLWATLALNIPDFTRYSKSQKDQIIGQAGLPIFMGAFSFVGLAVTSSTEVIFGRVISNPIQLLGEIGGGFWIKIVAVLGISLATVTTNIAANVVAPANALVNLSPSTFTFQRGALLTAVLGIAFQPWRVFGSSESFVYTWLVGYSALLGPAGGVILADFYLIQNRNLSIKQLYSLNPSGTYWYSGGYSLAAMTALVLGILPVIPGFLHEVGLLRSVPHTLALIYDNSWFFGFFSAGILYCIISLFKGKQSKDGLEPLMSPKSSP >CDP02944 pep chromosome:AUK_PRJEB4211_v1:6:11283897:11284403:1 gene:GSCOC_T00041375001 transcript:CDP02944 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYHGSIVIFLLLHIAITGAAVKNGNSYARFVNQFLATQNAARAALRMRPLVWDANLARYAQSYANQRRFDCALRHSDGPYGENIFWGSGTGWSPAQAAAAWVVEKKWYNYWSNSCALGQECGHYTQIVWRQTTRIGCARVTCFGGRGVFMTCNYNPPGNYIGERPY >CDP06777 pep chromosome:AUK_PRJEB4211_v1:6:3372726:3376971:-1 gene:GSCOC_T00023742001 transcript:CDP06777 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPFYGKDGIYRSQKPPVVLPEDPNLSMVPFLFRNFPSLSQSTALIDAGTAESFTFFDLLTQVSKLSHALLKLNINKNDVVLIFSPNSVLFPISFLAVVGIGAIATTVNPLYTINELSNQVNDSNPKLIITGSFDAADSYNTLFCHYSDLINSTSPASSDSLWSSAVQTDVAALLYSSGTTGKSKGVVLTHRNFIATASMVTRDQECYGNSKNVYLCFLPMFHIFGLSVAVYAQLQKGNTVVVMERYEMEKALHAVEKYKVTHMYAVPPVVVALGKQKKVVSKYDCSSLREIACGAAPLGKDVIEECAKNFPQAVIVQGYGVTETCGIISIEDVKTGPPHSGSTGVLFPGVECKILDVDTAEPLPPFQKGQILVRGQNMMQGYFKNQIATNETIDKQGWVHTGDLGYFDDKGLLYVVDRIKELIKYKGFQVAPAELEELLLTHPEISDAAVIPLPDAEAGEIPVAFVVRSSNSSLTEREVQNFVTPYKRLHRVIFSRSIPKSASGKILRRKLRQRAQSNL >CDP06266 pep chromosome:AUK_PRJEB4211_v1:6:24584578:24587896:1 gene:GSCOC_T00023000001 transcript:CDP06266 gene_biotype:protein_coding transcript_biotype:protein_coding MGMELLPSTNQASEAAAAAAAAGGSGGGSQHIYCRKQKSLGLLCSNFLRLYDREGVETIGLDDAAARLGVERRRIYDIVNVLESVGVLARKAKNRYTWKGFGAIPSALQLLKEEGMMTNENANDGTSSVKTSDDEEDDTYSNLSNYSSQNHNFNPISAPKLPQSSKYADNRKEKSLALLTQNFVKLFLCMDMDMISLDDAAKILLKNGKDPAMTRSKVRRLYDIANVLASMKFIEKTHHPETRKPAFRWLGMKGISESGADPSAIDGSKKRAFGMDLTNRTVRRSKVEPVGDGGLQQGMKVQLKAQVKREALEDEVLRPNLQIDSRASTKSYRFGPFAPVNAPHVAASEENKETQSHDWESLAATYRPQYHNQALRDLFAHYVEAWKSWYTEVAENPIQLIS >CDP03364 pep chromosome:AUK_PRJEB4211_v1:6:7571414:7581354:-1 gene:GSCOC_T00041922001 transcript:CDP03364 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDKFLAKVSGIQSLSSSVHSTPEKNGQSDDASRSPKVLQEFLKSGARKDLLHTCLEKEKKQSASSKCKMSEPATKISKKPIKNQDQKKTASSPKDQPLKKQNRKAENPVRIPPTTEQCADFKCSNSWICKNSACRATLSIEDTFCKRCSCCICHLFDDNKDPSLWLECASESGQGDYCGLSCHIECALQRGKVGVVDLGQLMQLDGSYCCAACGKVSGILGYWKKQLNIAKDARRVDVLCYRIFLSYRLLDGTSRFKELHEIIKEAKAKLETEVGPVNGVSAKMARGIVSRLSVAGNVQTLCSLAIEKADEWLTSKSSATADYREGSLPAACRFLFEEVTSTSVVIVLIELSSVSSNDVKGYKLWYCKSREENYTKEPVCVFPRAQRRILISNLQPCTEYSFRIVSYMEGGDLGHSEAKCFTKSREIIHKNSNSVAENHSHRKENPDSGGSSSAEMEHRTPTAIESDSGFKVRDLGKILRLAWAQEQGCFERFCGADIVRCSSVSKVIKPESIQEDVPSVSRELDLNVASVPDLNEEAAPLIESSRDEDNVSHDIEKNGVARSHGSGDSQNWNHGQNGEVPAVDSKVEASRKRAASNGETHDSDSTLINGSPFRVRNGPGCLDENFDLRSTDQERRVVNTFIQTLLDDPSSLAGQLVDSFTDIISSKRPRNGFCSRLWH >CDP10460 pep chromosome:AUK_PRJEB4211_v1:6:6343578:6347664:1 gene:GSCOC_T00031192001 transcript:CDP10460 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGQRTSSAPSAVLASLLCKRAKLHEELRNIEKQVYDMETSYLQDPSQCGNVLKGFEGFLSSSKNTTLLKRSRKFQPEDRLFSLSSTTSPAAEEQAAGRDGGALLANGQGKPKKGRGGPRDAKRSRQSSEPDFDYEDDADLM >CDP03287 pep chromosome:AUK_PRJEB4211_v1:6:8199923:8201305:-1 gene:GSCOC_T00041823001 transcript:CDP03287 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQEGCSLRKCGLLLGKFQPFNHLSMNSLSLCICFLHLAFFQVKAQTSANQYGVASPDAVTNFQPSLAVVIGILAIMFSLTFILLLYAKFCRSTSSNSVRTNLQITDGLPRSRSRFSGIDKTVIESLPFFRFSSLKGSREGLECAICLSKFEDIEVLRLLPKCKHAFHINCLDEWLEKHSSCPLCRHKISADDLSSFTYSNSLRFMWNEAELKEESNMELYVQREESCLGSSRFSIASSYRKTGKDVKEDELPIQLNGDADENGKIFHKFNHKIVVSDVVLMKNRWSNVSSSDLMFLNSEMLNHVSSDRFSALESGITGQSTPTRVIGDGELMNIKQEMDRKREFEIKFSKIQHNDSFPPLPNFARASSGSKGDSRINESNVLNPSEKRSMSEIIVRPRFREFNTRNNDDREPSNPENSVKEEKIRRLWLPIARRTAQWFANRERGSTQPQNTRQSLNA >CDP14227 pep chromosome:AUK_PRJEB4211_v1:6:19243471:19245361:-1 gene:GSCOC_T00040499001 transcript:CDP14227 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSVTYLGNVSSRARPRRRRLSRDVLVSAESSNHENPQMKDFESKPESILLYRNDEKKPPVNDFESKPESILLYHGDDAKSNAEKPHMKDFESKPESILLYHSGEEEKPLIKDFESKPESILLYHGDKAKFQEGKLHIRDFESKPNMKDSK >CDP06241 pep chromosome:AUK_PRJEB4211_v1:6:23781594:23784687:-1 gene:GSCOC_T00022954001 transcript:CDP06241 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRPVRGQKKRKKVHKKLKPSNMACGSSEESSPDWFDALAKKIASNLDSRPSKGLDSFECVFKMSRRTFEYICLLAREHMAVKTHFAFSNGKPMSLYDQVALALNRLSSGRSLVSIGDAFGTSQSTVSQVTWRFVEAIERNGHQHIRWPSAENELMDIKSQFEQIQGLSNCCGAIDTTHIVMLLSTSKRRTDAWLDSKENYSMPLQAIVGPNLKFLDIFSGLPGMLNESSLLPYSSFYDKCQKGERLNGKKTKLSQDAELQEYIVGDSAYPLLPWLLTPYQGNDLSQTKVDFNKHHLATRVVAQRALARLKDVWKMIDGVVWRPDRHKLPSFIFVCCILHNIVIDMEDEVLEELPLYHCHDPGYGQDFCDSADVTASVSRDNLALYQSERNHP >CDP06759 pep chromosome:AUK_PRJEB4211_v1:6:3238022:3245814:1 gene:GSCOC_T00023717001 transcript:CDP06759 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCDYDTTGHALGDEFTRLSVGDSPETLPIIHEYYQGSTASEDCHSPSRPYYSGYRFGPVDMDDAAPSTSYSGPEDGSFDREMYSYDLEITEESELDGEVGKRLNQMIPVPHVPRINGDIPSVHEATSDHQRLLDRLQLYDLVEHKVQGDGNCQFRALSDQVYRTPEHHESVRQQVVSQLQAHPEMYEGYVPMEYSEYINRMSKNGEWGDHVTLQAAAHMYGMKIFVVTSFRGTCYIEILPKVQKSKRGKLWFFWSEVHYNSIYPQGDLLLSDFKKKKKWRWFGNKH >CDP10244 pep chromosome:AUK_PRJEB4211_v1:6:12754789:12758177:1 gene:GSCOC_T00030907001 transcript:CDP10244 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGSVNSQFGTALLWHAKLKNVSPRLQLLENKPQRVLSSLDSLKFACSPVAPELRGRRPSENLGQCKAAVIRCDHPQNADLPRYYSKKEKKPFPVPIVELRRAARERFKNSKGQPRRPIPPPKNGLVVKSLTPLAYSVLNARITLINNLKKLLKVVPVQGCKWCNEIHVGQFGHPFRSCKGPTASIRKGEHEWGDAVIEDILVPIEAYHLYDRLQRRITHDERFSIPRIPALVELCIQAGVDLPEYPTKRRRKPIIRIGKSEFIDADESDLPDPETEAPMPRILTEIPDGEINPPSSAEEIAVLAEETLQAWEKMRKGAKRLMKMYPVRVCGYCPEVHVGPSGHKAQVCGAYKHQQRNGQHGWQTAVLDDLIPPRYVWHVPDVNKPLARELRNFYGQAPAVVEICIQAGAAVPEHYKPTMRLDIGIPKDAKEAEMVV >CDP14125 pep chromosome:AUK_PRJEB4211_v1:6:17857659:17862980:-1 gene:GSCOC_T00040357001 transcript:CDP14125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL18 [Source:Projected from Arabidopsis thaliana (AT3G57390) UniProtKB/Swiss-Prot;Acc:Q9M2K8] MGRGKIEIKKIENVNSRQVTFSKRRAGLLKKAKELAILCDAEVGVIVFSSTGKLYEFASTRMEQILARYNTNPESSKLITMENKTENEPQPQADALKSEIAKLQLVQRQMTGKELGGLNFQELQHLEHQLHEGILAVKDRKEQVLLEQLEKSKLQKVVLENEALREQVEEYRLRLYRENGQLGRKNSGVCSSTVCDCRSEKDGNSDTSLRLGLSVDICHKRKKPKTESTSNDSETLTVLE >CDP14253 pep chromosome:AUK_PRJEB4211_v1:6:36858095:36858721:1 gene:GSCOC_T00040543001 transcript:CDP14253 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPHFVVFELKVRLHCKACEKAIQKELWKIKGITCVETNVILNKITVMGYVDLKIIMKAIRKTGRKTEVWSSKQKEQRSRGYGCFIVPRCVF >CDP09598 pep chromosome:AUK_PRJEB4211_v1:6:21384481:21390592:-1 gene:GSCOC_T00029032001 transcript:CDP09598 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVRENLFIGNIGDAADVLQHDIGEITHILSVLSSASISFFSEWRREILIPTEEIRKVYVGGSGTEDDSGDGSKSSLAPEKVLYSLEKAGKDLKFVRMAVPFRDTESEDLLDYLDVCLDFIDRSRKEGSVLVHCFAGVSRSAAIITAYLMRTEQLSQEDALESLRQSCEFVCPNDGFLDQLKMFEEMGFRVDYASPIYKRFRLKVLGDSYNCGERIDSSKFGTDPDLSAAKISSEVETEAKIDAIRSPLYRCKKCRRVVALQENVVNHLPGEGETSFEWNKRRSGNPFNKPEDNECSSIFVEPLGWMTTVEEGALEGKLLCAKCEARLGYFNWSGIQCSCGSWITPAFQIHKSRVDISSV >CDP10431 pep chromosome:AUK_PRJEB4211_v1:6:6659318:6660721:-1 gene:GSCOC_T00031154001 transcript:CDP10431 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNKLALSALTVAMIALASSLHTIDAQRVHVVGDRLGWIVPPGGSIAYSTWAGLQVFSVGDILLFNFTTGQQDVARVTKEAFDVCNSTNPISIQTTGPANYTLSSAGEYYFISTQDEHCFFGQKLAISVNQASSGPSASPAPAASRTPVTYIVGDDLGWIVPPGGKIAYETWAYDKIFAVGDTLVFNFTTGEQDVARVTKEAFGTCNSTNPLSLQTTGPASYTLNSTGEYYFISTLDGHCFLGQKLAIIVTRGSPGPPSSSPVPPSPRAPATYIVGDHLGWLVPPGGPIAYETWAYDKTFAVGDILGTYITFFFLNGCFTCKTQPFNQI >CDP02865 pep chromosome:AUK_PRJEB4211_v1:6:12278144:12282823:-1 gene:GSCOC_T00041263001 transcript:CDP02865 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIETVESNSIGEKVENTNEKVLPKTKPPVVKRDESTTTSSPAASSSSSSGARIVEESASHGNTAVGDASDGFETASEADLNDDEDDLVGEEQRREEAEKEEAKEGNREEGLVGSKERDNANDQHQEQQVDLNQEQIIEKALAEANDAKLEGNTLFKDGQYEEALSKYDIALQAVSSIPESVELRSVCHANRAACYSKMKKFEDTIKECTKALELNASYIKVLLRRAEAHEMLEHFEEAIADITKVLEVDPSHDQARRQIVRLKPLADEKREKMKEEMIGKLKEMGNSLLGRFGMSVDNFKAVKDPNTGSYSVSFQR >CDP15351 pep chromosome:AUK_PRJEB4211_v1:6:4044788:4047529:1 gene:GSCOC_T00043046001 transcript:CDP15351 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRICLLLPSKFLRHNPPPNCKFLCSHSSLSAIPDETNATSSITNDGAVPADEDLQEQLRNLRILLQQNRTETAKRHLKTLIDSHSVSQLYTLFSSSSPPPVKPMFFNMLLSMYAESKRPIEATEVYNLVKEDGSFPSLSAFNLYLESLVTSSEYNKALEVFSHAVVRGVRIDKFSYGKAIQSAVKLGDLKGALEKMQSMQKCGMKPNGFVYNVLIGGLCKERRVEDARKLFDEMVHKRVMPDRVTYNSLIDGYCKSGNLEEAFSLREKMKNDCVKPNIVTFNTLLGGLCKAGRMKDATKVLEEMEVHGFVFDGLTYSILFDGHSRCGNVDASLALYEEAVRKGVPLNEYTCSILLNGLCKEGKMDKAEELLKKLIGDGLVRTKVTFNTIVNGHCREGSVEKALSIIGEMENVGVKPDCITFNTVINKFCELSKMDDAHYWVKKMTEKGISPNLQTYNILIQGYGRSRQFERCFQILEEMESHNVNPNVVTYGSLINCLCKDGRLVEAEIILRDMIGRGVLPNAQIYNVLIDGNCARGKIDDAFRLSDEMIKCQVDPTIVTYNILINGLCKKGRVMEAEELALQIVSKGLNPDVITYNSLMSGYCNAGDISKSMQLYEKMKASSITPTLRTFHPLIAKCKTEETGLLQIEKIVREMSHMNLIPDRVVYNELIRCYVEHGEVDKAFSLQNEMVERGISHDRMTYNGLILGCFKQCKFEKAKDLFEDMRACRLIPNAETYNMFIDGHCKQKDFLGAYIWYREMLENDLYPPIAICNELLSGLREEGKFEEVRIICSEMSVKGVHDWSLNEDLHAVAKV >CDP03405 pep chromosome:AUK_PRJEB4211_v1:6:7185068:7186633:-1 gene:GSCOC_T00041979001 transcript:CDP03405 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMLPISAVGVRACASTGPRKADVNNRKSSSSNWWSPIFGWSAEPDYIDTDNKRGDLSSISKKSEPDQDPKPVAKSRFAPGSFTAEKARQLRMMTTGSSTFHDVMYHSAIASRLASDFSGRSEL >CDP06490 pep chromosome:AUK_PRJEB4211_v1:6:1155168:1160148:1 gene:GSCOC_T00023359001 transcript:CDP06490 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQVSLSCREIPALKSSNVPISSSIVEPEFYLLQTNRSSHTGTSTRLRGNVSNFASLSMEEKKEERAFYPTSNQLLKRPLALLALVPKDAALFAAGAIAGAAAKTVTAPLDRIKLLMQTHGVRVGQEGVRKGIGFIEAITLIGKEEGIKGYWKGNLPQVIRIIPYSAVQLFAYETYKKLFSGKDGTLSVIGRLAAGACAGMTSTFVTYPLDVLRLRLAVEPGYKTMTQVAFNMLKEEGVASFYSGLGPSLIGIAPYIAVNFCVFDLVKKALPEEYQKRTETSLITGLISATVATVMCYPLDTVRRQMQMRGTPYKTVLDAFPGILERDGLVGLYRGFVPNALKTLPNSSIRLTTFDTVKRLIVASEKELQKIILENRNKEGQSSSEGTVR >CDP14286 pep chromosome:AUK_PRJEB4211_v1:6:36322111:36323255:-1 gene:GSCOC_T00040591001 transcript:CDP14286 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCIVFITLMALSFSLLASAFDEQPLQDFCVAKATSPVNVNGKTCKEASSVTVNDFVFAGLHLAGNTTNPAGFSATQVFVAELPGLNTLGISMLRFDFAPWGLNAPHVHPRATEMITVLDGALEVGFITSAPDNKLFVKVLQKGDAFVFPVGLVHYQRNVGYGNAVAIVALNGQNPGLVSVPSTVFGSNPAIPSDALAKSFQIDESTVEVIRSKF >CDP03085 pep chromosome:AUK_PRJEB4211_v1:6:9856403:9862375:1 gene:GSCOC_T00041568001 transcript:CDP03085 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAITIDGGNRIQTYEDFVKVHAVLLAASGIPQSLYPKLFDKLTNETFDGGNYFQIEPVEEVEGRQRRLVFTSEFLGKESNLFVVDHAWTFRLSDAYKQLQEIPGLAERMAALMCVDIDLDGEAKSGGLDEIDTTKLSAVDIVERELCGIRDGRDDGLRWLELEELDIDDDVLVSLDLPSKFPTLIALSLCDNKLENVEVVAKEITQFKHLRALWLNNNPVLERGDSSMADTILQCCPELEIYNSHFTANYGKWALGFCGGIYHKENPGYNLQGDCPFESLTSLDLSNRSIHNLINKAFSPAELPCLSYLNLHGNPLDENSVGDLLDLLGVFSSLQALDVDIPGPLGDSAVEILESLPQLSLLNGVDAARISESEKSVIDSMLQPRLPEWTAGEPISDRVISAMWLFLMTYRLADEEKVDETSVWYVMDELGSALRHSDEPNFSVSPFLYLPGGDLASAVSYSIVWPIANIERGDECTRDFLFGIGEEKQRSARLTAWFHTPQNYFIREYEKQRLKLQSKKFHPLPVVSSTTKALYGSDGTALRVYTDIPQVEEFLTRPEFIITSEPKDADIIWTSMQVDEEMRKAVGLNEQQFINQFPFEACLVMKHHLAETIQKAHGSPEWLQPTYNLETELTQLIGDYCIRERERLNNLWILKPWNMARTIDTTVTGNLSAIIRLMETGPKVCQKYIEHPALLNGRKFDIRYIVLVRSMNPLEIFLADTFWVRLANNTYSLDKHSLFEYETHFTVMNYRGRLNHMNTSEFVKEFEKEHHVNWMDIHSRVSRMIRSIFESAAAVHPEMQSPTSRAIYGVDVMLDRHFQPKILEVTYCPDCTRACKYDTEPVIRAGGFVKGSDFFNYVFGCLFLNETAHVSPL >CDP14291 pep chromosome:AUK_PRJEB4211_v1:6:36251375:36266635:-1 gene:GSCOC_T00040597001 transcript:CDP14291 gene_biotype:protein_coding transcript_biotype:protein_coding MASFIGSFVPKNLLRVLSPAGPQRVGRSIIIRCANNSARDNKPTTPKIKKKDPQTNDFPKAKNETIQTGGEAMFASLSTREDKSSKQASSQ >CDP06659 pep chromosome:AUK_PRJEB4211_v1:6:2420645:2427102:1 gene:GSCOC_T00023581001 transcript:CDP06659 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3G53570 protein [Source:Projected from Arabidopsis thaliana (AT3G53570) UniProtKB/TrEMBL;Acc:B9DH08] METQKIVDFPYRSTDKRPRKRPRLTWDMPPPLPPPPAAAKVLPTIYYGQQFANGLLPNYAYSSIYYKGASRNGSPPWRSDDKDGHYVFAIGENLTPRYRILSKMGEGTFGQVLECLDNERKEIVAIKVVRSVHKYREAAMIEIDVLHKLLRHDVGGARCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVREFGRQLLESVAFMHDLRLIHTDLKPENILLVSSEYIKVPDYKFLSRSIKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDMWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMIMRADHHAVKYFKRGARLDWPEGATSRESMRAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYEPGERLKAREALRHPFFNRNFGRVGYSL >CDP03096 pep chromosome:AUK_PRJEB4211_v1:6:9799617:9804508:1 gene:GSCOC_T00041579001 transcript:CDP03096 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 35 [Source:Projected from Arabidopsis thaliana (AT5G35570) UniProtKB/Swiss-Prot;Acc:Q94BY4] MAQHHNHSHHHHHSAAADGAVSQRVNSPRFSGPMTRRATSFKRQNNNRDNGSNHSSQTKDNNSNSSHEINVQLNSPRSENYSNANSGDGFDSLVEKRQTRLGNLTQRVHFKRKIESFGMDFGLGLELKGKVKWGQWMFFLFCGLCLFLGILKFCVSGWFGSAIDRVGSNQDFYDNPDTAYNVRDEGAHDYGYRTGANENAGRENDNDRTLKTVPRMADHSGIWAKPDSENYTQCIDQSKHKKLDEKTNGYLLINANGGLNQMRFGICDMVAVAKVMRATLVLPSLDHTSYWADESGFKDLFDWEHFIQTLKDDIHIVEALPPEYAGIEPFNKTPISWSKVSYYKSEVAPLLKQHKVIYFTHTDSRLANNGLPNSIQKLRCRVNYRALKYSTQIEELGKTFVSRIRYNGSPYLALHLRYEKDMLAFTGCSHNLTAEEDEELRKMRYEVSHWKEKEIDAVERRKVGGCPLTPRETALLLQGLGYPSNTRIYLVAGEAYGNGSMQYLTESFPNIFSHLTLSSEEELNPFRNHQNMLAGLDYVVALESDVFVYTYDGNMAKAVQGHRRFEDFKKTVNPDRMKFVKLVDDLDEGVMSWKKFSSKVKKLHKDRIGAPYPREPGEFPKLEESFYANPLPGCICEKNHER >CDP06495 pep chromosome:AUK_PRJEB4211_v1:6:1186099:1187711:1 gene:GSCOC_T00023365001 transcript:CDP06495 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTAAATSSFLGTRLPEVHSSSGRVQARFGFGPKKAPKKIAKRTSDRPLWFPGATAPDYLDGSLVGDYGFDPFGLGKPAEYLQFELDSLDQNLAKNVAGDIIGTRTEVADVKSTPFQPYNEVFGLQRFRECELIHGRWAMLATLGALAVEWLTGVTWQDAGKVELVEGSSYLGQPLPFSMSTLIWIEVLLIGYIEFQRNAELDPEKRLYPGGSFFDPLGLAADPEKKATLQLAEIKHARLAMVAALGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFGLFS >CDP06428 pep chromosome:AUK_PRJEB4211_v1:6:707105:711460:-1 gene:GSCOC_T00023279001 transcript:CDP06428 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCFSFSGAGNVLNAILDAILIFCFGIGVAGAAIATVISEYLTAFILLSKLNDKVQLMTPNVDAGRVARYLKSGGLLIGRSLAVLMITTLATSMAAREGAISMAGHQICFQVWLAVSLLTDALALAGQALLASGYSQGSYGEAREVVYKVLQIGLITGVALAVFLFLGFGALSSLFTVDSEVLKIARSGTLFVAGSQPMNALAFVLDGLYYGVSDFGYAAYSMIVIGVISAVILLVATYFWGLAGVWAGLFSFMTLRVAAGILRLGARGGPWKFLWSGVERESA >CDP03076 pep chromosome:AUK_PRJEB4211_v1:6:9933881:9936485:-1 gene:GSCOC_T00041557001 transcript:CDP03076 gene_biotype:protein_coding transcript_biotype:protein_coding MQINPRMHSFSQYTINNSEKPSSISSSPFPFHPRKRAKKKTTQILPLLHLHLSQVQTLHLIVFFFLGTVELFSSIPQFVSCRFLYCLIALQRRLACMLVNIQLLSFNPFLLSFCDLFFFLGGGSELKTMNILTCFSFCKPTKFRGSFNVMSFLVLLFLLAHHREILQSPFSDKSSPILSHIWISKSQDFEDKASGIEVIRRKTQENSVENGVLTTNKSPSLICSGLYNHTGYGTKCEYLRANPQCNSGGFFNYILFFYCVCQKFSALGSVILGIWLVVLFYLLGNTAADYFCCSLEKLSNLLKLPPTVAGVTLLPLGNGAPDVFSSIAAFVGRDSGEVGLNSVLGGAVFVVCVVVGTVSLCVSERNVRIDKKCFIRDVCFFLVALGALLVILIVGDVTVGGAIGFVSIYVVYALFVAASEVIKKYPWSCKPNSADSLLLPVSGNRLANEAVESESVQTPLLQSDSAEASTSLQDKLPHWMWASNVAIYSNEVIKVSGTGSPKFLWGWNEEDTVSDQSPFSCSKLCSWLELPLTIPRRSTIPIVDEERWSKGYAVASAFLAPLLLAFLWNTRDDVSFLAKATVYLAGAVVGGTLGVLAFVYTKADHPPQRFVLPWVLGGFLMSIVWFYIVANELVALLVAFGVIFGIQPSLLALTVMAWGNSMGDLMSNVALAMNGGDGVQIAMSGCFAGPMFNTLAGLGISLLLGAWSDRPSSYVVPRDSSLYFTLGFVVLGLVWSLAVLPWNNMRPTKLLGMGLMVIYLLFLSFRASLALNDESMSG >CDP03225 pep chromosome:AUK_PRJEB4211_v1:6:8785233:8789175:-1 gene:GSCOC_T00041734001 transcript:CDP03225 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQHSLEAPLLVESGNSIRKRYWRTVLSFLLALIGLTCVGLILRGNSSFWVVVRDGNEFNESLQFGNTEIVESQQAVVAADDGRCSEIGVSVLAKGGHAVDAAVATALCLGVVNPMASGIGGGGFMVVRSSTTSEAQAFDMRETAPLAASQDMYETDVNAKYNGALSMGVPGELAGLHEAWLKFGRLPWKTLFQPAIKLAKEGFVVAPYLGSYLARKKNIILSDPGLKQVYAPNGKLLKAGEICYNVELGRSLEAVAQQGPEAFYNGTIGEKLIEDVQKAGGILKVEDLKNYRVDVTDAVSVNTMGYTILGMPPPSSGTLGMSLVLNILDSYASSNAAEGPLGLHRLIEALKHMFAIRMDLGDPDFVNISKTASDMLSPEFAKKMQQLIFDNTTFPPEYYMHRWSQLTDHGTSHFCIVDADRNAVSMTTTVNYPFGAGVLSPSTGIVLNNEMGDFSVPTEISSDKLPPAPANFIRPNKRPLSSMTPIIVLKHNQLAGVIGGSGGSDIIPAVVQVFINHFILGMEPLAAVQSPRVYHELIPNVVLYENWTVLDGDHIELSNENRHFLEERGHQLQGRSGGGICQFIVQTLQRPRDGGRKFGKTANNEVVHGILTAVSDPRKDGRPAAI >CDP15411 pep chromosome:AUK_PRJEB4211_v1:6:4599464:4602726:1 gene:GSCOC_T00043137001 transcript:CDP15411 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCMLGDAQHVEEAEKIGLEYMDVEGLKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCDMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKPNRIF >CDP06227 pep chromosome:AUK_PRJEB4211_v1:6:23264384:23268049:1 gene:GSCOC_T00022929001 transcript:CDP06227 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVVDPPPPPERLPNGGVSGGHDFNGLAIPPLDHTFLSQDITLGDANGQSFDPFDQNGNDDVVFDDFDFDLDFSFDDLLPAGAGAPLPDPDQFDSDCFVLHGSSGDRGHDVSGYLNVPSPESNGSKGSNDSRDCPSPESQGSGNNCRSNVSEDSNRSVSSSSNFGNNSVKNGVVDQKIKLEEFNCKVNNKSLLKRKKEGEDVNNVESTTNKFQKSSVNLASNAENSNSNNNILSEEDERRRARLIRNRESAQLSRQRKKHYVEELEDKVKSMHSTIQDLNAKITYFMAENATLRQQLGGGGVAPPPMAPPPPGVYPPMMYPWMPCGVPPYMMKPQGSQVPLVPIPRLKSQQPAPAPKGNKKADGKKTEGKTKKVASVSFLGLLCFVLLFGGLAPMVNVRYGGVREAFSGGTDFIENQFYEKHHGRVLTASGNLSDSDYGGKFGGGKDYNEKGNKLNGTEPLVASLFVPRNDKLVKIDGNLIIHSVLASEKAMASRKDAASEVGGETRLVPVSGGRPAHLYRSSTDRQRALGSGSVGSDNLKSPAADGRLQQWFREGLAGPMLSSGMCTEVFQFDVSSASGPGAIVPATSARNVTEEQSRNSTDLNKGRNRRILRGVPIPLPGSSHNISEDVGRTSKKENFSGNNSLSPMVVSVLVDPREVGDADVDGVMGAKSLSQIFVVVLIDSVKYVTYSCVLPFKGAGPHLVTT >CDP14196 pep chromosome:AUK_PRJEB4211_v1:6:18710871:18719841:-1 gene:GSCOC_T00040456001 transcript:CDP14196 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLRTGLNHFYCSISKSETLIVLIFSSIPTGNCEVVVEARNFTSESNQNSLQIILSKNVKIKAAKCENTIDDTPGGYYFVLVNPKDSGDQTKSLLQEVLDMYSKELPAMKFAANTGKESLFLERCVSNGKYCTLLLICKSNDQLGEVLSAITYQIVPADTQYAEIPLAAVSSMYQKKGIGRLLYMELRNRLQKVGIRTILCWGDQESEGFWLKQGFVVIGEVNTKGRARRLPIKAEIRKALCFPGGSTLMVSHLNDSSADPAEQIKLLYPLKLPMKRHLSDVVQKLQPECIEESGHSEGGNQLISSTAYSQAENLVIERFLFDGCHDADSGKFLEYINTANNSEFRKSGEDFEMKKCSCSTQGTKKRIWEASLTSMNSKKVKGGHAIDCQSDPRNFGSSAECCSNSSTFLYGNKLLVGGDAFANECLEKNNVECGAINETSEDKGSCKLLSTDICFKIMLMNIADDKKKSSLTKIIEDLGGIVTSDGRVSSHVVTGKVRKTLNFCTALCSGAWIISPSWLKESYRRGRFVDEMPYLLKDEDYECKYKVELQAAVLRAKSNPNSLLKGHDICLAAHVQPPMRTLSIIIKSAGGNVVSGMQKVNESSKTIYIASEEDMEEALLAVRNGMQTFGSDWLMTCIMKQELDLEASQFAESL >CDP02829 pep chromosome:AUK_PRJEB4211_v1:6:12628232:12628597:1 gene:GSCOC_T00041218001 transcript:CDP02829 gene_biotype:protein_coding transcript_biotype:protein_coding METENSKKSESSEKLKMAIAVALLKSKLLLQKNNSSNNHNTNNGAGAVSSSADPPPPNSRSDDHDSLKWKRKAKERKREILRLQEDLKLAEG >CDP10224 pep chromosome:AUK_PRJEB4211_v1:6:12922961:12924865:-1 gene:GSCOC_T00030883001 transcript:CDP10224 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRDIVPWTAIVGSYSRAGDIDSAFFMYKKMQYEGIKPSAVTVLNMLSGISESDQLKCLHPSVVKCGLEFNVALINGMLNVYGKCGRVEDARNLFELMNDKDLVSWNSLISVYALVGNMSEILELLDRMRISGMEPDQQTFTSLIPTIAKEDNIRLGKAVHGQILSAGLGSSAHVETALMGMYLKQSNVNDAHRIFDTAKEKDVVMWTTMISGLVQNERADKALEVFRLMLISGVMPSSATLASAIAACAQLVSYKLGTSLHGYIMRQNIAVDVPFQNALVTMYAKCGYLDQSFVVFQMMQERDVVSWNAIVAANAQNGKLREAFCLFNEMRTALIKPDSVSVVCLLQACASIGAYQQGKWIHTFVIRSCLGPCIRIDTALVDMYCKCGNLAIARKCFDNMPQHDIVSWSTIIAGYGSHGQGETALEMYSRCLRDGFKPNPTIFLSILYACSHNGLIDQGIRLFESMINDFRIEPEFEHNGCIVDLLCRAGRVEDAYDFYKKMFPEPMADVLGIILDACRTKRKTELADTVAKEISLLKPVDPGKYVQLAHNYASMADWDGVGEAWGQMKSLGLKKVPGWSFIELHGTIMTFFRGHVCHPQYDDVVLVTNNLSKEIRELLLSPNHEDFMVEVT >CDP03167 pep chromosome:AUK_PRJEB4211_v1:6:9120043:9122891:-1 gene:GSCOC_T00041668001 transcript:CDP03167 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTVQVSVMEFKGLPSTCLKLSLGKREYLTSDTGDFLFPLTTLHDNLVVRLLDAEGNEIALTGIQTMSIVEKVSWDEKFPLDGGGHVHLKLQFVLNQEERNRIRLKREAAVKKKQESFSYISNENPEIATSFGGSVTLPPQAEQQVSAGTFTAPKIHGTEAHQIASEEEPDTQPSVPKVDVNLVFGKEQSSDSINTELDFLQKSSSASIRLEDDDVGKSPQQGALEKTPSNVRKLVSAFESSLPKQDVKSSVKAPTVRSEPKNVGKGGHLKDCGPKDVTEPTETTSGRNNNPFVAGDMKPTLATSDEREEPFGSGKSSVSNIWSKVIETSERELVAAIRPVEKEMSTIEWME >CDP14304 pep chromosome:AUK_PRJEB4211_v1:6:36073826:36074281:1 gene:GSCOC_T00040621001 transcript:CDP14304 gene_biotype:protein_coding transcript_biotype:protein_coding MHICFQYPLFALNCDLVANFQRICPIHVFAFGGFRPSYIEFCFQFSTDGVDCFFFYLNKICLDNARVFQASDQKILNEKNFVV >CDP14350 pep chromosome:AUK_PRJEB4211_v1:6:35641363:35644443:1 gene:GSCOC_T00040684001 transcript:CDP14350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 9 [Source:Projected from Arabidopsis thaliana (AT2G37650) UniProtKB/Swiss-Prot;Acc:O80933] MDPSFLGIPASARGIRLRNQNLSTFGDLNPNGPTFETLYLDQKRVNHGLTTKNAPLQYNFDGGVEFLPSDPSPSNLTSTSSLGVEDDFSEDLDFSDAVLRYINQMLMEEDMEDKAYMLQESLDLQAKEKSFYEALGKKYPPSPEPHPTFIFQNGTSPDECFSGDQCNLTSTSSNPGSYIAEPSSLNNLAHYDATSLVHCHHAHRAPSSSLSSSTVNSFLDSPSSPPYGSHSVWNFKKGVEEASKFLPDGNKLLNFSINGLFPLELAEEIEEPILKEEGRDEVNFLPTGSRGRKNPHGGDTDLEERSSKLAAVFTESTVRSEEFDIVLLHSMGEGQVALAAYQENLRTAKSKVMLQNGQPKLKGSSGGKGRRKKQNVKKQVIDLRTLMIHCAQAVAADDHRSANELLKQIRQHASPFGDGNQRLAHCFAQGLEARIAGTGSQIYKALVNKRTSAADFLKAFHLYLASCPFRKISNFASNKTIMIESKNAVRVHVIDFGILYGFQWPTFFQRIAGREGGPPKVRITGIEFPQPGFRPAERIQETGHRLAEYAKMFKVPFEYNAVAKKWETIKLEDLKIEEGEFVVVNCIYRSKNLFDETVAVESSRTIVLKLIRSINPDIFIHGIVNGAYSAPFFVTRFREALFHFSALFDMLETIVPREIPERTLIEKEIFGREALNVIACEGWERVERPETYKQWQVRNLRAGFRQIPLDREIMKKAIEKVTSGYHKDFVIDEDSQWLLLGWKGRIIYSLSCWTPT >CDP06353 pep chromosome:AUK_PRJEB4211_v1:6:35634:46365:1 gene:GSCOC_T00023168001 transcript:CDP06353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethanolamine-phosphate cytidylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G38670) UniProtKB/Swiss-Prot;Acc:Q9ZVI9] MDGCFDMMHYGHCNALRQARALGDQLVVGVVSDAEITANKGPPVTPLNERMIMVSAVKWVDEVIPDAPYAITEDFMKKLFDEYNIDYIIHGDDPCILPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERSAADSHNHSSLQRQFSHGHSHKSEDGGSGSGTRVSHFLPTSRRIVQFSNGKGPGPDARIVYIDGAFDLFHAGHVEILRLARGLGDFLLVGIHTDQTVSANRGAHRPIMNLHERSLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTVAEDNDFQKEKSNPYAVPISMGILKLLESPLDITTSTIIRRIVSNHEAYQKRNEKKTESERRYYEDKIYVSDD >CDP17638 pep chromosome:AUK_PRJEB4211_v1:6:31298755:31303019:-1 gene:GSCOC_T00001545001 transcript:CDP17638 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASRLIMLLTTPFPPFLHQPSLIFLRPITRCLSTSTTSRRLTLSTPYSHTQRRLLLPTTKSCSRQLHAQRLNAPSISPYKAASAASNHPWPEWSNLINKLFFSQEKLSPGADDQKVHPEDAFVVYELKELSEEFIRCASLCLAFSRAHPNLLGLLSRKDIEVIVSNGTPFLFKSALDTARKMRVYLGIEGSSVLESDKPNMVDIMKYILSYASNPSVSSEENNLYSRELTESSVRNLLSQMTELSFADPAIEVPASEQYQLHGGNEQTARPLRQNVEMKRGDWICPKCSFMNFARNFECLECEEPRPKKQLTGKEWQCPQCNFFNYGRNLVCLRCDCRRPRIAAANNVHSMSELGYTDIRLEESEEKAKLWFNKVSELENASDLSNSAVDEDFPEIMPPRKGENRFVVSTRKTPLERRQANSQRQNLLGNHGIAEGNASQSPGSNMALDSSIRKSLDQILENLETNSSASRPLSSPMEYRQQNNSGYPKQRAS >CDP06392 pep chromosome:AUK_PRJEB4211_v1:6:295913:297218:-1 gene:GSCOC_T00023219001 transcript:CDP06392 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKQKQRAWKVDIEAKKADGLAFGLKATKALPPPATAAARKLFRFSLRLKLSSVVIRVKSKHKPSVWPNPLKFFKSKFVPKFSDKFRRRTIPAAAKTTLNIPPPPRWKAMTIIKGWDCCGGGSSSASLQNLYLLRQSISQKDQNGIVLQLAVNVSGWTGPIRYVASSVFKNDAGFGRKLSIILVLLLLLVTVFISPSLRCASSLSVGGAFGILQSLGIRIKSFQLNERSFAVENSDGFDFLINYFDKIKGELRLFNLVLDSTILDTRGGNS >CDP03124 pep chromosome:AUK_PRJEB4211_v1:6:9529281:9531926:1 gene:GSCOC_T00041615001 transcript:CDP03124 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNITIKEGDVKSGLELVKSVSDKHLDLLRPSARYYSIFKGQVADASDREKGKYTLIRDADDFQPSIYDKPLPCFGCGVGWFSFLLGFVCPIMWYYATLLYFGNYYKKDPRERAGLAASAIAAMAFSVFLLIILVVVLLF >CDP06388 pep chromosome:AUK_PRJEB4211_v1:6:261981:266052:-1 gene:GSCOC_T00023214001 transcript:CDP06388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38550) UniProtKB/Swiss-Prot;Acc:Q9ZVH7] MPSFTIHPSPSLSPAFRHPPRLLSFTPPPLGLRLPPLRRPPALLFSFTASHDDSSKPTSIEVEKETNDLEKEADEPQDAWKETLSSFKEQALKMQTVSQEAYQVYSDRARVILKETSEKLKVQAEKARQELSVIASEISQEGKEYLSNAADNYPEPVKDIVETFAASTDDLKEVSKMRDFYLGIPYGALLSAGGFLSFMFTGSVSAVRFGVILGGALLALSISSLRSWKKGESSSLALKGQAAICTILFLREVRLLFCRPFIANFITAFVSGVAVAFYAYRIIMDRGQAQASNLERGTES >CDP03219 pep chromosome:AUK_PRJEB4211_v1:6:8812022:8815190:-1 gene:GSCOC_T00041728001 transcript:CDP03219 gene_biotype:protein_coding transcript_biotype:protein_coding MTILIEQQPDLGFPVGEKNIPHDTINELVLDGGFVMPKTTSDGFEAPEINSFGHSFRDYNAESERQKTVEEFYRVNHINQTYDFVKRMREGYAKLDKAEMSIWECCELLNDVVDDSDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHDLGKVLLHPTFGELPQWAVVGDTFPLGCAFDESIVHHKYFKDNPDHSNPSYSTKNGIYEEGCGLENVVMSWGHDDYMYLVAKENGTTLPPAALFTIRYHSFYPLHRAGAYTHLMNEEDHESLRWLKVFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPAKLRW >CDP14283 pep chromosome:AUK_PRJEB4211_v1:6:36332744:36334344:1 gene:GSCOC_T00040586001 transcript:CDP14283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:Projected from Arabidopsis thaliana (AT3G11980) UniProtKB/TrEMBL;Acc:A0A178VDD9] MLFLPAAYVNGRRQGTVMEKPFSAGESIARESLLFGADCTFLPTLNVEDEIKLVLESKQAIDDSVLAQRMKSLGLERANKFGWQDTYVFTKAMGEMMIDSLRGDIPVVIIRPSVIESTYREPFPGWIEGSRMMDPVLLYYGKGQLSGFLADPNGVLDVVPADMVVNAILAAMAKHGEAGKSESNIYQIASSVVNPLVFKDLATLLYEHFNSSPCFDSKGKPIRVPKMELFRSMEDFSTHLRRDAISRSGLAASPHPGGKMSGKLESICRKSVEQAEYLANLYEPYTFYGGRFDNSNTHLLMGYMSKEERRKFGFDVENIDWKDYISNIHIPGLRRHVMKGRLGRS >CDP14305 pep chromosome:AUK_PRJEB4211_v1:6:36071755:36072435:1 gene:GSCOC_T00040622001 transcript:CDP14305 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSSSLGSQGKWRGCQKLICPWKSSLTLRPRMPVKLRMMVKTMMAVRMRKTFLVKRRMMRMTKILRMSRRPMVMVEVGMMTMTMKMEMERKRTMRKEKIKRLPSHLLKRGNDLEGLALVLPLLQWLRKLELRLRVRVTV >CDP03377 pep chromosome:AUK_PRJEB4211_v1:6:7458241:7478652:1 gene:GSCOC_T00041937001 transcript:CDP03377 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVSRHAAPSSSSDNHDQQQSRQPQTESATSTSSSAVAPPASNAPEEPEYLARYMVVKHSWRGRYKRILCISNYTIVTLDPGTLAVTNSYDVGSDFEGASPIIGRDENSNEFNISVRTDGKGKFKAIKFSCKYRASILTELHRIRWNRLGSVAEFPVLHLRRRTAEWVPFKLKVTYAGVELIDSRSGDLRWCLDFRDMSSPAIILLSDAYGKRNIDQGSFILCPLYGRKSKAFQAASGTSSAAIISIVTKTAKSMVGLSLSVDSSQSLTITEYIKQRAKEAVGAEETPCGGWSVTRLRSAAHGTLNSPGLSLGIGPKGGLGENGDAVSRQLILTKVSLVERRPENYEAVIVRPLSAVSALVRFAEEPQMFAIEFNDGCPIHVYASTSRDSLLAAVKDVLQTEGQCPVPVLPRLTMPGHRIDPPCGRVHLQIQQPPSAQQRSVADMENAAMHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYSGVPPNVEVPEVTLMALITMLPAAPNLPPEAPPLPPPSPKAAATVMGFIACLRRLLASRSAASHVMAFPAAVGRVMGLLRNGSEGVAAETAGLIAALIGGGPGDTSVLTDTKGERHATYMHTKSVLFANQNSLIILVNRLKPMSASPLLSMSVVEVLEAMICDPSAETTQYAVFVDLLRLVAGLRRRLFALFGHPAESVRETVAVIMRTIAEEDAVAAESMRDAALRDGALLRHLLHGFYLPAGERREISRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGVSAEDVSNQEGSSLSRRQRRLLQQRKNRPVRGITSQQHLSPNMNNLEAVDQTKQPNSGATDSYKKSAIDLRSGHAPNIPSPAVHGGENLPSELSSTGIMQSNHSATVDSSDVPSINQQEPVDSNASSSVDSDANIVSNQNGGLPAPAQVVVEDATVGCGRLLLNWPEFWRAFSLDHNRADLIWNERTRQELREALQAEVHRLDVEKERTEDIVPGGGTTDIITGQVSVSQISWNYTEFFVMYPSLSKEVCVGQYYLRLLLESGTSGRAQDFPLRDPVAFFRALYHRFLCDADTGLTVDGAVPDELGSSDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHYNTVGPFAGTAHITVLLDRTDDRALRHRLLLLLKVLMKVLSNIEACVLVGGCVLAVDLLTAVHEASERTAIPLQSNLIAATAFMEPLKEWLFIDKDGSQIGPVEKDAVRRFWSKKEINWTTRCWASGMPDWKRLRDIRELRWTLALRVPVLTPIQVGDSALSILHSMVAAHSDIDDAGEIVTPTPRVKRILSSPRCLPHIAQAILSGEPTIVEGSAALLKAVVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAELFSVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFAAAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLSQHCHSLYDYAPMPPVTYPELKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEEACKILEISLEDVSRDDAPRQQSLETADEIPNLSKQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQTWRLLLLLKGQCILYRRYGDVLEPFKYAGYPMLLNAVTVDQGDSNFLSSDRAPLLVAASELVWLTCASSSLNGEELVRDGGVQLIATLLSRCMCVVQPTTTASEPSTVIVTNVMRTFSILSQFESARVEILGISGLVEDIVHCTELELVSPAVDAALQTIAHLCVSSVLQDALLRAGVLWYLLPLLLQYDSTAEDSDKAETHGVGGSVQIAKNIHAVRAAQALSRLSGQAMDDNGTPYNQAASNALKALLTPKLASMLKDQLAKELLSKLNSNLESPEIIWNSSTRTELLKFVDQQQESQGPDGSYDLKDSHSFMYEALLKELFVGNVYLRVYNDQPDFEISEPENFCIALVDFISHLLHDRSNTGSDFHVSGSSIERSELQHESINGSFTEQCSSDDSSAHPDGNLVSKEEELMKNLQFGLTSLQHLLTSNPNLASVFSSKEKLFPLFECFSGPVASACNIPQLCLSVLSRLTTHAPCLEAMVADGSSLLLLLQMLHSSPSCREGALHVLYALASTPELAWAAAKHGGVVYILELLLPLQEEIPMQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSIIRDGPGEAVVSALDQTTETPELVWTPAMAASLSAQLATMASDLYREQMKGRVVDWDAPEPASSQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIGATHYDDCAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVSAVAYEGRRETMASPENRNDNYSGERSEAEDSSMQPTSPTPKERVRLSCLRVLHQLAASTICAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRNGLCSQMKWNESEASIGRVLAIEVLHAFAAEGAHCTKVREILNASNVWDAYKDQRHDLFLPSNAQSAAAGVAGLIENSSSRLTYALTAPPSQPSQVKQPAAIVADSNGTEDHIS >CDP10141 pep chromosome:AUK_PRJEB4211_v1:6:13843739:13846447:1 gene:GSCOC_T00030759001 transcript:CDP10141 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNKLFLLKPAALAVLNSTRFRLNSTNQKPFLFHSTPALSSRRRPYYYSSEGVYRAPKRRYKNFVRGFSNPLWKRILLRDPSAPSNGPVQNDYYYYTPYSSQSSSWFGQDYQATRSNAFGGSNSNHHWSSWNWRNQNQGKDDPPKKSDNSTPEMTSERLALGLSASGPLTLEEVKNAYHACAMKWHPDHNQGLFKTVAEEKFKSCKAAYESLCDYVSSK >CDP14277 pep chromosome:AUK_PRJEB4211_v1:6:36443814:36449800:1 gene:GSCOC_T00040579001 transcript:CDP14277 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKLTEYERLRLENIKRNDQILAALKIHSKLSQLSESTKRPRTQTKSYKVSPEKKHRTETPIVLRRSLRTRGVAPDSSTAGGLKDDFDETQSSISRKNPNSDSESPLTKRAYERGPISMRDAYRTDASDRKLIEAILDCSRKSRLSESNNELDDTIKGMEGNELLGSLKVGRKVWGSIDVDALKLEQNNIARVVPGRILNVRFLPTTDARIAVVGNKFGDVGFWNVDADAEDGDGIYLYHPHPAPISGIACNPFSLSKMFTSCYNGFIRLMDTERELFELVYAGDHAVFSLSQSPNDMNSLYFGEGNGQLRVWDARTGKSSSSWGLHQKRINTIDFKPQNTNIMTTSSTDGTACIWDLRKVGVNGSTALKTIRHERAVHSAYFSPSGRFLATTSADDMVGLFSGDTYQNMTMVYHNNQTGRWISSFRGIWGWDDSYVFVGNMRRGVDIISTTGKDVTATLQSEHMSAIPCRFDAHPFEVGTLASATSGGQVYIWRPS >CDP15293 pep chromosome:AUK_PRJEB4211_v1:6:3593978:3597990:-1 gene:GSCOC_T00042967001 transcript:CDP15293 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGLSSSNSSSSSYDLSFKILLIGDSGVGKSSLLVTFISNSVEDLSPTIGVDFKIKLLTVGGKKLKLTIWDTAGQERFRTLTSSYYRGAQGIVLVYDVTRRETFTDLSDIWAKEVELYSTNQDCVKMLVGNKVDKESERVVSKEEGMTLAEELGSLFLECSARTRENVEQCFEELALKIMEVPSLLEEGSAVGKRNILKQKQVHHTSGGSCCS >CDP10390 pep chromosome:AUK_PRJEB4211_v1:6:6962183:6967092:-1 gene:GSCOC_T00031101001 transcript:CDP10390 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPLQVQLKFFPYPATSLFVFPGIRTHRQSPLPSPRNPLSRSHTHTHHTTRPYRLPVPHSSAPQCPHNSLSLSKTKSHTHLPVRFPPEASTHHHSFLFPSTSMPWNESTRSPRPIPSAYLPPHLRHQPTFTVTIPTSLARSSSRRGGGGGGRGRGRGFRGGRAPNPPRSYGRSRRNFSDDDPNPYNQKIMPEPPFDISEKFDELEVIDDDPSGVNNINAYDETPVETSGFDVPPPVTSFSEIDFCSGLSDNIKRCQFVKPTPIQRYAIPVAMAGRDLMACAQTGSGKTAAFCFPIITRILRDHHQLKSPTKKIDRNGGGGGFSLKTIMACPLALILAPTRELSCQIHEEAKKFSYKTGVKVVVAYGGAPIVQQFRNLEKGVDILVATPGRLVDMIERAKVSLKNVKYLALDEADRMLDMGFEPQVRKIVQQMEMPPAGVRQTMLFSATFPIEIQRLASDFLSNYIFLAAGKVGSSTDLIAQRVEYVHDADKKNHLLNLLRAQRANGKHALTLVFVETKKGADALQSWLSMKGFPSMAIHGDKVQMERERALKSFRSGLTPILVATDVAARGLDIPHVAHVINFDLPRDIGDYVHRIGRTGRAGKPGLATAFFSDKNAPLAKALVELMQEANQEIPPWLNEYTETSHAYGSGGSRSSRFSGVKFGGHNYRRGADNENYDYSNQFEDGTALESYPSHSSPYSSHDSRADFPTSSRADSFHEGFYGHMLDHRTVVASGWD >CDP14312 pep chromosome:AUK_PRJEB4211_v1:6:35950363:35951018:1 gene:GSCOC_T00040634001 transcript:CDP14312 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVGFSHPTVHDSGKSAHHNDKWEYQRNSLPSSVVVGPPRELNWTEFWIIHDFWAEGYGFCFL >CDP06376 pep chromosome:AUK_PRJEB4211_v1:6:191132:197895:-1 gene:GSCOC_T00023199001 transcript:CDP06376 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTPPISRADGVTQHPFASRCPFLAAMVLTQAMKNFPTYIPHLEAPTLRTHSISLFASSPNAAVSSKFCSASLLKTEREDNFLDGVNTAPTANATLPTMADIIEASKRQNLDLHLQSLGPFFRIIAKTLITGNELGRAEGLVRLWLGGKILHLDSIKLRRETLEMEKSIFGIGLYIGAVAIRYGYNCGCKKAELLAINDTDLYHSPLVLVRTFPIFPFSKGPFFFRILAREGGQLLLLFGQKAIAGIVFSRIPGTLPPPHFPPHSPPLSFSTSLILLSSMEDDKKKKKNKKRKNKQNKTTADSAPGDAPGAFSVSPSAQHIPVTESAKISSALVDNPDAQEDDTASGMTLNPHGVIFEEKIQILLQEKNAILQKEATLLEKIEELQNEKAVHVQREASLEEQILQLQKEKDAFMLKVDALGQKIQQMQMEKDAHMQKESALEAEVGHLTSEKESWYHKEAHFEEKINHLTVEAATLSSKKVSLEEFVKQMEEERDSWILQENSSKEVIAKLNGDNTRLKSQVMELEELRNGLLQENHLLTENISGLQSQILSLERTAIVSQSSSGSKMMNELYVEIDRNGLQQEIPSAEMPTTVHLADSTAVGPHLMVGAIEAQESCPANDSMDEANAKMLVPDNEVQSPENNVNNDARGEGEYLDDKKDMLVTESSDMTKSDEIVQIPLDENENKKTDVEATSNAEKTLVPLSDAPLIGAPFRLISFVARYVSGADLVEKNSVN >CDP02832 pep chromosome:AUK_PRJEB4211_v1:6:12606798:12608765:1 gene:GSCOC_T00041221001 transcript:CDP02832 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEGKAIGIDLGTTYSCVGVWLNDRVEIITNDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPHNTVFDAKRLIGRRYSDPSVQADMKLWPFRVVPGPGDKPLIVVTYKGEEKRFAPEEISSMVLTKMREIAESFLGHKVNNAVVTVPAYFNDSQRQATKDAGSIAGLNVMRIINEPTAAAIAYGLDKKHQKRGEQNVLVFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVSEFRRKHKKDISGNARALRRLRTACERAKRTLSSTTQTTIEVDSLYEGIDFYATITRARFEELCMDLFLKCMEPVEKVLRDAKIDKSKVDEVVLVGGSTRIQKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGDSKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPSKKEQIFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQINVAFDIDANGILNVTAEDKTAGVKNQITITNDKGRLSKEDIEKMVRDAEKYKSEDEEVKKKVEAKNALENYAYNMRNTVRDEKFDSKLKPDDKQKIEKAVEETIEWLDRNQLAEVDELEDKLKELENICNPIIAQMYQGGGGGGGPMGDDMHGGGGGGGGGSTDGTGAGPKIEEVD >CDP09561 pep chromosome:AUK_PRJEB4211_v1:6:20716296:20718518:-1 gene:GSCOC_T00028984001 transcript:CDP09561 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLQPLVESSVANLSKVVAAHCHAIKAGVVGDVYTANNIMNGYKNCGRLAVALKLFDEMPERDTASWNTMIAGYVNSREFFNACDFFKHMKINGVGVDGYTFGSILKGVAANGGIFFGQQVHSDVVKWGYGENVYSGSALVDMYAKCGRVEDADRVFQYMPERNSVSWNALIAGYAEIGDHESCFQLLKWMDNEGVGLQDGTFVPLLTLLDDSEYYELTMQIHAKVLKLGLWIQNTVLNAMITSYSGCGSLKDARRVFSSASGYRDLVTWNSMLAAYLQHDRGELAFKLFWEMELLGLDSDLYTYTSIISACSGDAEQAQGRSLHALVIKRGLEKVTAVSNSLSAMYLKSNNRGMEDAIKVFDCTEIKDSVSWNSILTGLSQKGFSENSLKTFQNMLYHNLEIDHYTLSAALRSCSDLATLQLGKQIQVFTIKSGFEKNDYVTSALIFMYSKSGSLGDAWKSFTESPGDSSITWNSIMFAYAQHGQGKVALDLFFQMIKKNVKLDHISLVAVLTACSHIGLVEEGKKFLKSMESAYGIPPRMEHYACAIDLLGRAGRIEEAKELVKEMPFQPDAMVWKTLLGACRKCGDIEMATEVASHLLELEPEEHCTYVLLSDMYGHFEKWDEIATVKKLMRERRVKKVPGWSWMEINNEVHSFNAEDHSHRQCQEMYQLLRELTNKIKLEEKSLDLDINIEYFGNVPYYCPKDTHIHLSVGLSASVDYSVLAKTSISESSTAGNF >CDP14267 pep chromosome:AUK_PRJEB4211_v1:6:36677234:36680772:-1 gene:GSCOC_T00040560001 transcript:CDP14267 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGFLVNVKRSEVVAAALPVQEHWLPMSNLDLLLLALDVGVFFCYKKNNDTTSIPEKNLTTSPTENMVATIKKALAQALVSFYPFAGEVVQNRLGEPELLCNNRGVDFLDAFADIELKDLDLYHPDTSIHGKFVPFKNQGVLSIQVTELKCGGLVIGCTFDHRVADAHSANMFFTAWVEIAQAKGTATHTVPCLRRSLLNPRRPTTYDAEIDDLYVLLSSLPPPKELKASDDRLLSRIYYIEGKEIGQLQSMASSNGCRRTKLESFSAFLWKTIAEGSWVADMIHACIEGANKEDHFLGLIDWVEEHRPQPAAAAVYFKNSEDEEAIVISSRLRFPVAQMNFGWEMPDFGSYHFHWGGQTGYVMPMPSVSREGDWIVYMNLLKKHLDIVESKSGHVFRPLNPAYLKMNKGCWM >CDP10225 pep chromosome:AUK_PRJEB4211_v1:6:12907655:12916236:-1 gene:GSCOC_T00030884001 transcript:CDP10225 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETMINSLSVRSVEDPEKESVTTSDASNSNAALFHRRIEFHLARKSFNGFSDGGNSGNGFKLVTLNPRNSNASLKSESHKTWSGYGKAPSESSLENHSGLDPELSFTITFRRIVVLVSVKVLLMLYTNHELHSPEIGISVLACKILEILVFSILGRHKISCHIAGFCALCAIQKHVSRALESTGTVLAPKDLVSNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLCSQVKCMQCSFCSNKFDPFLDLSLEIMKADSLYKALAYFTAKEQLDGGERQYQCQQCKQKVKALKQLTVYKAPNVLAIHLKRFGSHMPGQKIDRKVEFGPSLDLKPFVTGPYDGELKYTLYGVLVHAGWSTHCGHYYCFVRTSSGTWYSLDDNQVVQVSERRVLEQKAYMLFYVRDGMYSTPKKVVDAVHKESMVINTFGRKTYPNFHQGSEENVPNGAVGGKLNDSFSAIAAQKDVVNPNIISQNQMKKDPAQKINGPTAPEESCLKKDRPAANLLKVPPVDGLSRSNINGGDCLVQSLPSSKGSDGFVNLGNSSNSGSSSGIELTTAIVKQEDINGSQSSAGKNETDSAVIPTNGNIKVSAGKDPSNAVDRPPNGNVLHRPPQDTCVSLETNAGKVGCFPDNTGVAEVGVRKARDSKDLARRKPAPKHLLCEKSLNDRLHLVKRKPLKLVVTTKHLSRNIILGAALGRRKKKNRAKHCCPKKLDGSRILSDLGPSTSEDCKTISCSAYPPRRLRSSADEKDKVLGLKSPSNNGELLRVVKVVPRRDEVGQHEKVPLTDAQPSKSYYSTSGGELSDNGKSCGSAHKKGEKVENGLIRIPARGLEETTVARWDGVESSDDILESRGAENLRIGYIGDEWDEEYDKGKRKKVRSSKLTFDGINPFQEIATHKAKSKKAKLDRCSSANQPFRI >CDP03217 pep chromosome:AUK_PRJEB4211_v1:6:8844938:8846301:1 gene:GSCOC_T00041725001 transcript:CDP03217 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKTKVKRGPWSPEEDAILRNYIEKYGTGGNWIALPRKAGLKRCGKSCRLRWSVIASHLPGRTDNDVKNHWNTKLKKKLSAAGAKRAPDCYGNSTYPIVGSDAPVQYSSMDHMVPGYSMTEVVPDLLYDSSSTDQFSLPELFEVQKNSTLQKNGFTTSSSQEISSLSPSCSLAKSYSSWNDNLFGEEVGFFMELESRSSNYNLVQSAQQEEKVRNEVEGSCLPIYSYPTASFFDQMSDNILPPQGHHQTAFPILD >CDP19205 pep chromosome:AUK_PRJEB4211_v1:6:15598421:15600041:-1 gene:GSCOC_T00012655001 transcript:CDP19205 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLKELSLVSGKAAWMAYLDIYCLDADGSLFDAALLSAVAAFSHLQIPVVSLNDEGRIVLISEDNGGGKLEKEPVNKEKRKLKLATIPLSLTCILHKNYILADPTAEEESIMETLVTVVLDSSSQLVSLYKPGGPVLAQTSAIQDCVALTRQRLKELQKILNEAISDMEVD >CDP06603 pep chromosome:AUK_PRJEB4211_v1:6:2011715:2016515:-1 gene:GSCOC_T00023511001 transcript:CDP06603 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKQTSGGDGGGGFFTSIAWGLSNFGSVVSKSVNGLLGYEGLEVINPEGGSGDAESEAQKGRWKEEDRDNYWKMMQKYIGSDVTSMVTLPVLIFEPMTMLQRMAELMEYSYLLDLADQCNDPHMRLVYVASWFISLYYAYQRAWKPFNPILGETYEMINHGGITFIAEQVSHHPPMSAAHAENDHFVYDITSKVKTKFLGNSVEVYPLGRTRLTLKRDGVVLDLVPPLTKINNLIFGRTWIDSPGEMVLTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNGEEEPKILMTGKWNEFMSFQPCDLEGEPLPGTKLEEVWRVAGAPENDKFQYTHFGHKVNSFETAPSKLLESDSRLRPDRQALEKGDLSKAAAEKSSLEERQRAEKKMREAKGDEHKPRWFDLSTEISATPWGDLEVYNYNGKYAQHRAAIDGSDNVGGVDIGSTAFNPWQYQDLAAASE >CDP10230 pep chromosome:AUK_PRJEB4211_v1:6:12864693:12865232:1 gene:GSCOC_T00030891001 transcript:CDP10230 gene_biotype:protein_coding transcript_biotype:protein_coding MEIICNQRQRWTHKQAAEKEKWLITIGNQRDYTDQIIKGIILIMFTAGIDISSVTIEWVLSLLLNHPEVLEKTRAELDAQVGTDRLVDKYDLSNLLYLHNILLETLRLYPTTLMLVLHESSDDCKIEGYNIP >CDP10195 pep chromosome:AUK_PRJEB4211_v1:6:13313666:13318920:-1 gene:GSCOC_T00030837001 transcript:CDP10195 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os02g0182100)] MTVEELRGNSEGGDRNYDNFPVGMRVLAVDDDPICLKLLDGLLRKCQYHVTTTSQARAALKMLRENKDRFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANSDPKLVMKGVTHGACDYLVKPVRIEELRNIWQHVIRRKKFDTNKQGKSTYEDKALQGHGDVCQGHQNTGTTDQNGKLNKKRKDEEDESEDTGNDHEDPATQKKPRVVWSIELHRKFVAAVNQLGIEKAVPKRILDLMNVEGLTRENVASHLQKYRLYLKRISSVATQQANMVAALGGKDAAYMRMSTLDGFGDFRTLTGSGRLSNPAFASYTQGGMLGRLNSPAGINLRNLASPTVVHPSHAQTLNNSTGTLGKLHPAVSPASQTASVFQGVPSSLELDQLQQNKSAMHIGDFNPMDDSRIFGAPSAFTDARALAINSNSNLTGVPNNSLMLQGNSQQILAGGGFVDQSSFPSESFNRDVGVSSKFVDHGRTNENWQSAVQLSKFPSNALAPNDSFSQGQLPLSNVRDNNSSARPHQQNSPLGFSSTATTAAPLEDSRGEIQCQEGLVSSSVQNLSQASAQRWGVSKQSYSLNSNNSFSTLNPQASPPLSQSMGQTGITDRRMDMCLTDQSGVGISSFLQHSQVENPTTGSRTRSGEDYMLEQIRPQGGFVPNSYDSLDDLMSAIKREPNGSMFDGDMGFDAYQFGSCI >CDP03114 pep chromosome:AUK_PRJEB4211_v1:6:9654443:9655513:1 gene:GSCOC_T00041603001 transcript:CDP03114 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWERPVRGERHQQRRKTPSFSSSLLDAIYHSIDESQGKEEEPRQELDPALLHRKRNNAAEFEEEIASIRRAIMVEKWMENYGSSTRGSRSRHINSDSSSSTDSSLFSSSETDSASKSTPKSSVFHATPKAAHQTLVPGATPKHEGGFMRTKSRAPKIYGDLKKVKQPISPGGKIKNFLNSIFTPRNLKKHEGMEDWSSVRKSRSVKDSSTTCSLTSRSCLNRPPPPSRGKSKRSVRFCPVSVIVDEDCQPCGHKSINDHHDPKKKSKKYGFRGFYENSDDDGNSCTSSDLFELDNIGIVGVGHGAHREELPVYGTTNLKINQAIANGLLM >CDP10487 pep chromosome:AUK_PRJEB4211_v1:6:6143131:6145571:1 gene:GSCOC_T00031231001 transcript:CDP10487 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNSSSDVHAMVKTVVVKTLVAETLLAAQRSLVWLLLLTGSLLKDVDDLSPSFLADKGFPMEELHKKKRPEPDNKDASDTDDDEEEEDDDDQDDDDAGDEDFSGDEGGEDDEEGDPEDDPEANGNGGSDDEDGDDDDGDDDEDEDDEEDEEEEDEDEEEQPPAKKRK >CDP03043 pep chromosome:AUK_PRJEB4211_v1:6:10261037:10264096:1 gene:GSCOC_T00041516001 transcript:CDP03043 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSKIGIVGAGISGIAAAKQLRKYDPIIFEATDSLGGVWKHCSYRSTKLQTPRCDYEFSDFPWTQRDNSSFPTHLEVLEYLHSYATHFGVVELIKFSSKVVEIRFVGNHANDPDDQVNSNGYGNLLNGQPVWEVAVQTSESDTVEWYAFELLVICTGKYGDVPIIPQFPHNKGPEVFKGQVLHSLDYCKLDEENSVQLLKDKKVVVVGYKKSAIDLAVECAKANQGPDGQPCTIVVRTLHWTIPHYSIWGLPFYLFYSTRASQFLHERPNQGILRNIFCKLLSPVRNAMSKIIESYLVWRLPLEKYGLKPDHPFVEDYGSCQMAILPEELFEEAEKGMIDFKKASKWCFWEGGVEFDDNTKLEADVVLLATGFDGKRKLRNILPEPFRSLLETEGMMPLYRGTIHPLIPNMAFVGYIESVSNLHTAEIRCKWLSRLADNYFKLPSVGQMLEQTQKEMGIMRKTTRFYKRSCISTFSINHTDEICEEMGWKSWRKNNWLAEAFSPYCSQDYQEQKHID >CDP06356 pep chromosome:AUK_PRJEB4211_v1:6:60305:65691:-1 gene:GSCOC_T00023171001 transcript:CDP06356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL8 [Source:Projected from Arabidopsis thaliana (AT5G01020) UniProtKB/Swiss-Prot;Acc:Q8GXZ3] MGNCGTREESAVVSGAHHQVQQLQALSAAASRNPFPCAPADKRHGHGRSTSDLSSDPCTPRHFEDFTNNSFLYTHVIAFSLFELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKATVTLSWSTRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGKKSVDKTRPSKEQNLVDWARPKLNDKRKMLQIIDPRLENQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCSGGGTDGGSVLLAAASSSASFQIGTGGPIAPGRARDYRLEQRFGGTVRPTTASCRSPNPDCSPGGGPTACRVR >CDP10636 pep chromosome:AUK_PRJEB4211_v1:6:4881642:4886038:1 gene:GSCOC_T00031414001 transcript:CDP10636 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSTSTTSSLTGKLTPNLDQHCTKKLNLTVLQRIDPFIEEILMIAAHVTLYEFNLDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRLSTENLVEDLLSDFEFEIQVPYLLYRNAAQEVNGIWFYNTVECEEVANLFTRILNAYSKVPPKPQATPTKSEFEELEAVPTSAVIEGPLEPSVNPTISSVQPEDSSFENFFSTAMNMQNSFSKGLQSGQLYHTSAPTAPLSHVSNVTPSYAPTVQAQPFSGTSSSVPMMTTHDGSDHISSNRVANLVKPSSFLVTSSSSSLLIPPVSSISSPALHPLLNLQRPHGTPMLQPFPPPTPPPSLTANPTTVTEYGPVTREKVRDALLMLVQDNQFIDMFYQALLKAHQS >CDP03129 pep chromosome:AUK_PRJEB4211_v1:6:9505523:9509245:1 gene:GSCOC_T00041620001 transcript:CDP03129 gene_biotype:protein_coding transcript_biotype:protein_coding MPYNLGNLICDESVLTTRVDITGLELIANTSSLLSESSLTKFPLVSFAHESRSYSNYRTPQNGISILTQNDNVKKGEANTLPGATDNGRCFAVGDAMYQATKADDPKFFSGSQSVDASSQLVSNDTTSVCSEQCTALDTQSGTSLITVASTCSHQSIKKSYSWDPTIASEIIEDIVSGIANNGVAVVKDSTRPVPSSLLEVSEKMKIDRHVFSLDTVPLWGFSSIRGRRPEMEDAVAALPRFFKIPRQMLMDGPVSNALNQCLSADLFGVYDGHGGSQVADYCRDRLHLALAEVLNIEREDLLTGNGACNWKERWGRVLLSCFHKVDNEVGGMGTSGANDPSLVPIAPEAVGSTAVVGIICPSHIIVANCGDSRAVLCRAKVAVPLSIDHKPNREDEYERIEAAGGKVIDWGGYRVSGVLGMSRSIGDRYLRPSVIADPEMTFVPRSREDECLILASDGLWDVMTNEEVCEVARRRILLWHKKNGPSPPNERGERIDPAAQDAADYLSRLALQRGSKDNISVIVVDLKPVRKFKKKTT >CDP03400 pep chromosome:AUK_PRJEB4211_v1:6:7213043:7218276:-1 gene:GSCOC_T00041973001 transcript:CDP03400 gene_biotype:protein_coding transcript_biotype:protein_coding MNGELQLESIGGDRNPSDSDPLLHERQQLQKHIDTSSPSSSGSSSEIKDEDLEAGSLPCCRICLECDGEDDDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVAELEDNSWRKIKFRIFVARDVFLVFLAVQTVIAMIGGFAYLMDRDGSFRNSFNDSWDRILSKHPIPFYYCIGVLGFFVLLGFFGLILHCSSLNSNDPRVAGCQNCCYGWGILDCFPASMEACFALVIVFVVIFAILGIAYGFLAATMAIQRIWQRHYHILTKRELTQEYIVEDLRGCYTAPKLDLEHEERLKMLKLL >CDP06218 pep chromosome:AUK_PRJEB4211_v1:6:22916016:22919249:1 gene:GSCOC_T00022907001 transcript:CDP06218 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQLRKLRFLWWVKQYCKDYETVSFDDSSTGSFLLNSDSRKPPETPQFDIGIILCSPRTTSSAVFAFPISMSISIYSCGSHDRQLRPENEGSAISGRQGKDAKIEHRSRWLFHLNKIFERKGVKLVVDKISLDFVKGATVDYVEELIRSAFQVSTNPIAVGGCSCKSSFVV >CDP16340 pep chromosome:AUK_PRJEB4211_v1:6:29838585:29846861:1 gene:GSCOC_T00018159001 transcript:CDP16340 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKAVISDDEDEVGIEEEERDEEPEDGERIGRGHDDDEEDEDEEEGQDEYEDDGFIVSDVEEEQEEEEDDRADDGAERQKKKKRKKRESERNYVLDEDDYELLQESNITVPRPKLESKKFKRLKKAQRDTAEEHSGFSDEEEFDETGRRGRTAEEKLKRSLFGDDDGQPLEDIAEEEQLEEEDEADMGEEDEMADFIVDEEDSYDEHGAPVRRKKVNKKKARQAPGVSSTALQEAHEIFGDVEELLRLRKQGLVKMSRHDDSGEWKERRLEDEFEPIILSEKYMTEKDDRIREIDIPERMQVSEESTGPPPTDEVDDESSWILNQLGNGVLPLSMKGRTDTNEASNEPPIDKNHITRFLELMHVQKLDVPFIAMYRKEECLSLLKDPEQPESDNDDQNNSDKKPSLRWHKMLWAIQDLDKKWLLLQKRKSALESYYSRRYDEESRRVYDETRLNLNQQLFESITKALKAAESDREVDDVDSKFNLHFPAGEVGADEGQYKRPKRKSQYSICSKAGLWEVANKFGYSSEQFGLQISLQNMRMEELEDAKESPEEVASNFTCAMFETPQAVLKGARHMAAVEISCEPCVRKHVRSVFMDNATVTTTPTADGNAAIDSFHQFAGVKWLKDKPLTRFDDAQWLLIQKAEEEKLLQVTIKLPQAVLNKLISDSNDYYLSDSVSKSAQLWNEQRKLIIQDAFFNFLLPSMEKEARSWLTSRAKSWLSMEYGRLLWDRVSVAPYQRKESDSTDEETAPRVMACCWGPGKPATTFVMLDSSGEVIDVLYAGSLSLRGQNINDQQKKKNDQQRVLKFMMDHQPHVVVLGAVNLSCTRLKEDIYEIIFKMVEENPREVGHEMDNLNIVYGDESLPHLYENSRISADQLPGQSGIVKRAVALGRYLQNPLAMVATLCGPGREILSWKLSPFESYLTPDEKYAMVEQVMVDVTNQVGLDVNLAASHEWLFSPLQFISGLGPRKAASLQRSLVRAGAIFTRKDLLTAHGLGKKVFVNAVGFLRVRRSGLAASSSQFIDLLDDTRIHPESYGLAQELAKDVYKMDVGDDINDDDEMLEMAIEHVREKPHLLRAVHSSEYVAEKGLTKKETLNGIRLELMQGFQDCRRPYVEPSQDEEFYMISGETEETLSEGRIVQATARRVQPQRATCVLDSGLTGMLTKEDYTDDWRGFDDLTEKLREGDILTCRIKSIQKNRYQVFLTCRESEMRSNRYQSYREMDPYYHEDRSSLQTEQEKVRKEKELAKKHFKPRMIVHPRFQNITADEAMEFLSDKDPGESIVRPSSRGPSYLTLTLKVYDGVFAHKDIVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVAHLKAMLNYRKFRKGTKAEVDELLRVEKSEYPMRIVYSFGISHEHPGTFILTYIRSSNPHHEYIGLYPKGFKFRKRMFEDLDRLVAYFQRHIDDPHDSTPSIRSVAAMVPMRSPATGGSSGFGGGWSGSSNDGGWRGGQSADRDRGPRSGNQNSTTN >CDP10459 pep chromosome:AUK_PRJEB4211_v1:6:6347732:6348020:1 gene:GSCOC_T00031191001 transcript:CDP10459 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNRGGNGAFLVVIMYIIMRSLLGYHFSICCWIELRWRLAVKNFVADWIRQIAIVILSRSLVF >CDP06366 pep chromosome:AUK_PRJEB4211_v1:6:116506:119892:1 gene:GSCOC_T00023186001 transcript:CDP06366 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQGIARSSTFQEDIISSPAPPPPAPGTAATGGGGGYHATASSSLAAQAIRASAAHRDSSLSSAYAANSAFPSSFNNPSKGSPTYDYTSMKSSNEPGGFWGVLARKAKAILDDDDISHLSCPATTRTNPKEFLPSSTHSQPYQTAESYRKADNPTFRKGLDALTTSLNHIGDTIGSAFEEGRTIVESKTADIIQETRKLQIRRKGTNFDEGNQVPDVHSAVQQSAQPHMQTSQEDKLKASRDVAMATAAKAKLLLRELKTVKADLAFAKQRCSQLEEENKLLREARDKGDNPADDDMIRLQLETLLAEKARLAHENAVYARENRFLREIVEYHQLTMQDVVYLDEGIEEVTEVYPIPAVSRMLSASPPSPTSPSSPTGSSPSRSIQVTQQILEIPLLPKATTGFSA >CDP15343 pep chromosome:AUK_PRJEB4211_v1:6:3958480:3965279:-1 gene:GSCOC_T00043034001 transcript:CDP15343 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKGELIYKIYRAFSYGLSPMINLHLRWRKFRGLEHPKRWTERLGRPSLPRPAGPLVWFHAVSLGEGMAAIPVIKCCLTRRPDVTVLMTSTTLSAFEVIKKELPANVIYQFAPVDAPAAVEAFLGYWKPNAIILIESELWPNLIISAAKDGIALALLNARISAKSFRYWSLPVVLPLAAFMLSKFSLIVPLSNQQAIQFQLLQAPPLVINFSGDLKYAVEDTTLAGDNGLEELQEQLKHRKVWMASSLHRGEDKILLRVHKALKEIYPDMLTIIVPRHLEVGQEIVLELQRKGISVALRSRSDKLSLGTETYVVDTLGELRELYRLTPIAVIGGSFLPGLAGHNISEAAVAGCAVLTGHYLGHFNHMVQEMQRLNPSSVLQVSGDMLVEALIELFSDAKLLETRRAAAKQAYHALSIGVVENMWRLVQFHILDKSVPM >CDP06586 pep chromosome:AUK_PRJEB4211_v1:6:1885211:1887356:1 gene:GSCOC_T00023491001 transcript:CDP06586 gene_biotype:protein_coding transcript_biotype:protein_coding MRNENSELTVSGTTFCHLCHKIQPCCELRKQICMQIIKMTINQNSTEEELPNYGGSLSAPNVQEMVKRDPSKIPDRYIRSLEEQPKRTNMTSFSSEIPTVDLSLLLQQQEQELRKLDKACKEWGFFRVVNHGIENQVLQKIKDTARLFLDLPLEEKNKFSMPADDMQGYGHAFVFSEKQKLDWNDALVLFMFPSKFRKYKFWPTIPADFNDTVEKYSSEIRRVGMELLRSISINTGMASNTLLTMHKELPQALRLNNYPPCCKPEEVLGFSPHSDASTITVVSQDDDVTGLEIQHEGQWVPIRPIPNALVVNVGDALEIWSNGTYRSIEHRAVTNKNNARISFATFLSPQDDVEIEPLDTMMDSHGSLSKYKKVIFGDYIRKFFKAKLEGKSRFEMEKMELDSRLTLMQMCIGMRKGSGGSIYKDFFLQFLLKRVLTFSFHLHPSLISLSLTNENYRKASVHVDRGTKAFQ >CDP14221 pep chromosome:AUK_PRJEB4211_v1:6:19027106:19028293:1 gene:GSCOC_T00040492001 transcript:CDP14221 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFGSMFGLSPNLQKCQIFIAGLDAQEADSSRDIMQMPRRMLPVKYLGLPLISSRLSYKDCHPIFIKMEQKIKSWANKKLSYGGRLQLIQSVLTGIYLHWCSVFIVSRECVSKFTRKINSILASFLWASEVKRFYGARVSGKEICNPKKDGGLGLYNIECGTSA >CDP06625 pep chromosome:AUK_PRJEB4211_v1:6:2211657:2212148:-1 gene:GSCOC_T00023539001 transcript:CDP06625 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLTAILSLLVLIAIPALIYAFFFAMNCPRIPLRRPHRQRHHELSSSSSSKEANKQAVEDVCSSGGVKYRKDEEADHEKEYGVECPVCLAGFAEGDYVRRLDDCKHSFHLTCIDKWLSSHSNCPVCRASVPTIRSKQRPKPPPPPRPDDDFRQGLPDAASLV >CDP03140 pep chromosome:AUK_PRJEB4211_v1:6:9390851:9393296:-1 gene:GSCOC_T00041634001 transcript:CDP03140 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVATDGSQFDARQYDTKMSDLLSAGGQDFFTSYDEVWDSFDGMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYGLVQSQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLQRRSLRPDHLKMFVLDEADEMLSRGFKDQIYNIFQLLPSKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFFVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLSDIQRFYNVVIEELPSNVADLL >CDP09555 pep chromosome:AUK_PRJEB4211_v1:6:20632614:20633173:1 gene:GSCOC_T00028974001 transcript:CDP09555 gene_biotype:protein_coding transcript_biotype:protein_coding MEENHQVLEISTGESKHARRKNHKANCGICKKLNLTVTNTSVAQFRDLALDITIIAEMDKEFNLTAKELARCLQSALLPVQFLGKHTNNG >CDP15365 pep chromosome:AUK_PRJEB4211_v1:6:4159221:4169057:1 gene:GSCOC_T00043069001 transcript:CDP15365 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESSGRPFDRSRDLGLKKRRLTQDPIPLDRTSNGRSNSSFIQQQRPALPSANSTSVAAGSRFRVSDRGGADSESSDSVRGPYPQQHLQQQQQILELVNQYKTALSELTFNSKPIITNLTIIAGENLHAAKAIAATVCANILEVPREQKLPSLYLLDSIVKNIGRDYIKYFASRLPEVFCKAYRQVDPAIHPGMRHLFGTWKGVFPSPTLQMIEKDLGFVPATNGSSLGTSSRPDAPAARPAHSIHVNPKYLEARHRLDLSTRAKGSASDIGGNLLNSSEERLERTPSVGSGRPWVDPTLKNIQHPQREQLSDAPFDDSEYDSLMLKRSGIAIGGAGEKFKEQVFDKTWFESGGVMPADQGNGFDVKHGFPRYPALRSVSSANMQPRPIFPSKSTSGMTKSWKNSEEEEYMWDDINSRATDQSAINSSGRDRWTPDDSERTSEASADSLSTEQKGQAAIGHRIATSWSQDPVLSEGTSHLPSSRIMNNSGSYPTSLSGLATAVSTVGRPLFHSKIGPGGGGTPGYSFSSATLGPMGSIGQPRQTLGAASPSAQSPMHQRPSSPSFLVRGANQVAHNLAERDQKPALPPAECRASQYPGHLNLGANSQPLASRNAHLANLERQQPPSICALSSVASPHSLLSESIRQTSTSSLPEISGLDLSSVSKNPFSKDTNAVATQSSTSSLLAAVMKSGILGGNLVSGSVPSLSSQDAGVAATEASKQPTLTSHPSTHSTMVGPRISPASVLSQSSNENTPKSSIQRNGGQLPVPPETLPSSIVGSALAQPLNAANAVSAPVSSLLSSLVEKGLISASKTESVTSLMPDAPGQSQNQSLEIASTSSSPISLPLCSSSTKQELPISELTSKAKDVLPESSAAEMKNLIGFQFKPDVLREFHPAVISELLEDLLFKCSICGLRLKIEEQLNRHLEWHALRDKDKNNLNKESREWYLKSVEWIAGNAGIVSNNESAGVLEGPSKRSECNEQMVPADESQCLCVLCGELFEDFYSEERDQWMFKGASYANVTGITNEGASQDTIVHANCLAKSSLDLDCATNIKYIKILAFLFQAKVQ >CDP15422 pep chromosome:AUK_PRJEB4211_v1:6:4651044:4651304:-1 gene:GSCOC_T00043151001 transcript:CDP15422 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMMKMAGFHPDVVTYTAMLHAYSVADDWEKTFAIFQEMELHGVQQFKEWFCK >CDP03191 pep chromosome:AUK_PRJEB4211_v1:6:8992714:8997718:1 gene:GSCOC_T00041695001 transcript:CDP03191 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSDSVSVDVETIYLGGKEHIVRTGRGSVSVIVYGDKEKPALVTYPDLALNHVSCFQGLFFCPEAATLLLHNFCIYHISPPGHELGAAAICPDDPVLSVDDLTDQIIEVLNYFRLGAVMCMGVTAGAYILSMFAMKYRERVLGLILVSPLCRTPSWTEWFCNKVMSNLLYYYGMCSLLKECLLYRYFSKEVRGSAEVPESDIVQACRRLLDERQSLNVFRFLQAINRRPDITEGLKTLKCRTLIFVGENSPFYDEALHMTARLDRRYCALVEVQACGSMVTEEQPHAMLIPLEYFLMGYGMYRPSQFTGSPRSPLSPTCISPELLSPESMGLKLKPIKTRI >CDP14223 pep chromosome:AUK_PRJEB4211_v1:6:19041829:19043225:-1 gene:GSCOC_T00040494001 transcript:CDP14223 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVALVAFFSIALLACFTEARKDPRGILRPAAGPGAFTEQNEHLGSNTLNEFESKPGSILHADEPRSILPYHGRDANSKEEKPQMKDFESKPESVLFIWGGDKANLQEAKPHMKDFESKPESILFIWGGDKANLQEAKPHMKDFE >CDP09558 pep chromosome:AUK_PRJEB4211_v1:6:20668954:20676405:-1 gene:GSCOC_T00028978001 transcript:CDP09558 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQNTVAELFNERPIWVKDSLSEHLLDKGLEFGENMLKRLLFRAAYYFSTGPFCRFWIRKGYDPRKDPESRIYQTMDFRVPVPLRSYCEANSASRLKHRWEDICAFRAFPYQCQTSLQLFELADDYIQEEIRKPSNVEAFSTTFVSSG >CDP09589 pep chromosome:AUK_PRJEB4211_v1:6:21208845:21209003:1 gene:GSCOC_T00029022001 transcript:CDP09589 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRLLRDAEADGWEMIRLPYHMRVLPRRLPLCSNGNVSVVTCPLSFIAFLG >CDP10609 pep chromosome:AUK_PRJEB4211_v1:6:5163123:5164293:-1 gene:GSCOC_T00031379001 transcript:CDP10609 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDETTRPVMGYAPVGYPQPFPPPQQGYYGHPYTAYPNYYNGAGPPPGTVYYSSAQLPNISPQPSKGYEFARLALIIMIVLMVCTITFSLFTWLFLGSGVPDFKVESFNVPYFDIANSTLKARWETNITVKNTNQKSRISFPHIQGYLVYRNRLVDAAMIDPLHLEGKTEASLRANFSLPDPRGNLPEASVVDAMGEGRKIGILDFYLRLDMRATYVSGSYWSRETMLRVICGDLWVNFPAPIGGGTWNGTSGECSTYY >CDP10172 pep chromosome:AUK_PRJEB4211_v1:6:13607814:13609586:1 gene:GSCOC_T00030800001 transcript:CDP10172 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRARTRDSFSRGFRKRGTIPLSTYLRTYKIGQYVDIKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVLPSRCNEEIKHRIRRNDELKAAAKARGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >CDP14135 pep chromosome:AUK_PRJEB4211_v1:6:17984292:17986107:-1 gene:GSCOC_T00040371001 transcript:CDP14135 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVIQDVRLIPNAESYCFPSSSAFTIYSFFGEPEWKPELSQPELLEPLEDLPTWESCFPQELTDFVKFQNDFKPISSGYLFNTCRAIEGPYLDLHVKSKITDSDKQWAIGPFNPVEMNGQKNSEKRHYCLNWLDKQAPDSVIFISFGSTTSVSDEEAKEIATGLDKSGQNFIWVLRDADKGDVFQGEDRRVQLPEGFEERIEGRGIVVRDWAPQLEILGHSSTGGFMSHCGWNSCIESISMGVPVAAWPMHSDQPRNAILLEKVLKIGLIIRDWSKQNELVTSITVENAVRRLMDSAEGEEMRQRARELSKAVKGSVIEGGVSRSEMDSFISHIRR >CDP06409 pep chromosome:AUK_PRJEB4211_v1:6:484493:487865:-1 gene:GSCOC_T00023244001 transcript:CDP06409 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRVCNLGGGRGQITSLLSLITDMHVRDKILMLLDSWQEAFGGPGGKYPQYYWAYEDLRRSGVGFPQRSLDTAPIFTPPMTHPTPRHPQPGYGMPSNSSTRLDEAMAAEMENLSLSNIGSMRDVLDLLADMLQAVNPSDRSAVKDEVILDLVEQCRSNQKKLMQMLTTTGDEELLAQGLELNDNLQNVLAKHDAIASGLPLPVELTNHNLQLNDKHHSSIKQDNAVKGSETTNGNPSPVPRIQIDEDDEEEDDFAQLARRHSKINISATPASTMRGSDGGTLSNSSDMRAQEASSTLGMSDALVLADPPAPARTTKEQDVIDLLSITLSTSMSPAPPQASTSLKQNLHEGTALSTGDDNSSVSRAINQSQSVNSYITPWAQPQAQPQRQFEPQPQQQQLAQESQMPQSKYHSQFYSQHDPQSQFRPQAQPTLDQYSTGYPPPPWAATPGYFSNPNPLSRSPYAYSTVQATSSISSQGTRTSQHTSMTPVSGSNVSATNGDARLGPGGHKPFIPSYRLFEDLNVLGNADGRFKMTSNSTPLSGTNGHGMARGGK >CDP10366 pep chromosome:AUK_PRJEB4211_v1:6:7119705:7121048:-1 gene:GSCOC_T00031075001 transcript:CDP10366 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKAYIIAVSLVSVLTISIVVARISLKLSKTFFLVCGANIAAILAVFSFLIIRRRYNNRRKLLESQLVSEGRELRIEYSFLRKVAGVPTKFRYKELEEATDGFRALLGQGASASVFKGILNDGTPVAVKRIVGDDKGEKEFRSEVAAIASIQHVNLLRLLGYCSVPSGPRFLVYEFVYNGSLDNWIFPRRETHGRRGGCLSWDLRCRVALDVARALSYLHHDCRSCILHLDVKPENILLDESYRALVCDFGLSKLMGKEESRIVTTIRGTRGYLAPEWILENGVSEKCDVYGFGMVLLEIIGGRRNVCTIEDENERRKKKFECFAKIAIEKLREGKLMEIVDQRLVLAGGIDERQVKRLVCIALWCIQDKPKVRPSMGLVVEMLEGRVPVDEPPENTQVLIADLLSAEDGESTKPDRGRLFAAMDNAIIPSTAAYSSIGSVLSGR >CDP15436 pep chromosome:AUK_PRJEB4211_v1:6:4733472:4735444:1 gene:GSCOC_T00043168001 transcript:CDP15436 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLRPFQNKSRLVLHRCEAPKTTATQATTIPVPWNTRLRELSKQGHYQQSLTVYRKMLRSGAAPNAFTFPFTLKSCAALSIPIIGTQLHSHVTKTGCLCEPFVLTALISMYAKCLLSEDAYKVFDENPLCKKLTVCYNALIAGYVQNSNLLNGVSLFREMRRMGVSVNAVTMVGLASGCTNPLHMSLGMSLHCLSLKSGFGNDLAAENCLLSMYVKCESIQLARKLFDDIPGKGLITWNAMISGYAQNGLGTHALDLYYEMESSGISPNAVTFVGVLSSCANLGARRIGQEVEQKISNLGLGFNLFLKNALINMHARCGNLARARAIFDEMHEKSLVSWTAIIGGYGMHGLGDIAVELFDEMIRTGILPDGPVFVTVLSACSHAGLTNKGLGYFAAMQRDYGVKPSIEHYSCVVDLLGRAGRLEDARKLIDSMPVEPDGAVWGALLGACKIHKNVDLAELAFHEVVKLEPTNIGYYVLLSNMYTEAENLSGVLRIRLMMRERKLKKDPGCSYIEFKGKIHLFVAGDRSHSQSDEIHSMLSKLQDLIKELDVTKKYNDEKSDRELSTGVGVHSERLAIAFALLNTEIGVDILVIKNLRMCGDCHLFIKMVSKIVDRQFVVRDATRFHHFRGGACSCNDYW >CDP03133 pep chromosome:AUK_PRJEB4211_v1:6:9455831:9458362:-1 gene:GSCOC_T00041626001 transcript:CDP03133 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTFMSQVNCGIGAAAATVTLPNRNFLPTVRTAGNHRPHLVVNAKSSTQADMLEKDSVSIEGVDDKSDFGVVSMHHVGILCENLERSLDFYQNILGLKINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIRDVPKLKAILDKAGIAYTLSRSGRPAIFTRDPDANALEFTQVEA >CDP14322 pep chromosome:AUK_PRJEB4211_v1:6:35879242:35881854:-1 gene:GSCOC_T00040648001 transcript:CDP14322 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTTTTASSMLHQLECNMDVDKLTCEIFSILENKFLFGYDDPKFVSHLPKINTTPPATAAAAASPLSTKHFPGGRGKVRILSIDAGGSTDGVLAAKSLAHLEATLRRKSGNPNARVADFFDVAAGAGVGGILAALLFTRGKDGRTLFTADEALKFVLHNAGKFSRPSAPAGIVRRVFRPAKREKLFGKVFGELTLKDTLKAVLIPCYDLGTGAPFLFSRADALEMDGCDFKMAEVCGATTASRAVGLRSTDGRKKMAAVGGGVAMSNPTAAAITHVLNNKQEFPFCKGVEDLIVVSLGNGEMDCAVGNHWNSSPAEYVRIAGDGAADMVDQAVSMAFGQWRGSNYVRIQGNGIVGKRSRHGSSKRDDDGKAPKRKLDIIADQMLEQKNVESVLFQGKKLVESTNLEKLEWFAGEVLKEQEWRKTSSVLPPVILKQSSPSSPPRSSSATTLSTLSSC >CDP06707 pep chromosome:AUK_PRJEB4211_v1:6:2768859:2773044:1 gene:GSCOC_T00023643001 transcript:CDP06707 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVMGSSLRSWREELASLVEDTGIRFNGEVIGISTPAFETKKFGADSSGNETSAEPESFKDQIKGFANAWGEMVVEFGRGCKDVFHQTLLTDDSYIVKKTRGPLAEVSQRLSFFGEYLPEDRDPLHACLVIFSVFVLALAVLGVNSKHYSSVPMIKKVQLHPPSAARILLPDGRYLAYHEFGVPVDRARFSLMIPHGFLFSRLAGIPGIKSSLLNEFGVHIVTYDRPGFGESDPHPDRNLNSSAVDMLNLAVALGIKDKFWILGYSDAAIHAWAALNYIPQRIAGVAMFAPLVNPYDSSMTKEEMSRTWEKWTRRRKLMYYLARRFPNFLKYFYRRTYLSGKHGQIDKWLSLSLEKGDKALIQKPAFEQLWQRNVEESIRQGSTIPFVEEAVLQVSNWGFSLVDIQVQKKCSRKGILPWLKSIYGQAECEVTGFLGPIHIWQGMDDLVVPPSVTDYIARTLPNAAIHKLPEEGHFSYLFLCDDCHRQIFSTLFGSPQGPLELTDETPSGHERVINAM >CDP06407 pep chromosome:AUK_PRJEB4211_v1:6:450628:452615:-1 gene:GSCOC_T00023241001 transcript:CDP06407 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSERKKAALHGKLQQLRSVTNSTAVNTASIIVDASRYIKELKERVEKKYQDVAATSQISSGDPNALPAVTVETLEKGFQINVFSEKNCPGLLVSILEAFEELGLEVLDAKVSCSDNFRLEAVSENEGQEDNIDAQVVKQAVLQAIRNWSASSYEQE >CDP09529 pep chromosome:AUK_PRJEB4211_v1:6:19796965:19803096:1 gene:GSCOC_T00028934001 transcript:CDP09529 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKLFLATLAIVLIATLVSPRPEPDQQYHQHEQDGQSNQYEVLPILDAVGPESLAFDKHGEGPYTGVSDGRIIKWQRNENRWINFAVTTPHRHGCEGAQDHDDTEFRCGRTLGLSFNHKTGDLYIADAYMGLLVVGPKGGLATPLAKEAQGVPFKFTNGVVVDQRSGVVYFTDSSTKFQRKDYMSIIFSGDNSGRLMKFDPTAKKVTVLLHNLMFPNGVALSKNGDFLLITETTNCRVLKFWLDPSKAGGVEVFANLPGIPDNINRNQKGEFWVAINSRIGSFNKKQSSDLRGAHSSLANDYYGFGLALKLSKKGEVLEALEAKNGETWMYGSDIVEKNGYLWIGSVIVSFAFKLKISK >CDP06408 pep chromosome:AUK_PRJEB4211_v1:6:468433:483696:1 gene:GSCOC_T00023243001 transcript:CDP06408 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDSMAYRFSQSSMALAVVSNHLEELNVSTSTTGNSSINHEDGERSVRDSETASSSYVGGAAMTTTSMAYLPQTLVLCELRHDAFEGSLPSGPSDSGLVSKWRPRDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGRTLNQQYERWQPRARYKISLDPTVDEVKKLCTTCRKYAKSERVLFHYNGHGVPKPTVNGEIWLFNKSYTQYIPLPIGDLDSWLKTPSIYVFDCSAAGMIVNAFVELQDWTAAASASASASASSGPSARDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLRESLDYSLIDRIPGRQTDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPLSHPILPPTHQHHMWDAWDMAAEICLSQLPTLVEDPNAEFQPSPFFTEQLTAFEVWLDHGSDLKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGSWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHAYFIRFLDSVEAFPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIHVCLRHLQVSSPGDSQTEPLFLQWLCLCLGKLWEDFAEAQTMGVLADASAVLAPLLSEPQPEVRAAAVFALGTVLDVGFDTSRDGAGGEEDCDDDEKVRFEASIVKNLLNVVSDGSPLVRAEVAVALARFAFGHNKHLKSVAAAYWKPQPNSVLAALPAFAVKGSGSGYTTPTQYMPHGSIVPSAVSPLLRVGENSQPVVRDARVFTSSPLATSGIMHGSPLSDDSSQHSDSGIVADSITNGVVNHARARPLDNALYSQCVLAMCTLAKDPSPRIASLGRRVLSIIGIESVVAKPVRSTPGNAQPSESMANASTSLTGLARSSSWFDMNGGHLPLTFRTPPVSPPRPSYLTGMRRVYSLEFRPHLMNSPDSGLADPLLATAGPAGASERSFLPQSTIYNWSCGHFSKPLLTATDDSEEIIARREEREKLALDRIAKCQHSAVNRLRDQIASWDAKFETGTKTALLQPFSPVVVASDESETIRIWNYEESTLLNSFENHDYPDKGISKLCLVNELDESLLLVASSDGNVRIWKDYTSKGQQKLVTALSSIQGHRPGVRSVNAVVDWQQQSGCLFASGEVSSIMAWDLDKEQLVNTIPLSSDCSISALSASHIHGGQFVAGFSDGFVRLYDTRTPEMLVSATQPHTQRLEKMVGIAFQPGLEPGKIVSASQAGYIQFLDLRHLRDTYLTIDAHRGSLTALAVHRHAPLIASGSAKQLIKIFNLEGEQLGSIRYYPSFMAHKIGSVSSLTFHPYEVLLAAGTADACVSIYADATSTAR >CDP06213 pep chromosome:AUK_PRJEB4211_v1:6:22767153:22771275:-1 gene:GSCOC_T00022900001 transcript:CDP06213 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDLIRTVKEKQIYPKNLNRFPKYETSARIQTLQLSKDILVIQPKGNSGSYFSSLSHPHNLSLSLSFSRSSGDFCSLDRIFRTDSRNSLNQTKGKMREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLTMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEDGEYEEDEEEEGIDHM >CDP06221 pep chromosome:AUK_PRJEB4211_v1:6:23037342:23041702:-1 gene:GSCOC_T00022916001 transcript:CDP06221 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLKLQGELPANPEGRDWIFIGCWNHWCLENYPFIMKALVGDAFPKFTNDQKALVKGSYDFIGVNYYTSSIQPDQTYTTDNTNGKLTGERAPSSEVFVYPQGLGHALEYITKEYNKPKVYITENGYPQARDDSIPIETTLQDDARIQHILTHLRVVSEALKKGVNFKSYFMWSLMDCLEMGSAYNVRYGPCGLYYTDYNTLDRIPKKSANCLTLTLAFSL >CDP15656 pep chromosome:AUK_PRJEB4211_v1:6:33037270:33038874:1 gene:GSCOC_T00015601001 transcript:CDP15656 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMEAQTSPSPPFSTAGLGPCELSCSFSTYHLAYGARHRWVFWSTCGNDEGGCGKPHHLSATADGAVIKDHIKCFLPLVLDALPPGCLVQFWGLVKNEDKTYLTTCDQPFALCYYFGPHVKEICEYRKHCLEYLIPVDEDDDHEIGPPGRVFRSGLPEYARDVGDYTARAYPQRDYAVGRVEGYWALPIYHHPTRHLPIGVLEIVSSHVFPGIPRREVLDKLQVRLFSFFKLLNYFFPPVDVGIWGWCCLSFMSYIIISIIFINKLHNIYEMGADSLPYIYEKKEEFRGRIPGRK >CDP15284 pep chromosome:AUK_PRJEB4211_v1:6:3540196:3544707:1 gene:GSCOC_T00042955001 transcript:CDP15284 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGAVLQKDVPWRASSPAAKPLPKIHQSPLLRLPQTPYSDYALSLMKHQNPIGSGLGQEAIVEAAGPDCIVPGQTPPIKLLGLKVWPIDIDLKFMEPVGRELQLVGKFMDSAVELMNKSFIDR >CDP02893 pep chromosome:AUK_PRJEB4211_v1:6:11911500:11913755:1 gene:GSCOC_T00041301001 transcript:CDP02893 gene_biotype:protein_coding transcript_biotype:protein_coding MQESLHHQSFLVTGNESKGFRGQLDIATLLVALVAHFKQRRIYRNFKSFLLVSELSVCIVTFNKNLNFKGLYIYLASSSIASAMVNLLPATTFAAAYIVGGLKKVNIQSFRSIAKIIGTISSVAGAISMPLLKGPRTLSMELQLMHSLFKKGDEIWLLGCFIPLAGTICRSTWQLLQVPVVQELSRSFTCNSMQGCASWLPCNLQLSRFLLRKISQAWKLHSHLEIGCPLYTVSFSSSILYLAGAPAQSWCISKRGPIFCAMPCLIP >CDP18643 pep chromosome:AUK_PRJEB4211_v1:6:15169359:15172856:-1 gene:GSCOC_T00004134001 transcript:CDP18643 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHTKFFAHLIVTFLFLVSCPSAFHGDLHDHSDIQQTGYDSEAYPSFLSTVDGSQFDNSMMFEAGASDGLTKLSRLESSGRIINVDRFGAKGNGAEDDSNAFQSAWREACLSTVAVTLVVSQGKDYLLKPVKFSGPCKSEITVQINGVLLASDDRSDYGRDGRQWIRFDSVQGLIVEGGGVINGRGNIWWQNSCKINKALPCKDAPTAVIFYNCKNLVVQNLRFQDAQQIHMAFEKCINVQASNLIVTAPEESPNTDGIHVTNTQNIQISGCTIGTGDDCISIVSGSQNVQATDITCGPGHGISIGSLGSENSEAHVSDVTVHGARLSGTTNGVRIKTWQGAAGSVSNIKFQNIQVHDVQNPIIIDQNYCDQATPCTQQHTAIQISNVLYQNIYGTSASAAAINLNCSKSFPCRGIVLQNVNLVGEGGGAAKASCNNVNLSNVGLVSPRCA >CDP09514 pep chromosome:AUK_PRJEB4211_v1:6:19515193:19517011:-1 gene:GSCOC_T00028908001 transcript:CDP09514 gene_biotype:protein_coding transcript_biotype:protein_coding MAERFVKQAKEYSASRPIYPEELFQFVASKTPCHDLVWDAGTGSGQAATSLARIYKNVVATDTSPTQLEHAVKLPNIKYHCTSPKMSIAELKQSIAAESSVDLVTIATAIHWFDLPSFYQQVKCVLKKPNGVIAAWSYTLPRINKNLDTLLTKLYYVGAAPFGGPPPKLIMEENYRTIDFPFEPVDGLENTGPLELKMEKLIDLDGYFTLIKSWSAYQAAKENGVELLGEDLVKDFTLAWNEDGKQEKIATWPVYMRIGKVGNQ >CDP10424 pep chromosome:AUK_PRJEB4211_v1:6:6727746:6734201:-1 gene:GSCOC_T00031147001 transcript:CDP10424 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTTTTTTLYGDGDSERGAGGKLRKPSTRKSQPTPYARPPTNQLRPSRAAAGGWFSKIVDPAYRLVADGATKLLPSFFSKTPAALPPPSENPDILDGVVATIAEANEKYTNDVPQSNERPGPSEVAANGLKRNCQSDMFGQNKPKSCDNDSQISKIEQLIKGKTFSRDEINRLMEIISSKAGDVSDVEREEKNKILAIAGRSEGGLLAPFPKMSDERDQGDMKTAIVRTATVSPQPSVQDEISASPIDIARAYMGSRLSEQGGVPYSVSSKGQTPRQIGDEFASKHFISSSSPRLSTCWPGAMAQEKHLYTTPNHRGRHGLHDFPRTPYSRTIYSKSRAKLTQSQADSRYLNTSPISTKQSQMPFFGQVKSRHDVLDTGYGSVGPVRHMRNKLASEARPRGSILFKPSKDAPSPIQQPIAFQGLLPDLQKNLEPGEASTSRQQQGNHAGKGVGNNTHVNPSCSQAVKRILEQLDSRKPTPQEKAAEIRLATAWKRSPPGASHATLKENISFSNPEEFGSLKQTDLPDPKLISEGNKLGGNSKNQVESQERSKEAKDAIVASSQPPGINDGDATVQSDTNSGSLFSLKSTSSQLKSFQERSSLQNPSQHQSNGKHVTTSQSESGLEPSKKPPPHSSGTRPTLPQISVGRRHALSRAVSSDNGSAFAFPVSASSSILSEPPTPSVMPFSLIEVVSQPNELPAVPAYSFGTKRSTPALVFSFPFPSTSSASAQVDADDLKFSFGSDKKTRLSFGSLGKDAICY >CDP16106 pep chromosome:AUK_PRJEB4211_v1:6:33328336:33331546:-1 gene:GSCOC_T00017149001 transcript:CDP16106 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLFLCSILPLILIFLLQKTKKSKKTRGPPGPPGLPFIGNLHQLDSAKPHEYLWKLSKKYGPLMSLRIGSVPVVVISSSKMAKEALKTHDLVFSGRRAYVSHQKLSYNGRDVAFSPYGEYWRQMRKVCVLHLFSLSRVQSFSPIIQDEISHLIQKISNLSCASKVINLSSIMTSLGSTIICRIAFGFFISDYLPSLSWIDKLSGMFARLEKNFKDLDLFYQEVIDEHLNTNRPETMKDDVLDILIQIKQEQSSGFELTWDHIKALLMNIFIAGTDTSAATVVWAMTALMKNPSALKKVQAEIRDLVGQKGAVVGEKLQQLRYLDAVIKEALRLYPPAPVLVARETTQSCNIEGYEIGSKTLVYINDWAISRDPESWERPDEFIPERFLNNAIDVRGNDFEVIPFGAGRRGCPGIHLGLSTVKLALANLLYSFEWELPSGIRAEDIDTDVLPGITMHKKNALCILAKEYLHKSS >CDP03386 pep chromosome:AUK_PRJEB4211_v1:6:7343078:7347599:-1 gene:GSCOC_T00041949001 transcript:CDP03386 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLFPHSHSALLFLSRALFFAFLLELFTLSHPRVNSEPTQDKQALLSFISRVPHENRLQWNSSDSACNWVGVECNANQSYVYSLRLPGVGLVGQIPANTLGGLTQLRVLSLRANRLTGSLPPDFSNLKALRSLYLQNNRFSSEFPPSLSELTRLTRLDISHNNFTGSIPFSVNNLTRLTGLFLEDNGFTGTLPSINAPLAQFNVSNNRLNGSIPQTLQKFPDSSFAGNINLCGGPLPPCNPFFPSPAPSPASLPQSKPPHKKSKKLSTAAIIGISVAAGALLLLLLLVLLLCLLRRRKQQPPKAQKPPSTARAAGAGVGAVGGAAEAGTSSSKDDVTGGSAGEERNKLVFFDGGGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVVVSKKEFEQQLEVLGKIKHDNVLPLRAYYYSKDEKLLVSDYMPAGSLSALLHGSRGSGRTPLDWDSRMRIALAAARGLVHLHVSGKVVHGNIKSSNVLLKQENQDACVSDYGLNALFSNSSPPNHRVAGYRAPEVLETRRVTFKSDVYSFGVLLLELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRYHNVEEEMVQLLQIGMACVATVPDQRPAMQEVVRMIEDMNRGETDDGLRQSSDDPSKGSDSHTPQESRSSPRGVTP >CDP06422 pep chromosome:AUK_PRJEB4211_v1:6:666739:672095:-1 gene:GSCOC_T00023272001 transcript:CDP06422 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLLADAQFLLLGSQTHPRLLSSPHSALQPLLPLITSPGASLPTLSSLLKTLTLQLQCTTTTHLPRIVLALLSALSDHHPDLRREISLAVRAFALRLLPFSPSSSFAHSLAILFKDADTSSDVTDEPTFLSICFRPCKASLRRWLLQNVDKFCVRPSVLIAVLLGFTKDPYPLTRKAALDGLVWLSDKFVAVEDQSLLQCCYFSAAELLFDAEDSVRCSAVRTVSEWGLLLVESNQDKCKIDWSDALFVQLCSMVRDMSMKVRTEAFDALAKVPMVSQNVLLLTLTKKATSATKEITFPGRYAAKICKLPASAAAFAFIHGLEDEFFEVRRSACCALRTFAIAYGDFACEAVNLLMDMLNDDSVVVRLLALETMHHMAMYDCLKVQEGHLHMLLGALADDSTLIRSAAMKILQLIRLHKLAMFKLCFEGLVRNLELYPQDEADLLSVLLNIGQNHGWFVAHLIQENTEKIEPSVGGRLGFESARIVALLVLAISAPVSIERGICSIPPRMYSYAVTLLGRISDALIGTLNQNDLLSHLSRCSRFSCVSSSEFFRGEESAVPLVKSDTSLYPKNDGIHGSCSQRLLELERAVHPIANYPLKMHDEMESSMGNILQKIHSLWPLIRCGFADEATRTLRSWKKQLKTFTCISSEPTAELVFVLQYLRMIKLLGRVWIRCMFPLKPCFGVRKLEVLFRKLERSLKEMKYRFLGFSSEQELHILELILVTLILKLSNVKACCPSTNLKEISSVVTHVEHLVGGRSVELSSFVIELQKILREIGPSTAVLENALLLQKSLEYYTLRQFMFSGMLVHVAAKLDVYNNYENPLHYVLGLPVGIPIEITLRNISRESRMWLKMTFKETLAQFVYLDLHGPSGGDERRQFTFVAPFYGPPKARSFLLKISIGMECSSEPPYRSNVCGGPKHELINISGDSEVFLARVGG >CDP14314 pep chromosome:AUK_PRJEB4211_v1:6:35941717:35947192:1 gene:GSCOC_T00040636001 transcript:CDP14314 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTADSPAQNASAAVDGSGGVNVFQLVQAHQEKAARLPPVEEIKTLLHHSLRAMLSTLSLKHEGYPSGSMVDFACDAYGSPILAVSNLAVHTKDLLANPKCSLLVAKDPADRTEIVITVHGDAVPVAENDKEAIRTAYLARHPDAFWVDFGDFQFLRIEPRVIRYVSGVATAALGSGEFSPEEFRLAKVDPIYQFSKPIASHMNKDHGEDTKLIVQHSTSIPVDFAHILDVDSLGFNVKAGSQGNTLKLRIPFTRRATERKDVKTLIVEMLDAAKSQAK >CDP06226 pep chromosome:AUK_PRJEB4211_v1:6:23156423:23163171:-1 gene:GSCOC_T00022926001 transcript:CDP06226 gene_biotype:protein_coding transcript_biotype:protein_coding MHYTYNYIYRCILTDQVHIGFGFNKGFLIFILSLALSFSSFDAHQRAQSLFFLTPFYRRLPLLRPFRRPVKEFYLRERGASLAMDSNSSSLSSPPPAAADVAAGAQNGVVLVQKEKDKEAAAAPASAYLSMVDPFLVEALQNPRHRLTILRMELDIQKFLQNGDLQQFEFQHFPTSYLRLAAHRVAQHYGLLTMVKDNLVDGQGTRILVRKQAESKFPAVCLSDVPAKLSENDKNEQIKIVIRSRPTKASSNDSGEFGLKRSPVRTVEERKEEYDRARARIFSSQSSSESDDILTQVSSDGKNLCLGVEDNENLKNSVADFEKSFISREYSASSRVAIFRDREKDRTDPDYDRSYERYVKNVPMNQSFTLSPYVQKFQHPYVQYDSAFPQLGQMPGTQAPLSYTNPVMSPFCAMGLNQTSRDAVYMQWPSQSMMYAQSYDQFRHAVFQAPFCQQPLSFDYSQNY >CDP03102 pep chromosome:AUK_PRJEB4211_v1:6:9722446:9725307:-1 gene:GSCOC_T00041586001 transcript:CDP03102 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESLATTTTNAPRKVRFAPKVPPRRDQKTVVTKAEKVEDAVDAAQAEELLRRLNESSVNVKPKFERKAGGAMRRVEKEYKEPWDYYTNYPVTLPLRRPYSGDPEHLDQEEFDEASESLNYDECSTNAAVELGLTEGKETMLFLQLPASMPMIKQLPNTAGSEMADTSKPTKSGELLQKSCSLDELPAGFMGKILVYRSGAVKLKLGDNLYDVSVGLDCVFAQDVVAINDEEKHCCTVGELDKRVIITPDVDSMLDGMADL >CDP06234 pep chromosome:AUK_PRJEB4211_v1:6:23664192:23664944:1 gene:GSCOC_T00022947001 transcript:CDP06234 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVQKWISFKYEIYPDFCYSCGIIGHSEKNCKAPMVVKKGQHHNQYGPWMRVFGGRGSPQKESSQKIWTPHKQVWKVRNGEMVRIEEVMNQEKGLGLNQEGGPSKSSDLLVKEPQKQVRNKESLPMVELEKVGRIIPCWSNEKPMEEEQGTGIERNSRLGMKETREREVNSAKITINLRNEENNESQGVQSRELEQQERWAGGFKEGMKGRSNKGEEIMSMETDENNNKENQGELKQQEKRFKRSGVVE >CDP03256 pep chromosome:AUK_PRJEB4211_v1:6:8514023:8521779:-1 gene:GSCOC_T00041775001 transcript:CDP03256 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVMDTLDAILLGASKACCSPLAIFIQIQGYFICLILALGWAGAAYVRSREIRRMAERMRTGNSFAFLCSDINELEHSNQIHLPRVSVIMPLKGFGEHNLHNWRSQITSLYGGPLEFLFVVESPEDPAYHAVSRLLADYKDDLEAKIIVAGLSTTCSQKIHNQLVGVEKMHKDSKYVLFLDDDVRLHPGSIGALTVEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGRTFFLWGGCMMMHADDFRTNKHGVVSELLDGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYTTKVNWIMNRALFSSHCYLSWGFVAPYFMAGIHVAAALRCYYKGYSSEDAAFTSTGLLLASCLTICTTLELLSMWNLTRIEVHLCNFLSPEAPQLSLATYNWFLVFCALLVDNFLYPISAMRSHFSQSINWSGIRYHLKNGKISKIDRPKGKGPKFTDLGGKRLYGKKGMQPTASLLYSLSRNLAQWRQPKKYDV >CDP03387 pep chromosome:AUK_PRJEB4211_v1:6:7331528:7334816:-1 gene:GSCOC_T00041950001 transcript:CDP03387 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKFGKIQEARHVFDQMPARNDASWNNMVSGYVKMGYYIDATRLFVEMLARGIQLSGFVIASLLTAFVRVECMVFEGRQIHSLALKNGLLLHDVFVGTSILHFYGAYGFMSSARKLFEEMPERNVVSWTSFMVGFSNDGDDEVVVDLYQRMRLEGIGYNQNTFTTVISSSGALDDEFLGHQVLGHVIKSGFEENISVANSLVSMFGSFGGVKQACYVFNNMVERDTISWNSMIAAYANNLLCEECFTCFDVMRCEHGDINATTLSTLVSVSSTPENLKWGKGIHGLAVKMGFDSNICLSNTLFTMYSETGRSKEAESLFQGMKDKDLISWNSLMAGYVSEGRSLDALRVLGGLLGIRKFISYVTFASALAACSDPEFLVEGKIVHGLALIFGFQENLIVGNALVTMYGKCQMMSEAKQVFQKMPKLELVTWNALVGGYAENEEPDEAVKAFKLMREKGEPANYITMISVLGACSAPSYLLRFGMPLHAHMILTGFERNEYVKNSLITMYANCGELSLSNIIFDELANKTSVTWNAMLAANARHGRGEEALKLILEMQRAKVDFDQFSLSASMAVAADLASLEEGKQLQGLAIKLGFDSYHYVKNSTMDMYGKCGEIDDILKMLPQPNLRSRLSWNILISSYARHGYFQKARETFHEMLQYGSEPDHVTFVSLLSACSHGGLVDEGLAYFASMTSVFGVPSAIEHCVCIVDLLGRSGRLAEAEAFVTNMPVPPNDFIWRSLLAACRIHGNMDLGEKAAKRLLETKPSDDSAYVLYSNVCAASGKWQDVQNVRVEMESNSVKKQPACSWVKLKTEVSTFGIGDRSHPKSEQIYVKLAELRKKINEAGYVADISFALHDTDEEQKEHNLWNHSERLALAYGLISTPEGSTLRVFKNLRVCGDCHTVFKFVSSIVQRKIILRDPYRFHHFRDGKCSCGDYW >CDP03087 pep chromosome:AUK_PRJEB4211_v1:6:9840521:9840791:-1 gene:GSCOC_T00041570001 transcript:CDP03087 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPICREGTWGLLKGKISGVFGFLHFGPPFRVELHMFRYLSQTPL >CDP15329 pep chromosome:AUK_PRJEB4211_v1:6:3866897:3869924:1 gene:GSCOC_T00043017001 transcript:CDP15329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G03800) UniProtKB/TrEMBL;Acc:W8PUG9] MEAVIHHPATGAAAFSPCSPPESLFSRRITDLPRRSKPDISSFLSPSKPKTKPQNLRLSPQFLPSPSISPSKSPPLDLPLTSEPSIKTDLPSNDYSHLLLLSARYGDVELAKAVHASIFKHEEDTYLSNALIVAYLKLGRIDFAHRVFKNMSSPDVVSYTALISDLAKSNRENEAIELFLEMRGSGIEPNEHSFVALLTACIRLLNLELGLQVHAFVLKLDFLGSTYVVNALMGLYSNCGCLNFVIELFYDMLVRDIVSWNTVISSLVKKGMCDEAFESFRDMLRIDGFRVDYFTISSLLASAAAAGRSGMTEGGEIHACAIKLGFESNLSVNNALIRFYTKRGSVEDVKVLFERMPEKDVFTWTEMITAYMEFGLVDLAVQTFDMMPDRTCESYNALLAGYCRNNKGLRALNLFCDMVEEGIELNDFTLTSAINACGSVMQKSTSEQIHAFILKFGCARNSHVEAALLDMCTWCERMADAENIFLRWPKDWERTIVLTAMICGYARNRQLDQAISLFCQGQSEESFVLDEVVATTMLSICGLLGFCKFGEQLHCFSIKYGLLSDTKMANATISMYAKCGRMEAAIKVFDAMLVHDTVSWNSLLAGHVLHRQGDEALAVWMKMESLGVQPDTVTCLFIISAYRYTGSDLIDCCHRFFSSMESRYQIKPTSEHYANLVGVLGHWGLLKEAEAIILKMPFVPKAAAWRALLDSCRVHQNAAIGKRVAKEILHVEPQDPSMFILKSNLYSASGRWHCSDTVREKMREKGLRKFPGQSWIIHQNRIHSFFARDTSHPQSKDIYSGLQILLLECLKAGYVPDTSFVLHEAEEHQKKDFLFYHSAKLAMTFGLLMTKPGKPVRIFKNILLCGDCHTFFKNVSVVTKREIYVRDSSGFHCFSNGKCSCKDQW >CDP06230 pep chromosome:AUK_PRJEB4211_v1:6:23328534:23331610:1 gene:GSCOC_T00022934001 transcript:CDP06230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:Projected from Arabidopsis thaliana (AT3G56640) UniProtKB/TrEMBL;Acc:A0A178V8H8] MSAKTKRRTVTENGDMANEDSVLATMIGNGEDLGPMVRLSFETGKPEALLNQLKLAVKKKEVEIEELCKLHYEEFISAVDELRGVLVDAEELKSELASDNFRLQEVGSALLLKVEELLESYSIKKNVTEAIKMSKICVQVLELCVKCNEHISEARFYPALKAVDLIEKNFLQHVPVKALKALIEERIPLIKSHIEKKVCTQVNEWLVLIRSSAKDIGQTAIGHAASARQRDEDMLSRQRKAEEQSCLGLGDFTYTLDVEEINEDSVLKFDLTPVYRAYHIHNCLGIEEQFREYYYKNRLLQLSSDLQISSAQPFLESHQTFLAQIAGYFIVEDRVLRTAGGLLLPNQLDTMWETAVSKVASVLEEQFSHMDIASHLLLVKDYVTLLGATLRQYGYDVGPILETLNSSRSKYHELLLAECRQQITDVLINDTYDQMVMKKESDYQTNVLLFHLQTSDIMPAFPYIAPFSSMVPECCRIVRTFIKDSVNFLSYGCQMNFFDFVKEYLDKLLIDILNEVILNTIQSGSTGVSQAMQIAANIAVLERACDYFLQHAAQQCGIPVRSVERPQGSLTAKIVLKTSRDAAYLALLSLINAKLDEYMALTENVNWTVEEAPQQGSEYMHEVVIYLDTVMSTAQQILPLDALYKIGSGALEHISNSIMAAFLSDSVKRFNVNAVMVINNDLKTLESFADERFHSTGLSEIYKEGSFRSCLVEARQLINLLLSSQPESFMNPVIREKNYNALDYKKVAIICDKYKDSADGLFGSLSNRSSKQSARKKSMDVLKKRLRDFN >CDP10620 pep chromosome:AUK_PRJEB4211_v1:6:5049150:5052265:1 gene:GSCOC_T00031393001 transcript:CDP10620 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:Projected from Arabidopsis thaliana (AT2G36020) UniProtKB/TrEMBL;Acc:Q1PEW5] MLGEFITRILVLVLGYAYPAFECFKTVEKNRVGIEELRFWCQYWILVALVTVLERIGDIFVSWLPMYGEMKLALFIYLWYPKTKGSGYVYDTLLKPYMIKHETDIDRNLLEFRARAWDLLVYYWENCTQLGQTTFFQALDFLATHVGKLRLSSPAKPQNQETPPAAPPSPSTPQSAGGIFRRLKQPSDKRRPPVPPTSQFKAHRSATQPTASEPVHVNLHDQTHFVRSEGPSEIDMDMETESDNGSSPKQASEQKEPNLDHHLRVARLKLRRSKGFH >CDP15410 pep chromosome:AUK_PRJEB4211_v1:6:4592102:4597041:-1 gene:GSCOC_T00043136001 transcript:CDP15410 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDESFELKELQVLEGHADRVWSVAWKPATGFDGVPAVLASCSGDKTVRVWEQSPSSGSFQCKAVLEETHTRTVRSCAWSPSGKLLATASFDATTAVWEHVGDDYECVSTLEGHENEVKSISWNASGSLLATCGRDKSVWIWEVLPGNEFECVSVLQGHTQDVKMVQWHPNMDILFSCSYDNTIKVWAEDGDDDWHCVQTLAEANNGHTSTVWALSFNATGDKMATCSDDLTLKIWGSDLLTIQSGNGSAPWKHICTLSGYHDRTIFSVHWSREGIIASGAADDAIRLFAEDGDGLVDEPKYHLLLKKDKAHDMDVNSVQWSSAGNRLLASASDDGTIKIWELISLAGDQKPPL >CDP06251 pep chromosome:AUK_PRJEB4211_v1:6:24139332:24139729:1 gene:GSCOC_T00022973001 transcript:CDP06251 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDAIWCSIYQKEKPCQKEKERINQLEETKARNLMEIEDRKIGSNASLKDEAALPTYNTESLKLLRRLQMVGDVELFNKGRNKVKTRLEWTLLISLTKK >CDP09574 pep chromosome:AUK_PRJEB4211_v1:6:20989288:21003973:1 gene:GSCOC_T00029001001 transcript:CDP09574 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVKPAFLYYNTRRMASASRLLSSAFSSAFHSQSTANTATFFPLSPHSSPHLSFPLYLRRRIRFSLSNQNLAQNVACPRAFMSTEAFQGTKSSSAYGPEQIQVLEGLDPVRKRPGMYIGSTGFRGLHQLVYEILDNAIDEAQAGFASKVDVVLLADNSVSITDNGRGIPTDLHPMTKKSSLETVLTVLHAGGKFGGSSSGYTVSGGLHGVGLSVVNALSEALEVTVWRDGKEFSQKYSRGKPVTPLIYHELPTEPRDHRGTRIQFWPDQEVFTSEIQFDYKTIAARLRELAFLNPELTITLKKEDIEPENIQYNEYFYAGGLAEYVKWLNTDKKPIHDVFGFRKEADGITIDAALQWCSDGYHEGLYGFANSIRTNDGGTHIDGIKAALTRTLNNMGKKSKIMKEDISLSGEHVREGLTCVISVKVPNPEFEGQTKTRLGNPEVRRVVDQSVQEYLTEYLELHPDVLDSILLKALNSYKASLAAKRARELVRQKSVLKSSSLPGKLADCSSSEPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAMYKNEEIQNLILGLGLGVKGEDFKKEALRYHKIIILTDADVDGAHIRTLLLTFFFRYEKALFDEGCIYVGVPPLYKVERGKQIYYCYDDSELKKLQSSFPANASYNIQRFKGLGEMMPMQLWETTMNPETRLLKQLKVEDAAEANVVFSSLMGSQVDMRKELIKNSMSMVNLDQLDI >CDP06494 pep chromosome:AUK_PRJEB4211_v1:6:1178509:1182006:-1 gene:GSCOC_T00023363001 transcript:CDP06494 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGKGKGAVKKDTKEALKPVHDRGVGKRKAVFMADQSSKRKAKKEKMAKKDPNKPKRPPSAFFLFLEEFRNTFKKEHPDVKAVSAVGKAGGAKWKSMTPADKAPYEAKAAKRKSEYERLINAYNKKQESSADEGEGESEKSKSEIQDDEEESGQDEEEEEEDDDDDDD >CDP15294 pep chromosome:AUK_PRJEB4211_v1:6:3602173:3606018:1 gene:GSCOC_T00042968001 transcript:CDP15294 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKEAPAQPQPHKRKIALKKSVKIGRPGYRVTKQFDPETKQRSLLFQLLAVLVHLLSLSLSLSLSRCTYVRTYVNVSKLIFRTFRFDRSFKIGRPGYRVTKQFDPETKQRSLLFQIEYPEIEDNTKPRHRFMSSFEQKIQPFDKRYQFLLFAAEPYEIIAFKVPSTEIDKSTPKFFSHWDQDSKMFTLQLYFKSKPPEANKPQSASAANGTAAPAAPPRPAPPPPQAPPPPPPPQGLPPAAPSGNIPRGPPSISGSVPPPPPLANGSMPPGGTLPAPPPPIGSGAMANFTPGTQMGRPPMAPPQGFQGPPPPPNMG >CDP10089 pep chromosome:AUK_PRJEB4211_v1:6:14629225:14633024:1 gene:GSCOC_T00030694001 transcript:CDP10089 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPFFFYHLITLRTHAATILSHAAASPLSFSVKDFGARGNGVHYDTLPIQSTIGACSAAVSTSPHIPSCHVEFPPGKYLTATVHLKSRVILRIHKNATILGGTKIEDYPREQERWYVVLAEDAADVGITGGGEINGQGLKFVKRFEKRKNVMVSWNETGACLGDECRPRLVGFIGCRNVRVWDVNLIEPAYWCLHLVRSQNTSVEDVTIYGNFNSPNNDGIDIEDSNNTFITRCRIDTGDDAICPKSSTGPVYNLTATNSWIRTKSSAIKLGSASWYDFKGFLFDNITIYQSHRGLGFQIRDGGNVSDIKFSNINISTRYYDPLWWGRAEPIYVTTCPRDSTSKEGSISNLQFINITATSENGIFLAGSKHGILSSLKFINVNLTYRRRTRFAGGLFDYRPGCEGLVNHSTAGLMMEHIDGLVVQNVNMRWFEDNSARWNNPLEFRPSTVNNISFLNFHSGFHSGEVATI >CDP06217 pep chromosome:AUK_PRJEB4211_v1:6:22887059:22890009:1 gene:GSCOC_T00022906001 transcript:CDP06217 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLAESIGVNTNNLLISQPNSTENLFSMIDTLTRNKSITVVVVDSVSSSEIRKKYTRTFLDGKSDQVTCGGNALPFYAAVRMKLFKKALLKSRDKLLVLGSV >CDP06632 pep chromosome:AUK_PRJEB4211_v1:6:2248007:2248257:-1 gene:GSCOC_T00023546001 transcript:CDP06632 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDQDLGFFANFLGIFIFVLVIAYHYVMADPKYEGN >CDP03221 pep chromosome:AUK_PRJEB4211_v1:6:8799775:8800810:-1 gene:GSCOC_T00041730001 transcript:CDP03221 gene_biotype:protein_coding transcript_biotype:protein_coding MQNASKSYMASNLQCHLRDCPVELLHPPEDCNVNASLSFTLQICRVEDVIVEGLVEGSIIHFHWVRTVVVKPSGEISASGLGCIGGLGRGEVLPSGLSSGAGHGGRGGDTFYDGSYIAGGSVYGDADLPCQLGSGSGNDSLPDAAAGGGIIGKLFERNKF >CDP09600 pep chromosome:AUK_PRJEB4211_v1:6:21431123:21434059:1 gene:GSCOC_T00029034001 transcript:CDP09600 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQDIGVSIVEKIAEKFIDPIMRQFQYLFCYRSNIETLRNGIKKLELTKTEVQRLVDAARNNGEEIKPIVTDWLRQADGLEKEADTIFEGMENVKVNCFKIVRLPNLKSRYLIGRHAAKRGNDAEKHLRERRFDEVGYLPPLGKMPFSESTPSFEESLITRMSMKREVIEALKQDKRSLLAICGMAGVGKTFLLEQIADQVKSEKLFDGVAFATISQNPDMRNVQNQLAEQLRMTLISEHSGRARTEQIYTRLTNSDKRNLVMLDDIWEEVDLRSLGIPIRSGECKSLKVVLTSRFSHVCRNMEAEIFEVNALPKEEAWHLFKEVAGIFDDSALSDVAKQVAEVCKGLPLAIVVVARAFRTNYTTPESWKLALGQLKKYTMRDLERVQDLVFSRIEWSYDRLKSVEAKSLLLFCSLFPEDYSIPVECLVRYGKGLNMFQDRETLGDMRYRVDQSISDLKSCYLLLTDGGKEDHVKLHDVVRDVCLKIASEGEHVFLVRNVGGKEGHPQPDSFGRYTAVSLTWKGNSNGPFPLGEECPKLRLLRLVFQSSKMINLSPDSFAGMEDLRVMEFNKLQIEFSPSDPGQMLMSLRTLCLDYCELGIGTSLMIGYMTQLEILSFFGSRLRDNQFPTEIAQLSNLKVLDLRVESSRHPLSLGILSSLKKLEELYMGFHRPLRLGRNAEEERGCIKEITSLACLECLQINLRGIDDLLLLLREFPVERLSRFNISCKQTRTKNGGDYQFRRNFKLYLRDEKDSELALCPAVTSIIRRTENLILDLGFLFRSGNFVNDLDESGFVNLKRLRLKSGSWECLIDSTTNLAPRHVFENLVQLQEMKLQRINFIEYLWKGPIEPPSLCNLRGIEVSNCQRITTLLSQSVLKCLVKLQKIVVYSCENLESIVMREENMKDQVLELPQLKVVTLKCTGLEGFGCEGDTYSRAFLNQVSLSLSLSLCISI >CDP14244 pep chromosome:AUK_PRJEB4211_v1:6:36915073:36921871:1 gene:GSCOC_T00040529001 transcript:CDP14244 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGQQSFDRFAPISSPGERAIELHNVTEQPLSPKDERIISANPSPDAAISGAPRNAKDQPVPLDKSGALTTVHPLDVPHEQSFYFGGLDNGTGNWDEHSNYVNPNSLHVVPPGMYNENPSLFFPPGYGYDTQMAYGQFSPIPSPISTIMIDGQFYSPHQIPVTPPYFPAVSPGLPHVSSALTVSQTDLMAPATSGQESLVDNALFGPSSGFYVPFGSFGGGDLSGNSSLGFYKFPGDFGSAEGLSNQSSSLESSRYMSQLTSGAVYPQPIGILGPYEQNLAQAPYQGLGLASSSSARHYPHSGSYQGMKYGTGSSSHWDNQRNRLGLDKGGRRDREKDSLNYSNDSLGISSDRNRGPRASKPKSKNSAEENALSGIRKDVESTSGFQLDQYNSPEFVTDHENAKFFVIKSFSEDNVHKSIKYGIWASTPLGNRKLDAAYHEAKDMTGNSPVFLFFSVNASGQFCGIAEMVGPVDFENDAEYWQQDRWSGQFPVKWHVVKDVPNSRFRHILLENNDNKPVTHSRDSQEVKLEHGIEMLKIFKDYEADTSLLDDFTFYDEREKALLEKKAKQRASVTGNSTIALADPINQLSDNLADTLNLDGSKKLPKTELE >CDP14258 pep chromosome:AUK_PRJEB4211_v1:6:36781147:36786244:1 gene:GSCOC_T00040549001 transcript:CDP14258 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLVSLLPMVFPVNGIGANWGTQATHRLPPDIVVRMLRENGIQKVKLFDADYDTLKALGKSGIEVMVGMPNDMLAPIAGSMKAAEKWVSENVSSHLSTNNVNIRYVAVGNEPFLATYNGTFLRTTYPALQNIQAALIKAGISNQVKATVPLNADVYESPNGLPSAGDFRADIHDFVLQIVKLLSDNGAPFTVNIYPFISLYIDPNFPVDYAFFDGNASPVNDGGTNYNNMFDANHDTLVWALQKNGFGNLPVIIGEIGWPTDGDRNANLDYARRFNQGFMSHVSGGKGTPMRPGPIDAYLFSLIDEDAKSIQPGNFERHWGVFYFDGQPKYSLNLGTTNSGLVPARGVQELERKWCILKPDAKLTDPQVAPTVSYACSLGDCTSLGYQTSCGSLDSQGNISYAYNSYYQIHNQLDSACKFQGLATITRSDPSVGSCKFSLQIKPYYGAAAHRLSSIEKTLCSILFLVLFLWTTQ >CDP06661 pep chromosome:AUK_PRJEB4211_v1:6:2435453:2437543:1 gene:GSCOC_T00023584001 transcript:CDP06661 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTGEPVTAKLNLGHEALIPSVPHRRPLFSRPISSLSFRAPPPSQTPSFKFTPITASSSSSSEFPSSNSSDNENPKPRLFNKPLNPLRNLNPYFSQTLATFPSTFIKTTLIAATAAAAIFFSRFHFFNVKPAFASSAGAAAAEAASKDTLDEAEREKAIEEHLLSHPNDVEALRNLMEIRIKNRKMLEAIMIVEKLIELEPNEVEWPLMKSHLHVYSGELEFAKNGFSGIISKDPFRVEAYHGLVMAASQDDSTEELKDIERKIEDAMKLCKKENKKSDLRDFKLLLAQIRVIEGKYDDALKFYQDLVREEPRDFRPYLCQGIIYTLLRKKDEAEKSFEKYRRLVPKGHPYARYFEDNMIATKVFAQKVENDRVRSRS >CDP03207 pep chromosome:AUK_PRJEB4211_v1:6:8908876:8912686:-1 gene:GSCOC_T00041712001 transcript:CDP03207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase type B catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G56740) UniProtKB/TrEMBL;Acc:Q1JPN3] MEGDHRAACLTAYLKFDWACSFSSKEEVGSPDSFCIKPVDLNHFFEEDGKIYGYQNLKITIWVSSISFHAYADISFESTEDGGKGITDLKAALQNVFAENLVEKKDEFLDKFSSDRQYVKSVISTGAALKLTAANGHNGDSKFDPKEDTADLEVFRIVGEPVGHLYSRLVPFVLLLIDGSNPIDVTDPRWEIYVLVEKAIAYQEDSHPNLIGFAAVYRFYRYPESMRLRLGQILVLPPYQRKGYGGSLLKVLNNVAVSEAVYDLTVEEPEDSLQHVRTLIDVQRLLVFGPIQAALNSVVARLKQENFSKKVHFCQCGPPLSAVEDVRKSLKINRRQFLQCWEVLLYLGLDPIEKYLETYRTIVSSRIKADVIGKDSEGVGKRVIDVPTEYDQEASFVMYKSLNGDATNREMAENRSNQEEQLRQLVDERMKEIKLIAEKVSSLKHR >CDP10081 pep chromosome:AUK_PRJEB4211_v1:6:14803634:14810347:1 gene:GSCOC_T00030682001 transcript:CDP10081 gene_biotype:protein_coding transcript_biotype:protein_coding METENGDGQSRLEEYEVMEQIGRGAFGAAFLVLHKIEKKKYVLKKIRLEKQTEKFKNTAHQEMNLIAKLNHPYIVEYKDAWVDKGCFICIVTNYCEGGDMAEIIRRARGALFPEEKVCKWLTQLLLALDYLHSNRVLHRDLKLSNIFLTKENNIRLGDFGLAKLLDEEGLASSVVGTPNYMCPELLTDIPYGYKSDIWSLGCCMFEIAAHQAAFRAPDMTGLINKINRSAISPLPIVYSSTLKQIIKTMLRKSPEHRPTAAELLRHPHLQPYLLRCHNPPPVFVPVKSPTNTKEKATKSSPRKSSGGNSSGDRVVKVKERGRVLPFDEHTDLQPRNVLETKKPVKEKLETKRVDPTSYSAKISNDMDDSKSGDTTCETIVCNGDYQENSDSSSHKESVNTANSSWLLSNSQPEEQEKAASEHILQFDEGETDCVRIEDWETLDSQHVNEEPVNRLEDPIFERGSRLSVSSATHSDKAASLDKEDIFTEETAPGCVGDAERSSQTDKIENAGACTGVPFDNVTSESNDTNPAKPETEIKADTIERLMQPKKESNDVKSKVLDDILLLKTLAANGSDESKNEWENPSRQRADALESLLELCARLLKQDKLDELAGVLKPFGEDAVSSRETAIWLTKSLMNAQKLANGS >CDP06280 pep chromosome:AUK_PRJEB4211_v1:6:25139646:25141865:1 gene:GSCOC_T00023029001 transcript:CDP06280 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNASGYLKQDIEYVGIAWTTALIITSFLALDKCCSFQPWFTNSAESSRTRLSHNRNSPYRSDTNTRNADYIISDVNRSESVDIVRVRTV >CDP10099 pep chromosome:AUK_PRJEB4211_v1:6:14435436:14437912:-1 gene:GSCOC_T00030708001 transcript:CDP10099 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQGYIFLFLIWLIPTVLLRVLFTNRKLSRLPPSPLAFPIIGHLHLLAPIPHQALHRLSNRYGPLLHLFLGSVPCVVASSPEMAKEFLKTHENSFSNRPNSAVVDYITYGSQDFSFAPYGPFWKFMKKLCMTELLGGRTLDLLLPVRRNEITRFMELLSRKSKAGEAVDVGAELIRLANNLVSRMVMSRRCSENENEAADIKTIIHEIAELTGKFNVSDFIWFCKNLDLQGFKKRIRDVAERFDVMIEKIIEEHQETRTKRRHNNDAGQQMKDLLDILLDISEDESSDIRLTRENIKAFILDIFAAATDTSAITLEWALSELINHPHIMQKAVQEIDSNIGKNGLIDESDISKLPYLQAIVKETLRLHPTGPMIVRESSEDCEVAGYHIPAKTRLLVNVWAIGRDPNYWENPLEFRPERFWNVNGEGNGKVDMEEGPGITLPKARPLVCVPKARFNPLPI >CDP12661 pep chromosome:AUK_PRJEB4211_v1:6:16329951:16332780:-1 gene:GSCOC_T00036378001 transcript:CDP12661 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIFHDLVISGWYSNEMGIKIGTACFSYRENGFLGFTSLMLEVYFLEKEMILLWEISSSEEQNRGPGASYKTNLSYHGSAVNVLRFSPSGEQLASGADGGELVLWKLHSNDAGETWKFLKILSLTPESRPCNNTFATKTIFCFVVLIKRSFHRKDVLDLQWSTDGTFLISGSVDNTCIIWDVNKDSVHQILDGHSHYVQGVAWDPLAKFLASLSSDRTCRIYVNKPSKTKGNDRMNYICQYVISRLEPQTTDESKSLICGIKMQTGKNHLFHDETLPSFFRRLAWSPDGSFLLVPAGIVATPLPPKANQRVGGPSAQLSPGLTQAF >CDP14225 pep chromosome:AUK_PRJEB4211_v1:6:19063124:19066781:1 gene:GSCOC_T00040496001 transcript:CDP14225 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVFGYSKEDPSKKPINILDVGCGIGGSTRYLASKYGSQCKGITLSPVEAERARVLTAAQGLESQVSFEVADALNQPFADGSFDLIWCIECADHISDKTKFVHELNRVAAPGATIILLTWCHRDLSPLENDLHPDEKKLLTQAVSRNRAKWISAADYMNLFKSCSFQEIKYADWSPHVAPHYAEMRKITLSWKGIMSYVRHAGWRQMSIKFLMMPSVFDTFKNGLLKYCILMCQKPQ >CDP02878 pep chromosome:AUK_PRJEB4211_v1:6:12143071:12143872:-1 gene:GSCOC_T00041282001 transcript:CDP02878 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGQQRKAYDEEAASIAEREEGRKKRMKCLAYVAAFAVFQTAVILVFALVVMKVRTPKFRVRSATFDDFQVSTLVTNASFSARMNAELSVENANFGRYKYQDTNIEFFYQDYKVGEAVSPKGKANFKSTKKFIVPVDLNSANVPGDVLGNELRQQPWIPLTSRATLKGKVTLMLIFKKNKSTNMNCTMNLNTSSRQIQDLECK >CDP03126 pep chromosome:AUK_PRJEB4211_v1:6:9517134:9518801:-1 gene:GSCOC_T00041617001 transcript:CDP03126 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLRRENVRRISSDGGAFAFEEDSEEKGQEVQATPERPKNLRQGYFTGFHPSLVHSALEQRNGYCYSFGCWYCLPRDLSLSIHLSSIVLVSPDVEANLLDSWYCLCLYLFLFDNAFVWIS >CDP10481 pep chromosome:AUK_PRJEB4211_v1:6:6178937:6181072:-1 gene:GSCOC_T00031220001 transcript:CDP10481 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPEACLHSSLNGILEIYFHATVFGGGDLRLPCACVRLHKFSIHLPAQRQKPIMLRVFLMGIDQSSHHDCIPT >CDP10180 pep chromosome:AUK_PRJEB4211_v1:6:13506438:13515082:-1 gene:GSCOC_T00030810001 transcript:CDP10180 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIASAAAAAAAERNDHQFAKGATPSYNSLSSSNGFWSKHREDISYNQLQKFWNELSPQARQELLRIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLQQEGAGTQYPCNRLGALKNQNNVDFCGTDGCDDDIQDPSVHPWGGLTTTREGTLTVLDCYQYSESLKGIQNVFDSARARERERELLYPDACGGGGRGWISQGMGGYGRGHGTRETCALHTARLSVDTLVDFWSALGEETRLSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQYEVSHDTVQADWQQTFVDTFGTYHHFEWAVGTGEGKCDILEFENVGLSGRVQVKGLDLSGLNSCYITLRAWKMDGRCTELCVKAHALKGQQCVHCRLVVGDGFVTITRGESIRRFFEHAEEAEEEEDDDSMDKDGNELDSECSRPQKHAKSPELAREFLLDAATVEKAFREGTARQNAHSIFVCLALKLLEDRVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLREKEKDREKKYSESTKHSQGPEISEHELTTIADGESNNICNGDFVSETGEAIPSGSLSPDIQDEQLLDEFIYSDLQNQSDSPDGDFANTNDGMSHFPIDHLKYSRRKLKFRKDFQQDTYSKWYDRRRYAIGSENGSMVNKYEARHHSDNFESVRSINGPAKQLRNFVVKSNIRNVGPKYSEKAACSSNRIHDRYESHACSCNQYSDFRLKVEPHMSRMVRENKSVFKSESVSDMSKPYYRINHNEYMRENCGRSKNKTINGSNVYNRDSSVTKKVWEPMESQKYPRSNSDSDVTLRCSTFKGETTESDQAPESSIASSSDNLMGITIQIKHEDKDLHAVIKSEPEAERNGENGFHPKEKSQQYKEATDEDGELCPMSRSLQATLDSSLSSSSNSDNCSSCLSEGDSNISSSNPQTTESSSSSDSDDASQNSEGRETSVCFQSGITVCQDAGMVKGENTCGVEHVKGEVVNDAATNTWGTLSSKANSENGRANMSINAQPQVVLPQLHNQSMQFPIFQSPPMGYYHQSPLSWPAAPTNGFMAFPSPNHYLFASPFGYGLNGNSHLMQYGTLQHPTPQMLNRSHVPVFQSVAQSNGINGKDHMKISNVGGTIETHAGANGMNLKTEGSDVRNTGFSLFHFGGPVDVPPGLKSEPASLKEEIGTDLSSKLSADHSEGDQTCNKKSSIEEYNLFAASNGIKFSFF >CDP14122 pep chromosome:AUK_PRJEB4211_v1:6:17801544:17810823:1 gene:GSCOC_T00040350001 transcript:CDP14122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:Projected from Arabidopsis thaliana (AT2G41680) UniProtKB/TrEMBL;Acc:A0A178VZE7] MRIIIGTTSNLATHRLPPVPAPALAPASSSASALSNAGLLLVRNRSTISHATARVCSPSSGLTRRHSPPWWSPSFRVAAAAAAGVASAEEQVSSSSDETVENLVIIGSGPAGYTSAIYAARANLKPIVFEGYQLGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGSELFQEDVEFIDVKNRPFTVQSSERKVKCHSLIVATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYAGHVHLLVRRDQLRASKAMQDRVFNNPNVTVHFNTETVDVVSNNKGQMSGILVRKVDTHEESVLEAKGLFYGIGHSPNSQLLEGQVELDSTGYILVEEGTAKTSVDGVFAAGDVQDHEWRQAITAAGSGCVAALSVERYLTSENLVVEFHQPKTEEVRKELTDRDVQEGFDISLTKHKGQYALRKLYHESPRLVCVLYTSPTCGPCRTLKPILNKVVDEFDHNVHFVEIDIEEDQEVAEAAGIMGTPCVQFFKDKDLLKTVPGVKTKKEYREFIEANK >CDP10229 pep chromosome:AUK_PRJEB4211_v1:6:12875443:12876348:1 gene:GSCOC_T00030889001 transcript:CDP10229 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNSFLWKAAKSYDQISHNEAMSSIKDINIQAYKYLDKIPKKAWCRYAFAKEIKCDHVTNNFTESFNSWVGDLRGKPILTLVEGLRRKFMKKMHKRYQKGCILTNRITPKFAEKLKKITQASRHCTLNMASEDTFEVGDMDRSFIVNLNQRTCDCGAFQLVGIPCKHAALGIIYKREKLEDYCDQWFSKDIYLKAYASMIHPISHEKRWPPMDEITPKFVLPPPLRRAPGKPRVNRRREADEGPSSQPKRSSTLKCGNCGQFGHNMRTCQRAPVQRKNPAYTHAQQVSSYTHLYKLNVLS >CDP17389 pep chromosome:AUK_PRJEB4211_v1:6:30715808:30722379:1 gene:GSCOC_T00008018001 transcript:CDP17389 gene_biotype:protein_coding transcript_biotype:protein_coding MECALTTSSSAVLPWNSLINCHHHSQKNFYGYSLFRKERLGKQPNVAVCCLKAVSSSVGDVHKQRGSLQSLFCYDKAVPEEIIEKPIGIPLAEKNIGNNPRCTDCQAKGAVLCTTCTGSGLYVDSILESQGIIVKVRCLGCGGTGNIMCSECGGRGHL >CDP06375 pep chromosome:AUK_PRJEB4211_v1:6:188251:190959:1 gene:GSCOC_T00023198001 transcript:CDP06375 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRYQYGGIILAQLLLHVLFPKHTTVFAAADLSSDKQALLDFASAVPHPRARKLDWNAQPSSSSACRSWAGVTCTANATRVLELRLPGFGFDGPIPRNTLGRLDALASLSLRSNRLSGALPYDILSLPSLRYLDLQRNNFSGWDLPTPSSSISLSPQLTFLDLSFNSFPGNIPDQLFTNLTRLAGLNLQNNLLTGPIPDQLGQLPALVHLNLSFNNLTGSIPPSLQRFPASSFLGNSLLCGRPLNQQCRAPSPLPSSPPPPPPASSQKHNKSKLSTGAIVPIALGACAFLILLVLVIVFQCCMNNKKRDSETSASAVLVRVNPFRGGGGGGGGKIHEAKQLKEEGEGYEAEKNKLVFLQGEGNFDLEDLLRASAEVLGKGASGKTYKATLEDGTTVAVKRLKEVAAVVGRREFEQHMDNVWRVNHHHHPNVVSLRAYYYSKDEKLLVYDFVPSGSLSTLLHGNRSEPDGRRRLDWKSRVKISLGAARGIAHIHCVAGRKLSHGNIKSSNVLLTQDLSGCASDFGVTPIVGLPRRSSGYRAPEVSETRKYTQMSDVYSFGVVLLELLTGKAPVVQPAGQGQGQGQDGVVDLPRWVQSVAREEWTAEVFDAQLVKNPDIEDEMVQMLQIAMACVARTPDMRPNMEQVVRMIQEIRQPDPGNRPTSAD >CDP02873 pep chromosome:AUK_PRJEB4211_v1:6:12180724:12190023:1 gene:GSCOC_T00041273001 transcript:CDP02873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MANQPPPSAILCAAFNQDNSCFAIGTRDGFRIFDSNTGRLLYERAIGAFIVVEMLFSTSLLAIVGAGEQPSLSPRRLCLFNTKTGAALRELNFLTSILAVRMNKKRLVVVLLEKTYIYDINSLQILDTIDTVPNLKGLCAFSPSLDGSFLAFPASITKGSVLVYNVMELQSHCEIDAHRSPLAAIVLSSNGMYIATASEQGTIIRVHLVSDATKSYSFRRGTYPSNIFSLSFSPSTELPDILLASSSSGSVHVFSLGFALNHRSRRSNTFLGSIVPDSVTDVLDSAYHHVLHHAVPAGVKSNAVVRKIERVPDTSMAECAVLRATISVLTNGGYFLEYGLTINRQNESSWTLEREYNLLTVQGEQAASSNVGN >CDP09593 pep chromosome:AUK_PRJEB4211_v1:6:21333043:21334461:-1 gene:GSCOC_T00029026001 transcript:CDP09593 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQLVFVPAPVRGHLISTVEFSKRLTQRDDLLSIFVLVIRHPHGQSLESYTQQVAASNTSIQFINIPRVDQHLPEFLGSPEHGFTRYLESHKSHVKNAIVDQSESFTSLAGIVVDLFCTSMIDVANELGVPSYVYFTSSAAFLGFMLYLPIHYNQNGREFETSNPDAIIPTYSHPVPSSVMPSFAFNKVGGYSSILKHAMKFKETKGIIINTFAELEPHAVSQLKFDDETPPIYTVGPLLGAEGEKLKPDCERIMKWLDVQPPSSVVFLCFGSRGTFEPDQLAEMAIAIEQSGYRFLWSVRSPGSKDFTKPPGEYSSFSGILPEGFLERTENRGMVCGWAPQLEVLAHEAVGGFVSHCGWNSTLESLWHGVPIATWPLYAEQQICAFELVSELELAVDLKMDYRMENAENLVKAEEIEKAIRCLMDTENPIRKRVREMKEMSRKVVEDEGSSFISLGRLIEDIHVNKAKA >CDP06613 pep chromosome:AUK_PRJEB4211_v1:6:2105809:2110786:1 gene:GSCOC_T00023523001 transcript:CDP06613 gene_biotype:protein_coding transcript_biotype:protein_coding MATQIGPLNTPGKDGKLSASHSCSVSKVRVVIRVRPFLPREISEKNGDAESCISVLDSELKSCDEVTVHLKDQETRQVQKNTRNECFKLDAFFGQEDNNISKIFQEEVKPLISGIFKGCNATVFAYGATGSGKTYTMQGSEESPGLMPLAVATILSICHNTESRVKISYYEIYMDKCYDLLELKEREIAIFDDKDGQIHLRGLAQVRVNSISEFHQVFSCAIQRRKVGQTSLNDVSSRSHGVLVISVSTPCDDDSGKSVDGKLNLIDLAGNEDNKKTCNEGIRLYESAKINQSLFALSNVIYALNNNKPRIPYRENRLTRILQDSLGGTSRALMVACLNPGEYQESVRTVSLASRSRHISNFVSSAQKKDAPSVSMEAKLREWLESKSKTKNAQMIGRCGSPVTIKSPTSVSSLKKPKTGWSSAKRRIDSNQGASTSVAHRNLFNHGGPVDPGKEVNMKLLSPIGYCTDEPAIREQKMATSKCSTGPSPVSAKTKSPQSPLRKVLSPIDSNIKADDIISEDQPLVSSDLKTPKTPFIHNGGSDQFEGTGNPLEKFHTRSTNLKGTLVQEYIEFLNTATREELLELKGIGQKMAEYITDLRETSPLKSLNDLEKLGLSSKQVHNMFSRAARGVFG >CDP03015 pep chromosome:AUK_PRJEB4211_v1:6:10580331:10581605:-1 gene:GSCOC_T00041480001 transcript:CDP03015 gene_biotype:protein_coding transcript_biotype:protein_coding MEARENSNTQLPLALGPRKNTKRGPRKNTKRVLSLELSLGAQQVQGRGSSDENAKKSRLSKEQAAVLEANFNDHPNPDTALKNELADRLGLFPRQVDIWFQNRRARTKSKKNVSECERLKQVCKNLIEENLKLSEEIEKQKALATGDQKGAFYAYGLSGYVLVCPVCHQQYLIGSCGAM >CDP16331 pep chromosome:AUK_PRJEB4211_v1:6:29002032:29003958:1 gene:GSCOC_T00018130001 transcript:CDP16331 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYFGFPSFFNVLGRWKVTLVRIFLAFFLKVVPDLTNLATLAIGCYFFFCLLYQLSLDLVQEWLAENAKESILGQDMSISGIATYQPFDGLMELKVAVVGFMSQVMDKLVSFKSAW >CDP03288 pep chromosome:AUK_PRJEB4211_v1:6:8184909:8196605:1 gene:GSCOC_T00041824001 transcript:CDP03288 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAYSRACIGGICAPREVKVKESDKVKAAEIRVFSPASTDEEGEVRDQFNQSSLTSDHEVGISRLSRVSAQFLPPEGSRTVKVPTGNYELRYSFLSQRGYYPDALDKANQDSFCIHTPFGTSPGDHFFGVFDGHGEFGAQCSQFVNRKLCENLLRNGKFRVDAVDACNGAFLTTNAELHADDLDDSMSGTTAITILVRGTTIYVANSGDSRAVIAERRGDDIVAVDLSIDQTPFRPDELERVKLCGARVLTLDQIEGLKNPDEQCWGTEEGDDGDPPRLWVQHGMYPGTAFTRSIGDSIAETIGVVANPEIVVFELTPNHPFFVLASDGVFEFLSSQSVVDMVVKHKEPRDACAAIVAESYRLWLQYETRTDDITVIVVQISGLTQAAVAQSPSSNVVLRPPLPQVVEVSGSESPSVLSWNSKIHRVRQDVSKARLRAIESSLDKGQMWVPSSPAHRKTWEEEAHIQRALHDHFLFRKLTDSQCQVLLDCMQRVGVQAGDIVVKQGGEGDCFYVVGSGEFEVLATQEEKNGEVPRVLQRYTAEKLSSFGELALMYNKPLQASVRAVTTGTLWALKREDFRGILMSEFSNLSSLKLLRSVDLLSRLTILQLSHIADCLSEVSFLNGQTIVEKNEDLMGLYIIQKGVVKISFDMDSMKNSNATSLLSENQEDDDLQNEVLAVEKSEGSYFGEWMLLGEQIASLRIIAVSDVTCAVLTKEKFESVVGPLAKLSQDDPKPKDYPVSLSESMDMYDASTLDKVQLSDLEWRTCLYATDCSEIGLVCVRDSDKLLSLKRFSKQKIKRLGKQTQVLKEKNLIMCTSPSAGVPRIVCTSADQTHAGILLDTRLACSLASILQSPLDEPCAQFCAACVVTALEDLHKIGILYRGVSPDVLMFDQMGYIQLVDFRFGKKFSGAAGDRTFTICGIADSLAPEIVQGKGHGFPADWWALGTLIYFMLQGEMPFGSWRESELTYARIAKGQLTLPQTFSHHAVDLITKLLEVNESSRLGSGGVGSIKSHPWFDGVDWKGVRERTIPTPHEIFSRINQHMESPSEDNASPLNSPVNDLEELNNPEWLEDW >CDP06190 pep chromosome:AUK_PRJEB4211_v1:6:21903848:21904862:-1 gene:GSCOC_T00022861001 transcript:CDP06190 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKRFLLNIQNSGDRNLKKKRIQLFPILFFFWDSSFIHLTDKASLLAKVVQRVRELKQQTSEIMQLECFPSEVDEISVMLNDNSTDGKLLIKASLCCEDRSDLIPDLNEILKSFHLSPLKAEIVTLGGRVRNVIILAGDKSQTDDESVPSLRDALRSLVQRSNHASGDRPKRRRVFDQGIVS >CDP09515 pep chromosome:AUK_PRJEB4211_v1:6:19518732:19523653:-1 gene:GSCOC_T00028910001 transcript:CDP09515 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQKNQRLFQQFSQKQRLCSVKSCLKQSLKPAFLTSNPLNRLLHQVAPSPSLPLSLCLCKCVQISFGLRGKRREMSEIVGGGDPSPSVTSEASKNSSSGFDANRIAEVKSWLESQFSAVGKEVPEFEYTPRSIAHLHNIATISQAKTQAASIVANDFRQKATEYRAQAARIREILEHVGLAQESLPSDVVSSAQVLANVANLLNIRDTELSSFLVAMADLSLRKTAVEEKRSKVQQESKVLLDFTRKAISRLTYLKRILAQLEDDIAPCEAEMESRRTNLAIMDSKERQYEKQFSNCKAMLNRVGYSPEISHGVLAEMAEHRKELERKTKPILDTLRSYQDLPPDKALAVLAIEDKKRQYAAAEKYLEEVLQSALASSG >CDP14273 pep chromosome:AUK_PRJEB4211_v1:6:36487438:36491902:-1 gene:GSCOC_T00040571001 transcript:CDP14273 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCQFVASPSSSSAPTLASSFLWSIRKPLPPIFLSCPSSSSSSTFLRFSSSLPQQPLRCAVLGAGFAGLSVAWHLLQHGSRDLPLLVDIYDEVGIGGGASGVAGGLLHPYSPKVKLLWRAEECWNESLKLIRIAERAKQSKVLNTERQEAVQSMNFSIVRRRGILRPAVSLKNINIMDHNVENCLASCRIESMDKHAAENLVPGLSVPLNVAFHMPEALNVHSQYYLEALYLACQNSVAEMLNLGVAPRELNFYKTSVGSLLEFAGEYNAVVICLGARAAFLPEFSGILPLRTCRGVVTHMQLSDNFREEYPQHSPSILSDAWIAVQGPRDLYLGSTWEWRSTNYSRNVPTVEASEALEELLLKGSVIYPAISNWTVREAVAGLRAMPPLTPHGSLPILGCVDNVTGRNHACKYWVFTGLGSRGLFYHAWLGKLMAQAVLSRSEHSIPSELLLWKQKMKQ >CDP06425 pep chromosome:AUK_PRJEB4211_v1:6:687292:689456:1 gene:GSCOC_T00023275001 transcript:CDP06425 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPAILPITNPQPSAAATTTTTDSIQSSGPPPAFRAFINHISDTVRNGLASRRPWSELVDRSAFSKPDSISDATQRIRKNYAYFRVNYLAVITGVVAVSLLTNPLSLILLAALLAAWLFLYLFRTASDPPLTLFGRQFSDRETLVGLIVSTIVVIFLTSVGSVLVSALMVGLAIVCAHGAFRVPEDLFLDEQESPATGFLSFLTGASSNATVAATAPAVAARV >CDP06406 pep chromosome:AUK_PRJEB4211_v1:6:430218:439846:-1 gene:GSCOC_T00023239001 transcript:CDP06406 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLLRLHFHDCFGCDASVLLDDTANFTGEKTAGPNNNSLRGFEVIDSIKSQLETSCPGVVSCADILTVAARDGVVALGGPSWNIPLGRRDSTTASLSAANSNIPGPGLNLNALISAFANKGFTARELVALSGGHTIGQARCLLFRNRIYNEANINASFAAAVQANCPRSGGDNNLSPLDTTSPISFDNAYFRNLQTQKGLLHSDQQLFSGGSTNAQVNTYSSNSATFFTDFANAMVKMDNLSPLTDYIFIAPNVTISTSSAPLSPAYYNRVCPQALPTIRRMVEVAVSQERRMGASLLRLHFHDCFVNGCDASILLDATPTIDSEKNALPNANSARGFEVIDRIKAQVDKVCGRPVVSCADILAVAARDSVVALGGPSWAVQLGRRDSTTASRSVANNDIPSPLMDLPALISSFKKQGLNVKDLVALSGGHTLGFAQCRLFRNRIYNETNNIDPSFASQRQATCPRAGGDSNLSPLDPSPAAFDTAYFSNLVSKRGLLHSDQALFGAGGPTQDLVKSYSTNLLAFSADFANSMIKMGNIKPLTGSQGQIRFNCRKVN >CDP10545 pep chromosome:AUK_PRJEB4211_v1:6:5688825:5695718:-1 gene:GSCOC_T00031303001 transcript:CDP10545 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNIVSEAGLSTRVGQWWEGIPFVTSTVVIVCGVIYLVCLLVGYDSFAEVCFWPSAVISHFQVYRIYTSILFHGSMLHVLFNMLALVPLGSELERIMGSVRLFYTIILLATSNAIFHLVLALLISHNPFHPFDYLMNECGIGFSGILFSMIVIETSLSGVQSRSVFGLFNVPAKWYAWILLVVFQLLMTNVSFLGHLCGILSGFAYTYGLFNILIPGTSIFSAIESSSWLSTCVRRPKFMLCTGGNASGYIPTHTSQNPTSSGLLSGNVWRNFSSWMPQRETSQMQSVEEDGRFPGRGRTLGSPSESNPNSSLQARLLDNSNTDNPLQTVENARGELLSDGRQLTVDGASVAVTRAQSNQGPVASDEKIQKLVAMGFERTQVEVALAASDGDLDVAVEIIMSQQG >CDP10441 pep chromosome:AUK_PRJEB4211_v1:6:6579782:6581171:1 gene:GSCOC_T00031166001 transcript:CDP10441 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLGLALSSIPDKGFDLNFSEIEASPVKNEECNIKKRNSVEAFEEKNADDHVPQTLALLVWDEQSNQGDDVDEPEISSVTTNNADRGFVGWPPINSWRKKICQQNRPGGFVNCVTVENGGGHAGGGGRGRNSMYVKVKMEGVGIARKVDLNLYHSYQTLLQTLVGMFGKCQQSVQSYQLIFQDKEGDWLLAEDVNWGNFITSVQRLKLLRKRD >CDP02995 pep chromosome:AUK_PRJEB4211_v1:6:10833019:10839642:1 gene:GSCOC_T00041450001 transcript:CDP02995 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPPTTMPPASTAPAAVAVPATAAATTTTTTTTATTPSGAGPAVTAPERVPVERSKGINGLDKIVLREVRGSSAEVYLYGGHVTSWKNDHGEELLFLSSKAIFKPPKAIRGGIPICFPQFSNLGSLEQHGFARNRFWTIDTDPPPFPTGTPPKAFVDLILKPTDEDLKIWSHSFEFRLRISLSPAGDLMLTSRIRNTNSDGKPFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQNKERFTEQGDAITFESEVDKIYLGTPTKIAILDHEKKRTFVLRKDGLPDAVVWNPWEKKAKAMADFGDEEYKHMLCVEAAAVEKPITLRPGEEWRGRQELSAVPSSYCSGQLDPRRVLQGS >CDP06745 pep chromosome:AUK_PRJEB4211_v1:6:3060717:3065872:-1 gene:GSCOC_T00023697001 transcript:CDP06745 gene_biotype:protein_coding transcript_biotype:protein_coding MEMECETKESKFGRICVFCGSSQGKKTSYQDAAIELGRELVSRNIDLVYGGGSIGLMGLVSQAVHNGGRHVLGVIPKTLMPRELTGEPVGEVKAVADMHQRKAEMARNSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIVSAPTAQELVKKLEEYVPRHEGVASKLSWEMEQLGYPPTYDISR >CDP14212 pep chromosome:AUK_PRJEB4211_v1:6:18917792:18925674:1 gene:GSCOC_T00040478001 transcript:CDP14212 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRAFDWKVGQMIMVTLLVMIGSFYTGTLFGRSGNAGGGGNINFNDVPLEEQLQSSNTTALLPSSGGPEFTNKVTLSYRTIPLTIPETGINVCPITFNEYIPCHDPAYVEELLPKLDLSRREELERHCPPLNRRLFCLVPPPADYKQPIRWPTSRDYVWRSNVNHTHLAEVKGGQNWVHEKDQFWWFPGGGTHFKHGASEYIQRLGNMTTNYTGDLRSAGVYQVLDVGCGVASFSAYLLPLNIQTMSFAPKDGHENQIQFALERGIGAMISSLSTKQLPYPTSSFEMIHCSRCRVDWHENDGILIKEVNRLLRSNGYFVYSAPPAYRKDKDFPVIWDKLVNLTSAMCWKLIAREVQTAIWTKPDNDSCLQHNARLKLVDICDLEDASKPSWNTPLRNCISLTNRAQKLPPLPQRLSQYSQTLSRIGIDQAKFLADTLYWQDQVRHYWRLMNIEENKIRNAMDTSAFLGGFAVAMSTWPLWVMNIVPSSMKNTLPAIYDRGLIGAFHDWCEPFSTYPRSYDLLHANHLLSHYKSSGEGCLVEDIILEMDRIVRPEGIIIIRDEEPIISQVKDLAPKFLWDIEVHLLEDDQKRPEPVLFCRKKFWAIV >CDP06393 pep chromosome:AUK_PRJEB4211_v1:6:297756:303312:-1 gene:GSCOC_T00023220001 transcript:CDP06393 gene_biotype:protein_coding transcript_biotype:protein_coding MEENAAALHTAISAVHALGRGFDVNFDTRLLYCKGVSGATVVEIDEGNTRDLCLYDNLVVPSVSRDIKTFSEPAARHASGVCTYDEMVEYFNRRANLFGHSPLGSFNAAFSYTGSKHIDAATTKTLSIDGFFIPLAKLELRKSSMVLQQNARRAIPTLWDPPALASFIENFGTHVITSITIGGKDGHDPSLFNSQGIYPQPSITPILAGNGKEDVTVIFRRRGGDDLEQSHTQWARTVQSSPDVIEMQFYPISLLLDGVRGKEHLTRAIDLYLDYKPQIEELRYFLEFQVPRTWAPLQDRLPGQSRKEPVCPSLQFSMMGQKLYVSPVQVSVGRKPVTGMRLHLEGSKQNRLCINLQHLASLPKILQPYWESHVAIGAPKWQGPEEQDSRWFEPVKWKNFSHVSTAPVESPETFIGDFSGVYVVTGAQLGVWDFGSRNVLHMKLLYSRLPGCTVRRSLWDHAPNEKLRKQVATGSNSRDLTSGSGETLAGNKLAKFVDTTEMCKGPEDPPGHWLVTGGKLGVEKGRVVLRLKYSLLNY >CDP12653 pep chromosome:AUK_PRJEB4211_v1:6:15988195:15990588:-1 gene:GSCOC_T00036361001 transcript:CDP12653 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQETKRDFSTAILERKKSPHRLLVDEAIDDDNSVVALHPETMETLKIFHGDTILIKGKKRRDTICIAVADVNCEEPRIRMNKVVRSNLRVRLGDVVFVHECPDVKYGSRVHILPIDDTIEGITGNLFDAYLQPYFSDAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCIVAPDTEIFLEGEPVRREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGTGKTLIARAVANETGAFFLCINGPEIMSKMAGESEGNLRKAFEEAETNAPSIIFLDEIDSIAPKREKTGGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLHIHTKNMKLAEDVDLEKISKNTHGFVGADLAALEKMDVIDLEDETIDAEILNSMAVTNDHLQSALGTINPSALRETLVEVPNVSWDDVGGLENVKQELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLSMWFGEAEANVRDLFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEGSRHQIFKACLRKSPVSHHVHLRELAKHTEGFSGADITEIYNPEAMEDDGSYEISEIKAAHFEESMKFARRSVSDADIRKYEAFAHTLQQSRGIGAEFRFSATSAGAAGSDPFSTSATGPDEEALYN >CDP16326 pep chromosome:AUK_PRJEB4211_v1:6:28794810:28809551:-1 gene:GSCOC_T00018123001 transcript:CDP16326 gene_biotype:protein_coding transcript_biotype:protein_coding MREMPWRGAGVAIPMFSVRSEEDLGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDKIPEEIKQEIEKARSQLDLKDVDYEATMAAKLSIAKKVFALEKDMILNSSSFQNFFAENKDWIKPYAAFCFLRDFFETSERSQWGCYAQFSEAKLEKLVSKDSLHYEIICFHYYIQFHLHIQLSEAAEYARTKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLLQMAKYFTAYRIDHILGFFRIWELPDHAMTGLCGKFRPSIPLSQEELEKEGIWDFDRLTRPCIRRELLENKFGASWAFVASIFMKESQKDVYEFKEDCNTEKKIASKLKSCLEKSMMLESEEKLRLQLFDLLRDIVLIRDPEDPRKFYPRFSMEDTSNFRELDEHSKNTLRRLYNDYYFHRQESLWRQNAMKTLPVLLNSSDMLACGEDLGLIPSCVHPVMQELGLIGLRIQRMPSEPGLEFGIPSQYPYMTVCAPSCHDCSTLRAWWEEDEGRRLRFFQTFLGSNNSPPDQCIPEIAYFIIQQHVEAPSMWSIFPVQDLLALKEEYTTRLAVEETINDPTNPKHYWRYRVHVTLESLLKDKDLITVIKDLVRGSGRSYPLQEPEVSEGKGTVPEKQQAANGLEKVPQITQTNGISKKETVAVL >CDP06480 pep chromosome:AUK_PRJEB4211_v1:6:1083224:1084459:1 gene:GSCOC_T00023346001 transcript:CDP06480 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLTLQLQGSSLKCEHDKEPKLPVFANSRRLKRIRVNAVSGKALQLIQSGEVRPILPKDAAAVIESQGYALLDVRPEWERQKARVAGSVHVPLFVKDTDNSPITLLKKWVHFGYIGLWTGQDLTMINPDFVKQVERELPDKDAKIILACGEGLRSMMAASRLHGEGYKNLAWLAGGFSRTADNDFSAVEGTEKLQFATIGGVSYYFLKLLILLQAVGKES >CDP15348 pep chromosome:AUK_PRJEB4211_v1:6:4018133:4019849:-1 gene:GSCOC_T00043043001 transcript:CDP15348 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNVVGDDDGSDGAADDLGGLSLEKLKLGPSKKLLVLCLGGLLAHRVHKRDSASVQGRRPDLVYGNFLVFKRPFCGEFLNFCFQRFEVGLWSSARERNMDYVLRFIMDDSVRRKVAFVWDQEECIDSGFRSLHNKQKPLFLKDLNKVWENKDRSLPWPSGKYSSSNTLLIDDEPCKALLNPPHTSIFPHPYKSDNCKDTFLGPKGELQVFLDGLADADEVPSYVKEHALGQPAITALHPDWGYYESVVRHFQRTKAALDR >CDP02898 pep chromosome:AUK_PRJEB4211_v1:6:11857713:11858340:-1 gene:GSCOC_T00041307001 transcript:CDP02898 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRRAPADNINGTDDDGLPKRTGTFYTASAHIITTVIGSGVLSLPWAISQLGWIAGPMALISFSLITLFASTILADFYRFPDPVSGRRNYTYMDVVKVNLGRFFSYNLSSN >CDP10551 pep chromosome:AUK_PRJEB4211_v1:6:5651139:5654171:1 gene:GSCOC_T00031310001 transcript:CDP10551 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKHGNGTRLSQTNCESESNITPLFFFFFFLLFTFFWLGWLLIKNAGLTVTTPLFLFCFSGDLSTRNRFSGRLIPAIKFRKGVLPMAKQKQHQLVSAPARKKKRPAAREVDFASADGWMVVKKQRITILIPPLPVTQQFTVPNAEESQPQASLRNTINAQSECSDKTYSQKHSVSQREKSWSLAPDTAIPTAKMAHPPRPTLLFPKLSNPRRSISYDNPQISNIRDQKSVGLFSATKVRKPALIFGDRKPLLNRRMRTINIEKKLQRAGGLSSWLVSLGLERFVKIFRQKNVSKFQLANLTMKKLKDMGADAVGPRRKLMHAIDCLCQPYCFERF >CDP03320 pep chromosome:AUK_PRJEB4211_v1:6:7941585:7942754:1 gene:GSCOC_T00041862001 transcript:CDP03320 gene_biotype:protein_coding transcript_biotype:protein_coding MSERDAHIPAEVISYLLTFLPVKSLLRFKCVSKPWLALIDSPDFIKNHISRSLKTNRNLTLILGYASSIYTADFDSFQDDVAYPTKLTPPLSPSIDTPILVLGSCNGLLFLSTTLEDSIIWNPSTRKHQKLPPTSIEYPCGRFVWHSETGFGYDSVHDDYKVVRVTQFHLGWEDDFFQNEVKVYSLNSNQWRKIQDCPYFIRYLKLGGTFAGDALHWIAGNSPRLGTANQVAAFDIRSEEWHLLPLPKTLAGDFFMNLVVLGECIGLFCNYYRDHVDFWVMKEYGVRESWIKICSVVQPTHIQSFEQVKPIGYSNDGKQVLLEQNSSCLVWFDLQEKSVKSVRIHGGPKYFNTDVCLASLVKLPHSDVQQVHQKKKTQDRGNPKAGPKR >CDP15301 pep chromosome:AUK_PRJEB4211_v1:6:3685014:3685679:1 gene:GSCOC_T00042976001 transcript:CDP15301 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLDTWEPESVIYACLGSITRLGTSQLIELGLGLESSGRPFVWVTKDASDEFRKWLIEEKFEERTKGRGLLIHGWAPQVLILSHPSIGGFLTHCGWNSTIEGISSGAPMITWPLFAEQFMNEKLVLYVLKTGVKAGVESSVYIGHEEDVGVQVTRDNTKTAIEALMDKGGEGQMRRKRAAELKKMARKAADEGGSSHLNIEQLIKDIMEKVRTKSITDDS >CDP02867 pep chromosome:AUK_PRJEB4211_v1:6:12249917:12252039:1 gene:GSCOC_T00041267001 transcript:CDP02867 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSMRLRDSFKIVYLKKLELNLHRMNLEKKQQRQQQHSPSGTSSSNNANDDHRQKLLDDNSEDRPTKGEKLIAICGELLLIFSCCYCCFCCGACVEDEES >CDP15275 pep chromosome:AUK_PRJEB4211_v1:6:3441457:3451954:-1 gene:GSCOC_T00042946001 transcript:CDP15275 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVSLFWPLILFSSEPLTVFQKATYLEGLLENMSIVQSGLPYTSQDCKGQPLSGICFNTEFGHQECPNGFLLQTDLHIEGARFFDVDVHSQTTMVARRLSGLAGPYELTKISLLAPHERENIQLPLSTRVIKDLRVSPHAKLALLASLGKKLYVLSTETNNTIVTYDLPTAAWSCTWDLNNSNYVYAGLQNGMVLEFDLRQTLRPVESMIGLTSNPIHTVLSLSADFAVNSGIRSVLTASSVGLCHWNFGCSEEKSFLIPESMNEGVCISLAYGPKCGDIVASFRPRVETAGVNLSPSLSTPSASLLGQGVQGSHVLYKRVGSAQRYHKLRSTSASVNDIRLPKSAVVDRVNLKSLYAAGDEVTGDLVLQQLPCLVDVQRLKSRKCVRDVKYSQILNSELLSCLSEDTLQLFSSKMS >CDP15326 pep chromosome:AUK_PRJEB4211_v1:6:3845953:3846370:-1 gene:GSCOC_T00043013001 transcript:CDP15326 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRNMNQPGKSLGVIGQGGLGHLAVKFGKAFGLNVTVVSSDELQMRMLILYHHHPISLHFIIDTASGDIPFDPYRSAAVVVGFTRAALTRYCTK >CDP14239 pep chromosome:AUK_PRJEB4211_v1:6:37003924:37005687:-1 gene:GSCOC_T00040523001 transcript:CDP14239 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHRGEPAVVFSAADIAVVAAPFRYTLVGKFSKGRPLLPDLRKFLSTLDLKDTATVGLLDARHVLLKFQCEADFLRVWGRSLWYVNGSPMRVFKWTSKFHVNRESSLVPIWFRLPKLPIHLFAKPCLFHLVSCLGTPLFVDAATSSFSRPNVARVCVEVDLLKSIPSRVWVDMGDGDGFWQVLIPENLPNYCSHCYRQGHGEDQCRVKHPDLRLPKTQGGLVPGVMGAKVRSSPANTQRTEDEERRGVSEGDGVKSGATQNSGKSHSEGSMHVDTPTTAVGDASCLLNQLGGSTVVLVEKGIEAAADAILHAMADRVIGEPEEVLEGTANTGELALVELNVVAGPGMMEPIEGAAVPGQNCNEKAVKHGKAKLVVDDQREGPCDHIAGNDCEESHILVEQILGDGQQQMADNTSIRNQNAENSNWNKSDGSQAFEKQNLGDDNHQKVDTTDPDIALPLLVVPSTSTRTETKTTVPQNPVPAFKDVFEEVKWEKLHGTLPRPHQISFIRSSITCNNDKKEEEEDDSQHDKHKDQDQNLVQQQFTQVFSKKARKQLSKKGKTMQTRQDLKPNSNAHSQSHKCGAPSCG >CDP03024 pep chromosome:AUK_PRJEB4211_v1:6:10435094:10438449:-1 gene:GSCOC_T00041494001 transcript:CDP03024 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRKQKMVQEQHDELDDVQHGPFPVEQLQASGIAALDIKKLKDAGLCTVESVAYSPRKELLQIKGISEAKVDKIIEAGIASQLHAQRLEIIQIATGSKELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAIFAGPQIKPIGGNIMAHATTTRLALRKGRAEERICKVISSPCLAEAEARFQISPEGVTDVKD >CDP14345 pep chromosome:AUK_PRJEB4211_v1:6:35692100:35700123:-1 gene:GSCOC_T00040677001 transcript:CDP14345 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVVGIKCKDGVVLGVEKLIASKMMLPGSNRRIHAVHRHSGMAVAGLAADGRQIVARAKSEATNYESVYGEQIPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGVSHRYFGTSIGKGRQAAKTEIEKLKLSEMTCRQGVIEVAKIIYGVHDEAKDKAFELEMSWVCDESNRQHQKVPDDLLEEAKAAAKAALEEMDAD >CDP15283 pep chromosome:AUK_PRJEB4211_v1:6:3524955:3529108:1 gene:GSCOC_T00042954001 transcript:CDP15283 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEHKLFLLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQAAEFQRCMVPLFRQVARCLNSSHFQVAERALFLWNNEHIVSLIAQNRNVILPIIFEALEKNIQSHWNQAVHGLTVNVRKMFLEMDVELFEECQRQYAEKEARAKELEEQRQLTWQRLAAAAAQGG >CDP09524 pep chromosome:AUK_PRJEB4211_v1:6:19723484:19730821:1 gene:GSCOC_T00028929001 transcript:CDP09524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57050) UniProtKB/Swiss-Prot;Acc:P53780] MASATCSPSSSLSLKSLVGSFSVDPIDLPGIGTKPGSFRCNTSGFGKQNHSLISKTFALHCARDKDIDVSASAFVDGIADHLTDIDVKEGEPREPREPSVSTMLMNFANEFDPYEALSTPLYQTATFKQPSATEYGPYDYTRSGNPTRDALERLLAKLDKADRALCFTSGMAALSAVTHLVGTGEEIVAGDDMYGGSDRLLSQVIPKTGVLVKRVDTANLDEVSSAISPMTKLVWMESPTNPRQQISDIHKIAEMAHANGALLLVDNSIMSPVLSQPLELGADIVMHSATKFIAGHSDLMAGVLAIKDESLARSLYFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQDNAEKIAEFLSSHPRVKTVNYAGLPSHPGHALHYSQARGAGSVLSFLTGSLALSKHVAETTKYFSITVSFGSVKSLISLPCFMSHASIPAAVREARGLTEDLVRISVGIEDVNDLIADLDTALRTGPE >CDP10641 pep chromosome:AUK_PRJEB4211_v1:6:4844566:4848499:-1 gene:GSCOC_T00031419001 transcript:CDP10641 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASILLQILACAIYSNWWPLLSALMYVLVPMPCMFFGGGTTQFLTSRDGGGWIDAAKFLTGASAVGSLAIPIILRHANLIGTGAMFIEFTSFFIFVCTVLCFHRASLEDEW >CDP02977 pep chromosome:AUK_PRJEB4211_v1:6:11010043:11012203:-1 gene:GSCOC_T00041421001 transcript:CDP02977 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLALSLQPTNGPDVLLQTREWFPPSRALMALSAFRKTRLAFSSKQQSAAVASNSDNSSADPSSLGDDPLAASSGQVIVGVESRYRVVYRLVNSIYVLGITTADETNNNVFECISIVNQAVSVVVTACRGVDVTPEKLSKKYAEIYMALDIVLRGVSSIRLAAMLATMHGDSIAKMVHSAINTENKIRGADSWVNLEVHSVEHEGGLEAFSKAVFELPQETLEAGDEVAATMAFSGGQGDEKEEGEVEEEEEEKDPFAASEKINQPASLVEGFKKDKDQSSDLTKALAGLEVTTLPPAAATESTHIGVEGFEGNYGGIEFSNDGSTLREDFEGFSDAWGGGLDASDYVESKKVKKHEGLGGLELLETSDPPVKTAAAAADGAGKNLEDVLVKKTEMKGPEMYIAEEISAEFRESLLARVGLTGVVYLRTLPPKPSDDRETEFSFKVENTGSVKRFVLQSSRVSSLGNGLFHVRTAPSGEPIPIVKYSLLPRLTPLPLRVRLVKRLSGTLLSVMIQYVSNPDLPAPLNDVTFVLKLPVDPTLLKVSPKAVLNRSEKEIKWHVPEIPLKGNPGRLRVRMPVDIGDEDDDIDFEVVCHVKFSGQGSKSLSGISLRPASEGKTDFYEVDDRFASGVYMCN >CDP06650 pep chromosome:AUK_PRJEB4211_v1:6:2344747:2348074:-1 gene:GSCOC_T00023571001 transcript:CDP06650 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPa4 [Source:Projected from Arabidopsis thaliana (AT3G53620) UniProtKB/TrEMBL;Acc:A0A178VKC0] MAPPSENPTDIETPVKPPKSHHSSHPPLNERILSSLTRRSAAAHPWHDLEIGPGAPMIFNCVVEISRGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDVLVIMQEPVLPGCFLRAKAIGVMPMIDQGEKDDKIIAVCADDPEYRHYNDINELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASKAYEAVQHSMDLYADYIVESLRR >CDP03203 pep chromosome:AUK_PRJEB4211_v1:6:8923104:8923325:-1 gene:GSCOC_T00041708001 transcript:CDP03203 gene_biotype:protein_coding transcript_biotype:protein_coding MENFVEMNQSARDNQSITHWMGTVAEAEDSVPETQREFRLYIKELNKSLAADPRVQICQLPSGDGMTICRRLR >CDP15336 pep chromosome:AUK_PRJEB4211_v1:6:3908249:3911318:1 gene:GSCOC_T00043026001 transcript:CDP15336 gene_biotype:protein_coding transcript_biotype:protein_coding MLKWVNIGIKEVNFTLVTILRTELCRFSGAKIYPGKGIRFVRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKHHKKDIAQEAVKKRRRATKKPYSRSIVGATLEVIQKRRTEKAEVRDAAREAALREIKERIKKTKDEKKAKKAEVMAKAQKAGGKGNVPKGATAPKGPKLGGGGGKR >CDP02931 pep chromosome:AUK_PRJEB4211_v1:6:11422006:11425164:1 gene:GSCOC_T00041354001 transcript:CDP02931 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGFTFFYSSLLIGSYISVAATASRRNFVCPFDSLYQFGDSISDTGNVIRVPGVGPTLPAARFPYGQTIGRPTGRWSDGLLIIDFTAMDLHLPLLNPYLDRNASFNNGVNFAVAGSTALDFAFFTARGIAVPIVTSPLGVQVNSFKQYLSSICCSPTECSTKLRQSLFWVGEIGGNDINYAFTQGKSLQEIRTYIPSITQAIINNTRQIIQLGAKRIVVPGNFPLGCIPVALNFVSNASSQEFDEFGCSRSFNDLARYQNSYLQNALNSLRKEFPDAVIVYADFYGSFRSVLTRASFLGFDAGSLLKACCGIGGPYNYDMNRACGSPGVPVCPNPRRFIHWDGLHLTQQAYRRISEFLIPDILSRIQCF >CDP06373 pep chromosome:AUK_PRJEB4211_v1:6:173658:177643:-1 gene:GSCOC_T00023196001 transcript:CDP06373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase NSI [Source:Projected from Arabidopsis thaliana (AT1G32070) UniProtKB/Swiss-Prot;Acc:Q7X9V3] MKIGSVLSPPPASLSAAAAAHCRYPYGPWPHHPVLAVSHYHITAFMQQQQLPARHAKMENRLPLSPHHILHTGCRNAKVSRLKAAFWESIRSGFMKNNTIQVTESPSTIQEEEEMLPDEFVLVEKTLPDGVVEQIVYSSGGDVDVYDLQALCDKVGWPRRPLSKLAAALRNSYTVAALHSIRKSAGEEGSADKKLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALIEKLIRTLLQRDIGNITLFADSQVVEFYENLGFEADPEGIKGMFWYPRY >CDP06371 pep chromosome:AUK_PRJEB4211_v1:6:149893:152171:1 gene:GSCOC_T00023193001 transcript:CDP06371 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAQLRYSPFPAHFHNNRLNHLLFPAPKFPLPPTCPNSSSAISSASQSALQLYASSSREPRFPPAFAAGGDSGSIGGNRGLGGGGGGPPDDSDSSSSPSNSSDDDAGFGPIGAFLSGWRSRVAADPQFPFKVLMEELVGVTACVLGDMASRPNFGLNELDFVFSTLVVGSILNFLLMYLLAPTAGAGGAPSSSRLPSIFASCPPSHMFEPGAYGVLSRMGTFVYKGTLFAAVGFAAGLVGTAISNALIKMRKKIDPNFQTPNKPPPTLLNALTWAVHMGVSSNFRYQTLNGIEFLLAKGLPSFLFKSSVVVLRCLNNVLGGMSFVVLARLTGSQAAAAPPPPSFAAAELEQEQQQLVKEEEEEGAREGGAAAGDAVPADKVKLVNDGTGTEAKQY >CDP06697 pep chromosome:AUK_PRJEB4211_v1:6:2688042:2692055:1 gene:GSCOC_T00023632001 transcript:CDP06697 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFPCSGQSHSKLSSKKKRKIKDPLHPIQPSPEKSKVNAPLNSQVNSKDGGSNQIAAKTFAFRELAAATRNFRADCLLGEGGFGRVYKGRLENSNQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLYDATPDKKWLDWNTRMKIAAGAAKGLEHLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIENSKTAGEHNLVAWARPLINDRKKFSQMADPMLQGQYPMRGLYQALSVAAMCVQEQPNMRPVIADVVTALSYLASQKYNPTQSSQWAPATPPRTKREGEKREHGDSGYEKRSN >CDP15640 pep chromosome:AUK_PRJEB4211_v1:6:32519604:32523707:1 gene:GSCOC_T00015570001 transcript:CDP15640 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLLSLLKSGFTPTLKDFNNFLFFLSQTQKSKFILYLFSQISSNKIKGNSQTLTIFTKALLKEQKYEEALHFLRTHMGRTKILDQNRIFETLIQGFCRKENDPEKGLYVLRDFLKIGGILPSSFTFCCLIHSFSSQGKMDRVIEVLELMSSGEVNYPFDNFVCSSVIYGFVKIGKPELAVGFYENAVNSGALKANIVTYTALLSAYFRLGRIEEASKMVARMENDGLSFDVVFYSNWIYEYFTEGIIEEAFRKYREMVNAKVKMDVVAYTVLIDGVSKQGNVEKAVGFLSKMIKNGVKPNLITFAAIMFGFCKRGKLKEAFAFFKMVEFFAIEVDEFTYAILIDGVCRKGDFDCAFRLLDEMDNKGIKPSIVTYNTIINGLCKAGRTSEADDISKSIVGDVFTYSTLLHGYVGENNAAGMLQTKKRFEAAGISPDVAMCNILIKALFMIGLFEDALIIYKGMPEMDLTANSVTYCTMIDGYCKAGRIDQALEIFDQFRRTPYSSSTACYDCIIHGLCKNGMVDMAIEVFMELVERNLSVDMMLFMRLVNVTCDTKGAGEASYLVQRMTNIGGDLVEVLCNNAISILYWKGSSDIMFDVFMVTRTNGLMLMSKPYYLILKTFLRDGKNFLTRIILTMFLKQCGMNEPRVGRILLDYMCMNDVNKALKFLRQMNENLSSVTLSASVLETLRKNGRALDAYKLIVGGQDKLPDMDMFRYTSITSGLCKEGHLGEALDLCDFARNKGISLSIATYNAVINGLCRQGCLVEALRLFDSLQDINLIPTETTYAILINSLSKEGLLVDARRLFDSMSCMNIKPNTRVYNSLINGYCKLGQIQEALKLFSDLEVVDHKPDEFTVSAVIYAYCQKGDSEGALWFFSEFKIKGILPDFLGFMYLIRGLVDKGRMEESRTILREMLQAKSVTDLLNTIDTEVDMEHVQNFLVILCERGSIQEAVAILDEIGSMSFPVGKRSISNDASAKREPHDMTLANTTKSESFTCTTENYLDHRAPNDEKLENVSETCSCQDEKISGFLDFDSSYELTASLCSKGEIRKANKLVEMISGFL >CDP15378 pep chromosome:AUK_PRJEB4211_v1:6:4298777:4318049:-1 gene:GSCOC_T00043088001 transcript:CDP15378 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSLSGVRFPTAPSSSSLNKSVRASFNADRKIDHLSFFLRNRSSSRKNLASRLAYDSESPSSTVAASGKILVPGSDVDDSSSSKEPSEVLQTVLEDPQASIDASKMGNESEIEGEENYIDPTGGYGEGGEAQDSASSLPVHEDEKVKGLTDLEVEEMISRESEQVRKRTIPPPGNGQRIYEIDPLLRNFSGHLDYRYGQYRKLRDAIDKYEGGLEAFSRGYEKFGFTRSATGITYREWAPGAKWATLIGDFNNWNPNADVMTQNEFGVWEIFLPNNADGSPPIPHGSCVKVRMDTPSGLKDSIPAWIKFAVQAPGEIPYDGIYYDPPEEEKYVFKHPRPKRPKSLRIYEAHVGMSSTEPIINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRCGTPDDLKSLIDKAHELGLIVLMDIVHSHASNNTLDGLNMFDGTDSCYFHSGSRGYHWMWDSRLFNYGHWEVIRYLLSNARWWLDQYKFDGFRFDGVTSMMYTHHGLQACVGFTGNYNEYFGYATDVDAVVYLMLANDLIHGLFPEAITIGEDVSGMPTFCIPVQDGGVGFDYRLHMAIADKWIELLKKRDEDWRMGDVVHMLTNRRWLEKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRFDNRLPDGKVVPGNNNSFDKCRRRFDLGDADYLRYRGMQEFDQGMQHLEEIYGFMTSEHQYISRKNEGDRVIVFERGDLVFVFNFHWNNSYSDYQIGCLKPGKYKVVLDSDDPLFEGFGRIDHNAEFFTSEGWYDNRPRSFLVYAPARTAVVYAPIKDELEPIDG >CDP03275 pep chromosome:AUK_PRJEB4211_v1:6:8288465:8298980:-1 gene:GSCOC_T00041803001 transcript:CDP03275 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPETSPTPSSLRNAFGHVFSFFILILIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWLLNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGAGAGLTAAALLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHVVALVYYIKGILSPRMFKVAVMLVLSVGLVICCTVVAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDLNILAFLVPAGIVACFLPLSDASSFVILYIVTSVYFSGVMVRLMLVLAPAACIMSGIALSGAFDVLTRSLKFQLPGTSEIFASDAVDTGSESVILNKDGAKEDKSEDSVKERPSKKSKKKEKEKEKEKEKEKEKEKENAGTLSSRSHAGTSSRRPLVLPVEASVIAIFLLVLLVAFYVVHCVWAAAEAYSAPSIVLTSQSPDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNCLMYKLSYYRFVETDGKGFDRVRRTEIGKKYFKLTHFEEVFTTHHWMVRIYKLKSPRNRIRGKTKKSKSKSSSTISSKSSRTRKKNPWN >CDP03350 pep chromosome:AUK_PRJEB4211_v1:6:7721317:7729314:-1 gene:GSCOC_T00041902001 transcript:CDP03350 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH7 [Source:Projected from Arabidopsis thaliana (AT3G24495) UniProtKB/Swiss-Prot;Acc:Q9SMV7] MQRQKSILSFLKRPSAEQQNSGGRAPHFPPKHQNQIGAGIEFTKAISTSTIDDSVDDVRGTDTPPEKVPRQIFPVNDIESGSKNSLFSSIMHKFARFDTTKISCNEKEASVSNNICSVLDKSKSQKEFEDGNLTSKQIGRQSICHTNGTTKQESEGCPLVVGTDDIDVLGPDTPGMRPSVPRLKRLHDDICNFEQKSGFSKSNATKRVKFLQDLDGEKKYLGDSEMASKFEWLHPSRIKDAKGKRPGDPLYDQRTLLIPPDALRKMSASQKQYWEVKCQYMDVVLFFKVGKFYELYELDAEIGHKELDWKMTLSGVGKCRQVGISESGIDDAVQKLIDRGYKVGRVEQLETSEQAKSRGSASVIERKLIGVLTPSTTTEGNIGPNAVHLLAIKEDYFCPDNGSTSFGFAFVDCAALKFWVGSISDDASYAALGALLMQVSPREVIYDSQGLSKEAQKALKKYSLTGSTVSMLTPALHADFGNASEVRNFIQLKGYFKESLNRWDHILEGIMHQDLALCALGGLTNHLSRMMLDDTLHNGDVYSYQLYKGCLRMDGQTLVNLEIFNNNADGTLYKYLDNCVTASGKRLLRNWICHPLRDVEKINHRLDVVEELIAHSDIMLLVAQYLRKIPDLERLLGRVKASINSSAVLLLPLIGSKKLKQRVKAFGSLVKGLRIGMDLLLLLQKEGFMTSSLAKVLCLPLLIGNDGLDKFLAQFEAAIDSDFPNYQDHDATDSSAETLSILMELFLEKAMQWSQVIHAISCLDVLRSFAVTTSFSSGAMCRPKILPHSRSLDSETGGPILSIEGLWHPYALGENGGLPVPNDMHLGGNNSIYNPCTLLLTGPNMGGKSTLIRATCLTVILAQLGCFVPCETCVLSLVDIIFTRLGATDRIMTGESTFLIECTETAAVLQTATQDSLVLLDELGRGTSTFDGYAIAYAVFRYLVETVNCRLLFATHYHPLTKEFASHPRVSLQHMACSFKLKSKKSSPGEQELIFLYRLASGPCPESYGMQVAKMAGVPEMVVESASGAAQIMKKLIGESFKSSEQRANFSTLHEQWLKTLLAISRAPETDSDDKFDTLFCLWHELKSSKKMGAIKNI >CDP06267 pep chromosome:AUK_PRJEB4211_v1:6:24592443:24598360:-1 gene:GSCOC_T00023002001 transcript:CDP06267 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVFYFQFLWHLQRFRDEFLRRSLSEHVHVGDPCVVCALYDIFSALNTASVDARREAVAPTSLRIALSNLYPDSNFFQEGQMNDASEVLGVIFDCLHRLFTSTMLHFILPQYQCQCSAYYEDTFQVMCPESSLDELLNLVEMNHQLACDPEAGGCGKLSYIHHILSTTPHVFTTVLGWQNTCEHVDDITATLTALSTEMDISVLYRGLDLKNRQICQQRNLPIKGCSLSLLLSHTHIYTPLLKILLLKIPDLFSTMDKVCYYGQHYHCFAYSQDHERWLMYVDKTVKVNPICLAFIPSIPLFHKTNKHLRFEIYVIGGWEDVLTMCERGHLQPQVLFFEAVN >CDP10466 pep chromosome:AUK_PRJEB4211_v1:6:6277278:6284105:-1 gene:GSCOC_T00031199001 transcript:CDP10466 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGRSTLLTITVVVLSLLVLVQLPGLSASGLLIDSNTCGTYHIGYSDDLKERLFYIDGELVDRNLFCKALKFYQENHCLIRGNARSQHCSLYDSLDELPFRAGRKILKEGVREESMEDHFKTQQMEDKEAKYVIFTPKNLAMAAPGVLLLCCGLVCPCFRPRRKESSVPVFEKDPNSMDSVSSLEINSVPEKIPPSPLRVPPSPLRVPPSPSRFSMSPKLDRVGSVHLNMSQVVRATQNFSPSLKIGEGGFGTVYKAQLPDGQVVAIKRAKQEYFDTLRTEFRSEVELLAKIDHRNLVKLLGYVDRGNERLIITEYVPNGTLREHLDGLRGKTLDFNQRLEISIDVAHALTYLHLYAEKQIIHRDVKSSNILLTESMRAKVADFGFARQGEMDSDKTHVSTKVKGTVGYLDPEYMKTYQLTSKSDVYSFGVLLIEILSGRRPVELKKPAEERVTIRWAFGKYSEGNVYGMLDPQMHETIDREILMKMFGLAIQCAAPTRADRPDMKVVGEELWAIRMDYMRRGRSE >CDP10174 pep chromosome:AUK_PRJEB4211_v1:6:13594973:13598215:-1 gene:GSCOC_T00030802001 transcript:CDP10174 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRTGNMYEYDPTMKPGLGSPRCPRCLCLLEPSVDKGEWTITPVLRDATAVAGSGIGGLLSAIHGFNTGIPYVQKHVKGPKWLPFVIGLPPFLIYSAASAAFGGYAFPRFTQLSVTSYYAASSASSYCISLLTRRIEDSRTSSSPSQHGRLG >CDP06716 pep chromosome:AUK_PRJEB4211_v1:6:2815568:2819747:1 gene:GSCOC_T00023656001 transcript:CDP06716 gene_biotype:protein_coding transcript_biotype:protein_coding MWQVFLAAAAAAGSGILAKKLIINTSVAEPSIDFKPDHQKNHVLNDQESFNESLLLPQDSIFSSNDGLQDDYNDDAQCEVLADGSIFRFSSTPGPSCSVMGSTNLRKKLGCGSRRVKENAEGLGRNAKGKEGKKCEVLGCGKQGWVVEKRSGKRFSVCLKKRRTSKNITGKCESCASKGNSFFNWGLSIGMMYMMSAGKAEFSRLNNSMDETAKLVKELKAELSRRKTLHNRYSSNLRTEVETNQINCRGMLAYATATTSCSEKADAGKVIDSLVAEEGECSSSVLTEEQPPDLSEMDHLEAEFESELQKLPWCFTEGSRLNERADTSEAHVLARELHRADDKIHQIRASPEFDGISPSELDQKLSHLLIEQQESQIVQLESELHQTHSKLYEKEAELQALKDCVRRLTDFSLGNEGTEVQTKDDKTRQGDQEMKPGPESSAKSIVGMKRAMDFE >CDP15388 pep chromosome:AUK_PRJEB4211_v1:6:4400454:4402644:1 gene:GSCOC_T00043103001 transcript:CDP15388 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAYLLAVLGGNTCPSAEHVTNILSSVGAEADEDKIELLLSQVNGKDITELIAAGREKLASVPAGGGGAVAVAAASAGGGGAAAAAPAAESKKEEKVEEKEESDEDMGFSLFD >CDP12671 pep chromosome:AUK_PRJEB4211_v1:6:17083679:17088581:-1 gene:GSCOC_T00036394001 transcript:CDP12671 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MIPGPFNPTAPPNWAPVLPPFPPPSSAFWKSSNVRDCLKDLHDTVNLAKALGKELEMLIMMKNEEESSEGDSSIDRFHKLMEENRFDLDSQEMIALEAANALTSRLRVQLEPFRVVADVKAPWEEKSAAVKLSDKLRKYKRNKRWRKRKRMQVAENLAKEREQFDRIDKEADEWRAGEIAKEIAKRKVEKLKEIAKLKAKEEKKTLESELELMLIVEKLQELRSLRIQKLKKQGHFLPEEDDKFLERVRAAVEEEERQAMAAADTEAAKDAIATAEESRIQNRGPDSEKPSKNNKTVDMMLESEDRRDSTVVTGNASRPRGTEGQSSTVAYDSMANLPMEFYHYYYGSKTDMGTLIEVRRTWDAYIRPGGSRIPGHWVQPPPPSDETWASYLVKPK >CDP12652 pep chromosome:AUK_PRJEB4211_v1:6:15980889:15983344:-1 gene:GSCOC_T00036360001 transcript:CDP12652 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVTLASAGHLGNIELAAISLAGNHNVEKMLLMGFHVCIETLCGQAFGAKNYLMLGIYLQRSWVVLFLCCVYFWCVPSYTWTGFSFEAFSGLWQFLQLSVSCDTCAVENCIYFQILILITGHVASIEVAFDALSIW >CDP03197 pep chromosome:AUK_PRJEB4211_v1:6:8959380:8968276:1 gene:GSCOC_T00041701001 transcript:CDP03197 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSPPEVPMELHRLNRDKLLNSLRDHLSISSRPNHGFVFLQGGEERTRYCTDHTELFRQESYFAYLFGVREPGFYGALDVASGNSILFVPRLPADYAVWLGEIKPLSYFKERYMVSMVYYTDEITKVLHEQYQGQGRKPLLYLLHGLNIDSNNYSKPAEFEGIEKFEMDMNTLHPILTECRVLKSDLELALIQFANDISSEAHVEVMRNAKVGMKEYQLESMFLHHTYMFGGCRHCSYTCICATGDNSAVLHYGHAAAPNDRTLQDGDMALLDMGAEFHFYGSDITNSFPVNGKFTRDQSLIYNAVLDAHNAVISSMKPGVCWVDMHKLAEKIILEALKKGGLLIGDINAMISERLGAMFMPHGLGHLLGIDTHDPGGYLKGAERPKELGLRSLRTSRELLEGMVITVEPGCYFIDAVLVRAMENSKTSRFFDHEQISRFRGFGGVRIESDVYVTSRGCVNMTKCPREIKDIEAVMAGAAWPIKRTPIPFENGESR >CDP15300 pep chromosome:AUK_PRJEB4211_v1:6:3648572:3672636:1 gene:GSCOC_T00042974001 transcript:CDP15300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MASSSSGHSMPAPEAIQVLVSSLGDESPMVRDASMAALKEIAPLNPLLVLDCCSLVSRGGRRRFGNIGGLFQVMSVAIRALDKRDVDPPYMTKLAKIATAEMITSKEFQADWQRAAAGVLVAIGLHLPDLMMEEVFLLLSGSSSSMPAMVQVLADFASFDALQFTPRLKVVLARVLPILGNVRDINRPIFANAFKCWCQACWLYSVDYPLFSILDADVTSFLNSAFELLLRVWASSRDLKVRSTTIEALGQMVGLITRAQLKMALPRLVPTILDMYKKDQESALVATCTLHNLLNASLLSESGPPLLDFEDITVILSTLLPVVCISNDSKELSDFSVGLKTYNEVQHCFLAVGLMYPEDLFMFLLNKCRLKEEPLTFGALCVLKHLLPRLSEAWHGKRPSLVEAVKLLLDEHSLGARKALAELIVVMASHCYLIGQPGELFVEFLVRNCSIEDAVNPKEVVRRSGTHYAFPYKKLEVKAGAFSPTELRAICEKGLLLITITIPEMELVLWPFLLKMIIPRVYTDAVATVCRCISEFCRRRSSQSNSMLSECNARTDIPHPEELFARLLVLLHNPLAREQLATQILMVLYHLAPLFPKNVSLFWQDEIPKMKAYVGDTDDLKEDPFYQETWDDMIINFLAESLDVIQDIDWVISLGNAFAKQYELYTSEDEHSALLHRCLGILLQKVHDRTYVCAKIDLMYKQANISFPKNRLGLAKAMGLVAASHLDTVLEKLKDILDNVGQSFFQRILSFFSDRAKMEESDDIHAALALMYGYAAKYAPTTVIEARIDALVGTNMLSRLLHVRHPIAKQAVITAINLLGQAVYSASQCGTSFPLKRRDQLLDYILTLMGRDGEDDFFDSTRELLCTQSLALSACTTLVSVEPKLTTETRNLVLKATLGFFGLPNDPSDVVNPLIDNLITLLCTILVTGGEDGRSRAEQLLHILRQLDPYVSSAVEYQRERGCRAAHEMLHKFRTLCISGYCAFGCRGSCTHGKHVDPVVHRNYSNLPSAFVLPSRDALSLGERIMVYLPRCADTIPEVRKLSAQILDLFFSISLSLPRPVNSNLGLDLELSYSALTSLEDVIAILRSDASIDPSEVFNRVVCSVSILLTKDELAAALHGCSTAICDKVKQSAESGIQAVVEFITKRGNELNETDISRTTQSLLSATVHVSEKYLREETLCAVSLYTNFCRQLGISALAENTSSGIVFNEVLAAAGRDITTKDVSRLRGGWPVQDAFYAFSQHVVLSYTFLEHVIAIVNQTPLLKGDLGRGETPSHSGDNQLEDVLQAAVVALTAFFRGGGKIGRKAVEQNYASVLATLVLHFGSCHGLASFGQHEPLHSMLIAFHAFCDCVGDLEMGKILTRDGKHTENEKWINVVGDLACCISIKRPKEIPSICLIVSKSLERFERFHREAAAAALSEFLRYSDGFGSLLEQMVELLSRHVSDDSPTVRRLCLRGLVQMPSIHILQYTTQILGVILALLDDPEESVQLTAVSCLLMVLESSSKDAVEPILLNLSVRLRNLQICMNTNIRRNAFVAFGALSGYAVGSHHEAFLEQVHAVFPRLVLHLHDDDLGVRQACRTTFRSIAPLMEIEGVVALSNTNWFGSDHRSDYEDFLRDLAKQLSQHLDSRIDSYMVSIIQAFDAPWPVVQANAVYLCSSMLALSEDQRISPLYCNQVLGMLISKMSRSADAIVRATCSSALSLLLKSVNLASWKAVRLE >CDP09523 pep chromosome:AUK_PRJEB4211_v1:6:19639696:19651136:1 gene:GSCOC_T00028928001 transcript:CDP09523 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFIFPQTLLSLEEEEEDENSSDYTRLSVRNPISISSFQPSELEEFVKGLSFDLSDKELFCIEEQEIFDRVYSLVKGFGCLTPACKLNLVESLRSNLSVLLPNVDLLTRASLSGTRRNANGDVNEGDGDGEVVDEENDDGVVVDRVASHRNAFKIYTFFLVQIVLIEEENSASAANTSKTVAASGRKRLPVHAWNWEPQRGRILTLIANSLEINLSLLFGSSDPDENYLSFIVKNAFSMFENAMLLKDTDTKDAICRMVGTCATKYHYLAQSCASIVHLIHKYDFVVTHLANAVAGAEKKYSDGSLATSLVREIGRTNPKDYVKDTVGAENVGHFLIELADRLPKLISTNIGLLVPHFGGESYKIRNALVGVLGKLVAKAFNDVEGEVSSKSIRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNEVAAVAAGRLEDKSAIVRKSALNLLIIMLQHNPFGPQLRAASFEATLEQYKKRLNELGPKPATEDVRDEVSSNPDSCNGDGEVHDEDTGTVIKEQDDSLPDSYLPQEVDGIDQMDNSVPDVGNLEQTRTLVASLEAGLRFSKCVSATMPILVQLMASSSASDVENSILLLMRCRQFHIDGSEACLHKMLPLVFSQDKAIYDAVENAFITIYVRKNPVETAKNLLNLAIDSNIGDLAALESVVGALVCKGDITASSLSALWDFFCFNINGTTAEQSRGALSVLCMAAKLVTGVLSSHLQDIVDIGFGRWAKADPLLARTACVALQRVSEEDKKRLLSTNGSRVFGILESLITGFWLPENIWYAAADRAIATIYSIHPTPEILASSLVKKSLSSVFGCFGGDELQNEVSNGSKSTITTVHVTKLSRFLFVASHVAMNQLVYIESCVRKVQKGKAKREKMAHAADASLEAKKDNSINAELGLAASEDAILDTLSNKSEKEIVSGGSSEKNLIGYCGSFISKLCRNIALIHKYPELQASGMLALCRLMIIDADFCEANLQLLFTVVESAQSETVRSNCTVALGDLAVRFPNLLEPWTEKMYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMAICLEDEDERISNLVKLFFHELSKKGTNPIYNLLPDILGKLSSQNLTRESFCNIMQFLIGSIKRDKQMESLVEKLCHRISGVTDITQWEYISYCLSQLAFTDKSMRKLMESFKSYEHALSNDSVMDHFRSIINKGKKFAKPELKTYIEEFEDKINKLHIEKKDQELTTQNARAHKQKVENMGILVVPKKEEESGESATTEDGEVTDPSTEFSHSYSKFVKSNGNSSSEMTEMERDEDEVQSPYRNPRGATKSRSKKSSIKSRIVDSHASTRRNIKSKRRYVLLYTSSSINRNAMFV >CDP10247 pep chromosome:AUK_PRJEB4211_v1:6:12736078:12737352:1 gene:GSCOC_T00030910001 transcript:CDP10247 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKSSSSSNTNTILLGPLTSEHINPIGNVFIEAGSNLVKNEFGAYGEKIFGSSSSFLQSNFVSRHLSNPQYYFEVNDDYVKNKIKMILFPFLHKGHWIRATEMVGGEILCKPPYCDINAPDLYIPMMGFGTCMVLAGFFLGINGKFSPEALGVHFTTALLCWILQVLLLGATLHSLGGGGDIPLLDLVGYGGYIFTAASVVIISRIIWDHLFCAITLWESFCMGVFLVKTMKRILISEVKRVDYKHSSKRDYLLLSIAISQIPLLFWIASICVKS >CDP06710 pep chromosome:AUK_PRJEB4211_v1:6:2788655:2790934:1 gene:GSCOC_T00023647001 transcript:CDP06710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53360, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G53360) UniProtKB/Swiss-Prot;Acc:Q9LFI1] MIQRLKPRLAFTCTNAKLGTLATPTPNFQNEQSSNDYISSLCKQKLFKEALKAFDFIEKSTSYNVYPSTYTHMISSCASLRFLERGRKIHKHILMSNFQADMILQNHILNMYGKCGSVTDARKVFDEMAERNVVSWTSVIASYSQNDQEIEAINLYSQMLQAGLLPDQYTFGSIIKACSSLSEVMLGRQLHAHVIKSEHGSHLIAQNALITMYTKFGLIIDAQDVFLRIKLKDLISWSSMIAGFSQLGYELEALYCFREMLRQSNCEPNEFVFGSVFTACGRLIQPEYGRQIHGVSIKFGFERNAYSGCAVTDMYGKCGLFGASETAFFQIEDPDVVSWNAIISGFAYGGESNKALSTFSQMRNLNLKPDDVTIRSLLCAFTSPSYLFQAKQIHSYIIKTGFDLDVPVCNTLLSIYASCSDVAEAFKIFYEIQCKADLVSWNAIISVCMQNDRAEKAFSLLNLMLLSPNKPDHITVVNVLVCCGKVTSLEMGDQTHCYACKTALKQDLAIMNGLVDMYVKCGSLENAQKLFDCIENPDVVLWSSLIVGYAQFGYGEAALKLFTRMRNSGVKPNEVTFVGVLTACSHVGLVEEGWHLFNTMEMQHRIAPTREHCSCVVDLLARAGCIDEAEAFINQMAFDPDIVVWKTLLAACRTHKNIEIGKRAAENILKIDPYNSTAHVLLSSIYASTGYWKDFAALRNLMKQKGVRKVPGQSWIEVKDKIHVFSAEDGLHPERGKIYIMLEEMFLQMLDAGYVPIPR >CDP10406 pep chromosome:AUK_PRJEB4211_v1:6:6849985:6853160:-1 gene:GSCOC_T00031124001 transcript:CDP10406 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLCFTPLISFKPTNKPGITLGRCNGRKVHWMNDVTHSSKNATNLQNLELKAAGSDQRTKPNSIVCADCDGNGAISCKQCKGSGVNSVDHFNGQFKAGGLCWLCRGKKQILCGNCNGAGFIGGIMSTFDE >CDP09604 pep chromosome:AUK_PRJEB4211_v1:6:21608620:21612302:1 gene:GSCOC_T00029042001 transcript:CDP09604 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMEEVKNQAVGDTEKSLPSSQQEEEVIKKKYGGIVPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSPCAPSEGEDGANASTEDATTNE >CDP10630 pep chromosome:AUK_PRJEB4211_v1:6:4924365:4929284:-1 gene:GSCOC_T00031405001 transcript:CDP10630 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSAKTRPSRPNTTTTAAAFWPNSHLYRPISAHSPHNSAAFSFDLNNEEEDEATAIEDERESNARIITKQEEDKEIVPKEPLFEKPLTPSDVGKLNRLVIPKQHAEKYFPLSGGGNNDSAGGEKGLLLSFEDESGKGWRFRYSYWNSSQSYVLTKGWSRFVKEKRLDAGDVVLFERHRLDGDRLFIGWRKRRAGGPSQGGQEGTGAAQAQPATSGGGGGGGGWTRVYFPSGHHPYPQQLHQYHDDGHGHGHGPALPYQPDCLRAAAAVLQSQTTAAASANSKRLRLFGVNLECQQQPDHDSETSSEPPTTPEASSTWTQDQPHYQFHSYNPRLHHSYFNHHNHMDINFSSDMNQTGYRQG >CDP18659 pep chromosome:AUK_PRJEB4211_v1:6:15397367:15403995:-1 gene:GSCOC_T00004154001 transcript:CDP18659 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYDVLYLYRNMGENPVWGLFKNIRNVFKKDELGVEIAQIAIPAAMALAADPIASLVDTAFIGHIGPVELAAVGVAIAVFNQASKIAIFPLVSVTTSFVAEEDATKSLSIDSQEIEIVENGFAADGEKEELLPKVELSSKSFSSSQGKVVDGRRNSRHNSSASSALLIGCILGIIQAIFLIFAAKPLLNYMGVESNSPMLNPAQKYLIVRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATMIGDLTNIILDPIFIFLFGLGVTGAAVAHVISQYFISLILMWRLMSEVDLLAPSIKDLQLGRFLKNGFLLLLRVIAATFCVTLAASLAARVGSTSMAAFQVCLQVWLATSLLADGLAVSGQAILASAFAKNDYERATTTASRVLQLGLVLGLVLSLIVIVILKYASTIFTADINVLHLLSLGIPFVVVTQPINSVAFVFDGINYGASDFSYSAYSMATVSIILLLLLSSRFGFVGIWLALSIFMSLRALAGFWRIGTRTGPWRYLRG >CDP06633 pep chromosome:AUK_PRJEB4211_v1:6:2249426:2250450:1 gene:GSCOC_T00023548001 transcript:CDP06633 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSTPNNCTSTSRSYFQTTVGLSDWWLVKAEKDFRGKRLAIAGIPHRDEQALRVFSSAPILKRHDLFNLETADGVWIIIKGLINKARTEENGFPSEAC >CDP03296 pep chromosome:AUK_PRJEB4211_v1:6:8134217:8135908:1 gene:GSCOC_T00041833001 transcript:CDP03296 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGYCGEPNLGSERSSSSGSSRKGRKGSSDKPKQPQRGLGVAQLEKIRLHSQMGCSSYLPSVQNPYSPNLSQEDVRLQTAYSSSSSFSYSTPSSSSYGFPSHQNIGMGTSDLEGRANIRYGDAQPSEPTTTTRWPPGNTFFDYSQQYAQPNMTRQLLDLQVEDSYEKRRKRDGSDSSSHNSESNCREDLDLELRLSL >CDP10128 pep chromosome:AUK_PRJEB4211_v1:6:13959065:13963364:1 gene:GSCOC_T00030744001 transcript:CDP10128 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPNGATGDYGNESEAPAERLDSDDAAHWGTWEDLLLVCAVNRYGTNSWESVALEIQKRSTSPFLSLSLTPRNCQMKYLDLTRRFLFKHDPRNTDNAYKDDDVEAAGHDGSIISTDESVPLLEELRKLRVAELRRELERYDLSIVTLQSKVKKMKEERERCSTVSEIREEKASVLRKSEEAEAPPERDASEDKVKVIMDELEKSPSSMANNKDEQSVNGSVPKDGRVGEIEAGRDEDKLVKEEDSGYGSSDSVEREYRKPGPESVPNEVKVEPESVSHSPELVESVAESKDGGATKSRRDGENDDVPPGSTKNLDLQNDNSSPPVKLEASVESQPLIDFLDHVKGHKLGSLFLRRLDSQEAPNYKSLIRQHVDLEAVRRRVKEGIYSDSNLKFFRDLLLLVNNAMVFFGKNTPEFLAAMELRHLIANEMARRNAKSSDSSSEKQASLQKASLPDKGSSEPSESLLRKPKLGGQLIVCRKRSSIAAKASASSSASDKKRDQNKMPTEDSAGLDSKHPSRRQQPARAEGPRVTKKRSADRFASASTSLKKNAKNGAGTNSKQMSGTNLEKNKGKGGSSSQQPDPRCENKNNQSSADLKKRSAANFLNRMKQSSSSNNSTLLDALKGSPLSASNNGRGGSELKKDENSKGSGGSNLRKNEHGKGGGGSEHKKNENVKWSGKKAQVSTRSSDVKQATEKTIPATKSLGRPLNKGAAPPAPSGKRGRGDRESESAASKQQRKKPRK >CDP17641 pep chromosome:AUK_PRJEB4211_v1:6:31255048:31263837:-1 gene:GSCOC_T00001548001 transcript:CDP17641 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTLTSPLLKLLKRSDIYHHASLTKFGNSTTINRCHRYFDGSRGSSIHSFSKQYLQHLAKSVSAVRSVTISGLEASIADPEENAVHVKNAKIVVESRDDTKLQVRVDLEGEDTRLVFEKVLTNLARAAPPVPGFRRQKGGKTSKVPKDFLLQMLGEERVTNFVIQEIVSSTLADYTKKASKFWKFIQLQMKIFP >CDP14123 pep chromosome:AUK_PRJEB4211_v1:6:17811281:17817323:-1 gene:GSCOC_T00040351001 transcript:CDP14123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MGFNKGGGNINWFPGHMAAATKAIRSRLKVSDFVIEVRDARIPLSSANQDLQPMLSGKRRVIALNKKDLANPNIMHRWIRYFDSCKHDCLAINAHSKSSVQQLLDLVEFKLKEVISREPTLLVMVVGVPNVGKSALINSIHQIASYRFPLQHKTKRATVGLLPGVTQDIAGYKIAHQPSVYVLDTPGVLVPSIADIETGLKLALAGSVKDSVVGEERIAQYLLAVLNTRGTPFHWKHLFGRATEGVKPAYDVKDLLPNRRKPQNNSDVLYVENYVTEVKRALYTTLSEFNGSLESEGDLENLIDQQFDMLQIALKIPHKASEARTMVSKKFLALFRMGKLGPFILDDVPDIS >CDP15635 pep chromosome:AUK_PRJEB4211_v1:6:32144868:32145616:-1 gene:GSCOC_T00015561001 transcript:CDP15635 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALKYINQLKEENNKLKQYNANQAVVMKKSQVHEEQHSCSITKSSSVCSDEQLPEIEVRMYEKKILLTIRCEKEKDVLANILSEIEKLNLTVISGNIMPFVGITYEIIIVAEMDNDFSISQKNLVKRLHSAHFLHKKLNSTIRKRKNIHLRCEQLPKWGSCGGGTS >CDP10415 pep chromosome:AUK_PRJEB4211_v1:6:6790403:6791574:-1 gene:GSCOC_T00031135001 transcript:CDP10415 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILSSQGMVLATAMAAVSGTVILLAFRLQKSFPPSQLSITIRNIMSLWGADGKKKNKKKKRVHFAADVVDPIGNSDEYRKKLQLQHNYSSSSHNRAAESLFSSPSSSSSATFKGGKVQGMPANRVALYNGILRDRVLLRTTYSY >CDP06576 pep chromosome:AUK_PRJEB4211_v1:6:1828555:1829144:-1 gene:GSCOC_T00023478001 transcript:CDP06576 gene_biotype:protein_coding transcript_biotype:protein_coding MGINVESPFHRSQLAYPLLKADQRIWRVKNLACEWAKDNIRVNTVAPWAVRTTLMKQELDQSLAGKYPPLLSRTPLRQFAEADEISPLVAFLCLPAASYITGQVIYVDGGFTAGTYIRGKFG >CDP03139 pep chromosome:AUK_PRJEB4211_v1:6:9395109:9401653:-1 gene:GSCOC_T00041633001 transcript:CDP03139 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MAAAIMDCTNWDEDVYRDSILQHRETLCRTVFRTAFAPNPGNSNSNPDVIVTACSDGSIASYSISSCLGLGFGNARAAHNLLVAEPNFLIQGHEGPAYDVKFYGHGEDSLLLSCGDDGRIRGWKWKEIADFDMPGQGGNMQPVIDLVNPQHKGPWSALSPIPENNAVAVDTQNGSIFAAAGDSCAYCWDLEKSKIKTVFRGHSDYLHCIVAQSSHSQIITGSEDGTIRIWDCKSGKCVERINPGKEKSLKDASPYISCIALDDSDSWLACGSGRSLSLWNLLACECVSRMSTNASVQDVLFDNNQILAVGTEPVVSRFDMNGDTISKIQCAPQSSFSVSLHSSGVVAVAGYGALVDIISQFGSHLCTFRCRGL >CDP03035 pep chromosome:AUK_PRJEB4211_v1:6:10328391:10333185:-1 gene:GSCOC_T00041507001 transcript:CDP03035 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVLTRVHSLRERLDATLAAHRNDVLLFMSRLETHGKGILKPHQLLAEFEEINKDGKQKIHDHAFEEVLKSTQEAIVLPPWVALAIRLRPGVWEYVRVNVHALVVEELTVPEYLHFKEELVDGSKNGNFVLELDFEPFTASFPKPTLTKYIGDGVEFLNRHLSAKMFHDKESMAPLLDFLRVHQYKGKTMMLNDRIKDLNTLQAVLRKAEEYLTTLSADTPYSEFEHKFQEIGLERGWGDTAERVLEMICMLLDLLEAPDSCTLEKFLGRIPMVFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPALEREMLKRIKEQGLDVKPRILIITRLLPDAPGTTCGQRLEKVYGSEYSHILRVPFRTEKGVVRKWISRFEVWPYMETFTEDVAKEVTAELQAKPDLVIGNYSEGNLVASLLAHKLGVTQCTIAHALEKTKYPDSDIYLSKFDEKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADTNLYYPHTEKEKRLTSFHPEIEELLFSDVENEEHLCVLKDKKKPILFTMARLDRVKNLTGLVELYAKNPKLRELVNLVVVGGDRRKESKDLEEQAEMKKMYSLIETYNLNGQFRWISSQMNRVRNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATNHGGPAEIIIHGKSGFHIDPYHGEQVSELLANFFERCKKEPSYWDTISAGGLKRIQEKYTWQIYSDRLLTLAGVYGFWKCVSKLDRQEIRRYLEMFYALKYRKLAEAVPLAVDQ >CDP02942 pep chromosome:AUK_PRJEB4211_v1:6:11289478:11296435:1 gene:GSCOC_T00041371001 transcript:CDP02942 gene_biotype:protein_coding transcript_biotype:protein_coding MITVAKLPLHPPSQISRTLCTPRFSAKAVLSFNLGIRSTKPRKKGGFLRLIWADYESGTSGGMELLRKLTRGCFPDSPKKGQKVEVESDNGGEDFFDAATAESKVRPQHLVIMVNGLAGSAADWRYAAEQFVKRVPDKIIVHRSECNTSKLTFDGVDLMGERLAEEVVNVVKNWPGLHKISFVAHSLGGLIARYAIGRLFELSARIGNADQNEPSSVLEANNSAKLHELCYEARIAGLEPVNFITFATPHLGSRGHKQLPLLCGLPFLERSASQTAHWIAGRSGKHLFLTDNDNGMPPLLLRMVEDSDDLKFMSALQAFKRRVAYANANYDHIVGWRTSSIRRQDELPKAKLLLKNEKYPHIVHVDQGSMEANNSRSLSMVGTEVIDLEEEMIRGLTQVPWERIDVSFQKSTQRYVAHNTIQASF >CDP09557 pep chromosome:AUK_PRJEB4211_v1:6:20663594:20664151:-1 gene:GSCOC_T00028977001 transcript:CDP09557 gene_biotype:protein_coding transcript_biotype:protein_coding MESAYGIPPRMEHYACAIDLLGRAGRIEEAKELVKEMPFQPDAMVWKTLLGACRKCGDIEMATEVASHLLELEPEEHCTYVLLSDMYGHFEKWDEIATVKKLMRERRVKKVPGWSWMEINNEVHSFNAEDHSHRQCQEMYQLLRESTNKIKLEEKSLDLDINIEYVGNVPYYCPKDTHIHLSVGL >CDP15384 pep chromosome:AUK_PRJEB4211_v1:6:4361663:4367701:-1 gene:GSCOC_T00043097001 transcript:CDP15384 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] MSGSSSEDASNTLRILVATDCHLGYMEKDEIRRHDSFRAFEEICSIAEQKQVDFLLLGGDLFHENKPSRSTLVKAMEILRRYCLNDQPVQFQVVSDQTVNFANSFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAIDILSACNLVNYFGKTVLGGSGVGQITLYPILIRKGSTSVALYGLGNIRDERLNRMFQTPHAVQWMRPEAQEGCQVSDWFNILVLHQNRVKTNPKNAINEHFLPRFMDFIVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIEGESKQKHVLLLEIKGNQYRPTKIPLASVRPFEYTEVVLKDEPDIDPNDQNSILEHLDKVVSNLIKRSGQKAVNKSELKLPLVRVKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKISRKDQKEAKIDDSERLRPEELNQQNIEALVAEHNLKMEILPVNDLDIALHNFVNKDDKMAFYSCVRYNLEETRNKIARDSDSLKFDEKDIIVKVGESLQARNLYPT >CDP15433 pep chromosome:AUK_PRJEB4211_v1:6:4708799:4714737:-1 gene:GSCOC_T00043164001 transcript:CDP15433 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATH12 [Source:Projected from Arabidopsis thaliana (AT5G03910) UniProtKB/TrEMBL;Acc:A0A178USA1] MALLFQHTPPVYYSYSPSLHHLQLSRHRLSKRHRCIIPSCLKSSTPGANLNSTPHTLARLYPLTPYLQAQWQPVLYGWLCSAISVYSLSQIVPKVGRLSAVLTTLDAVTLRDQGLILGVLVLVRIISSYLQQAFLWDAALNCVYNIRISVYRRVLERDLGFFEGKNGVSAGDIAYRITAESADVVDTIYSFLNTIVPSTLQLLTMGTQMLVISPVLSLISALVIPVMALMVGCLGENLREISNRAHLSVASLSAYLNEVLPSILFVKANNAELCENVRFQLLASTDCSACLDKKKMKALIPHIIQIFYFGLLFTICAGSVVASRGSFGCSAIVSFITSLYLLIEPIQGVGKAYNELKQGEPAVERLFTLTSFIPQVIEQPDSVELDQVHGEVKFSGVSFRYEDSTQFVLNGVDFRIKAGEIVALVGPSGGGKTTVAKLLLRLYDPVCGVILMDGHDIRNIRLENLRRHVGLVSQDVTLFSGTIAENIGYRDLMADIDMERVEFAARIANADEFIETLPDRYQTNIGPRGSSLSGGQKQRLAIARVLYQNPSILILDEATSALDSRSELQVRRALERLMQNRTVLVIAHRLETVLMAQRILLLDDGKLQELSRSSLIDAQHTSLASLALVI >CDP14128 pep chromosome:AUK_PRJEB4211_v1:6:17900520:17908882:1 gene:GSCOC_T00040361001 transcript:CDP14128 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPRKGGGGRHDLNLASRKAEEAALRRFQATHWLECLMGPLGISSQPSEKEFIACLRNGLILCNLINKIQPGSVPKVVEKHSSLHSLFWDSQPLPAYQYFENVRNFLVAVEELHLPAFEASVFERDNIEEGSSTKVVDCILALKAYQEWKQMNGSDGFNKPPRSPFMIHSSCRPNTRPQGSSNSNSCRQLDMSTSHDKKPPAASENQKVQDLIIKALADHMVDTKENMDSNLVASFHKVNMAILVLKSLRTEIVIHNQGRSSSKKSRTCTPLGDLSSLVNQQCCRACLTKGFCNHWNLVEKQEKELMNLKILLSTTKKEFEGLQTQLNSDLKQLGGQLLEMSVAAQKYHRVVKENRNLYNMVEDLKGNIRVYCRIRPLFRAEKKSVIDFIGEDGSLVVVDPLKPQKDGRKIFQFNRVFGPAATQGEVFADTRPLIRSVMDGYNVCIFAYGQTGSGKTHTMSGPSGGTTEELGINYLALNDLFQFIKIRSCLGDNDLALPDASKHHVTSTADVISLMKFGETNRAVGSTAINNRSSRSHSVLTIHVNGEDVSGSRLYSCLHLVDLAGSERVDKSEVTGECLKEAQHINKSLSSLGDVIMALAQKNSHIPYRNSKLTLLLQNALGGHAKTLMFAHVSPEGDSFGETISTLKFAQRVSTVELGAACANKESSEVLELKAQIESLKKALAKKETYTPQRSKTKEAVKTPLAERTPPRPRRLSIENCSSIKLEKENLDDRKGSKTPFMQGRSRRLSLEGQRYAKKDPEQITFIDPLSKPLEHNGADFQNPTPLQNGAIVKKPLGNTGIGRSVQDIYRPSVHKSPTKSPIRSSMVKKDLQIGGLVKTVTSTDLQEPAQLQDTEVLRKPKGHAGNGCSVMDVNRPIVPKTPTKSAFRSPMGRTDTGIGSSVADISSLNIPKSPTKSGLRSPMVRIDTGICSSAADINSLNIPKSPTKSGLRTPMVKTSNRTRIPQLQQPKTPQPAAVTSRNESQKGIKSEHSVPSELITPGLTNTAHGKGSQIRKSLRSIGKLINGSEKRNQQKSIEPLAVSPFNGSTNTFEEKSPISSNSRALRRQSLTGIPPPDRSRRSSLGGNPSTDSYEKRNSKTPPPVRASTKLTKRWL >CDP10598 pep chromosome:AUK_PRJEB4211_v1:6:5252903:5254156:-1 gene:GSCOC_T00031366001 transcript:CDP10598 gene_biotype:protein_coding transcript_biotype:protein_coding MELVIHASELRPVDQVERTNLIVAWDEWNGSTAFSWRLSIVPPINVIQLQSRWSRDCFDFCDFATATACLSSLTSTRSTATLLVLHLQNYARGWYVHYIIFYLFISLLGLGEKSLID >CDP10582 pep chromosome:AUK_PRJEB4211_v1:6:5407450:5410139:-1 gene:GSCOC_T00031346001 transcript:CDP10582 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQRFGLSPLLLLLQLLFLVWWSESSSDTNSVYSPCSDAKVQRSDGFTFSIAFASRNNFFFNNSLQLSPCDRRLSLSSSNSQVAVFRPKVDEISLLSINTSNFFPDSYGGYMVAFAGRKYAARSLPAFVANGSYIVTSFTLALEFKKGRLQNLYWKRDGCTSCSGNSNFVCLNNQDCAIRTNSCKNRGGNVDCSLGIQLAFSGTDKHDAVFNSWYEVKNLRQYSLYGLYSNLRDSLTNQYNKFF >CDP10409 pep chromosome:AUK_PRJEB4211_v1:6:6841863:6844970:1 gene:GSCOC_T00031127001 transcript:CDP10409 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHTKDQAKEGEKEPRGQPVAKWEPTIQGYLKFLVDGKLVYDTLEKIVEISSFPEYAEFRNTGLERSERLAKDLEWFKEQGHAIPEPSTPGVEYARYLEELSEKDPQAFICHFYNIYFAHTAGGRMIGKKVAEKILNKKELEFYKWDGDLSQLLQNVRDKLNRVAESWTREEKNHCLEETEKSFKFSGDILRLILS >CDP02962 pep chromosome:AUK_PRJEB4211_v1:6:11126735:11128072:-1 gene:GSCOC_T00041401001 transcript:CDP02962 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDFCCSWNPSLFLLLIIVAFFNSSQSILAGNFDQDFAVTWGDGRAKTLNNGKLLTLSLDKASGSGVQSKNEYLFGRIDMQLKLVPGNSAGTVTTYYLSSPGSTRDEIDFEFLGNLSGDPYIVHTNVYAQGKGDKEQQFYAWFDPTADFHTYSILWNPRSILFYVDGTPIRVYKNLEARGIPYPKNQPMKVYASLWDAEDWATRGGLVKTDWSQSPFTASLRDFKADACVWSSGRSSCGSNSTKPWFSQELDATSQARLKWVQQNYMIYNYCTDAKRFPQGFPPECTANNSTA >CDP15647 pep chromosome:AUK_PRJEB4211_v1:6:32695495:32699378:1 gene:GSCOC_T00015580001 transcript:CDP15647 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDAAGSLIIPQPVTADGAVIKDQIKWFLRLVTERIMWWRCLVQFWGLVKIGEKMYLTTCDQPFALYCSYYFDGDVKKLCEYRKHCLEYLIPVGDEISADQIGGFPENAPGRVFRSGLPEYAPDVRDYTHREYPQRDYAVGRVRDYWALRIYHPTRHLPIGVLEIVSTGYSFIPRHAVLEKLQLLKAGANTLKLVNNTLIYLWLMDNVFAESTTSVESDNYLRKPPHGKIAIIDEALSKVRKICGLGYGVTKTWTISGEILSRHRGVDFIRKGQGVVGRAFSSKSACFCRDVRQLSITEYPLVVQARSYKYSACFAVCLQSSCSNNCIYVLEFFLPTNEEDEDEDKADYGEDEDEDKVDYGDPMTLLHSLMETLKECLGSSFQIASGQELGQKLTIEVIKVSPEDEFDSFEICNTTGIESTTRLGEVQGGEEMMRLDFSSQQIDAANGSMNVHGGEGMMQLDFSSQLVDTANACINGVHGQQSGIVGPPPRPEHAQGCVNISYQELNLAGVDVAHNSMNGIYEQQNGIVRSTIGQELVQNMVSIADHEPIVEDPERDDAIIEQSGNEFSILKIAVSRSTLKRICREYGIRRWPPLKERKVNQVFAKQKAVQPTTENTEENHQSDATRLEDDSSMWVIKAKYQEDKIKFELPSSARKIDLEKNIAQRFNLSRGSFKIKYQDELNDWILITCDTDLSFCMKTLHKLGRTTIEMLVS >CDP06623 pep chromosome:AUK_PRJEB4211_v1:6:2198126:2200114:-1 gene:GSCOC_T00023536001 transcript:CDP06623 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPAYLDPANWQQPSNHQAGNSSGNHPPLQPPAQQQPVPSFPPAQPHAGGGPGAVSIRPGSMAERARLANIPLPEAALKCPRCESSNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKATSSKSPVSSADRQTTSSSTGTVSSNSTPSANVLGLTPQIPPMRFLSPLSQLSDHYSPAGDISLNYGANNSAPLLGTSEMNFHIYPNNLLSCGLGGGAASLLPGGGIEQWRLQQAQQFPFLGGLEASPPGLYSQLQASSVEPSGFVGETSRHHVRPKLSSSILTQQASVKMEDNHQELNLSTQLMGIPGNHDQWNGTAAAWTTDLASFSSSSTTNPL >CDP06539 pep chromosome:AUK_PRJEB4211_v1:6:1545486:1548190:1 gene:GSCOC_T00023427001 transcript:CDP06539 gene_biotype:protein_coding transcript_biotype:protein_coding MQERDCRICHLSLESSSGTDSGIPIELGCSCKDDLAAAHKHCAETWFKIKGNKICEICNSIARNVVGASDVESRQQSNDTNSVATNAASEPGESAAEETRPTCLDGRFLNFILACMVFAFVLSWLFHFNVHS >CDP06442 pep chromosome:AUK_PRJEB4211_v1:6:793777:795527:1 gene:GSCOC_T00023298001 transcript:CDP06442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon responsive lysosomal thiol (GILT) reductase family protein [Source:Projected from Arabidopsis thaliana (AT5G01580) UniProtKB/TrEMBL;Acc:Q9M017] MASQKRTFAFVIFASLFIVLAVNQSAAAVAASPPSDQGSRSSSITFRGGRIRNSSVAAASGYPDDEEEDDDDVVVLSLYYESLCPYCANFIVNQLAKVFQTDLASIVKLRLVPWGNTQITPTNAWVCQHGPDECKLNMVEACAIYAWPNPRTHYQIIYCIEQLRLNNRWQSCFPTLGLTSTPISNCLSTGLAVKLERGYADETARLNPPHRFVPWVLVNNLPLREDYQNFVSYICKAYRGRLTPQACKSYKLEDNSVDMVNPIQACFPENATSWIQFDPSLEQPGTYN >CDP02835 pep chromosome:AUK_PRJEB4211_v1:6:12588026:12590407:1 gene:GSCOC_T00041224001 transcript:CDP02835 gene_biotype:protein_coding transcript_biotype:protein_coding MICRAIAAAAAPQRDRNFFLSLLKSTTNLSQLNQTHAQVILNGLSKDLIAITKLTHKLFDVKAISQAKLLFTSLSNQIPPDKFLYNVLIRGFSQNKSPLDSLSVYAHLREKTTLRPDNFTYAFVVSAVSSPELEKVGILLHGHVIVSGFSSDPFVGSALVDMYMGFSEVRCAYKVFEGIPERDTVLWNTLASGLVRNCFFYEAVCTFRDMVVEGTEFDSTTLAVVLTATAELQELRTGMMIQALALKVRCHFHDYVLTGLVSFYSKCGDVLTSRLLFGLLKKPDLIAYNAMISGFTGNNETESAVRLFKKLLVLGEKVNSSTIVGLIPVSIPFGHLELAQTIHGFCVKSNMVFNSSVSTALLTIYTRLNEMDCARRLFDESPDRSLASWNAMISGYAQNGLTEMAIALFQEMQMLDIHPNPVTITSILSACAQLGAPSLGKWVHDLIKKENFESNIYVSTALVDMYAKSGSIEEARRLFNAMTEKNDVTWNAMISGYGLHGHGHQAISLFHEMLDSGVTPTGISFLSVLYACSHAGLVEEGKKIFESMVHDHGFTPSAEHYACMVDLLGRAGKLQYALEFINQMPIHPGPAEWGALLGACMIHKDSNLARFASDKLFALDPESIGYYVLLSNLHSVDRNYPQAESVRQVIKKRNLAKTPGCTLIEVNGHPYVFKSSDRSHPQTAAIYAMLEKLMGKMIEAGFQTDTVIALHDVEDEEKELMVKVHSEKLAIAFGLITSQPGAEIRIIKNLRVCLDCHNFTKFVSKITERLIVVRDANRFHHFNDGLCSCGDYW >CDP10163 pep chromosome:AUK_PRJEB4211_v1:6:13673532:13674168:-1 gene:GSCOC_T00030788001 transcript:CDP10163 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAMEDFQDQDYESFEQSYNCFPVSHIKDREYLEDGNRIVMPPSALERLVYMDNIHGGGSQQVSHCGVLEFSVDEGFVYVPEWMMKNLQIHPGSIVVLKYVTLPRGSFMKIQPHRMNFIKLPDPKEILETALKDFACVTAGDTIMITHQYNRYYIDILETTLRMLFRFLRQIVRLILHLL >CDP03053 pep chromosome:AUK_PRJEB4211_v1:6:10102840:10105201:1 gene:GSCOC_T00041530001 transcript:CDP03053 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEVMDSGRFPAAQKSNFAHTCSRLSQYLKEKGSFGDLSLGLTRNFEPNGPPTKTMNLLPMIEKTGKNSDAPAAARTPSMPVNLFGTAFPKGEAQKKTDDSVVKAEPETAQMTIFYNGQVMVFNDFPADKAKEIMLLAGQGTSLHGVNTYATVNMVPKPAESATTNLVTSSPTIVPSFANNLVQESAQKPLQPNFNDFPIARKASLTKFLEKRKDRITARAPYPVGAAVSKPAESKTWLGFAPQFPVQMERHA >CDP16123 pep chromosome:AUK_PRJEB4211_v1:6:34021927:34023459:1 gene:GSCOC_T00017183001 transcript:CDP16123 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSSGGNDGAGPSSSSSAPEISGNNKKNKGIATAPNRTSLLSLNDLMTFRPLISPSKSNSQNGVCSDDDDDNSRPPAVRRYASTSSAAFISNHEDLLLQILLPLPPKSLIRFQCVSRQWFSLISSSHFCRLHSGMYRVFSPEVGLFLFRRIYGTSEFKALSLLQHDSSHSIGILASRFAHFLNADGQVLGLHCCNGLMWVDFYWNYEVRRYYVYNPTTNQYRQIPIPEIDRYSRRIEAVNIVFDPLTYDQYKLVCVFAKQIAGEEGWDEFIFWQYSSETQAWKDCGGIDTWDESNYSYYFEEGVFWDGNWDESNDSYYFEKGVFWDGNLYWVNSARSLLCFDLELECVRSLNVMNTYPPSPDGVVFYFGNSGGSLYLIDLYKPRDDFLNVFELEVDMGLGRYHSRWLLKYRVDLGLLTARYPLMSDEKFLNYREKEFPFGVLCFQVDKKTNKAKLIISLPGKIISYEISDMIFEELVEIDPAYVKFVRYNMSIYTWKDAFNHFETLSCV >CDP14347 pep chromosome:AUK_PRJEB4211_v1:6:35665688:35671429:-1 gene:GSCOC_T00040679001 transcript:CDP14347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 22 [Source:Projected from Arabidopsis thaliana (AT5G05080) UniProtKB/Swiss-Prot;Acc:Q9FF66] MLQKSERILYGQWISICWLDNFLLCQNFVTKVSSKVGCNRLRFSSLIMATNENLPPNVIKQLAKELKNLDEIPPEGIKVGVDDDNFSTIYADIEGPAGTPYENGIFRMKLILSHDFPHSPPKGYFLTKIFHPNIATSGEICVNALKKDWNPSLGLRHVLMVIRCLLIEPFPESALNEQAGKMLLENYEEYARHARIYTGIHALKSKPKFKTGGISESTTALKVDHLNNSAYSVDPKNAVSGAALPLPVPVVVPSANATNGGNNHDQLVDLPSAMDAGVGASATPCMQKKEVLFAKVPSDKKKMDARKKSLKRL >CDP09577 pep chromosome:AUK_PRJEB4211_v1:6:21039210:21042043:1 gene:GSCOC_T00029004001 transcript:CDP09577 gene_biotype:protein_coding transcript_biotype:protein_coding MAITDPEIVLQWKLPLNTLYYKRFFAYYAFMFWGSLMVRGEGVSSHMMPLDPMEGLDIFPNPRLLLAKLSVCCYDLAKTCFTSAIERDVYTGDKLEIFVLNVEGTRREYMELRKD >CDP02919 pep chromosome:AUK_PRJEB4211_v1:6:11563727:11568352:-1 gene:GSCOC_T00041340001 transcript:CDP02919 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMNKGLSLLAPQHSAPIDIIRSSSDFRWGLRRGQDAILSLQSRKIRPIRVLANPNVPPGRRRSSSKVVNMVDPLEAKRLAAKQMVQIKAKERLKRRREIEAINGAWAMLGLTAGLVIEGQTGHGILSQLAGYWAAFVGLFTR >CDP06253 pep chromosome:AUK_PRJEB4211_v1:6:24197930:24199243:1 gene:GSCOC_T00022977001 transcript:CDP06253 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHRACKEWGFFQLINHGATTVIEKMKLVTEDFFKLPLQPWIWSYICGIRGYGQKLDWGDMLFLRALPVSQRNMRFSPTTPISFKATMDEYSLQMHKVCITLFKLMGANLGVDPDKLCSIYQDGIQGIRMNYYPPCQQADKVIGLTPHSDATRLTLLVQVNDVQGLQIKKSDTWVPIKPIPGAIIINIGDIMEMSNEEYSSIEHRAIVDFHKERLSIAAFHGTNFTAKVGPLADLVKEIGPQYKTTETESLLRLYLSS >CDP02930 pep chromosome:AUK_PRJEB4211_v1:6:11425762:11429867:-1 gene:GSCOC_T00041353001 transcript:CDP02930 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLCMSMALSDFYSIHSNYKTRLFLHTESAEEELDVASAVLEMLKNQEVHGVLGPEWSKEATFVVELGARAHVPVISFTAKNRAFSHSPSPYFVRTTPDDLYQVKSLTAICQGFEWHEVVILYEDTEYGNLFFSKLSKAFQEVDIQLSYISAISTSAEDVYITKELNKLMTKQTRVFLVHMNILLGNRLFNLARKAGMMSEGYAWLITDSLSNSLSSVDTASMEGVLGLRPHVPKSKNLNNFRAKWKRNALLRKPQSEIMDLNVYGLWAYDTVWALALAIEKSLSPDSDLLKSSQGDDRSDISNITISQFGSRILAELLYTKFTGLCGEFKLVDGQLQTSAFEIINLIGTGYRTVGYWSPDGGISGKLASTAIMWPGDTIVTPKGWSIPTTGKIKVGVPKKSEFTEFTNIQKDPVTNQFNFSGLSIDVFLASLKLLPFKLDYEFIPYLNTSTGLNGSYDHMLHKILDKTYDFVVGDVTILANRSTFIDFTLPYTESGVVMVVKNKKNIDMWIFLKPLRWDLWLTIVLACIFIGFVVRMLEHQRNNTNTGSLTPNEQPFGLFFWFPIAALAFPERNMVANKWSMFVLVVWLFVAYILMQSYTAKLSAIFTVDQLNFAFSKDYYVGYQHASFVHEFLINELHLDASKLRSYSTIEEYHDAMCRGGKKGGIDAIYGEIPYMKLLINRYGSEYRIVGPTHKTDGFGFAFPMGSPLVIYFSRAILNVTQGATMNVIEQKNFGPGYAADQDSISQESPSLTAYNFGGLFIIILSASMIALFCSKTSIGQRFTAMISNCCHRCSRFLNSSGKESRVHSLSHRDATGDSPSEEANESEENNRNVSHGPGEVREAEVDVADISARQGEVHESNRTNENAASSGTSEIQLSESSNMQQPESSSSENA >CDP03077 pep chromosome:AUK_PRJEB4211_v1:6:9931046:9931911:1 gene:GSCOC_T00041559001 transcript:CDP03077 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRERTMGLILLVVLVLGNWRSSCGIGGANAQLSPSECNQERRLIENSCRPVAFGAQPSAGCCQLVRAAHVECVCPYVTPKLAALVGAQRMIKLVRGCGRPVPPNFRCGSITTPP >CDP10190 pep chromosome:AUK_PRJEB4211_v1:6:13388642:13390321:1 gene:GSCOC_T00030830001 transcript:CDP10190 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKFILLSLTLCVLHLNFCHGQNAVNAGYWFPDSGIEISDIDSTLFTHLFCAFADLDPESNEVTISASNAGPFSQFTETVQLKNPSVKTLLSIGGGNSNRDDFASMASQPTSRKSFIDSSINLARANSFHGLDLDWESPQSDLEMTNLGSLLDEWRAAVATEAQNSGKPQLILTAAVSYASKVDGLYQYPITSVSRSLDWINLMAYDFYAPDRPSTSTRCHAALKDPTGQASGSSGIADWENAGVGAKKLVLGIPFYGYAWRLANANNHGILAPANGAAGPDNGAEQYRAIRTFVAQTPGAVVVYNSTYVCNYCYAGTTWIGYDDTQTVSTKVSYAKQNGLLGYFAWHIGADDNFALSRQASQAWADGSGAGSHLTLLLASQNRAGIVE >CDP02975 pep chromosome:AUK_PRJEB4211_v1:6:11014699:11020058:-1 gene:GSCOC_T00041419001 transcript:CDP02975 gene_biotype:protein_coding transcript_biotype:protein_coding MLVANSFDLWQRDTFFSAAEEVQHSADIMESAYRTWLRERKEGMRPQIWDDLSRELQMALGTAKWQLEEFEKAVTLSYRRSSDEVTMTRHRQFVSAIENQISRVEAALSESFDVDGKQSLRWVNLDEKERDDLALFLSGPLWNSQSMSDGADKLGTNKTGSPQKRTRKNFNTNADIGVEGEKPNTASSRCIVDLGSVVELDMKGSPGTGDSMSHQQDMMLNAERIRSSPDSSKLEIVIDHRDGQMETKALNIEATPKEKGFKPAFWRPKGEDHPQAKGGVLSNSMWRRIQWMNQLFGRLPRIQRQSQTHQMVPFNCSIRFTLVFMLAVFLIVPFLFYSN >CDP10168 pep chromosome:AUK_PRJEB4211_v1:6:13637229:13641702:1 gene:GSCOC_T00030794001 transcript:CDP10168 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAARIRLNGWQRVAVALGSAAGALLDPRRADLIAALGETTGRPAFAKVLERMERSPEGRAVLKERPRVLSTEVGHAWDLPSNTFGAAYARFMGSRNFSPDDRPPVRFMETEELAYVAMRAREVHDFWHTLFGLPTNLIGESALKVIEFEQMLLPMCMMSVVGGTARFSEKQRRLFYQHYFPWALKAGLQCTDLMCVYYERHFHEDLEDVRRKWGIIPAPLPPRSDGV >CDP03329 pep chromosome:AUK_PRJEB4211_v1:6:7889357:7892063:1 gene:GSCOC_T00041874001 transcript:CDP03329 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPTPGGAPAPTSPPAPPANATSPPPAAASPPSPSPPASAPPPANPTPPASSPPPSSSSPPPPTTPAPASSPPPPSTPATPAPSTSPPPPSSTTPSPPSGSSPSPPSSGNTPPSTGGSPPSTGRSPPPPRGSTSPPSSSTPKAPPSSDSSGGGISTGVVVGIAIAGVAILAILSILCICCKKRKRRPHGPVDYYGAPPPPPPPGPKVDPYGAPAYQWQHNAPPPADHVVAIPPKVPPPPGGASRPPHSPVRAPSPPQPPPPGYISSSGGSGSNYSGSENPLPPPSPGMAFAFSKSTFTYEELAMATDGFSDANLLGQGGFGYVHRGVLPNGKEVAVKQLKTGSGQGEREFQAEVEIISRVHHKHLVSLVGYCITGAQRMLVYEFVSNNTLEFHLHGKGRPPIDWPTRMKIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDFNFEAKASLS >CDP15377 pep chromosome:AUK_PRJEB4211_v1:6:4295275:4298604:1 gene:GSCOC_T00043087001 transcript:CDP15377 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNPKQWREHHQGESDQEHTTPCAKIPRLHLDYSVYPQHQPPSGDSSALPLFVTEPTSISKLSSNLSAPCPNPTDSTPTPTKYPGEAGGMGSYYFSLAQWQELELQALIFRHMLAGAAVPPELLHHLVKKTLLNSSHHHHPYYAPHHHRHHYPPHFQSALLQSGYWGRASMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHMHRGRNRSRKPVEIPTTRTATPGNGGANVCGGILKNSSFLVAGDTPHSAISGSSPSIDILHLSQRSSESIVRSQNDVHGDDTRSSGQILRHFFDDWPRSLQESEDGGSNGTSATTDLSISVSGNATNSDFSLKLSTGDGDDTTDAENVERERSQSNWGVTGTWGANHVAPMGGPLAEALRSSTSNSSPTSVLHQLQRRSASETSYVST >CDP10211 pep chromosome:AUK_PRJEB4211_v1:6:13105636:13107400:1 gene:GSCOC_T00030864001 transcript:CDP10211 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLPSHDSIHIREVWNDNLEDEFALIREIVDDYPYIAMDTEFPGVVLRPLGDYKNFTDFHFKTLKANVDLLKLIQLGLTFSDEKGNLPTCGTGKYCVWQFNFREFNPNEDVYAHDSIELLRRSGFDFKKNVDNGVDAYRFSELLMSSGIVLNDSVSWVAFHSGYDFGYLLKILTCQNLPETQEGFFKLIKMYFPTVYDIKHMMRFCNHLHGGLNKLAELLEVERVGICHQAGSDSLLTCCTFMKLKESFFHGTTEKYAGVLYGLGVDNGPNST >CDP02979 pep chromosome:AUK_PRJEB4211_v1:6:10984443:10988417:-1 gene:GSCOC_T00041424001 transcript:CDP02979 gene_biotype:protein_coding transcript_biotype:protein_coding MVNINGGSEVDSSSSQRKGSVTHVIFDMDGLLLDTEKFYTEVQETILARYNKTFDWSLKAKMMGKKAIEAARVFVEETGINDKLTAEDFLVQREDMLRDMFPTSELMPGASRLIRHLHANGVPICVATGSHRRHFELKTRRHGELFSLMHHIVLGDDPEVKQGKPSPDGFLAAARRFEGGPIDPHNILVFEDAPSGVLAAKNAGMSVVMVPDPRLDNSYHEIADQVLSSLLDFNPKDWGLPPFEN >CDP02881 pep chromosome:AUK_PRJEB4211_v1:6:12133521:12134931:-1 gene:GSCOC_T00041285001 transcript:CDP02881 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDKSDKIYVAGHRGLVGSAIVRKLQSLGFTNLLLRTHAELDLTVQSAVDAFFAAEKPRYVILSAAKVGGIHANSTYPADFITTNLLIQTNVITSSYSHGVEKLLFLGSSCIYPKFAPQPIPESALLTGPLEPTNEWYAVAKIAGIKMCQAYRLQHKFDAISAMPTNLYGPNDNFHPENSHVLPALLRRFHEAKVRGDERVVVWGSGTPLREFLHVDDLADAVFFLLENYSGLEHVNVGSGKEVSIKELAELVREVVGFEGELVWDKSKPDGTPRKLMDNSKIESLGWQPKISLKDGLADTYKWYLENVQQ >CDP15418 pep chromosome:AUK_PRJEB4211_v1:6:4625353:4633679:1 gene:GSCOC_T00043146001 transcript:CDP15418 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQSLHVNASSANPGSLCKWGFSSLICVPHTIYKFLRAAPLLYYGPQRLSVQCGVRFRPCIDIHKGKVKQIVGSTLQDSREESSSLVTNFESDKPAADYAKLYKDDGLTGGHVITLGADPLSKSAAIEALHAYPGGLQVGGGINSSNAFSYLEEGASHVIVTSYVFSNGLMDLDRLKELANAVGKERLILDLSCRKKEGKYFVVTDRWQKFSDVCLDEKVLDFLARYADEFLVHGVDVEGKKLGIDNELVALLGNHSPIPVTYAGGVSTMADLETIKSAGMGRVDVTVGSALDIFGGSLPYKDVVAWHVQQDASAV >CDP10600 pep chromosome:AUK_PRJEB4211_v1:6:5235279:5237451:-1 gene:GSCOC_T00031368001 transcript:CDP10600 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRIDRGSLKPGDHIYSWRTAYIYAHHGIYVGDDKVIHFTRRGQEVGTGTILDFVLVSSGPSRGHVPCTTCTLTEEGHGVVSTCLNCFLAGGVLYRFEYAVNPALFLAKARGGTCTLAVSDPDDIVVHRASYLLNNGFRCYNVFKSNCEDFAIYCKTGLLVLDHSTMGQSGQAVSIIGGPLAAVLSTPLRLVTTNVYGMAATAVGVYCASRYATDIGMRSDVVKMPVEDLTQRLETGLLGVAIPSLPALPPTPVS >CDP15644 pep chromosome:AUK_PRJEB4211_v1:6:32590794:32595173:-1 gene:GSCOC_T00015576001 transcript:CDP15644 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRPVLTAPLLLEQESDSNYRAPNLLKRVLSLLANVRPGSDLTRFQLPPLFNLPKSQLQCHGESVYCTGGDLLSKCANGETSMERFISVVAWSVSTVRPLMFGVAPYNPILGETHYVSRGTLNVLLEQVSHHPPVSALHATDEKDNIEMTWCHYPVPKFYGTHIETEVNGRRQLKLLNKEETYEMNSPKLVIRLFPMLGVDWLGTVTIKCEETGIAAELHYRGNSFFPRPGIHRSIKGRIFMTSSSSKTIYEISGHWDRTVTAKDLNTGKSKIIYDAKEALSGVKTPIVKDSQGIWRTESTVVWGDVSQAILNKSWDKAKEAKSAIEERERERVKERKSQGQNWVPKHFTVSYSKENGWDCLPIQKSVPPAPIVAPF >CDP03279 pep chromosome:AUK_PRJEB4211_v1:6:8240156:8245160:1 gene:GSCOC_T00041812001 transcript:CDP03279 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIFSRLLTLTSYLTHKLIRFIKDLVFTDIRQHSEYSLVTCDQEVRSSYYGDSGYPEGTSTSSRYWDCSKGLFVPENADSEFKELTLSSSLEIVENNYSSGSINVVFSKGLMLPTFFLRFARRLGLTSWSLILSCVRCAQAKVLRFISRVRRTVHGSSDDIGWLQNDTEMAPVEDGSSRFLELLQGIRNGEHKLPDSFIYLLIPGLWKLLILNHGPSYFVSTKMAFSKMGLACHIAKIHSEASVEKNAWELKHYIEELYWGSGKRVMLLGHSKGGVDAAAAYQYIGMIWKTRLQD >CDP02883 pep chromosome:AUK_PRJEB4211_v1:6:12100967:12101479:1 gene:GSCOC_T00041288001 transcript:CDP02883 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGKVCLFAWLLWGSLGVQVTGDDSRIRCVCDKTGSNFYYCYDCLSGKKGFNSNGADFGGKSILCASDAFVIVRRTTLEFSLNSTGHFQGTTKVCFEKFDACMGYCRAALKAWRLKRKSDTIQFRNFGYDKYLDCAMLITDPISHEYVKQLTKAKSLIEVSLRIISLS >CDP03119 pep chromosome:AUK_PRJEB4211_v1:6:9564576:9580493:1 gene:GSCOC_T00041610001 transcript:CDP03119 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFVLSTSNSNSSSLSCTTSFLFALSGVPHPPLTRAGPTQPPLNNPKPASNFKTEKTFLQNKQTKAKFREEEIDSYGNSSMASPVNIIVGSHVWVEDPVLAWIDGEVTQINGQDVHVHTTNGKKVVANISKAFPEDTEAPPGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQKIPHLYDSNMMEQYKGTGFGELSPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQISTPERNYHCFYLLCAAPPEEKERYKLGNPKIFHYLNQSNCYELDGVNDGEEYVATRRAMDIVGINEEEQEAIFRVVAAILHLGNVDFAKGQEIDSSVIKDEKSRFHLDVTAELLKCDAKSLEDALIKRVMVTPEEVITRTLDPEAATGSRDALAKTIYSRLFDWIVEKINISIGQDPNSKSIIGVLDIYGFESFKQNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYEKEQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFAKNKRFIKPKLSRTNFTISHYAGEVTYMADLFLDKNKDYVVAEHQDLLTASKCPFVAGLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNELKPAIFENLNVIQQLRCGGVLEAIRISCAGYPTRRSFDEFLLRFGVLAPEVLDGSYDDKVACQMILDKMGLKGYQMGKTKVFLRAGQMAELDARRAEVLGNAARIIQRQIRTYIARKEFITTRQAAIQMQSCWRGILARKLYEQLRRQAAALKIQKNFRCYVAWKSYSTLQHSAITLQTGMRALSARNEFRYRKQTKAAIKIQAHVRCYRDWSYYKSLQRAALVTQCGWRARVARRELRKLKMAARETGALKEAKDKLEKKVEELTWRLQFEKRLRTELEETKAQEITKLQEALQAMQVQVDEANAKVIKEREAARKAIEEAPPVIKETPVIVQDTEKVEALTAEVEQLKASLNSERQAAEAAKKASIDAEARNSEQAKKLEDAERKVDQLQESLQRLEEKLSNTESENQVLRQQALAMSPTGKTISARPKTTIIQRTPENGNIPNGETKVAHDMTLAIASPKESESEEKPQKFLNEKQQENQDLLIKCISQDLGFSGGKPIAACLIYKCLLHWRSFEVERTTVFDRIIQTIASAIEVSDNNDTLTYWLCNTSTLLMLLQHTLKATGAASLTPQRRRSSSASLFGRMSQGLRGSPQSAGLSFLNGRVLGRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNNYLNIMKANSVPPFLVRKVFTQIFSFINVQLFNRQVLPSNRTTVYAKCFILLLRRECCSFSNGEYVKAGLAELEQWCCSATEEFVGSAWDELKHIRQAVGFLVIHQKPKKTLHEITNELCSELSIQQLYRISTMYWDDKYGTHSVSSDVISNMRVMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMQPVDVADIEPPPLIRENSGFAFLH >CDP12669 pep chromosome:AUK_PRJEB4211_v1:6:16890533:16892025:-1 gene:GSCOC_T00036390001 transcript:CDP12669 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGKILFTLGLYLQKNIISLLLAAIERSFLTCLWHFLCSVQPIATGKAMHCCPDFLLMLTLLCSVLIFSFIALFLRSLFLVLLFLIQIGQVSFFFY >CDP02983 pep chromosome:AUK_PRJEB4211_v1:6:10939969:10943154:-1 gene:GSCOC_T00041432001 transcript:CDP02983 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSQIDVAGGGFSSSQTTDSSRSSAKSRDAQPMVPVTVKQISDAVLSTDDKSSFKIDGVDVKNVMLVGMAFNKSEKVTDVQFEIDDGTGRIGCLRWINDAVDTKEVGRVEDGKYVRVHGLLKALQGKKQLQVFAIRPLNNYDELSSHFLACIHYHSFNTRRNGVIAPSQVHNPISAVSTPVNGHKSSSSNQFYGEYSTDGLKGIDKMVIEYLEQPSSVAQEKGVHRNEIAQHLKIPLEKILETIESLESEGLIYSTIDECHYKSTTCG >CDP14284 pep chromosome:AUK_PRJEB4211_v1:6:36331432:36332681:1 gene:GSCOC_T00040587001 transcript:CDP14284 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSLSSAFNITSNNTVRLSNNKLGGGCSLKVKKKVNVCCQSGKKAIKSSGSSSLITERSSTLMNADQGAALMETSLSLAPNGSTQTEIEVKDLVPYSHGTSTSLVERPADGIGITNFLGGKTFLVTGATGFLGKVLVEKILRTAPDVHKIYILVKAKNKEVAIERVKNEIIEAELFECLRQTYGKSYQAFMLSKIVPVIGNVCEANLGLDEDTTHMLAKEVDIIVNSAANTTFDERYDVALDINTGGPSRLMSFAKQCRRIQLFLQVSTGK >CDP06232 pep chromosome:AUK_PRJEB4211_v1:6:23383209:23389346:1 gene:GSCOC_T00022936001 transcript:CDP06232 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKKMSESGEEHGESKTKKRQLGGIKTLPIILANEACDKFASTGFHANMIIYLTKVLNLPLVKASNILTNFNGTANFTPLIGALIADSFAGRFWTIIFGSIIYTLGMVTITVFAFVPSLQPPPCPTQQNCKEASSLQLWILYISLLLQAIGSGGIRPCVVTFAADQFDMTKSKVEARKWNLFNWYYISMGVATILALTVVVYVQDSVDWGWGLGIPTIALGLSTMAFIGGSPLYRKVKPGGSPLVRLTQVIVAAFKKRNVVAPADSSLLYKNKVLDAGISSSGMLLHTNHLRWLDQAAIVTDRDIDESNQPNLWRLSTVHRVEELKSMIRILPIWAAAILLITSYSNQHSFTIQQARTMDRHLSPSFAIPPASLAIFSVSTLVIGLAIYERLFVPFARRITGNPTGITYLQRIGIGFIVNILATLVSALVEIKRKQVAAKHNLLDKPTAVIPISVFWLAPQFCLHGIGEVFVSVGLLEFLYDQSPESMKSIAVALSSISMSLGNYFGTILVSSVHKYSGKETNWLPDRNLNRGRLENYYWCLVLYI >CDP03274 pep chromosome:AUK_PRJEB4211_v1:6:8305284:8307769:1 gene:GSCOC_T00041802001 transcript:CDP03274 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKDLLPPVKSSGSTHYDHSNDPWFKQRYSAAESEKSAIIKANPVPPYLKRTGFKPSKLEDFGDGGAFPEIHIAQYPLDMGRKRDWKPGSKTLPITVDEHGNVRYDAIVRQNENAKKIVYSQHTDLVPMVVKDGQDEEEMDLDEKQKEIDETTQSTKTALEKIVNVRLSAAQPKNVPTQSSDSKFIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKEKKEMELRELARKARSERTGTAAPAAANMPSERGTDDDMVTDYDRVRDAPREKETKEEREERLQREKIREERRRERERERRLESKDAAMGKKSKITRDRDRDISEKVALGMATTGRGGEVMYDQRLFNQEKGMDSGFATDDSYNIYDKGLFTAQPTLSTLYRPKKDVDSDTYGGADEQLEKIMKTERFKPDKAFAGTSERAGPRDRPVEFEKEAEEADPFGLDQFLTEVKKGKKAMDKVGSSGTMKASAGSMRDGFEGSGRTRIAFDKGR >CDP14126 pep chromosome:AUK_PRJEB4211_v1:6:17878386:17881010:-1 gene:GSCOC_T00040359001 transcript:CDP14126 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVKEEKVFPTIKADDFPIEKEIKGTSDTMEAKPNGKRKLLEKIAEKKVFLLYLTLSPLSGNVVLLVSRISSEFSKLKFSISIRLLLTSLPLYMRCFMQLSLTSSYGSDIQDFETKKPRVKIYVDKESGRQKEDALVTFLKAGSKIPIFVTKARFKQKGFMEMFLPKKVDRRKKKKLQHLEQKMLGWGGKDDAKLLILATVILQYMFTPAEIKADENLRFELEEDVRDKCTKLSPLESVKVSIMVPVKCQ >CDP03220 pep chromosome:AUK_PRJEB4211_v1:6:8803345:8810518:-1 gene:GSCOC_T00041729001 transcript:CDP03220 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFFRRLTFLLTFHLTILNPSFPPASASPSDFSIIDYDAASDLFHRDYSPPTPPAPPHPPPLSCEDLKGIGSLNTTCQLNYSLNFTNDIYVPGDGNLFILQGVVLACPSSGCSIVVNITGEFRLNPSAKIVAGSVYIEAGNATLFTGSVINSTALGGEPSIITGTPTEAQGAGGGYGGRGASCVMDNKKLPEDVWGGDAYGWDWLMEPWSYGSKGGTTSKEDDYGGKGGGRIWIQVKDSVDVSGVLLADGGDGGTKGGGGSGGSIYVKTRKMSGSGRISASGGNGFAGGGGGRVSVNAFSRHDDPTLLVHGGRSFGCPTNAGAAGTFYDAVPRRLMINNQNMSTDTDTLLLAFPNQPLWTNVYILNRARATVPLLWSRIYGALRMSVKIYLMLNSKMLINGDGDAIVATSLLEASNLVVLKGSSMIHSNANLGVHGQGSLNLTGPGDLIEAQHLVLSLFYSISVRILIIIAFFWEAVTKLVSCVYSSMV >CDP10495 pep chromosome:AUK_PRJEB4211_v1:6:6085527:6088817:1 gene:GSCOC_T00031240001 transcript:CDP10495 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSHLDVSDTYRRKVFFNYEKRLRLQSPPEKVFDYFASFRSPSGEVFMTPADLMRAVVPVFPPSGATGVRGGYLKGEKSPGELNCAPSEFFMLFDTNNDGLISFAEYIFFVTLLSIPESSFSVAFKMFDLDNDGGIDREEFKKVMALMRMHNRQGAHHRDGMRTGLKVSSSVEDGGLLEYFFGKDGEGRLGHEKFVQFLRDLHNDILRLEFAHYDWKSQGTISAKDFALSMVASADINHINKFLDRVDELNKEPNLKDVCITFEEFKNFAELRKRLRPFSLAIFSYGKVNGLLTKQDFQRAATQVCGISISDNLVDLVFYIFDTNRDGNLSSDEFLRVLQRRESNASQPRGAGDKGLFSCWFECTKKSSSSEIFI >CDP03147 pep chromosome:AUK_PRJEB4211_v1:6:9316550:9323193:1 gene:GSCOC_T00041644001 transcript:CDP03147 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGFGTTRDHLFTFPPHSNRLRRRPLQSQTYRTLLSILSHCNALQSFPQPQVPDDNDDIDSNKSGEEAEHESLVNHNGMDLEMSLVQQKSPFNETKEVHGFQDGGADTLNEVDGLDPTDIKDQRKETVTQDNNVNLVGSSIVNNQTCEVPHEKDTCNVVDFHREFSPRRELNVFNEPFIKEVPETVSSSVDIDGIAGMSIPVESNDAMNPTMEGHLPKGLEHELHLKQKELEKLVSTSGNLDLSFGAIEDDEIEEGEISGEISEEMDLLYEDAVSLEDKKSGKVQISEHTDKEAFTGDDGDARLGDCDTRSSMFLDTVDSETERFRKEHTSAEVFSHSEETATKTLDGYDASLEIGLTAEQVSGVNKTEHPAISLENLSQHGLMHEDTAENKSSVDAKMEASVGKKKRKKGPLTKERREKKKKKERIKRAAKNRELGVKRLKLQPLSKPKTVTYCRHYLNGRCQEGEKCKFSHDTTPLTKSKPCSYFARHSCMKGDNCPFDHQLSKYPCNNIVTNGFCSRGAGCLFSHEISAKDGSLPTSNVTKPESKSPTLVSKTISKKQVNHQGVPHQHADAKFSVMANFSGKSTEKKASEHLDRPSAQTPKGICFISHVRSPPGDSSKHKQAVPHLKGDNSEKVSYSMTRNLEDSIQKSNDLAKGAPSKMPRGINFLSFGKRPLDESLNRDGVSESLLGQVSKSKLVDSPLKSEVHVKIDNQAAQSSADLELKVNKTANIVSPSSMPQAMKFLSYGKTPVDNPTAISQHDTLRSIVNMGLPLVQRKEYAPDGLKFFPAMPQRSASSSQPFDQSLDQPSAGGMLSFSKTSLLLNAPTSVQKALQSTLAFAAKLDSEIKLNQSLNTPSDMNKT >CDP10233 pep chromosome:AUK_PRJEB4211_v1:6:12844297:12846126:1 gene:GSCOC_T00030896001 transcript:CDP10233 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLNPSVNSATRDASRAMDVDVVVSKPFAVVDEITEGSPAAEDGVQLGDQLVKFGCVEFGENLLQRLAAEAQTNQGRAIPVVVLRQGARVSLAVTPRPWQGRGLLGWHIQII >CDP03016 pep chromosome:AUK_PRJEB4211_v1:6:10573448:10574493:-1 gene:GSCOC_T00041481001 transcript:CDP03016 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDFCNTKLALGIGTSSGNSVPKPDKRALSLDLSLVDEGQSSRNSEDNGGESRKKLRLSKEQTAVLEDSFKKHTTLNTAQKIELAARLGLKPRQVEVWFQNRRARTKLKQTETDCELWKNHCDNLREENGKLKKEIDDLKALRAAFYAQITNCVGISVCPSCKKVQGVATKGSSSGDGKHERNATAAAASGDVVQSPKYKHSGFKIRG >CDP03019 pep chromosome:AUK_PRJEB4211_v1:6:10542256:10547471:-1 gene:GSCOC_T00041485001 transcript:CDP03019 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLCPSNKIGSVIGKGGSIISQLREEAGAKIQVEEPVPGWDERVINKNDDEEEAGPVEEVRGGGGGEEDKETASAVQKALFLVVERMFEGDSEEKDGAGEDEGDKDTSFVVRLLVFSSQIGGLLGKAGSVIKQMALESGAQIRILPRDKLPPRASPSDELVQIGSVTGFESVASRLNEGLAKTYQCGARFCSLEGDFLWNVHFQKTLCELCGSVFSENIIPAAAVPLALILDFNFKPQHPARLLFGSTLLSRWSSITATPQRLPPPLLFKPSFRTLSSNHCSQWFKNEGDLYDKNGFLKPSLTDKVRGLLGCFAVLCNNNIEHNGGCNIQATQIFVVKGKVKLLYVTIHSLHENQK >CDP10484 pep chromosome:AUK_PRJEB4211_v1:6:6168769:6173409:-1 gene:GSCOC_T00031224001 transcript:CDP10484 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;5 [Source:Projected from Arabidopsis thaliana (AT5G20380) TAIR;Acc:AT5G20380] MARLVTLKADKSLWAESHYKTHHQASTFNSYLKQYYPLNVLPFKHTQHNLKFKVTCRVRDKEIENLIEAQKKVNRFRAIEELDQKEGLVKTLEDEFGEGKGDKSAGFEWNWPPLKNIPQRYKLIGTTSLAFVICNMDKVNLSVAIIPMSHQFGWNSSTAGLVQSSFFWGYALSQLPGGWLAKIFGGRKVLEVGVLAWSLATALVPFLAGYMPGLVASRILVGMGEGVSPSAATDLIARHVNTTGRTLTGSIICFWRLEFWKCYGVTISCVTCSEELSLNLTEAAWVSVLPPLASIFVTSIAAQLADYLITKGVDTTRVRKTCQTISFLSPASCMILSSLDLGLPPWEVVTILTGGLALSSFALSGLYCTHQDISPEYASILLGITNTVGAVPGIVGVALTGYLLDSTHSWSMSLFAPSIFFYLTGTVVWLTFASSKPQTFSKRD >CDP14111 pep chromosome:AUK_PRJEB4211_v1:6:17653104:17656941:1 gene:GSCOC_T00040336001 transcript:CDP14111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MACNSATCQSGGCYREDNGDKVDDQFPQPPPAVATESTQQRLSNGKNSDSAFCIKCKLKESMAAVFSSSSASAQVTGGGGGRGGASDSSRFCGDCFRSNLYGKFRLAVTSNALISPSDNVLVAFSGGPSSRVALQFVHEMQMKAQKNFDASRDRSLPVFGVGVAFIVESSSISIPADKFSQAVEEMRLVVSNLAPPVKDFHVVSTESIYSSDSNNGRNRLKELLNAVADVTGKEDLLVHLRMLALQKVALKNGYTKVLLGTCTSRIACRVLEATVKGQGYSLAADIQYVDARWEIPVILPLRDCLAQELNIMCSLDSLKTVEVHNDHHSSINALITSFVKLLQEENPSRESTIVRTAGKLTPFHFNRMPEPDDCNMQLASQRRQKKYNLKPNESLPPESFCRICNSPLKKSDVKSYKLENQIMSAEDISNGCCTSCQFQILPKDISLLEHFYSLLPQQMVARGEYSCLGEDRWLREQIQDCLLSDTEDGT >CDP14137 pep chromosome:AUK_PRJEB4211_v1:6:17993588:17994949:-1 gene:GSCOC_T00040373001 transcript:CDP14137 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPAQGHLNQLLHLSRLISSYDIPVHYVGTASHNRQAKVRVHGWDPLATSNFHFHEFSIPSYETPPPNPNAPTKSPTQLIPAFNASIKLREPVYALLQQLSGTTRRLVVIYDDLMPYVIQDVGLILNAEAYCFQSISAFTVYAFVWEQEGKPGISEPELLKPLEDLPTLESCFPQELMDFVKLQHDNKPITSGSLFNTCRAIEGPYLDLLAKSGITDSDKQWAIGPFNPVEMNEQKNLKKRHYCLDWLDKQAPNSVIFISFGSTTSISDEEAEEIAIGLDKSGQKFIWVLRDADKGDVFQGEDRRAQLPEGFAERTEGRGIVVRDWAPQLEILGHSSTGGFMSHCGWNSCIESISMGVPVAAWPMHSDQPRNAILLEKVLKIGLIIRDWSKQNELVTSITVENAVRRLMDSAEGEEMRQRARELSKAVKGSVMEGGVSRLEMDSFIAHIRR >CDP03044 pep chromosome:AUK_PRJEB4211_v1:6:10234796:10235914:-1 gene:GSCOC_T00041519001 transcript:CDP03044 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFSFLLSLFVLLLSSTASKTPKDLVHASCAHASYPNICLRTLSSYSGQANSLRGLAQAAVKVSISRSHKASNFLARLKGHNKRERGALGDCIQQMSESVYELTKTLSELQNLRLGSEFKWHLSNAETWVSAALTYEDTCLDGFREIDAQVRSDVKRAITNVAKVTSNALYLINRLDGSRG >CDP03058 pep chromosome:AUK_PRJEB4211_v1:6:10064682:10067789:-1 gene:GSCOC_T00041536001 transcript:CDP03058 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSKIVAKLNLKPHPEGGFYSETMRDSSIILSKSQLPSRYKVDRPISTCIYFLLPSGSVSHLHRIPCAETWHFYVGEPLSVFELNDEDGSIKLTCIGPDPVAGDQRVQYTVPPNVWFGSFPTKDIRISNDMKAVKNPARDFENHFSLVGCTCAPAFQFEDFELAKRSELVSRFPDYESIISLLTSPD >CDP10512 pep chromosome:AUK_PRJEB4211_v1:6:5980779:5981869:1 gene:GSCOC_T00031260001 transcript:CDP10512 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVEVARRRRFHQSGGWLDSSSASPSGHCSTRRSSFCLYVSNHESPLSSSTSIVPRSSISQAYCDQKLNDAAREAKQRLDGRLRAQWKSETKRGHSGRQRSRQSENRPTEVRELQTEVSGVKKSGSKRFSWVKLSWKSSEQDECAVCLEEFRAEEKLMQLACAHRFHSGCLVPWLENNAHCPCCRTEIVL >CDP02854 pep chromosome:AUK_PRJEB4211_v1:6:12402408:12405817:1 gene:GSCOC_T00041250001 transcript:CDP02854 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24090) UniProtKB/Swiss-Prot;Acc:Q8VZ55] MASSPISRTTTMVFSLGIKGPQQHHPVRTVHLSPFAKKTSLNLSSTCSISGFTPVVLNRLSTVASPSQISNPQFLTIVCAKGYKMKTHKASAKRFRVSGSGKIMRRRAGKQHLLAKKNTKRKLRLSKMVQVDQSDYNNVIGALPYLKVNRKAN >CDP02980 pep chromosome:AUK_PRJEB4211_v1:6:10981209:10982047:1 gene:GSCOC_T00041426001 transcript:CDP02980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA33 [Source:Projected from Arabidopsis thaliana (AT5G57420) UniProtKB/Swiss-Prot;Acc:Q9FKM7] MSNLDSQRQESLKMRWQERRLKAIEENQKINPTASPNFYTRLLGGGANPSPLRANPKNNIQMFPGGFDDDLVSALVPPLTVVLEGRSICHRISLDKHTSYQSLARALRQMFVDGDATGVPSDGELDLSNAIPGHLIAYEDMENDLLLVGDLNWSDFVRVAKRIRILPIKANSRKGKVEN >CDP11655 pep chromosome:AUK_PRJEB4211_v1:6:28551588:28553619:-1 gene:GSCOC_T00034061001 transcript:CDP11655 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSFFFLSKFWIVQGQLIIQILCFNTYQKWIKGREMGHAGHFFLALKTIPPRQVSALSPPIHSLKTPSPTFPSTPHISRLCPPLSQENCPPKIHNTHYTPNPSTSLSNSQLPSPSPLLNFTTTTTHTITKLITTLSLSHLSRLPQVKHKKNASNKRLKATTFIEHLNVKL >CDP03365 pep chromosome:AUK_PRJEB4211_v1:6:7560566:7569991:-1 gene:GSCOC_T00041923001 transcript:CDP03365 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHTSSSPTLPFHHSTFQPKACVHAVSSSFLSHRNVKSLWASFGHSSFSSITAKAASVEANASTVSLHTAESDVLKALSQIIDPDFGTDIVSCGFVKDLLVNEAVGEVSFRLELTTPACPIKDMFEQKANEVVAALPWVRKVNVTMSAQPAKPMFAGALPMGLQTISNIIAVSSCKGGVGKSTVAVNLAFTLAGMGARVGLFDADVYGPSLPTMVSPENRLLEMNPEKKTIIPTDYLGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVVDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCIAVVENMCHFDADGKRYYPFGRGSGSQVVQQFGIPHLFDLPIRPSLSASGDSGVPEVVADPQGEIASTFQDLGVCVVQQCAKIRQQVSTAVTYDRTIKVIKVKVPASDEEFYLHPATVRRNDQSAQSVDEWTGEQKLQYTDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQEMERLVDVPQLTPA >CDP03313 pep chromosome:AUK_PRJEB4211_v1:6:7978410:7980852:-1 gene:GSCOC_T00041854001 transcript:CDP03313 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSRVLSRLSSRLQPVALKLGGNKKASFPASISSSTASQSAAKRSSWISRLPVELSGAETMLPLHSAIASARLTSSLSIESRLWGLVPQGISLPL >CDP14300 pep chromosome:AUK_PRJEB4211_v1:6:36123506:36126693:-1 gene:GSCOC_T00040610001 transcript:CDP14300 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKAVAVISGGDSNNNVRGSIQFLQDFNRGAATLVKGRITGLTPGLHGFHIHALGDTTNGCNSTGPHFNPLKRDHGAPSDQNRHAGDLGNIIAGADGVAEISIKDIQIPLTGPHSILGRAVVVHADLDDLGKGGHELSRTTGNAGARVGCGIIGIQSSV >CDP19207 pep chromosome:AUK_PRJEB4211_v1:6:15604241:15607424:-1 gene:GSCOC_T00012657001 transcript:CDP19207 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPMS3 [Source:Projected from Arabidopsis thaliana (AT1G48140) UniProtKB/TrEMBL;Acc:A0A178WDH1] MKHILKILALLVAISACWIGLLQASVIPESYTWLLPLYFIVSLGCYGVLMVGVGLMQFPTCPQEALLLHQDILEAKDFLKNKGVDVGSN >CDP14200 pep chromosome:AUK_PRJEB4211_v1:6:18779040:18782011:1 gene:GSCOC_T00040461001 transcript:CDP14200 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSR1 [Source:Projected from Arabidopsis thaliana (AT2G41430) UniProtKB/TrEMBL;Acc:A0A178VWR6] MALVSGGRSTLNPNAPLYIPACMRQVEDFSQEWWNLVTTSTWFRDYWLSQNHVEDFFGSEDDGYDYEDTDVVDLLPDNIDLGMDEDDLTMEAQFEEFLRSSETGQGYMSSFSADKGMPANGFSSDSGALIKNFKLSMEKGSRSPAEQAKFLEKPAKFISSKCSPRRIQQPR >CDP03408 pep chromosome:AUK_PRJEB4211_v1:6:7155294:7159224:-1 gene:GSCOC_T00041983001 transcript:CDP03408 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP734A1 [Source:Projected from Arabidopsis thaliana (AT2G26710) UniProtKB/TrEMBL;Acc:A0A178VRF1] MEEEKLLHCLKLLLISFLLSYFMHKVLVLLWWRPRKIEEHFSKQGIRGPPYRFLVGNAKELVSLMLKASSQPMPFSHNILPRVLSFYHHWRKLYGATFLVWFGPNVRLTVADPDLIREIFTSKSEFYEKNEAHPLIRQLEGDGLLSLKGAKWAHHRKIITPTFHMQNLKLLIPMGASSVVEMLDKWFAPANGSGEGNEIEIEVSEWFQNLTEDIVTRAAFGRSYEEGKAIFRLQAQQMVLASEAFQKVSIPGYRFLPTRSNMKSWKLDKEIKKSLMKVIDQRKENWGSEIKENGPKDLLGLMIKASMKEASSSSSWARESNADSNSNLCPFPSSVITVKDIAEECKSFFFAGEQTTSNLLTWTTVLLAMHPEWQDRARDEVLKVCGPRDIPSKDDLVKLKTISMILNESLRLYPPIVATIRRAKADMELGGCKIPSGTELLIPILAVHHDHALWGNDANEFNPARFSEGVARAAKHPVAFIPFGLGVRQCIGQNLAILQAKLTLAIMLQRCTFRLAPRYQHAPTVLMLLYPQYGAPIIFRPLSHPVTPQNRRS >CDP15307 pep chromosome:AUK_PRJEB4211_v1:6:3716201:3719248:-1 gene:GSCOC_T00042987001 transcript:CDP15307 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTTALLGLASFLILLVSILVSLYPAHHSSINTVTSFNDDTSRLKLTFVRRMIKKSGSEDFMDIIQELARRRSRHHHSHRRRKKSKNSCDDSAWKSKLIATYGVSLVLTVDSKGCANFSSVQKAVDAVPDFSLGRTLIIVDSGTYSREKVMVSANKTNLMIQGQGYLNTTIAWNDTANSTGGTAFSSTIAISSTNFVAYNISFRNTAPPPSPGVVGAQAVALRISGDKAAFFGCGFYGAQDTLNDDRGRHYFKECFIQGSIDFIFGNGRSLYEDCVINSVAKEVSSGISGAITAQGRDSTSDNSGFSFVNCNVEGSGKVWLGRAWGSFATVVFSKTYMSDVVSSDGWNDWRDPSRDQTVFFGEYGCFGPGANYTFRVSYGRQLKQSEAAAFLDVTYIDGQEWLLPPINGSLDHFGKAFEMASI >CDP02903 pep chromosome:AUK_PRJEB4211_v1:6:11712758:11716556:1 gene:GSCOC_T00041313001 transcript:CDP02903 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRSPCPLNSKVHPVVTTTTALIATHCHRQLPPPFLSLSISSKLYNHQQTPQPTTKESAPQKIRIDKSFLDVSEATSDTELWAAACLRVRTFYDFQDQDFGIQDHKRYLAEHEYKALKERIAGTRLGFKKVSCINATLPWSQVATISDDLCKSCKFSKGQEERVVVGTLDLNQCIRLPDEITGMKPKGIGADFARAYLTNVCVAKELQRNGLGYDVIAKAKIVARNWGISDLYVHVAVDNEPARNLYLKSGFVRENEEPAWQARFLDRPRRLLLWIGLPITYEL >CDP03080 pep chromosome:AUK_PRJEB4211_v1:6:9907753:9911702:1 gene:GSCOC_T00041563001 transcript:CDP03080 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHQKPPSYSTHTDWYSDRLQSLTSSSRPDSIVYSYTTAYHGFAASLDPKEAESLRQSDEVLGVYEDAVYQLHTTRSPEFLGLDSELGLWAGHTPQYLNQASQDVIIGVLDTGVWPESKSFSDAGLPGVPSRWRGQCESAVDFNPKVHCNKKLIGARFFSRGYHMAAGEKPSRETESPRDHDGHGTHTSSTAAGSAVGNASLLGYASGTARGMAVHARVATYKVCWTSGCFGSDILAGMERAILDGVDVLSLSLGGGSGPYYRDTIAIGAFSAMEKGILVSCSAGNSGPAKASLANLAPWIMTVGAGTIDRDFPAYAVLGSGQKFAGVSLYSGKGMGRKSVGLVYNRGNNVSSNLCMPGSLDPSQVTGKVVLCDRGVNARVEKGGVVRDAGGIGMILANTEASGEELVADSHLLPAVAVGRKVGDVIREYVKSNSNPTAALSFGGTVVNVKPSPVVAAFTSRGPNMVTPQILKPDVIGPGVNILAAWSEAVGPTGLQKDTRKTLFNIMSGTSMSCPHISGLAALLKAAHPDWSPSAIKSALMTTAYTKDNTNSPLRDAADATISTPLAHGAGHVDPHKAISPGLVYDATPDDYVAFLCSLNYAPEQIQSIVKRPNDAFGSIAWTNAEHQVRSPVAFSWTQSGGLQ >CDP10082 pep chromosome:AUK_PRJEB4211_v1:6:14794975:14798683:1 gene:GSCOC_T00030683001 transcript:CDP10082 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKKTARVSEENLEEEIPENQSKLEASSPTEKTLYEILGVERTASQQEIKKAYYKLALRLHPDKNPGDEDAKEKFQQLQKVMSILGDEEKRALYDQTGCVDDADLAGDVCQNLKEFFQTLYKKVTEADIEEFEASYRGSETEKKDLIDLYKMHKGNMNRVFCYMLCSDQKLDSHRFKDYLDEAIAGGALKSTKLYEKWAKQVSETKPPTSPLKRRKKVLSREKKNSEDLHAIISQRQNERMGKINSMLSTLVSKHGGEPEPSEEEFEAARRKLESRKASKRK >CDP03293 pep chromosome:AUK_PRJEB4211_v1:6:8151048:8152931:-1 gene:GSCOC_T00041830001 transcript:CDP03293 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVEKMVAVGLVWGATNALMRKGAIIWDQKLKSTPQPSAPRHPLISTIKNWLNLLLTWQYSLPFVVNLSASATFFAILSDTPISLAVPVTNATTFAATAVFGMLLGEEIHVGLALFGTFLIILGVYLCVM >CDP09538 pep chromosome:AUK_PRJEB4211_v1:6:20083458:20084380:-1 gene:GSCOC_T00028952001 transcript:CDP09538 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQETSEVTNSTKYELKNGENEGERLNPTIPMGTDVSESSSNAIVTAATAVSATPLAKEGYANGASSSSSRVRAPPPFLLKVYDIVKNPETESIISWSSSGTSFIVWDPHRFVAEVLGKYFRHNNFASFICQLNTYVKRSIGIDWNSRMRGSKKGRRVG >CDP17642 pep chromosome:AUK_PRJEB4211_v1:6:31249792:31253905:1 gene:GSCOC_T00001549001 transcript:CDP17642 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAFNTQTHFHFPISKVIPPRRQRQCSANDLVLLSQFSKEECFSSNMRWKGQPVQERTKIKQLHALRVISSGLEDSQASSSQFEEFSVTPCKTDKANELKISMVVEGKRTQAIFDDVFSSMVADAQPIPGFRRVKGGKTPNIPKNILLEILGPSKVYNQVIKRIISSTISDYVQKEGLSVGKDLRVQQSFEDLEATFEPGDQFKFEAILQCQD >CDP02925 pep chromosome:AUK_PRJEB4211_v1:6:11473417:11479743:-1 gene:GSCOC_T00041348001 transcript:CDP02925 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYNPKWLTLTIAFAVATLSASTVYFWRRKSKDGEEARIRGLEASLKNALEKCAAERQGRIKAQQALRKALVQQNSESYELSSYPMAPIAVIQSCFSTRVYKQKEGRKEKSYLYCIVVKDSKKSPGSLILKIQKWDTKTTFTCLERYSHCWILYVFHLNTDLEKLWKHPSQSKFKAKVRVPRLKGERIGVFATRSPHRPCPIGLTVAKVDSVEGQTVLLSGVDLVDGTPVLDVKPYLPYCDSISEAAVPEWVKADNLLAVASINFSRGFSDGLADCWPVVEKRSLYTSQHEFEGLIKQVLSWDIRSVSQRSRPHNSITRTGIDSINDTAAKLEDHEDDEISGQGGGGESISSDDVIYHLILDGLDVSYRIDCDSNVIVEKASLCLSQNETTNRISVHDSV >CDP06209 pep chromosome:AUK_PRJEB4211_v1:6:22457889:22460587:-1 gene:GSCOC_T00022891001 transcript:CDP06209 gene_biotype:protein_coding transcript_biotype:protein_coding MHYYFLQIMKHVSVSEATRLNLPFAFLRSRKPASARAMGKFSTSFNLSWMFPVDSGFYYLMRLHFCEIIPDLIAADYDRVFIIFISNITADPVADVIRWAGGPGIPVLRDYSVFVPNPPDGRQTKQGLFLALHPNLDVKPKYADAILNGLELFKLITSDGSLGGTNPNLSRDPNSLASNKKSPIKGSPGKPRVLFAAIGGGVSVVLILGFLIFRWRWKRRVKDTGASGSSSLRRFLLEEIGSATANFDAKFVIGTGGFGNVYKGHIDNNLTTVAIKRLNPSSRQGAREFQTEIEMLSKLRHLHLVSLIGYCDEKGEMILVYDYMANGTLRDHLYRTDNPPLPWKKRLQICIGAAKGLDYLHTGTKHTIIHRDVKSTNILLDETWVAKVSDFGLSKLGPSGIYSHISTQVKGSFGYIDPEYYTRQQLTDKSDVYSFGVVLLEVLCGRAPIILDLPQEQVNLAEWAKKCYKKGIIHRVVDPDVKGEIAPQCLRIFAETAINCLKDQGIQRPGMDDVVGRLEFALQVQEAAENEGGRPDPFPLHMHGGDVQNMTDDDTDVISESEGDQD >CDP06365 pep chromosome:AUK_PRJEB4211_v1:6:110753:112628:1 gene:GSCOC_T00023184001 transcript:CDP06365 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKHMLQRVIDILQRRDTYEIFAEPVDPNEVEDYRIIKEPMDFGTMRAKLHEGMYDSLEQFQHDVFLITRNAMHFNSSATIYFKQARAIDELAVRVFHVLGTDPENFEVEFSGTRRRSTRRAHNEANRLTKHVKASSVAANFYSKGTLSSLDTSVVGKANRRKPLVASSTAFHTNKNSCGLFSGEPIYLAKDDASLTLFFHFLQPIMKA >CDP02902 pep chromosome:AUK_PRJEB4211_v1:6:11717852:11723496:1 gene:GSCOC_T00041312001 transcript:CDP02902 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRKNTPITTNSPTNNSTQNLSLSQDGNEKKKTHIPKSRFLLNKVFLACLVTRVVNALLIQTYFNPDEHWQALEVAHKLTFGYGHLTWEWNRGIRSYLHPSIFALLYKVLAFLHLDTPWFMMKAPRLLQSIFSAVGDLYLYKLSKILFGEHVARWALFAQLANWFIFFCITRTLSNTLETVLTLVSLYYWPCIRASSGEDPLAPRRWGLALAALACAIRPTSAIIWIYVGLLELFSTLHRVKFIFMEVIPIGGLVLGLTFLLDRMMYGSWVFVPLNFLKFNILSSGGDYYGTHPWHWYFTQGFTVMVFTFLPFVVAGIVISKEWKLSGLIAWVVGIYSILGHKEFRFVLPVLPIALMFSAVALAKLGKTNVSYGQNKASQSAATRSSIKTKLAVLFLLGTNLPMALYMSMVHQRGSEDVMYYLSREAVNGEITSVLFLTPCHATPYYSTLHHDIPMRFLDCTPSEEKGYLDESDKFMMDPVGFTSEFAKNWSIPSHIILFDSQEKLLKEFLASHQFHEIKRFFHAHFKVDRDLQASVVLYAFRGH >CDP03002 pep chromosome:AUK_PRJEB4211_v1:6:10753539:10754629:1 gene:GSCOC_T00041459001 transcript:CDP03002 gene_biotype:protein_coding transcript_biotype:protein_coding MALRMHYVAKAVFRFIEYQKFALTIVDSATAIYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNKLLPKWMVLPFLLGHKSNQLVATSWPMLQQQGLLLEREGLRSAFGVTDVKD >CDP10517 pep chromosome:AUK_PRJEB4211_v1:6:5923178:5929414:-1 gene:GSCOC_T00031269001 transcript:CDP10517 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNKKKFNGKSKSRKPHRNFSSSARGQALFVEGGVLADWSSSFNSSPSREKNLNGGNGVSGSSKRSSNGKGRKGLNSGSGSVSGSGSRSESSKTRGHAIGYVYPSLDAQEGSFANGYEERESKLKNSCPIVLVDSEDTPIVAYIDEGPSKHIQCSEYNYDYTMGFTLDESSHRGLGFHNEAEETTAGIGMSANAEEKEDFYDSSASKEELNVDDENVSGDVEDEFLAETLSTGENSGFLSIGGLKIYTRDISDDENDESDGSEEEGLLDEESLESSESEDTTETSDSEESSDSSDIDDEVAADYFEGIGGSENIVDVDQLVGRNRRSSPDNGLLIDRLDDTLEKFGGIALQEASREYGMMKPQSSKRSLVKDSKISAGKSAWSSALDDIMAVKDPRTISGRKKHYAKFPKSWPFEAQKSRKFWNSPGEKKKHRKEMIAIKRRERMLGRGVDLQQINLKLQRMVLDGVEILSFQPMHSRDCSQVQRLASIYRLRSASQGSGKKRFVTVTRTQHTCMPSSSDTVRLEKLIGTNDKDLDFTVYDMDSVKRDRKTPKKTSKGAKSTLDKLQSEGNKKKRSGKRASFAAQPVSFVSSGIMDSDMVEHSAIETSETSDNCEEKKHASSSIKYGAFELHTRGFGSKMMARMGYVEGCGLGKDGQGMAEPIEVLQRPKSLGLGAEIAETSDKLAKKECRPTVSGLSSELPGTRNKLGKKESAQFASFERHTKGFGSKVMAKMGFVEGMGLGKDSQGMIRPLVVARRPKSRGLGATG >CDP03314 pep chromosome:AUK_PRJEB4211_v1:6:7972637:7977800:-1 gene:GSCOC_T00041855001 transcript:CDP03314 gene_biotype:protein_coding transcript_biotype:protein_coding MINSGAPQRKRGDCTCSTPVNENSVPCTTISRPRMASFTCPRLQQSRLQLLSFAHCNYSSALITFLNVSTTKHAFDRRFSPFLQVNAHVAPLEARLPKNTHHNVSRNNHKAIGQNGSGVSADSLRDRRIGESFQKSSHFDAAVADNVEKRVILKDRHAKTRLNPKSRTVTSPQFSSTRSKDGNTGKYVKKVQGNGQGTSKKERKPENEDQVNQLEVERVGKGSKKSKADTPGTVLRAGLDMCSKRGDVIGAIRLYDLARKEGMNLGQYHYAVLLYLCSSAATGVVQPAKSGSGNRSLNPLGLSKEINSENKEGLDFNGFPAKVNNPVSFSNDSKLPYPQSLDEMVQFMKSDTEHANKDVMGEEGSGIQVTLDVKRYALKRGFEIYNNMLFDKVPMNEATFTSVARIAMSLGDGDLAFDVVKQMKELGINPRLRSYGPALSVFCNNGDVEKAFMVEEHMLQNGVCPEEPELQALLRVSVETGKSERVYYLLHKLRTSVRQVSSSTADLIEKWFRSKVASRVGKRKLGQRLIKKAMENGGGGWHGLGWLGKGRWTVSRTSVGSDGLCRCCGEKLVTIDLDPAETEHFARSVTSLAIQRDKNSNFEKFQKWLDYYGPFEAVVDGANIGLYTQRKFKPSKARFSIVNAVVNGLRQMLPSKKWPLIVLHNRRLTGDKMNEPVNRALIEKWKNADALYATPTGSNDDWYWLYAAIKFKCLIVTNDEMRDHLFQLLGNDFFPKWKERHQVHFSFSETGPVFHMPPPCSVVIQESEKGHWHIPVVSEIETHGEGTWLCVTRSHPLKRGLDSSNVDKELHLPHGKGNQRSVGSQKRRQIKLEPTGYSSHDGSKQAPQKTYQNLKNILSSSLLADYRSITPQLEAAENCGGCIIDFQI >CDP06405 pep chromosome:AUK_PRJEB4211_v1:6:425939:426838:1 gene:GSCOC_T00023237001 transcript:CDP06405 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAPAASPSFVAHFPVRYYHYFYCEVPRAKKDKIKMLSNGPDFPKKMSLFHIQPDDKFFSRLVSKENASANPSFRVYYGDLSGAVPFTWETRPGTPKHTSFSDSTSLPPLTPPPSYHTNTSHSRSTDKSTKKQPRSKLVLRSLLARMISLNRAEYLASPPSSLSSLSSLSSFRSSSHSSSFSGTITPSSFRGRRRRFSSWGSSLDDEGEDDHLQMPSGSGSPKSRFCFPARRSTISGGNDQGGNSVAVVTRKKNLLSIIGCGSG >CDP03230 pep chromosome:AUK_PRJEB4211_v1:6:8744750:8750438:-1 gene:GSCOC_T00041741001 transcript:CDP03230 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSLQSHQLGSGISSSDLIDAKLEEHQLCGSKHCPGCGHKLDGKPDWVGLPAGVKFDPTDQELIEHLEAKVEGKESKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWTERATTNAGEGTNDSITRRDSGGGSGSCSSREIMTSHGDELSAAAVGAAISSYGALDIQQLKADQFSFLPYRKSFDEGGIGEPSIVREGPGVAAAAAAAVGTCEERDFPEHQRQHHVTHELHHQHHHQQQIATTAFHITRPSHSISTIISPPPLHHTSVILDDDSFHHVSRLMLPTDNFQQHQQQQQQPQQQQHHKLGGRSASGLEELIMGCTSTDIKEESSITNPQETEWLKYSSFWPDPDNPDHHG >CDP10447 pep chromosome:AUK_PRJEB4211_v1:6:6489256:6491332:-1 gene:GSCOC_T00031176001 transcript:CDP10447 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAYSLEEPER [Source:Projected from Arabidopsis thaliana (AT3G42170) UniProtKB/TrEMBL;Acc:A0A178VJS5] MPGRICLTLDMWSSCRGLGYVFLSGHFIDSEWKMHRKLLNVIMEPYPDSDAAFSHAVAACLSDWSMEGKLFSVTINQSLSDAAVDNLRALLSVKNPLVLNGQLLLGNCLARSLSSIAQDALTSVFGTVKKVRDSVKYVKTSESHEEKFLELKQQLQVPSTKVLAIDDLTKWNTTYEMLLAASELKEVFSCLDTSDPDYKEAPSLEDWKQVETLCTYLKPLFETANLLTVPTVPTTNTFFHEAWKIQLELGRAAGSEDPFISRLTKSMQEKFDRYWKSCCFILAIAVVMDPRFKMKLVEFSFSKIYNEEAATYVKIVDEGIHELFVEYVALPLPLPLTPTYVEEANNGTVKAEDPQGGNLLASNGLGLTDFDVYIMETTSQQSKSELDQYLEESLLPRVHEFDVVGWWKLNRMKYPTLSKMARDILSIPVSTVPVGSVFDTVGKEMDRYRCSLRPETVEALICAKDWIQGVSVDTSIVPVKMEVPI >CDP06403 pep chromosome:AUK_PRJEB4211_v1:6:408066:411952:-1 gene:GSCOC_T00023234001 transcript:CDP06403 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSLLLFFKIVKSNHQSIPELKLAKRPFFSLVFPPLQLYCIHQIFCKNSEMDVWVPFFLFLLSNCWGANARELVTTNLFRSQSGIASDFQLNGQQPNKEVQTADGFDQNDQVCMLCEEFAVEAVNYFANNKTQTEILEILYKTCSKMHTFKQQCTSLVDYYAPLFFLEISSVQPKDFCQKVDLCEDIVSISQSLSKNSCELCHTVVSEAITKLKDPDTQLEIVEALLKACDAVQGHVNKCKRMVFEYVPVILVNAEQFLETKDICTMLHACESAAPTAQVLSSTSETSLRAAS >CDP11667 pep chromosome:AUK_PRJEB4211_v1:6:27823030:27825162:-1 gene:GSCOC_T00034090001 transcript:CDP11667 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDGCEKRIRRAISKLHGKIFSKFFDVDMDKQKVTVVGYVDRRKVLKVVRRTGRKAEFWPFPYDSEYYPYAAEYLDESTYSSTYNYYMHGYNESMHGYFPDLPYSTLDDKFAYSFSEENVHACSIM >CDP02934 pep chromosome:AUK_PRJEB4211_v1:6:11379713:11381415:1 gene:GSCOC_T00041358001 transcript:CDP02934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein CONSTANS-LIKE 5 [Source:Projected from Arabidopsis thaliana (AT5G57660) UniProtKB/Swiss-Prot;Acc:Q9FHH8] MGRVAEDGGGTGVVANNRGVPAAWGLVAKPCDCCSSAAALLFCRTDSLFMCMACDSKMHATNKIGSRHERVWMCEVCEQAPASVTCKADAAALCVTCDRDIHSANPLARRHERSPVVPFYDTAESVVKSTAATLLVPLPPPAVDNSSNTGANNTVNDTCHGHDAKMTTCFAHESYMSDPWISSNPMNSKLPTDAPEFKSVEFLFSDSDNYLDFDYRISSGARIQQHYTSSGTDGVVPVQTTKPPILPAQLPGHHEPSEKHFEIDFTKSHISSYTPSYTSHSLSQSVSSSSLDVGVVPDGSSVSEISYPFGRNLSGSTADLSGSSSGGNNQGSQLPGMDREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRAEAIESEIDQMFTCPGSAAFFPESRYGVVPSF >CDP06201 pep chromosome:AUK_PRJEB4211_v1:6:22311135:22315513:1 gene:GSCOC_T00022877001 transcript:CDP06201 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEVASRLEPWHELRGKVVMVTGASSGLGKDFCLDLAKAGCKIIAAARRIDRLETLCDEINRMSFNSDESGTSELRAVPIELDVSSNGPAIEAAVQKAWDAFGRIDALVNNAGVRGRLHTPLDLSEEEWNNIIKTNLTGTWLVSKYVCIRMRDAKQGGCVINISSISGLNRGQLPGGLAYASAKVAINTMTKVMAMELGEHKIRVNSISPGLFKSEITEGLMQKDWLKNVALKTVPLRTYGTADPALTSVIRYLIHDSSEYVSGNNFIVDAGTTLPGLPIFSSL >CDP14338 pep chromosome:AUK_PRJEB4211_v1:6:35773161:35783537:1 gene:GSCOC_T00040668001 transcript:CDP14338 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPQPCGVLLFFLVVLCASFNSSATGIEANQSAWLSVDAAQASARKIPETMFGISFEEINHAGAGGLWAELVSNRGFEAGGESIPSNIYPWSILGDESLLIVSTDRSSCFERNKVALRMEVLCDNEGPIICPAEGVGIYNPGYWGMNIEQQKTYRVLMYVRSSESVNITVSFTGSNGARTLATSNIVSSDVSNWTMIEVLLEAAGSDPNSRLQLMTTRKGVIWFDQVSAMPLDTFKGHGFRQDLFQMLADLRPRFIRFPGGSYVEGEWLRNSFQWKVTVGPWEERPGHFNDVWQYWTDDGLGLFEFLQLAEDLGSLPIWVFNTGISLNDQIDTTRILPFVQEILDGIEFARGDPNSTWGYVRAAMGHPEPFNLRHVAIGNQDCGKTNYHGNYLKFYSAIKNAYPDINVISNCDASSTPLDHPADFYDFHVYGDANYVFASARNFDRTARLGPKAFVSEYAVTGKDAGNGNLLAALAQAGLLVGLERNSDAVEMASNAPLFVNVNDRRFNPDAIVFDSSKVYGTPSYWMQTFFKESNGAMLLNSTLGAKTSASLAASAILWKSSDDGRQYLRIKVVNFGSSTVNLKITIDNLDSNLIQAIGSTQTVLKSSSLMDENSFKEPHKVIPVQSPLKAAGKSMAVLLYPHTFTSFDLLTLLTMSQAI >CDP09568 pep chromosome:AUK_PRJEB4211_v1:6:20894431:20904274:1 gene:GSCOC_T00028995001 transcript:CDP09568 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIANPSLLILHHHHHLRPRRFATALLPQTLLSLLSSSIRASTLRPHSTSSSAQTQPLSTDNARTGRSGSISSPPVQPDIAQKIDINPPKGTRDFPPEDMRLRNWLFNNFKEVSQLYGFEEVDFPVLESEALFIRKAGEEIREQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLPLPLKWFAVGQCWRYERMTRGRRREHYQWNMDIIGVPDVTAEAELISSIVTFFKRIGITASDVGFKVSSRKVLQEVLRGYSVPDHLFGRVCVIIDKMEKIPIDDIKKELRSAELSEEVIEELLQVLSVKSLEKLEEKLGTVGEALVDLKQLFSLAEKYGYADWIQFDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDHLLSTFGGDDIPACGFGFGDAVIVELLKEKGLLPELSPQVENIVCSLDQDLRGAAAAVARILRQRGQSVDLVLENKPLKWVFKRAARINAQRLILVGSAEWQKGMVGVKILTSGEQYEVKVDELE >CDP14207 pep chromosome:AUK_PRJEB4211_v1:6:18845044:18849817:1 gene:GSCOC_T00040471001 transcript:CDP14207 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNEARVDAFSIGPSSIMGRTIAFRGYLNTYLTPLISWLHPRNPQGILVLVTLIAFSLKRYTNLKMRAEVAYRRKFWRNMMRTALTYEEWAHAAKMLDKETTKMNEADLYDEELVRNKLQELRHRRQEGSLRDIVFCMRADLVRNLGNMCNPELHKGRLHVPRLIKDYIDEVSTQLRMVCDSDSEELLLEEKLAFMHETRHAFGRTALLLSGGASLGAFHIGVVKTLVEHKLMPRIIAGSSVGSIMCSVVATRSWPELQSFFEDSWHSLQFFDQMGGIFTVFKRVTTQGAVHDIRQLQMMLRHLTNNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFHLGPEEGSGTSARRWRDGSLEVDLPMMQLKELFNVNHFIVSQANPHIAPLLRIKDLVRAYGGNFAAKLAHLVEMEVKHRCNQTLELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSNVEIQKSANQGRRCTWEKLSAIKANCGIELALDESVGILNHMRRLKRSAERAAAASHGLASTVRFNASKRIPSWNCIARENSAGSLEEDLLADVASSFHHGGSGSAGPTGRNWRACRNTHDGSDSESESADLNSWTRSGGPLMRTTSSDKFIDFLQNLDIDSRLNRGMVVQPGSAVTQMGGKDGLHQVSWTTTPDRNLETEFDQREFGSRVPTTGSSILVAEGDLLQPERIRNGIMFNIVKKEDLTPTTRSRESSISSPRDPPHDPLPECVQLECLEIGLDSSSASESDGDPGEIKVTTSMV >CDP06223 pep chromosome:AUK_PRJEB4211_v1:6:23109775:23111484:1 gene:GSCOC_T00022920001 transcript:CDP06223 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLVRSLEPKGHVETICCSPLYMALEIMQPQKYDAKLIVFFIIFSVSYLIYCILPFSNLLLTFLQLIQNIMRSTDLQFPRDLKDLNPHCIDLCQKLLRRNPSTRLTFEEFFNADELLNMRAQKIIHSFPLFELNPVGKIEEIAQQDLPSSLDDDSSGLDGSPSFVGMSPIKSTYGFSLDTKADRKEVSKAAEKKDLASNYGVSVIN >CDP03130 pep chromosome:AUK_PRJEB4211_v1:6:9482589:9491227:1 gene:GSCOC_T00041621001 transcript:CDP03130 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSKFDLSSNSPDRPLYTSGQRGSYSAASLDRSGSFRENTEMPILPAIPNMSRGSSAATQDVMSFFQCLRFDPKSMVTTLKLNRPVDFKRLASVSFGIPLEDPSSAPAKGKPASSPSPEEFRRLKTSVREGCRKARERVKIFNESLSVMNKWFPTIQSRKRSRSDSFSSDRSNTLYSADRSVSATGISKMGAQSHVGANGFDVEQKSEERTKNSVPNKRTRTSMVDPRADARANTLARPSGTADRDREILKIPSSSAVQSEDRPSPLGVDGWEKSKMKKKRSGIKPDVAASSSAAKPMDGSRDFKQGMQPRLLADARSRLSESHGFRPVANGGMSKVDGSSQQSSSGTRSSISRLEQDNSPLLHDKRDRPTDKEKVNLKAINKTNAREDFSSGSPTSSTKLNATRGPRSGSSVGQKLSPVVSHATAANDWEVSQCTSKIPAAVGVNSRKRTPSMRSSSPPVAQWASQRPQKISRTARRSNFIPIVQSTDETSALDTASADTGNERRLSGSSPQQVKLKGDHFSSAALSESEESGPPSEMKFKDKMKKSDGMEEKAGQNVQKMSNLMLPPRKKIISGDDHGDGIRRQGRTGRGFTSTRSLMPLTVEKLGNVRTAKQLRSARLGFDKTESKAGRPPTRKLSDRKAYTRQKHSAVSLATDFIDDGHEVLLAAANAVTNPAPALSSSFWKQMEPLFRFVSDADVAYLKQKVEFEPTTVSPMAASSGMVNPSSVSNGFGGNEIERRLKRQYSEDTQEHLSSATKTLEDVSLYQRLISALIPEGDEQFCHNENEDIRFDGYESGFEPETNVKSDSFCSQLSQNSDLSGNPASNGYCISANGGSFNELKHIMPDNSSLSIPDARIPSYRNSQNGFPPDQALTPGINCTEGQYSSMSINERLLLEIHCIGIFPEFAPDSANSGNEEISTEISKLNEIYYEQVSKRKGLVGRLLKSADIFIYFSLLMEFEQHALNKLVVMAYEKYMTCCGPNAHGMKSANGKMAKHAALAFVKRTLERCQEYQETGKSCFNEPLFRDIFISGCSQLGDVQADGESGKHEVRPSASTCAEQSPSSTNHDMFSDNLLSANLASEQISGKEETWSNRVKKKELSLDDVGGGAIAMSPAVTPGIGSSFSSGTKGKRSERDREGKGSSREVISRSGTTKIGRPTSAKGERKSKTKPKQKTAQLSASVNGLLGKMSEKPKVTVPSTQKTSNTSSSGMVKDKNDYGLDELEDPIDLSGLQIPEMDDLGVADDFGGQGQDIGSWLNIDDDALQDHDFMGLEIPMDDLSELNMMV >CDP10494 pep chromosome:AUK_PRJEB4211_v1:6:6090109:6091770:-1 gene:GSCOC_T00031239001 transcript:CDP10494 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTAGRKRRKKAKTKSKSKKPKTAPQPPPPPPLPLPPTSSSNHHEDLSSLIPHLVTATYSAISFLRHQDLHLLPSQSLSLESLLCSTSTSFSKLLSLTSFFPESLPLPPPLPPPPAQCWFDRFLTSAAADYDPRWTHFFNLSKPSFTLLLRLLTPSLSSLSPLPPNFALAATLFRLAHSASFSAVSRRFNIDSPAACRAFYTVCKAINENLGHLFEFKSDINRIIVGFGWISLPNCCGVLGLEKFKLDGDLLGENGSLVVQALVDSEGRFLDVSAGWPSTLTPEKVLRQSKLLSGVEETKEYLNGPSFELSDGNSIPQYILGDSCFPLLPWLLTPYKKLDENAGLNSSEMAFNSVHSSGMELVRMAFGRVRKRWKLVAKKWSEQCVEAFPFVIVTCCLLHNFLIKCSEAVQDEDAECSRDQEFPVFDGEVDESGKRIRDALASHLSRANERR >CDP10400 pep chromosome:AUK_PRJEB4211_v1:6:6893686:6897054:-1 gene:GSCOC_T00031115001 transcript:CDP10400 gene_biotype:protein_coding transcript_biotype:protein_coding METNKPLKSKKWALPLMFSISISCCLTIVSVLRANPFEQRSKNIKDEVPVFVESKLHVAPTQPFSRIPRLAYLISGSSGDGESLKRTLMALYHPLNQYVVHLDLEASVEERLELVNFVKNESLFEEAGNVRVIVRSNLVTYRGPTMVANTLHAAAILLKEGGDWDWFINLSASDYPLLTQDDILHTLSAVPRELNFIEHTSDIGWKEYQRAKPVIIDPGLYSLKKSDVFWVTQKRSVPTAFKLFTGSAWMMLSRPFIEYCIWGWDNLPRIVLMYYANFLSSPEGYFHTVICNAKEFRNTTVNHDLHFISWDNPPKQHPHFLTDNDYQRMVDSNAPFARKFGRDVAVLGRIDSELLGRKANGFVPGSWFDGGTNRTDLRYIIRNITTLRPGPGAERFKNLINSLLADKDFDVKHCI >CDP03311 pep chromosome:AUK_PRJEB4211_v1:6:7996885:8005524:-1 gene:GSCOC_T00041851001 transcript:CDP03311 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSEGGDFPPKNVQSETTGQQQPPPQVGTDIPPKKLARQLDFTGYTGVSSAAASSATATAALREHTQQTQPPQVAVMPSLPQPQLQQPVPRPTQPPKSQLQPQPQPPIPLMSMQQPPVPPAVHPQMRPMKPESPRPRPRSNAGDAKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCFNNVENEAARREAVENTLERNPNAFRPKIASSPHGIRDSREEPGEGLVIGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHANNIAYIQQAANAAITGAIGSSGYGSPPVNKKRKGQELFFASTVKDPLNRLGQLLLMYLQANHMKTTVPSSTLSSIPGARLGNPAGMGPSKFTYRSLLADIIQPQDLKELCSVLVVYSAEAAKMLADERNATERSSQEHRESHLASTQDQSQNQKDLSVAEKARLADSFDGNSSEKIGPDESVSDGADVSKSRPMSPGTLALMCDEQDAMFTTAASPSDLTGQSNMSCQLPHGQGLTEAYAEQERIVLTKFRDCLNKLVTLGEIKGKLIFLFSLLALRRILFSKIQAYLMNPNCLKPIKQCRHGNLSLDPRYPAEYS >CDP18463 pep chromosome:AUK_PRJEB4211_v1:6:30238195:30239717:-1 gene:GSCOC_T00003215001 transcript:CDP18463 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSMVLANRTRRGPALDACDESLVFETTRGVEPIMTFDTMGIKDDLLRGIYQYGFEKPSVIQQRAVVPIISGRDVIAQAQSEIGKTSMIGLTVCHVVDTKSSDYQVIQVIGEFINVQMHACIGGKSVGEDIRKPEYGIHVVSGPPGRVCDMIKRRTLRTRAIKLFILDESDDMLSRWFKDQIYDVYRYLPPEFQVSTLLVG >CDP10547 pep chromosome:AUK_PRJEB4211_v1:6:5684997:5686491:-1 gene:GSCOC_T00031305001 transcript:CDP10547 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQAEQQQMLLPQERKKMRAMVAVDDSEESFYALNWVLDKFFSNIVMSTLPTGTETPDHQHDHRVESNLVSLVHVMDRLPHYVFPGGYVVESATKAREQNAAKILSQASQMCKDRKVAAETLILEGDPKEMICEAVERMHVDVLVVGSRGLGQIKRQAFLGSVSDYCAHHAKCPVVIVKLPHK >CDP15427 pep chromosome:AUK_PRJEB4211_v1:6:4681318:4682172:-1 gene:GSCOC_T00043157001 transcript:CDP15427 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLETLVSACAGGGTERKITCETLADDLPENDDVAVRDADVPPDFPPESFWLSKDAELDWFDRNAFFERKESTKGFSNFAYLNQNPNSNSNSQRFSVKVRKTSLLGLPKTQKANYIETAKRRNNKPANVKLFPKPSSSAGKAPVSGVEPSSPKVSCIGRVRSKRGRRRSSGKQEMSLDKSRSLGDKRKKGFYSRVLSIFKSRSHDRKPFRPEESTVEETPRTSVTVRMPEFPAGVEPASEPPGLGGLKKFASGRRSESWSTEDFDVALSNSPEADGPAKRS >CDP06538 pep chromosome:AUK_PRJEB4211_v1:6:1539356:1542534:1 gene:GSCOC_T00023426001 transcript:CDP06538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB1 [Source:Projected from Arabidopsis thaliana (AT3G09230) UniProtKB/Swiss-Prot;Acc:Q42575] MQVVTANDGSANVITSEKRDSDDGEDGVVLIADGSGSGGVGGSSRSSQANSKKSLDRVKGPWSQEEDAILGRLVSNFGARNWSLIARGIPGRSGKSCRLRWCNQLDPAVKRRPFTDEEDSIILQAHAIHGNKWASIARLLPGRTDNAIKNHWNSTLRRRCMESDKFKFDSSQVMEDASAEKSKASSNETLSCGDVNSSKSLDGKDVSSKEKMDDGQHEISTQTDYQCSSEAGDPPSLFRPVARISAFSVYSSLEVFETSLPSARLAHFEGTLDPVLKSDSGMSKLFQAAYGDQLIPHQCGHCCFASSGTAESRTSFLGPEFVDYAEPPSFPSHELATLAADISNVAWCKSGLKNNSVENAANSVMSSRSHLQIRAPEGSRMYDSLEDRKCRLMGMMTEPVTSP >CDP06362 pep chromosome:AUK_PRJEB4211_v1:6:81954:83692:-1 gene:GSCOC_T00023180001 transcript:CDP06362 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIHIRTRSLDSRIPAGSPKPIVGTPRSSNGGSPRTEVGEVDTSAPFQSVKAAVSLFGDAATSPRKPKNSSAADERVLEKESALHLALKQLEDFRARLKCTETTKAQAFRELEKANRTLQELTNKLEIISESKQAAIEETEAAKQRAKELEEHKSSRQHLGIDAWKQDVDNERELYKASAAELISTKQELTTLRQDFDRVLEAKLAAFQEAADAQHLTQVYRDRLTRISSEITTLRDTLGEVKLATLQVQEEGNKHHEEKQTRFQSHQTTKEQVELKIKSLKEEFDASEILEEKLEKTTEAIKLLQEQLQNVRESDMSSLKIAMAELDDATRKLREIVQEQNLQRSSVDFFKEELDNVKRDHFELKDKASEAELTAETLQSELERYKAQLDAALAGDPQGKYDDMRLKLQQLVLEAKNARQGAEEIGKDISLLKQNAETAHEPIPSEWNGKLLPFLAAIPSEYSSSSFSLLCCAAREPISASHSVFVILFPSIAGNLHRWKTPNFFRYLDTKWVLS >CDP06247 pep chromosome:AUK_PRJEB4211_v1:6:24057571:24059741:-1 gene:GSCOC_T00022967001 transcript:CDP06247 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKTLVQSQDLKSLPSEFAHFKDTQESIRTGPEVSVPVIDFSLLSSTDPDERAKVILDLGKACEEWGFFLVVNHGIPENLISALFNVCNEFFDMPEKEKLQFENKHPLYPVMVRSGTIDGNDSNQKVKLWRDYLRFFVHPEYHCPTKPKALSDIVLEYSRRTRDLAKKLLGGISQSLGLEEDYIEKAMELDSSTQIFAANYYPPCPQPELAIGIPPHTDPGLLTFLLQNGVEGLEIQNKGKWFQLTGIPGAIFVNTADQLEIMSNGKYKSVWHRAVLNNKKTRMSLVVANGPSPDTIVTPAPQLLRETPAAYGPMKYMEYVQVQRSSRLNQKPTLDQLKLH >CDP14278 pep chromosome:AUK_PRJEB4211_v1:6:36424712:36433520:1 gene:GSCOC_T00040580001 transcript:CDP14278 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLSLEEIKNEHVDLENIPIEEVFKQLKCSREGLSEEEGAKRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMECAAVMAIVLANGGGKPPDWQDFVGIAVLLIINSTVSFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEQDAAILVPGDLISVKLGDIIPADARLLEGDALKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNHVGHFQKVLTAIGNFCICSIGLGMVIEIVVMYPVQHRKYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKQMIEVFPKNMDKDSVVLYAARASRTENQDAIDASIVNMLSDPKEARAGITELHFLPFNPVEKRTAITYIDSNGDWHRSSKGAPEQIIDLCELKGEVRKKAHDIIDNFANRGLRSLGVARQAVPEKNKESAGGPWEFIGLLPLFDPPRHDSAETIKKALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQSKDESIASIPIDELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKQMIEVFPKNMDKDSVVLYAARASRTENQDAIDASIVNMLSDPKEARAGITELHFLPFNPVEKRTAITYIDSNGDWHRSSKGAPEQIIDLCELKGEVRKKAHDIIDNFANRGLRSLGVARQAVPEKNKESAGGPWEFIGLLPLFDPPRHDSAETIKKALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQSKDESIASIPIDELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLIALIWKFDFSPFMVLIMAILNDGTIMTISKDRVKPSPVPDSWKLKEIFATGVVLGTYLAVMTVVFFYLAADTDFFSNIFKVRSIRDHPDELTAALYLQVSIISQALIFVTRSRSWSFVERPGLMLVTAFFIAQLVATLIAVYANWSFARIQGIGWGWGGVIWIFSIVTYFPLDILKFIIRYALSGKAWDSMIQNKTAFTTKKDYGREEREAQWALAQRTLHGLQTPESAGLFNDKHYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >CDP02825 pep chromosome:AUK_PRJEB4211_v1:6:12675508:12678674:1 gene:GSCOC_T00041210001 transcript:CDP02825 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVHTFRPPEGYVDEEMEDYIKNGYPSLEDEITFAVSHDKKETFVTWINGITYKNDIPRWCVDRMFLFDSINCMDAVLNGEMTGKIDFTVDAVPHNNMGNFLHCIKQLLVRPVD >CDP10094 pep chromosome:AUK_PRJEB4211_v1:6:14500614:14503726:-1 gene:GSCOC_T00030701001 transcript:CDP10094 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYGDFNQKIDYVFKIVLIGDSAVGKSQLLARFARNEFSLDSKATIGVEFQTKTLIVDQKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRGHADKNIVIMLIGNKCDLGSLRAVPTEDAQEFAERENLCFMETSALESTNVESAFMTVLTQIYRIISKKTLNAADGSEYEKSASLKGTKIIVPGQDSDPGGRKAGCCRSS >CDP14169 pep chromosome:AUK_PRJEB4211_v1:6:18385981:18388259:-1 gene:GSCOC_T00040418001 transcript:CDP14169 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAEKKGEIPFTHQEGTGKGPKNWGHIDPQWQVCGNGKLQSPIDLLDERAQASPNFKGLKRAYKPAPAVLKNRGHDIMVEWTGDAGKIIVNGTDYKVLQCHWHSPSEHNLNGRRYNLELHLVHKNSLGDTAVVGILYELGRPDPFLAQLLHHIKTTEIGGAVDLGIVSPLDIKFVSRNYYRYIGSLTVPPCTEGVLWTIIKKVRTVSREQIKAIEDAVRDGFEANARPIQQQNGRQVYFYGPKA >CDP09541 pep chromosome:AUK_PRJEB4211_v1:6:20239166:20243164:-1 gene:GSCOC_T00028956001 transcript:CDP09541 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHYKMKGHKKRKRDKEQYDKEENGEEEYVEKSVPGEGEAEEEEDEENMNEKKKRAEEIANELPSLPIVLADDQKNANKPGVIFILEKASLEVAKVGKNYQLLNSDKHANFLRRNGRNPADYRPDIAHQAILMILDSTLNKSGRMKALYVKTEKGVLFEIKPHVRIPRTFDRFSGIMLQLLQKLSITAVGKREKLLQAIQNPVTKYLPINSRKIGFSHSSQKLVDMQDYVAAVGNEMNLVFVVGAMAHGKIDVDYVEDFISISDYPLSAAFCISRICNAVERSWRVL >CDP06640 pep chromosome:AUK_PRJEB4211_v1:6:2289942:2292257:-1 gene:GSCOC_T00023559001 transcript:CDP06640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53700, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53700) UniProtKB/Swiss-Prot;Acc:Q9LFF1] MAFSSCLKALNCHTWTHSLNLNQPFPFHPSPEPTKSISFIFPGLTSLSASKSSSLSSISQQQLSPDFSSKQLPDTLRREDDETSALRLFEWALEQPNFALALPVYEEILRKLGTVGSFGRMRQILDRMKSSKIEITEGPFLIFIESYGKFELYDEAIGVLDMMENEFGVKPGTFSYNILLNVLVDGAKLKLVENIHSRMLTRGANPDVSTFNALIKALCKAHQIRPAILMMEEMPNHGLVPDEKTYTTIMQGFIEEGNLEGALRVKGQMEAVGCASSNITVNVLTHGFCKEGKIEQALEFIQEMASEWFHPDQFTYNTLINGLCKAGQVKHSIEVLDLMLQEGFDPDVFTYNSLISGLCKIGEVEEAKEVLNQMLSRDCSPNAVTYNTIISTLCKENRVQEATNLARALTEKGIFPDVGTFNSLIQGLCLTGNYHGAKELFEEMKGKGCRPDEFTYNMLIDCVCSGGKMNEAFNMLKEMELSGCARSAITYNTLIDGFCKNKKLEEAEEIFDEMELQGVSRNLVTYNTLINGLCKSNRVEEAYQLMDHMIMEGLKPDKFTYNSLLSHFCRGGDIKKATEIVQSMTTNGCEPDIVTYGTLIQGLCKANRVEVASKLLRSIQMKGMVPGPQAYNPVIQALFRRKRTGEAMRLFREMEENGHPPDAISYKIVFRGLCMGGGPIGEAVDFAMEMTEKGYIPEVSSFYMLAEGLCSLAMEDILIKLVEKIMRIANFSESEKAMITGFLKIRKFQDALASLGNMLNKQKPKKSYWSR >CDP10519 pep chromosome:AUK_PRJEB4211_v1:6:5910012:5916758:-1 gene:GSCOC_T00031271001 transcript:CDP10519 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNCSQSLPHRHSFPSSTPRLSTSPFCLSLSFASSPSLSSSSASKLRLSSCSFHDASINLNPKPKHKGLKVICSKGEPLKVMISGPPGSGKGTQCEMIVKKFGLVHVSTGDLLRAEVAAGSDIGNRAKEYMSSGRLVPDEIVTAMVKARLARKDAKENGWLLDGYPRSFAQAQSLEEMKIRPDVYIVLDVPDEILVDRGVGRRLDPVTGKIYHITNFPPENDEIRDRLVTRPDDTEEKVKSRLKIYKKNAEAILPIYRDILKKVDGNHLKEVVFKDVETLLLHVKENEETEKLVVGSDNYLVRPTSSKESWRGIPTRLNNIPHSREIREYFYNDVLQATTRAINDGKTRLKVEINIPELNPEMDVYRIGTLMELVRTVALSFADDGKHVKVCVQGSMGQGALAGMPLQLAGSRKILEFMDWGEYGAKDTFIKIGSIGAKEVDEEDDMYILVAPQNAVGNCIIDDLKAMTDAAGTRPVVLVNPRLKDVPGSSGIMQTMGREKRLEYAASFEICYVFRLLYYAGTQYPIMGALRMSHPYAYELFKRIDESPGKEKYVPLASFPERPTGEEINDAFEGRDR >CDP06431 pep chromosome:AUK_PRJEB4211_v1:6:734368:735116:1 gene:GSCOC_T00023282001 transcript:CDP06431 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTKNAGLTPRGCIKSSKGPWVVRRTTRNGGTVTKYRYPSDRERLNNKQRERNRRGVAHKIFAGLRAHGNYQLPKNADSNDLLKALCEEAGWHVEDDGTVYRKTSMVEEPSLIKMDSYAVGMPHKLEAAGYCTCKEAIASSFAGECRQRNLVPFGIYEGIHTDLALSLSLPLAHDHQFKDRV >CDP14215 pep chromosome:AUK_PRJEB4211_v1:6:18978150:18980718:-1 gene:GSCOC_T00040484001 transcript:CDP14215 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSGSASEDFSVLVLASDLGIDGRPFLSQSDRENREEQWHDCQSYEQEDFSDLEALQFFRLESGSDKSGNRIFRIVGKYFPAPVISGERLKKYVFHKIATELPEGPYCIVYMHTTVQSDDNSPGLTILRWIYEELPSDHKDRLQVVYFIHPGLWSRLVFATLGRFFLSGGLYWKIKYVSRLQYLWDDIKKGELEIPEFVLQHDSVLETRPLTDYGIEPDPFHVTEISTMPYSFGRHRAE >CDP06391 pep chromosome:AUK_PRJEB4211_v1:6:284011:288150:-1 gene:GSCOC_T00023218001 transcript:CDP06391 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVLKSKKKKMEQINYSIKSIHSQEHSPTTLPEPQKHTRSLQSAPPSFRTRVKPVQSNTKQTNSRMRALSAPSSLVVAEDTLISNECEEVDESKSRVGSKKEYQPASPQPLPLPSPQIVVALKTMASFKGGNASGPLNASGPLPLPPTPPPTMSPSGPLRNFSYEEIAVACHNFSPELCMSEGLSSVIYRASFGEEATASKKLEATITRLHASNQGLKEFVNEVNTLTSLQHPYLCKLLGYHAREGSEHRMLVYERLFHGSLDRLLHGRSDGPPIDWNARMKVALCAAQGLTFLHEEGPFQAMFHEFSTANVQIDKDFSAKLSGYGCISHIPETDISSSNSALANLSLETLERGLLTPKSNVWSFGILLLELLTGRKNLDSRRPKEERNLVKWSRPFLADDCRLSLIMDPHLKGRFPSKAVRTVADIAQKCLQKDPSVRPTMRIVVEHLKTIQDMKHTSRFPLQEPGAVSGKHISRSPSLNGIVMPVPRSTSYPSPPATRPSISLTTPIVLPSSLLPRTCSSALSLDEVDQQESQKSSSSTVPRSSVEGF >CDP06550 pep chromosome:AUK_PRJEB4211_v1:6:1603208:1606665:-1 gene:GSCOC_T00023441001 transcript:CDP06550 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDSDSDDCEHRFLPQFLTDDDDELFADLKTSSKKNDARNTAKSCFGMDSWHEFGYGLGPFGSQSGLSSPLEGSTETESDDDDYVTGLTQRLAHSTFEDPALTYETNKLGWGLSSSPQSTLCSALCGGCGCYHGSSRPNCPSKAASPRGINKTDAAWDLLLHAAAGEVSRMRVMEGTGGFYPNKNGGLVGPPTKPSTISVPQRIPSPSLGFRPDHQTHLSHQQHLKVAQFEQLRQQQMMRQQQQEAMGVWGQAKFQFSQTQQMLLNSGRSGGKKPNLSMAAWPTLEQQSQRPLQHPGSGMRAVFLGNPNIKRECAGTGVFLPRRFGTPAVSRKKSGCSTVLLPDRVVQTLNLNLNLNKEGVDVPAQPAYNAGRASDYDAGALRYRNSSSSAGGMGQQQRGGLRQQAAVMNHQQVPELRLPQEWSY >CDP10196 pep chromosome:AUK_PRJEB4211_v1:6:13307434:13313084:1 gene:GSCOC_T00030838001 transcript:CDP10196 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGNCVRCCLVVFAVVSALCVSGPAIYWKFKKSLSLKATSFNSCSPCVCDCAPPLSLLKIAPGLANLSVTDCGKDDPDLKEEMEKQFVDLLTEELKLQETVGEEHMHHMNITLGEAKRLASQYQREAEKCNAATETCEEARERAQALLTKEKKITSMWEHRARQLGWEGE >CDP10221 pep chromosome:AUK_PRJEB4211_v1:6:12954102:12954782:1 gene:GSCOC_T00030879001 transcript:CDP10221 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSNTSVYAVGDVAAFPVKMFAETRRLEHVDSARKSARHAVAAIMEPEKTGEFDYLPFFYSRVFTLSWQFYGDNAGEVIHFGDFSGKTFGPYWINKGHLVGSFLEGGTKEQYEAIAKATRLKPAIEDLGELERQGLGFALTASQKPSSSSPPLVAGSSNLVIEKPLHAWYATAGVIVAASVATFAYWYGRRRRSKTGCDCFRNSDNSVNLCLPNSIRIEFEFEIW >CDP06741 pep chromosome:AUK_PRJEB4211_v1:6:3018313:3019602:1 gene:GSCOC_T00023692001 transcript:CDP06741 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAAARKSFLNYFGGGGGGGAERVDDDDLEADLDFDESDVWDSNTDGVGKVPTSDQGNKKSMMMMMSKNNNNSRPLKKPTRKSGGGGGSFSHAANHRSIAAAATSLPVNVPDWSRILGDEYKSRGSNGREHEDGEDDKDEDGKLPPHEYLAARTRSGWSFSVQEGIGRTLKGRDLSRVRNAVWKQTGFED >CDP15320 pep chromosome:AUK_PRJEB4211_v1:6:3807585:3811529:1 gene:GSCOC_T00043005001 transcript:CDP15320 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGEQIFGLNMSHYNLYLQSIAVNGQVLPIDSAVFATSENRGTIVDSGTTLAYLIGEAYDPFVSAITAAVSQTARPVLSSESQCYLVLAGITEIFPPVSFNFAGGASMVLRPADYLVHLGFVDGAAMWCIGFSRVEKSVSILGDLVLKDRIFVYDLAHQRIGWADYDCSLSVNVSITSGKDEFVNAGQLRVSSSTSSVFCDLLCTSTIALLLQILVLVGSQFL >CDP06361 pep chromosome:AUK_PRJEB4211_v1:6:79767:81491:-1 gene:GSCOC_T00023179001 transcript:CDP06361 gene_biotype:protein_coding transcript_biotype:protein_coding MTTREMHLWEEQSPLIPPSTLFPPFPKAVSVIINPHSNNYPSSPDDHTQGLCVCTPKYSVFDPFAPGPNNTFLLAPHPTEYIQESGDNVVRRRRRRRLTFGDSTCGCVPHTNTVTAITEEEEEEETLFQTVYESLLEAIISTQTKGIPSPGRSLSQTSVVVDGFGTPRSATSLSGVAETCPRAPVKPSREITRIHAGLCRKLEF >CDP02968 pep chromosome:AUK_PRJEB4211_v1:6:11077404:11078527:1 gene:GSCOC_T00041412001 transcript:CDP02968 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSELACTYACLALHDDGIPVTAEKIATLVKAANVTVESYWPSLFAKLCEKRNIEDLIVNVGCGGGAAVAVAAPGAGAGSAPAAAAAPAAEEKKEEPKEESDEDMGFSLFD >CDP10455 pep chromosome:AUK_PRJEB4211_v1:6:6414582:6424232:1 gene:GSCOC_T00031185001 transcript:CDP10455 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMTDGIEKFADNTDAQVPSNPSIPSGDQTVWADVSPLLQLASQDLRDGELIHGDNFNLFAAMSALEIMDPKMDSGIVSTYCSVDEAIEKGAAPVPLSFNSTIDIQCTIDIMDHLLACEATWHKGHSLAQTVFSCIYLLRPDRISSHALLHSYCTVVRVTCNAVVSAVSDARTNEEEDLFTMTYGLPLKGEGDEKCLSILHAVDETISRQLRASKAPSTKRRVLEDIEPLQTNADLEGGLCKAVLCRLRFRKHFYHVLTCMRRPQGKGLELARKHITSCLSELDSMLKLEEFLKCKSICRTVKDATDDETTASGCQPIGFDSSLNSRLSAPTPPRAIKLLSWRKAVDYFKKLLHDLEVICSYTLDPVLEVVLRFVVGFQKLHPDLVARAYLQLLLVQEGKLYGRDPVFAMICKASLLPDTVKNHDLQKNETIVQLGQLLTNLLRILCTNSAWRRRKLGKILQEWRIVHAQLELAFRKEYGDISNTSNEDVGMNICSYILIWVEEQTYWIATRFLTLGFELELYSPCDYCMVYWYIYVILIKLAERTHIKMMRSNENSRRKGKKKRDSVKDGAKDHQIPPAVSLLQCQICLAEGLVMMLAALRNEYNAFQSVGPFNSEHERFLQHFELLQKACIPDGVSYVSFKETINHARLSTSSTYNCFKDAQRTAKELRTSYSNDPEKLNELRMIEQVAEHNVVALNLVRRLGTLDPSLKVYFEFSHHPHFASAVVKRS >CDP03257 pep chromosome:AUK_PRJEB4211_v1:6:8511954:8513725:1 gene:GSCOC_T00041776001 transcript:CDP03257 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLYQGKQVHSNANSKAYKTYSKAEVSLHNKRADCWIIIKDKVYDVTSYVEEHPGGDAILAHAGDDSTEGFYGPQHATRVFDMIEDFYIGDLQN >CDP06389 pep chromosome:AUK_PRJEB4211_v1:6:269144:273680:-1 gene:GSCOC_T00023216001 transcript:CDP06389 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTNFKLTSCPCLRPSPSSSPSNPFLFSMRPFLLSSRRPRFPKIFAFSSNDIKVGSNIEVDGSPWRVIEFLHVKPGKGAAYVRTTLRNYVTGNTVEKTFRAGSKIEEADIFKETKQFTYKDGSQFVFMDLTTYEEFRLNEADVGDKMKWLKEGMDCNLLFWKGKVIDFELPITVKLTVVDVDPGVRGDTAQGGSKPATLDTGAVVSVPLFIERGEEILVDTRTGQYMSRA >CDP15385 pep chromosome:AUK_PRJEB4211_v1:6:4369362:4369610:-1 gene:GSCOC_T00043099001 transcript:CDP15385 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTSVMILYRDCRKNHAVNTGRYAVDGCREFMPAGDAGSPGALLCAACDCHRNFHRKDVLLRGHDDTFPCDCSSVSTTPK >CDP10497 pep chromosome:AUK_PRJEB4211_v1:6:6073303:6074325:1 gene:GSCOC_T00031243001 transcript:CDP10497 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRRSSGPVLRSLSPSGRFYSSRTRSFSCSSSSSSAFASSTSTFSARSNPSTLLHRSTSPTRVNLYSSAPSAATVRFSLDRPISPNRSISMVNKKNQVVQKSQKRTCMCSPTNHPGSFRCSLHKSIGHSSSSSSHTQTAPYNANRLNMRRSAMTNSLVRIGTVEGDLVKRALAALIRPSSHHQRRRGDFHPRPSRLSAMSKAEGSS >CDP14344 pep chromosome:AUK_PRJEB4211_v1:6:35700276:35705369:1 gene:GSCOC_T00040676001 transcript:CDP14344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small and basic intrinsic protein 21 [Source:Projected from Arabidopsis thaliana (AT3G56950) UniProtKB/TrEMBL;Acc:F4J0V0] MRTGSEGSGKDMESMGVMGRRLVLVASDFVISFMWVWSSVLIKIFVYNIMAFGRDDVQGEILKHALAVINMFFFAFLVKATKGGSYNPLTIFSSAVSGNFTQFLFVLCARIPAQVFGSVIGVMLIREAFPAIGSGPRLNVDIHLGALTEGILTFFIVIVSLGLARKIPGSFYTKTWISSLAKLTLHILGSDLTGGCMNPASVMGWAYARGDHITKEHILVYWLAPMEATLLAVWMFKLLMQSQEKDKAKIKGEKSD >CDP14118 pep chromosome:AUK_PRJEB4211_v1:6:17739952:17746365:1 gene:GSCOC_T00040345001 transcript:CDP14118 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPHHSPFLKLSNPPEPNSISKPANATRPRTTRLTIHCKKPKNEEAFKEKQKAHVDYDNGTHRVSVQVSGLRASDLPKRHRLRVATDRFQKDWSISEVVEKIMALDRFEDIEGVLNRWAGRFARKNFPVLIREMTQTGSIEHSIQVFSWMKNQKIYCARNDIYNMMIRLHARHNQVDQARGLFFEMQKRRCKPDVETYNALINVHGRIGQWRWAMNIMEDMLRAAIPPSRSTYNNLINACGSTGNWKEALRVCKQMTENGVGPDLVTHNIMLSAYKSGAEYSRALSYFELMKGTNIRPDTTTLNIIIHCQIKLGQYEKAVQLFNSMREKRAECHPDVVTFTSIMHMYSVLGQVENCKAVFNSLLAEGLKPNVVSYNALLGAHASSGMSTEALSIFHEMKQKGLRPDVVSFTSLLNAYGRSQQPQKAREIFEMMKQNHCKPNLVSYNALIDAFGYNGLLSEAVEVLHEMEQNGVQPNVVTISTLLAACGRCCQKVKIESILRAAELRHIKLNTVAYNSAIGSYMNFGDFDKAIILYGSMRKHNVKPDSVTYNVLISGCCKMSKFSNALEFLNEMLDLKVPLSQEGQLAGAESMFSMMKMAGFHPDVVTYTAMLHAYSVGDDWEKAFAIFQEMELHGVQPDSIVCSTLMRAFNRGCQPGKVLLAADFMKEKKIPLSDAIFSEIISACTILRDWRRTTEIIKMMETSLAVVSVGTLNQLLHSIGKSGKIETMMKLFYKMVALGSEVNFSTYSILLKNLLASGNWRKYVEVLQWMEDGGIQPSMKMYHDILSFAQKSAGEYGATIQECVESMKRRSKVEASLEKPRESSSQAQALVGGVNIL >CDP06557 pep chromosome:AUK_PRJEB4211_v1:6:1650872:1651479:-1 gene:GSCOC_T00023448001 transcript:CDP06557 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLKPAAPLLLMLFLVSFGWQDEKFSSAGAIRPLPLLPQQKYSKIFASLGVVCKCCDGAAASDGEHCATTWTGACSNLQCLPWKLQ >CDP10588 pep chromosome:AUK_PRJEB4211_v1:6:5362687:5364262:-1 gene:GSCOC_T00031352001 transcript:CDP10588 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSGSFSRFRSQDQSPDSHSIEMTNNTGGVNLDKFFEDVEAIKDELRDLETLYSQLHSSNEQSKTLHNAKAVKELRTKMDSDVSLSLKKAKFIKVRLEALDRSNAANRSIPGCGPGSSSDRTRTSVVNGLRKKLQDQMNQFSDLRQKMAGEYRETVQRRYYTVTGENPDEAVLDSLISTGQSETFLQKAIQQQGRGQVMDTIMEIQERHDAVKEIEKNLKELHQVFLDMAVLVETQGEQLDDIESQVQRASSFVRGGTQQLQVARKHQKNTRKWTCIGIVLLLIIILIVVLSIRPWK >CDP03390 pep chromosome:AUK_PRJEB4211_v1:6:7306063:7310662:1 gene:GSCOC_T00041954001 transcript:CDP03390 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDDDSSIYVGGLPYDSTEDSLRQVFDPYGSVVAVKIINDRTVGGKCYGFVTFTNPRSAMRAIDEMDGGTIEGRTVRVNEVRTRGGRSNFGRESFRRNSERDFDADRGRSREKDYDHDRDRYRDNHRERSLEFDEERERGYDHLRERDRSRDRVADRDRVRDQNKGLDIVARERERIHDYNWERGRVLDKEIQRTNNHHKSSERDKDQMPKFLDRSYTDEHGKGEHLSQSSGDHIEVTKQLEVSNQKFQELQKEVSEMEELAKEKNVFVLKLQEKSQKLEDLLTAAKRLTSYRQMQLAKLNKFYLQVRDCNERLKHSEHELQALVSSTMSEVEYGDVMGARDGILTNGSAQA >CDP03222 pep chromosome:AUK_PRJEB4211_v1:6:8796004:8798667:-1 gene:GSCOC_T00041731001 transcript:CDP03222 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEHSLSLLSVYGSLKANGENKAKYSRKLEGETISEAGGGGGSGGTILLFLHNLALGDSSAISVVGGLGSSNGGGGGGGRVHFHWSDMSMGDEYLPAAIVKGTINIGGGFGRGMGQNGENGTVSGKACPKGLYGIFCQECPIGTYKNVSGSDQALCHKCPFHELPRRATYVAVRGGATETPCAYMCVSDRYHMPNCYTALEDLIYAFGGPWLFGFILLSLLVLLALVLSIARMKFVCADELPDRVPTRRASPIDRSFPFLESLNEVLETNRTEESQSHVHRMYFMGANTFWEPWHLHHSPPKEVKEIVYEDAFNRFVDEINCLAYYHWWEGSVYSIFSVFMYPLAWSWLQFRRKKKIQQLREFVRSEYDHACLRSCRSRALYEGLKVHQNHFFLIDNF >CDP10073 pep chromosome:AUK_PRJEB4211_v1:6:14944617:14946724:1 gene:GSCOC_T00030665001 transcript:CDP10073 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNDQTSKKYMVLKPDEASLIGLIRFLISGNIESKQFIECLRVKKTSLKHRVYIFFSIFIQNVLQFAAKPLSVFGSIFELWLNLLACNGNFCLFLLNLLRGKVVVPAEGSADFMSFLGHFDRRVELDKNIKPGDKKYFAELSVMASKIAYENKAFIKAVVEDKWKMELLGEYDFWNEYQQKYSTQGLIIHDKTANPDMIIVAFRGTELFNADDWCTDFDLSWYKFQSMGKVHSGFIKALGLQNDQSWPPTIDHDNEKPIAYYTIKEKLRKLLHPHSRTKFILTGHSLGGALAILFPAALALHDETCILERLEAIYTFGQPRVGDVKFGDYAKEQLKSYDIKYYRFVYGHDIVPRLPYDDDTTLLFRHFGPCLFYNSLYEGKMFPQEPHKNYFSIRAFITKRLDALWELVRSFLLPHIYGPEYREGLLLLVCRLFGLLVPGFPAHGIQEYINAVRLGTAEFWRCKEGITGGANKCFCSQ >CDP14150 pep chromosome:AUK_PRJEB4211_v1:6:18200564:18202765:1 gene:GSCOC_T00040390001 transcript:CDP14150 gene_biotype:protein_coding transcript_biotype:protein_coding MHKVLLKIPALKDFSILKKREVRFLPCRRPYVIVSHHPVVHTATFNVICISSSEKLSQILRSKAFLRCFVSQDANLCENTHREDHHS >CDP06467 pep chromosome:AUK_PRJEB4211_v1:6:970053:974260:1 gene:GSCOC_T00023330001 transcript:CDP06467 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKNSPATVTLISRRCTRRLGTRMWRRGANLEGDTANFIETEQLLEFEGARISFLQIRGSIPLLWEQIVDLSYKPRLNIIDHDQTSKVVERHFSDLCQRYGDILVADLTDKNGDEGRLSMAYAAEMEKLQNIRYVSFDFHHSCGNSNFDNIELLYDQIAEDFEKQGYFFIGKEGEVLSEQKGIMRTNCVDCLDRTNVTQSFLARKCLNSQLQRVGILSSTDCISMFSEDFEIFKNLWVDQGDEISLEYSGTHALKRDLVRYGKQTMAGMIKDGISALSRYYLNNFQDGIRQASCFLFDSLLDAIDLISGRCDVNTSRPSSAQLNGFETFSYIPVASALLIGGLTVTSITLNQVGVAGRNAQTIVSSVICAGVTAGLMAVVKSNGRQICSKPRLCRLI >CDP02851 pep chromosome:AUK_PRJEB4211_v1:6:12445458:12452835:1 gene:GSCOC_T00041247001 transcript:CDP02851 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNWVLVILISTLLIDLTNCSSCGFSADPYAQQQLDRVLHLPGQNFNVSFAHYAGFVTVNEEAGRTLFYWFFEAAEDPASKPLVLWLNGGPGCSSIAYGVAEEIGPFHVEKDGKHLYLNPYSWNQAANMLFLDSPVGVGYSYSNTPSDHLNNGDLRTAADSHAFLLKWFDRFPQYKGRDFYISGESYAGHYVPQLSQAVVRHNSATKDKTINFKGFMVGNALFDDFHDHLGLFQFLWSAGMISDQTFKKLNIFCDLDSFILPKESCGKILDIADKEIGNIDQYSVFTPACTANFSVMNQFLKRRNKVGHLRRAYDPCTEQHSTVYFNLPKVQHALHVYNRSNSFKWATCSDDVYNNWKDSPRSVLNIYHELLNAGIRIWVFSGDTDAVLPVTSTRYSLDALKLRTVGPWRPWYDDGQVGGWTQQYEGLNFVTVRGAGHEVPLHRPKQALTLFKSFISGNSLPQLEQISDS >CDP06656 pep chromosome:AUK_PRJEB4211_v1:6:2405597:2409728:-1 gene:GSCOC_T00023578001 transcript:CDP06656 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAKLLPAAAAVSKGRSLSSPCSVSYSTLYRLSSSSSSSPSSAALQLDSLTFVVSSKFRNPSSLRICSAASSSSMRIQAPPEITSPASFLERKESGILHFVKYQGLGNDFILVDNRDSHEPRITPEQAVKLCDRNFGIGADGVIFAMPGINGTDYTMRIFNSDGSEPEMCGNGIRCFARFIAELENLQGKQSFTIHTGAGLIVPEIQEDGQVRVDMGEPILKASDVPTKLQPNKGQSVVKSKLEVDGVSWNVTCVSMGNPHCVTFGTEVSKNLQVDELDLAEIGPKFEHHVTFPARTNTEFVQVFSPTHLKMRVWERGAGATLACGTGACAVVVAAVLEGHAGRSCTVDLPGGPLEIEWREENNHIYMTGPAEVVFYGSVPL >CDP10596 pep chromosome:AUK_PRJEB4211_v1:6:5271294:5274579:1 gene:GSCOC_T00031364001 transcript:CDP10596 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 1 [Source:Projected from Arabidopsis thaliana (AT2G35940) UniProtKB/Swiss-Prot;Acc:Q9SJ56] MATYFHGNSEIQGGGGDHGLQTLILMNHAGYVGGYSDTHQPPPHVQVQVQPPSNFVFLNSSNTPGPSAAPGANSLNVHHAPPPPSPTQQFVGIPLTATAATSSQDHQPHAASVQDISALHAFLPRLQYNLYSPAMDLGAAREVSRAQQGLSLSLSSQQPAYGSFRPEREIPSQPLVTAISPTGGDDLRVSGGSSSSASGISNGVNGMQSVLLSSKYLKAAQELLDEVVNVGKGVKSGVATAKGANGQAKEIGESSEMTATAGEGQNGRENSAKRSAELTTAERQEIQMKKAKLVNMLDEVEQRYRQYHNQMQIVISWFEQAAGIGSAKTYTALALQTISKQFRCLKDAILGQIRAASKSLGEEDSFGGKIEGSRLKFVDNQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKLMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEMKEHEKNGSEVKTSKSEQNEDSGSKSNALQEKSSSLEIQNKEMDSITQGSPKKLRSADMLHSPSSVPSVSIDAKPNESNNEQLSMKFVNERQNREGFSLLGAPTNFIGGFGSYPIAEIGRFSSEQFPGPYSGNGVSLTLGLPHCENLSISGPHQNFLPNQNIQLGRGVEIGEANDYGGLGTPTSSHSTSVYENINIQNRKRFAAQLLPDFVA >CDP03163 pep chromosome:AUK_PRJEB4211_v1:6:9166969:9169095:-1 gene:GSCOC_T00041664001 transcript:CDP03163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Polyamine catabolis [Source: Projected from Oryza sativa (Os01g0710200)] MLTRKPRIVIIGAGMAGLTAANKLYKSAGSKDLFELCVVEGGTRIGGRINTSEFGGDRIEIGATWIHGIKGSPVHKIAREINSLESEQPWECMDSFSDEPVTIAEGGYELNPSLVEPISSLFKKLMDFSQGKLIEDETVSSLKLAAKSCGPGNISIGSFLRKGLDAYWSSMKHQRDQVKMLGNWSGKALEEAIFAMHENTQRTYTSAGDLHTLDYNAESEYILFPGEEITIAKGYLSIIESLASVLPAGLVQLGRKVTKIEWQPDGHLPIAAVPNGHGTMPVKLHFLDGSTMSADHVILTVSLGVLKHGIREDAGMFDPPLPGFKTEAISKLGYGVVNKLFLQLSPTNGQEFKSSIKFPNLQMVFHQSDHTLSRHPDIPWWMRRTASLSPIYSKSSVLLSWFAGEEALELESLNDDDILNGVSTTISNLFSKSTHYHVASDSNQPCNGDANSAENSNLRCIKFAKILKSKWGNDPLFLGSYSYVAVGSSGDDMDTMAEPLPKISNSSAPKSPSPPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQRYGCSDI >CDP06540 pep chromosome:AUK_PRJEB4211_v1:6:1548502:1550467:-1 gene:GSCOC_T00023428001 transcript:CDP06540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger protein [Source:Projected from Arabidopsis thaliana (AT2G29530) UniProtKB/TrEMBL;Acc:F4IKQ3] MAANKPSSALEKEQIFGMAEKEMEYRVELFNKLTQTCFSKCVENRYKEPELNMGENSCIDRCVSKYWQVTNLVGQLLGNNQGPM >CDP06696 pep chromosome:AUK_PRJEB4211_v1:6:2680337:2685216:1 gene:GSCOC_T00023631001 transcript:CDP06696 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKETEKVAIAKPVASRPTCSSFKSFSELLAGAINASPSSTCSEAAVTAIRPRTVRFKPAMDHPSAGVAASQANLCGAAVSSPTAKDLKADGKCNVVYKPMAKLVSKTTVSLLANMRSANLFQEQELAEVEANFQLPNLVKPQCDNRSELHQNFLSAAQKNKRVEPSNVTTQNLEEDQRSLAHTANGDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHSHPKTQPVRRNSSDGHWQGTSGNESNNPLCRNQHSDKNECYEGTMENPNEFGFLAHSSYSGGAPSCMHPTNGASNFGVSNLDNSSCHSGEFDEGREGLEGETDGPKRKRRKNDNQSNVAGTAAEGAQEPQVLGQNSTDSEIIRDGFRWRKYGQKVVKGNPYPRSYYRCTSLKCNVRKYVERTSDDPNAFMTTYEGKHNHEMPTKSTSSLAAKTSTKALGTQKNIS >CDP10371 pep chromosome:AUK_PRJEB4211_v1:6:7085405:7087405:-1 gene:GSCOC_T00031080001 transcript:CDP10371 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPRDESDYDSSCSSITVPDSSRSWMSNLSFGSRRSSISVCSSSDSHFSNCRKPHKANQAAWEAMKRLRCEKGHVGLDHFRLLRRLGSGDIGNVYLCQIRNPIVGLQQCFYAMKVVDREALAIRKKLQRAEMEKEILGMLDHPFLPTLYADFDASHYSCLVMEFCPGGDLHASRQRQPGKRFSISSAKFYAAETLLALEYLHMMGIIYRDLKPENVLVREDGHIMLSDFDLSLKSDVVPKVIRSAKVCPADHQPTHSHKNTTKCSTPTCALPIQPVLSCFSTSNKKCTTITVTATPLQQQPQPQATNFIYNIQEYNPELVAEPIDARSKSFVGTHEYLAPEVISGQGHGSAVDWWTFGVFLYELLFGKTPFKGENNEKTLINILKQPLAFPRIGVSTTKEYEELLKVQDLISKLLVKNPKKRIGSSKGSVEIKRHEFFNGVNWALIRSVRPPEVPCDLHKIRSRVMIPKLSKKDREAPYQIPHHFDYF >CDP15428 pep chromosome:AUK_PRJEB4211_v1:6:4692159:4693373:1 gene:GSCOC_T00043158001 transcript:CDP15428 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKLFRKDLSQENLVQKADNISNHVVSLTSSTYGVLRLDKENQQQQQQGQHLHLQQQLQSIKKCGIDLKKPSPPRQEPEVINAWEIMQGLEEEVPLSNLIPDKKSPKSRLLLRGFGDLDARSPLKFLNQMASPRKLKKFGGKENATPKTVFKACNLQGSSQKASPMLRVPRKDSPNDAKCESLRIDSGVVLSRRRSLGPLFDPELVASFEKELSEEKEQIKKMVSGTPTAVKKRNSQDSVSILENFEEKCPPGGEKAVVLYTTTLRGIRKTFEDCNTARSVIESHQVQMFERDVSMHSGFKDELRGLMGTKEVKVPLVFVKGRLIGGADEIVKLEEDGKLGTLFSGIPKAVAICNGCAGVRFVMCIDCNGSCKVLDEKGKKTVKCKECNENGLIQCPFCS >CDP10619 pep chromosome:AUK_PRJEB4211_v1:6:5056237:5061159:-1 gene:GSCOC_T00031391001 transcript:CDP10619 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPQAPSRGAAAGGRQIPQPIKRHLPFSSMKPPFVPPEDYHRFSTPAGAGTETVRIQDAEAIVVKSPPIKRKSRTDNHEVSSTDWAASPGNTRTVSSPICTPVSAKGGKAYGRSKVTKGDMSGPSTPLSNAGSPAPLTPAGSCRYDSSLGLLTKKFINLIKHAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKLKNRIHWKGLDASRPDEVENDASILQAEVENLSMEERRLDDRIREMRERLRDLSEDEINEKWLFVTEEDIKTLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIILRSTMGPIDVYLVSQFEEKFEDVNGGEPSMRYALASSSGSNDNPAAETAVYEKTGLVVGELAEQDTRMAADLDASHDYAGGMMKIVPSENDADYWLLSDAGVSITDMWKSDAGVEWNGVNMLHDEFGMSDISERPQTPPSGVVDMTYPAANATPR >CDP03205 pep chromosome:AUK_PRJEB4211_v1:6:8914784:8918431:-1 gene:GSCOC_T00041710001 transcript:CDP03205 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPPPLILDILSRLGDSADLASCRVASKTFNSLSRDIRSINLHCSFDRYAKSRCPLTRSSITPFKTILKNLVSELRIVESVTIGIDKPLRTVSYDDVEDEDDDLYLTEVNFVGEWLPKVSDGLTSFSISDFWVQSCWRRSEVLSLISSYCHKLAELQIRNAWLSVDGLKPMPKLSKLTLEYIRLDDEDLNKVNESFPGLQVLNLIGVGGLKDPKIHLLQLKACRWTVSNAVYSVTIVAPNLVKLKLKCVRPRALVIETPSLADLHLSVEEASSFKVEEFVNLTNLHLESLDLRRLLCSLPFGKTIKNLKLGLTKSSELMGVSKFGFESLLSVFPNVSSLTFTPWAWSIFEMYICPEGGEIRSRMKGLKEITAYLEIHDFETTLSCIFSILDNCSNLFEMKLFIHRDVVFHVTNTLISRCMTRYPRVIWRWGMWKEGTEDAWILDGVL >CDP14156 pep chromosome:AUK_PRJEB4211_v1:6:18268207:18270071:1 gene:GSCOC_T00040401001 transcript:CDP14156 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNSNEDFAIGCILSVKTIHPNDKLLQTRIFAYGDTQRHRLGPNYMQLPVNAPKPKKLSADLPPLWNRVKANLSLKDAAELALKKMPSAE >CDP10184 pep chromosome:AUK_PRJEB4211_v1:6:13472038:13478415:-1 gene:GSCOC_T00030814001 transcript:CDP10184 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASSKRKSRPLNSAPAAKSSRVDSSSSASRKALSKVRQLVDSFFESYVNKSLGMIDPEGIEALCSDLQVDHTDVRILILAWKMKAEKQGYFTQEEWRRGLDALKVDTISKLKKSLSNLEKEVSKPENFDDFYMYAFRYCLTEEKQKCVDIESACVLLDLVLGPKYRSQVEYFTKYLKSQKEYKVINKDQWLNFLRFCQEISFPDLENYDSDQAWSLILDNFVEWMKSKAS >CDP06268 pep chromosome:AUK_PRJEB4211_v1:6:24598409:24600906:-1 gene:GSCOC_T00023003001 transcript:CDP06268 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTSWSWPCLIGLPNGGSLEDGLLPSDQRSGRRNRRQKCAARLNQPVLSEKENLELKPLGEAHDSFHAHQKLPLAAKLGMPPKTFPATTDSVI >CDP10107 pep chromosome:AUK_PRJEB4211_v1:6:14374270:14375119:-1 gene:GSCOC_T00030718001 transcript:CDP10107 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIVFSTLLAFCFCYVSLAFDPQPLQDFCVADVGGAVRVNGVVCKDPKKVTAEDFFFSGLQEPGNTSNPSGSWVTPVFAAQLPGLNTLGISMARIDYAPWGINPPHTHPRATEILTVLEGSLHVGFVTSAPENRLFTKVLQKGDVFVFPAGLIHFQRNVGYTNAVAIAALNSQNPGVISVAANVFGSNPPISSDALAKAFQVDRNIVETIQSKF >CDP02990 pep chromosome:AUK_PRJEB4211_v1:6:10866465:10869874:-1 gene:GSCOC_T00041441001 transcript:CDP02990 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIRTASLKRLFSPKRQSLEDELPKHSDSTQEEGNNKSKTDSASPPPAEPLKRPSWKCFSYAEIFAATNGFSPENMVGKGGYAEVYRGELQGGEAIAVKMLTKASDDERKEKEFLTEIGTLGHVCHPNVTALLGCCIDNGLYLIFQFSSKGSVASVLHDENLPAMDWKTRHQIAVGTARGLHYLHKSCPRRIIHRDIKASNILLTTDFEPQISDFGLAKWLPSQWTHHSIVPIEGTFGHLAPEYFMHGVVDEKTDVFAFGVFLLELLSGKKPIDGSHQSLHGWAKPILSRGEIEKVVDPRLGGFYDVKQLDRLAFAASLCIRGSSIWRPTMSEILEVMLEEGINEENWKMPEEEEEQEEFWGFEDLECESDHSSFSTSPHDSISTGSS >CDP03137 pep chromosome:AUK_PRJEB4211_v1:6:9404509:9405822:-1 gene:GSCOC_T00041631001 transcript:CDP03137 gene_biotype:protein_coding transcript_biotype:protein_coding MLTERRFRRHPLLIGFLHTDIKLGRPCMARTSRFGSQLFSAPSMIAKYLKSGQLLMLSLRSLSPSPVLCDSCKL >CDP18636 pep chromosome:AUK_PRJEB4211_v1:6:15095884:15109053:-1 gene:GSCOC_T00004125001 transcript:CDP18636 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSGVISRQVLPACGSLCFFCPAMRARSRQPVKRYKKLISDIFPRSQEEEPNERKISKLCEYAAKNPLRIPKITTSLEQRCYKEIRNENFRSVKIVMSIYRKLLISCKEQMPLFANSLLSIIHTLLEQTRQDDILITGCQSLFDFVNNQKDGTYMFNLEGFIPKLCQFAQEVGDDERAKHLRAAGLQSLAALVWFMGEYCHISAEFDNIVSVVLENYGAPCKEELQDPNQNKWVQEVRKGEGHVSPSPDLLTKVPSWRMLVNDKGIANVPMEDAQDPCFWSRVCLHNMAKIGKEATTMRRVLESLFRYFDNGNLWESGYGIAFPVLKDMQLIMDGSGQNTHFLLSILVKHLDHKNVLKQPDMQLDIVKVATSLAQDTKTLGSVAIIGAVTDIMRHLRKSIHYSLDDANLGADLIKWNREFREAVDECLVQLSCKVGDAGPILDAMAVMLENISTITVIARTTIAAVYRTAQVVATMPNSSYQNKAFPESLFHQLLPAMVHPDHETRVGAHRIFSVVLVPSSVCPYTGSAEPESKKPTDLQRTLSRTVSVFSSSAALFEKLKTRSSSRESVVQEVKEKPTGDEVQSRVNEGMLSRIRSTYSRAYSFKNPPADADSKNKTSVDPISLRLSSHQISLLLSSIWAQSISPANMPENYEAIAHTYCLVLLFSRAKNSSRDHLVQSFQLAFSLRHYSLAQGGPVPPSRRRSLFVLATSMIVFSSKAYSIIPLVPCAKAVFSKKVIDPFLSLVGDSKLQVVDTGSRLGNVDYGSKEDDSSAMKCLSEIEITKDQTREHMVSIIVKSLDNLLDGEVSNIRELLLSNFLPDYVCPLGSQFLTETSENARQSNEKNDENLEKAGPIFTLEDDPVSDSSEIISKQNPELAIEIPDLLSVNQLLESVLETAHQVGRLSVSTASDVPYKEMAHHCEALLMGKQQKMSYLMNNQQRQESLLIRVSQHSDENDRGMVSHVHTDISLKLVTNPFLDMDTFGMASKPPVVPLLCAAECQHRPQSFKLPASSPYDHFLKAAGC >CDP09518 pep chromosome:AUK_PRJEB4211_v1:6:19560822:19560968:-1 gene:GSCOC_T00028917001 transcript:CDP09518 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPWSITFWLANLIWTALSGWVMSCLSVADEIASSLRNGDIGPFHVG >CDP10573 pep chromosome:AUK_PRJEB4211_v1:6:5473771:5477453:-1 gene:GSCOC_T00031336001 transcript:CDP10573 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWQRHLQSLLRQAGRRLDQSRLSPLYSFYHSKAAPGLAGEAAHRNILWSSHFHCATRPLYHYVQQLGFTSTRKLLADSSNETPISSPLTPALALSGGKAEPEKPVSKPSKVQAVLKGIKQSPKKVNLVAALVRGMRVEDALLQLQVTVKRASKTVYQVIHSARANATHNHGLDPDRLLVAEAFVGKGFYRKRVSYHAKGRCGVKVRPECRLTVVLREITPEEEAEIAKLRVHNFRKLTKREKRLVPHQLIETTPIWSRKSKSKDTASDVMAA >CDP15408 pep chromosome:AUK_PRJEB4211_v1:6:4579995:4587117:1 gene:GSCOC_T00043134001 transcript:CDP15408 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSMKGVEFWIVNTDVQAMRMSPVFPEQRLQIGQELTRGLGAGGNPDIGMNAAKESKEAIEDAVYGSDMVFVTAGMGGGTGTGGAPVIAGIAKAMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPSANLIFGAVIDPSLGGQVSITLIATGFKRQEESEGRPLQAGQMAQGDAGLGMNRRPSSFLEGGSVEIPEFLRKKGRSRYPRA >CDP03299 pep chromosome:AUK_PRJEB4211_v1:6:8103838:8107584:1 gene:GSCOC_T00041837001 transcript:CDP03299 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPFEEHDYIGLSEVPAMENSEKNNGLPLGDNEKKNTAKVLNLKATELRLGLPGSESPERETGHGGEDKNGYQLGVLKGFVSGAKRGFSDTINGGSGKWVYSGSGGSEVNLANGGGLFSPRGANGAAKSIGAGGVDSASQQTFVGSGVGKETVPQSPKPVQEKKPQVSAANGHAAAPAAKAQVVGWPPIRSFRKNSMAINPPKTDEDADAKLGTGCLYVKVSMDGAPYLRKVDIKIYSSYKDLSSALEKMFSCFTIGQCGSHGVHIRDGMSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFMDSCKRLRIMKSSDAIGLAPRAMEKCKNRN >CDP09567 pep chromosome:AUK_PRJEB4211_v1:6:20891475:20892448:1 gene:GSCOC_T00028993001 transcript:CDP09567 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFNGFLSFFISYLPIQISFRQIPLHIPNLQPYSKNRRSLTKYMSNPTTKFHVKFTSMKFLFVEFKLFLGRL >CDP10585 pep chromosome:AUK_PRJEB4211_v1:6:5380367:5384989:-1 gene:GSCOC_T00031349001 transcript:CDP10585 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHGGLFAKEANTTSAFSAVPWWSTGLGSQPAGFHNDLFGQFKPASSAVDKPSNTGTQLTPSREPERNGGQETGNGKTNKFTIFSGECKDPPNGQKFRLQNASNMQAAAAEYRGHLELGFSQPLICAKYPYGEQCHSVFSTYGPQLTGRIMLPLNSTSDEVPIFVNVKQYNGILRRRQYRAKAELQNKVLKNRKPYLHLSRHLHAMRRPRGCGGRFLNTKNLNGSKSNTDDNTTAKGRFSRPTGSQSSDVLQSDSGNSSSPRKANGCRSNNLGTEVTSSLPNMMTSGHWIVPPGKWVVAADSCCNLKV >CDP06543 pep chromosome:AUK_PRJEB4211_v1:6:1574983:1577502:1 gene:GSCOC_T00023433001 transcript:CDP06543 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKLSAVSLSPRINRPSFLIKESVFDVRKHCTSPYSCIHPLGVLPHLASNLLKECNIGLSDKSQIRAEKVSGFVGLGLKKGMLVPVRHVSRPCLLKICRVKSEDSEGILTGESIIVDEQTLERELQIAIEEENYTKAAEIRDSLRSLQSDSKASVLAANTRFYNTFRNGDLAALQALWSKGDNACVVHPGVSGISGYDLVMGSWEFVWADYEFPLEIEIKDVQVHVRGDVGYVTCIEMVKTKGSSWGKQFATNVFEKIEGQWKICIHHASYVDL >CDP14220 pep chromosome:AUK_PRJEB4211_v1:6:19005675:19006721:1 gene:GSCOC_T00040489001 transcript:CDP14220 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTANQMRKMMKCGGQGHRDKGGKVKWRVCTLNRESIAHKPGQIILKNCSTLLHPRHAGIGNGQAASFLAKIYKNVIATATSQKQLEFAPRLPNVQYKCTSPTTSAEKLERDIPKQATVDLVTTDQAIHWSDRPNFYQQVQLDLKKPRGVIAAWCYTTLQVNARVDAVFNKFYFVDSSAYWHASRSIVYEDV >CDP03134 pep chromosome:AUK_PRJEB4211_v1:6:9451635:9455781:1 gene:GSCOC_T00041627001 transcript:CDP03134 gene_biotype:protein_coding transcript_biotype:protein_coding MNREAEERGGGGGGGAETSTVAVAVAVAVKSSRGKGSRRAVRWAVEKMIWKAEPIVLVHVIPPVTSIPTPSGQRIPIEEVDAKILRLYVDDMKERSKQMLIPFKKLCKRRRVEIVVLEGDSPASALVRYVADVGISALVVGSCSPKYGCFRRKPKSSVVPSVLVRNAPESCDVFVVSRRGVIKNKPKTLLATEDSAKEFLVSKSEHHSLTVSKQLPWNSSSSTDSRTNKNSQLSSTSGFGGPDSHIQAYPVYSTTFLQQIHLADKTLDFETVQSRSSRPSTSSEQSNVDAEVERLRQELYSTLSMYNQACEDLVYVQKKVNLLSAECLEEERRVDAARQREEILRKVAAREREKHLEAMKEIEMAKDQCVKEANERQVAETNALNEFSQKQKIVEALFSEDKRYRRYTRDEIEEATGFFCEAKVIGSGAYGKVYKCSIDHTPVAIKVLQSDASDKKEEFLREVEVLSLLRHPHIVLLLGACPEIGCLVYEYMENGSLEDYILPGSGRGPLPWFIRFRIVYEVACGLAFLHNSGPEPIVHRDLKPGNILLDRNYVSKIGDVGLAKIISEVVPDNVTEYQNSILAGTICYMDPEYQRTGTVRPKSDLYALGIIALQLLTACRPNRAILKVENAIKTRSLSAALDKSISDWPLAEVKELAQMALKCCKLRCRDRPDLETELLPVLERLARFADVARKAGSGNFPAPSQYYCPILQEIMDDPHIAADGFTYEHIAIKTWLDRHDVSPVTKVRLQTKMLTPNHILRSAIQEWRSSVSF >CDP15391 pep chromosome:AUK_PRJEB4211_v1:6:4434627:4444460:-1 gene:GSCOC_T00043106001 transcript:CDP15391 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGEEAPTDIDMDIPIAEAYNRMKKLKPKRKAKVNKEAAVDGEDEGFSVRKENTGGDKSEFPHGITVSLFDDSVENHFKAVDNISKLCGETDMDDFDPAEIQRLSSSITFLREWREFNYPSRNLRFSCQNQSKEGRDFMGEIRLPQFSSATVPKKDIQNGDATSSELSKDFVMYVGGSVWALDWCPRVHSYSDSSIKSEFIAVAAHPPESSYHKIGAPLRGRGFVQIWCVLGTNMKKDMQSQDKKKRKRTSRNSETVITESSERRRPRGRPRKQTVNGSSNNTNSHSETVTTESCKQQRRRGKPRNQPTNGSSNNTNPHSEKVQTSAVQYLQDSSAVVSRDVALVDTSDHENVKTKLPKQMKPRGRRPKKAVNNSEVVQTPATKCSSTLLTGDLVSGNTCNHVLEENSQLVLEVSTMKSKSVIVPSKRIRLKDKGSTRVQVSKDAQEAELSLANPPTEENLALNMITCDFGSANCSIPNDVALPRMVFCLAHNGEVAWDAKWRPCDVSDKQRMGYLAVLLGDGALEVWEVPFPRTMKVIYSASQKEGTDPRFIRLRPVFRCPTIKRGGRQSIPLTLEWSASSPHDMILAGCHDGVVALWKFCATGSLQETRPLLCFSADTVTIRALTWVPVSSYSESANIIVTAGHRGLKFWDLRDPFRPLWDFYPFQRVIYSLDWLPDPRCIIVSFDDGALRILSLLKAANDAPVTGKPFEGAQQKGFHSYLCSPFQIWSVHTSRLTGMVAYCGADGTALRFQLTTRAVEKDPLRNRAPHFLCGALTEENSTLTMFTSLPNTPFPMRKSLREWGEAPRTVRGYISVSNQEKRAKQKVVKVRSEEKHKALCKRGDLDSEFGPDCMAVTETREAGKVKTSSNSEADQRPIMVGEDNPDIMRGEVEEVEVFPSKTVAMHRVRWNTNKGSENWLCYGGAAGVVRFQEIDMCGE >CDP10072 pep chromosome:AUK_PRJEB4211_v1:6:14950014:14956661:-1 gene:GSCOC_T00030664001 transcript:CDP10072 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G57650) UniProtKB/Swiss-Prot;Acc:Q8LG50] MVKLAAAVIVPLGVLFFLSGLVINLFQAIFFVLIRPLSKSTYRRINRVLAELLWLELVWIVDWWAGVKIQLYTDSETFKLMGKEHALVISNHKSDIDWLVGWVLAQRSGCLGSTLAVMKKSSKLLPVIGWSMWFSEYLFLERSWAKDESTLKSGLERLRDYPLPFWLALFVEGTRFTQAKLLAAQEYAASSGLPVPRNVLIPRTKGFVTSVSHMRSFVPAIYDVTVAIPKSSQAPTMTRLFKGQSSVVHVHLKRHLMKDLPETDDDVGQWCKDIFVAKDELLDKHKEQDTFGELLLRDIGRPKKSLLVVVSWSCLLAFGVLKFLQWSSLLSSWKGLTLSAVALLVVTLMMQILIRFSQSERSTPGKVAPGKPKNGGDSSVCVQKQQ >CDP19413 pep chromosome:AUK_PRJEB4211_v1:6:28709136:28714041:-1 gene:GSCOC_T00006449001 transcript:CDP19413 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMTVLATQIRFLSIFVVCTCCSLSQSGSIPQISESKNSQVPARPLVDLMLKKAKRQRGNIFLQDSTLTVQPNDNFPPYCTLSPLIPKSPISTAPTPGIYTPLSLPSPMYYAPPFTMQSPPPTASTPRIVPTPPCAPPSPPTPQRPQFSVWCVAKPTVPPTPLQVALDYACGSGADCEPIKRNGLCYLPDTVIAHASYAFNSYWQKTKLAGGTCDFGGTAMLVSVDPSYDECQFIYS >CDP06635 pep chromosome:AUK_PRJEB4211_v1:6:2255196:2255922:1 gene:GSCOC_T00023550001 transcript:CDP06635 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CDP14295 pep chromosome:AUK_PRJEB4211_v1:6:36168669:36174216:1 gene:GSCOC_T00040604001 transcript:CDP14295 gene_biotype:protein_coding transcript_biotype:protein_coding MELAIALPSSSSLRISAVSIRPEAAPYCSRNAPQEFRLPAYKVAELGALKSSHLLSSSNGFILSPIALSSTTSTTKHRRRFSIWACSEVGTAGSSDPFLSKVSQFKDAFWRFLRPHTIRGTALGSVSLVARALIENPNLIRWSLLLKALSGLLALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVKSAWFLVLFLAVAGVLIVGLNFGPFISALYCLGLFLGTIYSVPPFRMKRYPVVAFLIIATVRGFLLNYGVYYATRAALGLSFEWSSPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLINYVGAVLAAIYLPQAFRGGLMIPIHVVLALSLVFQAWLLERANYTKEAILAFYRFIWNLFYSEYIIFPFI >CDP15295 pep chromosome:AUK_PRJEB4211_v1:6:3606842:3616564:-1 gene:GSCOC_T00042969001 transcript:CDP15295 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVATVDGVEGAHSLSDRTLSLNDSSLGESNRSLKLANGEVSHTTSENPSFTVGELLLPNGESYSGSLLSSVPEGSGKYIWSEGCKYEGEWRCGMRHGYGKLQWPSGAVYEGDFSGGYMHGTGTYTGRGKITYKGRWRLSLKHGLGHQVYPNGDVFEGAWIQGSPEGPGKYTWANGNVYLGNLKGGNMSGKGTLTWTNGDSYEGNWLNGMMHGFGIYTWSDGGCYIGTWTRGLKDGKGAFYPKGGRLPAGQEMYLNALRKRGLLPDLSKQNQVSHIHHTSSVDLGVVKVGGNQGSCRNSSYKSANGNLLNLEQSRSTNVSLERRWSLEVAIEKVIGYDFSLDGREYEDDRNSPILEREYMQGVLISELVINDSFSPSSKRVRRRQKKLAREMKRVGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGTRASFWMNFPKEGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVKVLLRMLPSYHHHVQKYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADKVEIDENTILKDLDLNYCFFLEPSWRESLLTQIEIDSKFLESQHIMDYSLLLGVHYRAPQHLRSLMSTSRRMTADGLEIVAEEEAMEDEISPQGLILVPRGGDDNGVVVGSHIRGSRLRTSSATGNEEVDLLLPGTARLQIQLGVNMPARAEHTPGNDDNQIFHEAYDVVLYLGIIDILQEYNITKKIEHAYKSIQFDSISISAVDPTFYSQRFLEFIQKVFPPNTVAN >CDP03150 pep chromosome:AUK_PRJEB4211_v1:6:9299173:9305984:-1 gene:GSCOC_T00041647001 transcript:CDP03150 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRNASSLGRSLLLQPPSQTFRKSLLGVSTQFSYFSSKGRRKSKSDGSDSGEENMSKKDLALKQAMDQITASFGKGSIMWLGRSAPVKQVPVVSTGSFALDVALGVGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDPALAQAIGVNTENLLLSQPDCGEQALSLVDTIIRSGSVDVVVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFINQVRAKLSVVGFGGPTEVTCGGNALKFYASVRLNIKRIGLVKRGDETIGSQVVVKIVKNKHAPPFRTAEFELEFGKGICRESEIIELGLKHKFLRKNGGFYYVNDETIHGKEALKQYLVSNGSVRDELMVKLREKLVGAEASKDTDPEGLDRDTVGEIVASDTTDEEVVTAVEA >CDP10139 pep chromosome:AUK_PRJEB4211_v1:6:13854417:13855629:-1 gene:GSCOC_T00030756001 transcript:CDP10139 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTSLPESIQKSGSKIIYICREPKATLTSYAHFSNKMRMNNDSEATLIQQHRLQDSFQQFCQGKCPGGPYWDHVLGYWKASLERPDAVLFLKYEGLKKDILLHIRKMAEFMGRPFSAEEEEHGVPQKIADMCSFDNLSSVDVNKNGKHRLSASVEIPNSAYFRKGTGDDWKNHLTQEMIQTVDQITQQKLLGSNLSFGLV >CDP02974 pep chromosome:AUK_PRJEB4211_v1:6:11027734:11033315:-1 gene:GSCOC_T00041418001 transcript:CDP02974 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNQACISPNTAETDDEISFVLDLSENDPLFQKKKKLLEDVGFDPKGSVALNIASNPDHLKHVLDMMLKRARVINLNEIELYFGGADFSNLVDFNSPRNELEALHSVLRLIDCSISNGKVKNKNSLQEIRNATVNMIDELGHKYGEETKVVQDSSCEKEECLLQWGKSNGLHTKLEIAYVEGAGRGAIAKEDLKIGDIALEVPVSLVISENLVFETDMFPILEKIEGISSETMLLLWSMKEKHNSNSNFKLYFDTLPAVFNTGLSFGVNAIMALDGTILLEEIVQAKEHLRNQYEQLFPVLYENYPDVFPPELYTWEQFLWACELWYSNSMKIMFSDGKLRTCLIPVAGFLNHSTCPHITHYGKVDPLTDSVKFPLSRPCNAGEQCFLTYGNFSSSHLLTFYGFLPRQKNPYDVIPLDIDIAQDEDCEDAGRMSDWDSHMVRGTWFSRNNGIFNYGLAPPLLDHFRRARGPISRTKTHDSLEIEVEILNDLRSTFEGMMESFGGEELDDRDSCSWDVKLAMDFKDLQRRIVSSIITSCDAGCKLLEYELSRCSE >CDP03009 pep chromosome:AUK_PRJEB4211_v1:6:10631952:10634716:1 gene:GSCOC_T00041470001 transcript:CDP03009 gene_biotype:protein_coding transcript_biotype:protein_coding MITGKDIYDVLAAIVPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLGFHFISSNDIYAMNYHFIAADSLQKVVILGALFVWQAFTKNGSLEWMITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVMQSVIWYTLMLVMFEYRGAKLLISEQFPETAASITSFRVESDVVSLNGREPLQADAEIGDDGKLHVVVRRSTSASMISSFNKGLHSSAITPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFSSKAGSASPKHGYTNSFGGEVFSLHSSKGPTPRTSNFEEEMMKIGKKGGRSMSAELFNNGLASYPPPNPMFSGPASGPKRKESGSAAMPNKELHMFVWSSSASPVSEAHTKNAVNGDNSTDIRAIDPSKLQEDVAASRGIGSPAGKTNGDREIEIEDASKFPANASPFSCHKKIDFDDVTEAKKHQMPPATVMTRLILIMVWRKLIRNPNTYSSLLGLIWSLISFRWNIQMPAIVKGSISILSDAGLGMAMFSLGLFMALQPKLIACGKSVAAFSMAVRFLTGPAVMAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLVALPITILYYLLLGL >CDP17151 pep chromosome:AUK_PRJEB4211_v1:6:35504252:35511288:-1 gene:GSCOC_T00011692001 transcript:CDP17151 gene_biotype:protein_coding transcript_biotype:protein_coding MKESNTFRILMFPWLAHGHISPFIELSKRLAKNKFKIYFCSTEINLNFIKESKGFDENSSDHSIQLSIAKLVRLDLPDFPELPPHYHTIKNLPPHLTSTLKLAFRMSKTSFSNILNTLKPDLQIYDVLQSWAAELAALNSIPSPLIIGAVNISFFYHGTNCRVSGTNETYPFSEIFFRDYEMKKIIATYQELTKLESEEAEVFKCFELSSDIVLVKSWTEIEGRYIDHLSLCSGKKVVSVGPLNNQDDDTKEEEEQEDNSDSIKFLNSKDESSVVYVSFGSEYFLSKEEREEIAYGLELSNANFIWVVIFPMGHAVALEEALPEGFLHRVKERGIVVDGWAPQAKILQHPNTGGFLSHCGWGSVMESIYDGVPLLALPMQHDQPLNARLVVDVGFGIEILRDEDGQINREEVVAKVINMVVVEKTKAGELLTQKAREMSNNLREEGEEEWNEAVGKVRNLCRKNV >CDP10142 pep chromosome:AUK_PRJEB4211_v1:6:13839390:13843244:1 gene:GSCOC_T00030760001 transcript:CDP10142 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVRVAALINSGASSFQRKVSYIHSTPILERKRRTHWDSGGGYSRGSSRSHREPRFSSYSRRNRKLHSKQDLLHNVSSFAEHLFQSWKFDDFDDNHNPFASRSSSWFRQDFNGNRSKKGNSRNKGQQAWNTRFQFFVDDDDFDVETIFKSALGGNKYTYYSFIDEEPQWRGSFGRSNNHRHYWNWGRQDEEEDSSSSESDSLESDLTSDRLALGLSSSGPLSLTDVKNAYRVCALKWHPDRHHGPHKAAAEEKFKLCSAAYQSLCDKLGIN >CDP06722 pep chromosome:AUK_PRJEB4211_v1:6:2854903:2857064:-1 gene:GSCOC_T00023664001 transcript:CDP06722 gene_biotype:protein_coding transcript_biotype:protein_coding MANRIPRSSSCFFIFLLLPLLLQFCSGKSDLQLNYYSESCPRAEEIIKEQVINLYHKHGNTAISWLRNIFHDCMVKSCDASLLLDNAPGMESEKNSARSFGMRNFKYINTIKQALESECPGTVSCADIVALSARDGVVLLGGPEIEMKTGRKDSKVSHAADVDSLIPNHNDSMSSVLSRFQSVGIDAEGTVALLGGHSVGRIHCINFVDRLYPNADPTLNPAHVEYLKRRCPSPKPDPKAVEYSRDDLATPMVLDNLYHKSILDGKGLLIVDQELASDPLTSPFVQKMAADNDYFHDQFSRALLILSENNPLLNDEGEIRKDCRFANNN >CDP03398 pep chromosome:AUK_PRJEB4211_v1:6:7228507:7232099:1 gene:GSCOC_T00041971001 transcript:CDP03398 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKRVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDSNPMLRNGETGDWIGTFEGHKGAVWSCCLDTNAFRAATGSADFSAKIWDAITGDILHSFEHKHIVRACAFSEDTNFLLTGGVEKVLRIYDLNRPDAPPREVDKSPGSVRTVTWLHSDQTILSSCTDMGGVRLWDVRTGKVVETLETKSPVTSAEVSQDGRYITTADGFSVKFWDANHFGLVKSYNMPCTVESASLEPKYGFKFVAAGEDMWIRVFDFHTGDEIACNKGHHGPVHCVRFAPGGETYASGSEDGTIRIWQTGPLINDESEPIAANGGTGRVKVSADEVSRKIEGFHITDEGKSREKEEMENA >CDP06502 pep chromosome:AUK_PRJEB4211_v1:6:1259065:1262522:1 gene:GSCOC_T00023375001 transcript:CDP06502 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHPHFEPISKCSSEGRSNQTVAADLDGTLLVSTSAFPYYMLVALEAGSLPRALLLLASVPFVYFTYIFLSESIAIHTWAYIALAGLKLRDIELVSKSVLPKFYAEDVHPETWRVFNAFGRRYIITATPRIMVEPFAKNFLGADKVLGTELQVSKSGRATGFLKKPGVLVGERKKAALIQEFGADVPDLGLGDRETDHDFMSICKEGYMVPGAKCEPLPRNKLLSPVIFHEGRLVQRPTPLVALLTFLWMPIGIVLSILRVYLNIPLPERIVRYNYMILGIKLVVKGNPPPPPKEGNSGVLFVCNHRTILDPVVTAVALRRKISCVTYSISKFSELISPIKAVALSREREKDAENIKRLLQEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFYGTTVRGHKFLDPYFVFMNPRPTYEITFLNQLPPELTRRGGGKSAIEVANYIQRVLAGTLGFECTSLTRKDKYAMMAGTDGRVENKKDNSHEMK >CDP06621 pep chromosome:AUK_PRJEB4211_v1:6:2180687:2183633:1 gene:GSCOC_T00023533001 transcript:CDP06621 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQEEGGWPLGLRPLNVRVGLARNPELDGSVSFNTLLTGSPTSSTASSSALDTESTGSFFCDKSITLGSLIGISGFLELSRRSTRGRIPDPLREKRSSYKSKAWLFSLCSRLSTDAVSIKNTPSLGHLLEAERLGASIYRRSRSPLIYGTDNLSRVPTAADANALFVEGRVAPSRSSTRIGQDCERSLGEGLLQDDAYGAPLLFSCLCGSLTH >CDP18648 pep chromosome:AUK_PRJEB4211_v1:6:15223906:15230744:-1 gene:GSCOC_T00004140001 transcript:CDP18648 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPKISINNGDLVVHGKTILTGVPDNIVLTPGSGVGLLEGTFLGATAEHSKSLHVFPVGGLEGLRFMCCFRFKLWWMTQRMGSCGKDIPLETQFMLVESKDTTEGEREDAPLIYTVFLPLLEGQFRAALQGNEKNELEICLESGDHAVETNQGLYLVYIHAGTNPFEVINQAVKAVEKHMQTFHHRERKKLPSFLDWFGWCTWDAFYTDVTAEGVEEGLTSLSEGGTPPRFLIVDDGWQQIGQVKDTNCVVQEGAQFANRLTGIKENEKFQKNGESQVGLKQLVQDAKQGHDVKYVYVWHALAGYWGGVQPAGAGLEHYDSALAYPVSSPGVMGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHSYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYHQALEASVARNFPDNGCIACMCHNTDGIYNAKQTAVVRASDDFYPRDPASHTIHISSVAYNSLFLGEFMQPDWDMFHSLHPAADYHAAARAVGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFVDPARDGKSLLKIWNVNKCTGVVGVFNCQGAGWCKITKKTRIHDASPGTLTGSVQASDVDPISQIAGPNWDGETVVYAQRSGEVMRLPKGASLPVTLKILEYELFHFCPVKEIAANISFAPIGLLNMFNSGGAVEQFEVQPTSDSKAEIFAGEATSEISGSLSEKRSPTAKIILKVRGCGPFGAYSSQRPLKCSVDGAETDFTYEATTGLVAIDIPVPKEEMHRWTIGIDV >CDP10490 pep chromosome:AUK_PRJEB4211_v1:6:6112233:6121337:-1 gene:GSCOC_T00031235001 transcript:CDP10490 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSLKRDYSADGYGLHRGISGMYFKCGYSKERRASVHSNSGCPPQGGSCPSLMELCIYKIRQEIHKYTSFYMLPRDISQLIFNDLASCHALSDCSIKAFRDCALQDICLADYPRVKDSWMDVITSQGSSLLTIQLSSSGLTDVGLAQLKNCSNLQALLFDRFDNVSEHGLKQLDGCLSNLAYLGFIKSNALTREGMQALSSLFNLEKLDFDRCPRIHGGLVHLKGLTKLKSLKIRCCKCITDSDMESLAGLINLKELQISSVNITNIGVSYLEGLSNLISLTVEGCNVTASCLDPISALISLQHLDLNRCSLSDDGFDIISAFRHLKVLNLGFNDVTDACLVHLKGLTRLESLNLDSTRVGDGGLANLVGLQGLRKLHLSDTEVGNEGLRHLSGLTNLEEINLSFTSVTDGGLEKLSQLTNLKSLNLDAPQITDHGLEMLTGLTEVTHLDLFGAHISDSGVNCLSYFKNLQSLDLCGGKLTDAGVKNIKYLTSLMILNLSQNLDLTDTALKFISGLVALVCLNVSYSRITNEGLEYLKPLKHLSSLYLDFCNVTGSEIRKLQSKFLPNLVRFRPEC >CDP06459 pep chromosome:AUK_PRJEB4211_v1:6:912779:915806:-1 gene:GSCOC_T00023320001 transcript:CDP06459 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIFIICIAWFYLCGLALTAISAAQNNTNTNTTAAVTTITKGANITKLPGCQRQCGNLTVPYPFGVGPGSGCAIGTWFEITCNTSFNPPKPFIGQLEIYDISDSQIRISNVMAKKCYSQSGALVQDNTAWTNLGSTPYSFSELNKFTVIGCDDFALITGSEGFNFTSGCVSLCSKAEDVPDGYCSGIGCCQTSIPRGLKYYFASLSSLKNHTTVSSFDPCSYAFLGEQDRFIFRGASDFSDPNFMQRTLATVPIVLDWALGNLSCSEAQKANDYACKANSDCVDSETGFGGYRCSCNPGYEGNPYVSPGCQDINECADPKNNPCERICINTPGAYNCSCPGGYYGDGRKDGRGCIAKNKELPVIKLSLGLGFGFLSLLVGITWLYFGIKKRKLIKLRENFFQQNGGVESTKVFTAEELEKATNKYAEDRILGRGGYGTVYKGILPDKRIVAIKKSRIIDESQIEQFINEMVILTQVNHRNVVKLLGCCLEAEVPLLVYEYVSSGTLFHHIQNSAMTWLSWEDRLRIAAEAAGALSYLHSAASKPVIHRDVKSANILLDEYYTAKISDFGASRLVPLDQTQVTTLVQGTLGYLDPEYFHTSQLTEKSDVYSFGVVLAELLTGKKPLCMERCQEERNLAKYFVMSMKENRLFQILEPRVVREGALEQLQAAGELVKRCLYLCGADRPTMKEVAMELEGLRKFTLRHPWANQHGHEESMGLMTENEASDLYTVPMSPYSDTVPLSGQYSSDTAQMMFPANSPR >CDP15763 pep chromosome:AUK_PRJEB4211_v1:6:26397723:26403637:-1 gene:GSCOC_T00015830001 transcript:CDP15763 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDEILSLPVQNPPTLEFSSSDLVWSKVEGWRDNIDRVCLIPFARVDDFVRGESANNDCPTRFHVEARRRRPPEMSYKPKVDGILEYILYWCSFGPDDHRKGGVVRPSRTTYVPKKKSAGRPNTKRGCTCHFIVKRLIAEPSVALIIYNQDKHVDKKGLPCHGPQDKKAAGTRAMYAPYISEDLRLRVLSLLHVGVSVETIMQRHNESVERQGGPSNRDDLLTHRYVRRQERSIRRSLYELDEDDAVSISIWVKTHQNCVFFFEDFSDSDPFVLGIQTDWQLQQMIRFGNHRLLASDSRFGTNKLKYPIHSLVVFNLDNKAIPVAWIIAPRLAVGDAHRWMRALYNRVRTKDPSWKLAGFIIDDPLFDILAIREVFQCSVLICFWRVRHAWHKNLMKRCSTMEIRAEIAKMLGQTVLNICKGSSSANVFEDMMEDFVDATDFAEYFKSVWLPRLGVWFTVLKTLPLASQETSAAIEFYHIQLKARLMNEKECNVYQRADWLVDKLGSKVHSYFWLDEYSCKDDFARYWKDEWLSGLTKWRKSMKIPDADIAIDGKCAKVIDLEDRDSVHVVWNPGSEYALCDCNWSSTGNLCEHVVKTIKFCRDKKYVVPSVSMLQYTQALINMLHCPPYDSLVRDHAVSLAVSVQMQLNGQICPDNSGITSISVEEEVEQTLQNHDRIVENENHHANGDVGSALEQKHSGYGTDDARGTLVEQIASENDNCGDGAGKEHSSIGMETIPSSLSTADPK >CDP10590 pep chromosome:AUK_PRJEB4211_v1:6:5331743:5340277:-1 gene:GSCOC_T00031356001 transcript:CDP10590 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGFRNRSFLGLLFRKFPSSIAPPTATLAFSTARISSFAMSYRPNHQGGRRGGGGQRGGGRGRGGGGRGGRGGEQRWWDPVWRAERLRQQAAEMEVMDENEWWGKMEQMKRGREQEMVIKRKFRREDQEIMADMAYQLGLYFHAYNKGRALVVSKVPLPNYRADLDEHHGSTKQEIRMSSEIETKVGQLLNDSQVGIPVDKSSSTSSHTPKGSSNVLELAKPPHMSETDASNEKLSLELKRRQEELRESESVKAMLSFREKLPAFKVKHEFLKAIALNQVLVVSGETGCGKTTQLPQFILEEEVSSQRGANCNIMCTQPRRISAISVAARISSERGENLGETVGYQIRLEANRSAQTRLLFCTTGVLLRQLVQDPYLKGVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLILMSATINADLFSRYFGNAPTIHIPGLVFPVAELFLEDVLERTRYRIKSESDNIPGNPRGRRRQQQEFKRDPLTELFEAWLFIQIIYFLLFLMMKMIVYLHDDADINTQYKSYSAGTRQSLEAWSGAKLDLGLVEATIEYICRHEGDGAILVFLTGWDDISKLLDKVKANNFLGDSRKFLVLPVHGSMPTINQREIFDRPPPSMRKIVLATNIAESSITIDDVIYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGLCYRLYPKLIFDAMPQYQLPEILRTPLQELCLHIKSLGQGDISSFLAKALQPPDPLAVQNAIELLKTIGALDDFEELTPLGRHLCTLPLDPNIGKMLLMGSIFQCLNPALTIAAALAYRDPFVLPINRKDEADAAKRSFAGDSCSDHIALLKAFEGWKAAKRNGAERAFCWENFLSMVTLQMMDDMRKQFLDLLSDIGFVDKSHGANAYNKYSDDLEMVCAILCAGLYPNVVQCKRRGKRTALYTKEVGKVDIHPASVNAGVHLFPLPYMVYSEKVKTTSIYIRDSTNISDYALLMFGGSLIPSKSGNGIEMLGGYLHFSASESILDLIRKLRGELDRLLNRKIEEPGLDISSEGQGVVAAVVELLHNQNVRY >CDP06486 pep chromosome:AUK_PRJEB4211_v1:6:1125792:1129523:-1 gene:GSCOC_T00023355001 transcript:CDP06486 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPERPQFVLFGSSIVQFSYSQDGFGAILTDVYARKADILLRGYAGWNSRGALQVLEQVFPKHAAVQPSLVIVYFGGNDSMRPDPSGQGPHVPLAEYVENMKKIAFHLKSLSDKTRVLFLSTPPVNEAQICEFFGRTCDQLCRTNESCRIYSEACIKLCRELDIKVVDLWTALQQRDNWLDVCFVDGIHLSSEASKIVVKEILKVLKEAQWEPCLHWKSLPTEFSEDSPYDVIGPGGDTKNVADLNLHWQIQWE >CDP06688 pep chromosome:AUK_PRJEB4211_v1:6:2616382:2621961:-1 gene:GSCOC_T00023621001 transcript:CDP06688 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSDPQSMPNSATPTATATNTDAYGCGSGKKIRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKAAHPYPQKAPKNVLVPVQASIVYPSSVNPLAPGYPTWDDASVIASAQSSGMLPSQDEYTDIGSKGATRITNSYITGVGSSSKTLPASELPKQGKQGSLLHGIPDFAEVYSFIGSVFDPTAEGHVQKLKEMDPINFETVLLLMRNLTINLSSPDFEPVRTVLSSYDVNTKCMGVAAGNLVKNPAYLPC >CDP10601 pep chromosome:AUK_PRJEB4211_v1:6:5218624:5223268:-1 gene:GSCOC_T00031369001 transcript:CDP10601 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEKKRLGLALFLCIVTLAFSNPQLLNWPANGNDPCGTPNWPHVFCSGNRVSQIQVRGLGLKGTLPQNFNQLSKLSNLGLQQNEFSGKLPSFSGLSELRYAYLDFNQFDTIPSDFFNGLVSLQVMALDYNPLNASTGWSLPSDLQSSAQLTNLTLMSCNLAGPLPEFLGSMSSLQVLRLSQNRITGGIPASFKDSTLKVLWLNQQSGEGMTGPIDIVATMGSLVSLWLHGNHFSGKIPKDINNLTYLQNLNLNTNDLVGLVPDSLASMPLNNLDLNNNQFMGPIPKFKAVNASYSANPFCQTSAGVSCAPEVMALLEFLDGVNYPPRLVQLWSGNNPCEGPWLGLSCNFNKKVDVLNLAKSNLSGNLSPSIASLDSLTHIYLGSNNLSGEIPSNWTELKALMLLDLSNNHLSPPWPNFSTTVHLNLKGNLPLSPSPSSSTPSPEGSQTSIPSSPSTKGSNSSSSNPAKNSNQGGHPSESKLVAVLAPVATFALVVCLVVPLLLCYCKKRKDTCQAPSSIVIHPRDSSDPDNLVKISVADNTNRSVSTLTGSGSASRNSGDSHTIEAGNLTISVQVLRNVTKNFAPENELGRGGFGVVYKGELDDGTKIAVKRMEAGVLSSKALDEFQAEIAVLSKVRHRHLVSLLGYSIEGNERILVYEYMPEGALSKHLFHWKSLKLEPLSWKRRLNIALDVARGMEYLHSLAHQSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDGERSVVTRLAGTFGYLAPEYAVTGKITTKADVFSYGVVLMELLTGLVALDEDRPEESQYLAAWFWHIKSSEEKLMAAIDPALDVKEEKLESISIIAELAGHCTAREPNQRPDMGHVVNVLASLVEKWKPLDDDTEEYCGIDYSLPLNQMVKGWQEAEGKDCSYLDVEDSKGSIPSRPAGFAESFTSADGSSPFVRC >CDP03091 pep chromosome:AUK_PRJEB4211_v1:6:9823417:9826142:-1 gene:GSCOC_T00041574001 transcript:CDP03091 gene_biotype:protein_coding transcript_biotype:protein_coding MATIALQRPFLLKTSSFGIKTPYRNDRASGIWTMSANWNPSQTREQMEISKELRTVSATESVPVVAPAELQFDKPQPQDQMLFQENRLQFGQFVVRKAVLDEEYWTAAWLRAESHWEDRRNDRFADNYKRKFAEQEYNALKRRSNTQLGHKCTCMVAVKKENRDVKHTVLKSVVGTLDLSIQYLSQGETFPGERVKNPLFCSIDRKSCGRYGYISNLCVAKSARRQGIASKMILFAISSAKRDGAEQVFVHVHRFNAPAQELYHKMGFQMVEAASFDKSNEQIYLLQLGS >CDP10420 pep chromosome:AUK_PRJEB4211_v1:6:6755226:6760094:1 gene:GSCOC_T00031141001 transcript:CDP10420 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATTILSQYLRKFVVLSHRGYDGAAADLWSCGVILYVLTAGYLPFDERDLPTLYQKINAAEFSCPCWFSPGVAALLLKILDPNPETRIKIDGIRRDRWFRKNYVAVRHKEDEAVNLDDVDAVFDDIEDHYVKEQSENKDSGPLLMNAFEMITLSQGLNLSVLFDRQQDYVKRQTRFVSRQPAKVIIATIEAAGESFGLKVHTRNYKTRLEGVSANKAGQFAVVLEVFEVAPSLFMVDVRKAAGDTLEYHKFYKNFCAKLDHIIWKTKEGVSDTALLGTTTRRSGCAGVKQIS >CDP14318 pep chromosome:AUK_PRJEB4211_v1:6:35922103:35925830:-1 gene:GSCOC_T00040641001 transcript:CDP14318 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSSGSNRSFLSDDNRNHFIHRSLRRRPHPTFTASATAPNSRHHHHHHHHQNHYDVLGVPPDASPSNVRKAYRLLALKHHPDVSKDSGADEIFKSIRHAYDILSNETTRNQYDRALRYQKESRRPLGSSWDYNSEYEDELRIYRWAYLKRKMRQEKYWQQYQSREKRYSFYDEAEEVTEDEERGSFVEVLKSAFLSLFLMQTVGVQLSLTFSALVAFLDQKLDAGYKIGYLVAWMLGGRGGVMLTLCLSFASWVCGKTSSSLVALTIVAMWFGSNLARFAPLPQGALLTLLYMSIKLQVDLK >CDP06683 pep chromosome:AUK_PRJEB4211_v1:6:2571596:2575943:1 gene:GSCOC_T00023615001 transcript:CDP06683 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPCWKPSVEGDGSRRGADSNGRFDGLLWYKDLGSHVNGEFSMAVVQANGLLEDQCQLESGSLSSLTSGPRGTFIGVYDGHGGPETARFINDNLFHNLKKFATEHQEVSADVIKKAFLATEEEFLSSVKQQWLENPQLASVGACCLVGVICAGLLYVANAGDSRVVLGRADKTVRGVTAIQLSTEHNASIQSVRDELQLLHPDDSQIVVLKHKVWRVKGLIQVSRSIGDAYLKKPEFNKEPLLAKFRLPEPFSRPILSPEPSIFIHRLNTKDQFLIFASDGLWEHLSNQEAVDLVHSYPRNGIAKRLIKAALKLAAKKREMRYSDLKKIDRGVRRHFHDDITVLVVFLDPPSMSWIYSRSSTVSIRGSGGVFSAAKS >CDP15440 pep chromosome:AUK_PRJEB4211_v1:6:4754862:4755555:1 gene:GSCOC_T00043172001 transcript:CDP15440 gene_biotype:protein_coding transcript_biotype:protein_coding MMWGKKIGSVKKLARRAKSIKKVQREPSQQEYLLMGNCEAEESSPSTTPTGTFALYVGEARQRFVVPTGHLSHPLFRMLLEKASDEFGFDQRNGLVVPCSVTAFQGVLSAVECCNGKFDFGDLVQEFI >CDP10112 pep chromosome:AUK_PRJEB4211_v1:6:14235144:14241543:-1 gene:GSCOC_T00030724001 transcript:CDP10112 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVSFAVETIGNLLIEEAKFLDGVSDQVEQLQLTLKRMQSFLKDADEMQHEGEMLKEWISQARDLAYEADDLIESYAFKVASRRRKGIIKRCVCFLNECYTRHAIGAGIRTLKTKVSDLTKSFQDSGIRAVVERQRDDASSLNQQLRRTYSHVIEDDFVGLEGDVEMLVKHLLRGSDHDHEIDQHFRVVSICGMGGLGKTTLARKVYNHPKVRRCFDGLAWVCVSQKWQKEDILQRILLSLIPEKRKEILEWRDEELVRQLFQILQNKKCLVVFDDIWATEPWDCIKQAFPIRKNGYKILVTTRNKDVALHIDPNGFHHQPRLLSDSESWELLQRKALRHKKLINGFLNFKKLENLGKEMVKACGGLPLAVIVLSGTLATKKDLNEWATVNRNIKAHLGRGNSLINEEGNLHKILALSYNDLPYKLKPCFLYLSRYEEDSDIGTEKLYQLWIAEGIISTKDQIGEESMMDVAERYLGELVTRCMVQGKAPDDDDVMLSSVGRSFASCRLHDLMRDLSLLKAKEENFLLSISYYHDGILNEHGKNDHSQVYRLAVHFSAEDVRKYVPPAEKRNTRHLRSLALLLSGNEFYEGRLPNKMKSQFNHFKMLRVLAIEGIPGHLRLPKAIGELIHLRYLSLRNSVFLCLPSSLGNLQNLQTLDLRAGVCRIPNVLWKLRQLRYLYLPGCKNLCCKNLRLKGLDKLEILENFCPPSCSSQDISTLKNLRVLSAVVFMGNFDDEYFPIEIHRLMANSDHVGCTSLRIYSAATAASNKEKLSDAVGQCLSSRNLQVLEVHGPLANFPKYEAQYMYASLLKLKLTTMEIEEDSMETLERLPNLRSLHLQDLYILGKEMRCKATGFGQLRFLRFECLRNLEKWNVDEGAMPNLSVLTIVYCPKLEMVPNGLRFVKTLKELNIASMPKEFTDRIQAANGREDKGQDFDKVSHIPTISVRNVLS >CDP10556 pep chromosome:AUK_PRJEB4211_v1:6:5604829:5609633:-1 gene:GSCOC_T00031316001 transcript:CDP10556 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKPSTSLVNCCDCGCSCSPIMNRSFSGTMLRSVKRKFDEYDEGGNKFVIPGFIIPQNARIEMENECAALREMVASQQQNIQDLSVELEEERNAASSAANEAMSMILRLQREKAEIQMEARQFKRFAEEKMAHDQHEILAMEDLLYKREQAIQSLTCEVQMYKHRMMSYGLTEAEAEGDKLGNGSMTRSNSIIENLDGQFEIPTYDYPPLKCNLNENQAYFEPDNESVDVEKYAFGETPRSRDQLKDLEHRINQLERSPRNNQPEGEFFGTKNVLEKVIVGHSPRRPKHLRKFSTDSYNSFSANVREVGPDFSMDSPRFGGSFRKPEYLHPEELSNLRKVDNASDIGDDMSDRVYTIDSVHPGAPQCNGVKEPKASAAIGDDYMETPRGSLANTNMVDPDIQKLYMRLQALEADRESMRQAIISMRTDKAQLVLLKEIAQNLCKEMPPVRRPPVKKPSLIGSFSFISVFKWIVSFIFWKRKARRCKYMFGLSANDAGLLMLLDKAPPVGRWRCLSSTQV >CDP03382 pep chromosome:AUK_PRJEB4211_v1:6:7377935:7388456:-1 gene:GSCOC_T00041944001 transcript:CDP03382 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSAENLSVRSSSFREDDDDEEELRWAALERLPTFNRVRKGIFRNMVGDRVQIDVDKLQVQERKVVLDRLVNSVDDDWEKFFKRMRRRFDRVDLDFPKIEVRFQNLRVESFVHVGSRALPTIPNFIFNMTEALLRNLRIHGGKRRKLKILDDLSGIIRPSRLTLLLGPPSSGKTTLLLALAGRIKSDLQMSGKITYNGHGLNEFVAQRTSAYVSQQDWHVAEMTVRETLDFSARCQGVGSKYEMLLELSRREKLAGVKPDEDLDLFMKALALEGKETGLVVEYVLKILGLDLCAETPVGDEMLKGISGGQKKRLATGELLVGPSRVLFMDEISNGLDSSTTYQIIKYLKHSTHALDGTTVISLLQPAPETYELFDDVILLSEGHIVYQGPREAALDFFSFMGFSCPERKNVADFLQEVVSEKDQGQYWSVDDRPYRYIPVSKFAEFFRAYRAGKNLSEELAIPFDQHYNHPAALSTSQYGVKRRELLKTNFDWQLLLMKRNSFIYVFKFIQLLFVALITMSVFFRTRLHHDTIDDGGLYLGELYFAMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPCWAYTLPSWVLSIPTSLIESGFWVGVTYYVVGFDPNIIRFLRQFLLFFFLHQMSLSLFRLIGSLGRNMIVANTFGAFAMLIVMALGGYVVSRDSIPRWWIWGFWISPLMYAQNGASVNEFLGHSWDKRAGTNSSLPLGEALLKARSLFSESYWYWIGLGGLLGFTILFNFLFTVFLTYLDPLGKRQAVVSEEEIQEKETTAKGEPVIIQLRDYLQFPGSLARKSFKQKGMVLPFHPLSMSFSNINYYVDVPLELKQQGILEDRLQLLVDITGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGIIEGNIHISGYPKKQETFARISGYCEQNDIHSPCLTVLESLLFSAWLRLASDVDLETQKAFVEEVMELVELTPLKGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPKSCKLIEYFEAIEGVRKIRPGYNPATWMLEVTSSAEENRIGVDFAEVYRRSNLFQRNKELVERLSKPNSDSKDLMFPTIYSKSFLDQFLACLWKQNLSYWRNPQYTAVRLFYTFIISLMLGTICWKFGSKRDTQQDLFNAMGSLYIAVLFIGVTNGAAVQPVVSVERFVSYRERAAGMYSALPFAFAQVAIEFPYVFGQALIYCAIFYSMASFERTVSKFIWYMFFMYFTMLYFTFYGMMTTAVTPNHNVAAIIAAPFYMLWNLFSGFMIPHKRIPIWWRWYYWANPIAWSLYGLVASQYSDSDRPVKLSDGINSLPTRLMVKHVFGFRHDFIGIAGFMVVGFCILFAVIFAYAIKAFNFMKR >CDP06756 pep chromosome:AUK_PRJEB4211_v1:6:3211697:3215196:-1 gene:GSCOC_T00023713001 transcript:CDP06756 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVFRNAAFTAISVSRSSTSLNPKPISLCLSLSLFPPPKFPLISNSIPKNVTVAGLSSIRRCSLSSATSMTTAPEGEAHAPPSPTSDKLEKQFEDFRHHLEDSGNLRERIRAVATEIDSTTRLMHSSLLLVHQTRPIPEVLEKAKGQIDVLRDLFSRIAEIIRECPGQYYRFHGDWRSETQTVVSLLAFMHWLETGTLLLHAEAQEKLALNSSEFGLDVEDYLIGICFMSNELPRYVVNQVTAGDYDCPRKVLKFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLATNGELDGDKGIKDQT >CDP15397 pep chromosome:AUK_PRJEB4211_v1:6:4491489:4496362:-1 gene:GSCOC_T00043117001 transcript:CDP15397 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSFTSSLIASLGADTPLTLSFFSYSALTLVYGGILIYRRQKLLVPWYWYLLLGFVDVQGNYLVNKAYQYSSITSVTILDCWTIAWVIILTWTFLDTRYSPWQFFGAAVCVSGLVVVLLSDAAVGGGGGSRPILGDILVIAGTVFFALSNVGEEFCVKKKDRVEVVALLSLFAMLVSIGEIAVMERKSLESVKWSAEIILAFFGYAVASFAFYSVVPFVMKMSGATLFNLSILTSDMWAVVIRIFFYKQQVDWLYYLAFALVFVGLIVYSKSEKNPAAAAAAAAEDGDPNSQCRLLDEETTDFRNQAVSS >CDP10375 pep chromosome:AUK_PRJEB4211_v1:6:7064676:7065370:-1 gene:GSCOC_T00031084001 transcript:CDP10375 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGDWLCAACQYSNFKRRDACQKCGCPKYASPEDISSYRIHKTEVLPGDWYCTAMNCGAHNYASRTSCYRCGAMKSDNCGYGVGMMAPGGYLYDSSALPGWKTGDWICTRYGCGEHNYASRTECHKCKMLRDFCESSSAIHQSTGSTCL >CDP10106 pep chromosome:AUK_PRJEB4211_v1:6:14377233:14378085:1 gene:GSCOC_T00030717001 transcript:CDP10106 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIVFSTLLALCFCYVSFAFDPQPLQDFCVADAGGAVRVNGVVCKDPKKVTAEDFFFSGLQKPGNTLNPSGSRVTPVFAAQLPGLNTLGISMARIDYAPWGINPPHTHPRATEILTVLEGSLHVGFVTSAPENRLFTKVLQKGDVFVFPAGLIHFQRNVGYTNAVAIAGLNSQNPGVISVAANVFGSNPRISSDVLAKAFQVDRNIVETIQSKF >CDP06493 pep chromosome:AUK_PRJEB4211_v1:6:1173491:1177755:1 gene:GSCOC_T00023362001 transcript:CDP06493 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAIRP2 [Source:Projected from Arabidopsis thaliana (AT5G01520) UniProtKB/TrEMBL;Acc:A0A178U9T4] MMRKSFKDSLKALEADIQHANTLASDYPREYDGACLQMRLSYSPCAHIFLFLVQWTDCHLAAILGFLRILIYKAYEDGRTSMSVHERKATIKEFYGVIFPSLLQLQRGITEVEERKQREICAKKYTRSYEMGKGKLSEIEIEREEECGICMEMKSKVVLPSCNHSLCLKCYRDWRARSQSCPFCRDSLKRVNSGDLWIYTSSCEIIELSAIAKENLKRLFMYIEKLPLLVPDAVLVSYDPQFR >CDP03107 pep chromosome:AUK_PRJEB4211_v1:6:9692320:9695619:-1 gene:GSCOC_T00041593001 transcript:CDP03107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] MPVNLTQNAVSAITAGDVNSKPLVQVLDIKLIGSTQERYRLLISDSVLTQHAMLATQLNDLVKSGRIRKGTVVQLIDYICSTVQNRKIIVILNMETIIPDCDIIGNPKMIGDLDTGVQRSVPDRNNGSATLTNNNNLGTQNSGRSNFSNSNNLISRNSGSNNVQSFRPTVQPAYQPPPIYKNHGAIVKNEAPARIVPIAALNPYQGRWAVKARVTAKGDLRRYNNARGDGKVFSFDLLDSDGGEIRVTCFNAVVDRFYDIIEVGKVYMISKGSLKPAQKNFNHLQNEWEIFLDTSSTVDLCPDEDASIPQQQFSFRPISDIENVENNSILDVIGIVISVNPSVPILRKNGMETQRRILNLKDRSGRSVELTLWGDFCNREGQKLREMVEAGHFPVLAVKAGKVNDFTGKSVGTISSTQLFIDPDFSEAHVLRDWFDGGGKDVASQSISREIMPGGLKNEIRKTVSQIRDEGLGRSDKPDWITVKATISFIKTDTFCYTACPLMIGERQCNKKVARSGNSRWLCDRCNQEFEECDYRYLLQTQIQDHTGLTWVTAFQESGEEILGCSAKDLYLLKYEEENELRFAEMIKSCLFTQFMFRLKIKEEIYGDEQRVKITVVKAEKEDRITQGTRCSHCHSSSSLYIGDTNLIRDFHWFLAIFTLRKSVLD >CDP06693 pep chromosome:AUK_PRJEB4211_v1:6:2650945:2655424:1 gene:GSCOC_T00023627001 transcript:CDP06693 gene_biotype:protein_coding transcript_biotype:protein_coding MRALDFIFPFQKDGVQVFDLNGSRVAISELWRDRKAVVAFARHFGCVLCRRRADYLASHKEKMDAAGVALALIGPGSVDQARAFAEQTKFRGEVYADPSHTSYEALRFVSGVSTTFTPGAGLKIIQAYMEGYRQDWGLSFEKETRTRGGWQQGGIIVAGPGKHNISYIHKDKEAGDDPDIEDVLRACCP >CDP14105 pep chromosome:AUK_PRJEB4211_v1:6:17545094:17547655:1 gene:GSCOC_T00040329001 transcript:CDP14105 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVCSGFVLLMILAIAPVAAAAAKESAVNLLEAEALLKSGWWRDDTAARNVSAHCQWRGIICNDAGSVTDILLPNYGILDGLTNFSFSSFRNLVRLDLSGNNFYEAIPYQTGALSKLTYLNLSLNDLHGQLPSSLVNLTHLAQLDVSWNWIGGLIPPGIGNLTDLVTLDLSQNCFWGRIPPTLGHLSNLASINLSNNYFSGTIPSALFNLTNLSRLDIHFNPAMGGFLSEEIGNLKSLVELDFSGLNLSGALPLTLCRLTKLVSLSGAENQIHGSIPSEIGNLKILEHLVLGSNRLTGQIPPTLRNLTTLVTLNLSSNQISGSIPLQLSGIPSLTFLDLSYNALEGELPCELVTKFCSENFVGNPDLHHDSTLCGDHRHHPPYYIIGLGVSFLVFSLIGGLVLYIFCKTKVKKVELELMDNKHGDIFRIWNYDGHMAYEDIIKATNDFDVCYCIGTGGYGSVYRARLPSGKVVALKKLHRLEGENPNFDKSFRNEADMLSKIRHRNIVKLFGFCLHKRCMFLIYEYMDRGSLFCILRDETEAVELDWIKRVNLIKGIAGALSYLHHDCDPPIIHRDVSSNNILLNSQLEATLSDFGTARIFELDSSNQTVTAGTFGYMAPELAYTMAVTEKSDVYSFGVVVLETLFGEHPREFLSFIASQPNEPIMLKDLLDARLPPPTNPLVVRNVAVATALALDCVNTNPKCRPTMQQVVNRFEVGRREPTRPLHSIAVNQLVSPPVLSLPDQTCADGRSSSSTINEFHVDISATLPSSNFSIHVST >CDP03067 pep chromosome:AUK_PRJEB4211_v1:6:9999183:10001117:1 gene:GSCOC_T00041546001 transcript:CDP03067 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQALQLVRCLCTEVSKLDYATAASFFQQPIIVGASFGNNVIVEEILHSFPPAIWSRNHEGHNIFMTAVANRREGIFNLLYQMSGHKRLALRLVDNKMNNILHLAGKLAPTAQLNLVSGAALQMQRELQWYKEVEKHVLPESKAHKNSSGRTPAVEFSVEHKDLVKEGEKWMKDAANSCTVSATLIATIAFAASITVPGGNNGDTGSPIFSNDLAFNIFAAADALSLFSSTASLLMFLSILTARYAEVDFLYSLPRRLIIGLVTLFISITTMMIAFSATIYLVFGDKRAWTIVPIAAIACLPVTLFATLQFPLLMDMIRSTYCPGCFGKRSEDLLF >CDP15372 pep chromosome:AUK_PRJEB4211_v1:6:4245254:4245988:1 gene:GSCOC_T00043080001 transcript:CDP15372 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVLVFKPTFTPQICPILHPTNIHHNSSNKPEILYKLIMSSSSSGTRSGNSASSTSEAPLPGRRPMYRGVRRRKSSGKWVSEIREPRTPNRIWLGTFPTPEMAAAAYDVAALALKGPEAELNFPNSASSLPAPASASPRDIQAAAASAAAAAGAAMDALAGNAAALHAMNHALADKTENLISPTPPDHQKDHEFIDEDLIFDMPNVLTNMAEGMLLSPPRLDIAGDETSTESMGAHDLWNHP >CDP14107 pep chromosome:AUK_PRJEB4211_v1:6:17566671:17569572:1 gene:GSCOC_T00040331001 transcript:CDP14107 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFKGIAKAEALLKSGWWEDSGATATTISTHCQWSGIICNDAGSVTELLLPGYGIHDSLTNFSFSSFPNLVRLDLSGNGLIGAIPHQIGALSKLTHLTLSNNFMEGFIPSSIANLTTLVILDLSYNSFSGRIPPTLGLLSNLDFLDLNSNHFSGVIPPALSNLTKLSWLYIHGNPAMGGVLPEEIGRLKSLLELDLSYSGFSGSIPPSLGQLSNLRSLDLSTNHFSGTIPSALFNLTNLSQLDIHSNPAIGGFLSEEVRNLKSLIELDFSGLNLSGALPSALCGLTKLASLSGAENQIYGSIPSEIGNLKILKYLHLGSNRLNGQIPPTLGNLTTLVSLDLSSNQISGSIPLQLSDIPSLRFLDLSYNALEGKLPCELVNKFGSENFVGNPDLHHDSTLCGDHRHHPPYYIIGLGVSLVVFSLIGGLVLYIFCRTKVKKVEAELMDNKHGDIFRIWNYDGHMAYEDIIKATNDFDVSYCIGTGGYGSVYRARLPSGKVVALKKLHRLEGENPNFDKSFRNEADMLSKIRHRNIVKLFGFCLHKRCMFLIYEYMDRGSLFCILRDETEAVELDWIKRVNLIKGIASALSYLHYDCDPPIIHRDVSSNNILLNSQLEATLSDFGTARILELDSSNQTVIAGTFGYMAPELAYTMVVTEKSDVYGFGVVVLETLFGEHPQEFLSCISSQPNEPIMLKDLLDARLPPPTNPLVVRNVAVATALALDCVNANPKCRPTMQQVVNRFEEGRREPTRPLHTIAVNQLVSPPVLSLHDQTRADGTSSLSTMNEFHVDNSATLPSSNFSIHVSS >CDP09582 pep chromosome:AUK_PRJEB4211_v1:6:21139654:21142035:-1 gene:GSCOC_T00029012001 transcript:CDP09582 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRACLIDVSTIVFFCFLRCPYFMQCSKGLLLHIHIIIQNFIILSCFNQRSQMADWSQLPYDIIQMIAIRLDAVEDFLAFSAVCSSWRSFYVTKKWTPGPQVPWLMLSDNENSNMRSFFSLYRDKVYELELPEACGRRCWGSSDGWLVTIGSDLEIHLLNAFTRDQIRLPAASTFRFLFNVAVDWYQLIEKAILMRKPSQSTASKEDFLIVAIYGPLRQLAFTKPGYASWITVEESFQYRIMDVACLKDQIFAISATGTLLVVDINSHLPEIEHIAAPPHEWARDQIFLVESFGELLMIYQNVYVGNLNHTGDPVQFDVFKFDFGAREWTQIMDLGDRAIFLGDNISMSVSATNLINIRGNSIYFIGSKVEHWWRYDEHFVDRDSGVYNMASRIVEPFYFGADYPSYYSCPVWLTPTLCYNC >CDP06460 pep chromosome:AUK_PRJEB4211_v1:6:922773:923685:1 gene:GSCOC_T00023322001 transcript:CDP06460 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEEERAELNARARQGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRREQLGTEGYQEMGSRGGRTRKEQLGREGYQEMGRKGGLSTIDKPGGERAAEEGAEIHDSKFRTSNR >CDP09507 pep chromosome:AUK_PRJEB4211_v1:6:19252265:19254205:1 gene:GSCOC_T00028895001 transcript:CDP09507 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITLIAVFSIALFACITEARKNPTDFLQSAVINEHTEDNHHAESSLSNQKKTSNGNTLKDFESKPGSFLWGYQGNEAESKPKEEKPLMKGFESKPGSFLWGYQGNDVESKSKEEKPFMKGFESKPGLLSLGVSRQQC >CDP10425 pep chromosome:AUK_PRJEB4211_v1:6:6705763:6710801:-1 gene:GSCOC_T00031148001 transcript:CDP10425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Axial regulator YABBY 5 [Source:Projected from Arabidopsis thaliana (AT2G26580) UniProtKB/Swiss-Prot;Acc:Q8GW46] MSSCSDVSPPPPEQLCYIPCNFCNIVLAVSVPCSSLFDVVTVRCGHCTNLWSVNMAAAFQSLSSSSCWQDVQAQNSTPPDQYRIDFGSSAKGYNIKPATRPSIPNRAEERIVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDINHREAFSTAAKNWAHFPHIHFGLMLETNNKPKLGEDSEKRLTPRTALLNK >CDP03264 pep chromosome:AUK_PRJEB4211_v1:6:8411456:8420119:-1 gene:GSCOC_T00041785001 transcript:CDP03264 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSFLTNYVAFIVNLGDLLERWSNCSFRSTLHRVLVGGQERYSIAFFVFPSFNCVVKCLPTCHSEDDPPKYPPVTCGAYLMQRYEDTYVDRSS >CDP03050 pep chromosome:AUK_PRJEB4211_v1:6:10166511:10169268:1 gene:GSCOC_T00041526001 transcript:CDP03050 gene_biotype:protein_coding transcript_biotype:protein_coding description:20 kDa chaperonin, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20720) UniProtKB/Swiss-Prot;Acc:O65282] MATAQLTASTISAKGFVSFEGLRASSTTVKASTFAPLRQNGLSTRSFRGLVVKAAAVVAPKYTSLKPLGDRVLVKIKVPEEKSVGGILLPTSAQTKPQGGEVVAVGEGRTIGKSKVDISVKTGTQIVYSKYAGTEVEFNGSNHLILKEDDIVGILDTDDVKDMKPLNDRVLIKVAEAEEKTAGGLLLTEATKERPSIGTVIAVGPGPLDEEGNRKALSVSPGNTVLYSKYAGNDFKGSDDSDYIALRASDVMAVLS >CDP03407 pep chromosome:AUK_PRJEB4211_v1:6:7175109:7180012:-1 gene:GSCOC_T00041982001 transcript:CDP03407 gene_biotype:protein_coding transcript_biotype:protein_coding MILFGFLNQMALPNQQIVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKLRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCESIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALLPPEVQIDLAAQQQHEAELAQAAIQPLPDDDDDAFE >CDP03411 pep chromosome:AUK_PRJEB4211_v1:6:7134661:7139863:1 gene:GSCOC_T00041987001 transcript:CDP03411 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRMT10 [Source:Projected from Arabidopsis thaliana (AT1G04870) UniProtKB/TrEMBL;Acc:A0A178WKU5] MSNSVNGVGGSNRATTSVNGGGGPAVDKGVDYANYFCTYAFLYHQKEMLSDRVRMDAYFNAVFENKHHFHGKTVLDVGTGSGILAIWSAQAGARKVYAVEATKMAQHARELVKANKLQDIVEVIEGSMEDVALPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPISSKLANQKMSDFDGTIDDWYNFVDETKTYYGVDMSIFTKPFTDEQRKYYLQTSLWNNLHPNQVIGEAGIIKEIDCLTATIADILDVRASISTSIFAEDTRFCGYAGWFDVHFRGSMQNPAQQEIELTTAPSEDFGTHWGQQVFLIHPPLNVGQDDELIIHVSMNRSQENHRLMEIEFGCEIRYSSGKLLPPFRNKFYIE >CDP15769 pep chromosome:AUK_PRJEB4211_v1:6:26241067:26244772:-1 gene:GSCOC_T00015843001 transcript:CDP15769 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKTNRVQYPEGWELIEPTLNELQAKMREAENDPNDNKRKCEALWPIFKIAHQKSRYVFDVYYRRKEISKELFDFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFQTTCVCRVPKHLREEKVIECVHCGCKGCASGD >CDP19049 pep chromosome:AUK_PRJEB4211_v1:6:34559919:34562597:1 gene:GSCOC_T00012882001 transcript:CDP19049 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVISLVVERTGDLLIQKIVFLKGVRGQVERLQNDLVRMRCFLKDADQRQDEDARIRNWVSEIRAAAYDAEDIIEIFANKIESIRDKGFVTRLAYCPWRIVSLNKIGREIGSLQTRLDNIAASREKFGIKNLGEGTSTHGEELQRLRRSSPLSEDKDIVGFEEMTKSLVTELLKEDRNRRVVSIIGMGGAGKTTLAKKMQEQDLEQRLYQDLQDKRYLVVLDDVWEEEAWDCLVRNAFPDSSTSSKVLLTSRNRDVAVHADALSIPHELKTLGKEDSWQLFLRKALAHRDNAGCPPDLEEVGREIVRRCDGLPLAITVVGGLLLGKKELKSEWEKVLNSFNRNLSRSQSGVSAILELSYADLPPNLKFCFLYLGLFPEASVISVPKLIHMWVAEGIMQNRDAENLEETAAYDDVERLCGRNMVQVVETTVDARIKRCRVHDLLRELAIRKAEDENFFQIHDTSDGKISAKSRYLAVHSLPLDKNYFGTSIPPLRSLLFFNVHDYREDISLSFKSFRKLRMLDLENVRMGNLPKEIGEVRLLRYLVSDFIWKLESLRHLYAYDIECDVPLKIEGLRNLQTLSRIRFDDIMHNNMITLTSLQKLGILVDDRSEMDKLCMHLSEVGSLKTLHLYCNTESEWPSLDGLSKLHHVTELKLSGSALTMLPPDFPPNLSRLSLKHTDLTDDPMPVLEKLGQLSFLKMKDAYKGPQLVISRHGFHQLKFLELNSLSGLEEIQGEEGALLQLRCLRIRKCRELRKLPELPPTCTLDVIHEWDPSVGLLLLPLVVPLVPLLLCHIM >CDP10398 pep chromosome:AUK_PRJEB4211_v1:6:6916964:6921346:-1 gene:GSCOC_T00031111001 transcript:CDP10398 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTIAADAVDHEGFPADKSKTGGWVPAALILGIEICERLSTMGIAVNLVTYLGGTMHLPSAVSANTVTDFMGTSFLLCLLGGFLADSFLGRYKTIAIFSTIQAMGTGMLAITTKVPQLRPPPCAAHANCQTANGLQMGMLYLALYLIALGTGGLKSSVSGFGSDQFDERDEKEKSQMAYFFNRFFLFISIGTLTAVTVLVYLEDNVSRSLAYGICSLSMFIAIFIFFSGTRRYRYKKTVRSPIVQISQVIAAAIRKRRMNVPYDINMLYETNHEASRIQHTDQFRFLDKAAIVAEDDFSEKKVAASPNPWNLCTVTRVEEVKMMARLLPIWATTIIFWTTYAQMITFSVDQASTMERSIGNFQIPAGSVTVFFVAAILITLAVYDRLIMPFWKKWKGKPGFTSLQRIAIGLVLSTIGMAAAALVEMKRLSVAKSAGRTTATLPISVFLLIPQFFLVGSGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFFSSMLVSIVKGATRSHRGEGWLADKINYGRLDLFYALLAVLGVINFVVYSICAVWYKPKKPNPAVEMGNVANGAGAEEKC >CDP15304 pep chromosome:AUK_PRJEB4211_v1:6:3698375:3699471:-1 gene:GSCOC_T00042982001 transcript:CDP15304 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDLAEKLLTFTAKEAMDHYYQNMPLPLEILHEELKAKKFLAHIRPVHTLLADAKQRFTMVYYKDASHEQVSITKSNEQAFTCPSSGETSSSKAKACLLKKFDESDETSQLSSAPLQTSPRKKARQA >CDP16127 pep chromosome:AUK_PRJEB4211_v1:6:34168843:34170459:1 gene:GSCOC_T00017195001 transcript:CDP16127 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGNDSIAMKAKLSRFQPITNPRQIFLHPSASSPTKPTQEQEENLHLNIPVDDTFSPSSPSAVAVASEESLVTKILLKLPPKPLLRSQCVSKQWLSLISDPAFRRQHARAIRTHPTSDLLFFSPDSERNEIDLISLSPEGVDSVGNVSSPITDGILKRGDEIHSLHSCNGLLCMQIKLKNNRLQLIVYNPTTCKHRIIPWFSGDYNMPIFRYANIAFDPSKSDHYKLVCFGLDRDSDCNNYRFLIYSSETGAWRVTEDAVGTLPVRYYYDRGVLWNGDFHWFGTNYCTLCFDVQKERLKPSTPQIPASFGVRNKYDIWYFGEAGGDLSVMCVNKLEAMLFDVFALKRDYSQWILKHRVDFAPLTRYYPKMKGPGFHTPCLVADEEGKKARIVISVEDKFLSYDITDMVVKELVEVGPVYAEVAGWGDSTWYRWYEAFQHVDTLASV >CDP14335 pep chromosome:AUK_PRJEB4211_v1:6:35795527:35805676:-1 gene:GSCOC_T00040664001 transcript:CDP14335 gene_biotype:protein_coding transcript_biotype:protein_coding MALSYPQPGSLTICEINRDLITADNLSDDRAEETYAKILGTVFSPVPFQYETLLTVEEQEVAAAAQTKGGLLSAALQAVSTASLRPLFLLTPPVKLLQDVDLYGLSWHQHKNILAFVSGPNHVTIRDYEDSDGKDPCVLINESQKQVKSLEWRPNGGRMLSVACKGGICIWAASYPGNPALVRGGVTSSTLSRGSGTRWTLVDFLQSHDGEQISSLSWSPDGRYPKNFLFPLKVVFSLFLCCLYQAVYNRNFISNSSFTIWDVAQGLGRPIRRGLGGMSLIKWSPSGDYFIAAKFDGTFHIWETNTWTSEPWSSTNGFVTGATWDPDGQMILIAFSESTTLGSLHFASKPPSLDAHLVPVELQEIQSLTRSKGIEKIAWDGSGERLALSFKDGDDLYKGLVAIYDVRRTPLISASLIGFIRGPGVNPKPIALSFHDKFKQGPLLSVTWSSGMCCTYPLIFRTHVLP >CDP11653 pep chromosome:AUK_PRJEB4211_v1:6:28572066:28573395:1 gene:GSCOC_T00034059001 transcript:CDP11653 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKNKWAVLVGCNYPNTKYTLHGCINDVQAMRDLLVKKFGFDPKNINLLTDKPGSFILPTGANIMKALNRIVDQAQSGDVLYFHYSGHGTLIDKPFLPFSKEEAIVPIDFNLITNVDFRHLVNKLPKGVTFTVLSDSCHSGGLIDKEKEQIGPSNNHLHGDSSISCSKPKAIPYESVLTHLSSLSNINTTDIGTHLLEVFGADASLRFRLPHVELEFYKALKQDEGILLSGCQADETSADVISEKGEAFGAFSNAVQQVFKENSGPLTNAEVVSMARKILANQQFEQHPCLYCSDENAAATFLPNLQLLQAQVPE >CDP06511 pep chromosome:AUK_PRJEB4211_v1:6:1332504:1335367:-1 gene:GSCOC_T00023390001 transcript:CDP06511 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYSSSWVQILILALFLFPALVHCRVRHYKFDVVLKNFTRLCSSKPIVTVNGKFPGPTLYAREDDTVLVRVVNHVKYNVSIHWHGVRQLRTGWADGPAYITQCPIQPGQSYVYNFTITGQRGTLLWHAHILWLRATVHGAVVILPKRGVLYPFPKPHHENVVVLGEWWKSDTEAVINEALKSGMAPNVSDAHTINGHPGAVANCSSDQGGFTLSVEPGKSYLLRVINAALNEELFFKIAGHTLTVVEVDATYVKPFKTDTILVAPGQTTNVIVTADQSAGKYMVSASTFMDSTIAVDNTSATATLHYSGTLSSSPTTFTTAPALNATPVANKFTNSLRSLNSEKYPAQVPQTVDHSLFFVVSLGINPCPTCKAANGSRVVASVNNVTFVMPTVALLQAHFFNINGVFTTDFPGNPPSPFNYTGTPPANLQTKNGTKVYRLPYNATVQVVLQDTGIVAPENHPIHLHGFNFFAVGRGLGNFDPKTDPKKFNLVDPVERNTIGVPTGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGTNESLLPPPSDLPKC >CDP02839 pep chromosome:AUK_PRJEB4211_v1:6:12554689:12559770:1 gene:GSCOC_T00041229001 transcript:CDP02839 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSVSFQSDTFSLPISKREKPVNHALADRTLRPPSNVAHKQSETITPASRKPTPERKRSPLKGKNSADQSENFRPVEGVHSRLVEQHRWPSRAGGKLSANTVNRSIDLGDKAGRNSSLQQSGSGVPSLRRWSLDGMTKPLQKSASNLLAPVSSDEGGKQVIGDCSADDNSLHLHKPVSSSFLERSKLMNAAIRSQSLPVHSSRPPSPNKASVTSSTISRGVSPSRAKRVSPVPSRGPSPSRSRPSSPSRQSSNPTSVLSFIADIKKGKKTVNYIEDAHQLRLLYNRQLQWRYANATADAVLSSQKEKAELTLYSVWRSTSDLRDSVIKKRIKLQQLTLKLKLYAVLNEQLAYLDEWPGIERDHAESLSQAITDLQASTLRLPVTGGARGDIQTVKAAVCSAVDIMQAMGSSICLILSQVEEMNCLVSELADVVAQERAMLDECEALFASTSAMQVEEYSLRTHLVQLKEAWINDQLISGN >CDP14134 pep chromosome:AUK_PRJEB4211_v1:6:17975935:17977371:-1 gene:GSCOC_T00040370001 transcript:CDP14134 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNDHSTVQNQIASIQETHEVTVVMVPLPLQGHLNQLLHLSRLISSYNIPVHYVGTATHTRQAKVRVQGWDPLAISNIHFHEFSTPSYEAPPPDPNAPTKFPTQLIPVLNASVKLREPVHALLQQLSSTTRRLVVICDSVTPYVIKDVGLIPNAESYTFISISAFAIYTFSWEQEGKPRVFEPEILEALESLPSHESSIPQEVTDFLKLQKESMPIISGDLYNTCRFIEGPYLDLLAKAKTADSHKQWAVGPFNPVEINGQKNTEQRHYCLEWLDKQGPNSVIFVCFGSNTSVSHEEAAQIAIGLEKSGQKFIWILKDGDQGDIFKGEVRRAQLPGGFEERTEGRGIIVRDWAPQLEILGHSSTGGFMSHCGWNSCMESISMGVPVAAWPMHSEQPRNAIILEKVLKIGLSVRDWSRRDELVTSMAVENAVRRLMDSAEGEEMRQRAKELSKAVKDSVMEGGTSRLEMDSFIAHIRR >CDP14296 pep chromosome:AUK_PRJEB4211_v1:6:36165716:36167307:-1 gene:GSCOC_T00040605001 transcript:CDP14296 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQIKHAIVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDVLTLLESEREARRLR >CDP10147 pep chromosome:AUK_PRJEB4211_v1:6:13807233:13810863:1 gene:GSCOC_T00030767001 transcript:CDP10147 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASMDVVCSGVVLLMILATAPVAIAGTESAVNLEAEALLKSGWWGVNTTARNVSAHCQWPGIICNDAGSVTEILLPNYGILDDLTNFCFSSFPNLVRLDLSGNALDGAIPHQIGALTKITYLNLSSNHLREIGQLKSLIELKLSGNQFYGGIPPTLGHLSKLASLDLSDNALDGAIPHQIGALSKLTYLTLSSNHLRGELPFSLVNLTQLAELHVSSNIIKGFIPSFIGNLTNLVTLYLRYNNFWGRIPPTLGQLSNLDSLDLSNNHFSALPSSLGHLTKLISLSGAKNQIHGSVPPEIGNLKNLKYLNLAFNYLTGQIPSTLGNLTALTSLILSSNQISCSIPLELFNIPFLEFLDISSNQIIGPIPIQFGDDIIKSEWYHLTLNLSYNTLSGTVPSSLLRLGDVDLSYNALEGELPCDLVNKFGSERFAGNPDLRYTSTLCGASPPVMKNHRHHPPYYIIGLGVSLLVFSITGGLVIYIFCFKKVKVEPIDNKHGDIFRIWNYDGHMAYEDIIKATNDFDVSYCIGTGGCGSVYRVQLPSGKVVALKKLHCLDGENPNYDKSFRNEAEMLSKIRHRNIVKLFGFCLHKRCMFLIYEYMDKGSLFCILRDETEAVELDWIKRVNLIKGIASALSYLHYDCDPPIIHRDVSSNNILLNSQLEATLSDFGTARILELDSSNQTVIAGTFGYIAPELAYTMVVTEKSDVYSFGVVVLETLFGKHPREFLSSFSSQPNEPIMLKDLLDARLPPPTNALVVQNVVVATALALDCVNANPKCRPTMQQVVNQFEVGRREATEPLHTIAVNQPVSPVLSLRDQSCADGTSSSSTINEFHVDISAILPSSNFSFHVSS >CDP19792 pep chromosome:AUK_PRJEB4211_v1:6:34386675:34388790:-1 gene:GSCOC_T00005215001 transcript:CDP19792 gene_biotype:protein_coding transcript_biotype:protein_coding MMEILIALISALLIIVLSILQKNRTRSSRTKYPPPPGPRGFQSLEACFRLIPQPLMHTFGNFPKYMVLSCLLSLDPFHCLWFHPQEWLKKFLNGMRSRLERTCSKLDSFLQELIDENLNPNRPESMNSDVIGIMLQLWQEQSTSFDLTQDHTKAMLMVINYLFKGPTPFLFGYDNLGNGLMKNPEAILKKAQPEIRGAGGNKGIVNEDDIQKLPYLKAIVKVKETFRLYPSAPLSVPRQTLANCIINGHEILSNSVVYTNVWAIGRDTKYWENPNEFLPERCLNISVDMKGKDFQLIPLGAGRRGCPGYSLVLAMVEVGLANLLYSFDWDLPFGIKKDDIDTEVLPGLTMLKKNDQLLVAKNVYAQQVSSSGI >CDP16121 pep chromosome:AUK_PRJEB4211_v1:6:33997204:33998979:1 gene:GSCOC_T00017181001 transcript:CDP16121 gene_biotype:protein_coding transcript_biotype:protein_coding MYISELAIITQVANDVTHGINIIFGERDEIEIGDIRPRPAAEQKEAFCGGGTAGGGGINSRM >CDP09569 pep chromosome:AUK_PRJEB4211_v1:6:20914483:20924631:1 gene:GSCOC_T00028996001 transcript:CDP09569 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTVKVGAEHNRSVASGMPSFISPTPVSNQMATEGNATHSSRITDFGVLEQYLGFRIGDGANVNRSPAFNLGVTNAAAGTDLQSGKSANSNLPSAISMPQTTQLQKGSQPNVLSVPVAHHENWGESNMADSSSRTDTSTDPDGEDKNQRGDMGQSTGAATYDSSDKSKEKNMDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSMGDQSHGTSGNGAMAFDVDYARWLEEHNRHINELRTAVNAHAGDADLRVIVDNVTAHYNDIFRVKGTAAKADVFHILSGMWKTPAERCFMWIGGFRPSELLKLLEHHLEPLTEQQMAGIYNLQESSHQAEDALSQGMEALQQSLAETLANGASGPEGASGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARALLTINDYFSRLRALSSLWLARPREG >CDP14243 pep chromosome:AUK_PRJEB4211_v1:6:36922242:36933214:-1 gene:GSCOC_T00040528001 transcript:CDP14243 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGICLPSSFSISPIPKTKGSITSSSIHRCFCRINSYDFVVVNKTNKKKLKPCKNYFLYSNSCDVKIPAAQIGAAELEDSTTKSGFKNLVWPSPDDEIPFWRREFPTWDVNSDDSVNAEKDSDLLHIVHATAEMAPIAKVGGLGDVVTGLARACITRGHKVDVMLPFYECIQRQHINNLVLISTYASYFNGDWIPTNAYRGEVSGISVIFIEPSNHFFKGQSVYGGSYNELEAYLFFSRACLEWMQVTGTQPDIIHVHEWQTGALPLLYWDMYQDLSLKKPRIVLTIHNMEHYGECSQEQLSKCGLDGSVYATEDKAVDDRTIGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSGWLASTLLRNRDKYSGILNGIDSAMWNPATDVFLPAKFDAENFQGKNVCKHFVQRGLGLALEGTSSGSDRVPLVVCVTRLVAQKGLHLITHAIHRVDELVSSPSLSLSLHVRWLVVMVSHHLNKLYLDAACNPIRGTDVLIDVSIINAGGQMVVLGRAPDGRVQREFENLANLYNQGSGIRILLMYSEELSHMLYAAADMVLVPSMYEPCGLAQMIGMRYGAIPVVRKTGGLADTVFDIDQGSQPELANGFVFEGIDQGSLNWALDRAFSSYREKPNEWATTLQKVMKVDNSWNKTAGQYIDLYNTLRVKW >CDP03194 pep chromosome:AUK_PRJEB4211_v1:6:8980438:8983379:1 gene:GSCOC_T00041698001 transcript:CDP03194 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPREPQKNHQFKHLIGQNHEIALSQSIQTLLDSLHGSNFEKLSLLSADFKILLQCKTNPSLETIWVYSALAFHHLNSSKNEPLNQFAAIKDLFQLIISYSAGCSSLKSIILMSPVIYHVHKFAVDSKGYDLRSKKGKKLMKEIKGLVDSILGYINVCCEALEDDSDGLEGLIKPFEDLVSIWIWNENKKDRLRLFFPLLGEDLVEKSSVGGCELNELAGYVIAEVFLLKLCLAFRCGNSGKELQNELRNWVVGSITGLQNSYFFDSVLRMLLGPTLPVTFLLISEDEKSLRKLLYEPVILVEYSFLSPDRLAHLPANHAKNIALGRLMVTHEAIEFFRKHGDHTKALSCTNAFSTSSLPSELTKWVRSEIDVNDNNNGPNGSSPKAFLRWILDRENQGIQIFDNDMSSYHAKLILDNSEEDFNLSVYKENKKTDADLFFYIDNKGDAENEVKKDEKMTEAVSAAFVAAAQSLQSAEHGERKRKGGNMKKNNRLKFLKYDLYEGSAPSAAKPAVVDDNGLSSGSDVENPSSDEDEN >CDP03366 pep chromosome:AUK_PRJEB4211_v1:6:7549059:7551278:-1 gene:GSCOC_T00041924001 transcript:CDP03366 gene_biotype:protein_coding transcript_biotype:protein_coding MNARLIGSGKETIILAHGYGGDHSVWDKVVPSLAQLHQVLVFDWSFSGAVKDLNLFDAVKYSSYDAFADDIIALVEEMNLKSSVFVGHSMSGMIGCIASVKRPDLFSRLVLVASSPRFINSEDYEGGFEISHIEQIFASIESNYDQWATSFASAVVDNNDPPSVEKLAATLKRMGYKTALPLAKTVFLSDHRAILEKVTAPCTIIHTARDLVVPDSVPIFMQNKIKGPSTIEIIATTEGHFPQLTAHKLFLDVLDKVLIGH >CDP10114 pep chromosome:AUK_PRJEB4211_v1:6:14214542:14219699:-1 gene:GSCOC_T00030726001 transcript:CDP10114 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVSVAVETIGNLLIEETKFLQGASDQVEQLQLELKRMQSFLKDADARQHEEERVKVWISQARDLAYEADDLIERYAFKVASRRGKGIRGTAKRCVGILNECHARHTTGTGIQTLKTKISNLTKSFQEYGIAAVMERQDGASSSSHQQLRRTYSHVVEDDFVGLEGDVEMLVKHLLRGGDHDHEIDQRFRVVSICGMGGLGKTTLAQKVYNHPKVRRCFDGIAWVYVSQTWQKEDILQRILLSLIPEKREEIVKWRDEELVRQLFQIQQNKKCLVVLDDIWATEPWECIKQAFPIRNDGSKILVTSRNKEVALHIDPNGFHHQPRFLSDSESWQLLQRKALRGRFHGEHEDLKKLENLGKEMVKACGGLPLAVIVLSGTLATKKDLNEWATVNRNIKAHLGRGNNLINEEGNLHKILALSYNDLPYKLKPCFLYLSRYEEDSDIETEALYQLWIAEGIISTKDQIGEESMMDVAERYLGELVTRCMVQGKAPDDDDDVMLSSIGRSFASCRLHDLMRDLSLVKAKEENFLLSISYYHDGILNEHGNNDHSRVYRLAIHFSKEDVRKYVPPAEKRNTRHLRSLALLVSGYEGRLPKKMKSQFNRFKILRVLAIEGLFPANSEDHILKTVFLLVADHLRLPTAIGELIHLRYLSLRRSVFLCLPSSLGNLQNLQTLDLRDAVVFRIPNVLWKMRQLRHLYLPEGHSQLMGFLGKFKLNLFCRKLRLKGLDKLEILEYFCPPICSSQDISTLRNLRVLSAEVVLDDYDEGFPTEIQRLMSNSDHVGCTSLCIYSYKDSAATAASIKKKVSDVVGQCFSSRNLQGLVVNCPMANFPQYEARYMCASLLKLKLSSLEIEEDPMETLERLPNLRSLHLR >CDP10085 pep chromosome:AUK_PRJEB4211_v1:6:14749709:14753534:-1 gene:GSCOC_T00030688001 transcript:CDP10085 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSRCFVLLSFSFSFFLLASASSEADILLSFKDSIEDVSNTLSSWSDTTAIHHCNWTGVTCTNTFPQNVYFIDLQSMNLSGEISPSICQLPNLTNLNLADNLFNQAIPLHLSQCASLETLNISNNLIWGTIPEQISQFQFLKVLDFSRNHIEGKIPEGIGLLNNLQVLNLGGNLLTGSVPMVLGNCSELVVLDISQNPFLLSEIPADIGKLNKLEMLLLQSSGFYGQIPNIFEGLKSLSILDLSVNNLTGSLPPIGMSLPNLVSFDVSQNKLFGPFPNGICEAKDLANLGLYSNSFNGSIPNDLIKECSNLERFQVQNNMFTGNFPSWLWSLPKLKLIRAENNRFSGEIPDSISKATQLEQVQIDNNSFVSKVPQGLGLVSSLYRFSASQNKLYGELPPNFCDSPVMSIMNFSHNYLSGGFPEVKKCRKLVSLSLADNNLVGEIPQSLADLPVLTYLDLSHNNLSGPIPEGLQNLKLALFNVSFNRLSGRVPLSLISGLPASFLQGNPDLCGPGLPNTCSGDQSRHRTANLSKLTGALSSIALVFVVAIVAFGVYVLRQSHKQKSQMDAWKSVFFYPMRINEHDLIMAMDGKAARGDGGAFGQVYIVNLPNGESVAVKRLENFRSQSFKALKTEVKTLAKIRHRNIVKILGFCHNDDSLLLIYENISRGSLGDVIRKPDSQLPWTIRLRIAIGVAQGLAYLHQDYLPRLLHRNIKSRNILLDADFEPKLTDFALDRIVGETAYQLSLASGSEKSCYIPPEYGHTKKATEQSDAYSFGVILLELVTGRQAEQPAAMDSLNVVKWVRRKINLKNGAVQVLDPKISQNCQQAMLAVLEIAVQCTSVVPEKRPRMSEVLKALQSINSRNSLASKELSSSGESSVHF >CDP14115 pep chromosome:AUK_PRJEB4211_v1:6:17701175:17702524:-1 gene:GSCOC_T00040342001 transcript:CDP14115 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPAKAKTTSSTGTGSDHRNWGMGFLFILFPEDEDSNNNDSASAASSIINKKRHQNVFNPFSSSSNSSSSSSLPLPIFRRSNSSHLLSRAQSTISICALLFFITFLLFTLSTFEPSSHFTPRRQLSQFSSSTVSGKYSNDYNKKFKKSSASDPSLPHALQGMGTLYRRGTKAMNDLVVAHVSESLSLHELKLFLRLLYKSSLTSRADLLFIFPSKSASFDSAILEENDSFSQLVDHYKKLNSTQNLSLAQFVKSSKKDKQSGEPIWGRRIRSNFSGGNETESTRLSYGSVVSFDVDELDPENSLAGFLDHVPMSLRRWACYPMLLGRVRRNFKHIVLVDAKELLLTGDPLSRVRSASPESVYLSMVTQTVSTRHGKKNSEKTQVSVNPAIIRGGARGVRRLSSAMLTEIARAAMQRKKKNPVTEWGLFNRLVGNEFLLKNVNLIKSTE >CDP06206 pep chromosome:AUK_PRJEB4211_v1:6:22397384:22401847:1 gene:GSCOC_T00022886001 transcript:CDP06206 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAIDPVDEFLNLDAWPLSPEEDYSSSGNDSGDQRHSHHRDLPFSRSSISSSSSSSPHSPGESYMVGFLIVNVVGIQYYNGTINGREMVGLVREPLNAYDENAIKVLNTRSVQVGHIERMAAKVLAPMIDSRLIAVEGIVPKASARFNRFKIPCQVHIFARIEAFDAVKSNIAAAGLQLISENNASFALSEAAVVRQRRAGEGEKSVDEIFKLLDEKIGQTRALAALEPPKDVIKSELLLHQKEGLAWLVQRENCLELPPFWEERGGAYVNVLTNYMTDEKPEPLRGGIFADDMGLGKTLTLLSLIAFDKFHGPGPSSVDTGDGDVGKELELKEEEVIVVIDKRSKRQRGSKGTNTQQKRQKTEVVDAGDIKVKSKCSSDPHNSVVSRTTLIVCPPSVFSSWVNQLGEHTIRGRLKVYMYYGERTKDANVLQAYDIVLTTYTTLAAEDPWEDSPVKKIEWRRIILDEAHLIKNINALQSRAVTKLNAKRRWLVTGTPIQNHSFDLFSLMAFLRFEPLSIKNYWNNLIARPLASGDEKGISRLQVLMAAISLRRTKDKALVGLPSKSVETLLVDLSAEERDVYDKMESEARKVITHYISGDTLVRNYSTVLSILVRLRQVCNALALCPPDIRELLPSLEDVKKEPKLLEKMLSVLQEGEDFDCPICICPPRNAVITCCAHIFCQSCILKTIRRSNPSCPLCRHPLSDSDLFQAPPNLSETEDTPESSSFSSKVAVLLQLLSASRDHSPTTKSVVFSQFQKMLLLLEEPLKALGFRLLRLDGSMNAKKRAKVLKEFDVPAPEGPTILLASLKASGVGINLTAASRVYLIEPWWNPAIEEQAMDRIHRIGQKEDVKIVRLIAKETVEERILALQEQKKLLARKAFGRRVPQGQREINKEDLVTLMCL >CDP03323 pep chromosome:AUK_PRJEB4211_v1:6:7921634:7925091:1 gene:GSCOC_T00041867001 transcript:CDP03323 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTFDVGDRVVAEKVSYYFRRPCPNDIVIFKSPPVLQEVGYTDDDVFIKRVVAKEGDTVEVHEGKLVVNGVVRGEDFILEGPKYEMTAIRVPENSVFVMGDNRNNSYDSHVWGPLPLKNIIGRSIFRYWPLTRIGSTVLPESCVFDKQETSLAANNTPSESPNN >CDP03336 pep chromosome:AUK_PRJEB4211_v1:6:7837742:7840348:1 gene:GSCOC_T00041884001 transcript:CDP03336 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSTRRRLWVPGSVIVGAGPSGLATAACLKEKGIPSLILERANCIASLWQHKTYDRLRLHLPKNFCELPLLPFPKDFPTYPTKQQFISYLESYAKHFDLEPAYNNTVVSAEFDEGCGFWRVRTGVGLKGDQETEYVCQWLIVATGENAEEIVPEFEGMDKFLGPVVHTSSYRRGDCFQGKSVLVVGCGNSGMEVSLDLCNHNACPSLVVRDSVHVLPQEMLGRSTFGLAMQLLKWFPVRLVDRFLLVISRIMLGNTAQLGLPRPKIGPLELKSITGKTPVLDVGTLAQIRTGKIKVVRGIKRLMRNAVEFVDGMVENFDAIILATGYRSTAPAWLKGKNLSSEEDGFPSKPFPDGWKGHNGLYAVGFTKRGLLGTSMDARRIAEDIEKQWKVRAVQFQGLC >CDP10097 pep chromosome:AUK_PRJEB4211_v1:6:14472143:14473950:-1 gene:GSCOC_T00030705001 transcript:CDP10097 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSDVLYYGLLFFIWFATTTFFRYVLKQRKNGSKQIRHPPSPPSLPIIGHLHLLGSVASKSYQTLANQYGPLIRLRVVSSSVVVVSNAAIAKEFLKNNEMNFVSRPQFGAADFNIYAGSEFVNAEYGPYWRFMKKLCMTELLSVTQVNRFVDIRRQEMMKLLKILVGFSEERKACDLGVELMTTTNNVISRMAMSTRCTPALDEGRRLWEFVKEIFELSPKFALGELFGPIGKLDLFGYGKSVKALISKFDSLVEKIMVEHENELNSSNKERKDMMDILLEIHGDKKAEVKLSRTDIKSFLMELFMAGTETVSVALTWTLAELINHPKVFRQLRDEINTVVGSKRLVQEFDVPKLLYLQAVVKESLRLHAPAPLMFRRCGEDCKINGYDILANERIAFNVFAIMRDPSSWDNPLEFQPERFMVGSKVAYDDYQMDLKGQNFNVFPFGSGRRGCPGASLSLAVVHSAVALLVQCFDFTVHGGEKIKMEEGSGLSAGLAHPLMCYVTPHLNPLELLHLS >CDP09607 pep chromosome:AUK_PRJEB4211_v1:6:21751258:21753571:-1 gene:GSCOC_T00029047001 transcript:CDP09607 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFYYCLAPFFIRACDSLCILILTGIPVHNLFPAELQKGSPSGFFPPLALRYKLAYPSNNSSILDPVVENNYQLFMVERLIMMEDDNDLSLTDDPKKSTRTWQIPVYTMDTREC >CDP06541 pep chromosome:AUK_PRJEB4211_v1:6:1552452:1556875:-1 gene:GSCOC_T00023430001 transcript:CDP06541 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSK6 [Source:Projected from Arabidopsis thaliana (AT3G54030) UniProtKB/TrEMBL;Acc:A0A178VAE8] MGARCSKISFCWWHSHLKPSVLQSSSDLENGEKNDKNALPSFTEYTFEELKAATNGFSPENIVSEHGEKAPNVVYRGRLHHTGRWIAVKRFNKSAWPDSRQFMDEARTVGNLRSERLANLIGCCCESEERLLVAEFMPNETLAKHLFHWENQPMKWAMRLRVALYLAQALEYCSSKGRALYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTMLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGHFSNDDGTELVRLATRCLQYEARERPNAKSLVTALLSLQKDSEVPSYVLMGISHGSASPVEPLLLTPMGEACLRMDLTAIHEMLEKAGYKDDEGIANELSFQMWTNQMQETLNSKKQGDAAFRAKDFTTAIDCYTQFVDGGTMVSPTVYARRCLAYLMSEMAQEALGDAMQAHVVSPEWPTAFYLQAAVLFTLGMDNDAQESLKEATKFEAKRNKN >CDP15342 pep chromosome:AUK_PRJEB4211_v1:6:3952916:3956318:-1 gene:GSCOC_T00043033001 transcript:CDP15342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase PXC1 [Source:Projected from Arabidopsis thaliana (AT2G36570) UniProtKB/Swiss-Prot;Acc:Q9SJQ1] MDLCPAFFSLLLLLLITHLSFFTTTASSNDTAALTLFRSQTDLHGTLLSNWTLPPASNAATTACTASWVGVKCTNGRVTALFLPSLNLRGPIDSLSSLDQLRLLDLHDNRLNGTLSPLIRCLNLKLLYLSGNDISGEIPPDISSLRRLLRLDLSNNNLRGPIPSQFSNFSRILTISLQNNALSGTIPKSLVSLQELKSLNLSNNEFYGAVPQDLLKRYGENSFAGNEGLCGTSPLPPCSFTGKQPPSSSQTVPSNPSSLPATTAATFPTEKSKTHSRKKLGSGALVAIIVSTAVAALVIASFLIAYCCGRYSRDGSSLAGSESGKRRSSYSGGERRVYANNGGADSDGTTATDKSALVFFDRRKQFELEELLRASAEMLGKGSLGTVYKAVLDDGCTVAVKRLKDANPCARKEFEQYMDVIGKLKHPNIVKLRAYYYAKEEKLLVCDYMPNGSLHSLLHGNRGPGRIPLDWTTRISLVLGAARGLAKIHQEYATSRIPHGNVKSSNVLLDKNGVACISDFGLSLLLNPVHAIARLGGYKAPEQAEIKRLSQKADVYSFGVLLLEVLTGKAPSQYPSPSRPRLEEDDEEQPVDLPKWVRSVVRDEWTAEVFDQELLRYKNIEEELVSMLHVAMACVVPQPEKRPTMAEVTKLIEEIRVEQSPLGEDYDESRNSLSPSLANTEDGGVAGY >CDP09552 pep chromosome:AUK_PRJEB4211_v1:6:20551753:20553347:-1 gene:GSCOC_T00028970001 transcript:CDP09552 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVLKKFGGDEKKDKDIVPVIQECYDKFFVDTENWSRADFYHAVCLTVEEINKKLGSTQFRVPKASTLQQAYDKHHQGKGKALTKEEFQKILQDVILDTGVTGIGAKDTLFYMLGVPVTALFFKQRLIPTAIPNEIFIPGVTSATVFLLAKLNKI >CDP14281 pep chromosome:AUK_PRJEB4211_v1:6:36359672:36369881:1 gene:GSCOC_T00040584001 transcript:CDP14281 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKEICLEGFKSYATRTVVSGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSIVFDNSDRSRSPLGYEDCPEITVTRQIVVGGRNKYLINGHLAQPSRVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEAALKTLEKKQSKVDEIDKLLDQEILPALEKLRKERMQYMQWANGSAELDRLRRFCIAYEYVQAENVRDNAVQMVEELKAKIVEIDNNTELMHRELQQMEKQASELTAAKEASMGGEMKLLSDKVDALSRELVKETTVLKNQEESLMTEKENAGKVERNLEELKQSVEERAAAVKHAEDGAADLKHKFEELSKSLDENDKEYQGILAGKSSGNEEKCLEDQLADAKVVVGKAETELKQLHTKISHCEKELTEKTEQLVSKQEEAQAVENELNNRIKDVENVKKLLEALPYKEGQMEILQKDRAIELETVQNLKDEIRILSSQLANVDFTYNDPVKNFDRARVKGVVAKLIKVKDSSAMTALEVAAGGKLFNIVVDTENTGKQLLQNGGLRRRVTIIPLNKIQSNPIPTGVRNAAVRLVGEGNAEVALSLVGYDEELKSAMEYVFGSTFVCKTTDSAREVAFSREVGTPSVTLEGDIFQPSGLLTGGSRKGGGDLLRQLHTLAEAESKLALHQKHLSEIETKINDLLPLQRKFKDLKTQLELKSYDLSLFQSRAEQNEHHKLAELVKKIEQDLGEAKSSVKEKEQYFGICVAEVSSLEKSIHDHAGSREKKLKDLEKRIKAIKVQIQSASNALKGHENERERLVMEMEEVKKEQSSLESQLASFKQLIDNLILEVEAQKNKVASLHKDHSQADSELNVARMKMKECDSQITGILKEQEKLKRKITDTNLEKKRMENDVKRMEVEQKNCSLKVEKLIEKNAWITSEKQLFGRSGTDYDFAARDPLKERDNFQKLQDELSGLEKRVNKKVMAMFEKAEDEYNDLISKKNIIENDKSKIKMVIEELDEKKKETLKVTWAKVNSDFGSIFSTLLPGTMAKLEPPEGGSFLEGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKTHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTATSNPRK >CDP14352 pep chromosome:AUK_PRJEB4211_v1:6:35599756:35601035:1 gene:GSCOC_T00040690001 transcript:CDP14352 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNPLLFPNRMPVMFVLARDGVEFEVDNIPGAQGGHVKAKGIIYLSNTRVVSVANKPVGDFAAFDLPLVSWLCTFCSTHHSSLSYSLKRFVVNKVTIPTTRGLCI >CDP02885 pep chromosome:AUK_PRJEB4211_v1:6:12025493:12031228:1 gene:GSCOC_T00041290001 transcript:CDP02885 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAIFKSTLLVGISCSTQLRFTIKGASFRAFGKSPSSSSSSRVSPMATSERSDAAAASSPSSSSPASRSSASAIDFLTLCHRLKTTKRAGWVKKGVENPESIADHMYRMGVMALIAADIPGVNRDKCIKMAIVHDIAEAIVGDITPSDGISKLEKSQREQEALEHMCKLLGGGPQAKEIADLWTEYEENTSLEAKVVKDLDKVRQDFIETLEYENEQGKDLDEFFQSTAGKFQTNIGKAWASEIASRRRNH >CDP09606 pep chromosome:AUK_PRJEB4211_v1:6:21720490:21721796:1 gene:GSCOC_T00029045001 transcript:CDP09606 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLPNFQVSLPRLEVLEITNPGDGPEQLIGGKMPSGSLDNLKSVELWGCKSIRCIAKADMVILLQNLQALLTWDCHGMESFVDFEGLKVHNTPSEKAFEILPKLESLNLYNCASFIPIWRNFPEGVRVFQNLRSLKVVNCTLYCLFYPPCMANMLISLEALEVCGCSKMCEVIGEEDEEISQEDNTRHHDVGKRREIALERTSKEFVFPRLSFLRLAYLENLRSFGGSNRKDYEFKFPLLTELIISYCPKLKKFCSGNLDAPLLKKVQIGPSDTENFEAPVDLKVQIS >CDP03175 pep chromosome:AUK_PRJEB4211_v1:6:9093375:9095561:1 gene:GSCOC_T00041676001 transcript:CDP03175 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLKFVISASEAESVAQSLGFPSVLHLLPTLVQPAQSLARPPISKFPVGAVGLGSDGRVFIGVNLEFPGLPLNQSVHAEQFLCNNLAVHGCPRLIALAVSAAPCGHCRQFFQELRDPSSLQILITSDHPNQNFSKSPLPFKPLPEFLTNPFGPCDLLDKESPLLLEPHSNGLSFDTSRKHANFNGFYGDLEESEALLRIEALEAANKSHAPYSGCPSGVALMDPEGKVYRGSYIESAAYNPSLGPVQAALAAYIAWGGGGYERIVAAALVEREGAKVSHEDTARLLFKRVSPKCEFRVYHCSSVENGSVNFSRLSLRRGTRWLLDTFHMKMLSYLVLQPPKTKRMWVKEVLESVTFLFGRYHAFAALLFHQHCSNQTPVLH >CDP18654 pep chromosome:AUK_PRJEB4211_v1:6:15338028:15343121:1 gene:GSCOC_T00004148001 transcript:CDP18654 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKFLYQSEHLSTELKDFVAIGKLFVSMGGAPAFVADDLPGDYPNGFDLKSEGHNTVVNVGNKTYLIGGTDHGSKSTIGVRVSDKRTGGWVTPTVLGTRPKPSEVISAVILNEDRIFILKGDSSPDDCFWFLEVDTPFVQEKRKEFGTEVVAWSKGVVGDAKKPIVISGPSGVGKGTLISALMKEFPSTFGFSVSHTTRAPREREQNGVHYHFTERSVMAEEIRDGKFLEFAEVHGNLYGTSIEAVEVVADAGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEQQIQKRLQNAKAELEQAKSQGLFDHMLVNDDLETCYASLKKLLDLDEAVEIINESSPPLVDLPRDHSVSKIDKKILISCGTRERASKNIFLLDLSSLKGGAPGRTRGLDIYTSDSMTNGINVAVEGDGEHLHEVEVIQEYEIEDGGPSIMK >CDP09547 pep chromosome:AUK_PRJEB4211_v1:6:20453534:20485065:-1 gene:GSCOC_T00028964001 transcript:CDP09547 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQSVVGRPDVVPRLLYSSSNARDLVFVDFVGLGCKFRRSRRKLGVSSTTPSARGLLGRNNWSSSSIRAVLDLERIGTSSSKVPSHSDDDSKPKVANLEDIISERGACGVGFIANLENKASHDIIKDALTALGCMEHRGGCGADNDSGDGSGVMTSIPWDFFNDWAVKQGIAAFDTLHTGVGMIFLPQDDELMKEAKTVVENIFKQEGLEVLGWRQVPVDTSIVGFYARQTLPNIQQVFVRIGKEENIDDIERELYICRKLIERAVNSEIWGNELYFCSLSNQTIVYKGMLRSEVLGRFYFDLQNDLYKSPFAIYHRRYSTNTSPRWPLAQPMRFLGHNGEINTIQGNLNWMRSREASLKSSVWRGRENEIRPFGNPKASDSANLDSTAELLIRSGRTPEEALMILVPEAYKNHPTLSIKYAEVVDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTKDNVVYVASEVGVLPIDESKVMMKGRLGPGMMIAVDLTSGQVYENTEVKKRAALSSPYGKWVTENLRSLKPVNFLSATVMDNEAILRRQQAYGYSSEDVQMVIETMAAQGKEPTFCMGDDIPLAVLSRKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNLGKRGNILEVGPGNASQQMILSSPVLNEGELDTLLRDPILKAQALPTFFDISKGVDGSLEKTIYKLCEAADEAVRNGSQLLVLSDRSDEPEPTRPAIPILLAVGAVHQHLIQNGLRMSASIVANTAQCFSTHHFACLIGYGASAICPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQKNFCKAVKAGLLKILSKMGISLLSSYCGAQIFEAYGLGKDVIDIAFCGSVSTIGGLTLDELARETLSFWVKAFSEDTAKRLENFGFIQFRPGGEYHGNNPEMSKLLHKAVRQKSESAYSVYQQHLVTRPVNVLRDLLEFKSDRPPIPVGRVEPASSIVLRFCTGGMSLGAISRETHEAIAIAMNRLGGKSNSGEGGEDPIRWKPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNADQIEIKIAQGAKPGEGGQLPGKKVSTYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPVSSIKHAGGPWELGLTETHQTLIENGLRERVILRVDGGFKSGFDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFIYVAEEVRGMLAQLGYEKLDDVIGRTDLLRSRDISLVKTQHLDLSYILSNVGLPKWSSTTIRNQDVHSNGPVLDDNLLSDSEISDAIQNEKVVHKTVTIYNVDRAVCGRIAGVVAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLAGEANDYVGKGMAGGELVVTPAESTGFCPEDATIVGNTCLYGATGGQVFVRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLIPKVNKEIVKIQRVLAPVGQMQLKSLIQAHVEKTGSSKGSSILKEWDKYLPLFWQLVPPSEEDTPEACAEYEQAATGQVTLQSA >CDP06753 pep chromosome:AUK_PRJEB4211_v1:6:3193061:3193891:-1 gene:GSCOC_T00023708001 transcript:CDP06753 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRVFLQSSPPIPAALGERERVNAYGFTYVRFTKSCNACSYNFVSKNSSKYRRKKKRDSMAMRSQPSCKRKILPSPPACCIAILATHTCQTFNEISSSPGSSFPFYIYPGSLFLISVLSITPPPFLNRPSLDFMQVLRFFHTLEQNTSMKVIQGKFLRACLRKCRMLDTKAISPAPCDRCWQLLSSRSVRKKKKTVPRDVPKGHLAIYVGEFRKRFVIKITLLDHPLFRALLDHAQEVYDFTADSKLCIPCDESIFLSVVRCATSPENQPICISL >CDP06244 pep chromosome:AUK_PRJEB4211_v1:6:23946182:23947670:1 gene:GSCOC_T00022962001 transcript:CDP06244 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTEKLEACQRKKSNGNAPFKAGRFELASKKYGKEFLRKFFTVIVQFKQCCLQLKLEKYFKASRLQGFSPRLFLEVDPCSVEALFRRSQAYLRTSDLEKAEGDIMRALAIDPNNREVRLDYQELKGKKRQYIRHEG >CDP09539 pep chromosome:AUK_PRJEB4211_v1:6:20211441:20215491:1 gene:GSCOC_T00028954001 transcript:CDP09539 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSASSKSLTFRVTRQKPELVRPAKSTPRECKLLSDIDDQEALRIQIPFIQFYRNRDDPGINESRRRSDPVKVIREAIAKALVFYYPLAARLREGPGRKLMVECTGEGVLFIEADADVTLEQFGDAIQPPFPCLEELLYDVPHSGGILHCPIVLIQVTRLRCGGFIFALRMNHTIADGVGIAQFMNAVGEIARAASAPSIQPVWQRELLYARDPPRVTCSHHEYDEVVVDTKGTIVPLDDMVHRSFFFGPAEVSALRKSIPLDLSHRCSTFDILTAFLWRCQTIALQYDPNEEVRVICIVNARFKFNPPLPQGYYGNAIAYPTALTTAGELCEKPVGYAVELVTKAKRVVTEDYMKSVADLMIVDFGWGKPVYGGPAQGTVACFHIPFKNKKGETGRVVPIFLPGLAMDRFVNELENFFLSHNVRPHFRRATSRL >CDP16113 pep chromosome:AUK_PRJEB4211_v1:6:33714729:33716072:-1 gene:GSCOC_T00017164001 transcript:CDP16113 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEDKPQLRLTHYTSKISGTTPLHSAVSPLPPPPSPSTLFIINNEDLLTEILLCLPPKSLLRLQCVSKLWLSIISRPNFRRLHARRNPTSSAAVTNLFFYRVFCWVPEFNFLCLSNSLEDVNSMRIITSNLSNRREGFPWRIHSCNGLICLDPYDDESTKFFMYNPSTSQSRFIQLPDSPENRHSIRDLSLAFDPLKPDHYKILCVWMRLPEIVLRFSLYSSETGVWKETDDSMFNSIKVLFKYGAYLDDAMHWANADGDFLCFDLWSERFKPMPSPLIPHDQWRRNILYFGESGGHLHLIETYSPRATLVDVLEMESDYSKWYVKHRVDLDFLIAEYPLIVNEAFDPDEVERYQFRVPSFVADEKDNKAKLVLSLPGKLISYDIITKKVVEHVEINPPGALYFGENLTLYDWIDAHQHLETLACV >CDP06604 pep chromosome:AUK_PRJEB4211_v1:6:2019923:2024865:1 gene:GSCOC_T00023512001 transcript:CDP06604 gene_biotype:protein_coding transcript_biotype:protein_coding MFVITMYVNNCPKNSVSCVAGFLGRFSFQPFKENPLLGPSSTTLQKLGALDVSKVVHEHQGWRLITCMWLHGGVFHLLANMLSLLVIGIRVEQEFGFVRIGLLYIISGLGGSLFSALFLQSNISVGASGALFGLLGGMLSELITNWSIYANKVVSLVTLVVIVAINLAVGLLPHVDNFAHIGGFLSGFLLGFVFLIRPQFSWVSQRYALQTYPSSSPKHKFKAYQCILWVLSLILLIVGFTLGLVLLLRGVDLNDHCSWCHYMSCVPTSRWSCNTQPVSCMSDQVGGQLTLTCSNNGKTKTYSLQSPSPSQIQGLCSQLCR >CDP10243 pep chromosome:AUK_PRJEB4211_v1:6:12758366:12759408:-1 gene:GSCOC_T00030906001 transcript:CDP10243 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPGINNQSGRFQAPPPRGQQAMTAAGVATPIMGQPTAEAGAGAGAGASQASPKIPSPQHSSEVLHQTRKLPYCPARMAMAGFACVATLAYFTLFSHKKPEATALDVARVTTGTATPENTRPRT >CDP06547 pep chromosome:AUK_PRJEB4211_v1:6:1595662:1596562:1 gene:GSCOC_T00023438001 transcript:CDP06547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter 4 [Source:Projected from Arabidopsis thaliana (AT2G37925) UniProtKB/Swiss-Prot;Acc:Q8SAA5] MQHLSSNFLNPRMPQSHTRTPSPHPSPGSSYHHIFFMAIDALPIHHNTTAPPPPPPPPTNVHHHHPFSLFTHFYWGKDAEILFSGWPGHNSAMYALALLFVFFSAVLVELFSNINVVRPGSKRVAAILFQMGIRAIRAGFAYLVMLSVISYNGGIFIAAVVGHAVGYVAFGSPVSIFRKGSANTGN >CDP09510 pep chromosome:AUK_PRJEB4211_v1:6:19477877:19479938:1 gene:GSCOC_T00028904001 transcript:CDP09510 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFLNQAKQYSASRPRYPDQLFEFIASKTPSHDLVWDVGTGSGQAAAALAKIYKNVIATDTSPKQLEFAPKVPNIRYQCTSPKLSIAELEENIAAESTVDLVTIAQALHWFDFPTFYQQVKWALKKPNGVIAAWCYTVPEINPSLDAVFQRFYKVDSDPFWEPQRKLVDNKYETIDFPFEPVDGVDHTGPFQFKAENVMDLEGFFTYIRSWSSYQTAKQKGVELLNETVVKDFTSAWNEDGISKKVVTSPIHLRIGKVGNLH >CDP14249 pep chromosome:AUK_PRJEB4211_v1:6:36878045:36880332:-1 gene:GSCOC_T00040538001 transcript:CDP14249 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVIAEGAGQDLLRESMHVVDKKDASGNKQLQDVGFWISQKIKSSFSESLLLLGGYSHNPTYMIRAIPGNASDNGLFNARHAYIPFYRVIERQNKVVITDRMWARLLSSTNQPSFLDAKDVAESKKEKPPSSQLLSDDDGENLHRENHSGKSSYFL >CDP06526 pep chromosome:AUK_PRJEB4211_v1:6:1454709:1459220:-1 gene:GSCOC_T00023410001 transcript:CDP06526 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDHGNAKSDISLPGIFASSAFAACVAEVCTLPLDTAKVRLQLQKKAVEGDGLALPKYRGLLGTVGTIAREEGMSALWKGVVPGLHRQCLFGGLRIGLYEPVKNFYVGKDHVGDVPLSKKILAALTTGAFGIMVANPTDLVKVRLQAEGKLPPGVPRRYTGALDAYSKIARQEGIAALWTGLGPNVARNAIINAAELASYDQVKQTILKIPGFTDNVLTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDSAYKNTLDCFVQTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVRSIESS >CDP10218 pep chromosome:AUK_PRJEB4211_v1:6:13022717:13026873:1 gene:GSCOC_T00030875001 transcript:CDP10218 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGRRKGGGGATSSRIGVVAGSVWESRMKLDEVKGGIKVFNGEKNGSNDSEENDEKDAIVPAQVDKKMNLRPKTSPVGASGKRKTWKSDSSEKSPIQIARQRSETNKNLDEQFRELSMSADGIKRSPAQSKKSKSEAIKDLGASVDGIEKSPIQSMKIRSASQKFSSESSDGNDKNPTQLRKLKSETIKALNTENASEKTSELRKVKSDSIKAVEDSSNGNVNNSLQLVKAKTVSSKDFDDDNGKSSKVQSEKEKAVVDESNKSPKEDNIVRMEKTRSDEDCKEVDVCEEKVITSNISSNAGQQQVKSPPTVEDTDDFDDADGDEEDWDDELEEEGDQEIEVEIEKTSLVVKEISVAEQKPKKVVVEEKKFRQSNERPMSIPSLVKKQSPPVVSHARVHPSPTKKRPIPDEFRGSPRTRSKLQSIVDLVMWRDASKSAFVFGIGTFIIISSSYAKDLNISAISVLSYLGLIYLAAIFLFRSLISRGAVDLDDSSTDYVVGEEEAVWLVKLILPCVNEFLLKIKALFSGDPATTMKMALLLFVLARCGSSITIWKMVKLGFFGVFTVPKVCSSYSTQLTAYGVFWIRRFRDAWESCSHKKAVAFAIFTLVWNLSSIVARIWAVFMLFVAYRYYQPSFIGEGWATAEEQTRAEDSSSASGQSGGHVQRQRQRPIVTETGKQKRTF >CDP10226 pep chromosome:AUK_PRJEB4211_v1:6:12895922:12899413:-1 gene:GSCOC_T00030885001 transcript:CDP10226 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSFFSSFFILTLFFRPLVCQQPNTDESFVSDFLQKMGLNSSDIYNFSAPFCSWPGVFCAANEANVVKLVASGWGLSGVIPDSTIGKLVKLESLDLSNNKITGLPSDFWSLGSLKSLNLSFNYLSGDLPSNIGNFGQLEILDLSSNQFSGSIPEAISSLGSLQVLKLDRNGFEFSMPLGILQCRSLVSLDLSGNKFNGSLRDGFGASFPKLRYLNIAGNGFTGKESDFLGLTSITYLNISGNYFQGSVVGLFEGPLEVLDLSRNQFQGHISQVNSVSSFSLSRLVYVDLSENQLSGEFFNDLNEAQNLRHLNLAHNRFSKEQLLHIGMLSGLEYLNLSASNLIGEMPGEISMLSNLKTLDLSRNHLSGHIPLLSIQKLQVLDLSYNNLSGDIPMKLIDKLPWMERFNFSYNNLTLCASQFSPETLNSAFIGSLNSCPIAANPDLFKRRTQKHRGLKLAVVLTISMVFLLLGLLFLAFGCRRKTRMWEVKQNSYKEEQNISGPFSFQTDSTTWVADVKQATSVPVVIFEKPLLNFTFADLLSATSHFDRGTLLAEGKFGPVYRGFLSGGIHVAVKVLVHGSTMTDQEAARELEYLGRIKHPNLVPLTGYCLAGEQRIAIYDYMENGNLQNLLHDLPLGVQATEDWSTDTWEEDDNNSIQNIGSEGLLTTWRFRYKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDLNLEPRLSDFGLAKIFGNGLEDEIARGSAGYVPPEFLDPQIGSPKNPTPKSDVYGFGVILFELITGKKPVDDDYPDEKEATLVSWVRGLVRKNVGSRAIDPKIHGTGLETQMGEALKIGYLCTADLPSKRPSMQQVVGLLKDIEPVS >CDP06556 pep chromosome:AUK_PRJEB4211_v1:6:1645955:1646312:-1 gene:GSCOC_T00023447001 transcript:CDP06556 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRRRVLMRTMLKIKKMIRLAHGTAIQGLELQGVKVWVVVSLPLVSVTKKRKVGVSTGEYKDISSW >CDP02838 pep chromosome:AUK_PRJEB4211_v1:6:12559929:12564061:-1 gene:GSCOC_T00041228001 transcript:CDP02838 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKNISHGLECPLVPVSSGVQGSSTKHSDFLAEVKKLLALAGPLILVNFLIFALQVIALMFVGHLGELALSGASLATSFASVTGFSLFNGMSGALDTFCGQSYGAKHYRMLGIHMQRAMVVLLLTCIPLTCIWANTGRILAFVGQDPEISFEAEVYARFLIPGIFAVALLYCQIRFLQAQNNVFPMMLTTGIITLVHLLMCWLLVFKSGLGSRGAALASSVSYWINVLLLALYIRLSPSCKMTWTGFSKEAFHHIWKFLTLAIPSAIMTCLEIWSFEMMVLLSGLLPNPKLETPVLSISLNTCSMIYVMPLGLGGAISTRVSNELGAGRPYEARLAACIGLLVVLTEGVLASTCLILLRKVWGYCYSTEEKVVRYVADMMLLLAGSHILEGIQTVLSGTARGCGWQRVGAIINLGAYYLLGIPSAILLAFVYHVGGKGLWIGIIVALAAQTSLLGIITVRMNWEKEAKKAADRVSGTVNPREAS >CDP06734 pep chromosome:AUK_PRJEB4211_v1:6:2961018:2965974:-1 gene:GSCOC_T00023681001 transcript:CDP06734 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQENCLTKHHDDVQGLVASLPPSFPAASTAIHPPPAAGIQKVRESSEIVEESTSSQHQRNEIVTVDIPKTTADGSIDDFVRINVPPSPTPKKVNFSPLPSPGHIRFNGSPLPSSSNKGKASMKSLLPKLSFKFRNTDSEIEKAAMLALGSPLGPQEKRSITRTFSLTKLFTPRMRRTSSLPVTPIAHSNPESTHGGNGNSVKGGTFYPIHRSRSVPVLNKDGSVRQIDNLGGVIRVIPATPRVTEHNVPTLDATVKVDIDGSDNNGEDIPQEEAVCRICLVELGESSETLKMECNCKGELALAHQDCAVKWFSIKGNKTCDVCKQEVQNLPVTLLRIQEGQPRGSAAVRVWQDVPVLVIVSMLAYFCFLEQLLVIKMGSGAIAISLPFSCILGLLASMTSTTMVRRRYAWMYATIQFVLVVLFAHVFYSLLHVQAILSVLLATFAGFGGAMCGTSIVFELMKWRRRWNAWSTQERTSEEVLNPNELSDGRGAQQTEPPEAGSMVTSGIDRG >CDP15366 pep chromosome:AUK_PRJEB4211_v1:6:4173864:4174412:-1 gene:GSCOC_T00043070001 transcript:CDP15366 gene_biotype:protein_coding transcript_biotype:protein_coding METALYAKGKGGFIDGSLGVPDLKSPDFQRWKKNDAMVRAWLRNSLAKDIQESFVYTGTAREIWTEICERYGQSNAPRLYKIKKEFSNMFQGDGQPLTQYYTKFKTLWQELQIYDPLPYCKCEAAKDHLLRREKEKALQFLLGLNGNSDRLRSNVLSMEPIPSLSKLFSLVLQKKTILCTSK >CDP10411 pep chromosome:AUK_PRJEB4211_v1:6:6832049:6835351:1 gene:GSCOC_T00031130001 transcript:CDP10411 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT5G20150) UniProtKB/Swiss-Prot;Acc:Q8LBH4] MKFGKSLSNQIEETLPEWRDKFLSYKELKKRLKLIEPKKNPSSPNKKPRLDNCVVDGSGGEKVLAAATEMTEAEVDFVKLLEDELEKFNTFFVEEEEEYIIRLKELQDRVAKAKDFSDEMIKIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALLRLPFIQKVLQQPFFTTDVLYKLVKECERMLDHLFPVNVGPSAPEAADKNEPSTSSNTKDESLLQGPKELAEIEYMESLYMKSTLSALRVLREIRRGSSTVSVFSLPPLQTGGLEEPWNKVPVIEQVAK >CDP14332 pep chromosome:AUK_PRJEB4211_v1:6:35819732:35820644:1 gene:GSCOC_T00040660001 transcript:CDP14332 gene_biotype:protein_coding transcript_biotype:protein_coding MADSIPLPLKDRVAVVTGGSRGIGRAIALHLASLGANLVINYSSNPAQADLVASQINSASASRAITVRADISDPAQVKSLFDSAESAFNCSPVHILVNSAGVLDSKYPTLANTALEDFDNIFNVNARGAFLCCREAANRIKRGGGGRIICLTTSMVAALRPGFAAYVGSKAAVESMVKILAKELKGTGITANCVAPGPIATDMFFAGKTEEMIKRVVDESPLGRLGETEDVAPLVGFLATDAGEWVNGQIIRVNGGYV >CDP03404 pep chromosome:AUK_PRJEB4211_v1:6:7191343:7192717:-1 gene:GSCOC_T00041977001 transcript:CDP03404 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIATLVVWWHEKHIVKEPYLDFKVAREIYLRRLYYGNNRVCVEQLRLNKHCFTVLCTNLREHCGLTDTRNITVEEAVAMFLYVLAHNFKNRTVNFNFIRSGETVSRYFNIVLCAIIKLGRHYLIQPESEMEGYEHEKWEWFQDCLGALDGTYVKVHVLLRDQGRYRNRKNEIATNVLGVCSRDMRFTYVLPGWEGSAADGRVLRDALVRSDPLIVPKGKYFLVDAGYANSSGFLAPYRGVRYHLSEWSASGSKPQNFKELFNLRHSIARNVIERTFGLFKKRWAILRDASFFDVKTHVMIINACAILHNLIRVEQPNDPYLDEVDAEMRRVQHEVDDEDEMEDEDEENGMEDDGPNNDGGVNAVNENRIRTVQPTSEWTQFRNALARAMFIDYQIRQGHHGS >CDP15658 pep chromosome:AUK_PRJEB4211_v1:6:33060100:33062494:1 gene:GSCOC_T00015606001 transcript:CDP15658 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKAQTYPSPPFSTRGLGPCELSCSYSTYYGHYHLWVFWSICGNDEVQCVKPHLVATANGALIKNKIVYFLRLLLDPLLPRFLVQFWGLVKIGNKMYLTTCDQPFALKYFGNLEVKQLCEYRKHCLDYLIPVDDDDEISVDQVQLGPPGRVFCSGLPEYTFDVRHYTRREYPQRDCAVDRVKGYWALPIYHPTQHLPSGVLEIVSTNEFCLRPNGIQAKLRV >CDP06689 pep chromosome:AUK_PRJEB4211_v1:6:2628377:2632453:1 gene:GSCOC_T00023622001 transcript:CDP06689 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRLAYGSISSYTLRPDSRNRNAFSFIPYCSRKDSKRQPQQNGNNDDDDRNGGDKFSTDWDKAWSSYRKRGKKTLFSLFSDKYVSWNPRRSDYPLSEEVDPIKRTEKSNLKLWTSQSFTLGGAILIVTLLLIYTILAPIK >CDP02905 pep chromosome:AUK_PRJEB4211_v1:6:11682269:11690318:1 gene:GSCOC_T00041319001 transcript:CDP02905 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFSLVGNSFGCSASGERLVSAARDGDLQEAKALLDYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLIESGVDINLRNYRGQTALMQACQYGHWEVVQTLIIFKANIHKADYLNGGTALHLAALNGHSQSIRLLLADYIPSVPNFCSILRKRVKNEDSVSGFDEDALCQVINKPADGGITALHMAALNGHSEAVQLLLDLGASVSDITVEDGTTIDLIGAGSTPLHYAACGGNAQCCQLLIARGASLTTENANGWSPLMVARSWHREWLEEILSRRPEGQPRLQPSPYICLPLMSIVNIARECGWKSCDSHNTCVDPCVVCLERRCTVAAEGCFHEFCTRCALYLCSTNRTSAVAHGPPGSIACPLCRHGIVSFVKLKDLKPIGKEIPRTSLSLPFCTCSADGPEMASLETPFCKPDSYCVQITPLGSSFRSLSCQRFPSMRLSPGLCMGTPDTSPSLVPRTVDRNVREHLVRCSRLNLKRSTSQTDGRSWFCSLNQCIATETSF >CDP03401 pep chromosome:AUK_PRJEB4211_v1:6:7210723:7212771:1 gene:GSCOC_T00041974001 transcript:CDP03401 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIQANVGDGTIIMNNLLQHLKELKDPIMEGVLIETENMLARGRMDLPLSLCFATLRGDDLLLHHLLKRGLDPNESDNDGRTALHIAASKGNENCVLLLLDFGADPNSRDSEGNVPLWEAMLGRHELVVKLLSDNGAKITSGDVGQFACTAAEHNNLKLLQEIVRLGGDVKRPRSNGSTALHVAVCEGNNDIVKFLLQQGSDIDKTDEHGWTPRALAEQQGHEDITALFESIKETKTQPVAPILEEKHGVRFLGRFKSEPTIFPVPQEGSFRAVGGSWGRSSRRRRADKFHNSLFGIMSAARNGENSLLLSVNQAKLATVTRIYVARVTISCPEKEDVAGRLVCLPPSFQELREIGFKKYGFWPARILNKDGAEIDEIELVRDGDHLVLVSDGGVREPNQQRAEGADGVLR >CDP16104 pep chromosome:AUK_PRJEB4211_v1:6:33317784:33320290:1 gene:GSCOC_T00017147001 transcript:CDP16104 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEVLDILIQIKQEQSSGFALSWDHIKALLMNIFIAGTETSAATVVWAMTALMKNPSALKKVQAEIRDLVGQKGAVVEEKLQQLHYLDAVIKEALRLYPTAPVLVPRETIENCNIEG >CDP16124 pep chromosome:AUK_PRJEB4211_v1:6:34027791:34029442:1 gene:GSCOC_T00017184001 transcript:CDP16124 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAGGNDGAGPSSSSSAPEISGNNKKNKGIATAPNRTSLLSLNDLVTFRPLISPSKSNSQNGVCSDDDDDNSRPPAVRRYASTSSGACISNHEDLLLQILLPLPPKSLVRFQCVSRQWFSLISSSHFCRLHSGMYQVLSPEVGLFLYRRIYGTSEFKAISLLQDDSSHSIGILASRFAHFLNADGQVLGLHCCNGLMWVDFYWNYEVRRYYVYNPTTNQYRQIPIPEIDRYSRRIEAVNIVFDPLTYDQYKLVCVFAKLIAGEDAWGEFIFWQYSSETQAWKDCGGIDIWDESNYSYYFKKGVFWDGNLYWVNSARRLLCFDLDLECVRSLNVMNTYPASPDGVVFYFGNSGGSLHLIDLYKPRDDFLNVFELEVDMGLGRYHSRWLLKYRVDLGLLTARYPLMSDEKFVNYREKEFPFGILCFQVDKKANKPKLIISLPGKIISYEISDMIFEELVEIEPAYVKFVRYNMSIYTWKDAFNHFEILSCV >CDP14328 pep chromosome:AUK_PRJEB4211_v1:6:35844224:35846114:-1 gene:GSCOC_T00040654001 transcript:CDP14328 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) UniProtKB/TrEMBL;Acc:F4I890] MASILSFPLPKPGSATVIPKASSVPITSSPPPAETLDQRFGRKGIKFLESAGDVPTVELTVRNGSSVKVQIPSGHVTSYKPKVYWKDDGFEEVLYTLPSNGNSFSSPAPPPSRGGIGLAFNDLSDPNSKGSLLKTSEWTVKDVDSDSIDAVQVELCSTSGSLELTYVVSLYPLCVATAVIVKNNGRKAVNLAGAILTHFRMKGRSGAGVRGLKTCSYCTLPPLSSHYEILSPSEAVKTEDPGFFSFGWEAEKKPGEWSVQGVPFTVLKHKLSRVYAAPPADRLKEFYNTTPSKYEVLDQGRELFFRFIRMGFEDIYLSSPGSVSRKYGKDYFICTGPASMLVPIIINPGEEWRGAQVIEHDNL >CDP12656 pep chromosome:AUK_PRJEB4211_v1:6:16053534:16060464:1 gene:GSCOC_T00036365001 transcript:CDP12656 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g63050 [Source:Projected from Arabidopsis thaliana (AT5G63050) UniProtKB/TrEMBL;Acc:Q6NM17] MYSVITGPGRDFLNSGSWNDLPFSQASQKVFPSMHILWNCGNKLECSRRSNHVGPKARFTSLKCKSCLRVGTPFTLGPRKKLLKLSAFKSNSQNDGPGGRPTGSKSLKNSVGLSYVPQDGEATLVGSPKPQSDPTSFSSEAESATGSLVIQNLFKSWLMLLRSPPSNHVIDEGLEESSSLGTSQNQDAILQKGRINILKMMWCYFLSLDVTIKIPLVIFIPLYLAVNIIYGAEVSRELTPLWVLGPLIAALYVKLWRGIGALYVFSFKQTVKLLISLPTWYLVAHDYIGNGKLNQVVGHLFQPLVDLRNMDYKGASKRKLKELEIFVVEKYLDFVESIWPYYCRTIRFLKRANLI >CDP12675 pep chromosome:AUK_PRJEB4211_v1:6:17467290:17474481:-1 gene:GSCOC_T00036404001 transcript:CDP12675 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFKARWSFSLLYLILIFAFVHIIYSCIMSHKLTLDNQKVRLKKQPQLPLRFSSDGTFKILQVADMHYGNGAVTRCRDVLESEFEHCSDLNTTRFLRRLIEVEKPDFVAFTGDNIFGTSATDAAESMFEAFGPVLQSGVPWAAVLGNHDQESTMTREELMSFISLMDYSLSNTFPSAEDNLESSNQNPVKRIDGFGNYNLRVWGAVGSPFANSSVLNLYFLDSGDRAVVDGIRTYDWIKQSQLSWLHSVSKNFQGQKPENGQLACIPLTWSNPPALAFFHIPIPEVRQGPIMEIVGQYREYIACSSVNSGVLQTFVSMGDVKAVFMGHDHTNDFCGKLHGIWFCYGGGIGYHGYGKAGLPRRARVIVAELGKGEKAWMGVERIKTWKRLGDENLSKIDEQVLWERWSSR >CDP02884 pep chromosome:AUK_PRJEB4211_v1:6:12086390:12087725:1 gene:GSCOC_T00041289001 transcript:CDP02884 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGKVYLFAWLLWGSLGVQVTGDDSEIRRVCDKTDYFYYCYDCLSGKKGFNSNGADFGGKSILCTINAFVIVRRTTLEFSLNSTGHFQETTKVCLEKFDTCMGYCRAALKAWRLKRKSDAIQFLNFGFEKYFDCAKLITDPISQEYVKQLTKAKNLIEVSLRIVALS >CDP14202 pep chromosome:AUK_PRJEB4211_v1:6:18787724:18794415:1 gene:GSCOC_T00040463001 transcript:CDP14202 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGKCRLWWPAHLSSTLQPHSPSHSFTLFFGWFISSQSSSFSSSEPSLDIVVAFALEDSALVSSSVNLQEILHQTDGNMPLSLQDKCTLSVLGYCEADSSGNGQPEMNLTKTVTQTCSTDGGKTFPDSQSVVVGKNGIHACGCHKGHALLEQLRLAFVQNENWFQLISGFPQTTGRKLQLIPELHHLHWNGETISQLDLHVIIYEIPKFSSHHYSLGSRSLSEKMRSSIKKPQWFEDLKQKKQYLDLDTVILAINSANAAKILSERQMPVKSCAGHFQPLWMFPTFTWKFFAALVALLATSVYVILQSFHILLSYMSCIGIDVVLGRAFNNTWTNIGIRCSQFLYWPILLQGHGHRSESCIEYSEKVALRRHSIWSCLVVDVLLGNLFGISLWYQAEPACLYISNFAVDVTNYLLRTGCVWLMGNPAGFKLNTELAGVLGMISLNTIQIWSTLGSFMDFFLVPIAKAISLCGILFGFTTAAALIIDLISLITRHVFVLHWILSLVYSQQIQALAALWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPLLLLLPTTSVFYTFFTIMNTVISFICVVMEVSISVIHTTPYNSAFLWLMWKKRFPSGIWLEIVSRQHGTTVPSVIDPLELNGSLSKKSPKIPRSGGRKTLVLVSVLHSNYLSLGELICPSYRYIYSVVCRTAISSSAYGILTGKRILSAPGTTYPSRLPWMALPYTIYWRLCFEAVFACRQN >CDP15324 pep chromosome:AUK_PRJEB4211_v1:6:3841166:3842714:-1 gene:GSCOC_T00043011001 transcript:CDP15324 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSTKADVIKPVLLRAGIPLAITVAGFIVARITTRKRSSRLNTSSAENEASSRGEQTAEGCFPDVQSINSSSLSSLDAYHSITDTSNRNSEEELQHQHIFELEEEVLVLRNQIKDVHVREFELEVQYLRYHDMKEQELLLMELQNKLLLEITRVELLDEEISSVEADRKSFESVVVEYLKMLELLEISRSRNRLLQRKVKKLLRRTKEHSRVIKQQHMQIEAKEAEIFRNHQELEAKANIIRRRENEIKELKLAFGQLQMEKDELSRQMELANTAASSKIDADEATMVDYGRVAANPEQLEKEHAAAIKELIYLRWCNACLRHELLRKNLEQEDLQQRKDHRELNFGEISNFGWENEHDHSSWDHGDSCLNLPATGHAHPRSTQQKFIDKFRRWVEGSDKTKQKIEEKKKHNVSHSLLPHGPGYLHNPARKSYSSS >CDP03079 pep chromosome:AUK_PRJEB4211_v1:6:9913029:9916143:-1 gene:GSCOC_T00041562001 transcript:CDP03079 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGSTAKAPSLPPPRPKSPPEYPDLYGKRRELAKVQMLEREIRFLEDELKFVEGLQPASRSCKDVADYVTANSDPLMPATKRVHRSCCFWKWLCGSSCFSFSWICCQGCVPHLEMPRCCGCSNLCDCSISSSCPSIRCSKPKCHCCSCSCSCSCFSSQCFRKPACCLSCRRIPKCPSCSNCCFCNGCTSCYPKCLKVNLCNGCCTKGCCYNCYICY >CDP15357 pep chromosome:AUK_PRJEB4211_v1:6:4093880:4095829:-1 gene:GSCOC_T00043055001 transcript:CDP15357 gene_biotype:protein_coding transcript_biotype:protein_coding description:HDT3 [Source:Projected from Arabidopsis thaliana (AT5G03740) UniProtKB/TrEMBL;Acc:A0A178UP12] MMGVILVSIVFPCLYKDFIFVHFLGAQYLYICILCSDSDSEEEIPLTIANNGKPEIKVKEDKPADNKKAKAEKDSKQKVKIVEPNQDLLKRDGNEDSSDEDDDSSDEDDDASLDEDEDESDTDGDDESDESDEETPKKVEISKKRAIESAVKTPGPDKKAKLTTPQKTDGKKGSGHVATPHPAKQAGKNKNQQTPKSGGGSHTCKTCSRGFGSENALESHTKAKHSAGK >CDP06512 pep chromosome:AUK_PRJEB4211_v1:6:1341961:1343321:1 gene:GSCOC_T00023391001 transcript:CDP06512 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLKAFQSPCTPNKHALLRGRDYSKRKYTNLLLCKANESDSGIPPPEGDRRKQELLARIAMLQAQKLRLTDYLDERSAYLTQFAEEANAEIDQIGENALKELDEAGSRIMENIESQMQAFEESAELNKQEIEEGDRKLADFEGQIEEDRNEGLFFKNLRQKTPPGNERAEEQRMKLRELAKENAGSKTRRNIYLALIGLVLIGICDASITSSSDWRKVAVLGAILVGLLSQLLYEQMMLSETEKTEQNKSKVDKD >CDP06754 pep chromosome:AUK_PRJEB4211_v1:6:3199522:3200594:1 gene:GSCOC_T00023709001 transcript:CDP06754 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQSVWPIVFQKVPYFAWNARNEFHAVGNDRELKMKARELEAEAKQAQLVLSSKVSPKP >CDP06276 pep chromosome:AUK_PRJEB4211_v1:6:25025451:25027693:-1 gene:GSCOC_T00023023001 transcript:CDP06276 gene_biotype:protein_coding transcript_biotype:protein_coding MENAESLKLGMEIEGNSSSRKPRVLLAASGSVAAIKFANLCHCFVEWAEVKAVATKASMHFIDKVALPKDVTLYRDEDEWSTWNKIGDSVLHIELRSWADIMIIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWNNPFTERHLLLIDDLGINLIPPVTKRLACGDYGNGAMAEPSLIFSTVRLFLESQGQSSNGNVQ >CDP02927 pep chromosome:AUK_PRJEB4211_v1:6:11446659:11451180:-1 gene:GSCOC_T00041350001 transcript:CDP02927 gene_biotype:protein_coding transcript_biotype:protein_coding MADLCISMALSDFYAVHSDYQTRLVLHTKYAHDELDGASAVFELMQNEEVHSILGPQMLTEDEFVVELGGKAHVPVISFSARTQSLSSRQSPYYIRTTPDDSNQAKALAALCRGFEWHEAVILYEDSDYGSQFLSRIYDAFQKDDIRAAYVVPISTSAADHHIRKELNRLKTMQTRVFLVHMDAQLGSRLFLLAKHAGMTSEGYAWIITDGIGNFMNSIDSDAVDSMEGVLGLRPYVPASRNLENFKTRWKKNMLLMKPESTLTELNVYGLWAYDTIWALAMAVEKIGPVNLGFLESGNSKNGSEIFNLRISQLGPRLLRELQNTTFEGLSGEFHLIDGQLKPSPLEIFNVYATGDRAIGYWTPDGGITRKLALTGSLKYSTSTKELKSIVWPGDSVKQPKGWSIPSTGRLKVGIPKKNGFTEFVNISTDPQTKQVKVSGYSIDIFLSALQQLPFSVDYEFIPFINESGLSNGTYDELLQNILGKVGIFSPLLLFLSTCYSVLGCLNFDMVVGDTTILADRTKYVDFTLPYSESGTVMVVKPKKEKDMWVFKKPFSWDLWLTIVSSCIVIGIVLRILENRAKKDSDSLRPHEQQLGLLFWFPIAALAFPERNMVGNKWSRFVLAVWLFMAFILMQSYTANLSAMFTVDQFDFRLSDDYNVGCQAGTFMRNFLINRLHINSSRIKEYSTIDEYHDAMSKGSKNGGIDAIFDEIPYMKLFLDRYDSKYKIVGPTYRTDGFGFALPLGSPLVVHFSRAILAVTEATNLTAIEQKNFGLKYSSDNQNDAINKASPSLTAYNFGGLFIIIGSALIFALFCSETPVGRRLAVATSYGHKCFSFLSVRRNGKSRVRSMVHADPNGDSSSEEEVRGSDRFNVNDLSGPGIHHDSGQSHLITPARDGEANETVESDSIQEVQLTDQTSTDVSARQTGS >CDP15308 pep chromosome:AUK_PRJEB4211_v1:6:3720659:3728147:-1 gene:GSCOC_T00042988001 transcript:CDP15308 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEVRQGPIASFDLSSNGNARRFTRSALKATVVEVEMEDKGMANGDSQGFKDALVLESESDENGTVDVVGKLTSKKIEIKGRPTTVRELFETGLLEGYPVFYNGGKKGIPLRGTIKDWGILCSCSLCKGVTVVPPCKFEIHACRSYRRATQYICLENGKSLLDVVKECRRSSLKGVEEIIQSIIGPLPVKESISCQNCKRLFLATSAAKVDQLCDSCIISIRSVDSRMHTPYERIRKSDPVVRLKASESVGGQTASPSNRRGRKKRKFSEMATEKKTSPKSSGYVSSKKNCQLKITKKLTNPTSGRKSIGSAAMGNSLETETEAKILKSSLSPAESPKSSRSALAFVSPQSKSQWKITKKDQRMHRLVFEEGGLPDGAVLSYYSRGQELLTGYKKGLGIVCNCCKFEVSPSTFEAHAGWASRKKPYGYIYTSNGVSLHELAVKLLRGRKCTASDNDDLCTICADGGKLVLCDGCPRAFHKACASLPSIPRGKWFCNYCQNMFQRERFVEHNANAVAAGRIPGIDPIEQITNRCIRIVKNPEDAEVIACVICRGYDFSKSGFGPRTVILCDQCEKEYHVGCLKKQKMADLKELPKGKWFCSGDCKRIYLALTNLVSSGSEKIPESCLDVIRKKHMLDGSDVTTAFDVSWRLLNAKNVNRETKPLLSDAVGIFHDCFDPIVDSVTGRDFIPSMVYGRNIRGHDFSGMYCAILTVNSKVVSAAIFRIFGQDIAELPLVATKSCHQGKGYFQLLFSCIEKLMAFLKIRSLVLPAADEAESIWTEKFGFKKISHDQLVNYKKTCWQMMSFKGTSMLEKMVPKCRIKHQDGQANTVSDVPIH >CDP03112 pep chromosome:AUK_PRJEB4211_v1:6:9665907:9667758:-1 gene:GSCOC_T00041601001 transcript:CDP03112 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSPIPYSPPSPPPTTSNNMPLFYYGMVVAGTAALILAVYNLIILRWCSQSQADRPRQGMAAPSRFEAASASSQSLDSLNVNLISSFKYKKGGSSRDEAHYSQYECAVCLSVYEEDEELRQLPRCKHSFHASCIDMWLYSHLDCPLCRSPVDPPVLNRVLNEPTRRAGHSREGLQISSRIPV >CDP06487 pep chromosome:AUK_PRJEB4211_v1:6:1133020:1136358:1 gene:GSCOC_T00023356001 transcript:CDP06487 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALFSPASLFADPDGDSNDEETKESHQNFVERKHQFPGMELIIREFSFHQLNANLLWPGTFAFAEWLVQNRSWMVGRRVIELGSGTGALAIFLHKSFNLNITTSDYDDPDIEDNIAHNCRFNGIIPVLPHIKHSWGEIFPITNPDWDLVIASDILLYVKQYPNLIKTLCFLLKSYKPQASEEVSQKSQSNDACLLPRPAFLMSWRRRIRKEDESLFFNGCDEAGLEVQHLGTRVYCIVPREVNC >CDP15315 pep chromosome:AUK_PRJEB4211_v1:6:3775114:3777050:-1 gene:GSCOC_T00043000001 transcript:CDP15315 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPPWLEPLLNTSFFSVCRTHGDAARSECNMYCLDCNEDAFCFYCRSSKHKDHQVIQIRRSSYHDVVRVSEIQKVLDISGVQTYVINSARVLFLNQRPQPKTGKGVSHICEICGRSLLDPFRFCSLGCKLVGIKRNGDASFTLDAKNEAVAAGRGEGISSRGVSMSSSKEEGELREGTQNDICPATPPPPPSTARRRKGIPHRAPFRS >CDP06488 pep chromosome:AUK_PRJEB4211_v1:6:1137723:1139362:1 gene:GSCOC_T00023357001 transcript:CDP06488 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMVAQFGYGGASKYISIGQANIGTCPVQARHRRSTTNSRRVGFASLVNKHISISRQEFEFEFVILSSSSGRNFAEVGSCDSAVPTL >CDP09576 pep chromosome:AUK_PRJEB4211_v1:6:21005720:21009842:-1 gene:GSCOC_T00029003001 transcript:CDP09576 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKITKKHHKHLNNPFNSPPQSGPFVEGKLFFNSQTLPSHQIFDIGKDFQVIWSSTDGGSLSISHKSQPARHIWSSVPGQAFVSAAVAETEVEESRGSFLVKDNEVHVVCNHQTIDCIRAVVDDSDVSVDVTDQNLPSFCDGNATKMAENGRQSPALMLTGKIIGSKKWNKKVQDPKSSSTAGNSEDCSIFAKYCILFDQKNGNQVGFQVRLGKPNVELHQRVSSKIYKGFARKLTGIRRRRIGWSRFCSKRRVCLAVSSSSSDEENVVMKAARLTDFNRIWLTYESERSERFYGFGEQFSHMNLKGKRVPIFVQEQGIGRGDQPITFAANLVSYSRAGGDWSTTYAPSPFYMTSKMRSLYLEGYNYSVFDLTKHDRVQIQINGDSVQGRILHGNSPTELIENFTETIGRPPELPEWIISGAVVGMQGGTDAVRNVWNQLQTYNVPISAFWLQDWVGQRETVIGSQLWWNWEVDSARYMGWQKLIKDLRTQHINVMTYCNPCLAPMDNKPNVRRNLYEEAKKLDILIKDNHGEPYMVPNTAFDVGMLDLTHPSTASWFKQILRGMVDDGVRGWMADFGEGLPVDAHLYSGEDPVAAHNRYPELWAKLNREFVDEWRNSHSHGTKEQKGQDDGLIFFMRAGFRDSPRWAMLFWEGDQMVSWQTNDGIKSSVVGLLSGGISGYALNHSDIGGYCSVNLPFFKYRRSEELLLRWMELNAFTTVFRTHEGNKPSCNSQFYTNHKTLSHFACLAKVYQAWKFYRVQLIKEASLKGLPVCRHLFLHYPDDERVHSFTYEQFLVGTEILVVPVLDKGKETVKAYFPAGESCLWKHIWTGNLYSHGTEAWIEAPIGNPAVFVKDGSLVGETFLKNLQEYSLL >CDP15327 pep chromosome:AUK_PRJEB4211_v1:6:3852471:3854578:1 gene:GSCOC_T00043015001 transcript:CDP15327 gene_biotype:protein_coding transcript_biotype:protein_coding MGILRYLFVVNLLVSLTFFSVSYGDPLVPALCIFGDSVVDVGNNNNLPTLIKANFPPYGRDFLGHRPTGRFCNGKLATDYTAEYLGFRAYPPAYLSQEAKGSSILTGVNFASAASGYYDGTAQLYRAISLTQQLQYYKEWRTKVVNAVGKAKANTIISGGVHLLSAGSSDFIQYYYINPMLNRAYTPDQFSDILMRSYSTFIQNLYGLGVRRIGVTTLPPTGCLPAAITLFGSGSNLCVARLNQDAISFNNKLNSTSQNLKSKHPGLKLVVFDIYNPLLDLITKPTDNGFFESRKACCGTGTIETSFLCNSRSVGTCSNATEYVFWDGFHPSDAANEKLAESLLEQGFDLIS >CDP03169 pep chromosome:AUK_PRJEB4211_v1:6:9112931:9117347:-1 gene:GSCOC_T00041670001 transcript:CDP03169 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLKNPKKAKRKNKGAKKGDGSSSNSAPSVPTKVWQPGVDKLEEGEELECDPTAYNSLHAFNIGWPCLSFDILHDSLGLVRTEFPHTVYCVAGTQAEKASWNYIGIFKVSNISGKRRDLVPNKVNADGSEMDSDSGDDSDTDDEEEGSGSEPPVLRLRKVFHEGCVNRIRAMTQNPHICASWSDSGHVQIWDFSSHLNALAESETDTSRGGSGVINQSPVIKFGHKDEGYAIDWSPLVPGRLISGDCKNCIHLWEPTSSSTWNVDTTPFVGHTASVEDLQWSPAEPYVFASCSVDQKIAIWDTRLGKSPAVSIKAHDADVNVISWNRLASCMLASGSDDGKFTIRDLRMLKEGDSNLGTFDYHKHPITSIEWSPHEASTLAVSSSDNQLTLWDFALERDDEEEAEFKAKTQERVDAPANLPQQLLFVHQGQKDMKELHWHAQIPGMIISTAGDGFNVLMPSNIESSLPANNA >CDP03277 pep chromosome:AUK_PRJEB4211_v1:6:8259359:8260342:1 gene:GSCOC_T00041808001 transcript:CDP03277 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPHSETESSSHSGTLDSAHMHHHRKRGRDDNNTTTTTTTSAASRSNDDNDTHRSSKNSNIRSQTGNNSNNNNNNNSSSKHPVYRGVRMRAWGKWVSEIREPKKKSRIWLGTFACPEMAARAHDVAALSIKGNSAILNFPELAGSLPRPATCSPPDVQAAALKAAQMDFEMDGRAKPGDESSHSQSSSSSSSSSRVMTPCLSSMTSSSSSSSLLSSVTSSSPSSCTEEVSTAAQESEAAAAEELCEIVELPSLGASYDSVESAREELVFMDSVDNMGWDYGHPWLHGSVETYGYFGENHAGLMGMAEVDNPVVSGSFEGLLWQLH >CDP14257 pep chromosome:AUK_PRJEB4211_v1:6:36787341:36788375:1 gene:GSCOC_T00040547001 transcript:CDP14257 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNCFFSMGSAASLRFCFPVRANASNCQTSHLVLTHKAMDKEASKAYIPWNGGSRR >CDP15652 pep chromosome:AUK_PRJEB4211_v1:6:32831137:32834224:1 gene:GSCOC_T00015594001 transcript:CDP15652 gene_biotype:protein_coding transcript_biotype:protein_coding MRYWVLPIYHHPTRHLPIGVLEIVSPSDFVGLPRYRVLENLQNLLLVVNLTTTCVSLLAEVNSCQRGEIAIIDEASSKVRKICGLGYGVTKTWTISGEILSSHGGVDFIQKGQGVVGKAFSSKSACFCRDIRQLSITEYPLVPNARYWKSSTCFAVCLQSSCSNNCTYVLEFFIPTYEKHSFGDLRTSLNSLMESLKERLGSSFKIASGQELGKKLAVEVIKVSPEDEFDSFEICSTTGIESTPRLGEVQGGEGMMQLDFSSQQVDAANGSMNGIHEQQNGSVGSTPRLAKAQGGEGMMQLDFSSQQVDTANACINGVHGPQNGIVGSPPRREPTQDFINISYQELNLAGVDIAHNSMDGIYEQQNGIVRSTTGQELVQNMVSKAHDEPIVEDPERDDAIIEQSGNEVTNLKMQEPSCTLKSDLGITREVLEQNSMRKLEDAAKNIGVSRSTLKRICREYGIGRWPPRKERKVNQVFAKQKVVQPATEYIEENHQSDATRLEDDISMWVIKAKYQEDKIKFELSSSARKIDLEKNIAQRFNLSLGSFKIKYQDELNDWILITCDTDLSFCMKTLSKLGRTTIEMLVS >CDP03315 pep chromosome:AUK_PRJEB4211_v1:6:7970404:7972292:-1 gene:GSCOC_T00041856001 transcript:CDP03315 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQDSKSLAAENQEAETDEGTQFEKSLLELKDLCSHLRRAANYCETSFSNAKEKRTVVENTKEYISRAVVTVVDHLGSVSANLECRLLNTNSVSEAELRIDSLKERIGTWEKYSHLLALRSHCWNADFPRYYCRYILPPSPGLQRTNTTVSRQIAARTAEFQTGEVPLFLYTHNCKPSLVENSTSDESSEKRKSFSIPVLPVRDGLPVKYQHPNFHFQESPKLKRSMLSWKLAQNKDLRSVFIRRGKRTLA >CDP10467 pep chromosome:AUK_PRJEB4211_v1:6:6275585:6276663:-1 gene:GSCOC_T00031200001 transcript:CDP10467 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSKWLFATAFLLLLLLALAIAHDTEMGPVLCGDTGNEKGPSRRKAAAVAAIERTCETPSKRFKGPCVKESNCAAVCQTEGFQGGKCRGLRRRCFCTRPCSSS >CDP10118 pep chromosome:AUK_PRJEB4211_v1:6:14169939:14176995:1 gene:GSCOC_T00030733001 transcript:CDP10118 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKKRKLDQLGNGKFDLNLSQPTSLTLSLSSSSSASVEELRTLLEPLAKPQLTDLLVKLGFQYPFIAEEIRSVARADPALRKLFVRGLSWNTTSETLCAAFEEHGEIEEGAVIADKATGKSRGYGFITYKDMESAQRALKAPSKMIDGRMAVCNLACEGLSSSSTTPDQAQRKLYIGSLSPETTSEMLLSFFAKNGEIEEGSVAYDKETNRSRGFGFVTFKTVEGAKRAIDDPQKMLGGRNITVKLADNHKGKVMHTQLPSPIVPVPVGLASAYPPPHNVHSGSGNPVGYAYPQPLAAYPGTAYSSPPVVGAGAYPGQSPTSYPQFGHKKDPPTPPSALGGYPYYMPKQ >CDP06199 pep chromosome:AUK_PRJEB4211_v1:6:22250834:22253401:1 gene:GSCOC_T00022873001 transcript:CDP06199 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVQFEPWSHLDGKIVFVTGASSGLGREFCLDLATAGCKVVAAARRQERLKSLCDEINQLFPSPSTSSTVELDPNSTRAVAVELDVTADASTIETSVQRAWNAFGHIDALVNNAGVRGSISSSLDLTENEWNSTVRTNLTGAWLVSKYVGRHMREAGRGGCIVNVSSISGLNRAQMRGSVAYSSSKAGMDSMTRIMALELGEYKVRVNSISPGLFRSQITEELVKKRWINNVAERTVPLRTFGTSDPALTSLVRFLIHDSSSYVSGNHFIVDAGYTLPGVPIFSSL >CDP16134 pep chromosome:AUK_PRJEB4211_v1:6:34249334:34251853:-1 gene:GSCOC_T00017204001 transcript:CDP16134 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSAFGILIVFIFLWTAADASAFLPPKIFNVLNYGARADGNTDNSQAFLRAWIDACRWKGRSRVLIPGGIYLLHSVTFLGPCLGEMTFMIKGTLRAPNNPALFFTDTWIGFRYVDNLSVKGGGYLDGQGASAWPYNDCFKNSQCLPLPISLRFDFIRNSKIQYIRSINSKKAHINLFACDNINISYVRLTAPESSPNTDGIHIGASTNIKISRVNIGTGDDCISMVSGSQNIDISEVNCGPGHGISIGSLGRGFENEYVMGISVRNATFSNTQNGVRIKTWSPSLSSLAANISFHNIIMQNVNNPVIIDQKYCPSCPPSGDESVSKVQIRDVKFSNIWGTSSSQVALSLQCSKLVPCQDVKLVDIDLAYRGQGGPAIASCSNVIGTSYGRQVPRGCL >CDP14351 pep chromosome:AUK_PRJEB4211_v1:6:35613708:35614514:-1 gene:GSCOC_T00040687001 transcript:CDP14351 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLDDASNLLLEIAELSTPFGSSVERVAAYFADALSARIMHHCLYDITGSDFATLRLLTLLRPKLITIVEQGLSHEGSFLGRFVEALHYYSMLFDALGDGLATDSGEKHMVEQQLLGC >CDP06619 pep chromosome:AUK_PRJEB4211_v1:6:2150508:2153745:-1 gene:GSCOC_T00023529001 transcript:CDP06619 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLAYIALDYEQELEIAKTSSAIEKSYELPDGQVITIGAERFRCPEVLYQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >CDP02860 pep chromosome:AUK_PRJEB4211_v1:6:12332599:12333681:1 gene:GSCOC_T00041257001 transcript:CDP02860 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEGGKRYALLLAATDSDYVKKLYGGYFNVFVDALGDEGERWDLFRVVEGHFPEMDELENYEAFVVSGSPYDAYGNEHWILKLCLLLQTLFAMQKKVLGICFGHQVLCRALGGKVGKAYTGWDIGVRKIRILKEFLPCNFLELDEIPPTLSIIECHQDEVWDVPVGAEVIACSDKTRVEMFAFGNHILGIQGHPEYTKDILNNLIDRLLSNDCIERGFGEDVKTQLLMADPDRKYWEKICQRFLKGR >CDP15289 pep chromosome:AUK_PRJEB4211_v1:6:3569559:3570244:-1 gene:GSCOC_T00042962001 transcript:CDP15289 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKLTNNAANTPSGLTFVGGNITLPFYAVSCTGLLSCLASSWLACFLSSPPVAWKLLHFLCPPELACGTHYYWRLSVFRPPQWSAGPGRTTGSTGPVGGGLAGLVYELFFIPQSHEELPTTDY >CDP06612 pep chromosome:AUK_PRJEB4211_v1:6:2091484:2098723:-1 gene:GSCOC_T00023522001 transcript:CDP06612 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVKTEGYCLENKQSAAASSSSVSETSGSVTLKSPGIGSPTATSPSHRRTTGPIRRAKGGWTPEEDDTLKRAVAAFKGKCWKKIAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDEKIVELVAKYGPTKWSVIAKSLPGRIGKQCRERWHNHLNPGIKKDAWALEEELALMNAHRVHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGNLPPVAKNGLQNGSTDISGLSSVNVIGRSHNGSDAIALASSEITDGHKVEVVGKDQEDIASSVPDIGASTSVFHNNSTDSEVVRYEEESFQINPGQIDPNIDAMFDKSRTSDEIYQYRVIETPMSSQIPEYGTLYYEPPLLENYVPLDSDLQNGHQYSESSPKLSPIGIFTPPSVSSGGLYLQTPESILKIAAQSFPNTPSILRKRKTEHHLSTPSHKVGKDEESIKDRLLVSDESKQNSTFQRSELQDRSFHESSHNDTNGHCNAKSFNASPPYRLRSKRTTVLKSVEKQLKFVFNEEQCDNNTNHHSPTIKEVSPAKNNLETAKTGVT >CDP10524 pep chromosome:AUK_PRJEB4211_v1:6:5842809:5862530:-1 gene:GSCOC_T00031279001 transcript:CDP10524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein 4 [Source:Projected from Arabidopsis thaliana (AT5G20320) UniProtKB/Swiss-Prot;Acc:P84634] MDGCQSTNVNASAAAVRNFAAPFVANGTAETTSVTTERFSSLSLGDDGGGFSVQPQDKPEKDPRKIARKYQLDLCQKALEENVIVYLGTGCGKTHIATLLIYEMGHLIKKPQKRICIFLAPTVALVQQQAKVIEDSIDFKVGIYCGNSKRLRSHQEWERELEEHEILVMTPQILLHNLSHCFIKIELIALLIFDECHYAQIESNHPYAEIMKIFYKSNVAELPRIFGMTASPILGKATTSSKNWFSASVDGLETLLRAKVYSVEDVDELEQFVASPKLRIYYYDPTIISSSCARISYHEQLEMIKHQCVSDLTRKTGDHNTLRITKKMLQRLHGNIMFSLENLGLWGSLQASCILSKGDHYEQNEGTDAEESSADNSLCDRYLTEAYSVLASACRKDDVAPDLSLVEFLKEPFFSRKLLRLIGILRTFRLQPNMKCIVFVNRIVTARSLSYILKNLKFLSSWKCHFLIGVHSGLKSMSRKTTNAILEKFRSGELNLLIATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPESEYAFLVNSGNEKEINLIKHFVKDELKMHQDISSRKSQVTMADFEEKTYQVDLTGATISSASSISLLHHYCSKLPHDEYFNPRPQFFYLDEADGMVCLIILPSNAPIHEIVSASQVSFEAAKKDACLKACKTLHEVGALTDYLLPEQDSGSEETDDNFSDTENCDDDDLRRELHEMLIPAALKETWTELKNSVSLCTYHFKFCPNPIDRLYREFALFVKSPLPKEAEKMKLDLHLDRGRSVLTELVPYGVVKFTKDELVQAEKFQRMFLKVILDRSEFTSEFVSLENKTGDPISSTSYLLLPILLNNNNKVSVDWKLVEKCLSSSIFGAPKCAGHDESSQDKRQLHLAKGIKSAEEVVNSLVYVPCKDTFFFVSDIVYYKNGYSSIKDSKNHLDLYMEKFSIQLCYPHQPLLKAKQVFCLDNLLRKKGYSGTEVREKEEHFIELPPEICQLKIVGFSKDIGSSLSLLPSIMHRLESLLVAIELKNKLSASFPQGAEVTVQHVLEALTTEKCSEHFSLERLEVLGDAFLKFAVGRRLFLLHNSLDEGQLTKKRSNIVNNSNLLNLAMRKNLQVYIRDQSFEPNQFFAVGRPCPVICSKQTENAIHSHASSVNDVNTEVRCSKCHHWLYKKTIADVVEALIGAFLVDSGFGGATAFLKWIGIQIDFEESQLLKIFNESKNFLPLANQINITALENLLGYKFRHKGLLIQAFVHPSYNNHLGGCYQRLEFLGDAMLDYLITSYLYSVYPKLKPGQLTDLRSASVNNTSFADIAIHCSFHEFIICDSSALSKSMEQYVSFTQTTERGQIDEPTCPKALGDLVESCMGAILLDTGFDLNCVWKIMLSLLNPTMSSSKLWINPIRDLQELCQCHGMDLQFSASRKSGIYTVQSKVTSGDLIMNDSATNISKKAAKRMAAKQLYSRLKDVGYTAKSKSLDEVLKETEKMEAQLIGFDEKPSVVNAKFDDFRVQEEASETENGLKVYPLNEDPGNDYNSSHKHIRQIVAPYNASRWRPTGATRSLICNPDSREAGGMSTGSPKSRLYEICATNYWGSPIFECLKENGPSHLKEYTFKVVVEIDDALNQVLECCGEPRPRKKDAEQSAAEGALWCLKHERYLWHQN >CDP10511 pep chromosome:AUK_PRJEB4211_v1:6:5981998:5983238:-1 gene:GSCOC_T00031259001 transcript:CDP10511 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRYAYRRSGVQPLPSTKLSAPHGQFGWSQQASLGAGVQCPQGSESCNYRVRGDGASPSWSEWD >CDP10165 pep chromosome:AUK_PRJEB4211_v1:6:13667690:13672474:1 gene:GSCOC_T00030790001 transcript:CDP10165 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDQKVLDLIKELVHRLLYTSQHPNPSPSASSNPNSSSSSSPTTHQYQQALRYALRILSSRMTPSIAPDDAAMAESIKRRLATQGKSSEALTFTDLYSKFSSKTGPGSVKNKWGVLYLLKTISDDRKLLKNQSISRVSNGFFDPASVGGLPALFDSDLSDRVSVVNEHYKNLSDLDDKHFSHNLGGSSDNLKKLRGLNSFGKVEKKWGDSAFNENFEKLRVSGEGSRGFKGRENVGKGWSGGVLMVSKDPENIRHLAYKEFAALLREENEVSEEALVRDVLYACQGIDGNYVKFDEKADGYMLPELVKVSRATHVMVRKLCELGWLFRKVKGYISESMERFPAEDVGTVGQAFCAALQDELSEYYKLLAVLEGQAMNPIPLVSESATSGNYLSLRRLSVWFAEPMVKMRLMAVLVDSCKTLKGGAMAGAIHMQAQHGDPLVKQFMKRLLRRVCSPLFEMVRSWVLEGELEDIFAEFFVLSQPVKAESLWREGYSLHSAMLPSFISSSLAQRILRTGKSINFLRVCCEDRGWADAAAEAATAAGTTTGRGNLGYGETDALESLVAEAAKRIDKHLLDVMYNRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLAGLLESAIRSSNAQYDDPDVLDGLRVKMMPHNTGDRGWDVFSLEYDARVPLNTVFTESVMARYLRIFNFLWKLRRVEHALIGAWKTMKPNSVTSRFLDKLPNAVKLQLVLTSRRCQVLWDEMNHFVTNLQYYIMFEVLEISWSNFLKEMEIAKDLDDLLLAHEKYLCSIVEKSLLGERSQTLNTTLFVLFDLILRFRSHADRLYEGIHELQSRSTETSLSSRDKTKSRANKNDKLSEPGLWLGEGRKALTQRAGEFLRNIGKDLDAIANEYTSVFDGFISQLPVQQHIDLKFLMFRLDFTEFYSHLQSKTGTKLLP >CDP06228 pep chromosome:AUK_PRJEB4211_v1:6:23269710:23275621:-1 gene:GSCOC_T00022930001 transcript:CDP06228 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSVTTLMSSIFSTSVASNPKIPAPLLQSSSCTQSHQEFPQLNLSRRNLFNGLAIVPLLLTSPAKAREVEVGSYLPPSPTDPSFVVFEATPKDTPALRAGNVQPYKFILPPTWKQLRVANILSGNYCQPKCAEPWVEVKFEDEKQGKIQVVASPLIRLTNKPNATIEDIGTPDKVIASLGPFVTGNTFDPDELLETSVEKRGDQMYYKYVLETPYALTGSHNLAKATAKGNTVVLFVASADDKQWPSSRTTLQAILDSFEV >CDP06400 pep chromosome:AUK_PRJEB4211_v1:6:378821:381112:-1 gene:GSCOC_T00023230001 transcript:CDP06400 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPDVFPPRKRRQPAPPPSFCSSDEKLLLQSLLLVSQEICSLRPLGFLLKRNTSSIIRKSRLLSIVFEELLRLTVFPPAALVCLEELYILLTRIKALVEDSTSTINDDDDDDDDHHHHNNNIPTSSSSSKMWLLLQHESISNSFHDLNLDLFTILDIFPSQELDLCQDVRELLALLRKHCFAEKGRAYPADSPDAHLRIQLLDMLDSIHREIIPDPSHLAHIFRSLGLADSTSCRDEIDSLQRQHHLLQLQADRAKSAADITALIGLVRYAKCVLYGASATPGRSPRATPPAHDDLNIPPDFRCPISLDLMRDPVVVSTGQTYDRTSIVAWMESGHNTCPKTGQSLTHAELIPNRALQNLIAAWCREQRIPFLPTGANPNESSSSSSCGGAPAALNKTALEATKMTVTFLLSELTASQAVEMANRVVHELRILAKADSDSRACIAEAGALPLLLKFLGSEQPSLQVNAVTTILNLSILEANKTRIMETEGVLNGVIEVLRSGATWEAKGNAAATIFSLTGVHAYRKRLGRKARVVKALVDLARDGPGGCKRDAMVAILNLAWDREAVGKLIEARVVDVVRVVMGELPEEAVTILEAVVRRGGLTAVAAAYHVVEQLAGVLREGSDTARESAAATLVNMCRKGGSEMVGALAAVAGVERVIWEVMGMGTGRGRRKAATLLRILRRWAAGLDEADETSSTSYSAVNISTSSSTRGIVLPANSPTVESLGQ >CDP06685 pep chromosome:AUK_PRJEB4211_v1:6:2592012:2598328:1 gene:GSCOC_T00023617001 transcript:CDP06685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MLELGFLRAPPVPIPPITRPRWVPSLQFTASSSRSHLLFSAGGYNNGRWFYPAIACTRKTQQEESSSISEGETASAPSRNDINDVKKSHNISSDGNSQREAQRPENYVSSVRTVALCVFSAVAFGVALGFKDGVDKASEFFAGYLLEQSLSVDNLFVFVLIFKYFKVPLMYQNRVLSYGIAGAIFFRLSLILLGTATLQRFEVVNLLLAAILLYSAFKLFAEEEEDSDLSDNFIVKTCQKFIPVTSNYDGNRFLTIQDGAWKATPLLLTIAVIELSDIAFAVDSIPAVFGVTRDPFIVFTSNLFAILGLRSLYSLISESMAELDYLQPAIAVVLSFIGFKMILDFFGYHVSTEVSLGFVATSLSAGVLLSLLKKTE >CDP15398 pep chromosome:AUK_PRJEB4211_v1:6:4499382:4501907:1 gene:GSCOC_T00043118001 transcript:CDP15398 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHGFRAPEGHRLCANNCGFFGSPATQNFCSKCYRDLCLNKEADSKAKPVMDSLYALPSSSSSAPTSASLKPDNSAVAAAADTETVGLVSQAAVQPVAQPNRCSVCRRKVGLTGFRCRCGITFCGTHRYPEQHGCSFDFKSVGREAIAKANPLIKAEKLEKI >CDP02939 pep chromosome:AUK_PRJEB4211_v1:6:11308236:11314400:1 gene:GSCOC_T00041367001 transcript:CDP02939 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWKILSLCLCLSLVLNVVIAVGPPTCPADVGSGCASDSDEWQGEFFPGIPKIKYEGPKSKNPLAYKWYNADEEILGKKMKDWMRFSVAFWHTFRGTGADPFGAPTKLWPWEDGTNSLAMAKRRMRANFEFLEKLGLDRWCFHDRDIAPDGKTIEETNANLDEVVALAKELQGTKIRPLWGTAQLFLHPRFMHGAATSSELGVYAYSAAQVKKAIEVTHYLGGENYVFWGGREGYQTLVNTDMERELNHLARFLEAAVAYKKKIGFNGTFLIEPKPQEPTKHQYDWDAATSANFLRKYGLIDEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANSGDPQTGWDTDQFLMDIQEATLVMLSVIRNGGLAPGGFNFDAKLRRESTDVEDLFIGHIAGMDTLARGLRNAAKLIEDGSLDEIIRKRYQSFDSELGAQIEAGKADFELLEKKAKEWGEPKVPSAKQELAEMILQAAL >CDP03399 pep chromosome:AUK_PRJEB4211_v1:6:7222372:7227812:-1 gene:GSCOC_T00041972001 transcript:CDP03399 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVEKNRSGAEREESCSSKGTMMVKQGEGLRQYYLQHIHDLQLQVRQKSHNLNRLEAQRNELNSKVRMLKEELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKNIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >CDP16120 pep chromosome:AUK_PRJEB4211_v1:6:33994139:33995565:1 gene:GSCOC_T00017180001 transcript:CDP16120 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEEKESSAKSYKITQKLNQSQRKMSNQAHFLNLPSHLINDILSRLPTKTIIQCKSVCKSWLSLLSESEFTKLHLQRSPPCLIINKFGCHPSELTCFGLVEFEDEPDHHGFRYVAGTTIKPPEGCIENGLSVTMVGSINGLICLTESYHKHDAVNLWNPIMRESIALPVPEWGRSYTSTVSYGFGLSSESRKYKVVRIFVELEKNTRHILKSNCYVYTLGEETELWRRIEQAPFLYNYGTYGVFLNGNLHWLTDDQDSSELISCFNLETELFSPFPAPPELRKNFNLASLGVSGGCLRLYDNNSDDEIVIWVMKEYGIKKLWTKEFVIQKEPVHLIDPSYELVRVLKVFKDGDILLLWRDEFFLSYDCWRQILHQSGVDNDKLIKKADDQMNEDGYAEKSYPCIDVMDYVPSFLSLKNFGIQMVESTN >CDP06554 pep chromosome:AUK_PRJEB4211_v1:6:1632773:1636947:1 gene:GSCOC_T00023445001 transcript:CDP06554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 7 [Source:Projected from Arabidopsis thaliana (AT5G02010) UniProtKB/Swiss-Prot;Acc:Q9LZN0] MDRALSSQEEKEANVRYQPQLKHYAFLKQSTRSSRKKNPFFLLKYWASKSLSNLHVRPKMSSSFRSERFHFNGMVVNNSVYCASPCSSEGKMDSSPEKREEMGNGNYGFCDNERIAVEESGESSSSSCFLASEVTLNDEEHSTEDSSSPPSMIWPIQKDEPPHYFSSHVSEDAHKPHSDTRKLEKQGSSLSETEMMKERFAKLLLGEDMSGCGNGVSTSLAISNAITNLCATIFGQIWRLEPLPLEKRLMWRREMEWLLSVSDHIVELIPSLQTFPDGSTLEVMTSRPRSDLYVNLPALRKLDNMLLEILDSFKNTEFWYVDQGIMSLEENGSSSFRNPLPRQEEKWWLPVPRVPIGGLSGNARKLLQHRRDCTNQILKAAMAINSITLADMEVPESYLEALPKNAKASLGDLIYRYINSDQFSPECLLDCLDLSSEHQALEIANRVEASIYAWRRRSSSKHLNSTNKSNPKSSWEIVKDLVIDADKRELLSERAESLLLCLRQRFPGLSQTTLDMSKIQYNKDVGKAILESYSRVLESLAFNIVARIDDLVYVDDLTKHSDQPFSMSKVGMIAHRGVVIVPPSNTPYKTAFTTPSFSPALRPSPATVERSPILENKLPHRGFGVKKVLTDYLSIDAKGKDLNKQNIRSDSSSSTYQGSSASQSLDCSDFPSEVKSPLTHDSPSEE >CDP10062 pep chromosome:AUK_PRJEB4211_v1:6:15070597:15072593:-1 gene:GSCOC_T00030649001 transcript:CDP10062 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKHFSHEQHLLKILEKAETDEIVQKSICYGCGAPVLSEPTYSCKECSIFLHRRCAEVPKDISHAMHPQHRLTLLEKPPNKEGICDCKGCGQSWGHFTYHCNTCNFNLDVSCATEDRKLKHPPHAHTLKFVPKPVKFECHACWERKKDVSYLCTSSRCPYWIHEKCASSPTIKKRKDHDHPLSLAYYLSDDYIAYEFSCDVCDKMIKPNDWNYYCGPCRHFVRLTCIETRDQRRRTVLERWLRRNTIMLPRDNYFKEVGRVRKWEGPLGPRRRFIMRAICGHPVVRLDVREHATARGKEITCEACTEPIVSTCYKRVTSNHFLHDICVMQQTALYHPPNPSCNVPSGKPKVELTSPASTYGFFKCDACNLDSNGYSFNCKGCSLRLDVKCGNLPKKVYHGSHRRHRLISAREYPVPNQTRCKGCGDFVTCLYFKCSRDYCSFVLGYDCALLPKRVKHRWDKHPLVLSFPPFFEQPDKFYCEVCEEEIHPRHWQYRCSECDQSFHPRCIPQASSSRNIKFGITTDVGAHPHALKLVPEGEYRSACSSCKASLYGRRAFKCTATCKFYLCHDCVAERSADTSTH >CDP10096 pep chromosome:AUK_PRJEB4211_v1:6:14485250:14487057:-1 gene:GSCOC_T00030704001 transcript:CDP10096 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSDILFYGLLFFIWFATTTFFRYVLKQRKNGSKQIRHPPSPPSLPIIGHLHLLGSVASKSYQTLANQYGPLIRLRVVSSSVVVVSNAAIAKEFLKNNEMNFVSRPQFGAADFNIYAGSEFVNAEYGPYWRFMKKLCMTELLSVTQVNRFVDIRRQEMMKLLKILVGFSEERKACDLGVELMTTTNNVISRMAMSTRCTPGLDEGRRLWEFVKEIFELSPKFALGELFGPIGKLDLFGYGKRVKALLSKFDSLVEKIMVEHENELNSSNKERKDMMDILLEIHGDKKAEVKLSRTDIKSFLMELFMAGTETVSVALTWTLAELINHPKVFRQLRDEINTVVGSKRLVQEFDVPKLLYLQAVVKESLRLHAPAPLMFRRCGEDCKINGYDILANERIAFNVFAIMRDPSSWDNPLEFQPERFMVGSKVAYDDYQMDLKGQNFNVFPFGSGRRGCPGASLSLAVVHSAVALLVQCFDFTVHGGEKIKMEEGSGLSAGLAHPLMCYVTPHLNPLELLHLS >CDP14161 pep chromosome:AUK_PRJEB4211_v1:6:18287280:18288195:1 gene:GSCOC_T00040406001 transcript:CDP14161 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEDIIKATNDFDVGYCIGTGGYGSVYRARLPSGKLVALKKLYPLRFCLHKRCMFLIYEYMDRGSLFCILRDETEAVELDWIKRVNLIKGIASALSYLHYDCDPPIIHRDVSSNNILLNSQLEATLSDFGTVRILELDSSNQTVIAGTFGYMAPELAYTMVVTEKSDVYSFGVVVLETLFGKHPQDFLSSFSSQPNEPTMLKDLLDARLSRLLIN >CDP14334 pep chromosome:AUK_PRJEB4211_v1:6:35805822:35810282:1 gene:GSCOC_T00040663001 transcript:CDP14334 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSGEEDGDAEWKAAIHSVSVAAATAFAPATAPAPLSSSTPHHQEVDNPKSHNLKHYQLKAQKILHDIMEKTIEVVSSTDLFPDEDAANSGGGIQLFKHAPSGIVFDHIDEVQPPNKKPRILPGEEIDEKSKKFKRQLQSVAVDGMDILAAARDAGQKALAKLEAREAAAKAAAKREEERVTGLKKVRGERWLPSMAKNRHMGFQGR >CDP06382 pep chromosome:AUK_PRJEB4211_v1:6:223848:225410:1 gene:GSCOC_T00023207001 transcript:CDP06382 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLCVCVAPYVLGYLSSRPRTNLVVKTERSMKEGITWATFKAKIHQCFLTWSVSKDKLNKKKISKKKKMVMLVVMGVISFHAKKNGNGGSDGINWDLGYLALKL >CDP10121 pep chromosome:AUK_PRJEB4211_v1:6:14139291:14140194:-1 gene:GSCOC_T00030736001 transcript:CDP10121 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSSHPKTLHLPLQTSSSLKSASSSAQLTSSKLKAILQSFIFSHLYRIVRALTKAKSIVIQVLKDIQLLQFIQLSVKKNSKNLKNKLFLGSFRMHYNWCSSHVMPAPMPKLEGYYSSKDWYYDSSWNTCEIPSTDSCEELIGGAADSSSQLAGYLKWLEERKDRNNHDQGNEIDMLADMFIANSHEKFRLEKQESYRRFQEMMDRSIS >CDP03042 pep chromosome:AUK_PRJEB4211_v1:6:10264407:10266718:-1 gene:GSCOC_T00041515001 transcript:CDP03042 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLPTAKHSLPSLLHAQTPNTLLSQKLPNLPKSFQSQFCGVKLSHSSNFAVPSSFKKSSILAKVSKGSVAPSFSLKDQDGKTVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDPSSHKAFAKKYRLPFTLLSDEGNKVRKDWGVPADLFGTLPGRQTYVLDKNGVVQLIYNNQFQPEKHIDETLKFLQSA >CDP06385 pep chromosome:AUK_PRJEB4211_v1:6:240789:248529:1 gene:GSCOC_T00023210001 transcript:CDP06385 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLFCGSFGDQKNLIIMFEQNFISVVWERKSALLGRRRWKLKSEITADFELLELLHKELSSEERAFAHEFFLTLSACNTVIPILARSPSSSEAESDCCDNVVTIDYQGESPDEQALVAAASAYGYTLFERTSGHIVIDVNGEKLRLDVLGLHEFDSVRKRMSVVIRFPNNDVKVLVKGADTSMFSILRKDAATDDHRRHATQSHLTEYSSEGLRTLVVASRNLTSEELKEWQCMYQDASTSLTDRTIKLRQTASLIECHLTLLGATAIEDKLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTAEMQQIIINGNSENECRKLLFDAKAKYVLKYRNNQDLKWTRDAERDYLEIPVDMKFPNSPQQHGGLEEGSLHASLALIIDGSSLVYILEKDLESELFDIATACRVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSVPTIFVGILDKDLSCKTLLQYPKLYAAGHRQESYNMRLFWLTMIDTLWQSLVLFYVPLFTYKDSSIDIWSMGSLWTVAVVILVNIHLAMDVQRWVIYTHLSVWGSILITYGCVLVLDSIPTFANYGTIYHLAKSPMYWLSILLITVLGLLPRFVLKVIYQTFWPSDIQIAREAEILGKRPCYLGSRPDQGSS >CDP10092 pep chromosome:AUK_PRJEB4211_v1:6:14522600:14527486:-1 gene:GSCOC_T00030698001 transcript:CDP10092 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCHSPSQCNPHWLSQIQKKKRERESSTIHSSSLGKPTPVQFKSNSKHQPSSSQTNFFCNSCLQMPCMHTCLKVKIETLPLLFRRLQTMKLISRSLLVIGFKLVYFFTFSISLTSSLNSDGISLLALKAAITTDPKHVLSTWKESDSTPCRWAGITCDQTHQNVASISLSNKGLTGYIPSELGALSSLSSITLSFNNFSKIIPLHLFNATSLSSIDLSHNNLSGPLPHQIISLKNLTHLDLSSNQLNGSLPEALSSLTKLSGTLNFSCNRFSGEIPASFGRFPVILSLDLRENNLTGKIPQVGSLLNQGPTAFSGNPSLCGFPLEIPCSTQPEAAENPRILPNPEKPSLLSDGVVAKGKTKSGSMVIPLISGVSVVIGVVFVSMWVLRKRLNLAEGKTGGEKFEKEKEMERDIGFAGGSEEGRKGKFVELDEGLGLELEDLLRASAYVVGKSRSGIVYKVVGGGSGGRRAGVGGSTVVAVRRLSDGDGILKFKEFEAEMEAIGRVQHPNIVRLRAYYYASDEKLLVSDFICNGSLHNALHGGPANLLPPLSWAARLRIAQGAARGLMYIHECSPRKYVHGNIKSSKVLLDEDLQPYLSGFGLTRLLSGTTKSLNAASRRQSSSQITVSPTSSAPSSVMYTAPEARVLGSKFTQKCDVYSFGILLLEILTGQLPHGGLDDDDKGLESNVRKVFREERPLSEIIDPALLHEVHAKKQVLAAFHIALNCTELDPELRPRMRMVSDSLDRIKLS >CDP02915 pep chromosome:AUK_PRJEB4211_v1:6:11597103:11599213:-1 gene:GSCOC_T00041335001 transcript:CDP02915 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIQEISGNYHRHPREHGHNDPQSPAAPPRQLHQSPKRKIDSAPRKLLFLFLKWIIMTFILSLLLIFVGFAALVLLHILLTTAVVHRHRHRRRRQTRRSFSRSSSLYSMQEQDLYDLLPHLNYSASEQTTMDCAICLESFKEGELSRKLPDCNHLFHLNCVDNWLTKKPNCPVCRTRVQLHSGASRSRDSDDDGKFWWPVGA >CDP03224 pep chromosome:AUK_PRJEB4211_v1:6:8792403:8793901:-1 gene:GSCOC_T00041733001 transcript:CDP03224 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSINKADLVGLVISVLLLGDVSLVLLTLLQLYSSSVLDFFLVLFILPLGILLPFPAGINALFSHGPRRSAGFMRGYALWNITSVINVVVAFVCGFVHFKTQSSSNKKHWNIQSWNFTLDESGWWMLPSGLLLCKIFQARLINYHVANLEVQDRTLYSNDPDAFWRS >CDP03249 pep chromosome:AUK_PRJEB4211_v1:6:8577008:8579467:-1 gene:GSCOC_T00041765001 transcript:CDP03249 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQPELKKRKADAAPSPRTSSAQKDDNESAKTLIDKLTGPIAQTSKNNLKIQQAKNFAVAQAQQEHCTGNYRIFDSPFGNFLVPVIPTRAELSG >CDP03095 pep chromosome:AUK_PRJEB4211_v1:6:9804830:9806360:-1 gene:GSCOC_T00041578001 transcript:CDP03095 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQALFFFSLLVASTQAFDFCVADPSLPVGPAGYSCKKTADVTVDDFIFSLAASGNTSNIISAAVTPAFPPTFAGVNGLGISIARLDFAVGGVIPFHTHPGGSEILVVIQGQLCAGFISSLENQVYFKTLVKGDVMAFPRGLLHFQFNSGKSPALAFVSFSSPNPGLQVTDFAFFKNDLPTEIVAKTTFLDIPQIKKLKAILGGTN >CDP17391 pep chromosome:AUK_PRJEB4211_v1:6:30887862:30888433:1 gene:GSCOC_T00008022001 transcript:CDP17391 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTGVFGNRCRALMAAAKSSVASTTSGSAAAKTSGRRNGILKKQPVSPTLRQFVGAPCKDGSGSGLHSNPTPWPIWHRNRSRYNSLTRPLPIENLRARDQGRDSIQ >CDP09526 pep chromosome:AUK_PRJEB4211_v1:6:19745979:19748068:-1 gene:GSCOC_T00028931001 transcript:CDP09526 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAAESQFHVLAVDDSIIDRKLIERLLKTSSYRVTTVDSGSKALEFLGLLGDEDHGNPNQPCICTNHSQEVAVNLVITDYCMPGMTGYDLLKRIKESSSLRNIPVVIMSSENVPSRISRCLQEGAEEFFLKPVRLSDLNKLKTHMMKSKCKNHQMPENLEDPERPEESTATSSPSAETSEFQSPQSQPQLKQQQQQSQANNSNNNKRKAVEEGPSPDRARPRYSNDLTVVSN >CDP10503 pep chromosome:AUK_PRJEB4211_v1:6:6035115:6037846:1 gene:GSCOC_T00031250001 transcript:CDP10503 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIAIIGAGISGLLACKYALAKGYHPIVFEAQDCVGGLWNHTIESTKLQNSTEVFLFSDFPWPSSVKETFPGNTQVLKYVQSYAQHFGLLPYIKFNSKVIGIDYVGVSREEMQAWDLWGGTGQPFGSKGKWNMKVQHTTEDKSIKEYEVEFVILCIGRFSGVPNIPEFPPNHGPEIFGGKVLHSMDYSAMDNASAAALVKGKNIAIIGSQKSAVDLAAQCANVNGIDNPCTMVQRTAHWVLPSYYVWGGVSLHYLYYNRFAEILVHKPGEGFLLSALAFLLSPLRWGIAKLVESYLRWKLPLKKYNMVPPHGFLQEMSSCQILVLPENFYDKVVDGSIVLKKSKNISFCREGLMIDGETQPLTADVIILATGYRGDQKLGNIFTCPSFQECILGSASSTISLYRQIIHPRIPQLAVIGYSESLNNLYTLEMKCRWLGHFLDQTFQLPSIKEMEKDVRMWDGYMKRYAGKYYRRSCLGASHIWYNDQLCKDIGCNPRRKKGFFSELIEPYGPADYAELNPQS >CDP17388 pep chromosome:AUK_PRJEB4211_v1:6:30669189:30670961:-1 gene:GSCOC_T00008017001 transcript:CDP17388 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFSFISRFRTTLVSLLLNISFLFFLVFYITTNVLEKPSFKLSNSIFFEAQGSHDCSSVRNFTDYKSQCAYVKSFDCSGKGYIDYLQIFYCSLGQFPVLGYTLLLLWLLLLFYVIGNTTADYFCPSVEGLSRVLKLSPTIAGTTLLPLGNGANDVFASFISFAQSHDSDVGINTVLGGAFFISCFVVGIVSMSISSRQVAVDEASFIRDVLFLIFSLVSLLMIIISGEINLWVATGYVSIYVLYIMVVSAMQFFYGKKERIVNPPAIIPPSSRSNFLALNSDESCGMYAPLIEPMDEEKNESQSQPKDALDQLSNGSELRSTACRFLRLFLYVLELPLQLPRQITIPTVSEEKWSKAFAVTSATLAPIFLALIWKPQIISSTISLAIFMSAALLGIILGTLAFAFTKKSSPPKDCLFPWLAGGFLMSIAWTYLIAEELVCLLVSFGTILGINPSILGLTVLAWGNSAGDLISNLAMALKGGPDGVQIAISGCYAGPLFNNLIGLGLSLIFASWSQYPSSYAIPKDPYLYETVGFFIAGLLWAIVILLNRKMKLDRSLGGGLVAIYLCFIFLRLAKALGLPKLGGSLLEN >CDP06739 pep chromosome:AUK_PRJEB4211_v1:6:3002065:3003843:-1 gene:GSCOC_T00023688001 transcript:CDP06739 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEVGGNTHEHYGAKDYQDPPPAPLVDPEELTQWSFYRAIIAEFIATLLFLYITVLTVIGYKSQSDTKANGDICGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLGRKVSLVRAVLYMVAQCLGAICGCGLVKAFQRAYYVRYGGGANGLSDGYSTGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYGKAWDDHWIFWVGPFIGAAIAAFYHQYILRAGAVKALGSFKSSTPY >CDP02853 pep chromosome:AUK_PRJEB4211_v1:6:12409487:12414563:1 gene:GSCOC_T00041249001 transcript:CDP02853 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTMSFGAGNDTSVKLEIAAAEEVEAEEGHGRLSKRSRLSPSTSSSPPFIQWSTANNDFTATPLQYNPLNEPSPLGLRLRKSPSLLDLIQMRLSQNNASPVVAQSGSSTSTANKDAKNTAASIATDKMKASNFTASVIRIGRWEFVARHEGDLVAKCYFAKHKLVWEVLEAGLKSKIEIQWSDIMALKASFPDNGPSTLTLVLARQPLFFRETNPQPRKHTLWQAASDFTDGQASIHRQHILQCPPGVLNKHFEKLIQCDTRLNMLSQQPEIVMDEPYFDTQASAVENPDEFKSSQLRTGEGSSVSSFPDVASPSISDLSSLNFDTWDSATTGSAKEAPSPSSVMDANAIEGNGNYYLSDSQGLKNLKVPGLHPSMSMTDLVSHIGQHISEQVTSGSIPSEKASECQNIFENIAQIMLSDTQNVAASDEKLMKKVNSLCCLLQDPTVASSAHVEGENHSEGPFAGRDAELNYPSDFMFEMKAHDNVVSSVDPKQTPGIPRRDSLGDLLLNLPRIASFPNFLFNIAEADESKYR >CDP03104 pep chromosome:AUK_PRJEB4211_v1:6:9717023:9717844:1 gene:GSCOC_T00041588001 transcript:CDP03104 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGGVRAAVYGRTSILSFPPPRSSSSPLKSFYFPATTRLYAAQSQPSIKMSASNPPEFIEHIVLFKVKPDIDPSKATAMLNNLSSLTSLDSVLHLTAGPLLRSRSSSLTFTHMLHSRYASKSDLDAYSAHPDHVAVVTNYVKPIIDDNMAVDWVASGAGAVKIPPGSAMRVTFLKLKEGSGEDERNEVLGVIGGIKEKFLGIEQLSFGENFSPGRAKGFSIASIAVFKGVNELEGLDAESEAADKQKDLARDKVDGVVVLDYVVPSSQTASL >CDP03017 pep chromosome:AUK_PRJEB4211_v1:6:10563425:10567641:1 gene:GSCOC_T00041482001 transcript:CDP03017 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1 zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT4G20380) UniProtKB/TrEMBL;Acc:F4JUW0] MQSQIVCSGCRSVLLYPRGATNVCCAFCNALTPVPPPQLPPPGMDMAQLICGGCRTLLMYTRGATSVRCSCCHTVNLAPVSNVAHVNCGNCRTTLMYPYGAPSVKCAVCHYITNVNMSNTRVPIPMHQPSGTVTPPLMPSTSSGLAHSQSQTVVVENPMSVDKSGKLVSNVVVGVTTEKK >CDP03117 pep chromosome:AUK_PRJEB4211_v1:6:9629354:9636911:-1 gene:GSCOC_T00041608001 transcript:CDP03117 gene_biotype:protein_coding transcript_biotype:protein_coding MPASFPQYVTEAITGPLPDPPGVKLAKEGLKAKHPVVFVPGIVTGGLELWEGHHCAEGLFRKRLWGGTFGEIYKRPLCWAEHMSLDNETGLDPPGIRIRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKNMYMAAYDWRISFQNTEVRDQTLSTIKSNIELMVATSGGKKVVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGSDWCAEHIKAVMNIGGPFLGVPKSVAGLLSAEARDIAVARGMAPGFLDMDVFGLQTLQHVMRMTRTWDSTMSMIPKGGDTIWGGLDWSPEEGYECSPKKQSNNNSETGGQNGFGNLGNATQVHYGRMISFGKGVAELHSSKLERVDFRGAMKGNHLANATCHDVWNEYHDMGVEGVKAVADFKVYTAGSVLDLLHFVAPKMMKRGGAHFSYGIADDLDDEKYEHYKYWSNPLETKLPNAPDMEILSMYGVGIPTERAYVYEFSPTPECFTPFRIDTSAEAGYEGTCLKGGVFSVDGDETVPTLSAGFMSAKGWRGKTRFNPSGIRTYIREYNHAPPANLLEGRGTQSGAHVDIMGNFALIEDILRVAAGATGQDLGGDRVYSDIFKWSERINLKL >CDP10453 pep chromosome:AUK_PRJEB4211_v1:6:6438311:6441723:1 gene:GSCOC_T00031182001 transcript:CDP10453 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWLSSFSLPNPFKSDSDSDDGDRDDQDNKPSTTRHSPALASPNSASSPPSGVKQDLSALSQTFSYHLRGVAAFLAPPPASQRQNAGDFNSNYTSTTAGDGYATASPPSESETITGIRKDLEEIGGSFKSLFSSSSNIAVSGISKLATNLLQFQGDVIDEGGEGEGEGEEEDLEEQDVAGIREEVVDFAREISLRPELWTEFPLSLPNDFDMSDNQRSHAATIEDLVPSIGTLRRTCSHLSDGQFWMIYFILLLPRLNETDMDLLSTSEARSISIETLLLKLRNKSNAQVASGISETVNTPALSQEMSAIQEDKSLSEQKDASAETANTTKEREVETGKNGMRLKDEDAGVPESLDGQKRPQNEDDVSFSDLEDEDNDPSDKVSGFRRTQNGRGSSASESSEWVRLTENSGAQGGQNNPGLSTRRGKDSEGEESNDWLTVDDIDFDNLAAA >CDP14164 pep chromosome:AUK_PRJEB4211_v1:6:18343814:18348623:-1 gene:GSCOC_T00040413001 transcript:CDP14164 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIEVANHKVHLNGAMQHQNGFEEKLDELRRLLGKADGDLLRIVGVGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAERLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETQEVFVEISRYWKERITKPIIISLAKGIEAELDPVPHIITPTQMIIRASGVPIENILYLGGPNIASEIYDKEYANARICGAEKWRIPLAKFLRQPYFIVWDNSDLVTHEVMGGLKNVYAIGAGMIAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMIAKGELSLDMGDSISGKGTIQGVSAVGAFYELLSQSSLSVLHPEDNKPVAPVELCPILKTLYKILITREQGVRAILQALRDENLNDPRDRIEIAQTHAFYRPSLLGQP >CDP11657 pep chromosome:AUK_PRJEB4211_v1:6:28491631:28494117:1 gene:GSCOC_T00034063001 transcript:CDP11657 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTFGILCEMIRDTGGLKATRNMSIEEIVAMFVYVLAHHKKSRTICGLFWRSRETVSQLFRCLRWTLIDVTPPTEQKSRYRTRKGSIATNVLGVCCYYLVDAGYCNADGFLAPYRGQRYHLNEFNVMKKMENDEIVRGRGKNKCFWTGEEVKVLIESLQELACDPMFHAIVEMCKESGCSWNDAEKKISYEKQWYDDWCKTHKDAKGLWDVKFPYLGDLEIVYGRDRATGNVAEDFTQTVQDMEAVQNLEEGDEGLDAMSNSDNDKVEEDEVNSMEQSTQPSSTSTRNSKKQKKQSPPIANVSKKMKSASTTRGDLDASLQLLTSKFGDFVEGIQANFTTIAAAMSNEDKREQLVSDRRDQVVAELMKLALPSGDVMNAADILSEQISKLHVFYNLPAEMKRQYVINLLYPPSTR >CDP06384 pep chromosome:AUK_PRJEB4211_v1:6:238399:240579:1 gene:GSCOC_T00023209001 transcript:CDP06384 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGKPLLSSSEPPDLYSHPHLLKRHTTSSPPSSAAAAFDDPPQHTSSITTLYTLKEDELDSNFSPLRQIGFPDESVRHPAATKGKGFRSAELRFHREFQLECPWRERKRLVSWGGAMDAHHDIASFEVSSVQSQDKLNKSQRSRHKSMPLEDNLMHHDNPRLIHINDPKKTNHKFEFSGNEIRTSKYTILNFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLSVTAIKDGYEDWRRHRSDRNENNREALVLQASEFQRKRWKKMQAGEVVKICADESIPCDVVLLGTSDPSGIAYIQTMNLDGESNLKTRYARQETSKLVLEGTTISGVIRCEQPNRNIYEFTANMEIKGQRFPLTQSNIILRGCQLKNTEWAIGVVVYAGQETKAMLNSASSPSKRSRLETYMNRETFWLSVFLLTMHMYDNSSGSRFQCRSLNINEDLGQIRYVFSDKTGTLTENRMEFRRASVCGKSYGRSLSMTGTLEDTDIGGITNTCDLCSEYILKFVELFLIVMSFSFFFGQDTCLIMISGVMNTRLALIGAIAFVWTFLNIL >CDP09601 pep chromosome:AUK_PRJEB4211_v1:6:21533444:21537027:-1 gene:GSCOC_T00029035001 transcript:CDP09601 gene_biotype:protein_coding transcript_biotype:protein_coding MCTILKAWKAGSNFLGTHKKVELKWIIVQSLGGQSEINPEIHYSIRNDWIRHSDGKLSFLGFVRLLHGVPHEHFRRLETTNYSD >CDP14303 pep chromosome:AUK_PRJEB4211_v1:6:36090088:36091533:-1 gene:GSCOC_T00040615001 transcript:CDP14303 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKAVAVISGGDSNNNVRGPIQFLQDFNRGGGAATLVKGRITGLTPGLHGFHIHALGDTTNGCNSTGPHFNPLKRDHGAPSDQNRHAGDLGNIIIAGADGVS >CDP10521 pep chromosome:AUK_PRJEB4211_v1:6:5898314:5902033:-1 gene:GSCOC_T00031274001 transcript:CDP10521 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSAPTGVSKERAEQYQGRVTAYVIVACIVAAVGGSLFGYDIGISGGVTSMDGFLSKFFPTVYRHKMHAHENNYCKYNNQGLASFTSSLYLAGLVSSLVASPITRKYGRRGSIICGGISFSIGAALDASAQNLAMLILGRIMLGFGIGFGNQAVPLYLSEMAPTHLRGGLNMMFQLATTLGIFTANMINYGTEKLRPWGWRVSLGLAAAPAILMTVGGILLPETPNSLIERGSEEKGKKVLEKIRGTTNVHAELQDIIDASELANSIEHPFRNILEKKHRPELIMSIFMPTFQILTGINSILFYAPVLFQTMGFAGNASLYSSAVTGAVLASSTLVSIATVDRWGRRVLLIGGGIQMIICQVIVAIILGLKFGSDRELSKGFSILVVVVICLFVAAFGWSWGPLGWTVPSEIFPLEIRSAGQSITVAVNLLFTFAIGQAFLSLLCAFKFGIFLFFAGWITIMTIFVYLFLPETKGVPIEEMVFLWRKHWFWKRVLPKDLESSKNGAE >CDP06220 pep chromosome:AUK_PRJEB4211_v1:6:23008484:23009899:-1 gene:GSCOC_T00022914001 transcript:CDP06220 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEELVFVPAPGRGHMVSMIEFAKRLLERDERISITVLVIRLPTPSKLESYVEELAAANSNIRFIDLPSVDRPSPELSSSIEKSASVYIEKHKPLVQDAIINHVLSDPSTHLAGLVIDLFCTSMIDIANELGVPSYVFFTSSAAFLGLMLYLPVRHSLKGTEFSISDPDSIIPAYTHPVPSRVLPSFLFDETGGYESMLYHGTRFREAKGLIINTFAELEPHAIKSLESDKELAPIYTIGPVLNFELDKNPDFEKIIKWLDDQPSSSVLFLCFGSLGGFEPPQLAEMAAALERSGYRFLWSVRAPMPRDFRTRQIEYTNFSEILPEGFFERTKDKGLVCGWAPQLDVLAHEAVGGFVSHCGWNSILESLWNGVPIATWPVYAEQQSSAFQLVKDLEFAVELTLDYRFKNPDKLVPAKEIEKAMRCLMDSENPVRRRVKDIGKRAREALMDGGSSFISLGRFIEDLLAIQK >CDP15642 pep chromosome:AUK_PRJEB4211_v1:6:32585453:32586397:1 gene:GSCOC_T00015574001 transcript:CDP15642 gene_biotype:protein_coding transcript_biotype:protein_coding MQEESEICGTATNSIQEIFDSNSSSHLIQNKRQKQDTDVASTRFKGVVAQRNGHWGAQIYAHDRIWLGTFKSEIDAAMAYDSAAIKLRGGDSYRNFPWTSITKEEPKFQSQFSTQAVLNMIKDGSYPSKFVDYLRAQSFVQSFATVPSNEGFLCKKLFHKELTPSDVGKLNRLVIPKKYALMYFPRLNCDVQETDRDIAGEDDTELVFFDRSMRSWKFRYCYWKSSQSFVFTRGWNKFVRDKGLRAKDLVIFSLCEFKDGSDELQSVLMIDGGYHESFHGALTTNSSKGENNLPEMEQNGSVERGLKLFGVQII >CDP10215 pep chromosome:AUK_PRJEB4211_v1:6:13066307:13067725:1 gene:GSCOC_T00030869001 transcript:CDP10215 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAYASQNAAKIQTDVLSQARQSCYKARDAFYACLEKQSSKKPSEIGYVGLLYPVECKKPREEFVKQCRPTWVKHFDRQYCAKRRVQRLLDDNESRRGV >CDP10410 pep chromosome:AUK_PRJEB4211_v1:6:6838224:6839657:1 gene:GSCOC_T00031128001 transcript:CDP10410 gene_biotype:protein_coding transcript_biotype:protein_coding MERKASFFCISIVVVLLSMSFRVAAQGGLKAGFYSSSCQNAESIVRSTVETHNNKDPTVAAGLLRLHFHDCFVQGCDGSILIAGASAERNALANSGLRGFEVIDDAKKQLEGSCPGIVSCADILALAARDAVGLSGGPSWDVPTGRRDGRISSSSEVPNNLPSPLDPIAVQRQKFAAKGLDDRDLVTLVGAHTIGQADCLFFRYRLYNFTATGNADPSLNQAFLAQLQSLCPRNGDGSRRVALDKDSQFKFDVSFFKNVRDGNGVLESDQRLWGDPSTRRIVENYAGNVRGLLGLRFDFEFPKAMIKMSSIEAKTGAQGEIRKICSNFN >CDP03170 pep chromosome:AUK_PRJEB4211_v1:6:9107545:9112456:1 gene:GSCOC_T00041671001 transcript:CDP03170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced ethylene response protein 5 [Source:Projected from Arabidopsis thaliana (AT2G19560) UniProtKB/Swiss-Prot;Acc:Q8GWE6] MAGAYMMSMGEAHRRILEYLNRFSDAVSTQDGKSLSRLLSISSDSHHLLSLVDALNTFQDANRLIRQSEEYSQYADILLPIFRALQSYRLRNLVESYQAFEKASNAFIQEFRNWDSAWALEALYVLAYEIRVLAEKADIELASNGKTPEKLKGAGSLLMKVFSTLAGKGPKRVGALYVTCQLFKTYFKLGTVHLCRSVIRSIETARIFDFEEFPVRDKVTYMYYTGRLEVYNENFPAADHKLSYALSHCDARKEANIRMILKYLIPVKLSIGILPHAQLLENYNLNEYSNIVLALKRGDLRLLRHALQEHEDRFLRSGVYLVLEKLELQVYQRLLKKIHIIQKQKDPNKAHQIKLEIIVKAMKWLEMDMDVDEVECIMSILIYKNLVKGYFAHKSKVVVLSKQDPFPKLNGKPVSS >CDP06237 pep chromosome:AUK_PRJEB4211_v1:6:23771062:23771487:1 gene:GSCOC_T00022950001 transcript:CDP06237 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEIWCLPEVKIGNHGLRKRVLHKGNSWKTPFPGDEVEVRYTLRLKDGEFFDSSHDKGTPFKFRLGQGKFYFCIL >CDP16103 pep chromosome:AUK_PRJEB4211_v1:6:33290743:33293480:-1 gene:GSCOC_T00017144001 transcript:CDP16103 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLILLVFPILLVMLLLLPNKTKKPSRVHHSPTGPPRLPLIGNLHQIDPSCLHKYLWKLSQKYGPLMFLKLGSVPTLVISSARLAEEVMKNQDLIFCSRPKMLGLQKLAYNGLDIALAPYNQEWREMRKICVIHLLSAKRIQMFRPIREDEVSRVIGQISKKAASYDQVINLSETIVSLTNTMICRIAFGKRFDEDGHERRRFSSLLHEAQAMFVAFFFSDYFPSVGWIDKFTGTLSRLESTFQKFDSFYQGLIDEHLNPNRPKSMDGDIIDLMLQLQHDGSTSFEITMDHIKAMLMNVFIAGTDRSAVTVIWAMTAMIKIPTVLKKLQAEIREIMGKKQMLDEDDVQMLPYLKAVVKETFRLYPAVPLLVPRETMGKCTIDGYEIQPKTLVYVNAWGIARDPEYWGNPDEFLPERFLNSTIDLKGQDFHLTPFGAGRRGCPGYSMGLATVELVLANILHSFDWELPPGVKKEDIDTDALPGITMNKKTDLRLVANLHV >CDP06355 pep chromosome:AUK_PRJEB4211_v1:6:53237:60156:1 gene:GSCOC_T00023170001 transcript:CDP06355 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGSSRQLDQTPTWAVAGVCAVIILISIALEKLLHKIGTWLTDRHKKALYEALEKVKNELMILGFISLLLVFSQYYITQICVPSGVADSMLPCRARDKVATKNDGHRRRLIGYERRVLAAGAKEPSCKQGRVPLISVDGLHQLHILIFFLAVFHVIYSAVTMALGRLKIRGWKDWEQETSSHHYEFSNDPTRFRLTHETSFVRAHTSFWTSIPIFFYIGCFFRQFFHSVSKSDYLTLRNGFISVHLAPGSKFNFQKYIKRSLEDDFKTVVGVSPVLWASFVVFLLLNVSGWKALFWASLIPVVVILAVGTKLQAILTRMAIEITERHAVVQGIPLVQGSDKYFWFGHPELVLHLIHFALFQNSFQITYFLWIWYEFGLKSCFHENFELVIAKLALGVGVLVLCSYITLPLYALVAQMGSYMKKSIFDEQTSKALKKWHMAVKKKQGRKSPTRRLGDASPTASVASTLHSTGPALHRFKTTGHSTRSFTYEDQEASDLETDPSTPVTNKLMITVDHDTRNELNVPSDAEEIKNDNDFSFMKPSPKEMNI >CDP14179 pep chromosome:AUK_PRJEB4211_v1:6:18520678:18522747:1 gene:GSCOC_T00040431001 transcript:CDP14179 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEPQFKATMLLLGLLLVATLDFTGAQTGVCYGRLGSNLPSPADVVALCNQRNIKRMRIYDPHQPTLQALGGSNIEVILGVPNTDLQNVAASQANANNWVQNNVRKYPNVKFRYIAVGNEVSPLTGTAQYTNFLLPAIRNIFNAVSAAGLRNQIKVSTAIETGLVANGYPPSAGTFQPQAQNFIKPIVQFLAGNGAPLLVNVYPYFSYTGNPKSIALEYALFTSSGITTPDGVKYQNLFDALVDATYSALEKAGGSSVQIVVSETGWPSAGGQATSIDNARTYNNNLIKHVNGNSGTPKRPGRAIETYIFDLFDEDQKSPEYEKHFGLFLPNRQPKYPISF >CDP14232 pep chromosome:AUK_PRJEB4211_v1:6:37246199:37249185:-1 gene:GSCOC_T00040505001 transcript:CDP14232 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g07350/T13E11.12 [Source:Projected from Arabidopsis thaliana (AT2G07340) UniProtKB/TrEMBL;Acc:Q94AF7] MADEANRKAFLEIQSRMIESTAKLKQFQNQIRSKEGEKKRAYLTLEELRQLLDDTNTYKSIGRTFVLEPKSVLMDEQEQKLKDSEAAISALEKSKEYLEKQIAEVENNLRELLQQDPGLARQIMSMSV >CDP06658 pep chromosome:AUK_PRJEB4211_v1:6:2417616:2419624:1 gene:GSCOC_T00023580001 transcript:CDP06658 gene_biotype:protein_coding transcript_biotype:protein_coding MKPATCNRWFICLPNYKFSKTRNAAQISTQAPQAPLIKTQKVHVHASQLGGSAIELTRAHSRCESIASLDAPKLFDELSHCDVVSATSRLCRLAKQNHHEEAVCYFSRMLELDIKPNEFTFGTVIHSSIVLNDLLLGKQLHACATKIGLSSNVFVSSTILDLYVKLSRIEEAQKAFKETDKPNVVSYTTLISGYLKEERFDEAVMLFQKIPEKNVVSWNAMISGCSQTGNNEEAVNLFVRMLREGLLPSESSFPCAIVAAANIAALGMGRSFHACALKFLGSKLGVFVGNSLVSFYAKCGSMGESRLAFDKLPRKSVVSWNAVICGYAQNGKGKEAIDVYQRMKSSGVRPNSVTLLGLLLACNHVGLVEEGYSYFNQARLQEPSMLQAEHYACVVDLMSRAGRFQEAIKFIADLPFDPGIGFWKAILGGCRIHSNMELGEFAASKILALDPGDVSSFLMLSNAHSAAGRWQCVSRIRHEMNEKGLNRVPGCSWIEIKSKVHVFVTGEYWGHAQGDEIHDVLTLTITEIQDIDLVM >CDP15636 pep chromosome:AUK_PRJEB4211_v1:6:32322866:32327011:-1 gene:GSCOC_T00015563001 transcript:CDP15636 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSNVTNDLSRADFGEDFIFGSASAAYQMEGAAEEGGRGPSIWDKFTEQRPDKVVDGSNGNVAIDQYHRYKEDVQMMKKIGLDAYRFSISWSRVLPGGRLNAGVNKEGIQYYNNLIDELLANGIKPFVTLFHWDVPQTLEDEYGGFLCRRIVDDFREFAELCFWEFGDRVKHWITLNEPWTFAYNGYTTGAHAPGRGVSTAEHIKEGNTGHRCNHLFSGNSVDGNPGTEPYLVAHHLLLAHAEAVKVYRETFKGQEGKIGITLVSQWWEPLNDTPQDKEAVERAADFMFGWFMSPITYEESQNLKGSFDFLGLNYYTSIYASDASGTKSELLSYVNDQQVKTQSKFLEFSTVGPDGKTDIGPRAGSAWLYIYPLGIYKLLQYVKTHYNSPLIYITENGVDEVNDPGLTVSEARIDKTRIKYHHDHLAYVKQAMDVDKVNVKGYFIWSLLDNFEWSEGYTARFGIIHVNFKDRNARYPKKSALWFMNFLAKSNLSPKKTTKRVLDNGGLADLENPKKKILKT >CDP10084 pep chromosome:AUK_PRJEB4211_v1:6:14761080:14764318:-1 gene:GSCOC_T00030687001 transcript:CDP10084 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIRSVIISFFFYQDPYPSPIFAIINPIHTSRFLRSSPHSLFPRLHLADYYSFSLIETVHIKGSRISIWFDFARQDRPNLLQFHQYDICLMESSEETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKEMILKQEQAKLAASSIENIVNGSSSSKEKEPVVTGALAVQAVSADFEVVSTKASPEILVGQGSEAKVKEGPNRCSTCRKRVGLTGFNCRCGNLFCAVHRYSDKHECPFDYRAAAQDAIAKANPVVKAEKLDKI >CDP06350 pep chromosome:AUK_PRJEB4211_v1:6:4237:8743:-1 gene:GSCOC_T00023165001 transcript:CDP06350 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFDQSWMSLANHSRLFYSTGSHHLISSNLVLQKNISTSKVEMADSMKGSSESKNICELRFGSYCLWRQEHRERMKDHTVKKMKDLLYVARAYYPSIAKLSKLDKLSHELKQNIQDFERVLSETTADKDLPPQIEKKLDKMESTVARAKSHPVDCNNVDKKFRQLVDLTEDEANFHMKQSAFLYQLTVQTMPKSLHCLSLRLTVEYFRSPPPDMELLLADKFQNPDLHHFVIFSNNILASSAVINSTVMNSEETSSQVFHVLTDRQNYFAMKLWFFQNKYKDATVRVLDIEGLKLDEHEKLSQVHQLLPEEFRVSFRSVDKLSRVWVQTEYFSLFSQSHYLLPDIFPTLRKVMILDDDIIVQKDLSALWSLDMGEKVIGAVMVCTVRLVQLQNYLGENNFDEQSCAWMSGLNIIDLGRWRKLDLTKSFETLVLKLTSEGGISEAAAVPATLLTFQGLVYDLDDNWVLSGLGYNYGLDPEIVKKAAVLHFNGNMKPWLELGIPKYKGLWRNFLNPENTFLSNCNANQ >CDP15445 pep chromosome:AUK_PRJEB4211_v1:6:4783363:4784874:1 gene:GSCOC_T00043178001 transcript:CDP15445 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFAKNGDCVDCFRTFREYIRSGDHPDNYTLPLVIRVCRDTTDLQMGRLIHQIVHKFGLHSDPFVAAALVDMYAKCKVTDDAKTMFDTMLERDLVTWTVMIGAQTECGSANEALLLFDRMQEEGIVPDSVAMVNVVNACAKLGAMHKARLVHDYISRKKFWLTVVLGTAMIDMYAKCGCVESAREIFDRMRIKNVVTWTAMIAAYGYHGRGREALDLFSMMLMTGMVPNSITFVSILYACSHSGMVEDGLKLFSLMQEEYGVRPNVKHFTCVVDLLGRAGRLDEASSLIKNMAIEKDEGLWGALLGACRIYGHVELAEETARSLLELQPENAGHYVLLANIYARAGKWKDVARIRELMTHQRLKKVPGWTCIEVDNKIHQFTVGDHSHPQSKEIYEKLKCLCNKLELAGYVPDTNYVLHDVDEEWKRGILYTHSEKLAITFGLSATPEGSPIRITKNLRVCGDCHTFIKYVSLVANRLVIVRDANRFHHFKDGACSCGDYW >CDP10624 pep chromosome:AUK_PRJEB4211_v1:6:5008650:5009531:1 gene:GSCOC_T00031397001 transcript:CDP10624 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRFSLLSSPSTTTTTPAADGVLNRQILIRSVWSSNLESEFSLIRHAIDRYPYVSMDTEFPGVVFRQDFRHGSPTDHYKTLKSNVDALKLIQVGITLSDSHGNFPDLGSPDNYYIWEFNFSDFDVTRDEHAPGSIDLLRHQGINFEKTRMYGVEASRFAELMMSSGLVCNDDVSYVTFHSAYDFGYLIKALTGRVLPAELGEFMELLRVFFGKRVYDVKHLMKFCKSLHGGLDRVASTLEVSRAAGKCHQAGSDSLLTWHAFQRIREVYFNGDEGLPEKYAGVLYGLEVISA >CDP09565 pep chromosome:AUK_PRJEB4211_v1:6:20831696:20832993:1 gene:GSCOC_T00028988001 transcript:CDP09565 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMLSVNQKKTNKQPKNKKKPVKVVYISNPMKVKTSASEFRALVQELTGQDADMPDPTKYSDTDSVGGSCQEEVSTELKTMEDDQVVQQPLVQPKNEMPERADCNIYGTCDREDDDLLYMPESFPGLVASNLWYGASIHGDELKSLF >CDP15401 pep chromosome:AUK_PRJEB4211_v1:6:4524179:4525177:1 gene:GSCOC_T00043122001 transcript:CDP15401 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNSKLYLENCYIMKENERLRKKAELLNKENQALLNELKRKLAHGNKNPRPNHSSDSIPDLNLCSGSSSSAGASKSNNN >CDP10159 pep chromosome:AUK_PRJEB4211_v1:6:13707283:13710953:1 gene:GSCOC_T00030781001 transcript:CDP10159 gene_biotype:protein_coding transcript_biotype:protein_coding MAEITKYQPINGRTLVTELKSQFYLLKNKKTVALAYGFIFAFIAFTIFLAFSPSPNSSSPWFSNIFSRSSFRGGTLNSSTPPILSDESHGSHFSSVFSYFFPNSSQQAINDSSAFESSPTSIARSQNSSSEQPNRSTTIPAPKSAEVLKNRTVNATPKSSSEMNNSSSDAKNQTQSKELESKGEVLKANQSTIGAVNSSEKANNSATSSAKSLPGKNNVSGVGEKRIAENGVGVKNLTSSLLKKQSNGASSSVSAKHRMDELVKGLVNCNLFDGNWVKDDSYPLYKPGSCSLIDEQFNCFLNRRPDSNYYKFRWKPKECILPRLDGGHMLELLKGKRLVFVGDSLNRNMWESLICILRNSVKDQKKVYEASGRQHFRTEASYSFVFEDYKFTVEFFVTPFLVQEWEFQDKNGKKKETLRLDLVGHSADKYKSADIIIFNTGHWWTHDKTALGKDYYQEGSHVYSELNVLEAFRKALTTWARWVDAKVNPAKSLVFFRGYSASHFSGGQWNSGGACDHETEPIKNETYLSQYPSKMVVLEKILRNMKTPVSYLNVTRMTDYRKDGHPSVYRKQSLTEEERRSPLRYQDCSHWCLPGVPDSWNELLYAELLVKRYQKQLQQHKRP >CDP03346 pep chromosome:AUK_PRJEB4211_v1:6:7765175:7765896:-1 gene:GSCOC_T00041896001 transcript:CDP03346 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYGNLESYLYAQCIYLVETQFQLIEYGFHRNSARRLVLQTWGVHGVYCMERSVKKVHGW >CDP06463 pep chromosome:AUK_PRJEB4211_v1:6:944936:946893:-1 gene:GSCOC_T00023325001 transcript:CDP06463 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNFIHRVMSYVANELIVNGLANSPAFQRFAVRTSKRIENVSVKGMRSYPMLLINSFGFWFFECSSTLLLLLLLLLLNWANVFFLTK >CDP14214 pep chromosome:AUK_PRJEB4211_v1:6:18968123:18975231:-1 gene:GSCOC_T00040482001 transcript:CDP14214 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLHLKRSLQSLLHHHPTTLKSPNTALLRHCSTASTPPPPPPPSPPPPLPSSATDAEIRKYLGYTLLVLGCGAATYYSFPFPADAKHKKAQLFRYAPLPEELHTVSNWSGTHEVQTRTFLQPESLEQLEEIVKKAHEKKQKIRPVGSGLSPNGIGLTRSGMVNLALMDKVLEVDKEKKRVRVQAGIRVQQLVDGIKDYGLTLQNFASIREQQVGGIVQVGAHGTGARLPPIDEQVVSMKLVTPAKGTIEISKENDPELFYLARCGLGGLGVVAEVTLQCVERQELLEHTFVSNLKDIKKNHKKFLSENKHVKYLHIPYTDTVVVVTCNPVSKWKGPPKFKPQFSQEEAIQPFRDLYRESLKEYRVGVNAAGTSSENEPDIDELSFTELRDKLLALDPLNKNHVIKINQAEAEFWRKSEGYRIGWSDEILGFDCGGQQWVSEICFPTGTLSKPSMSDLGYIEELTQLIERENVPAPAPIEQRWTACSKSFMSPAHSPVEDDIFSWVGIIMYLPTTDARQRKQITEEFFHYRHLTYKQLWDRYSAYEHWAKIEVPKDKEELAALQARLRKRFPVDEYNRARKELDPNRILSNNMLEKLFPSSETTV >CDP14302 pep chromosome:AUK_PRJEB4211_v1:6:36104961:36106003:-1 gene:GSCOC_T00040612001 transcript:CDP14302 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSPPFFFSALRPSPDHHRIAALQKRDQSKAGGGAGGSATPAAKRGRPFGSGSGNAATAAGAAGSADSAAPSTLLGPSLQVHSAFAESRITKG >CDP15350 pep chromosome:AUK_PRJEB4211_v1:6:4037641:4043350:1 gene:GSCOC_T00043045001 transcript:CDP15350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucomannan 4-beta-mannosyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT5G03760) UniProtKB/Swiss-Prot;Acc:Q9LZR3] MDRLPSATLLPDGFIGGRDDMTEQFSMVWAQIKAPLIVPLLKLAVMVCLIMSVMLFIERVYMGVVILLVKLFARKPEKRYKWEPIKDDLELGNSAYPVVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPIIKALVETECQRWASKGVNIKYEIRDNRNGYKAGALKEGLNHAYVKQCDYVAIFDADFQPEPDFLWRTIPFLVHNPQLALVQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRLAAIDEAGGWKDRTTVEDMDLAVRASLRGWKFVYLGSLVVKNELPSTFKAYRYQQHRWSCGPANLFRKMVMEIIRNKKVTLWKKVHVIYSFFLVRKIIAHLITFVFYCVLLPSTVLVPEVQVPKWGAVYIPCVITLLNAVGTPRSLHLMVFWILFENVMSLHRTKATFVGLLESSRVNEWVVTEKLGDALKNKSAVKAIRKPRFRFGERLHLLELGTGAYLFFCGCYDVAFGKNHYYLYLFTQAIAFFIAGFGYIGTFVPNS >CDP06727 pep chromosome:AUK_PRJEB4211_v1:6:2905046:2910311:1 gene:GSCOC_T00023674001 transcript:CDP06727 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIEILTRVDAICKKYDKYDIDKQKDANIAGDDAFARLYSAIQSDIEAALQKAETAANEKNRASAVAINAEIRRTKAKLLEEVPKLQRLAVKKVKGLSGEELAARNDLVLALPDRIQAIPDGAAAAPKQSGGWGASASRTEIKFDSDGRFDSDYFQQTDESNNFRQEYEMRKDQGLEVISEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKATSDLKNTNVRLKDTVNQLRSSRNFCIDIILLCIILGIAAYLYNVLKK >CDP03046 pep chromosome:AUK_PRJEB4211_v1:6:10212460:10220421:1 gene:GSCOC_T00041521001 transcript:CDP03046 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase II, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74960) UniProtKB/Swiss-Prot;Acc:Q9C9P4] MASSVVCSWLMAACMSVACENDSKTTISMLSSDPSLSSRFTKWAAPGPPQFSRIRNLMSSCLAFEPCPDFCRNSSSSFPSLALSSSPFFSGGDNGALALGHGRRNKLNQPVHSGKAVAIAVQPTMEAAPKKKPPTKQRRVVVTGMGVETPLGDNPDVFYTNLLQGASGISEIEAFDCSNFPTRIAGEIKSFTTDGWVAPKLSKRMDKFMLYMLTAGKKALADGGITEDIMDELDKTKCGVLIGSAMGGMQVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADMMLCGGSDAAIIPIGLGGFVACRALSQRNSDPSKASRPWDSNRDGFVMGEGAGVLLLEELEHAKGRGANIYAEFLGGSFTCDAYHMTEPHPQGTGVILCIEKALSQSGVSRGDVNYINAHATSTPAGDLKEYQALVHCFGENQELLVNSTKSMIGHLLGAAGGVEAVATVQAIRTGWVHPNINLENPDDDVDTKLLVGPEKERLDIKIALSNSFGFGGHNSSILFAPYK >CDP06617 pep chromosome:AUK_PRJEB4211_v1:6:2135743:2142300:-1 gene:GSCOC_T00023527001 transcript:CDP06617 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEPELALDESCGRQSAVSRSSKMANQNQQRSLHLPHLAGPRGLGSGWSRRELIILAAVVIVTILIFSHSSPKYSSTIVGNHTDKSSNSAPPSSASRHSDWIPFTPLTNAHHKAALCLDGSVPGYHMEKGFGSGSHDWVLHIEGGGWCNTIASCSSRKKTALGSSKYMDAPVQFSGILSHDPLQNPAGGLFYRFALSMLCQDFYNWNKVKIRYCDGASFSGHPDAESKNGPELFFRGQLIWEAVMDQLLSLGLSNARQALLSGCSAGGLATLLHCDNFQGILPKGATVKCLADAGFFLNEKDVAGNHTIESFYRDVVNLQGIAKSLNKDCLSKMEPHKCFFPQEFIENIKTPLFLVHPAYDFWQIRNILAPDESDSQRTWLSCKLNIFNCNPSQLEVLRGFRNSLLKTLGKFQQNKEGGMFINSCFIHCQTWMAETWHSPTSPRINNRTIAECVGDWYFNRKEAKEVDRPFPCNPTCYNMDFTRGL >CDP03029 pep chromosome:AUK_PRJEB4211_v1:6:10389568:10393881:1 gene:GSCOC_T00041499001 transcript:CDP03029 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSSQRKKIVSKNISGLICPTAHILSISPQKQEGVYLLKIRRGKNKTTRTRILLLIQNHCNATRIRVCQFFHHLCFKYSRNQKLLRHHYYREKGVSGTMPYSSISNLDNKKSKFKYIDDFNDTAWPVPGSQSASPSSPSSLKQGNLYNFPFEAEDLLDGGYESSDDRYKTVQRNGPPEVNLKNVLSGIFAILTGRNKSLNAAGSLQFSNSNVSFLGSAKNGDAVLHSSVYIPSAPPLLEATAFNYSAYKDVLEAEPPEWLPDSSSTVCMQCNAPFTALTRGRHHCRFCGGIFCRACSKGRCLLPVKFRERDPQRVCDTCYDRLDPLQGVLINTISNAVQVAKHDVMDWTSMRGWLNLPVGFSMEQEIYKSANTLRNYCQVARLNPEKSIPAAILEGAKGLAILTVAKGGVVLAYKLGTGLVIARRADGSWSAPSALLSVGLGWGAQVGGELMDFIIVLHDSKAVKTFCSRMHFSLGAGCSAAAGPVGRVFEADMRAGERGSGMCYTYSCSKGAFVGVSLEGNIVSTRMDTNLRFYGDPYLTAADILLGIVDRPKAAEPLYAALQDLYASFRC >CDP10095 pep chromosome:AUK_PRJEB4211_v1:6:14493984:14497553:-1 gene:GSCOC_T00030703001 transcript:CDP10095 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNLKFENFTFPEPTCSPSNFHFQFRHNFLKNFPETKSVTSIKYRPNFHLKNLPICPISKLSLFINPRKRKQPLGAKPLTFIIIIIITIVNLHQSFPKIHKIPEGFGTMPEARDRVSRAEDLAAIYTRRRQSIISGGSGNGNLSGGGISVLADEAMDVGPTTPFRWGAMAMTGTRGGGMGVADGSVGGGFERGSLGTPRIWRGRHLQMSSVRVIGRQNMSPTVRGSRGRVRGRGRGRGGSVLPNWYPRTPLQDVTAIVRAIERRRASLREGEGHQLESPIPQEQTVHDPTVSTSGAQLEHDISMITPYPTMRSRACPVSVGKVPKILLDITNQNAGETAFLTPEKKLLNSIDTVEKVVMEELHKLKRTPIAKKAEREKKVKTLMSMR >CDP09543 pep chromosome:AUK_PRJEB4211_v1:6:20260725:20263541:-1 gene:GSCOC_T00028958001 transcript:CDP09543 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGPGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAHRDLVNVN >CDP16116 pep chromosome:AUK_PRJEB4211_v1:6:33773691:33775454:1 gene:GSCOC_T00017168001 transcript:CDP16116 gene_biotype:protein_coding transcript_biotype:protein_coding MVISYCGWQQSRSNDSSFTVFAGEIPNAIPMRVQRLALYHLKLEFPPPPFSEEPWCRSWAVDAA >CDP06691 pep chromosome:AUK_PRJEB4211_v1:6:2640636:2649190:1 gene:GSCOC_T00023625001 transcript:CDP06691 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAIAILASCSVTLPATHAPAPPSHHRRRRCRPSPRTNQSLNSTAPSLHSTVRWDYASTSRNRNRNRKRLEYYTDLTFNLARDGRFRDLFMIAESFVVSGGKPSQFVALLDVNIVSAGISRMIKDGRLGSLIEVLGGLRKLGFAVVELFDGLAVEALRQECRRQLGKRDDVEEIVSLLEILQGLGFSTKEFVAPSEIIRLCVYRRTPSAAIRYAQIFPQVHTLLCTIMIEFGKKRDLVSALCVFEVSKQNQGCPNMYAYRTIIDVCGLCGDYLKSRSIYEELLDCKFIPNIYVFNSLMNVNASDFSYMLHIYKQMQKVGVTADLASYNILLKSCCLAARAHLALDIYRDVQHLESKGALKLDVFTYCTMIKVLADARMWRMALEIKEHMLLAGVIPNTVTWSSLISACANAGLAEQAIQLFEEMLQAGCEPNSQCCNALLHACVEAHQYDRAFRLFKSWKENGIKMSVRKDFHWMNKINDYACSKHENSSTITCRTSDSEHPSFPIRFSFAPTTSTYNILMKACGTDYYHAKALMDEMKMEGLSPNNITWSILIDICGGSGNVHGALQILRSMHQAGTQPDVVTYTTAIKICVEHKNIKVAFSLLAEMKRYQIKPNLVTYNTLLRARSQYGSLQEVQQCLAIYQDMRKAGYKPNDFYLKLLIEEWCEGVIQQNKQNKGQIASDRASLGPQSLLLEKVAEHLQDSNAESLSIDIRGLTKIEARIMVLAVLRMIKEKYNPGDSVRDDLLIILEEEPWGCASRHETGSTEAIANLLQYDLGLEVLSVGSVDGRNFNGGFDTSFLCPNSKVLQRRDLPLKLESRSRRPVPLQRLKVTKGSLHHWLQKGGASTSRSD >CDP15766 pep chromosome:AUK_PRJEB4211_v1:6:26350472:26352726:1 gene:GSCOC_T00015836001 transcript:CDP15766 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLVRRAIARVQSSAPAAKLVITRAHASEAEAQQAEPQAKKTHSLKTFQIYRWNPDNPQKPELKDYHIDLKECGPMVLDALIKIKNEIDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIDSDGSSATTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKSSPPTPGKEIPQSKNDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWIMDSRDEYTKERLDAVNDEFKLYRCHTILNCARACPKGLNPGKQIQNIKKLELLGS >CDP03226 pep chromosome:AUK_PRJEB4211_v1:6:8782480:8785136:1 gene:GSCOC_T00041735001 transcript:CDP03226 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFILMVNKQGQTRLAQYYEYLTIEERRALEGEIVRKCLARTEQQCSFVEHRNYKIVYRRYASLFFLVGVDNEENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKSNILGPIQLLEKAS >CDP10553 pep chromosome:AUK_PRJEB4211_v1:6:5634426:5645349:-1 gene:GSCOC_T00031312001 transcript:CDP10553 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSWLARLRSSSVPSFYSSCSLRNSIFRKQSRALLPSTPTEAASSDHQRNVSRILSSCAYSLLPMALAASAGAVFIQSQNKPSLCDASNIDHRIGDKDSTGYEIKGSYQKVPQELIDELKAICQDNITMDYEERYFHGTPQHSFHKAVNVPDVVVYPRSSEEVSKIVKSCNDHKVPIVPYGGATSIEGHTLSPNGGVCIDMTQMNHVKALNIEDMDIVVEPGIGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGEIVKTASRARKSAAGYDLTRLLIGSEGTLGVITEVTLRLQKIPQYSVVAMCNFPTIKDAADVAIATMLSGIQVSRVELLDEVQVKAINLANGKTLPEVPTLMFEFVGTEAYSLEQTLIVQKIASEHNGSDFVFAEDPEAKKELWKIRKEALWACFAMEPNYEAMITDVCVPLSRLAELISVSKQEIDASPLVCTVIAHAGDGNFHTLVLFDPKQEEQRTEAERLNHFIVHAALSMDGTCTGEHGVGTGKVKYLEEELGMEALRTMKKIKGALDPNNIMNPGKLIPPHVCF >CDP03269 pep chromosome:AUK_PRJEB4211_v1:6:8354275:8358145:1 gene:GSCOC_T00041793001 transcript:CDP03269 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNLQSVLWFLFTQYLTHVHCVCNLLESIMFMFLINIHYTYLRIILVAEVQKMRVEMASMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLETMASEKAAAEFQLEKELKRLQEAQVEAERNRTSRRASSSWEEDTEIKALETLPLHHRHMVGASMQLHKAAKLLDSGAVRATKFLWRYPYARVVLLFYLVFVHLFLMHLLHRLQEQADTYASREVAESMGLANRTLP >CDP10516 pep chromosome:AUK_PRJEB4211_v1:6:5944407:5947116:1 gene:GSCOC_T00031265001 transcript:CDP10516 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTTLSSSPLLPHHHHHQPTVNKLHRRSLLFLSTSLSLPTPLSSSSTSPPPPPDTTITDRVFMDFSICPSYFLNRNLGDELSLCTDAEPVGRLVLGLYGNHVPITVSNFKAMCTGSCGSSYKGTLVQKIFPGQFFLAGKQGRKDKGEVKPPLELTRNTETVESKAFTLEHTRPGILSLCLSENDEEDDIKLDPNYHNVEFMITTGPGPCPQLDYKNIVFGAVLEGLDVVTTISAIPTYKPAERIRQYNDLAQFLGDERAKIARAIWNRPLKTLYISDCGELNVTKPTLFRSLP >CDP06458 pep chromosome:AUK_PRJEB4211_v1:6:894610:908680:1 gene:GSCOC_T00023318001 transcript:CDP06458 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASDLPMMYTLLANSLSGDQGVRKPAEAALAQSENRPGFCSCLMEVITAKDIVSQVDIRLMASVYFKNSVNRYWRNRRDSLGISNEEKIYLRQKLLSHLREENDQIALTLAVLISKIARIDYPKDWPDLFSVLAQQLQSADILSSHRIFMILFRSLKELSTKRLTADQRNFAEISAKFFDYCWHLWQRDMQTILQGFSVLVQNSSLGASELRQDDLYLSCERWFLCSKIVRQLIVSGFSSDSKVIQEVRPVKDVSPMMLNAIQSILPYYASFQEQHPKFWDFLKRASIKLMKILVAIQLRHPYSFGHESVLPAVMNFCLKKIMDPEPYLLLFDQFLIQCMSMVKTVLECKEYKPSLTGRVIDENGATLEQVKKKVSSAVAGLLASLLPSDRVVLLCNILIKRYFVLKASDLEEWYQNPEAFHHEQDSVLWSEKLRPCAEALYIVLFENHSQLLGPVVVSILQEAMNGCPSSVNDITQALLLKDAAYGAAAYVYYELSNHLSFKDWFNGALSLELTNDHPNMRIIHRKVALILGQWISEIKDDMRRSVYCALIKLLQDRDLCVRLAASRSVYFHIEDANFSEHDFLDLLPVCWDLCFNLMEEVQEFDSKVQVLNTISVLIAHVNAVIPYTNKLLQFFQKAWEESSGESLLQIQLLSALKNFVCALGYQLPICYNMLLPILRSSIDANSPDELLEDSMQLWEATISHAPSMVPELLGCFPCLVEILEKSFDHLKVAANIIEGYVILGGSDFLTMHASNIAKLLDLVVGNVNDRGLLCILPVVDILIQCFPVDAPQLISSTLQKLILTCLTGKDDHDPSRTAVKAALAAILARTLVMNTNYLAQLTSEPWLILHLQRAGLSVEENILLCLVDLWLDKVDNVISIQRKTLGLALSVVLTLRLPQVLDRLDQILSACTSVILGESEDLTEEESSSDISSGQPHVPSREFRRRQIKLSDPINLVSLENSVRENLQACAALHGEAFNSAIGKMHPAALAQLKQALKMS >CDP03383 pep chromosome:AUK_PRJEB4211_v1:6:7368847:7375631:1 gene:GSCOC_T00041946001 transcript:CDP03383 gene_biotype:protein_coding transcript_biotype:protein_coding MISPSPRYTGLDGSLTEVIGSNQAVNTLPLKGWPLMSLDQLQPGLYQQKKSFVHPYQPAQPQLHQQIRMLLMDQNIVRENYAKDSEQKLVGNIIPDILHAEVGHPIFSHGDTEIPAKFYERQLQNNQCHQQFMQPIPLGSTSENSECQIPPADRAGAASGSTDDITISKTSREYDQVSKKQPLKKRKQPMSSTCPPECSGAANTPALTPNSALSTPSTPLTNTTGDMLPIPDLPPKDFSSKAALDQLTESGPLEANVAHFLSPDNPGSAPTGWSDTTLLEIGTMYTDNVKCCGILSNGKLIATGGVNRKVVLWCTESRKERYQFEQSAAITDLCFGSRLPRLATSSVDKTVKIWNVDNQDCPIQTFMGHSGSVISVDLHPRKEDLVCSCDDDREIRYWSIRNGACTGVLKVGATQVRFQPTHGRYLAAAVGNGVTIVDIETQTFRYPLKDHATDVLCVCWNSSGEYLASLSEDSIRVWKIGSCGQQKCMHELSITGKKFRCCAFHPCQSSLLVIGSNEAILDLWHMAENKMMTALGQPTKTLAVSNPSGLVASVGEDNFIKVWK >CDP09596 pep chromosome:AUK_PRJEB4211_v1:6:21367662:21370977:1 gene:GSCOC_T00029030001 transcript:CDP09596 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLISCFSVILVSKSFFRPFGTWKRDMRMQAIPWFLGEVSRFLISWSARSKAARGFLHFLTSSLKKNGNGKAENVMVEGLEGEEYTLLDLPDLALECILERLSPFQLCSMAAVCTSLSERCSSDHLWEKHMKQKWGRLVGNAAYQQWQCYIASRNRAKLQESKRGKGLLGYFSIFSDKMWNRSRENEIVTEQRNSLPVDSVKAWYLAIETGKFRFPAQVYNRENGQVGFMLSCYDAELSYDSSTDTFIARYSAPGRRPTIEDNIKWNRIRAPAVDIPAHVLHVSDCLHDLKPGDHIEVQWRRNRDFPYGWWYGVVGHLESCTGQESHCQCHLNDSVVLEFKQYAPSSRWRQTIVNRRDHREVGNGADGFYGGIRKLCNENEISTWKSLWPTSTLE >CDP06468 pep chromosome:AUK_PRJEB4211_v1:6:975751:976990:1 gene:GSCOC_T00023331001 transcript:CDP06468 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSRQPIGGAGSFQAFFEDWLVRQQRFLDELLSAQESSSTTSRIDDTDVVGDLIARVLAHYQEYYDEKSRMAHRNVFLAFSPTWFTPLERTFLWIAGFKPGLVCRLALGSLDDLTEDQIHRINGLSRETNWQEKLLDQKMAKIQESVASPPLVDLARSEGMQIQSATGSDIDDVDNQIEPLKSAMQNILRDADGLRTRTAETMAGILSPLQNVRFLSAAARLQFRIRMLGFQREADRQRGSTTNGW >CDP03138 pep chromosome:AUK_PRJEB4211_v1:6:9402731:9404436:1 gene:GSCOC_T00041632001 transcript:CDP03138 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSPLVNLLTTIVNYIFPRVDNVVNLDQSIHSLEGALVELTEARDDLKKQVDRAELLGLTCTNQVKGWLVRVQSVETEVRSITQDVENGRSNGCCGKNYCSRYKLSGKVLRILSAINDLKGKAILDVNLADGLLLVPVVEIPTRPAIGIELMLKSVQELLKEVNTGIIGIYGIGGIGKTTLLKSINNGFLTLEHDFDVVIWAVVSKELVVEKIQQAIGVRLGLSWDENQFQELRTSRIYSVMRRRKFLLLLDDVWEGLDFEKIGVPLPSRENGCKVIFTTRSMDVCSDMDANCKLKVEFLNERQSWQLFHEKVGRQMMDSTVISAYADTIVRKCGGLPLALVTIGRAMANKQTEEEWKYAIEVLNKSPSELRGMEDVFTLLKFSYDNLENDILRLCFLYCSLFPEDYPIEKEQIIEYWAGEGFLGSAGDDVHTIGHAIIGSLKVACFLENGEEETQVKMHDVVRSFALWVASGGGKNGAKILMQASSGLTEAPAAENWEAAQRISLLDNEITEISGTPSQRDTKEHW >CDP03153 pep chromosome:AUK_PRJEB4211_v1:6:9269047:9274376:-1 gene:GSCOC_T00041651001 transcript:CDP03153 gene_biotype:protein_coding transcript_biotype:protein_coding MGMARVCDFNLVLPCSFFSRKSHLSKLSNNQVRFIPSPDQSFRAKTSISMSENPVTRQQKVTLQNKHGEKLVGVFHDAGSADVVVLCHGFRSSKMNETMMNFSAALEQEGISAFRFDFAGNGESEGLFQYGNYWREVEDLRSVVEYFIAANRRITAILGHSKGGNIVLLYASKYHDICSVINVSGRHKLDRGIEERLGKDFLERIKKDGHIDVKSGAGDVQYRVTVESMMDRLNTNMHEACLVIDKECRVLTVHGSADEIIPVEDALDFAKIIPNHKLHIIEGADHSYTSHQAELTSGVLPFIKEGLAGGR >CDP09570 pep chromosome:AUK_PRJEB4211_v1:6:20932149:20934422:1 gene:GSCOC_T00028997001 transcript:CDP09570 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRTRPMAALLVFTGLNAILVSTIEPVYDFVCFHPYWERRRERRRREREAALAKSPVSH >CDP15663 pep chromosome:AUK_PRJEB4211_v1:6:33119389:33121098:-1 gene:GSCOC_T00015611001 transcript:CDP15663 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVLQISKEYSSSGETFITSTVNFCPNSCPEAILKELPRCSFNVSIMLFNNVLGSSSSSSSSSSSSSSSSSSFVGKKTPIRKCNCLSKSSANKLQNRQSAHRIVPGLPTGSLLCLIVLYPYKSMHFWMRMLSQLPLVPSE >CDP06774 pep chromosome:AUK_PRJEB4211_v1:6:3345856:3348596:1 gene:GSCOC_T00023737001 transcript:CDP06774 gene_biotype:protein_coding transcript_biotype:protein_coding MVGENKSLPVDEDLPGMGQYYCLHCDRYFANVAVRDEHFKTKRHKKRMKLMMGPAPHTQLDADLAAGMGMPDNGPKLMST >CDP06616 pep chromosome:AUK_PRJEB4211_v1:6:2130230:2133278:1 gene:GSCOC_T00023526001 transcript:CDP06616 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIAKLNRCFAGDAGEISRRHHDIAVYLSDPLDEGLGHSFCYIRPDSSSKTILSSSSDSSTTTSHGAAFRTISGASISANTSTPLSTSLIDLGPYNASLDKAAAFESSNLFASIPLQPIPRKSISSVRSGPIPRVACPGSGPIERGFLSGPIERSFISGPLENQEDQFQRYRPKPKKWALIRNFKKVIGSNSSTSNNNLSCRLSLADDHNFDDGNESFGSQNVQWAQGKAGEDRVHIVISDEQGWVFVGIYDGFNGPDATDFLLNNLYSNVCKELKGLLWNDKSESFGREEGVYREFVPSEGMEVNGFIDTGGDLKMFNNLGPDGVAGINHMDVLKALSEALRKTEASYLEIADMMLVENPELALMGSCVLAMLMKGDDVYLMNVGDSRAVLAQNGEPDPWFGKVRKDLERIREENQNDLEAFDGDEFGSLHHLTSRQLTVDHATSVKEESQRIRSEHPDDGLAIVNDRVKGSLKVTRAFGAGFLKQPKWNDALLQMFRIHYVGTSPYVTCSPSLFHHRLCPRDRFLILSSDGLYQYLTNQEAVTEVENFMSSFPEGDPAQHLVEEVLFRAAKKAGMDFHELLDIPQGDRRRYHDDVSVIIISFEGRIWRSSV >CDP15282 pep chromosome:AUK_PRJEB4211_v1:6:3511735:3514343:1 gene:GSCOC_T00042953001 transcript:CDP15282 gene_biotype:protein_coding transcript_biotype:protein_coding MVADALSGGGCWRAVGGFGYQLLTGRWFMVFASNLIMAVAGATYMFGLYSGDIKSSLGYDQTSVNYLSFFKDLGGNVGIISGLINEITPPWVVLIIGAAMNFAGYFMIWLAVTGHVAKPPLWQMCLYICVGASSQTFANTGALVTCVKNFPESRGVVLGLLKGFVGLSGAIITQLYHALYGHNSKSLILLIGWLPAAVSFIFLRTIRIMKVVRHENELKIFYNLLYLSLGLAGFLMILIILQNRLVFTAGEYAGSASVVLLLLFAPLLIVIKEELNLWKSKRQVLHDSSQLNVATENPPPVLQLSLQVGQQEETPPAPGDPCAPQNPEKQVSCWSSAFKPPDRGEDYTILQALFSVDMIILFTATTFGIGGTLTAIDNLGQIGKALGYPTKSISTFISLVSIWNYLGRVTSGFASEILLAKYKFPRPLMLTMVLLLSCAGHLLIAFGVPNSLYVASVIMGFSFGAQWPLIFAIISELFGLKYYSTLYNLGAGASPVGAYILNVRVAGHLYDKEALKQMAAKGLIRKAGEDLTCNGVMCYKLAFLIITAATMCGCAISFILVFRTRNFYKGDIYKKFREQSSKPK >CDP10125 pep chromosome:AUK_PRJEB4211_v1:6:13994222:13997186:1 gene:GSCOC_T00030741001 transcript:CDP10125 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNCGRLPPPRYCGICPEDPDAFLLVPIAPFKYATVWSPDLKEEPCDVRLSVFTVSPPASPRVNSTTLRARFEVSDEHLPLGLPLNVRVLAAVAVLPASLSMHDLSMDAVLTEAAVEKGTSSMETD >CDP02959 pep chromosome:AUK_PRJEB4211_v1:6:11141099:11142866:1 gene:GSCOC_T00041397001 transcript:CDP02959 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSWNLTPIVFVLLSLSVSSALANFYNNIDVTWGDGRARILNNGDLLTLSLDKTSGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDYEFLGNLSGDPYILHTNVYAQGKGGREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREYKNPESLGVPYPKNQPMKMYSSLWNADDWATRGGLVKTDWSRAPFTASYRNLNQNACIWSSGKSSQQRLQWVRKNYMIYNYCTDTKRFPQGFPAECSLQ >CDP06782 pep chromosome:AUK_PRJEB4211_v1:6:3412357:3415893:1 gene:GSCOC_T00023748001 transcript:CDP06782 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKATVNYEKIVRDTCRGIGFTSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYKNEGGAMVPIRVHTVLISTQHDETVTNDQIAQDLKEHVIKPVIPAQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCLVQVSYAIGVAEPLSVFVETYKTGKIPDKDILALIKENFDFRPGMIAINLDLKRGGNFRYQKTAAYGHFGRDDPDFSWETVKILKPKA >CDP10544 pep chromosome:AUK_PRJEB4211_v1:6:5696621:5700438:-1 gene:GSCOC_T00031302001 transcript:CDP10544 gene_biotype:protein_coding transcript_biotype:protein_coding MESKASHSAGHLEEPIIPQNDIIEDDDAPMLSTYAIEALKEFLAEQNHSTTQESSAEVEEEEVALVTEDWRLSQFWYDRETADTVAKEVLTLCHSFDSPSVACVACPTLYAYLKKINSGVPAQLLEYDKRFEQYGSEFTFYDYNQPEDIPASLNHSFPIVVADPPYLSKECLEKVTQTISFLLRPGKSFLLLLTGEVQKDNAAALLGLHPCGFRPQHSSKLGNEFRLFTNYDPGTRLGGWEQEQ >CDP10249 pep chromosome:AUK_PRJEB4211_v1:6:12710491:12716777:1 gene:GSCOC_T00030913001 transcript:CDP10249 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAALTAQFSSPPDFTKPMLSSASLYKINTETNVIGMWNLSQSGSQKKPQRKLQICGLFGGKKDNNEKGDDAPSKAGILGNMQNLYETVKKAQMVVQVEAVRVQKELAAAEFDGYCEGELVKVTLSGNQHPVRTEITEAAMELGPEKLGLLITEAYKDAHQKSVLAMKERMGDLAQSLGMPPGLSEGLKQ >CDP12676 pep chromosome:AUK_PRJEB4211_v1:6:17538777:17541328:1 gene:GSCOC_T00036406001 transcript:CDP12676 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVWENMFLQTDELDSYLDESFSYYDSSSPEGAQSSAASKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIDYIQELHNQERMIQAEISELESKRSIFLDFDQEISYNSKPKRSRFEQTCDSAGSRSSPIEVLELRVSHMGEKTIVVSLTCSKRTDTMVKICEVFESLNLKIITANITAFSGRLLKTVFLEVRSSFCQVNFFSSFISLIFAVNPQFFALSIEREREKKKVNFNLFSCHVHLSHQAKNLCYLN >CDP06597 pep chromosome:AUK_PRJEB4211_v1:6:1975878:1978114:1 gene:GSCOC_T00023505001 transcript:CDP06597 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVEHGQEKEQSQQPIRLLTPYKLGNFQLFHRFVLAPMTRQRSYGNLPQPHAVLYYSQRTTKGGLLITEATGVSDTSLIGFPFAPGIWTKEQVEAWKPIVDAVHAKGGIIFCQIAHVGRVSGSQPDGQAPISSTDKPLTPEGFDAPKYSPPRRLKTEEIPGIVNDFRLAAINAIEAGFDGVEIHGAHGFLIDQFLKDQINDRTDEYGGSLENRCRFALEIVEAVSDAIGSHRVGLRLSPFANYYEAGDSNPKALGLYIAEALNKPKILYCHMAFNGTFIAAGGYNREDGDRAVAENQADLVAYGRLFLANPDEGFEDLLLSVPGNQL >CDP06695 pep chromosome:AUK_PRJEB4211_v1:6:2666880:2674700:1 gene:GSCOC_T00023630001 transcript:CDP06695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR7 [Source:Projected from Arabidopsis thaliana (AT5G02810) UniProtKB/Swiss-Prot;Acc:Q93WK5] MTADDANEEKDSLDEKGVRDGGVDVGQSSVKNKGLKVDGAVNDGVGAELRGQEILHLQQQQSPGTAVGWERFLHITSIKVLLVENDDSTRHVVTALLRNCNYEVVKAANGLQAWKILEDLTNQVDLVLTEVVMPCLSGIGLLCKIMSHRTRNNIPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGAQTQKSVHTKSSEKSDNSDSNDGENDGNHGLIHGDGSDDASGTQSSWTKQPIEDDSSQAASPICHVADCPSSVHAHVNRPIAESSSNKRVHITAKMEDQEEEKSVYKGPFPGMPNNLETEPENPIDIVPQIRNAKQHILLEIPSIYSSARINRGQANPTDKLRSNMHSVVNIEISHPQLRDRELEPPTEFANKLEVNGSDDGKQAAIELSLKQLRGIINAGNTIQDNHSPLKHSELSAFSRYNTSSSTVRAPNGITGSSYVIDNSQEVAKRDTGCEHSNGNLICPSSKVVSSNIDMGSTTNKFALNPLFFTSEATSAINILHRAPADGDPANLRLNRSTSGSNYGSNAQNAISAAMNAGPTNGESDMDLGGRNGTRDANGSGCENRVENKLVQREAALLKFRQKRKERCFKKKVRYQNRKRLAEQRPRVQGQFARQTDHNNSNE >CDP10395 pep chromosome:AUK_PRJEB4211_v1:6:6935167:6938710:1 gene:GSCOC_T00031108001 transcript:CDP10395 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIGDQIDSIKSLQFRQVLTQAVSLGMIVTSALIIWKGLMCVTGSESPVVVVLSGSMEPGFKRGDILFLHMSNDPIRAGEIVVFNVDGREIPIVHRVIKVHEHQDTGEVDVLTKGDNNFGDDRLLYAHGQLWLHRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKE >CDP14229 pep chromosome:AUK_PRJEB4211_v1:6:37265408:37268754:-1 gene:GSCOC_T00040502001 transcript:CDP14229 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKGTARVSSQQAAFELKQRVVLALNKIADRDTYQIGIEELDKAIECLTPDGLSSFFSCILDTDSVQKSAVRKECIRSMASLATYYEGLVLPYLGKMVASIVKRLKDSDTVVRDACVDTMGVLASKFGSDEGGNNGLFVALVKPLFEALGEQNKQVQSGSALCLARVIDNISDPPVSILQKMLARTVKLLKNPHFMAKPAVIELNRSIIQAGGASTHSLLSAAIASIQEALKNSDWITRKAASAALGDIASNGGAFFGSFKSSCLHCLESCRFDKVKPVRDTALQALQIWRNLPGSDTPEPSEAGSSVKENFYRDEYSDIASACTSTPKDARIKRIGTNSVKKRVPLSFKKSGDNLIENAQHSKAGDWSIEIAVPKTRNISLSDVQYEESEGSCVTKTCERSGGRTSTQDVEYEYVHADDKQECSSASAHFPENFESKALTVCPSVLDEVNLVKKTGRGPLFTTEEMSTEEKRCRSQIRDRHSLDSTVTESSFPTMNGCCSKTANDIASIRKQLLEIENKQTDLMDSLRVFTSNIMDSLSMIQLKVSSLEDVIEGMTRELFNGGRSTGIVAATFLKRNLDSCSPRISTCTPRPSVDICNRQSASLPPKAVDTWEEEASTRSRSSNFARQGADVWTNPSQKLSKSTVGKGIHGHGGQMRKTENVFASVSAVNSRQRGADGKDNLWRGVSGYLSEGNFDSAYAEALCSGSELILFELLDRTGPVLEYLSEKTTCDLLNTLASYLSKKKFATSIFPWLQQASCS >CDP15424 pep chromosome:AUK_PRJEB4211_v1:6:4655740:4658950:-1 gene:GSCOC_T00043153001 transcript:CDP15424 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHHQQGKNIHSSSRMPIPPERHLFLQGGNGPGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKSVLKLMGIQGLTLYHLKSHLQKYRLSKNLHGQANCGTNKAAAGDRIPETNAASIINPSIGSQANKNLHIGEAIQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNLGSVGLEAAKVQLSELVSKMSDQCLNSAFSDMKELPGSCPQQTQTPQPTDCSMDSCLTSCEGSLRDQEMHNNQMMLRPLNLRTDMEFNNMNNEASLQHAERRWCDDLMKEKRKLVSTMNEEAEKACAAEKNIGNLSMSIGLQGGRWNSHHSYLEKRGREAETEIKFFDQPHNRYGPIPPETQRPSEEYKLPFFAPKLDLNTDDGADAGSRCKQFDLNGFSWS >CDP18660 pep chromosome:AUK_PRJEB4211_v1:6:15404059:15404760:-1 gene:GSCOC_T00004155001 transcript:CDP18660 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLACFLETFLNNQLLKDRWWNCWGFWISDSGRFPPLKILDLPLLRPFKSPPRTFLGLPLLRKVYTLPESNRHFQPTSISCADRSSACLVLGKLGAFSDHFGCLGC >CDP06271 pep chromosome:AUK_PRJEB4211_v1:6:24779931:24781197:1 gene:GSCOC_T00023010001 transcript:CDP06271 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSTDLGEIKTTPSRIFALFLRAAINQLEDSISANEKAISEVKDSRTLTALKDYDVLFRDSLRPVNAFVTAMGVESDDKIFKTNVSFFPLTHSANKASNNEPPPSAADLHRQDSAASSFSNNSVKRERELGSSEEVEIETVSSRVSDEDDDGSNGRKKLCLTKAQSALLEESFKQHSTLNPQKQDLARELNLRPRQVEVWFQNRRARMKLKQTEVDYEFLKKCYEMLTDENRRLQKELQELKALKLVQPLYMQLTVATLTMCPSCERVDGVGENSSSKSPFSMAPKPHFFNSFTNPSTAC >CDP19200 pep chromosome:AUK_PRJEB4211_v1:6:15547290:15565260:1 gene:GSCOC_T00012649001 transcript:CDP19200 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETKTLEIVKARSDKREYRRIILKNSLTVLLISDPETDKCAASMDVGVGNYSDPEGLEGLAHFLEHMLFYASEKYPEEDSYSKFITEHGGITNAFTSSEHTNYYFDVNPDSFEEALDRFAQFFIKPLMSPDATTREIKAVDSEYQKNLLSDGWRMSQLEKHLSSKDHPYHKFSTGNWNTLEVRPKEKGLDTRQELLKFYKEYYSANIMHLVLYGKDSLDISQKLVENKFQEILNINRSHVRFIGQPCSPEYLQIFVKAVPITEGHKLRVTWPITPGTLHYKMAPSGYLSHLIGHEGEGSIFYILKKLGWASSLWAGGSDCAHEFAFFQVDIELTDAGHEHCQEIVGLVFKYIDLLQQSGAQKWIFDELSCMGETHFHYQDKIPPIDSILGVSFNMRLYPPEDWLVGALPKKFNSDIIQVLLDELNSNNVRIFWASKKFEGNTDMSEPWYGTSFSVEKITSCQIQQWKESVCEKQLQLPAPNMFIPSDLSLKNILDKAGVPFVLRKSRYSRLWYKPDTAFCFPKAYIKIDFNCPHSRVSPESVVLTCLFSRLVMDYLNEYAYYADVAGLYYGVSNYYEGFQVTLVGYNHKMRILLETIIEGIAKFEVKPDRFSVMKELLIKDYQNLKFQQPYQLAKYYCSLALQYPTFPWKDELEVLHHLEADDLVQFYPLMLSRVFLECFIAGNLEPTEAEKMVQYTEDIFFSGTRPISDALFTSQHLTHRVVKLKKGINYCYATEGLNPSDENSALVHYIQVHQDDSVMNIMLQLFALIAKQPAFHQLRTVEQLGYITSLALRNFSGVYGVQFIVQSTIKGPRHLDSRTKAFLKMFENKLYEMSSHEFKSNVNALIEMKLEKFKNLHEESAFYWCEIASGTLKFDRIEHEVAALKQLTQEQFIDFFNERIKVGAPRKKAVSVFVYGNSHLSEYEADKSELVAPEFLQIEDICSFRRSRPLYGSFKGGLGHMKA >CDP06671 pep chromosome:AUK_PRJEB4211_v1:6:2494424:2495434:-1 gene:GSCOC_T00023598001 transcript:CDP06671 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAEEYAAFEERVRRTVYMDNLSPEVTENVLKAALDQFVNVVNVQFIPNYINPSLPRAALVEMETPNQASAIIAEMADSPFMVLGMPRPVRACAAEVEMFDSRPKKPGRKITYKWLDPEDPDFEVARKVKDLTGKHAAETEFLLNHQLKEEENLANQQLETLKAHHKKYELIDGVLSDNTAKKLADRYRTRLSDA >CDP14176 pep chromosome:AUK_PRJEB4211_v1:6:18493978:18501476:-1 gene:GSCOC_T00040427001 transcript:CDP14176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57280) UniProtKB/Swiss-Prot;Acc:Q93V66] MNNILYLVKFQILLEIGLISINLEFTCKKFLVVMSKDERATDISNSGIKTALSYTDGAPKSHNGRSLIVDSTAEEPVAIKGESEPVEEPIATQQIRAAKIHDFCLGIPFGGLVLCGGLVGFIFSRNPATFITGVFFGGPLLALSSLSLTVWRKGKSSLPFMLGQAVLAAVLIRKNTQTYSLTQKLFPSGFYAAISAAMLCFYAYVMISGGNPPPKKKLSAAVQSS >CDP06589 pep chromosome:AUK_PRJEB4211_v1:6:1893953:1894729:-1 gene:GSCOC_T00023495001 transcript:CDP06589 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDVSEEINLLNKISNLNLFRLSGIGLDVAMGFNYLHSYTSPPHVYKNLKSSNILLDVDFKVKISNFGLARSVDGQGGQFALTRHIIGTKGYMAPEYLENGLVSTMLDVYSFGVLLLEIFTGKEVAILYEAVNIMDSSLGGNYPSEPAILLIRLIASFLKKDPLPAQPMHEIVQTLSTSVTATTSWHSKQSA >CDP15345 pep chromosome:AUK_PRJEB4211_v1:6:3993744:3995990:1 gene:GSCOC_T00043039001 transcript:CDP15345 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTLKSLLVNPVGVAVSSGLLLVVLFAFSLIKRRFGSPDKRRPPGPLGWPIFGNMFELGELPHQTMYRWKNKYGPVMWLQLGSINTMVVQNATAAAELFKKHDVPFADRKVPDTLTAFDFNQGTLGMNTFGGHWRVLRRLCSMEFLVNKRMNETTDLRNRLERNMVAWIEDEHRTSKAQGGDGKILSLAGTPNISDFLPFLKKLDPLGMKRRMIAHMTRTFRISSRFVQERLDNRKAGKFNEKKDFLDVLLEYQGDGKDGPATFSEKHINDVIMEMFFAGSETTSISIEWGFAELLRHPHAFQKLREEIDRVVGVDRLVQESDMENLPYLQAVVKETFRLHPALPLLLPRNTMEDTKYLGYLIPKRTQVYVNAWAIGRDPAYWDDPLTFKPERFLNSSVEYKGQHFELIPFGSGRRICIGFPLAHRVVHLTLATLVQAFDWDLGAGVKPEDIDIDEKLGLTLRKKNPLKLIPKKRLRV >CDP09553 pep chromosome:AUK_PRJEB4211_v1:6:20557818:20561491:1 gene:GSCOC_T00028971001 transcript:CDP09553 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWAKEEVIFFESGEEDGDVEEGMESEEEEEGDKSVADVDNESGRRSFSPSSSFISQQWPQSCKETTDFYSIAASPNFGNLRGISGAFYPDGSSQNSLDISGKTPLLSEYKNINQKGEVDRISARQSSWLEKSSVYRQLTGELPIGQGCNLTQTIFNGINVMAGAALLSTPYTVREGGWASLFVLILFACVCCYTAELMRHCFESKEGILTFPDMGEAAFGRFGRIFVSIILYAELYTTCVEFIILEGDNLSRLFPRASMDFSGFQLDSTHLFGVLTALVILPTLWLKDLRLISYLSAGGVISNVIVVLCLFILGTVDHVGFQHNGPLVNWSGIPFAIGVYGFCYSGHSVFPNIYQSMADKTKFTKAVVISFMLCVLLYGGSAIMGFLMFGQSTKSQITLNLPKHAVGSKIALWTTVVTPLTKYPLL >CDP15430 pep chromosome:AUK_PRJEB4211_v1:6:4699044:4700010:1 gene:GSCOC_T00043160001 transcript:CDP15430 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVSQYLIISKKKKGLGTRLYTRRARTRGFKTHRNSSTRHPHIYMLVKLAKWCAGWMPKPRPPQIQLHVLNFMPTPNHD >CDP17385 pep chromosome:AUK_PRJEB4211_v1:6:30488539:30491739:1 gene:GSCOC_T00008009001 transcript:CDP17385 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISQKSFFCLFLITSVLFILSWFSVLRLSGRTHSLDLSIWQSPSDLAVPDDNDENSESRYGDNFEEVSKVLKVFMYDLPSEFHFDLLGWKDKGNKSVWPDIRINIPEYPGGLNLQHSIEYWLTLDLLYSEFVSKDLSGRSAVRVRNSSEADVVFVPFFSSICYNRFSRLKPHEKKTRNTLLQQKLVTYVTSQKEWQKSGGTDHIVVAHHPNSLLDARSQLWPAMFILSDFGRYPPTIANVDKDVIAPYKHVIRSFVNDSSEFDTRPTLLYFQGAIYRKDGGIVRQELFYMLKDEKEVHFSFGSVQKDGIKSATQGMHSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSEFSIFIRTSDALKEKFVINLIRSIRKDEWTRMWKRLKEVESFFDYQFPSRANDAVQMIWQAIARKVPAIKMKLHKGKRYSRTHAPREGWLNSINLPNNFW >CDP03285 pep chromosome:AUK_PRJEB4211_v1:6:8207975:8209308:-1 gene:GSCOC_T00041821001 transcript:CDP03285 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRIPWQPRAQTLHHGKTNIKWSPYILLIPIALTKTTTISIAPPNSPRTKPFFGGCLCIGSSTSELHKGVLNQPPSDV >CDP06694 pep chromosome:AUK_PRJEB4211_v1:6:2660116:2664265:1 gene:GSCOC_T00023629001 transcript:CDP06694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G37250) UniProtKB/Swiss-Prot;Acc:Q9ZUU1] MAAVIRLLRPSSSSSLSLAGRSFSTAVVTEAVKSQTYTQNPAPTAVDPKGRNVQWVFLGCPGVGKGTYASRLSTLLCVPHIATGDLVREELNSGSNLGKQLADIVNQGKLVSDDIIISLLSKRLEAGEGKGESGFILDGFPRTVRQAEILDEVTHIDLVVNLKLPESVLIEKCLGRRICSQCRENFNVASINVKGENGRPGISMAPLLPPPQCVSKLITRADDTEDVVKERLRVYNEKSQPVEDFYRGQGKLLEFDLPGGIQETWPKLLEVLNLDEQDEKQSVAA >CDP09509 pep chromosome:AUK_PRJEB4211_v1:6:19458289:19463520:-1 gene:GSCOC_T00028901001 transcript:CDP09509 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFCIYILIGSNHSVVEVYLAVNFEIIDFKSQKRGMHPNYSIATLHINLLASKYGGQAKGITLSPVEAAERARVLTAAQGLESQQISFEVADALNQPFEEGSFDLIWCIECENHISDKTKFVHELNRVAAPGATIILLTWRHRDLSPLEQDLLPDGKKLLSQLESRNRAKWISAADYMNLFKSWSFEEIKCADWSPHVAPYYAEMRKITLSWKGIMSYARHAADDAVSVDRYKNGLLKYCILTCQKPQ >CDP14246 pep chromosome:AUK_PRJEB4211_v1:6:36893407:36896259:1 gene:GSCOC_T00040535001 transcript:CDP14246 gene_biotype:protein_coding transcript_biotype:protein_coding MEFELTKYPASMHSQDSAMDGGKATELDRDYFGTVNSWPNENRKEEDFIGVEKGESYSAPAASLGFDQPVVGLKLGMPKDSKHLSSITNSSLSSNSGKRSRASHQGMQTSRCQVEGCNLDLTAAKDYHRRHRICESHSKSPKVIVAGVERRFCQQCSRFHNLSEFDDKKRSCRRRLSDHNARRRRPQPDAMHLSSMGLPSSLYGRRAPSFLLTRMPSSSSNRTWECSSGLKMANAGNSLIRASGVDVSPGHAQSHLNESQNLPCALSLLSTPSWALHEPESASLEQLMQGSVSIPQPASQLESQNWHLISEARVSAEQAPSVTPFHSMALQNTDNSHLPGYRSFKPPYDSGFHFTSRIN >CDP06669 pep chromosome:AUK_PRJEB4211_v1:6:2482849:2489486:-1 gene:GSCOC_T00023596001 transcript:CDP06669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MNVVVKVGSLLTQGVYSVATPFHPFGGAVDIIAVQQQDGSFRSTPWYVRFGKFQGVLKGAEKVVRIEVNGIEANFHMYLDNSGEAYFVREVVSAEDDELNEFNNSEGAWAANYLSSFDVSTLKANSEDVCQTNNDDNISEPPLEGNEGYGSHLNQVEENHETEKQVLDLHYDSSLESTSASMKEEDVFGSCLEIPALAMQVGNSSSHDANSPLEVLEVAKDSQDKSPQRSLSITGSEDLQVPAETIERNTFDTDISGSASMVDDFIHTNGELENEVNVLSRPQASISEEEITISDNVETEKSAYCTDIENETGTSLELSLCRHLLQSGMGLNAAAQAFDAHRISEEEFKVSAASIIKNENLVVRIQGKYLPWDKAAPIVLGMVAYGLQLSIESSDAISLEQDDTRKSREDASGISSTPSGRGWRLWPNPFRRVRRIEHANSNSSNEDAVADSESGSQSQPVEATQTAPDGKESPQKQLMRTNVPTSDQIASLNLKEGQNMVTFTSFSRVLGPQKVEAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGKDWTHSGIARLFSAIKENGYQLLFLSARAIVQAYITKSFLINLKQDGNTLPDGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPPDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAINHRIDVKSYTSLHTLVHDMFPPTSLLEQEDYNSWNYWKMPLPEIDGY >CDP02988 pep chromosome:AUK_PRJEB4211_v1:6:10879006:10880089:-1 gene:GSCOC_T00041439001 transcript:CDP02988 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSLSAVPVVGSSYIGLKPNCSKLSAVKDSAAWSRKTISNASRIHCMKTWNPINNKKFETLSYLPPLSDDSIAREIDYMLKNGWIPCLEFDADGFVHRENSKMPGYYDGRHWTMWKLPMFGCTDSSQVLNEIQECKKAYPNAYIRCLAFDNVKQVQCMAFVIQKPTS >CDP02991 pep chromosome:AUK_PRJEB4211_v1:6:10857113:10858882:1 gene:GSCOC_T00041444001 transcript:CDP02991 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKPRTPKPKPFLATLSALPGPSLIKHLASCNASIRSQSLKLIQAWLSDSQTELPEDDMKRLWKGLFYCLWHSDKAPAQGLLINRLSSLLITLDPLLSLQYFGCFLVTLRREWTGIDHLRLDKFYLLIRRFVNGVFSLMRKYKWDLEYLGKYVEVLEEKGFLANDKLLGNGVNYHVVSVFLDELIGFKVPLARKEVVQCLFKPFFSVMGRSLDKVLVGKVKSCVFDTLLDGGRALLQRKKNGVDEKDGEIRDMLLGLIALKMGFSGRLYEVGASPDCLQGNRKVVLGLHEEFLKLEGEMQASQIDFAIPEFNEGDDNDGEEVPQLIPIDNGVREVVAASQDEDADPVVEKEGNIKKKKKKKGKEAGDKKAKKKKKDKDRVMDYTYRIEENGVVNANCSENCNAGNDYALENANAVSANGYESTTAFKNDESVLEFSESVISNLQLQFEKIAAEQGSDDDLKSSDDLPLVAMKKKRKRAKTADSCCNPEVGTEGEDGLDASAKSAKKVRFAMKNNLIWKPHSPLPPQSLRIPPSLTPRGSALKKGVPPGPIREMPPATKKVKQKKKGRKILRTISPGMKRLKKVRVVSV >CDP03023 pep chromosome:AUK_PRJEB4211_v1:6:10439325:10443836:-1 gene:GSCOC_T00041493001 transcript:CDP03023 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQLGLRRLHFLRRRDHCNHHRRGFATKYSGRVVVETDNGRSFAVEVDNPILQTDVRGYPLPRRDLICKVVSILQSPPSTASSSSFDDLFMDLSDYLETLNVMITPSEASEILKSLKSPNLALKFFQFCSSEIPDFRHNSFTYNRILLILSKAYLPNRLDLVRNILNEMDQSATGGSISTVNILIGIFSDGQEYGGIDELEKCLGLVKKWELSLNCYTYKCLMQGYLRLNDSKKALEVYREMTRRGYKLDIFAYNMLLDALAKDEKVDQAYDVFKDMKQKHCEPDEYTYTILIRMTGNLGKSNESLGLFQEMLSRGCSPNLIAYNTMMQALSKSQMIDKTLFLFSKMVENNCRPNEFTYTVILNALAAAGQLGRLDEVVEISRKYMNKSIYAYLVRTLNKLGHASEAHRMFCNMWSFHDRGDKDAYLSMLENLCRAGKMTEAIDLLSRMHEKGISTDTFMYNMVLSALGKLKQIAHISDLFEKMKKDGPSPDIFTYNILISSFGRAGQVEEAVKIFEELEDSNCQPDIISYNSLINCLGKNGNVDEAHVRLREMQEKGLNPDVITYSTIIECFGKMDKIEMACQLFDEMLAEGCSPNIVTYNILLDSLERCGRTAEAVDLYAKLKQQGLTPDSLTYSIIERLQSGSHKTLRIRRKNPITGWVVSPLR >CDP10160 pep chromosome:AUK_PRJEB4211_v1:6:13683281:13685574:-1 gene:GSCOC_T00030784001 transcript:CDP10160 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIEDCDQLDKILDEAKQLSQPIIIDWMASWCRKCIYLEPKLEKLAAEYDTKLRFFCVDVNKVPQALVKRGNITKMPTIQLWKDGEMKAEVIGGHKAWLVIEELRQMIQNFV >CDP06590 pep chromosome:AUK_PRJEB4211_v1:6:1896301:1900054:1 gene:GSCOC_T00023497001 transcript:CDP06590 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEGEYRPDRHIEIDTDDEENRAERFSKEEEEGGDDDDDEPDLAAAPPLVNSSSSSVGSHSSGWPQSYRENVSVNAPAALPVASGSRLSIEELPTPKQCSYVQTILNAINALCGIGILSTPYAVKEGGWLSLILLLIFGVITCYTGILLKRCLESSPELETYPDIGQAAFGMIGRICIAIVLYLELYSSCVEYLIMMSDNMASMFPHAHLDFAGIHMDSYQLCAIVSTLVILPTVWLRNLSSLSYISVGGVIMLVVVVICLLWVGVINEVGFHPGGRVFDLAKLPLTIGLYSFCYGSHSVFPDIYSSMKEPSQFPSILVISFSTAFFLYTGVAICGFSMFGDAVKPQFTLNLPTTFTASGVAALVVVLTPVSKYALTLIPVAFGLEDLLPSSRLRSYGVSMLIRTMLVGSTLAIALTVPYFGSVMALIGSLLVMLVSLILPCACYIRISGGKLTNLQIAVCTVIMVVGLLCSIVGTYSAITNLQS >CDP18645 pep chromosome:AUK_PRJEB4211_v1:6:15216491:15217622:1 gene:GSCOC_T00004136001 transcript:CDP18645 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVIAFLFLLGLLAIQSVALRTLNDEAMVERHEQWMSLHGRVYTDDAEKERRFQIFKKNVEFIEAFNQAGKRPYKLGVNQFADLTNEEFKASRNGFKASSSLKLIRGASFKYENVTAVPATMDWRKKGAVAPIKDQGQCGSCWAFSAIAATEGITKLSTGKLISLSEQEIVDCDRTSQDQGCNGGEMEDAFAFIVKNKGIASEATYPYTAADGTCSKTKEASHAAKIAGYEKVPANNEAALLKAVANQPVSVSIDASGMAFQFYTSGVFTGDCGTDLDHGVTAVGYGKASNGTKYWLVKNSWGTSWGESGYIRMQRGISAKEGLCGIAMDSSYPTA >CDP06698 pep chromosome:AUK_PRJEB4211_v1:6:2694455:2696841:1 gene:GSCOC_T00023633001 transcript:CDP06698 gene_biotype:protein_coding transcript_biotype:protein_coding description:APX2 [Source:Projected from Arabidopsis thaliana (AT3G09640) UniProtKB/TrEMBL;Acc:A0A178VH43] MGKSYPKVSQEYEKAVEKCKRKLRGFIAEKHCAPLILRLAWHSAGTYDVDTKTGGPFGTIKHPAELSHDANNGLDIAVRLLEPIKEQFPLLTYADFYQLAGIVAVEVTGGLPFHPGRPDKDEPPPEGRLPDATKGTDHLREVFGHMGLGDKEIVALSGGHTLGRCHKERSGFEGPWTSNPLIFDNSYFKDLLRGEREDLIRLPSDKALLEDPALRPLVEKYASDEDAFFADYAEAHLKLSELG >CDP03022 pep chromosome:AUK_PRJEB4211_v1:6:10446787:10449441:-1 gene:GSCOC_T00041492001 transcript:CDP03022 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLIIMSSWLLILPAIISVAGTFSRATTVPFELHASSPCQFTRFPGLCAESLSGLPSQNQYVDFVSALINKTISASNLPVSNFESLSYHFISEEAQNTRKAIGDCRELMNMAVKRLNQALVAIKQSPTKNKEDIQTWLSAALTYQETCKDIANVHATSNSFMQEISKKMDYLSQMGSNPLTLVNRITAENSKNTGPHTSIKGRGLVEQQNFPTWISARDRKLLQATTIRANAVVAKDGSGNYKTISEAIRAAGGGRFDGITLVGDGKYSTVITGSSSVAGGSSLQDSATVTITGDGFIAKDIGFQNTAGPNGDQAVAVLIASDRAVLYRCTLAGYQDTLYALSLRQFYRECDIYGTVDFIFGNAAAVFQNCNLVLRRPRNRVAYNVILANGRSDPGQNTGFSLQNCGIIPGSDFSPVKNSFNSYLGRPWKVYSRAVVMQSTIDGAITSRGWIEWPGAPSSSLRTLYFAEYGNMGPGAGTSGRVRWPGYHVIARDEAEKYTVDNFIAGTSWIPSTGVAFVSGLS >CDP06479 pep chromosome:AUK_PRJEB4211_v1:6:1066425:1081741:-1 gene:GSCOC_T00023345001 transcript:CDP06479 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G01400) UniProtKB/TrEMBL;Acc:Q9M033] MATVSRDKISSLINSVKFDANIPSKLEHLRSLSDELSNADSVLLSKFLSPLLDLLSDRFSPVRKFTAEMIGDIGLKFAESIPEIVPVLIPVLKDDTPAVARQAIRCGMEIFRYVLFKVALQGMYSNELDTSLESSWSWMLKFRDEICSLAFKPGSDGRRLLALKFMETVVLLYTPDPNGSSDPPADLDSEASVKEFNISWLRGGHPILNIGDLSVEASQSLGLLLDQLRFPTVKSLNNLIIIMLINSLSAIASKRPAFYGRILPVLLGLDPSSSSGKGVHHALKSAFLSCLNCTHPGAVPWRDRLIDALRELKAVGVAEHAAIELASQNSGSLERKNDSLITQDRKPTSKALDDIRNDASRKRTGMEESTDLLEDKMSVKRMKSVPVTSDGSTNDLSSDQGRVPSGGSGACKMEEDSGPVQQLVGMFGALVAQGEKAIASLEILISSISADLLAEVVIANMRNFPSNRPQIEGDNEQLFGRGSCPGMSGSNSEFDNLTLLLTNILSQSSAVSQKDSGMDSLPSAANELELRGTSGNTDVPGMSYVMEEASVPTTTPASSGGHVPCDTENGGSGTPSDVIDVGNEESEIPGLDLPVPNDELVITSLGSTELKDASQEQVSSLARSSLELLPSVSTDRSEELSPRATVTDLSCVNSLSATSSGLSTQLLLPKISAPVISLSDDQLDNLQKPILLRITDTYKQIATAGGSQVCLSVLAYLGVKFPLDLEPWKLLQTHILSDYVNHEGHELTLRVLYRLYGEAEEDHDFVSSTTSKSVYEMFLLTVAETLRDSFPWPDKCLNRLLVEVPYLPDSILKLLEGMCSPGGSNKDNNNLERVRQGLSIVWSLILLRPPIRDACLTIALKSAIHPVEEVRDKALRLVVNKLYPLPSMSRQIEAFAWEVLVSAANANTALESCDADGANAEFKDSDMGKPSNELPVVGTSSKGLSAVTDSLSISESISSSLLAEGQRRMALYFAICTKNHSLFRQIFVIYENTSKAVKQAVLHHIPKLVRAIGPSPELLQILSDLPTGSMELVMQVLHTLIDGTTPSPELLSTIKKLYDTKVKDVEILILILPFLPKDEVLAMFPHLVNSPLEKFQLALACVLQGSSSFCPAITPAEALIAIHGIDPERDGIPLKKVTEACNTCFGQRQIFTQQVLAKVLNQLVEQTPLPLLFMRTVLQAIGAFPSLVDYIMEILSRLVQKQIWKYPKLWVGFIKCAFSTKPESFGVLLQLPPAHLENALHRTPALRDPLIAHASQPHIKSSLPRSVLVVLGLASDFHNSDLTKPTHSETGDQRNHLMQLDLRKMARCASCMLIAVCRNRVRQASYPKSLLSCALKSCLHSFGDKLKICSYLLAQSVDWILNFIWNISNRGEEETCG >CDP10213 pep chromosome:AUK_PRJEB4211_v1:6:13085200:13090433:-1 gene:GSCOC_T00030867001 transcript:CDP10213 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVFAEITDGDEFKYYFEGEWKKSASGKSVSIINPTTRKTQYKVQACTQEEVNKVMEIAKAAQKLWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLVSYTAEEGVRILGQGTYLVSDSFPGNERTKYCLSSKIPLGVVLAIPPFNYPVNLAVSKLAPALIAGNSIVLKPPTQGAVSALHMVHCFHLAGFPKGLINCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLVMESVADDLVAKVNAKVAKLKVGPPEDDCDITAVVSESSANFIEGLVMDAKQKGATFCQEYKREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRITSAEEGIHHCNASNFGLQGCVFTKDINKAMLISDAMETGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMVKVKSTVINLPCPSYTMG >CDP16125 pep chromosome:AUK_PRJEB4211_v1:6:34068744:34072173:-1 gene:GSCOC_T00017186001 transcript:CDP16125 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKLQLCYLIVSMACHLVFSFTDPQDATVLQSIKQQWKNLPPSWNNSNDPCGTPWEGVTCSNSRVKALALSSMRLSGKLGDDIGGLTELTSLDLSSNPDLTGSVSSRLGDLRKLNVLILAGCGLSGTIPSELGNLAQLSFLALNSNNFTGEIPASLGNLTNLYWLDLSNNRLSGSIPVSTSTGPGLDLLKKAKHFHFSKNQLLGQIPASLFSSGMVLSHLLLDGNLLTGEIPPTLGNVQTLEILRLDNNALGGGVVILQNLTNLIELNLASNNFSGPLPDLTGMNYLNYANLSNNSFQPSQAPAWFSTLKSLITLALENGPLQGQVPQQLFSLPQIQIVILRKNAFNDTLDMGNNISQQLQLVDLQNNDISSVTLSSGYSKTLLLMGNPVCASSIASTSYCQPQKRSATNCSTSLANCRNITCPSDQKLSPQTCACAYPYEGTIIFRAPSFMDTANCSTFHDLQISLEMKFGLAPGAVVLLNPHFNIDDYLQVGLGLFPTTRNFFNSSEIQRMGFELSSQTFKPPAEFGPYFFIAVPYKFPGQ >CDP06565 pep chromosome:AUK_PRJEB4211_v1:6:1740976:1745557:-1 gene:GSCOC_T00023463001 transcript:CDP06565 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAKSLGIRKVLLLRYLDLQGSAWPLGFAMKSCSMLRNRMLADPSFLFKIGTEIVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVVVNVALVGMLAPYARIGQSSASQGLFSRLQRAYGALPSSVFEAERPGCQFTINQRIGTYFFKGIMYGLVGFGCGIVGQGIANMIMNAKRSIKRSEDDIPVPPLLKSAALWGVFLAVSSNTRYQIINGLERLVEASPVARQVPSVAMAFTVGIRFANNVYGGMQFVDWARWSGVQ >CDP02842 pep chromosome:AUK_PRJEB4211_v1:6:12522818:12523613:-1 gene:GSCOC_T00041233001 transcript:CDP02842 gene_biotype:protein_coding transcript_biotype:protein_coding MKLACTNAQILFLRTVRAIVNLRVILKFQQLWIDHFSANLAVPFINALTLPQIRRNFAAAQTTVGRATASNTMEIK >CDP03018 pep chromosome:AUK_PRJEB4211_v1:6:10560047:10560268:-1 gene:GSCOC_T00041483001 transcript:CDP03018 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYVLKTKEEEFTIGPLSILMLSVKNNTQVLINCRNNKKLLGRVRAFDEHYNMVLANVREVPKTGKARKKLF >CDP10253 pep chromosome:AUK_PRJEB4211_v1:6:12680586:12681325:-1 gene:GSCOC_T00030920001 transcript:CDP10253 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQFPSLFSLALRILNLKAIAVEEWSVARQKGMERVQGHNRIEAYQGHNCSLLPPWLMIQSMICS >CDP06664 pep chromosome:AUK_PRJEB4211_v1:6:2458965:2462580:-1 gene:GSCOC_T00023589001 transcript:CDP06664 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronic acid decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT3G53520) UniProtKB/TrEMBL;Acc:F4JAG3] MKQLHKQSSTNHRRDEEMPSGQSPPYSPKSLKHPRSLPRSLSYLLKEQRLLFILVGILIGSTFFILQPSLSLLNPSSSPSARPHPLVSSVDHESERTSGSWSTSLATTPGVKQSHLNKGTGRVPVGLGRRRMRVVVTGGAGFVGSHLVDKLLDRGDEVIVIDNFFTGRRDNVKHHFGNTRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIISFSSFSCYPDSTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGKQREVIFSGVRSCYDEGKRTAETLTMDYHRGADVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPLTVYGDGKQTRSFQFVSDLVDGLVALMESEHVGPFNLGNPGEFTMLELAQVVKETIDSSATIAFKPNTADDPHKRKPDISKAKELLNWEPKISLREGLPKMVSDFRNRILNEDEGKGNK >CDP03065 pep chromosome:AUK_PRJEB4211_v1:6:10007649:10008320:-1 gene:GSCOC_T00041544001 transcript:CDP03065 gene_biotype:protein_coding transcript_biotype:protein_coding MACNSATCQSGGCYSDDNGDKVDDQFPQPPSALKESMAAAFSSSSASAQVTGGGGGGSGSSDSSRFCGDCFRSNLYEKFRFAVTSNALISPSDNVLLAFSGGPSSRVALQFDHEMQMKAQKNFDASRDRSLPVFGVGFAFIDESSSISILADKFSQAVEEMRLVVSNLAPQLRSSMLFLLKVIIFQIPTMEVIIFCFC >CDP09575 pep chromosome:AUK_PRJEB4211_v1:6:21004629:21005205:1 gene:GSCOC_T00029002001 transcript:CDP09575 gene_biotype:protein_coding transcript_biotype:protein_coding MMILLKAAEMALLHQAFLKILLKYIHLILALRALVFRVPLPAKAV >CDP10248 pep chromosome:AUK_PRJEB4211_v1:6:12722292:12728079:-1 gene:GSCOC_T00030911001 transcript:CDP10248 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMLLSFLSFLVSIYGTMALKNEQQEMDRILELPGQPPVSFSQFSGYVPVNEDHGRALFYWLTEATSSPEKKPLVLWLNGGPGCSSVAYGASEEIGPFRISKTASSLYLNKHSWNREANILFLESPAGVGFSYTNTSSDLKDSGDKRTAQDALIFLLRWMSRFPQYKDRDFYISGESYAGHYAPQLALKIVEYSKGASHPIINLKGIMVGNAVTDNYYDNLGTVSYWWSHSIISDRTYKSILKSCNFSSEKSSQKCDDAVNYAMNHEFGNIDQYSIYAPACKASTANNTVKLLRLKNSLIHRKISGYDPCTENYAEKYYNRPDVQKAMHANSTAIPYNWTACSDVLIRNWKDTEFSILPTYKKLIAAGLRIWVFSGDTDSVVPVTATRFSLNHLNLKIKTKWYPWYVGGQVGGWTEVYRGLTFATIRGAGHEIPLLQPQRALVLFQSFLAGKDLPRS >CDP06729 pep chromosome:AUK_PRJEB4211_v1:6:2913698:2914115:1 gene:GSCOC_T00023676001 transcript:CDP06729 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGGSTHFSVFLLVAIILLCSSSKTVNATCYASEKQALMDFKKDLEDPYGRLLSWIHDVDCCKWEGVVCSNRSGRVIQLHLQRHDPEIADFGGGEILPLSVEFQFPVFLGLSEV >CDP14139 pep chromosome:AUK_PRJEB4211_v1:6:18004450:18005811:-1 gene:GSCOC_T00040375001 transcript:CDP14139 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFPAQGHLNQLLHLSRLISSYNIPVHYVSTATHTRQAKFRVQGWDPLAISNIHFLEFSVPSYENPPPNPNAPTKFPTQLIPATHASFKLREPVYALLQQLSTTTRRLVLIHDSLFQYVIQDVGLIPNAESYCFESISAFTDYTIDWEDEGKPGLSEPELLELLEDIPSLESCFHPALIDLVNLQKNTKPISSGDIYNTCRAIEGPYLDLLAKAKTADSNKQWAIGPFNPVETNEQKNSKKRHYCLDWLDKQATNSVIFVSFGSTTSLSDEEAEEIASGLEQSGQKFIWVLRDADKGDVFQGEVRRAQLPEGFEERTEGRGIVVRDWAPQLEILGHASTGGFMSHCGWNSCMESISMGVPVAAWPMHSDQPRNAVLLEKVLKIGLLVRDWSKKDELVTSMTVENAVRRLMDSAEGEKMRQTAKELSKAVKGSVMEGGASRLEMDSFIAHIRR >CDP06282 pep chromosome:AUK_PRJEB4211_v1:6:25163581:25164689:1 gene:GSCOC_T00023032001 transcript:CDP06282 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQENNPQNLFNYNLIPLLIKQLWYIIHRRAADANFLRCSWTSVLSYDFHVCDPNGRWYLADSGSVPGDLLLLTGKALNHATAGLRPVASHRSALDIPPGTSSGGRTSLVFRLMPQGDAILDCSPIAAAGHVIPQSYVPIFVTQFMDAITVLVMCQSL >CDP18650 pep chromosome:AUK_PRJEB4211_v1:6:15252750:15257982:-1 gene:GSCOC_T00004142001 transcript:CDP18650 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCAVPQTSEDGQVKKKGKNKPNPFAEYGGNYAAVGNGGHKSYVLDDPTGHEIEQRYELGKELGRGEFGITYLATDKSTGEVFACKSISKKKLRTRVDIEDVRREVEIMKHLPRHPNIVSLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAATVTRTIVEVIQVCHKHGVMHRDLKPENFLFGNKKENAPLKAIDFGLSVIFKPGETFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDSAKDLVKKMLNPDPKQRLSAQEVLDHPWVQNAKKAPNVSLGETVRARLKQFSVMNKLKKRALRVIAEHLSAEEVAGIKEGFQVMDTGNKGKIDINELRAGLVKLGHQMPEADLQILMEAGDVDKDGYLDYGEFVAISVHLKKMGNDDDHLKKAFDFFDRDQSGYIEIDELRAALADADEVDANSEEVIKAIIQDVDTDKASYFTRNFLCF >CDP06364 pep chromosome:AUK_PRJEB4211_v1:6:86737:94263:-1 gene:GSCOC_T00023182001 transcript:CDP06364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 6 [Source:Projected from Arabidopsis thaliana (AT5G01990) UniProtKB/Swiss-Prot;Acc:Q9LZN2] MDRVQRLLIQVLQESHAGGESLLGTIRIAVLPIAKVFTMCFMGFLMASKYVNILPANGRKLLNGLVFSLLLPCLIFSQLGEAVTFQKMLEWWFIPFNVILTTISGSIIGLIVAWIVHPPYPFFKFTIIQIGIGNIGNVPLVLIGALCRDKSNPFGDYEKCSQDGNAYISFGQWVGAIVVYTYVFHMLAPPPEGTFDIVDENHPVKNLSKDSFQCQVKDNSPEQVPLLNEDAVSTDPKTPKNGKMKYFLKFLFEKLKLKQILQPPIIAAFIAIIIGCVPFLKRLIFTSDAPLYFFTDSCMILGEAMVPCILLALGGNLVDGPGSSKLGLRTTAAIIFGRLVLVPPVGLGIVMLADKLGFLPPDDKMFRFVLLLQYSMPTSVLSGAVANLRGCGREAAAVLFWVHIFAIFSMAGWFILYFRILF >CDP03073 pep chromosome:AUK_PRJEB4211_v1:6:9962518:9965152:1 gene:GSCOC_T00041552001 transcript:CDP03073 gene_biotype:protein_coding transcript_biotype:protein_coding MDFISGFTTISSFLIACILAIGLQLSFFSPISPDMLEFPAISSSLVLSNSKLQDAEKLGEGLLVKPEDVTIDEMGVLYTATRDGWIKRLHKNGSWENWQWINSDQLLGITTAAAGGIIVCDCEKGLLWFTEDGVTNLASHVNGVKIRFADDVIEASDGSVYFSVASTKFGFHDWYLDLMEAKPHGQLLRYDPALNETSVLLGDLYFANGVALSPNQDYLIVCETWKFRCLKYWLTEEKRGVKEVFIDNLPGGPDNINLAPDGTFWIALLELVPSRLQYLHGSKLLKKLLATFPRSMIQVHGVYEKAMVANVGSDGKIIKILDDPTGKTVSFVTSALEFEGHLYLGSLNSDFIGKLPL >CDP09522 pep chromosome:AUK_PRJEB4211_v1:6:19636258:19637997:-1 gene:GSCOC_T00028927001 transcript:CDP09522 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKCQVNLKWEQYRSKFYRLESEWKCSVKLNGMSGSTAETGVSFNSELLCFQATREKWSALTRVQRRTKL >CDP10602 pep chromosome:AUK_PRJEB4211_v1:6:5207250:5215568:-1 gene:GSCOC_T00031370001 transcript:CDP10602 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQKAQSKKQQKRGGIDFKKIKRKVGRKLPPPKNATNTEIKSKAIILPEQSVVSDKAGLAVSKKGLTLKELLQQTSHHNAKVRKDALIGIRDIFLKYPAELKLHKLATIEKLRERISDDDKIVRETLYQLFKSVILPNCKQDNQGPFISLMMAYIFNSMTHLAIDVRLMAFRFFDLVIQHHPSAFSPYAEKKILHEWRGEASCPKYDLAPHQVLDLVRPRRHRLCRSPPVSCIEHCLHGSPIHPHNKDPIPAIKLPSDCNRFSRIIRIFCRGANFIYRIRAS >CDP03161 pep chromosome:AUK_PRJEB4211_v1:6:9188536:9197370:-1 gene:GSCOC_T00041661001 transcript:CDP03161 gene_biotype:protein_coding transcript_biotype:protein_coding METVSPPPSQPKKRGRPKGSTKAKADTDREASAAASGGVPRMRGADKRSSSSDEKYSQWKSLVPVLYDWLANHNLVWPSLSCRWGPLLEQGAFKNRQRLYLSEQTDHTVPNTLIIANCDVVKPRVAAENHIANFNEEARSPFVKKYKTIIHPGEVNRIRELPQNKNIVATHTDCPEVLIWDIEAQPNRHAVLGAAESRPDLVLMGHKDNAEFALAMCPTEPFVLSGGKDKSVVLWSIHDHISASAADASKATGSTGSIVKTADNSPVGPRGIFQGHDDTVEDVQFCPSSSQEFCSVGDDSCLILWDARVGNNPILKVEKAHNADLHCVDWNPHDQNLILTGSADHSVRLFDRRKLTTDGVESLVHKFEGHTAAVLCVQWSPDKSTVFGSSAEDGLLNIWDYEKVGKKIESGTRSPSSPPGLFFQHAGHRDKVVDFHWNASDPWTVVSVSDDGESSGGGGTLQIWRMTDLLYRPEDEVLAELQHFKDHVIECTSKA >CDP09527 pep chromosome:AUK_PRJEB4211_v1:6:19767116:19772120:1 gene:GSCOC_T00028932001 transcript:CDP09527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 10 [Source:Projected from Arabidopsis thaliana (AT3G57030) UniProtKB/Swiss-Prot;Acc:Q4V3D9] MAMNPKLLLTATALAVLSVIWAFGTTSNMLRPPAIEGSRDLLHKADTVNLTGAVGPESLAFDPNGEGPYTGVADGRILKWQGHQLGWVDFAVTSSQRKDCFHPFAPHMEHICGRPLGLRFDRKNGDLYIADAYLGLQVVGPTGGLATPLVTEVEGAVLRFTNDMDIDEHEDTIYFTDSSTVFLRRQFISSVLSADRTGRLMKYDKSSKEVSILLRGLAFANGVSLSKDQSFVLVAESTTCRIVRFWLRGPNAGKHDTFTELPGFPDNIRRNSKGEFWVALHAKTGLLIKWVIANSWSGKTLLKLPLSFKQLHHFLVGGQAHATAIKLSEEGEVLDVLEDLDGKTLRFISEVEERNGKLWIGSVLMPFIGIYNLD >CDP15274 pep chromosome:AUK_PRJEB4211_v1:6:3436506:3438630:1 gene:GSCOC_T00042945001 transcript:CDP15274 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYKGYRNLWGANHQSVDNSGITIWLDSSSGSGFKSVKPFRSGYFGASIKLQPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGRIIGREMKFHLWFDPTRHFHHYAILWNPKEIIFLVDDVPIRRYPRKSAATFPLRPMWVYGSIWDASSWATEDGKYKADYRYQPFIGKFTNFKASGCTAYAPAWCRPVSASPFRSGGLSGQQYRAMQWVQSHFLVYDYCRDYKRDHSLTPECWR >CDP10161 pep chromosome:AUK_PRJEB4211_v1:6:13679115:13682394:1 gene:GSCOC_T00030786001 transcript:CDP10161 gene_biotype:protein_coding transcript_biotype:protein_coding MARMGEGDKRWIVEDRPDGTNVHNWHWAETDCLEWSRNFFKKTLSDQSLLNGEGNLFIKINKVDKLDGEAYVNIRKGKIIPGYELSLVVSWEGEANDSDGNSVLKSEGTVEIPYISDENADEDPELRVTVKDDGPIGKRLKEAFLAKGKPFVLEQVRAYVSAMANGGPAKEELEVKKVAKKTAAAGSGDEKAVAAAAPVVEKKEVVKKEKKKEGFKTITMTEKFSCGARYLFEILMDENRWKGFTQSNARISKEVNGEFSIFNGSVTGTNVELQEGKLIVQKWRFGSWPDGIHSMVRLTFDEPEPGITIVKLVHSDVPEEDRYGNATVVENTERGWRDLIFHKIRAVFGFGI >CDP03082 pep chromosome:AUK_PRJEB4211_v1:6:9888170:9891099:1 gene:GSCOC_T00041565001 transcript:CDP03082 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQKVSWQCFVLGCYKIENPKPKKKKVVAKQTSFHRLSMSDFSSSTISEDLSISLAGSNIHIFTLQELKVITQSFSSCNFLGEGGFGPVHKGFIDDKLRPGLKAQPVAVKLLDLDGSQGHKEWLTEVIFLGQLRHPNLVKLIGYCCEEEHRLLVYEYMPRGSLENQLFRRYSVSLPWSARMKIALGAAKGLAFLHEAEKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYVMTGHLTAASDVYSFGVVLLELLTGRRSVDKTRPSREQNLADWARPMLKDSRKLSRIMDPRLEGMYSEEGAQQAAALAYHCLSHRPKNRPNMSDIVKTLEPLKDYNDTSIGTFVYTVPVETDLKKESEKESEGKKKVKKENGHHQQKHRLHHHNHKRSFGTPNSPTIYSETQLQQRVKDGINSPLHQRLKRV >CDP09531 pep chromosome:AUK_PRJEB4211_v1:6:19820281:19822845:1 gene:GSCOC_T00028937001 transcript:CDP09531 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDNNSAEGMAIDDDNEEAAPPSEPPQQMLTKIPDTDLTNERELKKLKLAEVEDKEEDEGRKVAIAALPLAMAAPTSSDDEETIDENPHPIGKLVRVSGKGTGRRKHYKSFGYDGKRYDLEDPVLLAPYEPNQKPDLAIIKDITQTKGGRMMVIGQRFYRPGEAEKKDGGFWQSNNTRELFYSFHKDKFPAESVMHRFVVHFIPPKMQIPPRTEHPGFIVQRMYHAKRKLLFKLKDAGANKEEEIDLLVLKTKSRLGNLPNIQPKDAVAH >CDP10527 pep chromosome:AUK_PRJEB4211_v1:6:5815526:5823212:1 gene:GSCOC_T00031282001 transcript:CDP10527 gene_biotype:protein_coding transcript_biotype:protein_coding MIREAIASQKTSGEKSRRERRKEARLAKNKKKFDSWVQHKQSSKSRKASLDLKPTCGRKLENDNEHSQNKDNILMVSDAGMDGDFEAPSVARKKIGKSRNSKTKFSEYLQMETGSSGMSAEKDLILERKLAKKLKVKNGKLGGLDDEINLLLDGIPSIFDSIKGADREIKSLENGGALFKPDKWNMSEEVVESEIEYGTEEIENETESVSEEISQSNSEEEDNALELIPSKDSRKEKKRTRKTKFEEYLGMEEMQKGALSANEDVEFERKLSKKLKVKDGKLRGDDDGMNNLFEGISSALDYSADVVSQGNRAKVLDNSSLSNRSGMMKSAKQEQAKSMIGMSLEASERVKNDGLDVVAERVPTASHALGLNTKYVAPHLRSRVQDESEEYAQIRRCVRGLLNRLSESNVESITGEVSTIVQSVGRSVGSQIIIEEVLESCSGGPRGNEQYAATFAAFSAGMACLVGIDFGAKLLASLAKCFEMEYLEEDNVSLRNLTLLLSYLYIFGVCTSDLVYDFMIMLSKRLTEVDVSTILTILQSCGMKVRADDPVGMKTFIQSVQQRANELKASSGNGQSNMSGKRMEFMLETLCDIKNNKKRPKEETVQHTRVKKWLQKVRVGDILIGGLKWSKLLDPEKKGQWWLSGDIGSTSDKIEDVASKIDREAPEAQRMLQLAAAQRMNTDARRAIFCVIMSGEDFRDAFAKLLSLDLHGKQDREIIRVLLECCLQEKVFNKYYTVLAHKLCKHDKNHKFTLQYCLWDHFKELETMPLIRSMHLAKFTAQMVASYSLSLAVLKAVDLSDAVELTPKRIMHFRMLFEAIFEFSDALVWNAFSRIAGTSEYESLRTGIKFFVSKYVISSRKPLEQKFKIAKKALKNVKGLLM >CDP10194 pep chromosome:AUK_PRJEB4211_v1:6:13329841:13336950:-1 gene:GSCOC_T00030836001 transcript:CDP10194 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPPTYDVYKDEDGKYICSGLTADKNVDVHVLETKVGNKIVATFWKHPFGRFTLLYSHGNAADLGQMQELFIELRAHLRVNIMGYDYSGYGASSGKPSEFNTYYDIEAVFDCLKNEYGIKQEDIILYGQSVGSGPTLHLASRQHRLRAVVLHSAILSGIRVLYNVKMTFWFDIFKNIDKIRNVSCPVLVIHGTSDDIVDWSHGKRLWELSREKYDPLWVQGGGHCNLETFPEYIKHLRKFMKAMEKHSFSKRSKANLAPTSSITEGKYKCLRLARK >CDP06404 pep chromosome:AUK_PRJEB4211_v1:6:413044:416033:-1 gene:GSCOC_T00023236001 transcript:CDP06404 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGGVSQGASEAFHHHPEGFDSSPTMGPATHILLDSPDEGEDKGAVRAEIDTSAPFESVREAASRFGGMGFWKPSSQNPKPSSSSSEHGNELMDITHLEEQAAQLERDLVMKERETFDVLKELEATKMVVEELKANLQKETSAVCSTLNDKDVNPVEAAEADSHQSANSGLDLCPSSAPGFILLELKQAKLNLTRTTTDLAEIRATVESYNRKIEKERISLEKTRQRLSSNTVKISSLETEVNQTKDKLQLVKCADQGRVSCDETMNMAKEIQRLTSETEEFKKVGEAARSEVSRAMSEIKQAKIRIKTTEIRLVAAKKMKEAARASEAVALAEIRALSNTETARSGLQQKPEVLTITCEEYASLKSKAREAEAACQKRLVDAVPLADEANVSKAEILKKVEEATEEVKSSKKALEEALSRVESANRGKLAVEEALRKWRSERGQKRRPVHNSTKFKNACPSHLRKDSSLLDVNGINLVNDELKPVLKPTLSIGQILSRKLLLTEEFENGMQGDKNVGKRKVSLAQMLGKHYGDKNFATKGGAENAKQLPAKRKKYGFARISLLVTKEGKKKKKRSATSTWLRE >CDP14266 pep chromosome:AUK_PRJEB4211_v1:6:36705042:36708150:1 gene:GSCOC_T00040558001 transcript:CDP14266 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEEGGCGGAAAVTAAASHRIYVGGLGGNVTAEDLKNTFSSPQLGSVESVEIVRTKGRSFAYLDFVPSSDKGLAKLFSTYNGCMWKGARLRLEKAKEHYILRLRREWEEDAKLSIDSNSFDGDAVVNMPSLEKPVKAHNLENTQLRIFFPKLRKVKPVPLKGTGKHKYSFPRVEVPPLPIHLCDCEEHSGSSDAANKSFHREDENDGINEEELSMMSSVMNKLFERENISETACTKVGFTMEAFVSRNSVDDIQVDQERDQISDEDNLIMNMVDGSNSGITTADAWEQNAISGNQEPSRSRSLQRIHKNQGKATVLSKKKRKAAQDFDTIPDQVAEPGTGVLQPSYHHMCPKKSIRRDLVSEGENSFRISDILQSNDTGGEMQSKPDSSTLLNCKDPPSQSSQIKVVNESTETICGTPNAGIDKGREGNSFLNRSSWMQLVGSNSSFSISQILPGLNLEKQEIPQFNVTDSSTSTKRRQDFMKTDKSDFITDVSKSQPVVDIPKFSLADSKTNHASQNQLDSKQPIQAKRTSMGDRGMPETFTFKRSAASMKEWKKAKAAVSGSQKKRVKKK >CDP10577 pep chromosome:AUK_PRJEB4211_v1:6:5439727:5444725:-1 gene:GSCOC_T00031340001 transcript:CDP10577 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMRWRPWPPLQTRKYEVRLKVRRLEEGGGAAPPGFTVEIRWKGPKIALSTFRRSTVKKNCTREESVKTQEDGENGGFLVLWDEEFQSVCTLSGYKDNVFHPWEVAFTVFSNGLNQGAKNRGSLVGTAVLNLAEFASVTEKEVETDIPLVLSGCTSEPRLSLRILFSLLELRGAQDSVESAQRTSFPVQSPLQSGDSPLPEKDELSALKAGLRKVKIFTEYVSTRRAKKACREEEGSEGRCSAKSEDGDYAYPFDTDSLEEFDERESDDGKENATVRKSFSYGTLAYANFAGGSFYSNSRSNSVDEDWVYYSNRKSDVGCPPVDNPITSVSEASVLQNTKRSILPWKKRKLSFRSPKTKGEPLLKKGNGEEGGDDIDFDRRQLSSDESFSFWWRKTDEDSSAHRSSVSEFGDDNFAVGNWEQKGIMSRDGHLKLHTQVFFASIDQRSERAAGESACTALVAVIADWLQNNHDHMPIKSQFDSLIREGSLEWRNLCDNEIYRERFPDKHFDLETILHAKIGSLSVIPGKSFIGFFHPDGMDEGRFDFLHGAMSFDNIWDEISRVALECPGDSEPQVYIVSWNDHFFVLKVEAEAYYIIDTLGERLYEGCNQAYILKFDRNTTIYKLPNTAQSSQEKSVDSQQVSSKEGSTEDSEPMKSEEEEELVICRGKESCKEYIKSFLAAIPIRELQADIKKGLIKSTPLHHRLQIEFHFTDLQLPAPVSPPVEEVASIVQQVIEVA >CDP15379 pep chromosome:AUK_PRJEB4211_v1:6:4321612:4329004:1 gene:GSCOC_T00043090001 transcript:CDP15379 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENSTLFPIFILTLMAFPLVPYTLIKLFHAVSKKTTNINCQCSVCFRSGKYRKSIFKRISNFSTYSNLTLVLLWVIMAVLAYYIKHISSEIQLFEPFNILGLEAGASDSEIKKAYRRLSIQYHPDKNPDPEAHKYFVDYISKAYQALTDPVSRENFEKYGHPDGRQGLQMGIALPKFLLDIDGSSGGILLLGIVGVCIILPLMIAVIYLSRSAKYTGNYVMHSTICTYYVSMKQSLAPSKVLDLFVKATEYRDTPVHRIDEEPLQKLFVLVRSELNLDVKNIRQEQAKFFKQHPGYVKSELLIQGHLTRETSDLSPNLQRDLKRVLELAPRLLEELMKMTLIPRTAQGHGWLRPATGVVELSQCIVQAVPLSARKATGSSEGYAPFLQLPHFSETVVKKIARKKIRTFQDFRDMKLEERAELLSQVAGFSASESEDVEKVLQMMPSISLDISCETEGEEGIQEGDIVTLHAWITLERGNGLIRALPHAPYFPCDKEENFWLLLADSLSNDVWISQRVNFMDEATAIIAASKAIQELKEGSGASARDVKATVKEAIAKVKKGSRLVMGKFQAPAEGNYNLTSLCLCDSWIGCDVKSNLKLKVLKRSRAGTRGVVSTDEVPTVEDGIEEEDEEEEEEYDDYESEYSEDDEDVKDTKSRGAVANGSAHNKSNGSSSDSSGSEED >CDP06523 pep chromosome:AUK_PRJEB4211_v1:6:1439473:1444170:1 gene:GSCOC_T00023407001 transcript:CDP06523 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASNGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDRRERQMMLQAGGDFLPDPDESHNVSLDGDFSIQVLEKALEVWDLQVIPLNSPVAEPAQIDPELENAFICHLQNHWFCIRKVNGEWYNFDSLYAAPEHLSKFYLSAYLISLRGSGWSIFLVRGNFPKECPIASSESSNGYGQWLSPEDAERITKSCNSTQRTAPGSSQIRQFSDSYMQYGGGGLSLDEDDEDFKAAIAASLTDSATAVKDIPADVLDDENKKSGEENIRE >CDP10198 pep chromosome:AUK_PRJEB4211_v1:6:13281417:13282858:1 gene:GSCOC_T00030842001 transcript:CDP10198 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPMTCSNGSMFPPSPYSYKEFADDCNRQFGVWPREHWITTEFGGMRINLVLKRFGSNIIFSMEQRRVRITWLRTKLRLRVPELRKRLRRVSYNLDLIFNINQTTYLT >CDP03025 pep chromosome:AUK_PRJEB4211_v1:6:10419601:10434199:-1 gene:GSCOC_T00041495001 transcript:CDP03025 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTSTSPPSKAGVAVPKSGAVSKGYNFASTWEHNAPLTEHQQAAIQALSHAVAERPFPPNLSQEHVTGEDNGLSISMEHNTFEDSGTIETVLVNTNQFYKWFTGLEAAMKSETEEKYQHYVNTLTERIQTCDGILHQVDETLELFNDLQFQHHAVATKTKTLHDACDRLLMEKQRLIEFAESLHSKLNYFDELENVATSFYSPSMNVANENFLPLLKRLDECISYVESNPQYAECNVYLVKFRQLQSRALSMIRSHILSLLKNASSQIQAAIRNSAGGKAAVSEAVEASVIYVRFKAAANELKPVLEEIETRRPRKEYIQILAECHKLYCEQRLSLVKGIVQQRVSEFSKKEELPSLTRSGCAYLMQVCQLEHQLFSHFFPLSSEDTSSLAPLTDPLCTFLYDTLRPKLIHETNLDVLCELVDILKVEVLGEHLSRRGESLAGLRPTLDRILADVHERLTFRARTHIRDEIANYLPLDEDLDYPSKLEQLAQVDTETVSSDQNSNASRIWYPPLEKTISCLSKLYHSLEPAVFTGLAQEAVEFCSVSIQKASKLIAKRSSSMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLEHLRRILRGQASLFDWSRSTSLARTLSPRILESQIDAKKELEKSLKVTCEEFIMSVTKLVVDPMLSFVTKVTAVKVALSSGAQIQKIESSIAKPLKDHAFATPEKVAELIEKVNAAVEKELPKIMSKMKLYLQNPSTRAILFRPIKTNIVEAHVQVQSLLKSEYSSEDIENIINMVSIPELQRQLDNLL >CDP06692 pep chromosome:AUK_PRJEB4211_v1:6:2649309:2650568:-1 gene:GSCOC_T00023626001 transcript:CDP06692 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKKRRRPADPDSDSIPFKSRLKPDSVILQALKALAAAESTPSASTNPLTLADLSLSQACREVSDLPLSSVQSTIESLVLSLTHSILSGQGLSFSVPSRSSANQLYVPELDRIVLKDKSSLRPYANVSTVRKTTITARILQLIHQLCLKNIHVTKRDLFYTDVKLFQDQTQSDAVLDDVSCILGCTRSSLNVIAAEKGVVVGRLIFSDNGDLIDCTKMGMGGKAIPPNIDRVGDMQSDALFILLVEKDAAYIRLAEDRFYNRFPCIIITAKGQPDVATRLFLRKLKTELKVPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYNIPEQCRLPMTEQDIKTGKDLLEEDFVKKNPGWVEELNLMVKTKQKAEIQALSSFGFQYLSEVYLPLKLQQEDWL >CDP09588 pep chromosome:AUK_PRJEB4211_v1:6:21208101:21208681:1 gene:GSCOC_T00029021001 transcript:CDP09588 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKKKERHKTALFDFGGRFICSKTLKIFILLVKGLDVDSLFISHIQVNQAHKQRRRTYRAHGRINPYMSSPCHIELILSEKEESVKKEVIHTCRM >CDP03156 pep chromosome:AUK_PRJEB4211_v1:6:9236639:9241634:1 gene:GSCOC_T00041654001 transcript:CDP03156 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQPLHVVYCGVCGLPPEYCEFGPDFEKCKPWLIQNAPDLYPDLLKEAEAKEADKISGQLQSTSISDGSSASKPEEVKRLPGGKVKKKEKQEIIIEKVTRNKRKCITTVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIAYDIVDFITETWPDVPEAAIYFIEDGKKVPAV >CDP14342 pep chromosome:AUK_PRJEB4211_v1:6:35710173:35715949:-1 gene:GSCOC_T00040674001 transcript:CDP14342 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKPRPRKRLYQVWKGNNRFFCGGRLIFGPEVASLLVSTALIAVPAIAFCIKVYFIIRHHIKEGKPDISWYPVLVIGSLLTLLDITFLFLTSSRDPGIVPRNSSPPESAEAYDLNTPSMEWVSGRTPHLKLPRSMDVIVNGHAVKVKYCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGLRNYRFFYMFISTSTVLCLYVFGFSWINILRRKGSIWRAISQDILSDFLIVYCFIAMWFVGGLSVFHFYLISTNQTTYENFRYRYDRRENPYNKGIFSNFKEVFFSKIPSSAIDFQGLVLEDEHLVVEPTNLDDVEGVTSSKEKIDIEMGTKLVEEGGISIPEILRNLSFDHFEDDIRSKERELNGSDPSIFVPEQESKDSPRRSTRRDEKADPEPHLFLVEQELIDSPRSSTANNGVNGKDNVDDVSGFT >CDP10383 pep chromosome:AUK_PRJEB4211_v1:6:7013800:7020060:-1 gene:GSCOC_T00031092001 transcript:CDP10383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 17 [Source:Projected from Arabidopsis thaliana (AT5G20170) UniProtKB/Swiss-Prot;Acc:F4K460] MDGNLDISIDKLPIKRLEVIEENGVERFPSDVGHEEKRVDLIRRIDFAWAVEREEPSSKKQKKTTTSSTSKETHNSGNQPWQWQSLLENLQLAHQELSVIIDLINTLEANNDVTVASMTRPKQLPNELLSDLAVSTATKLQCFRHLGRYFKQSAKALEQQVAREARFYGALIRLQQNWKVKRHRAVAAASGNEGFYIDLFDNSLNDPAAAFRPSSVSTVRVEHDSAGMLAVNLPPNSCRTLQFEFLGAHSSYNARRSSRTKVQTFIADGYEETKKEHLNDDKCVRETHALLREVHLAIFNEQVFELVNREVFNPSIGVNVTGIQENYLRLSIGQGASVSVSLVPSGQDDQTVSITGTNNLETAIISMESFEEGRRNFKKSAFANQISFEIYLQQLFHEHVFVKAKRRAASSSRSQVPGQPAKDSFNLLGHFCLSLAHRIFSIRVLTELENLVRGIPYVHLMSHPTWHSRTSSWTLSINIPQPISLAGNQAQVSALTHIKSLKSQYWTKVLVNDDCITVEGEGAPKVVGLFKGKSETISPMNRYNCELADLPLILLQQVASQVISWLHDEALTVGIKANRDFLSLSFELDQGELLSLVSHIDPEDAEGCISWWLVMEDGFTEEHKLRMDISNSESETRKFLGHLSLDVLYSTVFDLVGLCGGGGMH >CDP10633 pep chromosome:AUK_PRJEB4211_v1:6:4902651:4916873:1 gene:GSCOC_T00031409001 transcript:CDP10633 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLHQHNLVSSSAPDPWLSVQPSRNCKVVSFNHQPFYWCFRKKRRGLRLSLLDDGNLKLNYSFPDFGRHTLNFSRSRRSGLLLPFASADDGVTVNGSPRASAGGEVEELRVKLDQSLQGEECNTGLVQSLHDAARVFELAIRDQSLSSKVSWFSTAWIGIDKTAWIKELSYQASVYSLLQAASEITSRGDGRDRDINIFVQRSLLRQSAALEAVINNKLSAKQPQAHQWFWMEQVPAAVSNFVNYIEKDQSFSAFTSMSGKVMPLVSENASDLSLLMLALSCIAAIMKLGPTKISCAQFFSSMPDTTGRLMDMLIEFVPIRQAYHSVKDIGLRREFLVHFGPRAAANRVKNDRHTEEVMFWVSLVQKQLQKAIDRERIWSKLTTCESIEVLERDLAIFGFFIALGRSTQSFLSANGFDSVDEPIEELMRYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPGSSNSFKRNMGHKRKEESPPNPEAIPLALDVCSHWIQSFIKYSKWLENPSNVKAAGFLSKGHEKLKVCLEELGIQKTTSGTYSPTELESDSFDKALESVEEALMRLEGLLQELHMSSSTSGKEHLKAACSDLEQIRRLKKEAEFLEASFRAKEASLQQEGDASDSSSVSNERQHSKGKASKRASINRDSGSRVSKPRGLWSFLVRPSNKSSDLGMSMANANDDECFEQRTAGTVSSYSESNEIQRFELLRNELIELEKRVQKSSDRSEYEEEDIQTTDESFSQRNEVKGPNLVRVQKKESIIEKSLDKLKGTSTDVWQGTQLLAIDVAAAMGLLRRVVIGDELTEKEKQALRRTLTDLASVVPIGFLMLLPVTAVGHAAMLAAIQRYVPSLIPSTYGPERLDLLRQLEKVKEMEDEVNSEENADEKPSK >CDP03324 pep chromosome:AUK_PRJEB4211_v1:6:7916076:7919733:1 gene:GSCOC_T00041869001 transcript:CDP03324 gene_biotype:protein_coding transcript_biotype:protein_coding MGELGGNSQSLIGGKGQNGSATSKSHNQASSSKESVSNKDLTAPIPASVTSEIQFGCLVCSGNNSCQTVRSRTKLMNILIEKGKPHEVHSVFRDLTEEGHRPSLVTYTTVLAALTLQKRFKSIPLLLSKVEENGLKPDSIFFNAMINAFSESGNVKEAMKIFKKMRDKGCKPTISTFNTLIKGFGIIGKPEESLKLLEMMSMEENVKPNDRTYNILVRAWCSKKNIPEAWNVVYRMVASGVSPDVVTYNTIARAYAQNGETSRAEAMIFQMQNNNVAPNQRTCGIIVDGYCKEGNVTDALRFVYRIKDLGVNPNLVIFNSLIKGFLDVTDTNGVDETLTLMEGFGVKPDVITFSTIMNAWSSAGLMDKCEEIFDDMQKAGIEPDIHAFSILAKGYVRAGEPEKAEALLEIMDKSRVHPNVVIFTTIISGWCSTGKMEYALKMYEKMCKMDISPNLKTFETLIWGYGEAKQPWKAEELLEIMENVGVVPRKNTIQLVADAWRAIGFLNEAIRILNGTPNDQPLMVNASNGRPTEGQGKVHQKEESIASYSNLWQIPGTIVTNQNVSDVVNLRSQTLLKRSKLSSESLSMANKSMLVTRSCGLKVKSLMEFPRQFQILVGIHEYVNPCRLVF >CDP03030 pep chromosome:AUK_PRJEB4211_v1:6:10382676:10388781:1 gene:GSCOC_T00041500001 transcript:CDP03030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of mec-8 and unc-52 protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT2G26460) UniProtKB/Swiss-Prot;Acc:O48713] MSSKRNNYKEKLARRKEEKAEEPEQPKYRDRAKERREDQNPDYEPTTELGHFHAVAPPGTVELRSADAHKLSIEKSKYLGGDVEHTHLVKGLDYALLHKVRSEIDKKPDVDDDANEKARASKEDQTLSFRTATAKSVYQWMVKPQTTIKTNEMFLPGRMSFIFNMENGYSNDIPTTLHRSKADCPVPEEMVTVGVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKEKDAKGKLSGISNGYDGDQKMLRSDALKSQNDKETPLPPPPPLPKRIPDSRANQGPTVVRSEEDDIFIGDGIDYSIPSKDMSQSPVSEDMEESPRNKERASYFDEPVYGPVPPSDPSHGWQQVSGYDALQAQALVGGYQTEWQEYQYAEQLAYPDQYLQQNLQAYDVQAGLNVLQDPRFMTQEEKDRGLGSVFKRDDQRLLQLREKDAREKDPNFISESYSECYPGYQEYNREIVDSDDDDDLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINQILTKKKMEKEANNDGASYDDEPRSGKKLRI >CDP14237 pep chromosome:AUK_PRJEB4211_v1:6:37078582:37081207:-1 gene:GSCOC_T00040518001 transcript:CDP14237 gene_biotype:protein_coding transcript_biotype:protein_coding MEILYVTVLSFSVVFVSFAIHFLFFKSKSGSSGRLPPGKSGWPVIGESYEFLSTGWKGYPEKFILDRIAKYSSNVFRTHLLGEKAAVFCGAAGNKFLFSNENKLVQAWWPDSVNKVFPSSTQTSSKEEAIKMRKMLPNFLKPEALQRYIGIMDHIAGRHFSSGWENKDQVEVFPLCKNYTFWIASRLFVSVEEPTEVAKLLEPFNVLASGLISVPVDLPGTPFNKAIKASNEIRKMLVSIIKQRQIDLADGKASPTQDIMSHMLTTSDENGKFMQELDVADKILGLLIGGHDTASSACTFIVKYLAELPEIYEGVYKEQMEIANSKAPGELLNWEDIQKMKYSWNVACEVLRLAPPLQGAFREALNDFMFNGFSIPKGWKIYWSANSTHRNPECFPEPLKFDPTRFVGSGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHHVVKRFKWEKIIADEKIVVDPMPIPAKGLPVRLYPHRA >CDP10384 pep chromosome:AUK_PRJEB4211_v1:6:7007455:7011021:-1 gene:GSCOC_T00031093001 transcript:CDP10384 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTPVGGGYMRQRHSQGYASSGDDLEDDACSRPIPSATLVPKTRTWVEVVENFLWIASAVFIIYYGDRHSNFIYLLWHDDRIRRIPLYMGMLGISLNVLFFLYTSMLAWGVRKSSEKWEISSIAALPFITVLGLISFCLFSFALWPIWSFLTLPLVFTLFMAGMVILPYLLLGTFKPQPDVLRTD >CDP02929 pep chromosome:AUK_PRJEB4211_v1:6:11434997:11438990:-1 gene:GSCOC_T00041352001 transcript:CDP02929 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFKMLPATAIKSLLLFALVFLLSLTSLVDSRNDTDETGLSSTHSSIRIGVVLDLDSPMGAMLDLCLSMAHSDFYSVHSNYQTRLSLHRKNAKGQFGVGSAGGTSSFSLNFELLPAVESFRISVCELIAVLELLKNEEVHGVLGRESLTESAFVAELGARAHVPVISFTAESQGFSHTKSSYFVRMSPDDLYQIKGLAAICQQFGWHNIVVIYEDSRHGNVFMSKLNKEFQEVDIRVGYSSAVSTSADDVYITKGLNKLMTMQTRVFLVHMNTLLGCRLFHLARKAGMMTEGYAWLITDSFSNFLNSEDSSSLEGVLGIRHCVPKSKKLKDFQERWTKNALLMKPEITVMDLNIYGLWAYDTVWALAMAIEKLLPIGQKLLTELSHTKFTGLSGKFELVDGELKPLAFEIFNVIGTGDRTVGYWTPSRGISKILGSISAKELKVIMWPGDTLVPPRGWAIPSNGKLKVGVPKKEGFTEFVKVQVDPLTNQPQVSGFSIDVFIASLQLLPFKLDYEFVPFMNASGHRNGSYADLLHRILDQSYDFVVGDITILADRAAYVDFTLPYTESGVVMVVKNKMSIDMWIFLKPLRWDLWLAIIVACIFIEVVPRLMERERNSTDAGSSMPRGQQVGLFVFPIAALAFPERNMVSNNWSRFVLVVWLFVAFILMQSYTAKLSSIFTVDQLEFSFSEEYYVGHQHGSFTRDFLINELHLNESKLRSYTRFEDYHDAMSRGSRKGGIDAIVGETPYMKLFLNRYGSEYRMVGRTYKTQGFGFAFPIGSPLVSYFSRAILDITQGPNMTTIEQKNLGPGYPSDSVDRDDPNLTAYNFGGLFIIICSAAIFSLFCSETSVGQRFTTTASHYGQRCCCSFPIFRGKESSVHSVSDTDASGESSSEEANEIQESKTNTSDRPEEVEVLESSSEDVSAGEVGSS >CDP02917 pep chromosome:AUK_PRJEB4211_v1:6:11592207:11593280:-1 gene:GSCOC_T00041337001 transcript:CDP02917 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGLVQLNSHISSIWCNFYRKSKLQVAQKNLKPSRELAMKVLEPFVSAAVVLQDSIKLLPKNGKLIALTITPALLFSSLFFLVFNFSCKSMLSDMLMRQSLIPLTSTNRADFLTILARLKEDFGLWQVGGATLILAYCIISLFSIISTILVSAISYTERTLSTKDFILLVLRSWKRALIVGFYGTIGLDLGYIYCLFSLARPGSLYFGHVAFFTFYLACIVFYNIIYLHTSVFWALSMVISVMEENCYGFKAVKKATELIQGKSIPGCILNTIFAVLSVILFQGFRVIRGHKWLANETFFWLFLVNFSCLLRLLNVVVFTVLYFECKKNHGEETIDLLAAEEYAEISSMPLADDMP >CDP16128 pep chromosome:AUK_PRJEB4211_v1:6:34179317:34180618:1 gene:GSCOC_T00017196001 transcript:CDP16128 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSKTTPKIRGQSANHNQIFLRKQATGPLFISLGRKLPMPSRKSKPKHTGQPVFKPNQK >CDP15774 pep chromosome:AUK_PRJEB4211_v1:6:26072763:26075192:1 gene:GSCOC_T00015853001 transcript:CDP15774 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPSICAITEHKELIRGDKLVLRGLKFHGYHGVKQEERKLGQKFLIDVDAWMDLRAAGTSDHLSDTISYTAIYAIVKEVVEGPPKNLLESVAHLIASTILSRYSQISTVRVQVGKPHVAVHGPVDYLGVEIIRYRSADL >CDP03234 pep chromosome:AUK_PRJEB4211_v1:6:8723460:8724020:1 gene:GSCOC_T00041746001 transcript:CDP03234 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLASSTCHLLLCHSERLSYIMLRLDYAGIAALISTSSYPPVYHSFMCYPVFCNLYLGFITVLGIGTILVSLLPVFQTPEYRTMRASLFFAMGVCGAAPILRKLILVWNRPEALHTTGYELLMGAFYGIGALVHAMRVPERWMPGKFDTAGHSHQLFHVLVVAGAYSHYRAGLVYLKWRDLQGC >CDP10607 pep chromosome:AUK_PRJEB4211_v1:6:5177729:5180306:-1 gene:GSCOC_T00031375001 transcript:CDP10607 gene_biotype:protein_coding transcript_biotype:protein_coding MADYFQINPQELDGDGELWKLLPSDIFPFDHQITSSTLNRPTYSCYNPHNYSLAQQQLSCMGFEDRLSQSLAALSFSQDSGLSPPSHGFGTPPPNLRRFRPAVQCVCCAGSLPVRCLVCNGCLSGTNRVRTGFRNEAFSTGDLPVYPCHFMNSNPNPVLYLAERFIEQQRLIRGFLERQRRSTANRVVENRFVPFSGGCGGNRIGHGTGDYGGTGVFLPRIPANGSSATSADRMNDHPKRRQGARSRHGEEDPRNSFMNMAQMKRQEDYLSQVSPDMGLPAEWTY >CDP06278 pep chromosome:AUK_PRJEB4211_v1:6:25086324:25088917:1 gene:GSCOC_T00023025001 transcript:CDP06278 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFTTIITLDITSITPLKIISLILLLIIVKGLDVDSLFISHIQVNQAHKQRRRTYHAHGRINPYMSSPCHIELILSEKEESVKKEKIFCSLNLPSTCTRYRDPTSCFFPQNLIDNIKTPLFILNVACDSWQVMQPLLASPTGVWKLPTGVVNEGEDISEAAIREVKEETRIATRFLEVLAFSHKAFFEKSDLFFVCKMQPLSFDVQKQDSEIEAAKVNSIVAYESHVGFFFIDCTTNPKQLSVKIIF >CDP09528 pep chromosome:AUK_PRJEB4211_v1:6:19780819:19785643:1 gene:GSCOC_T00028933001 transcript:CDP09528 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSRSLLLFRKSITYKLFLAATAAAVLISTLVSVEPPEKLPTKDDNEHRQNQQLHPELFQIFGAAGPESLAFKKDGTGAAAATGPYAGVSDGRIIQWRGNESRWVNFAITTPDRTGCDGSHDHVEAESRCGRPLGLSFNEKSGDLYIADAYMGLLLVGSSGGLATCLAKEAQGIPFKFTNGVVVDQTSGIVYFTDSSTKFQRREYISVILRGDSSGRLMKFDPVTYKVTVLLDGLMFPNGVALSKNGDFLLVAETTNCRILKYWLEASRAGKVEPFAELPGFPDNIKRNQKGEFWVAINSRREGVFNWILSNPWLRNFLAILPFDFTRAHSLLADYRGHGLAVKLSENGDIIQILEDKSGETWKCSSEIAEENGYLWIGSVKLPYAVKLRASQ >CDP06496 pep chromosome:AUK_PRJEB4211_v1:6:1189821:1194077:1 gene:GSCOC_T00023366001 transcript:CDP06496 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSCFGAFGSTCKETSKTQRPRELATSNVRLFSYNSLRSATGHFHPSNRIGGGGFGVVYRGVLRDGTQVAIKCLSADSKQGTTEFLTEINMISNIRHPNLVQLIGCGIEGSYRILVYEYMENNSLASSLLSSKVKRLEMDWSKRANICRGTASGLAFLHEEADPSIVHRDIKASNVLLDENLHPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYALLGQLSKKADVYSFGVLVLELVSGRSSSKAAFGEDLLVLVEWTWKLREEGRILEIVDPELGEYPEEEVIRFIQVALFCTQAAAHQRPGMKQVLKMLSEEVNLNEQLLDAPGVYRPHTSRQSGGGGLQITSSRSDKGKMSAYFAMSAGLDTSQSVTQMLPR >CDP15309 pep chromosome:AUK_PRJEB4211_v1:6:3731951:3740775:-1 gene:GSCOC_T00042992001 transcript:CDP15309 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLQRQNHRPSKSGERVDFKFSNFQALQVPKGWDRLFLSMTSVESGKTVARLGKALVRNGNCQWTETLLESVWITKDDSSKEPEECLFKLVVSMGSSRAGILGEATINVSCLMSSKASSAVILPLRKCNFETLLQPLASSSICLQPSFSLHLVARVKIQCLTPRPNIRHGSPNSNFKRQNEDVDFQALSSTSETADNSLVRSSKVSSSQDLDVSSHHENHKQDQNYSGVNSNHNANYAEGSKRRDPFNPMHKLQSNGYTTHQRHIGASSKSSSAHDNYPVDDSSFSSQSSCNSGVMNSKMDLHSSGKESGNASPRNTGSKDLLEAAEGTIEELRVEAKMWERNARKLMLDLDMLRKEFLGQSKKQSDLVMELSAAYAEHSGLKKEIEQLNVMLEESTLKQRALEDSVLQSEGQTQIQEELESELKYHQQSNANLSLQLKRSQESNIELVSILQELEHTIEQQKIEIENLSALHLKSADRKMLEIDVQRLEKALEEKVNELETQRSLNGQSLLDMEREQCAAFESSGLFCELLKQLELAFHCLMKPLPNVSPHASEKCKFILDDVANLSKKDSSNSKVFTESILNYFSELNNILEDRIAEFEQTIRCGEIEIQKRNDAITEAHKSVEDMILKVQQHEISKAELEADCQSLLKELSQKRSEMDKLQADLLSKEGQTNFHIQRQRELEIQVADLQTEKVQLEMNNETIEREHEVTSKCLDDLQNDFAVLSSRLDSHVSAKEILERKLAELELEKRNLEKKIILLEDEKLQLQERISVMDVQMTQLGDEQQSCRLELKDSKSLAMNLQNQIRRLEIEMEAHNVSFNQNIQDKQDQLLESQRHCECLRAENQDLQASILRLGEERKILQKLNKELKKKELELHEHSEQMATRLENSEKCFSDCTRKVEALEENLNSTLEAFTLKEKSLNSELEAILQECRNEKEKLVLQETLSNQMHFEMSSEVKNLQKEVESLMTQISVAHEEKEKAESEASLEIASLNADKRKLEFALQEVNSKLELSEPSRRTTEEKLKTALNNIELKLTLSDYENQQLREESANLKVHFQRVADLQHEVSVLKSKVEECRFEKEKLEASYQTVSGDYESLKAEKNSCVEKVLSLQKAMTDYEDCKQKAIALEEKLLQMEGALLSKETLSAQNADLEKELNEVRKVSKQYQQIISQLEEQKSECLLKVQALEMDVLKRHNCDRKCDGKCSMKDGSPRPGEVADELAEALDEDNMHKIQLHSFSSEELSSETMACSKISVVESQAEARERFERTKSSLETELRDLRERYLEMSLKYAEVEAQREDLVMKLKAAKSGKRWFS >CDP02882 pep chromosome:AUK_PRJEB4211_v1:6:12110440:12114643:-1 gene:GSCOC_T00041287001 transcript:CDP02882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G02680) UniProtKB/Swiss-Prot;Acc:Q6NQH4] MNNPSAGPSSKTKVGSSQSSETSFKRKRGVFQKDLQHMMYGFGDDPNPFPETVALVEDIVVEYVTDMVHKAQDIASKRGKLLTEDFLFLIRKDLPKLNRCTELLSMNEELKQARKAFEVDEEKLASTE >CDP03101 pep chromosome:AUK_PRJEB4211_v1:6:9725830:9729529:-1 gene:GSCOC_T00041585001 transcript:CDP03101 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKWVLHWQPNPGTTVNTQILTEVSQCVEGINGVKEGRWKATLSFYKPMVREQANAMEFPRDFLGISLQEQPNKYYLVIRGQRLIVEADSTIQTIMEKLQSYKTRVALNFEGFQYQLGDFQLRVGKVVPIHSESLRGIVMEMEYLPISSWEKSHQIMGEFFDIWQEALSKRSLPGHFVHIEPNFAEYGLSDEYSSQHTAAQYASIMAQMIATAQSAQAARN >CDP03272 pep chromosome:AUK_PRJEB4211_v1:6:8316610:8318031:1 gene:GSCOC_T00041800001 transcript:CDP03272 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLPYVPRTLVGTLIPKYLVIISRTISAQAAVSNANFNPIPRPPNQPTEDYAKTIATQISKCTNLKQLNQIHAHITRTHFLALYPVSFHYNNLMRSYANLNSPVKAHHLFVEMSRAGITVDTFTLPIVLKSVSQYFDSSMLRQVHGMAIKLGLEKNMYCESGLISLYCKAGEFRNAHKLFDENTDRKLGSWNALIAGLSQSGRGKEAIRMFMQLKECGFQPDDVTMVSVTSACGGLGDVNLALQLHKCVFQAKSLEKLDLLMMNSLIDMYGKCGRMDLANKVFMKMEERNVSSWTSMIVGYAMHGHVRDALECFHDMRNAGVKPNHVTFVGVLSACVHGGRVQEGKHYFKMMKNEYGIAPMLQHYGCMVDLLGRGGLLDEARVMVEEMQMKPNVVIWGCLMGASEKYGAVEMGEWVAKHLLELEPWNDGVYVVLSNIYASNDLWEEVERIRGIMKERKLAKIPAYSLSTSTV >CDP10167 pep chromosome:AUK_PRJEB4211_v1:6:13642883:13645379:-1 gene:GSCOC_T00030792001 transcript:CDP10167 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCGGAEEENVSGPPANQYTAPPRAGNPYGGGAGGGSERGEPKTGAVRSGAPQKALPIETPVLSLSVLNRLTDNFGTKALIGEGSYGRVFCAKLNNGQPAAIKKLDTSSSPEPDSEFAAQLSTVSRLKNEHFVGLIGYCLEGNNRILAYEYATMGSLHDVLHGRKGVQGAEPGPVLNWNQRVKIAYGAAKGLEYLHEKVQPSIVHRDVRSSNVLLFDDFMAKIADFNLGNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAIAKMAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAAPESQA >CDP09566 pep chromosome:AUK_PRJEB4211_v1:6:20861326:20862012:-1 gene:GSCOC_T00028990001 transcript:CDP09566 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALYSKLDTIITTLKNWQIESPVGPVIDKIEKYFSKIKQELDALERTKDEESKKFQSHKINFDFGILVRIKELMVDVSSSCMEQALKERRDAKAMENAQKGPKTECPKKRSGKMLWKAFQFAYRVYTFAGGHDDRADQLTRELASEIQTDPNH >CDP03319 pep chromosome:AUK_PRJEB4211_v1:6:7950211:7950967:1 gene:GSCOC_T00041861001 transcript:CDP03319 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAQIKETDMPRKMQIQAMTSASEALDIYDVTDCTSIAAHIKKEFDKMYGGGWQCVVGSNFGCFFTHSKGTFAYFALETLNFLIFKGASS >CDP17390 pep chromosome:AUK_PRJEB4211_v1:6:30722683:30724043:-1 gene:GSCOC_T00008019001 transcript:CDP17390 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGNFLNKLFKNIFYLHLVSITILVIVLAIRSILSASHTHHFDTQDWYLPVLVSTAFAAIAGFAWQALTAFNPLRTMKVAFWLSPLLIGLVGFLLVSIGTTGSLVAAAIAVVSAVTQSLYWCWVQPRLEHAGQILLLSIAIPPQIATGVAFLSIITCTLYSSLLFFGIGGATATNTSWDILFIFAILLSLTWTAHIIKNTQQVAISHIKYMQLTYGLEIGTIMAFKNTFKHSIGTICIGSILVPVICVIRGSSRAISMVSKDADEFMFSCTSCYSAIASRLVAYGNRWGFVHIGLHNKGIVQSSKNIWEMFQRAGIEQLINSDLTSSFCFLSGTAGGAACALLGGSWALMSRRNYATEVSIYTFLSGYFMIRVAMSWIQAGVSAYYVAYAENPQNQKFDCTIPKFIEELQRSRV >CDP15358 pep chromosome:AUK_PRJEB4211_v1:6:4095932:4097485:-1 gene:GSCOC_T00043056001 transcript:CDP15358 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWAAEVKGGEPFKVEPGEGMVLHLSQASIGEVKKEKGNETICLFVNVDGQRLVLGTLFSEKLPQQQFDLVFDRNFELSHNWKSGSVYFYGYRANNPFDEYPLHLLFGLS >CDP03263 pep chromosome:AUK_PRJEB4211_v1:6:8462549:8467644:-1 gene:GSCOC_T00041783001 transcript:CDP03263 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRNEKNRGYTPLLDEHLDPVNQIHGDYKEGYYIGVEVPEDDPQAEKPFHGPNLWPTADILPGWRETMERYHREALEVARAVARIIALALDLDGNFFDQSEMLGNPIAILRPLHYEGKISEPESGIYGAGAHSDFGLLTFLATDDVIGLQICKDKHFEPQIWEYVSPVKGAFIVNLGDLLERWSNCSFRSTLHRVLISGQERYSIAFFVLPSFNCVVKCLPTCQSEDNPPKFPPIICGAYLVQRYEHTHADLSS >CDP03216 pep chromosome:AUK_PRJEB4211_v1:6:8853019:8855508:1 gene:GSCOC_T00041724001 transcript:CDP03216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MLQIFYESQDFFLLKELEKLGPKKGIISQTVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVHRKLEADLQNCKKRLAELEDQCHALKKGREESVDREKALDELKAIEEKHNELKDEMGQYADNDPATFEAMKNAIEVAHAAANRWTDNIFTLRQWCSNNFPQAKEQLDHLFNEVGVTDDFDYLELPAVVPLGPGEQTVETYN >CDP17386 pep chromosome:AUK_PRJEB4211_v1:6:30519929:30557905:-1 gene:GSCOC_T00008011001 transcript:CDP17386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03710) UniProtKB/Swiss-Prot;Acc:Q8GZQ3] MYNCLCLRYNGILIETGHIGRQASGAVTLTDGETILYTTVSLDDIPSEPSDFFPLSVNYQERFSAAGRTSGGFFKREGRTKDHEVLICRLIDRPLRPTMVKGFYHETQILSWVLSYDGLHPPDSLAVTAAGIAVALSEVPNSEPIAGVRVGLIGDRFIVNPTTKEMEKSKLDLLLAGTETAILMIEGYCDFLTEERLLNAVQIGQDAVRAICREVAALVKLCGKPKMLDAIKLPPPELYKHVEDIAGQELVEVLQIKNKIPRRKALSYLENKVLSILTERGYISKIDAIVSTELAPDLIEDEEEDEEVVVDGEVDEGDVHIKPVSRKSIPLLFTEVDVKLVFKEVTSKFLRRRIVEGGKRSDGRTPCEVRPINSSCGLLPRVHGSALFTRGETQSLAVATLGDKQMAQKIDNLVDVEEFKRFYLQYSFPPSCVGEVGRVGAPSRREIGHGVLAERALEPILPSEDDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKSSIAGIAMGMVLDTKEFGGDGTPLILSDITGSEDASGDMDFKVAGNNDGITAFQLDIKVGGITLPIMERALLQARDGRKHILAEMSKCCPPPSKSLSKYAPIIHVMKVKPEKINLIIGSGGKKVKSIIEETGVEAIDAQDDGTVKITAKDLSSLEKSKAIISNLTMVPTVGDIYRNREIKSIAPYGAFVEVAPGREGLCHISELSASWLGKAEDAVKVGDRVDVKLIEVNEKGQLRLSRRALLPDPDKGNPLKETTSSQKSVDKGKPKKAATVPVENKLVSEDKFVKKIVVSDKDGAHIDKGRPKKSSSKQVVNLASKTDGAVINGEANGGTEEINCAHPSVSMQEQYKYHVFIRGHPWSTLMINSLTGSRGWI >CDP03010 pep chromosome:AUK_PRJEB4211_v1:6:10628567:10629828:-1 gene:GSCOC_T00041471001 transcript:CDP03010 gene_biotype:protein_coding transcript_biotype:protein_coding MWYAVKSVSLSHLSGGSSEHDNRLHDVVEWCDTTLPHAMGFCCAGGILYGVGGEIFGEDSIDQNSLVRELRFTHLPLGGKSYLHSGIRSSMKWGKILPIVVEIGGLIYALSRPPILDYGRRETVFEVYDPAKNEWTGLDGPPFLSPFTFGAFPYSYVVIDNKLCVSNRAGSCAFDTENWTWEACDFFAGFYDSDIMSSEERYFFMESHEDYKYFLGGDIGPPFPFVEDAILYEGFLLCNVPYFNPPVVALEIVRGKVARRLTLLNGIRLRANSHFVDLGGGDFCLVSQAVERDECPEELTVVKFKVWKGEDGGLGCADVMESTLKFSAPGLCETIYVFAM >CDP12670 pep chromosome:AUK_PRJEB4211_v1:6:17045033:17059686:1 gene:GSCOC_T00036391001 transcript:CDP12670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MWFSFWKSRDRFSLDELRFLTEQLMKVQIVNDVNKDFVIEALRSIAELITYGDQHDPAFFEFFMEKQVMGVFVRILKVSRTLIVALQMLQTMSIMIQNLRNEHSIYYMFSNEHINYLITYSFDFQNEELLSYYISFLRAISGKLNKNTISLLVKTQDDEVISFPLYVEAIKFAFHEEGMIRTAVRALTLNVYHVGDEAINRYLASAPHADYFLNLVKFFRDHCINLSGLVLNGTKNLGSESSTHILSAVDEIEDNLYYFSDVISAGIPDVGKLITDNILKLLIFPSILPSLRMGTETGVACSLYLICCILRIVKIKDLANTVAAALLCRVETFKSRSEAKLNGYSSGQGFPVECQKANEDEGLQVRIPNLSDSLQSLSEDKLHHDNCGSYALRESLLSFITNGDDIQVSGSLSVLATLLQTKELDESMLDALGILPQRKQHKRLLLKALVGEGSGEEQLFSSANNVTKDVISGELDSYAQRIKEHYGVSCVWPEVGTSSQLHRSQVLDALVSLFCRSSISAETLWDGGWLLRQLLPYSETEFNSHHLKSLRVSFQNCCGLILEEARGTWPDFLITVLCDEWRKCKRAIEASSPRKDLKCMLLPPYDSSSEAEGFSSESSFAAGGKMCEVVKVFVLLHQLHIFSLGYVLPDQPPVHPPIDASANSRAKKAGVDSLGPKPSAELNLVDAVPCRIAFERGKERHFCFLAVSLGTSGWLVLAEELPFKPSHGIVRVVAPLAGCNPRVDDKHSRWLHLRIRPSAFPFTDSAKYASGGKVKTKALVDGRWTLAFRDEGTCKAALLMILDEMHLQSNEVQRRLQPLLDLETALDSSSSSLLLEATISRTLPPNSL >CDP03045 pep chromosome:AUK_PRJEB4211_v1:6:10220644:10232427:-1 gene:GSCOC_T00041520001 transcript:CDP03045 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIEMEDPQFPHDDVCAEILSQFGDAANEHHLHVCTAIGAMTLELKEQNLPLKPLSYFGATCSSLHRLSSASETETPGHLVDALITILSVVTGKSNNAVLRKKFGYLSDLLIRILRVKSVGPNGIVPGLKCVSHLLAAAKEKFSWSDMAQLYGVLVDCITDDRTKVRKQAHACLREVLILYRMSPLHACMLTPASEAILKVFERSLLLAGGSNSNVSEVPRGAQEVLYVLDALKVCLPCMSGKSSTNILKNFKSLLDLKQPLLTRRITDCLNAICLNPPGEVSPEALLDLLCSLATSVSSNETSAESMTFTARLLDVGIRKVYSLNRHICVVKLPIVFNALSDILASEHEEAVLAAMHAYKSLIDACVDEKLVKQGVDQVMSNARQSVPTIIEKVCAIVGRLVDYNFSAVWDVSLQVISAMFCKFGQYASYFLSGTLRSLADMQKLPDEDFPFRKQLHECVGSALRAMGPETFLSILPLKLDSEVLTEANLWLFPILKQYIVGARLSFFTESILPMIGAMSRKSALLEREGKVYSARSIDGIVYSLWSLLPSFCNYPQDTAESFRDLEKALCSALREEPDVWGIICSSLQILVQQNRGHLEGKEDMSIFDLSVPEQRAIALYNPQVAANNLSVLRSSARELLSVMSGVFLKAPKDISGSIQSTIGELASVSEKEVVARFFRTTMQKLLKVTQEASKAENFRNSKSMQVDDISGESSVSTARAQLFELAVSLLPGLDAKEIDLLFIAIKPGLKDVEGLIQKKCYKVLSIILRNSDEFISRKLEELLNLMIEVLPYCHFSAKRHRLDSLYFLIVHMSKDLSGQNRRDIISSFLTEIVLALKEVNKRTRNRAYDILVQIGHIYGDEDKGGRKEDLHQFFNMVAGGLAGETPQMISAAVKGLARLAYEFSDLVSVTYTVLPSMFLLLQRKNKEIIKASLGLLKVLVAKSQVEWLQTHLKGMVEGLLNWQDSRKNHFKAKVKMLFEMLIKKCGLEAVKEVMPEEHVKLLTNIRKIKERKERKLASNSEESRSHQSKATTSRRSKWNHTRIFSDFDDEGSENSDTNFMDVRTLNGRKMDTSSVSKSRASSHRMRKATKGLQEDLLDQVDDEPLDLLDRQKTRSALRSSKHLKRKPELDDELEIDAEGRLIIHEGDKKQKTKRNVSSDPDTDGKSHADSHLSINSRNTQKRRKTSESGWAYTGNEYSSKKAGGDLKRKDKLEPYAYWPLDRKMLSRRPEHKAAARKGMASVVKLTKKLEGRSVSSALSMKAVKLKKHKKKDGQKKTR >CDP03098 pep chromosome:AUK_PRJEB4211_v1:6:9756562:9771880:-1 gene:GSCOC_T00041581001 transcript:CDP03098 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNEESGSPGWSASFFMQTTEDVARAVAAAAAAAVAARSPRPSVVYSSKDDSSSQLQKLQNQVSRLLKGLSSPPEVKSGPYNPEVLTSQKRQWASFQLQSLDHKIWKEPSRLFESMVVVGLHPNCDIQALQKLYFGRKSEGSGRFRTALNGQNQSRIEPNLEPQVLFVYPPEKQLPLKYKDLLSFCFPAGVEVHAIERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVEEIVQKPSGLISMISDGQPCHLGLSRHILTTKRCYCILSRLPFYELHFGVLNSIFTEERLERLTRNIGDLELELPVGCDKEDNSEEESGSISLEDRTQCIHNGTAESSESSLSDSLPGRVTDDSSHLEHQISEGDIFTSKRSGDGSAVAALDPDMAKCHAKAETLVGSQISEVCDVSVDDFVINKQSVEKRLPNAVLPLLRYQQYESSESSSSFQGSPGEGRHLRNDVDDVEVEEPSFSGQDVCNEHDDILEWAKANDHGSLQIICEYYRLRCPSRGSTIKFHPLDHLHPLEYHRIDETVLQIAGSTIDLKSCTTSLELAEAYCALMAEEEANALSVWAVACLCGSLRLEHVLTLFAGALLEKQVAVVCSNLGVLSASVLSIIPLIRPYQWQSLLMPVLPNDMLDFLDAPVPYIVGVKNKTTEVQSKLTNVILVDMNKNQVKSPTMPTLPQYRELYSSLSPYHAKLVGESYLGRKRPIHECTDAQVDAAKSFLSVLRAYLDSLCSNLRSHTITNVQSNDDKVSLLLKESFIESFPSRDRSFMKLFVDTQLFSVHTDFVLSFFQKE >CDP10532 pep chromosome:AUK_PRJEB4211_v1:6:5773328:5783228:-1 gene:GSCOC_T00031288001 transcript:CDP10532 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVVPHFCQKKNMQTPVQKRLPSGLSKDLWLVAREGSLSDVDLALAWLKKSGGNVNARNSFGLTPLHIATWRNHVPIVRRLLAAGADPNARDSESGWSSLHRAMHFGHLTVASVLLQSGASITLEDSKSRTPIDLVSGPVLQVVGDGDDLVATEVFSWGSGVNYQLGTGNAHIQKLPCKVDTLYGSYVKLVSAAKFHSVAVTDRGEVYSWGFGRGGRLGHPEFDIHSGQAAVITPRQVSSGLGARRVKTISAAKHHTVAATQAGEVFTWGSNREGQLGYTSVDTQPTPRRVSSLKARIVAVAAANKHTAVISDSGEVFTWGCNKEGQLGYGTSNSASNYTPRLVEYLKGKVFSAVAAAKYHTIVLGSDGEVFTWGYRLVTPRRVVIARNTRKLGNTLLKFHRKERLHVGAIAAGVTHSMALTDDGALFYWVSSDPSLRCQQLYSLCGRSIVSISAGKYWTAAATDTGDVYMWDGKNRKDEPPIATRLHGVKKATSISVGETHLLIISSLYHPTYSPKIVKGSQKVKQKVKIETDEFDEGFIFNDLESDDVSSIDPKEGIMKTVPSLKTLCEKVAIEYLLEPRSAIQLLEIADSLGADDLRKHSEEIAIRNLDYILAVSTHTFTNTSLDILLSLEKLLDLKSTESWCYRRLPTPTATFPAIIYSEEEDSDNEFLRKRDSCIQRPSSIAEKAVRLDGFLQCNDDAKEAVSKQVRALKKKLQQIEMLEEKLLKGHSLDDQQMKKLQTRTALQSLLDELGAPMETLQAKASSSVSVDGKGCKKTVSKKQRRKSKNRAGKVEEASGNCEKIDHLDSIKTCVEVESSHSKHKDESGDLEGFADKQFPEDSTSITKNLAEVERNNRSLHSVSKKKNRKGGLSMFLSGGLDDAPKCTAPPPSVLKCEGPAWGGAKISKGSSSLREIQDEQSKIKAIKPTKSNDLVEDITDGSSGTKIRLGSFLPSNPIPVVATQATQASDGERNTPPWAASGTPPSLSRPSLRDIQLQQGKHQQSLSSPKTRTTGFSVAGGQGSPSDSGGLNRWFKQEVETPSSIRSIQIEEKAMKDLKRFYSSVKIMRNPS >CDP15383 pep chromosome:AUK_PRJEB4211_v1:6:4356773:4361267:-1 gene:GSCOC_T00043096001 transcript:CDP15383 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLHVKHSRLTYSGLSKFHSVPQIYMYFAVCERVKERASQTKDTLQFTSSGQLLEDMGNTGVGSAVSFSDDEGTSVLSSSKARTRGCKDASDVGKTTSRGRGRGRGRGRSSTNLKQTTLDVAMGLRQSQRSASAAAMASVRTITEDEENVVSSSDDGAEHCINDIENSSEEKSVRGKGQKRAAPRGRGRGSTTASKRGRKSDNTSSSFHKMLMNRDDDDDDDDDDMAKKNRSQTRATRNYGALRR >CDP10158 pep chromosome:AUK_PRJEB4211_v1:6:13719014:13721777:-1 gene:GSCOC_T00030780001 transcript:CDP10158 gene_biotype:protein_coding transcript_biotype:protein_coding MELALSLGDTPKPFAFLDKAQKVVNRDLGFCMGLGKGAADRGGDHQSRNSRESDGERRGSSDPPVQLDLLPFSPVPRSQTSSSQLSFSWLTQHLTVAAEPGSSNGFTRAVDVNRRSLGVDKGGEEGTTALSSPNSAASSFQMDFSIYRSGSRSNKRSENEAENDIRGGMASSRASDEDENGMARKKLRLTKEQSAFLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKSSQPFYMQLPATTLTMCPSCERVASTSTAATANTNVTSPPAAAADIANTTLSLAKPRQTQMVPFSPLQVQGPQAAL >CDP14182 pep chromosome:AUK_PRJEB4211_v1:6:18527816:18532275:1 gene:GSCOC_T00040434001 transcript:CDP14182 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPSYPFCKRGAQFVAHHVVLEYCLFHYPWLVKNNNSEFTATMFVIGLLLLATLDYTGAQTGVCYGRLGSGLPSPADVVALCKQNNIKRMRIYDPDHSTLQALAGSNIEVILGVPNTDLQNVAASQDNANNWVKNNVRNYPNVKFRYIAVGNEISPLACTAGCPDFVLPAIRNIFNAISAAGLGNQIKVSTAVETGLVGNSFPPSAGTFQPQAQKFINPIVQFLASKGAPLLVNVYPYFVYIGNPGSIALDYALFTASGITLPDGVKYQNLFDAILDAIHSALERAGGSSVEIVVSETGWPSAGGGQATSIDNARTYNNNLIKHVNGSSGTPRRPGKAIETYIFNLFDEDQKIPEYENHFGIFLPNKKGKGTSVWHMLLQLWSKTIFPVK >CDP19047 pep chromosome:AUK_PRJEB4211_v1:6:34528030:34532656:-1 gene:GSCOC_T00012880001 transcript:CDP19047 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPSIYVPPTMRVTRRLTCAHSSDLAQRSHRLHTPGRFPPGDLTYLVYWGMGKFAIEFQLLTWCFVIGQLVVVSKVLESLRLRRSLCFLLLLRRFLPFFSVQDKIEVTRWSSSYKLKGSHGSGFIFLLRQSKVCPRIGNLLSDAGQWLEAVVKYLPKEKYQILDFWYRLGSDLIDI >CDP06438 pep chromosome:AUK_PRJEB4211_v1:6:777756:780167:1 gene:GSCOC_T00023292001 transcript:CDP06438 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQAPISSPTYSLQRAGATANPLLLSNNSATTGTATSNFLSSSSLSADSAGGRPTSVVLQTNRRKGFRTFASDEKTSTLSLTGVVFQPFEEIKNDEFLVPLSPSVSLARQRFSHECEAAINEQINAEYCVSYAYHAMYAYFDRDNIALKGLAKFFKESSEEEREHAEKLMKYQNIRGGRVTLLPLKEPKSEFDHVEKGDALYAMEVALCLEKLINAKLLEVHSVADRNNDPQMQDFIESEFLGEQVEAIKKISDYVTQLRMVGKGHGVWHFNQKLLHHEGEGGDGVF >CDP02996 pep chromosome:AUK_PRJEB4211_v1:6:10826570:10830632:-1 gene:GSCOC_T00041451001 transcript:CDP02996 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVPFKSSFLANHPLTSTFKPARKTCFTTVSALTISCRHGPDHDLNKDSPSKKIENQLGKLAVVTLAAGVLALGAVDPASAAKSGGRVGGQAFKSAAPRPSSPRINNSRTNIYVNPPVAPPLIGGYGYGFGVPFYGGWGWTPFSFFAPGPSVAIGVGGGFDLFLLFLILGAVSAVIRRVFSSRDEDMDEY >CDP10208 pep chromosome:AUK_PRJEB4211_v1:6:13121339:13122418:-1 gene:GSCOC_T00030860001 transcript:CDP10208 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFEARSEPLGKYQCYYFFLFFFRQLVLLLLKTAIIMPNRRNHSQFLDCLKLEFFGSLSKTSDLFRT >CDP06587 pep chromosome:AUK_PRJEB4211_v1:6:1888799:1891516:-1 gene:GSCOC_T00023492001 transcript:CDP06587 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGPNWEGLLKWSLSHADGTRPPRNLSEEDRRWFMEAMQSQTVDVIRRMKAITLVMQTPDQVLESQGVTPQDLEDMLDELQEHVESIDMANDLHSIGGLNPLLKYLRNSHANIRAKAAEVVSTIVQNNPRSQQLVMEANGLEPLLHNFTSDPDVTVRTKALGAISSLIRHNKPGIAAFRLANGYSALRDALSFESVRFQRKALNLIHYLLHENRSDCNIVTELGFPRILMHLASSEDGEVREGALRGLLELARDRREQGGSCSSEEDRKLKELLEQRIEGISLMSAEDLGAAREERQLVDSLWNASYNEASALQEKGLLVLPGEDAPPPDVASKHFEPPLRAWAANRNADVQANSEKKDAPLLLGPPAGSASRHNNSNTEGGDERNPPT >CDP10465 pep chromosome:AUK_PRJEB4211_v1:6:6294014:6302227:1 gene:GSCOC_T00031197001 transcript:CDP10465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT5G20280) UniProtKB/Swiss-Prot;Acc:Q94BT0] MAGNDWINSYLEAILDVGPGIDDAKSSLLLRERGRFSPTRYFVEEVITGFDETDLHRSWARAQATRSPQERNTRLENLCWRIWNLARQKKQLEGEQAQRMAKRRLERERGRREAVADMSEDLSEGEKGDTVGDFLAHGESNRGRLPRISSVETMEAWASQQKEKKWYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSSLEVDWSYGEPTEMLPPRNSEGLNEMGESSGAYIIRIPFGPRDKYIPKELLWPYLSEFVDGALSHIIQMSKVLGEQVGGGHPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLRQGRLSRDEINSTYKIMRRIEAEEISLDASETVITSTRQEIEEQWRLYDGFDPILGRKLRARIRRNVSCYGRFMPRMAVIPPGMEFHHIVPHDGDMDGEMEGNEDGKSPDPHIWGEIMRYFTNPRKPMILALARPDPKKNLTTLVKAFGECRPLQELANLTLIMGNRDDVDEMSSTSASVLLSILKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATRNGGPVDIHRVLDNGLLVDPHNQQSIADALLKLVADKQLWSKCRANGLKNIHLFSWPEHCKTYLTKIASCKPRQPRWLRNDDDDENSESDSPNDSLRDIQDISLNLKFSLDGDKNVGKENGDGSLDLDDRKSKLETAVLSWSRGVQKTTQKSGSTDKGDQNSGAGKFPALRRRKYMFVIAVDCGALSESVKRIFDALEKEKAEGSIGFILATSFNLSELHSFLVSERLNPIDFDAFICNSGGDLYYSSLHSDENPFIVDLYYHSHIEYRWGGEGLRKTLVRWAASITDKKGDDKEHIVVEDEKNSADYCYSFKVCKPGVVPPVRELRKVMRIQALRCHVIYCQNGSKINVIPVLAARCQALRYLYLRWGMDLSKVVVFVGESGDTDYEGLLGGVHKSVILKGVCSGESSQLHANRSYPLTDVVAFDNPNLIQTSEDCSSAELRESLEKLGVLKS >CDP02845 pep chromosome:AUK_PRJEB4211_v1:6:12502747:12508585:-1 gene:GSCOC_T00041237001 transcript:CDP02845 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSSMLTQYDIEEVQQHCNHTFSQQEIVALYQRFCQLDRNGIGFISSDEFLSVPEFAVNPLSQRLLRMLEGLNFKEFVAFLSAFSSRASLQQKVEFIFKVYDTDGNGKVAFHDMLDILRDLTGQFISEQQREQVLTRVLEEAGYNKDSFLVQSDFMKILGNSGLRMEVEVPVD >CDP06274 pep chromosome:AUK_PRJEB4211_v1:6:24890741:24891394:-1 gene:GSCOC_T00023014001 transcript:CDP06274 gene_biotype:protein_coding transcript_biotype:protein_coding MEISIVDHELPDDTHNFYDVYFYSDRINTLVTNDPSQVSHWLADTQRIHRRRLSKLIVGVDVEWRPNFNKYQENPVATLQLCVGRRCLVFQILHCTKEYDDIPDDLRDFLGNTDYTFVGIGVESDVEKLLEDYGLGVGGSVVDLRYLAAEECGMKQLRNAGLKELARVVLGREVGKPRRVTMGRWDYRWLTPDQVQYACVDAFVSFEIGRRLNASGN >CDP17637 pep chromosome:AUK_PRJEB4211_v1:6:31380000:31386169:-1 gene:GSCOC_T00001543001 transcript:CDP17637 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVASDSQKNGYGGTGGGGGGGGLLEGLIRRKLVDSAESKPSSSASGEPSYHHHHHHQLAKALTVPHLIAIGVGSTIGAGVYILVGTVAREHSGPALTFSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALLFGGADSLPVFLARQTIPGLNIVVDPCATILVFVVTGLLCVGIRESTLVQGIVTCANICAMIFVIVVGGYLGFKTGWPGYELPLGYFPFGVDGMLAGASTVFFSYIGFDSVASTAEEVKNPQRDLPMGIGFALSICCTLYMLVSAVIVGLVPYYSMDPDTPISSAFAAHGINWAAYIITVGACTALCSTLMGSMLPQPRILMAMARDGLLPSFFSDVSKRTQVPVKSTVVTGLLSGTLAFFMDVDQLAGMVSVGTLLAFTMVAISVLILRYVPPDVVPLPPSFQDAIDSVAVQYGCSSNNGIIEVENPKVHISSCESTSLLVCKQGAAEHPLLEKSDAKCCFVVNEENRRKIAGWTIMFTCVGLLVLTYAASNLGLPSYLRYTICGIGGVLLLSGLVVLTFIDQDEARHNFGHSGGFICPFVPLLPIACILINMYLLINLGSATWIRVSVWLFIGMVVYLFYGRTHSTLQDAVYVPVAHVDQIYQSSAGLLA >CDP14336 pep chromosome:AUK_PRJEB4211_v1:6:35791973:35793480:-1 gene:GSCOC_T00040665001 transcript:CDP14336 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLQIPASSTRATVSTLLSSLSSSSSPSLTFALTSFPLSRLCNNPLAAYPKPYVACSIQPPFLQKERRAPLAKASAEGANSDGPELPSESEEEDVPIQNLPLESKLQLKLEQKMRMKLAKKIRLRRKKLVRKRRLRKKGRWPPSKLKKNKNV >CDP10509 pep chromosome:AUK_PRJEB4211_v1:6:5987100:5992202:-1 gene:GSCOC_T00031256001 transcript:CDP10509 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSRLGGSRPPPSRLPQHHHRRRRRPSFKRVLSSLFVCGALPSSRHPHEVSPQMEDDPAEKLVNSAEDDGLEKIQIPPKSSSFHSGTELPIPTTETGAGSQRGTLPGNSSSREDSPGNVEAIDKGKRLYENKELAFNSQSLLTCRSYDASTSYEDEPSTETESANARANADALNRGNNVMNENAPHSCAGFMLSNCPSSVGLGESSSDEVSVENCANELMLFHDCDSGSASVLSESPVGDISQQITPSSLGFLLTERDQGHTDRNVLQVDMVSVSSNMSPSSSAGLSNHEARHNSRRLFWDAFSRRSSRRNAESRSHLFTADDSDGLESHDRWLLDFNGDFFEDGIGGDSRPHPSSDQNTNERRWHSSSEIWERFHDVGRSGTDRRAATCPAGIHPDGACSCGLMLRAEESGARASISRIVMLAEALFEVLDEIHRQPMSLPLSVVSVPAPETVVDSLPVKIHRKPERLESGDDVIQCYICLAEYEEGDRIRILPCHHEYHVACVDKWLKEIHGVCPLCRRDVREGLSLTGGAISTSGVPSF >CDP10563 pep chromosome:AUK_PRJEB4211_v1:6:5551461:5552315:-1 gene:GSCOC_T00031324001 transcript:CDP10563 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNSLGTGLMAVFAVSGSVALLAMQLHKRLLSDFMEKMELEIGSKKNQRLKKVRFADDAVPRRAASSQNAEPKKNDLRGVGCPKRAREEKLETLPLNWQALYKGIIKDREFKGLK >CDP02844 pep chromosome:AUK_PRJEB4211_v1:6:12510896:12514642:1 gene:GSCOC_T00041236001 transcript:CDP02844 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQPSQTKSPADENHSEMYIRVKRSKTTYFLQCLPSEKVLQIKEKLQILIDQPVNDQRLILLPNKEVLDDSKSLADQKVENDAVVALTLRKDDNEFEDVNIARPTDYYRDGDGGSNW >CDP15392 pep chromosome:AUK_PRJEB4211_v1:6:4451711:4453138:-1 gene:GSCOC_T00043108001 transcript:CDP15392 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVWCKCVICQAYVSSSWSVKFKNLCDWYTYLLQDSPSQTIHVHVLGNIITANPENVEYILKTRFENYPKGKPFSTILGDFLGRGIFNVDGDLWKFQRKMASLELCRISMRSYAFDVVQHEIENRLIPLLSSFAAGKEDRVLDFQDVFRRFSFDCICRVSFGLDPRCLEISLPLSEFAVCFDLASRLSAERAMTAAPVTWKVKRMLNIGSEKELRKAIQMINLLAKEVIRQRRKLGFSEHKDLLSRFMGSVTDETYLRDIIISFLLAGRDTVASTLTSFFWLVANHPEVEAAIQREADRVVGPKEKLTSLEQLRELQYLHAAIFESMRLYPPVQFDSKFCLEDDIFPDGTAVKKGTRVTYHPYAMGRMENIWGSDCLEFKPERWLRDGVFFQENPFKYPVFQAGQRVCLGKDMALVELKSVAISLLRNFHIVLAEAHQSPRFSPGLTATFHGGLPVLVRGKKSSASTESTVAQ >CDP03116 pep chromosome:AUK_PRJEB4211_v1:6:9642166:9647230:1 gene:GSCOC_T00041606001 transcript:CDP03116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Brevis radix-like 4 [Source:Projected from Arabidopsis thaliana (AT5G20540) UniProtKB/Swiss-Prot;Acc:Q8GZ92] MLTCIARSKQPTDDSVDHEPNGNPTSKQAIRNLTSQIKDMALKASGAYRHCNPCTTQPVQQQQQQQQQPRKNGSSSGGESDSVLSASSERFRWSYRRTGSSNSSSTAGRKELEARLKGISSGEGTPVSASGRRVDPVVFVEENEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSRETFNKWQAQRWWAENYEKVMELYNVQRLNRQAFPLPTPPRSEDESSKIESVEDSPVTPPLSREPLPRTLYRPTGMGYSSSDSLEQHSAISRHNYDSCGVASTPKLSSISGAKTETSSVDASMRTSSSRDADRSGDISISNVSDLETEWVEQDEPGVYITIRALADGRRELRRVRFSREKFGEMHARLWWEENRARIHKQYL >CDP10129 pep chromosome:AUK_PRJEB4211_v1:6:13954780:13956131:1 gene:GSCOC_T00030745001 transcript:CDP10129 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDEQKPLVRAKIPLNVLSLPFQSGIVAGESKELSLNLSTFFDAGPAFKIAYRPNDSQNPFSLICKIGIGNFGSPVSSPFTMSAEFNFVGGQNQNPSFFIHFKPKFGDFSIKKSHSSMALVKKVEPKLNAAVGNGEEAPPLVKHGYFEESGLFSGAEKIGVLPAESAAAATGIANSMMSGMAVNATTAFPLRSRAVLNFRWGLRFPSAAASDGVDDAVLVGKNVRTAGISFKNVPMLVMDKISIEHVDKKDSKDSKTGSGLNLAGSDDVAGVCLDVRKQLEIIQAENGLLRKALHDLRSDIAAGKMNISLSDGSGGRGGSGRGVEGRDGNKFDRRGNGGDRKQSELNGKSMEGDVNEELMKKTFVGATVV >CDP09586 pep chromosome:AUK_PRJEB4211_v1:6:21173473:21176070:1 gene:GSCOC_T00029019001 transcript:CDP09586 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGSPRSPKPKNSILDVSYGMREYQSRFSDMQQTGDPSLGRKGSGGDNHWDKFILVQGLRNDSGKFAASKGVNASKKHMRWLPRHIRRIILAFVFMSFLFLLDSLLFSLLESIIKNHSAPHRSTGQEEKITGMLEEKSSTNMYDRLLKLAASSVNEKELKRGESKFWEESYPQASMWKPCADKKSTKVVGAGKSRNSSGYIVVSANGGLNQQRVAVCNAVAVASLLNATLVIPKFLYSNVWNDPRSEVHLTVC >CDP06762 pep chromosome:AUK_PRJEB4211_v1:6:3255482:3257323:-1 gene:GSCOC_T00023720001 transcript:CDP06762 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLHNAIKQFTPASSKSDRQIAKAISVALISSTKDPLRLEPTCNSDLNPQIIHLVLSNPRVPAQNCFCFFNFLKTNPSVAPQKPNIDAHITLALRLFKARKFREAKSVLNAVASDDNLRSSISEIASSAGKNSSEPRIVGKLFDMLFRVYADNKRFKEGLEVFEHMLSSGFEIDERSCLVYLIALKKFDQFESLFAFFNRMVEANVKITVYSVTTVIGGLCKRREVDKARILLDEMVAKGIKPNEFTYNTLIDAYIRIQDFDGVQEILSAMKKDGVGFNVTTYTLLIHGRCISGRVAEAQKLFEELHEKGGLTPDVHVYTVMINGYCKLGNVKQAFVLFDSLVERGLVPNVHTYGALIEGVCGAGQMGAAEILLKEMQSKGIDLNRVIFNTLMDGYCKQGMVGEARRLQAIMEGKGLEADVYVYNIIATGLCKAKQYDEAKKVLFSMMDKGVVPNTVTYTTLIDIYSKEGNLVEAKRIFREMLNRGEKPNEVTYNALIDGYCKKGNVKEAYQMRSEMQVKGLTPDVYTYTSLVHGECMHGKIDSAVELFNEMHGRLLAPNVVTYTALISGLSKEGRSDEAFRLYDEMTEAGLTPDDTVYSSLVGSLHGSKS >CDP03136 pep chromosome:AUK_PRJEB4211_v1:6:9412675:9417411:-1 gene:GSCOC_T00041630001 transcript:CDP03136 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKQKWTSEEEEALRAGVKKHGTGKWKNIQRDPEFSHLLFSRSNIDLKDKWRNLTVSASNGLGPREKSKAQKGKAISDAPATPLPITQVPASSTPLSLEVPADVAADDSSKCLLDGKTASKYNGMIHEALSTLKEPNGSDTSTIVNFIEQRHEVPPNFRRLISARLRRLVAQEKLEKVQNSYRIKKDLLEGSKTPVPKPRDVQPRQAQSIGHLADTVEEATVSAAYTIAEAENKSFVVAEAVKESERVSRMSEDAESMLQLAHEIFDRSSHGEMVLVA >CDP02914 pep chromosome:AUK_PRJEB4211_v1:6:11602217:11603710:1 gene:GSCOC_T00041334001 transcript:CDP02914 gene_biotype:protein_coding transcript_biotype:protein_coding MATINQIFLFIGILVSLVLAAHADCGSATCYTLFNPSACYGYDAPTGLIASASPEIWENRAACGKRYRITCTAGTNLGVPEPCSGL >CDP06287 pep chromosome:AUK_PRJEB4211_v1:6:25276160:25278088:1 gene:GSCOC_T00023042001 transcript:CDP06287 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLLNDVSGEAHRGEILAVLGPSGSGKSTLIDALANRIFKGSLNGMITLSGEQLDSSLLRAISAYIMQDHLLFPMLTVEETLTFAAELRLLQILSKFEKKKRECFWITITWGIFFRIFYFLSLLFGNKNKRK >CDP02989 pep chromosome:AUK_PRJEB4211_v1:6:10874401:10877601:1 gene:GSCOC_T00041440001 transcript:CDP02989 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRGRDHRGRDRDRDRERDRDFRDRDRDRERKREREDRDRDRERSKRERSRSSSRTPDRIRSRHTRSRTRSPAAERQRSRSERDREREKDRQRGSSVEKKKDKKESGGAGGDGKGGIDGGIENDDGEMMDVDEIEMMKKLGIPVGFDSTKGKPVAGNDVGSVRKVTKRQPRQYMNRRGGFNRPLPAEVNR >CDP09559 pep chromosome:AUK_PRJEB4211_v1:6:20677332:20682471:-1 gene:GSCOC_T00028979001 transcript:CDP09559 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKDGTISGNWPANTAAFAVNYPAYPSSIARAVETLGGTEAIAKARSSESNKLELHFHPEDPYSHRAFGELHTCNNFLLRISKDRVSNAQDPELRKRVSSTESQVVEERQEHLSADIVACIGEAYHFNGMVDYQHADVARRKKRNWAEFVEKGGLMDVDQDDLMILVPPLFSLKDRPEKIVLKPCMHSSSKTKQEDMNQHHQERNMEPSLALDIDNHDYLCNLKFYSL >CDP16114 pep chromosome:AUK_PRJEB4211_v1:6:33725876:33729166:1 gene:GSCOC_T00017165001 transcript:CDP16114 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLA2-ALPHA [Source:Projected from Arabidopsis thaliana (AT2G06925) UniProtKB/TrEMBL;Acc:A0A178VVH8] MASTPHESLKSAAAAQLLIFLLFTLQFSAIPTNALNIGLQLDPGVKLKKECSSTCESTFCGVAPFLRYGKYCGLLYSGCPGEAPCDGLDACCMAHDKCIQSKNNDYLSQECNQNLLNCVNGFYKSGARTFKGNTCSVDEVVFLMRKVIGAAILAGRILHHKQDGV >CDP06776 pep chromosome:AUK_PRJEB4211_v1:6:3357010:3357398:-1 gene:GSCOC_T00023739001 transcript:CDP06776 gene_biotype:protein_coding transcript_biotype:protein_coding MSQECEEIKTIEQWRWSEMQGLELFLPRYCYCYCYCYCYSYYYYYYNHLAGKEEEDGWL >CDP15406 pep chromosome:AUK_PRJEB4211_v1:6:4563352:4565069:1 gene:GSCOC_T00043130001 transcript:CDP15406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper (bZIP) transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G36270) TAIR;Acc:AT2G36270] MVVTDSEMTSHGSKVESPPLQSEQQQPKNHAFASLGSQSSIYSLTLDEFQNTVCESGKNFGSMNMDEFLNSIWTAEENQAQVTSAAMVVAAANTNPNPKQLSQLGEANDAPIDQKGIITKQLSLPRQGSLTLPGPLSRKTVEEVWTEIHQSQQEHEPPSNYTNPQNTGSSQQRQITFGEMTLEDFLVRAGVVREQTQPPPSPLPQQPQQPYGGTFYQNNDTNVMGTGFVTRPVIGGSANVVGYQPMPQTGDASAAYPGSMKRGGGYAAQPTAACFGGRMGNGGSGGGYGQVQGLGMGSPVSPVSSEGLCANQMDGANPYGMDVGGMRGSGAGGGRKRIIDGPIEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENGHLKQALAELERKRRQQQVEESKMKAQTRTQRNDDKLMRTMRRTTSSPF >CDP06638 pep chromosome:AUK_PRJEB4211_v1:6:2273772:2278057:-1 gene:GSCOC_T00023555001 transcript:CDP06638 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEETPLVVDHVNSSTQKNHTIVNYKRDVHILSWAFLLIFLAYGAAQNLESTINTEGDLGTISLGILYLSFTFFSVIASVVVGNLGSKNTLILGTTGYWLFIAANLKPTWYTMVPASLYLGFTASIIWVAQGTYLTSTARGHALDNDLPEGTVIGKFNGEFWGMFASHQFVGNLITLALLRGGKGGSTTSTTSLFIVFLCIMTIGTILMCFLSNRSATEKEEEQDSSVSFCYSVVSLFKAVLALLLDIRILLVIPLIAYSGFQQSFVWAAFTKHVVQPTLGERGVGGVMAVYGVFDAVCSLAAGQLTSGLSTITVIVLSGAFIQTIAFLLLFVHYSLLHGVVGFLYPFLIAALLGVGDGVLNTQLSAFLGIIFKHNLEGAFAQLKFWQSLSIAILFFMSPHITLHVIVVIILVILCFSVAAFLFLILKVERAFSFCTAA >CDP19199 pep chromosome:AUK_PRJEB4211_v1:6:15500309:15521334:1 gene:GSCOC_T00012648001 transcript:CDP19199 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGARNEQEVREIVKPRTDKRDYRRIVLHNALEVLLISDPETDKCAASMNVGVGSFSDPEGLEGLAHFLDIVDSESLNIDIRGVKVVHFGILFFKVLVFLFLFFLGVGEGMLDFVNYRAALVVLHNFLKLESSCRFYYADNLIFLGLTIVLLQNVIYAEIFSSFSVPNSRFSKHMLFYASEKYPLEDSYSKYITEHGGSTNAFTSSEQTNYHFEVNADSFEEALDRFAQFFIKPLMSADATTREIKAVDSEHQKNLLSDVWRMSQLQKHLSSKDHPYNKFSTGSWETLEVRPKARGVDTRQELLKFYKENYSANLMHLVVYAKDGLDKAQSMVENKFQEIRNINISSPCFAGQPCTSEHLQILVKAVPIKQGHKLRIIWPITPGIRHYKDGPSRYLGHLIGHEGEGSLFYILKKLGWATSLSAGEPDWTYEFSFFKVVIDLTDAGHEHSEDIVALLFRYIQLLQISGACKWIFDEISAISETAFHYKDKIRPVDYVVHVAMNMQLYPPEDWLVGSSLPSNFSQGIIQSMLDELTPCNVRVFWESTNFEGHTDMIEPWYGTAFSVEKLTSSMIQQWMEKAPNEHLHLPSPNLFIPTDLSLKEVSDKAEFPVLLRKSPYSRLWYKHDTVFLTPKAYVKIDFSCPCSGNSPESVVLADIFTRLLMDYLNEYAYDAQVAGLYYAVSNTDNGFQVTVVGYNHKLRVLLETVVGKIANFEVKPDRFSVIKEMVTKDYQNFKFQQPYQQAMYYCSLILHDQALPWTEQLEVLPHLQVDNLLKFYPQMLSRTFLECYIAGNIEPKEAESIIQHIEDVFYKGPQPLSLALFASQHLSTRVVKLVRGLNYSYNAEGLNPSDENSAPLLHYIQVHQDDFKLNVKLQLFCLIAKQPAFHQLRSVEQLGYITVLMQRDDFGVRGVQFIIQSTVKGPKHINSRVEAFLKIFESKLHEITPEEFKSNVNALIELKLEKYKNLREESRFYWREISDGTLKFDRREREVAILKHLTKNDLIDFFNEHIRVGAPQKKSLSVQIYGSSHSSEYKVESVEPGILQIEDVFSFKRSHPLFGSFKGGIGLVKL >CDP06518 pep chromosome:AUK_PRJEB4211_v1:6:1397119:1403795:-1 gene:GSCOC_T00023399001 transcript:CDP06518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DEFECTIVE IN EXINE FORMATION 1 [Source:Projected from Arabidopsis thaliana (AT3G09090) UniProtKB/Swiss-Prot;Acc:F4IYM4] MKIRVLLFLCYLVLFNCYFAGLRSEETKKNKFREREATDDAVAYPNLDESELLNTQCPQHLELRWQTEVSSSIYATPLIADINSDGKLDVVVPSFVHFLEVLEGSDGDKMPGWPAFHQSTVHSSPFLYDIDKDGVREIGLATYNGEVLFFRVSGYMMSDKLEIPRLKVKKDWYVGLHEDPVDRSHPNVHDDLLIQEALMESITQHNGSSVRANTSNPTTSEAHIEELNSTKSTVAEVQLDKINLSDTPNQKQSNDSQTDPHVQMLNNSIETSLGSGFKKVSNGENASKTSRRLLEDNVSKGSGESVSGSEAKTNEGVHEATVENNGGLEAEADSSFELFRDSDELADEYNYDYDDYVDGNLWGEEEWTEAQHEMLENYVHIDAHVLCTPVIADIDNDGTSEMVVAVSYFFDREYYDNPEHSKDLGGIDIGKYVAGGIVVFNLDTKQVKWSTQLDLSTENGKFRAYIYSSPTVVDLDGDGNLDILVGTSYGLLYVFDHKGKMRVKFPLEMAEIQGAVIAADINDDGKIEIVTTDTHGNVAAWTPQGKEIWEQHLKSLVPQGASVGDVDGDGHTDVVVPTLSGNIYVLSGKDGSFVRPYPYRTHGRVMNQVLLVDLSKRGEKKKGLTIVTTSFDGYMYLIDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPAPHHPLKAWRSHNQGRNNVAYRPNREGIHVTPSSRAFRDEEGKSFWVEMEIVDGYRIPSGYQGPYNVTVSLLVPGNYQGERTIKQNHVFDRAGVHRIKLPTVGVRTSGMVVVEMVDKNGLYFSDDFALTFHMHYYKLLKWLLVLPMLGMFGVIVILRPQEGMPLPSFSRNTDL >CDP06524 pep chromosome:AUK_PRJEB4211_v1:6:1445078:1447577:1 gene:GSCOC_T00023408001 transcript:CDP06524 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSDRLFNRQGTVHQILGGGLAADVILWRHKNSSAGILVVTLAAWVVFEKSGYTLISLVSSVFLLLLTILFFWAKSAAILNRPAPPLPHLHLSEEMVDDAAATIRSHINMLLSISQDIALGKDSRMFVKAAACLLLISAIGSFADFLSLGYISLVTLLTVPAIYERYEDQIDRFAILGYKRLRLLYDRLDRECFSRIQSLILEKKKLS >CDP03160 pep chromosome:AUK_PRJEB4211_v1:6:9198181:9200784:-1 gene:GSCOC_T00041660001 transcript:CDP03160 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFKSTNSICLNYLHYSQGLPSVWRSKARRSRRSHRLTPPVKKMAQSVKPISSPVPEPWYPTLAVLMLAVGLIVAASFFIYEATSSKKNRSLAKELTTGAVASVFLGFGSLFLLLACGIYV >CDP10448 pep chromosome:AUK_PRJEB4211_v1:6:6484307:6488956:-1 gene:GSCOC_T00031177001 transcript:CDP10448 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLKPFKLDIDELISEFAQAGSTEFAELKAIWRSRKFSFIFEASPSTNQACFTQSLFAHAIGYMVSGNSLSHRLGGLYCLYCLFETQPFKPPFKIYLCLRELKELRNIIVCAKEKDIKVVSALVKHMLQRNMFLFGFVDITDGSATERVNEVSDIQNARVQVAYKKLFANTRIEDFIHMDLGMELDVDLLKRKSSEYAAAKELIIKEAGEVIDVHSIEHNMENKQLIGDVVEKTAEDWNNEKGLFYQQTGMGPLSITSNQLARLTGSTEKSHLLGSLPPGEQETNRHSIRQRCDDNFAGNELDNFIPEQDFGKIKEQDDGHDDENFGNELEAELLCLPEFEDEGKDDK >CDP10539 pep chromosome:AUK_PRJEB4211_v1:6:5725118:5728236:1 gene:GSCOC_T00031297001 transcript:CDP10539 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPCHLMSAIPCYALHAPPDRSGIIHIFQNPGEPPSPVYAKILPIFPAASIDPRYFDLREKRSLVGLATIPKMEDKSYVVDEGIEKLEKEQVQLKVESKIKTSDKAEEKTDGEVVCKSKSLEKDGKELKKKGDDENDKESTKKDKKKKEKKSDDEGEKKKKKEKKHKDGTDEESGEHDEANKGKGKEKKEKKDKKDKGKEKKAEKDENVESEEERKHKKDKEKEEKKKNSKKADKDEDVESEEEKIGKKDKKDKEKEKKKKDKNIDTEDVGKEKKDKKGKEKEEKKKKGKKDEDVETQEEDKENEQGEVNKVELRDLEDKNDKTQEEKQGVEGEKKKKKQKNEEEESDHETKEGKDEKKGKKKKEKHKEAESKKDSDEEKDEGKKDKKDKKKKHEKDKKEKKDKQGKEEGEEGGLKEETEGDDDGVKEKKEKKKNKIETCKSRSDDEVTAREIKINENGSDAVEGKHQKEANEGKDYKDKDKKKGKDEKKRKFEEKHKSKDLDKLKKKLDKVNSEIESLLKKKADILKMIKETEDKNQAVVEVSKTVEKAEDCSVLYAWV >CDP03182 pep chromosome:AUK_PRJEB4211_v1:6:9051071:9058444:-1 gene:GSCOC_T00041685001 transcript:CDP03182 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSFNHLHLKVETVAQFGVIFLLFALGLEFSTAKLRVVQAVAVLGGLLQIFLFMCLCGITASLCSGETSEGIFVGVFLSMSSTAVVLKFLMERKSIMTLHGRITVGTLILQDCAVGLLFALLPILDGTSGAFDGIISIAKLLVVLVTFLTILAVLARTCIPRFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSFELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVQFLWNHIDILLAAVILVIIFKTAVVAAVVKGFGYGSKTSLLVGMSLSQIGEFAFLLLSRASNLHLIEGKLYMLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFPPDVSNEIGNKGDILRADHARRITLMVEGSHDS >CDP03202 pep chromosome:AUK_PRJEB4211_v1:6:8925124:8928102:-1 gene:GSCOC_T00041707001 transcript:CDP03202 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGLLQSRELYQYLLETSVYPHELQPLKELRDVTASHPWATMATAPDAGQLIAMLLKLISAKKTIEIGVFTGYSLLLTALTIPDDGRIVAIDQNRDTYEIGLPIIRKAAVEHKIDFIESEALPVLDKLLEENLNHEAFDFAFVDADKLNYLKYHEKLLKLLKLGGIVVYDNTLWGGSVALPEESVAEEMKAGRHFTIEFNKLLAADTRVQICQVPLGDGITICKRLH >CDP15660 pep chromosome:AUK_PRJEB4211_v1:6:33079375:33081135:1 gene:GSCOC_T00015608001 transcript:CDP15660 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYAWTSGDNQMGQDWFVDEAELFEMPNLLVDMAVGLLVSPPRLGPVVSVESPEISDAYSLWENDTRIPHQMRGFRLDGCGFGSSQVFAADGAPKRGKILGLLLEDLFRSRELRELRERERELVLLKKGNKTCLTTRAQPFHYYSHQDFKKPCDVHGQQRGIVGSPIRPERTQGFINIPFPNLNLAGVDVAHNSVSGIYEHQYGVVESPHRQELLQNIENIAHDEGNMEIDVANPERGGASIEPRDSEVINIKRQKSSHTLKSKLGITREVLEQNSWRSLKDAAKVLQVSRSTLKRRCREYDIDRWPSSKSRKVNQAIAEQRVVQPSTENTEEQHRPDTTRLEDGSSIWVKAEYQGYTMKFRLPLSAHKFNLEEKVAQRLNLPIGSFKIEYQDEENEWIWIACDEDLSTCMSTLSSLGRTTIKMLVR >CDP03014 pep chromosome:AUK_PRJEB4211_v1:6:10584185:10585045:1 gene:GSCOC_T00041479001 transcript:CDP03014 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLVAIIFSYLLIVAITAVCEDANPSSSSSSSSRKWSVSYKSQQGIQNLKGSSYVGSVEQAAGRGAKPSNQESEAYSMEPDNSSNMDVEDIAYHIDYHGATTHPTPTPKHPKP >CDP09562 pep chromosome:AUK_PRJEB4211_v1:6:20719994:20722215:-1 gene:GSCOC_T00028985001 transcript:CDP09562 gene_biotype:protein_coding transcript_biotype:protein_coding EPNDEVDYEEDEVNNIDDDIDEEDFDAYEAIDPIGFQEGVFSPQQDSYTDHENMSRNYLQELFGSFPFNAAGDNEMPDANISDGEYEIYEHYSDENYSNDDEC >CDP06629 pep chromosome:AUK_PRJEB4211_v1:6:2234544:2236304:1 gene:GSCOC_T00023543001 transcript:CDP06629 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHTPAPSPKSSRGRRGRQQNHRERRRVEMELELEAMRKHDFSWHPCRVSLRSSGVGLIVEYGTNDTDDCIVTKEEALSRLRIRSTPLHGDECSLLREGDRVLATRKSPPKSLFFDAQVEKPLRVRHSKKIHCRCMFVVRWIHHGREGETATIPSSAIMKMSAECITLHPTIVAFFSSLTTPNHCTISPLPTVLKGMDYERDVLQLEKQIEDISNAADSSGIKVSENLIDGDVLPYVVTSLYI >CDP10568 pep chromosome:AUK_PRJEB4211_v1:6:5508859:5513508:1 gene:GSCOC_T00031330001 transcript:CDP10568 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVNARVSKLGIFQNISHSLWGPKLPDKIAHENSTVVSSEKPSVAKESKESEGSLSVQHTPPELVVIPKPTATVISESTEDARPAEVSRPEQPAQVTRPQQAAETATVSPKPSEAAKPNKAHNVKRMASAGLKVDRILRTKTAHLKEHYNLGQKLGNGQFGTTFLCVERATGKQYACKSIAKRKLLTLDDVDDVRREIEIMHHLSGNPNIISIKGAYEDNVAVHVVMELCTGGELFDRIVKRGHYSERKAADLTRTIVGVIQTCHSLGVMHRDLKPENFLFVNNEEDSPIMTIDFGLSTFFKPGVTFTDVVGSPYYVAPEVLCKRYGPEADIWSAGVIIYILLSGVPPFWGESEQEIFEEVLHGDLDFSSDPWPKISESAKDLVRKMLVRDPKKRLTAHQVLCHPWVRVDGVAPDKPLDSAVLSRLTQFSAMNKFKKMALRVIAESLSEEEIAGLKEMFKMIDTDNSGQITFEELKAGLKRFGADLNESEIYDLMRAADIDNNGTIDYGEFIAATLHLNKIEKEDHLCAAFQYFDKDGSGYITMDELQKACEEFGINEGHLEEMIKEADQNNDGQIDYNEFVAMMHKGITDLGKQHLGSSLNLGFRETMQAC >CDP14265 pep chromosome:AUK_PRJEB4211_v1:6:36708214:36712880:-1 gene:GSCOC_T00040557001 transcript:CDP14265 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAAAVSAEKQPEAAATAASYNYWVREMTQDAAPLPVPRKLTSEDISNQGPNHLGSVWNRAGTWEEKSLNKWASDRMKELLQSVQSLEFSGGNAEISEVTRCSGDAFLVTVRNKKRVGYTYELALRVKGEWLIGSEKKEVKGYIDIEEFSFGELDDLQIQVRLSEEKDLQQEDKQRIIKDLKQFLQPVREKLNQFEQELKDR >CDP18644 pep chromosome:AUK_PRJEB4211_v1:6:15211542:15212696:-1 gene:GSCOC_T00004135001 transcript:CDP18644 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVIGFFFLLGLLAIQGAARNLNDEAMVERYEQWMSLHGRVYKDSAEKERRFKIFKDNVEFIESFNRAGNRPYKLGINQFADLTNEEFVASHNGLKMSSSPRLFMSTSFKYENVTAVPATVDWRTKGAVTPIKDQGQCGSCWAFSAIAATEGITKLSTGKLISLSEQEIVDCDRTSQDQGCSGGYMEDAFEFIVKNKGIATETTYPYTAADGTCSKTKEASHAAKIASYEKVPANSEAALLKAVANQPVSVSIDAGGMAFQFYSSGVFTGDCGTDLDHGVTAVGYGKTSNGTKYWLVKNSWGTSWGESGYIKMKRGIAAKEGLCGIAMDSSYPTA >CDP03303 pep chromosome:AUK_PRJEB4211_v1:6:8038923:8041104:-1 gene:GSCOC_T00041842001 transcript:CDP03303 gene_biotype:protein_coding transcript_biotype:protein_coding MELCSDSMTTVQQVSKRKGGLITMPFIIANEAFERVASVGLHINMILYLTGEYHFDNATGASILFWWAAISNFLPTFGAFLSDSYLGRFRVIALGTVVSLLGQAALWLTALLPEARPPHCPHYPDNCAKPNAGQLALLFSAFALMSIGAGGIRPCSLAFGADQFDNPENPKNQRILQSFFNWYYASVAISVIIAIIVIVYIQNKLGWVLGFGVPVALMLVSTITFLLGSKLYVVVKANKSLMTGFAQVLVVAWKNKHLALPPKNSDGGYHHEKGSNLVSPTEKLRFFNKACIARNLDGGLEADGSIWDPWKACTVEQVENLKALIKVLPIWSTSIMIAVTISQNSFPLLQAGTMDRRIIGNFKIPPAWLYVFAVLTMGIWVAIYDRILVPWLAKYTKHKRGLSFELRIGTGLFLSCLATGMAAAVERTRRNRAISLGLAENPLSVVNMSVFWLAPQYCMTGLAEAFNAIGQIEFYYAHFPKSMASIGVALFALGLAFGNLVASLIVLIVDHASKTDGKPSWVSNNLNEGHYDYYYWVLCLLSLVNFFYFIFLYLFVDCYGEEKFRDNNEGECMEEKEEASAKSVSNVF >CDP06682 pep chromosome:AUK_PRJEB4211_v1:6:2558896:2560774:1 gene:GSCOC_T00023614001 transcript:CDP06682 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEVLRTVECLRGRLLAERAASRNAKQEADLMATKLIGLEARLQEETKSRNRAEKRLKFLVKKLESMEIFYVSDESEQSSFVDKCEISSASSAASTSTKTEDKEAYANPGYKIQNIQESTENSAKSWSTVASQDLDQNVSKVSSSASESRQTPVADEEEIHSHPEPTSRKFETGELREKELSPTKICQNSSVEEPHSAKSRDCVSSQNDNKMNMENDSLKLSNKEEELFLNGEIDEEQDHFVDDSMALVPVDLPNKPSQKIDPAALDSTVKEVLNALRRAKEKLQTSMERRRMIKVG >CDP06196 pep chromosome:AUK_PRJEB4211_v1:6:22050358:22052325:1 gene:GSCOC_T00022869001 transcript:CDP06196 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIYDAALHGSVNILHELLQEDKSILDRVSLNCTNNYTPLHIAAMRGHEEFVKVILAQSPELSTELDSRQKLSPLHLASARGHSKIVEALVNASPDMCLVLDRNGSNPLHLAAVKGRVEVLEMLVDSRPFAAREKTKRGESILHVCVKYNQLDALKKLVEIVDDEEFLNQKDGDGLSILHLAVISKQIQIIQYLITTAININEKNPKGRTAMDLIPQNPPEMNQQIEEVLRQVGALKAEEITESITNEHSQMPINIVPAENAPSQANPSTATQGANPQSNFRWLEQKSKALMVVASLIATMAFQAGLSPPGAVWQDDSTQDSSGNPAPNPHKAGESVMAYHHLHYYKYFLRFNTTAFVSSLGIILMLISELPFKHEIFMWLLVGVMWLTATSIALTYGISIAYVTPEMDKEQLGHVIEIAVAAWCGVITLVLLGKTTYSLHQWWKNGRRIRWPMTKRNSLVRNHGNQLSIASTSFV >CDP15782 pep chromosome:AUK_PRJEB4211_v1:6:25616218:25621624:-1 gene:GSCOC_T00015870001 transcript:CDP15782 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein PEPPER [Source:Projected from Arabidopsis thaliana (AT4G26000) UniProtKB/Swiss-Prot;Acc:Q9SZH4] MCEETRARIRVLDGPISSPERIVLISGKEEPDAPLSPAMDAVIRVFKRISGLPEAEGDGKAPGAAFCSIRLLVASTQAINLIGKQGSLIKSIQEGTGASVRVLSNDETPVYVSSDERIVDLQGETLKVLKALEAVVAHLRKFLVDHSVLPLFEKSYNAPVTQERPVESWSEKPLLHGSSQSGIGVDYTLPPNRDSLYFDRESHLEPQVPSSLSLYGQDPALSGVRPSGVGRATRQFVTTVAQTMRIPLDYAEDIIGIQGTNIAYIRRTSGAILTVQESRGLPDEITVEIKGDATQVQTAQQLIQASISIGHGHKESVSSSYGKLDSGLRPSYSQMGNSSYQSSSYSGQPYGSYGSSGLGGGYSSYRL >CDP03335 pep chromosome:AUK_PRJEB4211_v1:6:7849344:7850641:-1 gene:GSCOC_T00041883001 transcript:CDP03335 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTISNTKKFFQKTVESFKSFLSGGYERLPRNSPCNSFPCGGGSIHHQVKCTHSYRELNEYYRPDSSTQRDTIGIHPEQTNKARSKKKESSTALVSRNESRVPDDLMNYADHGGGPGKNFYQVDRKENPKKKKILYQGKRYQDFSSTRGLKGERSYLVEKKLKELEMLDKSNVEHVLDIEEVLHYYSRLTCPAYVDIVDSFFMDMYAELLNGQQASSRHNVNSRTRLQRFGINA >CDP03057 pep chromosome:AUK_PRJEB4211_v1:6:10068386:10071262:-1 gene:GSCOC_T00041535001 transcript:CDP03057 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSAAKRLLPALCRLRKVTNHSIQGISIVNNKPRFLTSAASETTPNKNNRHFDHASSSSSSSTATMAGLNDDEKAREHDHDGQGSRTSRPGRTQYLDEQARVLHASLHHVIRLGWTEAALIAGAREVGVSPSIVGSFPRKDAALVEFFMDECLQRLIDVIDSGEDLKDLIPSARVAKLVRIRLEMQAPYVSKWPQALSIQAQPVNIPTGFKQRAMLMDEIWHAAGDDSTDVDWYVKRTVLGGIYSTTELYMLTDASPDFRDTWSFLGGRIRDAFDLKKSVQEAKYLAEAVSAGMGGSLQGLMKRVFQGGNT >CDP06768 pep chromosome:AUK_PRJEB4211_v1:6:3300761:3302595:-1 gene:GSCOC_T00023728001 transcript:CDP06768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G34340) UniProtKB/Swiss-Prot;Acc:Q9SYZ9] MSDGGNEESAREIENVIEINPVISRSGANEFGRAIAKIAVAQICESVGFESFNESALESLSDIAVRYLCDLGKTASSYANSAGRTACNVFDIIQGMEDFGLLRGVLGASEAHNCVIESGALREIMDYVECAEEIPFAQPVPQFPVVRARKLIPSFLQMGETPEFKQIPDWLPAFPDPHTYRHSPVWNERVTDPRADKIELARQRRKAERSLLSLQQRLVCNGDVIASTSTTEPHDSKLEMQPNASENPFLAKPLQAGEKDVSPVILPDTVRNQADGENHISLLEAFAPAIEAMKDGPSESGHDVEKTLPDKRPAVCLEFKTGKKVLGDLLDLRLRNRGSGRTASWFGHNDEKDDKKRRAEFILRQSMENQQELTQL >CDP11669 pep chromosome:AUK_PRJEB4211_v1:6:27575093:27576978:1 gene:GSCOC_T00034094001 transcript:CDP11669 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQHKGDGDSLQYGFQGVNSSSPPIPKVPIPMDFPWEGESDLNIRGGMIQYLIAAPSEQQVKMPWCFHLVGDHGREARREDDGRVDQETQESKKKNGGGHTKLCSRGHWRPYEDAKLKELVCQYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINKMAFSEEEEERLLAAHRMYGNKWAMIARLFPGRTDNAVKNHWHVIIARKQREENSVYRRRKPSSFQAYHKGFTVNTLQNSACNGSGVSTNNREESASTCTDLSLTPSSNRVSPGYFTSSVSAEKYHSFGPDLNQAASSGDERKVMKTRSAVQYCQLNNNEPIAKATVTINPSAQSDSSSELSASESVANNASTRLLLLNDKIENGSESYKLPFIDFLGVGARK >CDP14216 pep chromosome:AUK_PRJEB4211_v1:6:18982352:18984240:1 gene:GSCOC_T00040485001 transcript:CDP14216 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKQHHAQIIKLGLSSDNDTIGRVIKFCAISETKDLNYALKVFHTLPSPDPFIYNTIIRGYLQSNLPRNCITFYTKMLEDSVIPNNFTFPPLIRACCVDNAIQEGKQVHSHVIKYGFLSDGFAQNNLIHMYVNFNNLEDARRVFDKLAYKDDVSWTTLINGYAQLGCLDEAYKVFESMPVDKRKNSAVSWNAMIAAYVQSSRFHEAFELFEKMRLENVKMDKFVAASMLSACIGLGALEQGKWIHRYIKESRIEVDSKLETTVIDMYCKCGCLDEAFEVFKGLESKGISSWNCMIGGLAINGKGVAAIELLKEMEREKNVAPDYITFVSLLSACAHSGLVDEGKYYFRYMTEVYGIEPGMEHYGCMVDLLGRAGLLEEARKVIDEMPMRPDVGVLGALLGACKIHGNIKLGEEIGKQVIELEPSNSGRYVLLANLYANAGRWEDVAYVRKLMNDRGVKKAPGCSAIELEGAVNQFIAGGRTHPEAKEIYAKVNEILDRLRSVGYVPVTDGVLHDISEEERENPLYYHSEKLAIAFGLLRAKPGETLRITKNLRVCKDCHQVSKLISKVYDREIVVRDRNRFHQFKGGECSCNDYW >CDP02940 pep chromosome:AUK_PRJEB4211_v1:6:11300030:11302152:-1 gene:GSCOC_T00041368001 transcript:CDP02940 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSDKIGKYQLSRTIGEGSFAKVKLAINTTTGQYVAIKIIDKQMVLKNNLMQQVSREIRTMKLLHHPNIVRIYEVLGTKTKIYIVMEYVSGGQLSDKLSYLKKLSEREARKYFQQLIDAVDHCHCRGVYHRDLKPENLLLDNKGNVKVSDFGLSALKQKPGSLLSTACGSPSYVAPEASLLTDNGYDGAAADVWSCGVILFELRAGYLPFDDSNLTNLYRKIYKAEFAFPERFTEGEKQLISRLLDPNPKTRITIAEIIDHHWFQMDYEPAEEIGQEEDINVDDVHDAFTSLKETETEVSVPRASSFINAFQLIAMSNDLDLSRLFEEKASTRQNSSWLIL >CDP10209 pep chromosome:AUK_PRJEB4211_v1:6:13111993:13117903:-1 gene:GSCOC_T00030861001 transcript:CDP10209 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKMKQYTNVLDRPLSKGKQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFNCGAFVAGIVRGVLDNAGFPAVVTAHFVPVEGQQRPRTTILIKFAEEVLRREARLG >CDP02986 pep chromosome:AUK_PRJEB4211_v1:6:10898798:10903865:-1 gene:GSCOC_T00041436001 transcript:CDP02986 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYLEGLMLDPSKCSKLSMDEKRELVYALSKWSHGAPEMLQSWSRQEILEVLCAEMGKERKYTGLTKLKIIEQLLKIVSEKKSQEHAAAIDLEAGASSEVPQKTAKRQRKTDNPVRLPVTVNTVSTNNVIEDLENPVYCKNSACRARLFHDAAFCKRCSCCICRKYDDNKDPSLWLICSSEPPFQGVSCGMSCHLDCALRHERSGILKDKLDNRLDGSFYCVACGKVNDILGSWRKQLLIARDTRRVDILCYRVSLCRKILAGTKHYQKLYDIVDEAVNKLEADVGPLTGLPVKMARGIVNRLSSGPEVQRLCAFAIESLDTMLSERVPDMSDCKVMSAKLVTLEDVCTSSVTVTLKFEDSSLGNLVGYTLWHRKSDDLDYPTEPTCTLFAPNSKFYLSGLSPDTDYHLKVVSLDSNRELGMCEVSFQTAATETEATNPNSKDMEVGRSESPATNCSSLSNPSSVEDETNNVIPCSNEDETRGDNYHDHHNTLEKMVSTKVYNGYTDTIERGLTGETISLLDEEHSMGKICSAPNTDAVNLESKPSPDGQMMEDTSTENGSNTPRQTGLDCVPPAAEALLPITPCKLDKMKDGLQRSCRPKLIIKDLDIGSGKEEEPQAGSSSKKRRLERLDDESAAVDKDFEYYVKVIRWLECDGHIETGFRKKFLTWYSLRATPQEVRIVKVFVDTFIEDPDSLAGQLVDTFSDVISNKRSTTVPAGFCLKLWH >CDP20271 pep chromosome:AUK_PRJEB4211_v1:6:21828514:21831438:1 gene:GSCOC_T00013710001 transcript:CDP20271 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLLYLCFLFNLLFVIASTGSAQPYTPTDYILINCGSSSNSTSVDGRKWDGDVGSKFSPNDMANISSAVTATELDSSVSGVPFSSARIIRSQFSYTFPVSLGKKFLRLYFYPTSYSGGLNTMESFFNVKANNFTLLSNFSAYLTVSSKGFSPPFVFEEHIINSVVKEYIINIQDMNQFLNVTFLPSSNSYAFVNGIEVVSTPEDLYMGKHDMPSNPLKFVDYPNVQFEFDQNKTAFEALYRLNVGGNAVSEVDDRGMFRRWTSDFKFLWGVDEENQLSDYNRAIKYTKETPDFTAPPIVYSTARVMGQSSTSFNLSWMFPVDSGFYYLLRLHFCEINPDLITAENEQVFIIFIGDRIAEPEADVIHWAGGPEVPAFRDYVVFVPNSQDDRQTKQDLFLALHPNLDVKPKYADAILNGLELFKLNNTDGSLDGTNHDPKGNRGKPRVLFAAIGGGAVGGVSLILIIGFLFFLWRWKRRVKDLDQKSAPKSSCTPLSTPPRSTKTGASGSSSLRRFLLEEIGSATANFDAKFVIGTGGFGNVYKGYIDNNLTTVAIKRLNPSSRQGAREFQTEIEMLSNLRHLHLVSLIGYCDEKGEMILVYDYMANGTLRDHLYRTDNPPLPWKQRLQICIGAAKGLDYLHTGTKHTIIHRDVKSTNILLDETWVAKVSDFGLSKLGPSGIFSHISTQVKGSFGYIDPEYYTRQQLTDKSDVYSFGVVLFEVLCGRAPIILDLPQEQVNLAEWAKKCYKKGIIHRVVDPDVNGEIAPQCLRIFAETAINCLKDQGIQRPGMDDVVGDLELALQVQEAAENEGGRPDPFPLHLHMHGGDVQNMTDDDTDVISESEGDQDSAGKIEMVVLQLGHPRQAVTTSRCDSVFSEILNPTGR >CDP10066 pep chromosome:AUK_PRJEB4211_v1:6:15024567:15025427:-1 gene:GSCOC_T00030654001 transcript:CDP10066 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYRKTTLKPWKKGPARGKGGPQNAMCEYRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAMAYDEAARRLYGPDAYVNLPHLRSNFNPLNKSQKFKWFPSNSLVSMFPSTGLLNLNAQPSVHVIHQRLQELKETGLLCQTSSASTSSSNPKSDVEYVDDHPHVESLEGKNNDEAEHPWENKSLNQEEKPQIDLNEFLQQLGILKKEDQPGVSEVPSNFIDKDFSPKDDDVFTNLPENIFNWETPSELPGIEDNQLVENSRFHVDNDNDDDPSFPPSIWNF >CDP15661 pep chromosome:AUK_PRJEB4211_v1:6:33112785:33113041:1 gene:GSCOC_T00015609001 transcript:CDP15661 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLGFCWWLSNLMKLPTSLIPLILRNVEKMKDETASI >CDP10605 pep chromosome:AUK_PRJEB4211_v1:6:5184600:5188734:-1 gene:GSCOC_T00031373001 transcript:CDP10605 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKISSFFKPSPATAKIKVASPISAIIFANEAEICEDPEITVTYKRRARNPGSESDADSAGATNRLGEVDMVAKLEVPKSGKVLNKKRKYAQFYLELGQSDFLLHACTICGFKYAKGDEGDEKVHKTFHKNYTHGIQFKGWRNERVIYVPSLDTGRVIIVLSDDPPAQRSKVQDVVKMMEMDLGDGWIFHQHCKVYLFVSSGRVTGCLVAEPIEKAYRIVSSSTGKKSQDPNGKGGRENSVVLQFGEVSFQREIVRKNNSAKGKEMCDSVTGEILCEKEAVPASCGIRAIWVTPSNRRKHIASYLLDAVRGSFCSGRILNRTDLAFSQPTSAGKALISNYIGGNAFLLYTTW >CDP06275 pep chromosome:AUK_PRJEB4211_v1:6:25011258:25022445:1 gene:GSCOC_T00023022001 transcript:CDP06275 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTMMKRSIRVHAEKTGNGAFLNLIPLLVGNVGLIFTKGDLKEISEEVSKYKVGAPARAGLIAAVDVVVPPGNTGPDPSQTSFFQVLNIPTNINKGTVEIITAVELIKNGDKDGSSESALLSKLGIRPFSYGLIVPSVFDNGSVFSPDVLNLTEDDVVEKFSLGLSMATSLALGISYPSLSAAPHILINGYKNALVIAVETEYSFSQADKVNEYLKDPSEFAVVTSAVACHSSCCCQGREERRTC >CDP03092 pep chromosome:AUK_PRJEB4211_v1:6:9819502:9823270:-1 gene:GSCOC_T00041575001 transcript:CDP03092 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase (EC:1.3.1.42), Jasmonic acid (JA) biosynthesi [Source: Projected from Oryza sativa (Os08g0459600)] MAETKSDQGSPSLFSPYKMGKFNLSHRVVLAPMTRCRAINSIPQPAMAEYYAQRATNGGFLITEGTMISPSAAGFPHVPGIFTKEQVEAWKQVVDAVHAKGAIIFCQLWHVGRASHEVYQPGGGAPISSTGKPISKRWRILMPDGSHGIYPKPRPLTTAHEIAQVVEDYRQSALNAIEAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSVANRCKFIVQVVQAVVSAIGADRVGVRISPAIDHLDAMDSDPLSLGLAVIERLNELQLNSGSKLTYLHVTQPRYTAYGQTEAGRQGSEEEEAQLVRTLRKAYQGTFISSGGFTRELGVEAVAQGDADLVSYGRLFISNPDLVLRFKLNAPLIRYNRSTFYTHDPVVGYTDYPFLSNGTSGNVPQSRL >CDP06559 pep chromosome:AUK_PRJEB4211_v1:6:1669380:1671710:1 gene:GSCOC_T00023452001 transcript:CDP06559 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTYPGGVSKWQWKRMQAKKAKQLLKARLARERQIYEMRKRAELKAAVSELERPWEVVEKPPTLFSVTADEQLKVLADRFQKPGGFDMWSDRDGPELFKSHDGLPSSRFFPKGVVHSVKPYGKIENLDDMSDDELWGGNSDFDLGRGSAEEGDHETALLEHGINGKHAKKRRNGANGEKNLSTPNEKNLNNLSNEGSRGKNWRSKLRKNHNGNVNFGDSTKVGQVREGSWTDHGMSSNCKDSRRRPNGREKAKDSESAVFDLSMQKDGIYGIQQENRP >CDP15773 pep chromosome:AUK_PRJEB4211_v1:6:26106852:26109155:1 gene:GSCOC_T00015851001 transcript:CDP15773 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPDQRVPSCCKGGTLAPWLQDPVKSISAFQLVVGSGRTANRRISILENFTFGTAEHEFSCGSAVVGKPTKVFGQDAKGKGQLKNNWSIICAQADFARPSCCVSFSSFQSDTLCRCPSCSCGCENDSRCIKVIIISFYACSSKLSPSGVRCTNHMCPIQVHWHLKLNNREYWQVKLTIINFDEKFSFSMWNLIVQHPDFDNTTLVVGLNYKLLNSGKINEAGIIWGANFQNDLLKEGTYIESHIQFTKHNVSLTSSWTPHSIFFDGRSCTMSPVPDFYLAFSCLFLFICTGYCIYLRYPFHS >CDP06615 pep chromosome:AUK_PRJEB4211_v1:6:2120036:2123516:-1 gene:GSCOC_T00023525001 transcript:CDP06615 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNIPQQEPSITLKHSNPGCMKGILHHFNNHKWHHVRKRLVHKTSSGGKLANVVEDPGSKLNTTNAGQPQEKLEAETAKSSVHFYFQDHAKSSDSSSGHKSSMKSRIKALITEEVYRRRIRHRRSSSYPTRLPLERKPSIHHLDVSSIDPCAETSFNDESLDHQENTLHSSVSILLDPLPPQFCGESVAQSRTCHLCAAMLTKNYLRQSEVNEHGKQPVKDHTLLQDKFICAIEPSKIASLQESKIFLDALDLLNLREELFLKVLQDPNSSLSHHLHNHRASTLRSGLTKSVSFPVPGSSGERPSASNSPRCKKELGTCKEGERKSQTEEDLTSNALASQDLGQILAIEKGKCTEAEDPKQLCPQDNASLDSLKEFKNHHMNKLIMKRFKNLRQKIKHAIKESRKERQRIVMDAVLHKIPYGYPKDTKEEDDVNKDAVTLNWNKCIPRSWSGSWNEQNSAVGKIGQHGIRRISSFNESLSRYNPLLELCFNREENSRTPDRSELKTTGTHSPLDGSPVCLGRILSLPDLRSYSFSRIERSATTSSLEMPIRPLLGGNQNTEKSSISEQKPVTSEKQIQSDGLLENDSEEKVPEVGDSLPDLAGSKRRDRTLDHDDVIHPTRDSGHDSKFEEDANASEELKIYFFHSEDHLVFIQKIGQKSDCPYEEHSNLTASLNIKPMNSGRDSLLNLQNDLRMDPLGLNESNINVEQVETSLKQSYNDLLHVQVDMKNKAEFNYVRDVLELSGFSGTEFLGKWHSEEQPVAPSVFEEVEGCLVAQPNCSGNEEGGSCKHLLLFDLINEVLLEIYERAFSYWPMPLTCRSHVHPMPVGYHVLEDVWTDINWYLRLRPDVDESLDSDNAISHDLSKSDGWMNIQFEAECVGIGLEDLIFDDLIQELVFT >CDP03334 pep chromosome:AUK_PRJEB4211_v1:6:7856663:7857647:-1 gene:GSCOC_T00041882001 transcript:CDP03334 gene_biotype:protein_coding transcript_biotype:protein_coding MENRFKLRISRMFRSSFGSCRSKNITDAVEKPVFLPENPQRQFIELLSPKPRPFPSLCRPQHPQTFRTHDHLQTCISNKILKKPLLLSASTEDSRRTTTQDGQKCPPASPISPLINTFYQFQDHAATATATPSKHKEKKSKRASINNRRVRSRSKSSFLLDELPACSYNGLFSSDEDDDDDDDKTTMFSSRSLSSDSSESFRRNKTCKSNRKPRQRRNYHYAGGPNPLAVPELKGKVKDSFAVVKRSSDPYNDFRTSMVEMIVERQMFSGKDLENLLQCLLSLNSYHHHRVIIEVFTDIWEALFANSPS >CDP06191 pep chromosome:AUK_PRJEB4211_v1:6:21904945:21905914:-1 gene:GSCOC_T00022862001 transcript:CDP06191 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQDLSENLDLYDYLAGTTSFSDAGAYCLPRNYDFQGVAQTQSLYNNTSFYSLEMSDVTESSPEARALAASQQHKEAERRRRERINSHLDKLRTLLPCNTKLIDSRFITFSWLENLM >CDP10090 pep chromosome:AUK_PRJEB4211_v1:6:14622299:14629172:1 gene:GSCOC_T00030695001 transcript:CDP10090 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMEAIQARSATCEVIGGEFSHQVGEEIHRLMSVQPDSGSSFTALLELPANQAVELLVHSPEAAIAESPSVTRPLEQFSQCPPPPPASTLIFPSDTALVDRAAKFSTLVNSDDNSRAKLESVKQEPADSDSNPNSNSSPAVSHPAVEQNPNSAKRKEREKKGKESNKKGKKAANETSEDGREKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTAMVLDEIINHVQCLQRQVEFLSMRLATVNPRVDFNLDTLLAAESGSPIETNFQGMVMPSMWPEEQVHGNRQQYQQLWHLDGLHQPIWGREEDNSNFITPENSLLSYDSSANSASLHSNQLKMEL >CDP02833 pep chromosome:AUK_PRJEB4211_v1:6:12598859:12604290:-1 gene:GSCOC_T00041222001 transcript:CDP02833 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTSTFPMRPSLYKTRSTFFPSSYFFGLRLLHHHPITSATTMPVSLASRITSISARSGGGGGGYYRRSPPPVGEDQALDISSIGSDQVRLIDEQQNMVGIVSKSVALQMAEDAELDLVILSPDADPPVVRIMDYDKYRYEQQKKKKEQQKKSAASRMDLKELKMGYNIDVHDYSVRLRQAQKFLRDGDKVKVIVNLKGRENEFRNNAIELLEHFQSDLGELATRENKNFKDRNVFIILVPNKVVVQKAQEEQKKKDKSVAAEVSASV >CDP03251 pep chromosome:AUK_PRJEB4211_v1:6:8560482:8563995:1 gene:GSCOC_T00041767001 transcript:CDP03251 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTQWSSQLTSLIFTIFFLSLPFLGNSQTTKTNPEEQTILLKLKQQWLNPPSLSHWTSSSDPCTWPEINCTSGSVTKLNVSNLAITETIPSFICDLKNLTVLDLNNNSIPGSFPTYLILEVNNFTGDIPPAIGKLTGLKSLYARRNLFNGSFPAEIGNLLNLEELALSTNGFVPQPIPSSFTRLKKLRFLWMFQTNLIGEIPQDIRNMTALESLDLSENELSGNIPGGLFQLKNLSSLFLYKNRLVGSIPSSIEALNLEIIDLSNNSLTGKIPDEFGKLTNLTGLALFFNELSGEVPTSLGMLPSLVNIKLFYNNLSGQLPPDFGRHSMLRTFEVSANNFTGNLPQDLCKNGVLIGVVAFGNSLTGELPPSLGNCDSMQVVQLQGNQFSGQIPDGLWTTNLTTLLIQNNSFTGQLPDKVASGLSILDISNNQFSGEIPAGVSSWNNLRKFKASNNLFSGKIPQELTALPELATLLLDGNRLYGSLPSSIISWEGLNVLNFSNNQLSGQIPAAIGLLPVLNALDLSENDFSGQIPAQIGLLRLNSLNLSSNRLSGSIPGEFENAAFDRSFLGNAGLCSRNPSLGLNACASQTRESNKLSAKFVAAVSSIAAFGFLVALVYTFFLIRGYKKKKQGLDSTWKLTSFQKLNFTAPSLLSSLTESNMIGSGGSGNVYRVPINSSGAYVAVKKICNSKRLDHKEFLAEVEILGTIRHSNIVKLMCCISTDSSKLLVYEYMENRSLDRWLHCKRNRSANTGSIHHIVLEWPKRLQIAIDAARGLCYMHHDCSPSIIHRDLKSSNILLDSEFNAKIADFGLARMLVKDGEPNTMSVVAGSFGYIAPEYAQTRRVNEKVDVYSFGVILLELVTGREGNYGDETSSLAEWAWRHFQEGKPIIDAFDEDIMEPCYLDEIANVFKLGIFCTGLVPSNRPTMRDVLQILLRSVHSVPMGEKNGRSEYDFAPLLNNSKREKSLIDEDGSFDSMV >CDP10071 pep chromosome:AUK_PRJEB4211_v1:6:14978608:14986947:1 gene:GSCOC_T00030660001 transcript:CDP10071 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTFQKWSSWSLIGVIATVVALVTVVHFFLSPESPLDNFGARQQLSGPVERGKDDENQKQQSMVDLNIQYPADSRNAVVYRGAPWKAEIGRWLSGCDSKTAAVKIVQEIGGKSCKNDCSGQGICNRQLGQCSCFHGFGGEGCSERLQLNCNYSGSKEDPYGRWVVSICSAHCDTTRAMCFCGEGTKYPNRPVAEGCGFVINPPSEPGRPSLADWTKADVDIFTTNGSRRGWCNVDPKEAYDGKVHFKEECDCKYDGLWGRFCEVPVQSVCINQCAGHGYCRGGFCQCDKGWYGTDCSIPSVLSSVAEWPKWLRPAQIKIPDSDKQTGKIDNLTAVVAKKRPLIYVYDLPPEFNSLLLEGRHFKLECVNRIYDHRNATIWTDHLYGAQMALYESMLASPHRTLNGEEADYFFVPVLDSCIITRADDAPHINMQDHSGLRSSLTLEFYKKAYDHIIAQYPYWNRSSGRDHIWSFMWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWGDNWDTISPERRGNHPCFDPDKDLVLPAWKHPDGSSLKSKLWSWPHEKRKTLFYFNGNLGPAYEHGRPEDTYSMGIRQKVAAEFGSSPNKKRKLGKQHAADVVVTPLRTDHYQEELASSIFCGVMPGDGWSGRMEDSIFQGCIPVIIQDGIYLPYENVLNYESFAVRIQEDEIPKLISVLRSFNETEIEFKLANVRKIWQRFLYRDSVLLEAERQKNILGLVEDWAIEFSKLLEDDVFATLIQVLHYKLHNDVWRRELNHGKEYGLPRECLIRS >CDP14204 pep chromosome:AUK_PRJEB4211_v1:6:18803461:18804952:1 gene:GSCOC_T00040465001 transcript:CDP14204 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLSSNVTIHVLMLFLLISRNHGMITESPSPSPQPQPSGLFPTHGITPGSLHPQECSPRCTYRCSKTAFKKPCMFFCQKCCAKCLCVPPGTYGNKQFCPCYNNWKTKRGGPKCP >CDP09521 pep chromosome:AUK_PRJEB4211_v1:6:19632715:19634176:-1 gene:GSCOC_T00028926001 transcript:CDP09521 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCEILVSGGYVPQNPHKRVRIEEAVCMTLVMLCHNHRMRCLVERFQHSPEAICRNIHEVLWGICELGQHLICPRNQNEIHPKIYTDRRFANWFMNVVGAMDGTHVPAHPPSGEQMAYMNRHGQATQNVLAIYDFDMCFSYIYASREGSAHDARVLNGALTGLTHFPMPPTGKYYLVDSAYRNIPGFLAPYRGTPRQLAQGRWGFSSPRQLFNNQYSSLRNVIERCFGELKRRFSILRGPVPNFYMTTQINVVIACCTLHHFIRNKLPEDDIFNDHEQEMEVEEDASYVYAYLSQLIKFCTLIIWVCFNWCRLGEP >CDP10127 pep chromosome:AUK_PRJEB4211_v1:6:13963656:13967371:1 gene:GSCOC_T00030743001 transcript:CDP10127 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGRSTGRPSITGFSEVNDVVSVCQWATRNLNANRILLVGSSAGAAIAGSAVDQIKEVIGFVSLGYPFGLTASILFGRHHKFILQSSKPKLFVMGTKDGFTSVKQLENKLRTAAGRTETHLIKGVSHFQMEGPDFDAQMVNLIAEFIVSL >CDP15340 pep chromosome:AUK_PRJEB4211_v1:6:3935212:3942825:-1 gene:GSCOC_T00043031001 transcript:CDP15340 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNHLLLEEPIRMASILEPSKSSFFPAMTKIVGTLGPRSRSVEVISGCLQAGMSVARFDFSWGDKDYHQETLENLRAAVKTTKKLCAVMLDTVGPELQVVNKSENAISLKADATVILTPDQGQEATSEVLPINFAGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVDKVNGDDVVCVIKNTATLTGALFTLHASQIRIDLPTLTDKDKEVISTWGVQNKIDFLSLSYTRHAEDVREAREILSKLGDLSQTQIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVNKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGTDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTNATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDASVVKIIELED >CDP06736 pep chromosome:AUK_PRJEB4211_v1:6:2984482:2987144:1 gene:GSCOC_T00023684001 transcript:CDP06736 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSLPFVNCFCSIAQNNSQVDDDGDGYGRDLRRPGGQRVEHFPVYSYDALKAATQGFRSSNRIGEGGFGSVYKGMLQDGSLVAVKILSVELESMRGEREFISEIAALSGIKHENLVSLRGCCVDGAKRLLVYNYMENNSLAYTFLGEEHNRMKFSWKLRRDISIGVARGLVYLHEQVTPHIVHRDIKPSNILLDLHFTPKLGDFGLAKLFRDDASYISTRVAGTLGYLSPEYAISGHLTRKSDVYSFGVLLLEILTGGPVVGYDLERGGEYFLVDKVWEMYKANNLRQMVDPVLNGNFPEEEAVRLFKVGLMCVQETTKLRPKMSTAVKMLTNEIGIGDTEISKPGLLADLMDVKIDRKQQSSQSFFSGDSANASSAT >CDP02924 pep chromosome:AUK_PRJEB4211_v1:6:11481270:11484777:1 gene:GSCOC_T00041347001 transcript:CDP02924 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNNCSWVPVLEEFTFIAKSAPFNNCHASTIVEVDKGHFLVAYFGGTVEGAPDVKIWIQTYKDGLWNPPVIADEEYNVSMWNPVLFKLTSNELLLFYRIGQDVQKWSGFMKRSDDGGITWKEREQLPPGILGPIKNKPILLANGDLVCGSSVESWNSWGAWIEITSDAGKSWKKYGPIYIENNPLSVIQPVPYLTANGALRVLLRSFTNISRICMSESEDGGHSWSFAKPTELPNPNSGCIDGVKLRDGRLLVAYNTISRGVLKVAVSPDDGDSWQEVTTLEETEGMEFSYPAVIQASDDLVHITYTYNRTQIKVTKFCTIR >CDP03241 pep chromosome:AUK_PRJEB4211_v1:6:8666177:8667148:-1 gene:GSCOC_T00041755001 transcript:CDP03241 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANQVYQEMTFKNTFAGPDPRHVQWVITEAEDDTSCDSESIGEDSGNNSAASSSSSDLAEDASSSPASSPSSTSPSDGPLYELSELTNQLPIKRGLSEHYQGKSQSFTSLANVKSLEDLAKKVSPYGQRMKPCKSYAGLVGRKIGPKAVIAKKSSSRGMGSSFLSSFGSRASFVGSCRHPIPLQKDC >CDP10595 pep chromosome:AUK_PRJEB4211_v1:6:5277081:5280676:-1 gene:GSCOC_T00031363001 transcript:CDP10595 gene_biotype:protein_coding transcript_biotype:protein_coding MESPHNKSNSPHEIGDRLTSDVVVRLRTEEGRDDWVYCHSDILVDKSKYFADRLSDNWPTCQILDSRNCVEVYCEESDFDYHVNALRLFYVRRDGSLSDLWNGVRNAIGILQVAVKLGCPQIITACVEYLEAVPWEESEEEEILKIIPGMGSQVEPILARLQPVNHTSIVKIFLSTLQFATSSPPSQMNDLKTSAQEQLEYMLTEDDDAPLLPADEGIKFQVLQCVKRMFKKFRYLVESLYCGRQDSVSKTGEIQFFHSCLIDLSWAYQILAKLECVKDFVCCWMELSESIINVLNLANPLMETKLKVLEVTAKVIEAIGYGTVVLPATKRFHMVKVWLPFARVVKSLMDTISGDDENDLAIKIDGELWQSLESAFVSLILTLPSSDQAEILTEWLACKHIHEGWHCLVEKMV >CDP06708 pep chromosome:AUK_PRJEB4211_v1:6:2774300:2781352:-1 gene:GSCOC_T00023645001 transcript:CDP06708 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAWHLIILFLKIKIVELLASSPLPSPPLFASLAGFSRSGFYLSPTQLTTKSTTTPKGVQLNPSSETCKIFLRLACDSFSIVVTETEERAGIVRKRRTNTERKAVKRSVKYSSSQEELRNDKKMGRKGSWFSSVKKALSPKSKDKRDQKESKKKWLGKEGTSVTDSSTLETITVSHPHPVPVEEVKLTDVEDEQTKHAYSVAVATAAAAEAAVVAAQAAAEVVRLTSAAPFPGKSVEEVAAIRIQTAFRGYLARRALRALRGLVRLKSLVDGPTAKRQTANTLKCMQALSRVQSQINSRRIRMSEENRALQRQLLQKQAKELESLRMGEEWDDSLQSREQIEANLLHKYEAALRRERALAYSYSHQQTWKKSARSPNLLFMDPTNPHWGWSWLERWMAARPWEQNTGEKELNGDHSSVKSANLSIAAGEITKAFARHQLNSDNPSSPASQKANHPSTSQSPNTPPSKPSPSIAARKLKSASPKVSVPSQDDDSRSVFSVQSERNRRHSIAGSSVRDDESLSSSASVPSYMASTQSAKAKTRLQSPLGRENGTPERASAAPVKKRLSYPPSPARARQHSGPPRVNSSPITDHVTRIRDKEGDEDKNSAIRSSCSFLCWLNVQSDLVVTLGAVEMVAPLVAGLAVAAAAYAGRYGIQAWQAFKARPPTVRMRKFYEGGFQPKMTRREAALILGVRESTSLDKVKEAHRRVMVANHPDAGGSHYLASKINEAKDLMLGKAKSSSSPF >CDP15666 pep chromosome:AUK_PRJEB4211_v1:6:33159731:33163195:1 gene:GSCOC_T00015615001 transcript:CDP15666 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKTYLTTCDQPFALQCYCDRDVKKLCEYRKHCLEYLIPVDDEELIIGPPGRVFRSGLPEYAPYVRHYTIGEYPQRDYAVGRVAGYSALPIYHPTRHLSSGVLEFASPSNIYVPLDRIPKELQNLLSTVNLTTTCRSLAQVSSCQDGEIARIEEVLDEVIEVCELDDDDDTETWTTSGEILSSHRGVDFIRKGQGVVGRAFSSKSACFCRDIRQLSITEYPLVAQARSWKNYACFAVCLRSSCLNNCIYVLEFLLHKNQIDHGDPRTLLNSIMETLKERLGSSFKIASGQELGQKLTVEVIKVSLEDEFDSFEIYNTTGVESTPRLRENNGIVESPHRQELPQNIENTAHDEGNTEINVANPERGGASMEQSGNEVNNVKKQKPICTLRSELGITREVLEQNSSRNLEDAAKAFGVSRSTLKRICREYGINRWPPRKAKKFNQAFVEQRVVQPSTENTKEQHRPDTTRLGDDSSIWVKAEYQGYTMKFRLPFSAHKFNLEEKVAQRLNLPIGSFKIEYQDEENEWIWIACDEDLSTCMSTLSSPGRTTIRMLVR >CDP02994 pep chromosome:AUK_PRJEB4211_v1:6:10841551:10843609:1 gene:GSCOC_T00041448001 transcript:CDP02994 gene_biotype:protein_coding transcript_biotype:protein_coding MPCKSLSALLLSLFVIIITTASAQLTANFYRSTCPNVETLVRNAVQTKFSQTFVTAPATLRLYFHDCFVRGCDASIMIAKPDNSAEKDHPDNLSLAGDGFDTVVKAKAAVDSDPRCKNRVSCADILALATREVVSLAGGPFYPVELGRRDGRISTIASVQHRLPSPNFNLDQLNALFSSHGLDQTDMIALSGAHTIGFSHCRRFSKRIYSFTPQTRIDPTLNLQYAMQLRQMCPTNVDSRIAIDMDPTTPNTFDNAYFQNLQQGKGLFTSDQALFTDNRSKTTVNQFASNKAAFQQAFITAITKLGRVGVLTGNQGEIRRDCTRPN >CDP10075 pep chromosome:AUK_PRJEB4211_v1:6:14897108:14902590:1 gene:GSCOC_T00030670001 transcript:CDP10075 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPSVILATSSYDHTIRFWEAKSGRCYRTIQYPESQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNRGTCYVWRLLRGTQTMTNFEPLHKLQAHDGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLRGHQRWVWDCVFSVDGAYLITASSDTTARLWSMASGEDIRVYQGHHKATVCCALHDGAEPSS >CDP15653 pep chromosome:AUK_PRJEB4211_v1:6:32864340:32865842:1 gene:GSCOC_T00015596001 transcript:CDP15653 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLSHSSTGQDGEIAKIEEALSEVTAICGLDDTETWITSGEILSSHGGVDFIHKGQGVVGRAFSSKSACFCRDIKQLSITEYPLVPIARRFNYSACFAVCLQSPCSSNCIYVLEFFLPMNKKDYRDPRTLLHSLMETLKKRLQNSFKIASGQELGQKLTVEVIKQVDTANAYINGVHEQQNGIVRSTTGQELVQNIVSIAHDEPIVDDPQRDGASIEQRDNEVTNLKMQKPSYTLKSDLGITREVLEQNSTRKLEDAAKNIGVSRSTLKHICREYGIHRWPPRKARKVNQAFAEQKIVQPSPEDTHEHHQSGAARLEDDNGMWVKAEYQGRMIKFRLPFSARNINLEEKVAQLLNLAMGSFKIEYQDEDNDWIWITRDEDLRTSMSTLSSLGRTTIKMLVKLIEHL >CDP03218 pep chromosome:AUK_PRJEB4211_v1:6:8837003:8838190:1 gene:GSCOC_T00041727001 transcript:CDP03218 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGERNHPNPVVHVPPWPASFADDQTADVSMTLSPNANAHSSPSFDDYSFCLQTDVLTALQRFLPSNLKTSLTDLVLEDLDAAENDDGFGKDLDLPVDAYSCDHFRMFEFKVRKCMRGRSHDWTECPYAHPGEKARRRDPRKYHYSGTACPDFRKGSCKKGDACEFAHGVFECWLHPARYRTQPCKDGLNCKRRVCFFAHSPEQLRVLSPRADSYDGSPHRLALEASFGKALQFMSSPESGSPPSESPPMSPMTTSTSMSSLSRSLGSNSVSEIMASLRQLQLNKVQSVPSSWALQAGGSGSGTPRAVMTRPGSYSLPSTPTRTVGRNGMRYFDNWDKGGGEEEPMMERVESGRDLRAKIFQKLSKENPLDWVDPKPSDAPPNPDVGWVSDLIQ >CDP12674 pep chromosome:AUK_PRJEB4211_v1:6:17452407:17452939:1 gene:GSCOC_T00036403001 transcript:CDP12674 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTFQARDWRKRRADMSVYSLFPPSKGKGTSRKQTVHRLLDESDDAGITILGG >CDP02834 pep chromosome:AUK_PRJEB4211_v1:6:12591265:12597322:-1 gene:GSCOC_T00041223001 transcript:CDP02834 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIHGHEEPKPLKDLTGQVCEICGDEIGLTVDGDLFVACNECGFPVCRPCYEYERREGTQLCPQCKTRYKRLKGCPRVEGDDDEEDIDDIEHEFNIDDERNKNTKIAEAMLHGKMSYGRGPEDEEAAQYPPVIAGFRSRPVSGEIPISTHANGEQMFGSSLHKRVHPYPASEPGSARWDDKKEGGWKERMEDWKMQQGNIGPEADHAADADMAMVDESRQPLSRKVPIASSLVNPYRMVIVARLVVLAIFLRYRILNPVHDALGLWLTSIVCEIWFAFSWILDQFPKWFPIDRETYLDRLSLRYEREGEPNMLAPIDVFVSTVDPMKEPPLVTANTVLSILSMDYPVEKISCYVSDDGASMCTFESLSETAEFARKWVPFCKKFSIEPRAPEMYFSLKIDYLKDKVQPTFVKERRAMKREYEEFKVRINAMVAKAMKVPPEGWIMQDGTPWPGNNTKDHPGMIQVFLGHSGGPDVEGNELPRLVYVSREKRPGFQHHKKAGAENALVRVSGVLTNAPFMLNLDCDHYVNNSKAVREAMCFLMDPQLGKKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYEPPKRSKRPKMVSCDCCPCFGRRKKLPQYTKHGVNGDSAVQGFDDDKEILMSQMNFEKKFGQSAIFVTSTLMIEGGVPPSSSPAALLKEAIHVISCGYEDKTEWGTELGWIYGSITEDILTGFKMHCRGWRSVYCMPKLAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPAWYGYKGGNLKWLERFAYVNTTVYPFTSLPLLAYCTLPAICLLTGKFIMPEISTFASLFFIALFLSIFTTGILELRWSGVSIEEWWRNEQFWVIGGISAHLFAVIQGLLKVLAGIDTNFTVTSKASDDDDFAELYAFKWTTLLIPPTTILVINLVGVVAGISDAINNGSQSWGPLFGKLFFAFWVIVHLYPFLKGLMGKQNRTPTIVIIWSVLLASIFSLLWVRIDPFILKTKGPDVKQCGINC >CDP15405 pep chromosome:AUK_PRJEB4211_v1:6:4558767:4559426:-1 gene:GSCOC_T00043129001 transcript:CDP15405 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRLELLLPLIFCLHSHLVALAWDPDPVQDFCIPNAKVGSNNARAQCKNSTEVTPDDFVFSGVKAPGNFSETGLSAKSVNPATFPGLNTLGMSFVRADLQVGGINAPHFHPRATEIAFVVQGKVYSGFVDSTNRVFAKVIEQGEVMVFPRGLVHFQMNVGESTATVFASFNSQNPGVQKIPSTIFGSGINDELLEKAFGLTLEQIGKMRRRFFPGRKG >CDP03215 pep chromosome:AUK_PRJEB4211_v1:6:8857902:8858927:1 gene:GSCOC_T00041722001 transcript:CDP03215 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLRTCFVMITIALVFSLLLPSANAAQVPAPAPSPSSDGVSVDQGIAYVLMLVALAVTYIFH >CDP14165 pep chromosome:AUK_PRJEB4211_v1:6:18352867:18358217:1 gene:GSCOC_T00040414001 transcript:CDP14165 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPTEISSSKMFDGFNKRYKHFSPALGCSMTFSIYFPPSSNPSDKFPVLYWLSGLTCSDENFIIKSGAQRVASIEGVALIAPDTSPRGLTVEGESDSWDFGVGAGFYLNAKQEKWKNWRMYDYVVKELPTLLSENFPQLDISRASISGHSMGGHGALTIYLKNLEKYKSVSAFAPIVNPMNCPWGQKAFTNYLGENKADWEEYDATLLVSKFKDVSATILIDQGEDDKFLHDQLLPNNFAEACRSATVPLLLRMQPGYDHSYYFIATFIDDHIRHHAQALSVV >CDP03359 pep chromosome:AUK_PRJEB4211_v1:6:7618089:7620959:-1 gene:GSCOC_T00041914001 transcript:CDP03359 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSFGRVLDRFCLSSSRSGSCLCINNYASEDERELESKPLITTPETGQLVKIKDVISAPPTLALQLKPQTVVLKVSMHCNGCARKVKKHISKMEGVTSYEVDLETKMVVVIGDIAPFEVLESVSKVKNAELWATPAC >CDP03184 pep chromosome:AUK_PRJEB4211_v1:6:9033466:9039191:1 gene:GSCOC_T00041688001 transcript:CDP03184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MTSALSFGFRRPLSLALRGLILRRFSSSSNPLHCFAEEDKHDGTEKRGPPHHNRPTFIHPAAIVHPEAILGQGVSIGPFCTIGPSAKVGNACQLYPGSHVFGNTELGDNCVLMVGAVVGDDLPGHTVIGCNNTIGHHAVVGAKCQDMKYKPGNECFLEIGANNEIREHTSIHRSSKPSDITVIGDNNLIMGSCHIAHDCKVGSNNVFANNTLLAGHVVVEDHAHAAGAIVVHQFCHIGSFSFIGGGSVVSQDIPKYMMVSGERAQLRGLNLEGLRRHGFSVAEIRSLRAAYRKIFMPIDANLGGVEDRMVQVEQDEELVLVPTVRSMLQSIRDSFAEDRRGICKFRSWIVT >CDP10499 pep chromosome:AUK_PRJEB4211_v1:6:6055091:6056704:-1 gene:GSCOC_T00031245001 transcript:CDP10499 gene_biotype:protein_coding transcript_biotype:protein_coding MERQYSSGTVPLSSCARGWVERITNIFRKEIAINIDHLPPVSVFEVPKTLTLQKPEAYTPQLIAMGPYHHLRPELYQMERYKLAAVKEISTPEQIFNFQHIVINRLKEMDPSTRACYNKFMDYDQDTLAWIVAIDSCFFLHVLHSYLVQDEATDRRLLDNTIVTRDIMMLENQIPLILLKEVRKSLEVSPPNDQDDTELISMLLQLCEAQSPVKFSIDKTNQDRYRRPLHLLDMMYRVIVNSPGCVVSGSLENRPVQTVPVYTEFKNSLTSSSSTSSSSSSDGEDPDVVHNNLEAILDVVETIGTKRAQDLLRPVKAVSSIPWSALSGLFRKGNLSTGERNPEDDEIAIPSVSRLWHYAGVQCKPFIGCINEINFVEGEATLYLPVMNLNASSEVIMRNLVAYEAAMCKSTLEFARYVNLMNGIIDTAEDVKLLKQNGVIKGALMDDEIADQFNGMKRCYAGSDQKSNIEVAIDKVNDFYSKKLLVMMVRRLKKDLYASWKCLAVVSTVALLVVLSMQTFCEFYQCSKIWNFNKESS >CDP03152 pep chromosome:AUK_PRJEB4211_v1:6:9276014:9280727:-1 gene:GSCOC_T00041650001 transcript:CDP03152 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGPGLKELEYLSLVSKVCSELETHLGVGEKVLAEFITEIGRNCENVDEFDAKLKENGAEMPDYFVRTLLTIIHAILPPKPKSEKEPKVEEKKSGYSALKIADSREKVKELEREIQLEARSKQREEEEKEEDRYRDRRERDRDRDRGRRRERERDGNRDRDRDRDRGRDRDRDRDRGRDRDRDRKRERDDGYDNDETRKRHMQSRHERSGRDGYDHEPELYQVYKGRVSRVMESGCFVQLNEFRGKEGLVHVSQMATRRITNAKDVVKRDQEVYVKVISMNGQKLSLSMRDVDQNSGKDLLPLKKSGEDDGLRANPNSNGGPVTKTGLSGIKINEEDDSMPSRRPLKRMSSPERWEAKQLIASGVLGVKEYPMYDDEGDGLMYQEEGAEEELEIELNEDEPAFLQGQSRYSIDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTKGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVVMLDEAHERTIHTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDASLITVLQIHLIEPEGDILLFLTGQEEIDYACQCLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPAPLGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYPLYTESAFHNEMPPTTIPEIQRINLGTTTLNMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVSDPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >CDP14280 pep chromosome:AUK_PRJEB4211_v1:6:36372305:36378292:1 gene:GSCOC_T00040583001 transcript:CDP14280 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADAVENANSGSVENAGTSGASGASATSKSAYVPPHLRSKQPTAEPPAPSHTGPPSASDRFGYGGASGGPRYGVPRSDYGRQGYGGGGRGAGGWGSRSGGWSREREVNPFGNDDADGLAESTFSEQENTGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGDALNLNIRRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGGFPPRPRGTRTVFPLALILSPTRELSMQIHEEARKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERAKVSLQMIRFLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPKEIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEFVPESDKRSHLMDLLHAQRSNGVHGKQALTLVFVETKKGADALEHWLCMNHFPATTIHGDRTQQERELALRSFKSGNTPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNESNMSLAKSLAELMQEANQEVPDWLTRYASRASYGGGKGRRSSGARFGGRDYRRDSSYNRSGGGSDYYGGGGYGNYGNYGGGYGAGATSAWD >CDP10548 pep chromosome:AUK_PRJEB4211_v1:6:5668381:5682522:-1 gene:GSCOC_T00031306001 transcript:CDP10548 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPQPLDQQEDEEMLVPHSDFVEGPQPLVEGPQPMEVAQPENASTAENQAVDEPQASRFTWTIENFSRLNLKKLYSEVFIVGGYKWRVLIFPKGNNVDYLSMYLDVADSATLPYGWSRYAQFSLAVVNQIHNKFTIKKDTQHQFNQRESDWGFTSFMPLSELYDPSKGYLVNDSVVVEADVAVRKVIDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNKYHAEEHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRESGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICDVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLREQIGKDIHFDLVDHDKVRSFRIQKQMPFNLFKEEVAKEFGIPVQYQRFWIWAKRQNHTYRPNRPLTPQEETQTVGQLREVSTKTHNAELKLFLEVEYGLDLRPIPPPDKTKEDILLFFKLYDPEKEELRYVGRLFVKCSGKPSEILTKLNELAGFAPEEEIEIFEEIKYDPNVMCERLDRRTSFRFSQIEDGDIICFQKRLSPESEEQVRYPDVPMFLEYVKNRQVVHFRALERPKEDDFCLELAKNHTYDDVVERVAQRLGLNDPSKIRLTPHNCYSQQPKPNFIKYRSVDHLLDMLVHYNQVSDILYYEVLDIPLPELQCLKTLKVAFHYATKDEVIILNVRLPKLSTVEDVLNEIKTKVELSQPNAELRLLEVFYHKIYKIFPLNEKIENINDQYWTLRAEEIPDEEKNLGPHDRLIHVYHFTKETAQNPMQVQNFGEPFFLVIREGETLAEVKVRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDTDIVSSRFQRRDVYGAWEQYLGLEHSDTTPKRAYVSNQNRHTFEKPVKIYN >CDP06641 pep chromosome:AUK_PRJEB4211_v1:6:2294463:2296906:-1 gene:GSCOC_T00023560001 transcript:CDP06641 gene_biotype:protein_coding transcript_biotype:protein_coding MILEGAEANWKCSTLCQRSLLVYVIANAASGMAVHDDCKLRFLELKAKRTYRFIVYKIEEKQKQVVVEKVGEPTKSYEDFTASLPVDECRYAVYDFDFVTAENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIKSRAS >CDP14189 pep chromosome:AUK_PRJEB4211_v1:6:18617434:18618881:1 gene:GSCOC_T00040444001 transcript:CDP14189 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLNSVDLIQFCFVWAYLLFCSFFAAHMSGPCEINLALSRSTVVLNLELNKSAKNPSSCGEQWK >CDP14297 pep chromosome:AUK_PRJEB4211_v1:6:36154907:36157571:-1 gene:GSCOC_T00040607001 transcript:CDP14297 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGIVSAAANSRDQDKIHTDVLLFNRWSYDDVQVSDISVDDYITATAAKHPTYMPHTAGRYQVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDSNPIQIIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >CDP15361 pep chromosome:AUK_PRJEB4211_v1:6:4121558:4132533:1 gene:GSCOC_T00043061001 transcript:CDP15361 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVENSTVIVKGPQIENSWIPSTPAKPGLEAQPIYTETQERQPLQANWSQQSLPCEGNASDGCRKAGKSIKFQQEIKVHSVATCCGENNYVAAARNSDGLDATSAAESRLHEVPLKFFQELSLETDKWGNVSFQELMALADAAGTAKAAEEASKITGLNAENMSCCIQFTQLPEISTSSSLENQGLDSSSQNNPKTPHCENVISSRLCFDLNSPPRTIADASTTLVSSQFEPVTPDPTRRTDGLKVSNSAAAAKETRMQNEVMMTPELHELQNNQSVLVENQSWATISTVIGENFKPEVGSEQQTDLTKTPLQKPRRRKHRPKVVVEGQPNTKRNRNRGTPKPTGHQEIAKPKRKYVRKSTVGKPPVDTPLEGKTDGTDLEAKPSSSENVPKSKRKYVRRKGVEQSTTPLEVGCTTRNDTMPVRVTRSSCKKSLNFNSEGRGPDERSTQPPLDSHSQASIPEDQSRSTMKLGQGMEVTVEKTEVGVAYDLTQTIDRAFQGYLFVNESQPSGDTTYCTSNQNGCTRGKCQIVFSDVTHDKEASNFGTLSSDARCVTGSTSSCSSSACLTQETQSRKSKSQRSFRSDESESRRINATRAHYNLLQACQELFPPYGYNCQGTPSMQFPTIFKKKRTENSHKSATSSILQKSRTDFMMSAAHSDANSIPTAAAAADGTRHEQNPFVCLPILRSAERLTKKRSKGPIRVRDFASLHEIWGQFPTSSRGMLSSGVLKGPHTCMDALVADNRPRMTTKKRSKRNSVASNSSFSNTYDHQKFAKMSMGSSTKLWKNKSSTIDAIIEQFNYLDIQKEHNGLFNQEQNALVTYQVDCPWQNSIVLYQSNGSVVPYDGLSSQIRKRRPRPKVDLDEETNRVWKLLLEDINNDGIDGTDQQKAQWWAGERAVFRGRADSFIARMHLVQGDRRFTPWKGSVVDSVVGVFLTQNVSDHLSSSAFISMAARFPLKSKSNHYHTQPCEQQTTEGQVIIDPDDTIKWHKKVSSQLACLQDSVTLCDKECNEEVTITRDSSGDTSEGTTKATKILRSISSDSSECSPKLYNEPDISSLKSQDDQRLASFNGDSRELDVISSQNSVISSHSSVETINKAESYSQSSSEAEPADGTCGSFVKLLQMAGTTMLHGVYNEGSRKRSSSLGMPTKSEGVNSGFGELRNFDLSEDNRFCDAYKENELFVTEQIGSAESSAGVPCQNTIAISFEELQKFSIENSDSNSPNNHQRPIEDQETELVSEVQKQENNCRVGQVSNPLSSSECILDVSGKTQITRNQKAVHLNLNDCNTIVEKIDEVSPSISNAKRGRNGKEKKDAIDWDSLRIQALSNGEKRERTANTMDSVDWEAVRCAEVEDIAETIKERGMNNMLARRIQDFLNRLVTDHGSIDLEWLRDVPPDRVKDYLLSVKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKFLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNSCPMRGECRHFASAFASARLALPAPEDKSIITAAQYRDPNTNPEENINHLQLPLPQTSQQLESGSQVGTCGPIIEEPATPEPIIEQPASPQLEHTQIPELDIEDAFHEDEDADEIPTIKLNIEELNQNLLNYIQKNVVLTSESEMSKALVVLTPEAASIPTTKLKNISRLRTEHYVYELPDAHPLLKGFDQREPDDPSSYLLAIWTPGETIDSIQPPERSCSFQESGKLCDRETCFSCNSIREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPIDVPRNWLWNLRRRTVYFGTSIPTIFKGLSQEEIQYCFWRGFVCLRGFDRKTRAPRPLVARLHFPASKLTKKRGRTDES >CDP02922 pep chromosome:AUK_PRJEB4211_v1:6:11523073:11529085:1 gene:GSCOC_T00041343001 transcript:CDP02922 gene_biotype:protein_coding transcript_biotype:protein_coding MLITVREVSSLSFNRTLIPFGFSKQNFSKPNSYCYDCYRTKDQKKNSSISVCRAALTVPTKPGVPTKSSSSSCEISSFDPLGISSDRLSELYAAWDNVLGFLSQTFESSSGTRKDRASPKGVAAAIEDTSIDFGDFFKGPLPGKFLKLLGFLALSRLGIYIPLGGVNQEAFVGNLDQNSFLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLTQIYPKLQELQKREGEAGRKKIQQYTRYASVGFAIVQAVGQVLFLRPYVNDFSTQWVLSSVILLTLGSVFTTYIGERITDLKLGNGTSLLIFTNIISYLPASFGRTVAQAYQDGNYVGLVAIIISFFLLVLGIVYVQEAERKIPINYASRYTSRTGGLKKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLEALKKAALSLNPGGSFYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTAAVIKTVLSRISVLGSGFLAILAAGPAVIEQTTHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYRDIEIYDIGKY >CDP10538 pep chromosome:AUK_PRJEB4211_v1:6:5728681:5729370:-1 gene:GSCOC_T00031296001 transcript:CDP10538 gene_biotype:protein_coding transcript_biotype:protein_coding MTESFMVKRSRKISARAWAAGSHADNNLGFQCGGWSSIEHLRNRHHFSVGTTILGAIRKTIHQPTEVIFEETPDTNQSKHTKFVKSNNFSGAIVAVGELPYAKTP >CDP06606 pep chromosome:AUK_PRJEB4211_v1:6:2044227:2047623:1 gene:GSCOC_T00023514001 transcript:CDP06606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:Projected from Arabidopsis thaliana (AT2G28950) UniProtKB/TrEMBL;Acc:A0A178VZL6] MAQMCPLCIAALLCFLTVVNARLPGAYSGGPWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNDGLSCGACFELKCADDPKWCLPGSPSIFITATNFCPPNFALPNDNGGWCNPPRPHFDLAMPMFLKIAQYRAGIVPVNFRRVPCRKRGGIRFTVDGFRYFNLVLITNVAGAGNVMRASVKGTRTQWLPLSRNWGQNWQSNAQLAGQALSFRVTTSDRRTSTSWNVAPPNWQFGQTFVGKNFRIYT >CDP15354 pep chromosome:AUK_PRJEB4211_v1:6:4064012:4064838:1 gene:GSCOC_T00043049001 transcript:CDP15354 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDPQGQPLDPKKIQHHFEDFYEDLFEELSKYGEIQNLNICDNLADHMVGNVYVQFREEDHAAAALQNLSGRFYAGESSFLSHFLFIIIVLTE >CDP10486 pep chromosome:AUK_PRJEB4211_v1:6:6146688:6150042:-1 gene:GSCOC_T00031230001 transcript:CDP10486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triphosphate tunel metalloenzyme 3 [Source:Projected from Arabidopsis thaliana (AT2G11890) UniProtKB/Swiss-Prot;Acc:Q9SIY3] MLRRKATKIEVKLDDKEELEESRTSNLWAGHILRRITTSFAKQMEVEVKLRLPDSAAHRKVLSLLSPFHTKTHHQRNSFYDGAAGELSSRRAVLRLRFYENSQPLKCFICLKAKAVIVDGVSRVEEDEEEVDFEVGLQCLEDATKLVDVDSRVARRAREEFGVKAGFVGLGGFRNVRNVYERMRLSTHIRRCQSLRLFGLESCLSNYCHVGGCLLIPVKKQKVDAAINQAF >CDP03199 pep chromosome:AUK_PRJEB4211_v1:6:8941661:8945273:-1 gene:GSCOC_T00041704001 transcript:CDP03199 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLKQLQSKASQATQFVSKHGCAYYKQLVEENKQYIQQPPTVEKCNELSKQLFYTRLASIPSRYESFWKEVDYVKHLWKNRHELKVEDAGIAALFGIECFAWFCAGEIVGRGFTFTGYYV >CDP10124 pep chromosome:AUK_PRJEB4211_v1:6:13997936:13999893:-1 gene:GSCOC_T00030740001 transcript:CDP10124 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCDLPILQVKIVDDSRPAAHRVVESFLNKFFPSGYPCPIVNEGFLRCTQFQLLKHFTSATLSVSSIQVLFIIYEAFAK >CDP03253 pep chromosome:AUK_PRJEB4211_v1:6:8538068:8541581:1 gene:GSCOC_T00041771001 transcript:CDP03253 gene_biotype:protein_coding transcript_biotype:protein_coding MSETTQWSSQLTFLIFTIFFLSLPFLGNSQTTKTNPEEQTILLKLKQQWLNPPSLSHWTSSSDHCTWPEINCTGGSVTELNVSNLAITETIPSFICDLKNLTVLDLKNNFIPGSFPTVLYNCSKLEYLDLSQNFFTRLKKLRFLWMFQTNLIGEIPQDIGNMTALESLNLADNDLSGNIPGGLFQLKNLSILSLYKNRLVGSIPSSIEALNLEQIDLSNNSLTGKIPDEFGKLTNLTRLALFFNELSGEVPTSLGMLPSLVDIKLFYNNLSGQLPPDFGRHSKLSSFQVSANNFTGNLPQDLCKNGVLLGVVAFGNSLTGELPPSLGNCDSMQVVQLQGNQFSGQIPDGLWTTNLTTLLIQNNSFTGQLPDKVASGLSILDISNNQFSGEIPAGVSSWNNLREFKASNNLFSGKIPQELTSLPELGTLLLDGNRLSGSLPSSIISWKGLNVLSFSNNELSGQIPAAIGLLPVLNALDLSENDFSGQIPAQIGLLRLNSLNLSSNRLSGSIPGEFENAAFDRSFLGNAGLCSRNPSLGLNACASQTRESNKLSAKFVAAVSSIAAFGFLVALVYTFFLIRGYKKKKQGLDSTWKLTSFQKLNFTAPSLLSSLTESNMIGSGGSGNVYRVPINSSGAYVAVKKICNSKRLDHKEFLAEVEILGTIRHSNIVKLMCCISTDSSKLLVYEYMENRSLDRWLHCKRNRSANTGSIHHIVLEWPKRLQIAIDAARGLCYMHHDCSPSIIHRDLKSSNILLDSEFNAKIADFGLARMLVKDGEPNTMSVVAGSFGYIAPEYAQTRRVNEKVDVYSFGVILLELVTGREGNYGDETSSLAEWAWRHFQEGKPIIDAFDEDIMEPCYLDEIANVFKLGIFCTGLVPSNRPTMRDVLQILLRSVHSVPMGEKNGRSEYDFAPLLNNSKREKSLIDEDGSFDSMV >CDP02966 pep chromosome:AUK_PRJEB4211_v1:6:11098526:11102124:1 gene:GSCOC_T00041408001 transcript:CDP02966 gene_biotype:protein_coding transcript_biotype:protein_coding METKQDQQEFVFRSKLPDIYIPNHLPLHSYCFENIPEFCNRPCLINGTTGDIYTYADVELIAREVIMLLLQNSPEFAFALLGASYRGAMSTTANPFYKPAEIEKQARASKAKLIITQSCYVEKVMDFAKENNVKVMCTDAPPEGCLHFSELSSADEKVIPAVKINPNDAVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFHKEDVILCVLPLFHIYSLNSVLLCGLRVGAAILIMQKFEINALMELVQKYKVTIAPFVPPIVLEIAKSPVVDKYDLSSIRMVMSGAAPMGKELEDTVRAKLPKAVLGQGYGMTEAGPLLSMCLAFAKEPFDVKSGACGTVVRNAEMKIVDPETNLSLPRNQAGEICIRGDQIMKGYLNDPEATENTIDKEGWLHTGDIGYIDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLLSHPGISDAAVVSMKDEAAGEVPVAFVVRASGSKISEDEIKQFISNQVIFYKRIHRVFFMDKIPKAPSGKILRKDLRAKLAAEVACN >CDP15632 pep chromosome:AUK_PRJEB4211_v1:6:31953569:31953739:-1 gene:GSCOC_T00015554001 transcript:CDP15632 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIYRQKYSIIFNVKLESIRRKIKHWIELLFGANLITVNRHQLPKKGRRMGPFTR >CDP03054 pep chromosome:AUK_PRJEB4211_v1:6:10081968:10087730:1 gene:GSCOC_T00041531001 transcript:CDP03054 gene_biotype:protein_coding transcript_biotype:protein_coding MVETGTTSSWWRTQLQIHHHRRWISTFFTTRKTLLAALWIAFFFTVFLWQQRSAAAGFSIFRRPISSRAFPKLRPVAFNLTDFGAVGDGVTVNTAAFERAMMAISKRGKKGGGQLNVPPGYWLTAPFNLTSHLTLFLAEGAEILGINDEKYWPLMPPLPSYGCGREQPGPRYGSLIHGQNLKDVVITGHNGTINGQGQTWWRKYRQKVLNHTRGPLLQIMWSSDILISNITLRDSPFWTFHPFDCKNVTVRNVTILAPVFEAPNTDGIDPDSCEDMVIEDCYISVGDDAIAIKSGWDQYGIAYGRPSRNILIRNLVVRSMVSAGISIGSEMSGGVSNVTVENVHVWGSRRAVRIKTAPGRGGYVRQITYRNLTFENVRVGIVIKTDYNEHPDDGFDPKAVPTLEDINYFSIHGEGVRVPVRMHGSSEIPVRKVTFRDMSVGITYKKKHIFQCAFVEGRVIGTIFPAPCENLDLYDEQGHLIRRSTSQNVSDIDYDF >CDP03328 pep chromosome:AUK_PRJEB4211_v1:6:7892113:7893951:1 gene:GSCOC_T00041873001 transcript:CDP03328 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHWALSSYKIFLVADFGLAKFSSDVNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVVLLELITGRRPVDSNQSFMDDSLVDWARPLLTRALDDENFDQLTDARLQNGYNKNEMARVAACAAACVRHSARRRPRMSQVVRALEGDVSLSDLNEGIRPGHSTVYSSYGSSDYDTAQYNEDMKKFRKMALQSKEYGSSEYSGPTSEYGLYPSGSSSEGQTTREMETGKLKKDSRGFSGGS >CDP06593 pep chromosome:AUK_PRJEB4211_v1:6:1948903:1951633:1 gene:GSCOC_T00023500001 transcript:CDP06593 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSFVKHLLVVLISFWVFADPAFAKHGGITRHYKFDVRMQNVTRLCQTKSIVTVNGQLPGPQITAREGDRVIIKVVNHVQYNLTVHWHGVRQLRSGWADGPAYVTQCPIQTGQSYVYNFTVTGQRGTLFWHAHISWLRVTVYGPIVILPKRGVPYPFPQPYKEVPIIFGEWWKTDTEILINQAMQTGLAPNVSDAFTINGLPGHLYNCSAKDTFKLKVKPGKTYLLRLINAALNDELFFSIANHSLTVVEADAVYTKPFKTDTVLITPGQTTNVLLKTKRHSPNATFLMAARPYATGPAAFDNTTTAGILEYHLPSPVPKSNKNKIHLPLLKPSLPIFNDTTFAANFNKRVRSLATPNFPANVPQKIGRRFFFTVGLGIMGCPQSQTCQGPNNTRVAAAVNNVSFVMPTTALLQAHFFNQNNGVYTTDFPANPPFNFNYTGTPPANIMVSSGTKVVVLPFNTSVELVLQDTSIIGAESHPLHLHGFNFFIVGQGIGNFDPKKDPAKFNLVDPAERNTVGVPSGGWIAIRFLADNPGIYIYITNYDGKGRKQKLPPPPSDLPKC >CDP06726 pep chromosome:AUK_PRJEB4211_v1:6:2891600:2903634:1 gene:GSCOC_T00023673001 transcript:CDP06726 gene_biotype:protein_coding transcript_biotype:protein_coding MHANLRLALNLFVRRGNNIIRSTSPFAISKLSSFSKAVTMVESETKSSEMEKKDSTEAGLASEPKQLTPEELERKKKKEEKAKEKELKKLKAAQKAEAAKLQAQQSSNAPKTGKKKNLKREGLEDNPEDYVDPETPLGEKKRISRQMAKAYNPSAVENSWYAWWEMSNFSVADSSSSKPPFVIVLPPPNVTGALHIGHALTAAIQDTIIRWRRMSGYNALWVPGMDHAGIATQVVVEKKLKKEMNKTRHDFSRQEFVDEVWKWKNKYGGTILKQLRRLGASLDWSRECFTMDEQRSKAVTEAFVRLYEEGLIYREIRLVNWDTILKTAISDIEVLHDDVFGRELRQIPGYKDPVEFGVLTSFAYPLEGDLGEIVVATTRVETMLGDTAIAVHPDDKRYKHLHGKFAIHPFNGRKLPIICDAILVDMHFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINRNGGSEFAGMLRFEARVALTEALKKKGLYRGFKDNPMRLGICSRSQDVVEPLIKPQWYVNCKGIAKEALDVVMNDDNRKIEIIPKQYAAEWKRWLENILDWCISRQLWWGHQIPAWYVTLKDDEQKEIGSYNGRWVVARNEKEAQEEAQQLFPGKQFQLSQDPDVLDTWFSSGLFPLTVLGWPDDTKDLRTFYPTSVLETGHDILFFWVARMVMMGIKLGGDVPFRKVYLHPMIRDAHGRKMSKSLGNVIDPLDVITGITLEELHKKLEESNLDPTELEKAKEGQVKDFPNGIPECGADALRFALVSYTSQSDKINLDIQRVVGYRQWCNKLWNAIRFAMGKLGDDYTPPVDVVPDVIPFSCQWILSVLNKAISKTVLSLDSYEFSDAATAIYSWWQFQLCDVFIEVIKPYFSSKDSEFVSEKKFAQDTLWVCLDTGLRLLHPFMPYITEELWQRLPSRSDCARKESIMICDYPSTVEVWNNDTVESEMDLVEAVVKSLRSIRSLLPANERHERRSGFVLCRSNGATGIIQRRQLEISTLASLSSITVLGENDVSPAGCAVSVVNEVVSVYLKLRGSINAEAEREKLKIKMEEIQKQRDSLEKMMNASGYKEKVPAHIQEENVAKLSSLMKEILSFEEAFQHLERKMET >CDP15419 pep chromosome:AUK_PRJEB4211_v1:6:4634092:4642259:1 gene:GSCOC_T00043147001 transcript:CDP15419 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRSSTTGSSPLLRINSNFSALEARVSLVFALATQTFSLSQRLLMELASETAKYVFPSRRFESRTLEEALMSVPDIETLNFKVLSRNDQYEIREVESYFIAETTMPGKSGFDLNGASKSFNVLAEYLFGKNTKKEKMEMTTPVFTSKSQSEGEKMDMTTPVITKKVAGQDKWKMSFVMPSKYGSNLPLPKDPSVRIKEVPKKIVAVIAFSGFVSDEEVERRESTLREALKKDTEYRVKNGASAEVAQYNPPFTLPFTRRNEVALEVERKQE >CDP03280 pep chromosome:AUK_PRJEB4211_v1:6:8237414:8238423:-1 gene:GSCOC_T00041814001 transcript:CDP03280 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHTWRLTDCVVMQLAENGRWNPFKAVNDSNGILLCTLQKEYERKGLKEGKDTWFVHCWGLSGGLDSE >CDP03021 pep chromosome:AUK_PRJEB4211_v1:6:10519659:10531866:1 gene:GSCOC_T00041490001 transcript:CDP03021 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMRDLDPAFQGAGQKAGIEIWRIENFRPVTISKSSYGKFFTGDSYVILKTTALKNGALRHDIHYWLGKDTSKDEAGTAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHAEAEEHKTRLFVCKGKHVVHVPFARSSLNHDDIFVLDTNSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAIEDGKLMADAETGEFWGFFGGFAPLPRKTTTEEAKSTDDNSTRLFRVEKGQAEPIEADSLTRELLDTNRCYILDCGTEVFLWMGRATSLDERKSASGAADELVRSLDKGKCHIVRVIEGFETVIFRSKFDSWPQSTSVAVSEDGRGKVAALLKRQGVNVKGLLKATPPKEEPQPYIDCTGNLQVWHVNGQEKTLLPSSDQSKFYSGDCYIFQYSYAGEDKEEYLIGTWFGKQSVEEDRVSAASQASKMVESLKFLATQARIYEGSEPIQFFAIFQSFIVFKGGLSEGYKKYIAEKQLQDDTYTEDGLALFRVQGTGPENMQAIQVEPVASSLNSSYCYILHSGSSIFTWSGNLTTAEDQELVERQLDIIKPNMQCKVQKEGAESEQFWDLLNGKSEYPSQKIGRDAETDPHLFSCTFSKGDLKVTEIYNFNQDDLMTEDIFILDCHLDIYVWVGQQVESKNKTQALAIGEKFIERDFLLEKLSPQTPIYSVVEGSEPPFFTRFFTWDSAKSGMHGNSFQRKLAILKGGGTPQLDKPKRRTPVSYGGRSPAPEKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPVVRKLYPKSGTPESAQQDSRSAAIAALSAGFEQPAPARGTLIPRSVKVSPEAPKPKLETNSRENSTGSLTESPKLKPETIQEDMKEGEAEDEEGLPTYPYERLKIGSSDPITEIDVTKRETYLSSEEFKEKLGMAKAAFYKLPKWKQNKLKMALQLF >CDP10480 pep chromosome:AUK_PRJEB4211_v1:6:6181167:6183118:-1 gene:GSCOC_T00031219001 transcript:CDP10480 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISPIQPTSSQSTAHSPLLRQLPLSRSHHLHHRHSRFCFHLLQLSRRRHPSALLIRALDAAQPYDYETRLSRRFSQSTALKIAIIGFGNFGQFLAKAFIRQGHTVLANSRSDHSSVAQSLGASFYADPHDLCEQHPDVILLSTAVIHTEQVLKALPIQRLRRNTLFVDVLSVKEFPKNIFLQYLPSHFDILCTHPMFGPESGKDGWTGLTFVFDKVRIGPQKSREARVEDFLQIFEKEGCRMVEMSCAEHDKYAAGSQFITHTVGRVLEKLSLETTPINTKGYERLLDLVENTAKDSFDLYYGLFMYNKNSMEQLERLDLAFESLKKELFGHLHEVLRKQLFGKVEEGVQGPVLSKLPNNVAAFPPPKTNTVEINNN >CDP06527 pep chromosome:AUK_PRJEB4211_v1:6:1462003:1466055:-1 gene:GSCOC_T00023411001 transcript:CDP06527 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSQSQSQKRWFTIGLVSAWYASNIGVLLLNKYLLSNYGFKYPVFLTMCHMIACSLFSYIAIVWFKMVPLQTIRSRLQFLKISALGLIFCGSVVSGNVSLRYLPVSFTQAVGATTPFFTAFFAYLMTWKREAWVTYVTLVPVVAGVVIASGSEPSFHLFGFVMCVGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVFILLPATILMEQNVVSITVALARSDIKIIWYLLLNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISIMIFRNPVSVIGMLGYVLTVTGVVLYSEAKKRSR >CDP10479 pep chromosome:AUK_PRJEB4211_v1:6:6183936:6190320:-1 gene:GSCOC_T00031218001 transcript:CDP10479 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILPDLATEILIPVCAVIGIIFALVQWLLVSKVKLSHDKFDAGADGKNGFTEALIEEEEGINGDSIVQKCADIQNAISEGATSFLFTEYQYVGVFMVAFAILIFVFLGSVEGFSTKSQACTYDSSKLCKPALGTAVFSTVSFLLGAITSVLSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLFIAINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDLTAMLYPLLISSVGILVCLITTLFATDFFEVKAVKDIEPALKKQLIISTALMTIGIAIVSWIALPSSFTIFNFGVQKEVQNWQLFLCVAVGLWAGLIIGFITEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGVSDHAKSLGPKGSDPHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >CDP12655 pep chromosome:AUK_PRJEB4211_v1:6:15996174:15997294:1 gene:GSCOC_T00036363001 transcript:CDP12655 gene_biotype:protein_coding transcript_biotype:protein_coding MIFALVYCTAGISGIHINPAGSFGLHLSSCRSILVIRTSRKRTRIYTSSS >CDP10143 pep chromosome:AUK_PRJEB4211_v1:6:13827612:13831028:-1 gene:GSCOC_T00030761001 transcript:CDP10143 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTSAEREIVRDVKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >CDP15281 pep chromosome:AUK_PRJEB4211_v1:6:3498925:3501216:1 gene:GSCOC_T00042952001 transcript:CDP15281 gene_biotype:protein_coding transcript_biotype:protein_coding MVFATILIMAMSGATYMFGIYSEDIKSSLGYDQTTLNLIGFFKDLGANLGIISGLVNEVAPPWLVLSLGAVMNFSGYLMIWLSVTGRIARPAVWHMCFYIFIGANSQTFSNTVALVSCVKNFPESRGVVIGLLKGFVGLSGAIITQLYRAFYGHDSKSLILLIGWLPAAVSLIFLRTVRIMRVVRQATELNMFYRFLYVSLILAGFLMITIIAQNWLAFTRLEYGASASVVIVLLSMHLLVVITEEFKLWKRKRGETSYDPPPASEFAPQAAKAETPLADPPNQEETPVSCLGKVFRPPERGEDHTILQAVCTIDMLILFAATTFGVGGTLTAIDNLGQIGKSLGYPKKSIATFVSLVSIWSYLGRVASGFASEILLAKYKFPRPLMLTLVLLVSCAGHLLIAFGVPYSLYVSSLIAGFCFGAQWPLIFAIISELFGLKYYSTLINLGAGASPFGNYILNVQIAGHFYDREALKQMAAKEVTRRAGEDLTCDGVECYKLSFLIITATTLFGCVVSLVLYLRTRNFYKGDIYKKFKEQGQVKDPEMNSVTIAPLLPAAR >CDP14343 pep chromosome:AUK_PRJEB4211_v1:6:35706310:35709072:-1 gene:GSCOC_T00040675001 transcript:CDP14343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin IX monomethyl ester [oxidative] cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56940) UniProtKB/Swiss-Prot;Acc:Q9M591] MAAAAAADMALVKPISKFAGATPRRMSLIYNDNDNNKSGSFSRRSRTMIRMMSTSAPTATSGSGAPPRQSKKASKQGIKETLLTPRFYTTDFDEMETLFNTEINKNLNQAEFEALLQEFKTDYNQTHFVRNKDFKEAADKMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEYQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWARFFCLSVYVTMYLNDCQRTAFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKMRLDRMVEINEKLIAVGESEDISLVKNLKRIPLIAALASELLATYLMKPIESGSVDIAEFEPQLVY >CDP06558 pep chromosome:AUK_PRJEB4211_v1:6:1653743:1657405:-1 gene:GSCOC_T00023449001 transcript:CDP06558 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATEQSVMGVIRAARPSFRNAHDKAAFAVHASFLASGFILHATGPPAFSDDVLSSTSTEEVGIEHWNEFDDSYAFVYSNPDKGSQKILVKCLAMNDKLLVDALAEGDSEPVHLEINVPDYVAEDGRNNYTTMFKNIGKLVRDVDKEILGKLVRSPTASSSAHNSSSKSRVPEGDESKSDQPSPRVSEPDEPSIFNPPNPSFIVPPVPGSGGDDLFPGPGAGVYPRGNFGGPGSMLIGPNDPRWFGSGNRPPHFPGGPQPGVPPGARFDPYGPPGVPGFEPNRFIRHPRRPGGGTHPDLEHFGDGSDII >CDP02971 pep chromosome:AUK_PRJEB4211_v1:6:11059337:11064843:-1 gene:GSCOC_T00041415001 transcript:CDP02971 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHRIACQILVVAYTAIVLLSFSSFSSILFEPLRFEEMSSCPAPFSEIGRRARDVLAKDYNYDQKITISIPSATGMGLTATGVKRDQIFVGDISTQYKTGRTTVDVKVDTYSNVSTKVTLNEVLSSTKAAFSFNIPDHKSGKLEIHYLHPHAAINSSIGLNPSPFLEISGAIGLKELAVGGEIGFDTASSSFTKYNAGISFNKPDFSAALILTDKGETLKASYVHTINPLSGNEVAAEMIHRFSTYENSFSIGSVHKIDPLTTVKTRFFDNGKISMLCQREWRAKSLVTVSAEYDSKSINSTPKLGLAVALKP >CDP06706 pep chromosome:AUK_PRJEB4211_v1:6:2761758:2763842:-1 gene:GSCOC_T00023642001 transcript:CDP06706 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAQRNNFGGDFKSIGREGLGYQPKPHPSLSSRKSRLTAIMGKTRGMGAGRKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >CDP10110 pep chromosome:AUK_PRJEB4211_v1:6:14325289:14328800:1 gene:GSCOC_T00030722001 transcript:CDP10110 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPQEDFQLKETKPHLGGVKITGDKLTSTHDLVEQMQYLYVRVVKARDLPAKDVTGSCDPYVEVKLGNYRGTTRHFEKKTNPEWNQVFAFSKDRLQSSNLEVTVKDKDFVKDDFIGRVMFDLNEVPKRVPPDSPLAPQWYRLEDRQANKVKGELMLAVWMGTQADEAFPEAWHSDAAAVSGAEGLANIRSKVYLSPKLWYLRVNVIEAQDLIPSDKSRFPEVFVKVMLGHQALKTRVSMSKTINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCAIPLHQLERRLDHKPINSRWYNLEKYVTVVEGEKKREIKFASRIHTRICLEGGYHVLDESTHYSSDLRPTAKQLWKSTIGVLELGILNAQGLSPMKTKDGRATTDAYCVAKYGQKWVRTRTIIDNFTPRWNEQYTWEVYDPCTVITIGVFDNCHLQGGDKSGGTRDLRIGKVRIRLSTLETERVYTHVYPLLVLNPSGVKKMGEIHLAVRFTCSSLLNMMHLYSQPLLPKMHYIYPLTVSQLDILRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLGGLIAVGKWFDQICNWKNPITTVLIHILFLILVLYPELILPTIFLYLFLIGVWYYRWRPRNPPHMDTRLSCADNAHPDELDEEFDSFPTSRPADIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYVLRHPRFRYKLPSVPLNFFRRLPARTDCML >CDP18641 pep chromosome:AUK_PRJEB4211_v1:6:15151284:15152420:-1 gene:GSCOC_T00004130001 transcript:CDP18641 gene_biotype:protein_coding transcript_biotype:protein_coding MASIATSKLIFTAFLMLTVLASQAVCRNLNEASMIEKHEQWMAQNGRVYKNEAEKGNRYKIFKENVEFIESFNKAGTKSYKLGINQFADLTNVEFRAARNGYKPKECKGTLFRYENVSAVPAAMDWRKKGAVTGIKDQGQCGCCWAFSAVAATEGIHQLKTGKLISLSEQELVDCDTAGEDQGCNGGLMDDAFTFIINNKGLTTEANYPYQAVDGTCNTNKEAKPAAKITGFEDVPANNEAALLKAVANQPVSVAIDASGSAFQFYSSGVFTGDCGTELDHGVTAVGYGKSSDGTKYWLVKNSWGTSWGENGYILMQRDIDAKEGLCGIAMQSSYPTA >CDP09537 pep chromosome:AUK_PRJEB4211_v1:6:19887844:19890884:1 gene:GSCOC_T00028945001 transcript:CDP09537 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNRYVVTRHHIDGTPQESDFELKTEALSLSIEPGKKELIVKILYLSIDPYQLNRMKQKSSSQETTSSARAVKPFEAIDAHGVGRVVASGNPAFKEDDLVAGLLTWGEYCTVKEGGLLNKVDNIGFPISHHVGVLAFSGLTAYAGFFEVCKPKKGEKVFVSAAAGSVGNLVGQYAKLFGCYVVGCAGSQKKVDLLKEKLGFDEAFNYKEETDLKSALKRYFPDGIDIYFDNVGAEMLEAAVENMNTFGRVAVCGAISEYTDKGKRAAPNMVDIIYKRITMQGFLSVDHMKVYKDFISDTVEHIRAGKLQVLEDISHGLESIPSAFVGLFRGDNMGKKMVQVADD >CDP10559 pep chromosome:AUK_PRJEB4211_v1:6:5584698:5589330:-1 gene:GSCOC_T00031319001 transcript:CDP10559 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPNLGNNGFLQSVTAAVWKNRQQGTLPPPEQEKSSKNSGKSNKENENSPAAEAPKQKPAHFKRVSSVGLQVESVLGRKTGNLKEIYSLGRKLGQGQFGTTFLCVEKDSGKEYACKTIAKRKLTTEEDVEDVRREIQIMHHLAGHPNVISIVGAYEDAIAVHVIMELCSGGELFDRIIQRGHYTERKAAELARIIVGVVEACHSLGVMHRDLKPENFLFVNEEEESPLKTIDFGLSMFFKPGDTFYDVVGSPYYVAPEVLRKNYGPECDVWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGELDFASEPWPSISESAKDLVRKMLVRDPKKRLKAHEVLSHPWVRVGGIAPDQPLDSAVLSRLKQFSAMNKLKKIAIRVIAESLSEEEIAGLKQMFKMIDVDNSGQITLEELKNGLERVGANLRDSEIISLMQAADVDNSGTIDYGEFVAAMLHLNKIQKEDHMYAAFSYFDKDGSGYITKDELQQACEKFGMGDVHLDEIIHEVDKDNDGRIDYNEFAAMMQDTGFGRAGS >CDP15297 pep chromosome:AUK_PRJEB4211_v1:6:3631664:3634689:-1 gene:GSCOC_T00042971001 transcript:CDP15297 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPAVVSFLSWSVVVLFAHNVNAENRITSSIWRGHRSPISQPKHHRPRFSPGPWKSAHATFYGGADGSGTMGGACGYGDLKQEGYGLETAALSQALFNNGQTCGACYEIKCVNDNQWCKPGQPSLFVTATNNCPPNYALSSDNGGWCNPPREHFDLAQAAFLQIAEYQAGIVPVQYRRVPCAKKGGIRFTITGNPYFTLVLVWNVGGAGDVTSVRVKGDNNVNWTPMQRNWGQKWQTHTVLTGESLSFRVKASDGRTSTSWHITPRNWQFELSRISCDHLKPMFASGPWKNARATFYGGADGYVDDINYIIYTFPHVLMHARCTMACTVLFNNGQTCWCKCNPPREHFELSNPEFLQIAGNFSCTISQVLSLTMQVPCKKQEGICFTIAGNPYFYLVLVRNVGGAGDVTRVEVQGADKSNWIHLKSNWGQKWQSDAKLFCQTLSFRVATSDGRKRQFLCMSLLKSGNPVKPSRAIILL >CDP10378 pep chromosome:AUK_PRJEB4211_v1:6:7046938:7048738:1 gene:GSCOC_T00031087001 transcript:CDP10378 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLEKFASFKDGSGFAAETVQMAQQVTILPSIFVGEVNVGRSRSRTNYRKKFSPLAIQAPFSSLPAEEGGNLTEFKRITGSDTALTRCKVPDSWMGHENTVGIIGGLSASSTAIFLAKLVWGSSRNEKECVPFIVCSDSTIKSELSMYRTRSNDSRIQLHHGVIIENLRCKMAFLEQSGARCIVMPCHVSHVWYREISSGCSVTFLNAGDCVASELKEAKLKPLEGGSRVRVGVLARDTPLVGTFYLEKLQSQGFEVVLPDNPTTEHIIIPAFEALNQKDTEGARNLLRVAIQVLLVKAVNIIILAADEFQGLLPGSDPLLKKCIDPLDSLARSAIQWARSTKDGMAHRT >CDP10132 pep chromosome:AUK_PRJEB4211_v1:6:13917411:13923246:1 gene:GSCOC_T00030748001 transcript:CDP10132 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTTAGSQIAVPKPFISASQRILKSSIANFGNETKGESWTKLKCTSHISSTWPFHQKLNSSPLKCQRIVTKAMSATSDKEPLPGLSIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKVYPLDAVFDSPEDVPEDVKSNKRYAGSTNWTVKEVAECVKQDFGTIDILVHSLANGPEVSKPLLETSRNGYLAAISASSYSFVSLLKHFVPIMNPGGATISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHRVRVNTISAGPLRSRAAKAIGFIDMMIDYSLENAPLQKELSAEEVGNAAAFLASPLASAVTGAVIYVDNGLNAMGVGVDSPVFKDLDIPKDNNKS >CDP06242 pep chromosome:AUK_PRJEB4211_v1:6:23799720:23800588:1 gene:GSCOC_T00022956001 transcript:CDP06242 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTRISLEGQIHVLQFVARKCFSQSSVVPSKHMVTAFSLAKFTVNHTLNKFTAVGCDTYGFIRGFHGVQGYTTGCMSICYSTEEVVDGICSGGGCCQTSIPKGTSEFSLSVGSFRNHSVVENFNPCSSVFVVEQGGFNFSMDLLRDIENVNKLPVALDWTIGNETCEIAQKNLDTYACQKNSKCINDPEPDSYPGYRCSCLEGYEGNPYIGCQDIDECQDESLNTCTFKSLCKNEIGGYKCSCPNGYHGDGKISAWLP >CDP06601 pep chromosome:AUK_PRJEB4211_v1:6:2005528:2009920:1 gene:GSCOC_T00023509001 transcript:CDP06601 gene_biotype:protein_coding transcript_biotype:protein_coding MADFNKSTVLVTGAGGRTGQIVYKKLKERSDQYIARGLVRTQESKEKIGGADDVYVGDIRNFDAIVPPIQGIDALIILTSAVDWIGQKNQIDAAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILIWKRKAEQYLADSGIPYTIIRAGGLQDKEGGVRELLIGKDDELLQLETRTLPRADVAEVSIQAVQFEEAKNKAFDLASKPEGTAAPTKDFKALFSQVTTRF >CDP06401 pep chromosome:AUK_PRJEB4211_v1:6:391009:393153:1 gene:GSCOC_T00023231001 transcript:CDP06401 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 14 [Source:Projected from Arabidopsis thaliana (AT5G01820) UniProtKB/Swiss-Prot;Acc:Q9LZW4] MEDDDGYALPAATTPTRAIAQQQRSGGGDCPPGEVSLFGKYEVRKLLGCGGFAKVYHARDIRTGNNVAIKAVSKRKVAKGNLRAHVKREISIMRRLRHPNIVRLLEVLATKTKIYLVMEFAKGGELFAKVAKGRFSEDLSRRYFQQLISAVQCCHSRGVYHRDLKPENLLLDENWDLKVTDFGLSAVTDQIRADGLLHTLCGTPAYVAPEVLAKKGYDGAKVDIWSCGVILYVLNAGYLPFNDTNLMVMYRKIYEGEFRCPKWTSPDLKRLLSLLLDKNPETRITMDEILNDPWVKRGGFLKERKCGYSPTDPELDYLLFKDTDPDPDPADGYRNVSDNKGFLNAFDIISFSTGFDLSGLFCDSNEGVEGERFVSAESPERILERVEEVAKEERVRLTREGKGFGVRLEGQDGNFTGALQIHRLTDELVLVDVRRRETGGFGCGRDMWRDRFRPQLSELELQTDQRVAST >CDP06474 pep chromosome:AUK_PRJEB4211_v1:6:1022201:1028842:1 gene:GSCOC_T00023339001 transcript:CDP06474 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVKSSNFSAHDHARKDKSGPGAGNSKKPITVLTDLKKENIEEKYLVDRELGRGEFGVTYLCIDRDTRELLACKSISKRKLRTAVDVEDVRREVAIMKHLPKNSSIVTLKEACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKREPWPSISESAKSLVRQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSLMNRFKRKALRVIADFLSTEEVEDIKDMFRKIDTDNDGIVSVEELKIGLQSSNSKLAESEVQMLIEAVDTNGKGSLDYGEFLAVSLHLQRMANDEHLHKAFSYFDKDGNGYIEPDELRNALMEDGVDDCTDVANDIFQEVDTDKDGRISFDEFVAMMRTGTDWRKASRHYSRGRFNSLSIKLIKDGSLNLGSE >CDP06583 pep chromosome:AUK_PRJEB4211_v1:6:1875907:1876879:1 gene:GSCOC_T00023488001 transcript:CDP06583 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAISSSFLPLPAIASLHQTQNHQLYFLHDNPTLRTSSRSQKRLVFKVQAAKLPAGVELPKEVPKFQPPFLGFTRTAEIWNSRTCMVGLIGIFIVELILNKGILQIIGVEVGKGLDLPL >CDP14172 pep chromosome:AUK_PRJEB4211_v1:6:18429492:18435224:1 gene:GSCOC_T00040421001 transcript:CDP14172 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHRAFYHAEDVPQDMVDRRAEVVARLKALEEGASPLVNFLQNENAYQELRAEKQYNLQMLNERYQIGPEQIEALYQYAKFQFECGNYSGAADYLYLYRTLCTNSERSLSALWGKLAAEILMQNWDIALEELNRVKEIIDSKSFSSPLNQVQNRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTSAPHLLRYLATAFVVNKRRRPQFKDFIKVIQQEQYSYEDPITEFLACVYVKYDFDAAQQKMRECEEVILNDPFLGKRAEEGNFASVPLRDEFLENARLFIFETYCRIHQRIDMGVLAEKLNLNYEEAERWIVNLIRTSKLDAKIDSKTGTVLMEPNQPNVYEQLIDHTKALSGRTYKLVSQLLEHAQTQQAAR >CDP10439 pep chromosome:AUK_PRJEB4211_v1:6:6600471:6609366:1 gene:GSCOC_T00031164001 transcript:CDP10439 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKDTVHRLEQESGFFFNMRHFEEMVTNGDWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRKDRAKAVDILVKDLKVFSAFNEDLFKEITQLLTLENFRDNEQLSKYGDTKSARGIMLTELKKLIEANPLFRDKLTFPTLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFVDHSCGPSQPNGARAPSPVTNPLMGAVPKPGAFPPLGTAHGPFQPTPAPMPTSIAGWMANPSPVPHPSASAGPIGFNPPNNPAALLKRPRTPPANNPAMDYQTADSDHVLKRSRPFGISDEANNMPVNILPVGFSGQSHGQSSYSSDDLPKAVVLSLNQGSAVKSMDFHPVQQILLLVGTNTGEVMVWELASRERLAHRSFKVWDLGACSMALQTSLASDYTASVNRVIWSPDGTLFGVAYSKHIVHIYSYHGADDLRNHLEIEAHVGSVNDLAFSYPNKQLCIVTCGEDKLIKVWDAVTGNKQYTFEGHEAPVYSICPHHKESIQFIFSTATDGKIKAWLYDNIGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGESYLVEWNESEGAVKRTYIGLGKRASGIVQFDTTKNRFLAAGDEFIIKFWDMDNVNSLTSTDADGGLPASPCIRFNKEGILLAVSTNENGIKILANGDGLKLLRSMENRPFDASRVPSASIVKPPPLGTFAAGSAAVGSSIVERVAPIAAMVSMNGDTRNLGDVKPRIADESADKSRIWKMTEINEPSQCRSLRLPDSLAAMRVSRLIYTNSGLAILALAANAVHKLWKWPRNDRNPTGKATAGVVPQLWQPASGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLRGHQKRITGLAFSNAFNVLVSSGADSQLCVWSTDAWEKQTSKYLQIPAGRAAAPLADTRVQFHQDHTHLLAVHETQIAIYEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASSLRLRCRINPAAYLPTNPNLRVHPLVIAAHPSEPNQFALGLTDGGIQVFEPLESEGKWGTLPPIENGTGPSTSGAASSDQPQR >CDP02900 pep chromosome:AUK_PRJEB4211_v1:6:11749990:11755016:1 gene:GSCOC_T00041310001 transcript:CDP02900 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRRAPADSTNGTDDDGRPKRTGTFYTASAHIITTVVGSGVLSLPWAISQLGWIAGPMALISFSLITLFASTILADFYRSPDPVSGRRNYTYMDVVKVNLGNHYHFRTCTGGRYNKLSGIAQYGNLVGFTTGYIITSANSMVAIKRSYCFHKHGHSFGCHTTINPFIIIFGVIQVFLSQIPNIQELSMLSLHTTVMCCCHAFIGLGLSVAKVAGQNEKLKFLSIILHLKSMFIDGDKSNTNNLWTTFTALGNLAFAYGFSEVLIEIQDTLGSSKPENRVMKQASLAGISISTLFYMSCGLLGYAAFGDKAPGNILAGFGFFEPFWLIDLANIFVVIHLTGAYQICGQPVFGFMESSTRHRWPNTGLVNHEYAINVPGYGVYRFTLFRMIWRTTYVMITTVIAMMFPFFNDIVGLIGALSFWPLTVYFPIKMRIEKEKIPIFSFKWVWMQTLSMCCLLTSIAATVGSVGGIVKFLQTFKLFKSMD >CDP06630 pep chromosome:AUK_PRJEB4211_v1:6:2236685:2238754:1 gene:GSCOC_T00023544001 transcript:CDP06630 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAIFAVNYKGQAQCKAISELNASDSSIQLPSFMNHMKEINDKGSSPAQEFDASRTPLNPNPIAGCAALASLMSKCSQDGKTATLVPKYENIVKTLFPSKEATREPKIVDASTEEMKQESKNEECRPQTVDSVANVSTKKNLDVAAGSTLSCSAKQRSSEVSCKNVDMAFIAGQKPMPSMNTRRFTRSAVRKAEEKVVAEANNVIKENPSLVRGSALAQDQKTVVSPIDDETASTITHKFTDPEANTGIYKINHFVKKSCAAGMLTLNNSRRLTRSAVRGKTENNNVESHKTFEESRLSDYVKIDSHNGDNVSESNASEAQKMQSLQPFKMGFLLLMTGKDVGNCKSHLLLGPYKTSKVMSPAMIDAVTVSRESQLLRRSKSYVFPHASDIFLELVPRTKS >CDP10368 pep chromosome:AUK_PRJEB4211_v1:6:7106209:7110163:1 gene:GSCOC_T00031077001 transcript:CDP10368 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSASNHGSDGGGGGGNGSSETQVVLNVYDLTPLNHYTVWFGFGIFHSGIEVHGMEYGFGAHDFPISGVFEVEPKSCPGFIFRSSVPLGRINMPPSEFRTFIESVASEYHGDTYHLISKNCNHFTDDMARRLTGRGIPGWVNRLAHMGAFCSCLLPESLQATTVKQLPEYHPEEDGSASVSSNTAHEATESEDGDQDKHLLSPSSGYGEVAFIKEVSR >CDP02895 pep chromosome:AUK_PRJEB4211_v1:6:11886522:11892151:-1 gene:GSCOC_T00041303001 transcript:CDP02895 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLSTWPWEGLGSFKYILYGPFLAKILHARHQEDTCSQALWCLHILILCVLRCFMYHSWTSYSNMLFLTRNRRIIKEGVDFKQIDKEWDWDNFILLQAALATLIFYKFPFLADLPLWNTRGFIAIVLLHIAISEPLYYLTHKYFHGKYLFTNYHSLHHSSPVPQPFTAGHLTLLEHLVLAVVIGVPIVGACFLGLGSISMIYGYVLIFDLLRCLGHCNTEILPHQIFEKFPFLRYLLYSPTYHSLHRTEMGTNFCLFMPIYDLLGNTLNSKSWDMHKKFSLDAGKNSRVPDFVFLAHVVDMTSAMHAQFVFRSYGSMPYTTRVILLPWLPICFVVMLLMWAKSKTFLVSFYKLRGMLHQTWSVPRFGFQYFLPFAADGINKHIEEAILRANRLGVKVISLAALNKNEALNGGGTLFVNKHPDLEVRVVHGNTLTAAVTLNEIPDDVTEVFLSGSTSKLGRAIALYLCRKRVRVLMLTLSTERFQKIQKEAPTEYQKYLVQVSKYQAAQNCKTWIVGKWITPREQRFAPPGTHFHQFVVPPILRFRRDCTYGDLAAMKLPEDVEGLGCCEYTMDRGVVHACHAGGLVHSLEGWTHHEVGAIDVDRIDVVWEAALKHGLKPVSSVRKLIQH >CDP10432 pep chromosome:AUK_PRJEB4211_v1:6:6653285:6654973:-1 gene:GSCOC_T00031156001 transcript:CDP10432 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKFIITLLVVAVLAAALQCSVAQRTHVVGDSLGWTIPPGGAVAYTTWAASQTFTVGDVLLFNFTTGLHDVAQVSRTSFDGCNSASPISLVRNGPANVTLNTTGEHYYICTFSGHCNAGMKLAINVSAAPSTSPPPQSPVPAPSPSSTTPKTYVVGDSLGWTVPPGGSIAYRTWAAGKTFMVGDILVFNFTTGAHDVAVVTSKAAYDSCNTSATGTTINTGPARITLTTAGEHFYICTIPRHCSHGQKLAINVTGTAATPSPTPAPTRPPSGPASAPAPSSTGATPPSSSSTPPPSGSPSTSPSGSTTPSPSGSTTPSPSGSPGGVSAPPPPNSAPSFAVAALPFTFLSIALAFLY >CDP02837 pep chromosome:AUK_PRJEB4211_v1:6:12566270:12571124:-1 gene:GSCOC_T00041227001 transcript:CDP02837 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSSKAQVAKRIHCHKSCFWIVFKILVQTSSLELFMYFRIRNPAFGLFSTHTNFSLISSSSSQRSYHHLMANLSSEAQVGKRLEGKVALITGAAQGIGACMARVFVNHGAKVVCVDINEELGRSVCDDLGAENASFLYCDVTKESDIENAINRTVHEHGKLDIMINNAGIADEGKTSILDNDLSDFERVMRLNLSGVFLGIKHAARVMIPARSGGIINLGSISGSIGGITSHSYSSSKHAVVGLTRNAAAELGKHGIRVNCLSSHVVLTPLSQNFFNFGEEGQSRVYTNLEGMVLKPEDLANAAVYLASEEARFMSGHNLMLDGGFTVTNPAFGLFSRF >CDP12646 pep chromosome:AUK_PRJEB4211_v1:6:15804165:15817526:1 gene:GSCOC_T00036350001 transcript:CDP12646 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISSHGGFSSIIRLNIGGKKFCTTVDTLTHREPDSMLAAMFSGRHTLSHESDKGYVFVDRDGKHFRHILNWLRDGAVPMLKDSEYLELLREAEYYQLLGLIDGIKTALSKKKEEEELGTELTRIDIIKCIQSERVRFRGVNLSGLDLSKLDLSYVDFGYACLKNVFFSRANLHCAKFKDVDAEASIFHNATLRECEFTGANLRGALLAGANLQSANLQDACLVDCSFCGADLRSAHLQTADLTNANLEGANLEGANLKGAKLTNANLKGANLQRAYLRHVNLRETQLEGAKLDGANLLGTIR >CDP06208 pep chromosome:AUK_PRJEB4211_v1:6:22442745:22443660:1 gene:GSCOC_T00022890001 transcript:CDP06208 gene_biotype:protein_coding transcript_biotype:protein_coding MDSELLTQNETKKKKTKTQPKITTSPPKRNISPNPFFFSSFLSFLFFFFFFFFSLYHFRFFFFFLSGLLKKLQPVAMAAAGEVFGCQILPKYTLPLVFSSRICF >CDP03380 pep chromosome:AUK_PRJEB4211_v1:6:7400759:7404011:1 gene:GSCOC_T00041941001 transcript:CDP03380 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVFFFTSSKLTKYGLETKRKLDAEFKEGGQRNWIQVLSNSGIASLLVLVAWKLTGHQDKCLDSKESGSMVYLVSAIIGHYCCCNGDTWSSELGILSDEQPRLITTLKPVRRGTNGGVTKAGLLAAAAAGSVIGLAFVLPGYLIASCSLDVALKQLLVIPISALAGVSGSVIDSLLGATLQFSGFCSVRNKVVGKPGPTVKKISGLSILDNNAVNLVSILLTSALTSITCSYIF >CDP09542 pep chromosome:AUK_PRJEB4211_v1:6:20244386:20257428:1 gene:GSCOC_T00028957001 transcript:CDP09542 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g56990/F24I3_70 [Source:Projected from Arabidopsis thaliana (AT3G56990) UniProtKB/TrEMBL;Acc:Q9M1J9] MANQGGGTLKSTSINGVKMYTVTGQHRSLATWLPPKKLRALRKDKDYMQRVDLIQDLRFETATSRIKATSDGEYLIASGIYPPQVKVYELRELSLKFERHLVSEIVNFEVLSDDYSKIAFLCADRSVCLHAKYGSHYTLRIPRMGRDMAYDCWSCDLICAASSPDVYRINLEQGRFLSSLNTQSPALNVVSRSMVHGLVACGGEDGAVECFDTRARSSVGRINAVAAAGGIDQEVTAIQFDCDGGYLMGVGSSAGKVLIYDLRSSYPMRIKDHMYGSPILSIKWHKTLNSDKPKLITADKHIVRIWDPETGEGMTSIEPTAGKINDLCVFGGSGLMLLALDSSQIPSYFVPALGPAPKWCSYLENLTEELEENAQTTIYDDFKFLTKEDLAKLNLTNLIGTNLLRAYMHGYFIDYRLYKKAQALADPFAYESYIERRKKEKLEAERASRITIKRKLPKVNRGLAARLLEDEDAENEGRDIDNGDTKKISKKKKGLTSEVMKDDRFAAMFENKDFEIDEFSQEYLAFHPIASTKQPSLVEEHFEPVMEDEDLDADDSDVSAAGQSSDNEDAGGRKKSRVPRLYEVKDERHAEAFSNSRSLAKEDYLSLGERAAAMANISRDASGVKVGAGGSREISFISRSKAKYKEYDEDEERPPQKRRGVQSLKLKPDRSSFRGRGRGGSRGRGRGRGRR >CDP03041 pep chromosome:AUK_PRJEB4211_v1:6:10269387:10271218:1 gene:GSCOC_T00041514001 transcript:CDP03041 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFQKSVRTAMSQSKDSSDDLATALSSTFEKAKASFNNFSAAATTATPSNSILADPPQLLLTRQSGKVVSLLTCSKLCAVFFVAGVFVGYTLKRRVRRWASKLLRGLKDE >CDP06544 pep chromosome:AUK_PRJEB4211_v1:6:1577732:1583035:-1 gene:GSCOC_T00023434001 transcript:CDP06544 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDVEFKRNSRQQQSSNAVKEKILPIQAHQRLKFHKKLEVINPFIQLSTDNHPDGLRQQMDDELVIQKKSSVGLQKQQLVSKTNNEDDELIKHMSNLPGYLQRVEKQKNVQVQALNFGVLDWKRLEKWKYNERMPARSHPKVSSSGKSMDMENGKSALSKTSVGRKQPSANLHLNSVTEMKLCDDVQQSKGKLKNIQYPQTRSGCTTAGQKKDYCQKVKNPSSSCPQMNHEKGKKKEADWTTSQQKAALSLDQMENSISMSSLPMCYQQGKIGTGRDDDIKGRPISSNAELQNIVLLVPKCPSKRRSSQNSRSLESRISPNEQLTKHARGRYSDCFSSLELQSGELYNEIPCSFPMPASVATARDRKPGYCVQPRNNNNSINSQSSEAKCLHSKLCNVGCPASVDACEVTELNTAKETNSRTSSHGQLADGNRLSYSGDFSSQELHKRELQYEIPHSSPLPATVAISRGREPDVWMYPFQCGISSEPGSSRATCSEEKSYNLDDSVSVESFKGTNLDFAKHTADKRRHPSPNRRFSFSFGRLSRSFSFKESSAVPALSSTPLAAKSSRENPEVFAAIDDSKMDKANSSGRRRPSPFRRLLDPVLKSKVAHSTEAVQSKGAIEKNSSFGSVQPISNSKPLSNETNQASPVQALMQLTVKNGLPFFKFVVDNRSDILAAAVNQLPTSGKVDSTLTFSFYSVHEIKKKAGGWITNGSKEKHCGFGYDIVGKMKISRSFFSELNADGCNDQFMVIESVLFDVNVTEGNKGAPEVSPNRELAAIIFKEPAAKWNNRESIVGHTCKQKGFLGFSPGSTYGYGEKENFSSITVILPGGFHSLPKDGAPSSLIQRWKSGGSCDCGGWDVGCKLKVLANQKKGSNSSIPATSCSTLHRMNLFIQGGEGKGQPIFSLAPFKDGFHSIDFDASVSLLEAFSICVAVITSRHLSGIFDGNLLPEAKVSVEAKNEDVDMKNMSITPGEVPPKYVSSPPPSPVGRI >CDP03351 pep chromosome:AUK_PRJEB4211_v1:6:7715491:7720496:-1 gene:GSCOC_T00041903001 transcript:CDP03351 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF guanine-nucleotide exchange factor GNL2 [Source:Projected from Arabidopsis thaliana (AT5G19610) UniProtKB/Swiss-Prot;Acc:F4K2K3] MEDQQEGGNNNNMTKIKRKELGLSCMLNAEVGAVLAVIRRIPEANPQFHHPSEENYDSTILQSLKSLRSLIFNTEQEWRKIDPSLYLSPFLDVIQSDDIPAAATGVALSSILKILRLDIFDEKTPGAKDVMDATVTAITACRLEKTDPVSEEAVMMRILHVLTAVMRHKASVLLTDHAVCTVVNTCFQVVQQSAHRGNLLQRSARYTMQELIQIIYYRLPDIEVNDWENSESDTEDSNLDSGFGIRSAVDIFQFLCSLLNVVEIAESEVLHAHTTDGDVQLFALALINSAIELSGDSIGKHPKLLRMVQDDLFHHLIYYGTYSSPLVLSMICSTVLNIYHFLRRSVRLQLEAFFSFVLFRAANLGSSPQLQELATEAIVNFCRQSTFIIEVYVNYDCDPIFGNAFEEIGRLLCKHAFPTGGPLTSLQVQAFEGLVLVIHNIAENIDKEDDTSPSGPYPVEITEYTPFWEEISKDDGLEDWVTFVRVRKAQKKKLLIAGNHFNQDDKKGLEYLKISHLIPDPPDPKAFALFFRFTPRLDKTMIGDYLGDPDEFHIQVLNEFTNTFAFTGMILDSALRNYLETFRLPGESQKIHRILEAFSERFYDQQSSELFVSKDAVFVLCYSLIMLNTDQHNPQVKKKMTEEEFIRNNREINGGSDLPREYLSELFHSISSKAITLFGQSGTPAEMNPSRWIQLVNRAKLMKPYVLCNFDRRLGRDMFAAIAGPSVATLAAIFEQTEEEEILHECIELLFAIARIAQYELEDNLDELICSFCKFTTLLNPYASAEETLYAFSNDMKPRMATLAVFTIANTFKNSLRGSWRTIVECLLKLRRLKLLPQSVVEFEIASDASIGTKHDKNESAASISTRNTKFNHIRRSSGLIGRFSHFLSLESVEESLNLGVSEFEQNQNIIQQCHIENIFTTSSSLPDESLLNLGRALIYASAGKGQKFSTPVEEEETVAFCWDLIVIISCVNMHRFSTIWPHYHDYLLGVAQFPLFSPIPFAEKAIVAQMKICLKILSAYQLDKVSEELIFKSINLMWKLEKEILDTCSEFLVKSVSRILNEYPANLQSSLGWKTVLHLLSVTGRHPETYDQGVESLINLMSDGMHISRTNYASCIECAFGFVALKNSPVEKNIKIMDLMSDSVKLLVQWFRSGYSDPGSNVSTGSNASASSTEDNARSGSSASFIMNLFVKLGEALRRTCLARREEVRNHAVISLQRSFILAEELCFTPANCIYCFNSLIFAMVDDIHEKMLEYSRRENAERETRSMEGSLKRAMEVLVEVYSQLLKPISESPHFRPFWMGLLRRMDTCMKVDLGGYGKSDMQEVIPDLLKRIISIMKENELLIPKEGDDLWEITYVQIQWIAPSLKDELFPGAP >CDP10594 pep chromosome:AUK_PRJEB4211_v1:6:5286767:5288008:1 gene:GSCOC_T00031361001 transcript:CDP10594 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PUB24 [Source:Projected from Arabidopsis thaliana (AT3G11840) UniProtKB/Swiss-Prot;Acc:Q9SF15] MDDVEIPEYFICPISLHIMKDPVTAVTGITYDRESIEQWLLKRHNATCPVSKQPLPRDSELTPNNTLCRLIRAWCTANSAPDMDQVPTPKPPMSKFHFANLIRGLWLPNSQLEALQKLEALARENESNRKQMVEAGVTKPVISFVVACHRKEVTTGLAEALSIFFLIRSSLNQTKLVLIGNDEIIDALTWVLVCDLDHLDHSLTIKTHAISALKMLVQKANSSTLGRLKPDFFNRIIRYIKESNSQQGINAALQVMLDSCPSGRNRIMMVEAGAVSELIELEFSSAEKKTTELIFGILYHLCSCADGRAQFLSHAAGVAIVTKRILKVSPAADRRAMLILSLISKFSGTVGVVQEMLRVGAVAKLCMVIQADCESHLKDKAREILRTHSNAWKDSPCIDVHTLTSDKISRLTL >CDP02938 pep chromosome:AUK_PRJEB4211_v1:6:11315346:11316662:-1 gene:GSCOC_T00041366001 transcript:CDP02938 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAEDEGKKMKTLAEDERQSQREMTPPSNWWLPMVDPPPGVPTIYLADYEYDTRLGWGSIGYVDRVRHKPTGRLYALKAVRIHDHQRCQNRVREYMALAPTLEYRSIVKCHGVQTERGYSRLLYDLMTSPLSGFQTHDMEILSHIADNILFGLQYLRKKNIVFKFLSPSNIFYDDADMAFKIGDVGAPKDLLFDVDCQPVPNVEAERYVAPELLSYTVRLSVEGVENWDVWSLGMCLLEFYLGKFPIDYDKRKDTKGLSLPFFMAGMPNPPRAPPEAWPPFRHFIELCLQRSPADRASVDQLLSHEFVLTFDFEHQEGKRKNEGDRLD >CDP06566 pep chromosome:AUK_PRJEB4211_v1:6:1747007:1749430:-1 gene:GSCOC_T00023464001 transcript:CDP06566 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQLTSSSLHSLCFLLSMSLFFHSTASEVGSYLARGATLSVQDEKGFIRSPDKSFTCGFLGDGSNAYWFAIWFTNSRDKTVVWMANRDRPVNGRGSKLSLGRNGAMVLTDIDGMVVWQTNPTSTDVSSAELLDSGNLVLKNFKGDMLWQSFDYPTDTLLPTQKFTKNKRLVSPLRKGSYESGYFNLYFDSDNALRLIYDGPEISSIYWPNPDFNVYVNGRTNWNSTRIAALDDIGRFTSSDQLQFNVTDAGPGIKRRMTIDYDGNLRVYSLFSSTGLWEISWQALAQPCAVRGLCGRNGICVYSTTGMAKCSCPPGFVVSDPSDWNKGCKARFKLSRSNPQAVKFVAIPNADYYGFDLNSTQSITFEACRNICLGGSSCQAFGYRITGWGNCYLKSALFNGYLTPDFPGTIYIKVPQSLQISEPVTLQGSGPICGSKDAELLIGSSSMYDAVGRRVKWVYLFSFASALGAIELLFVSLGWFFLFRKTGVPATVEAGYQMIASQFRRFGYDELNKATKNFKEELGRGGSGSVYKGVLADGREVGVKRLGDVFQAEQEFWAEVSTIGKINHMNLVRMWGYCSEKRRKLLVYEYVENSSLDKHLFSRKNFLGWEQRFAVALGTAKGLAYLHHECLEWVIHCDVKPENILLDGDLQPKIADFGLAKLFQRGGGPGSEFSTIRGTKGYMAPEWALNQPITAKVDVYSYGVVILEMVKGIRLSNWATDDGDEQEAELTKCVRIIKRKIQQGEESWMEDIVDTRLQGKYSRKQVATLIGVGISCVEEDRKKRPTMASVVQTLLECDEYTTLPQ >CDP15380 pep chromosome:AUK_PRJEB4211_v1:6:4329398:4331011:-1 gene:GSCOC_T00043091001 transcript:CDP15380 gene_biotype:protein_coding transcript_biotype:protein_coding MHVQGRRWAGKITVRFNALKGTEYKGSIYDACPTFESYGVPHGSADLMKAVPDEHKKFLADLVWVHEEDNISIETEEGIKNCKLIAVHAGLEKDKEIQKQMESLKARDTRISKVEALSGRKNVWEIPQELTKSPTIVLSGHHGKLHVEGLRLIIDQGGGLENNPVAAMVLPSRMVVRDTDDLIK >CDP15435 pep chromosome:AUK_PRJEB4211_v1:6:4721711:4730169:-1 gene:GSCOC_T00043167001 transcript:CDP15435 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRP54CP [Source:Projected from Arabidopsis thaliana (AT5G03940) UniProtKB/TrEMBL;Acc:A0A178UD49] MEVTASVSTVASRHLSTALPLSKTTHLSNSKFLRRSTQPCSSSSFIGSTHSLSFSSRNPFTRELWGVIHSSSMNAAVTGTRKMAGSGLIIRAEMFGQLTSGLETAWNKLKGEEVLTKENIAEPMRDIRRALLEADVSLPVVRRFVQAVSDQAVGTGVIRGVRPDQQLVKIVNDELVKLMGGEVSELVFAKTGPTVILLAGLQGVGKTTVSAKLALYLKKQGKSCMLIAGDVYRPAAIDQLVILGEQVGVPVYSAGTEIKPAEIARKGLEEARKKNVNVVIMDTAGRFQIDKAMMDELKEVKRVLNPTEVLLVVDAMTGQEAAALVTTFNLEIGITGAILSKLDGDSRGGAALSVKEVSGKPIKLVGRGERVEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEELQKKIMSAKFDFNDFLKQTRAVAQMGSMSRVIGMIPGMGKVTPAQIREAEKNLKIMEAMIEAMTPEEREKPELLAESPARRKRVAQDSGKTEQQVSQLVAQLFQMRVRMKNLMGVMEGGSIPALSNLEEAMKAEQKAPPGTARRKRRSESRRQFADSASSRPGPRGFGAKN >CDP10604 pep chromosome:AUK_PRJEB4211_v1:6:5190902:5197026:1 gene:GSCOC_T00031372001 transcript:CDP10604 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCSLLVHFDKGTPALANEIKEALEGNDVPAKVDAMKKAVMLLLNGETLPQLFITIIRYVLPSEDHTIQKLLLLYLEIIDKTDAKGRLLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEVEIIEPLIPSVLSNLEHRHPFIRRNAILAVMSIYKLPQGEQLLADAPEMIEKVLTSEQDQSAKRNAFLMLFNCAQDRAINYLLTHVDRVPDWGELLQMVVLELVRKVCRTNKAEKGKYIKIIISLLNAPSAAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHRDIMFDLIMDVLRALSSPNLDIRRKTLDIVLDLITPRNVNEVVLTLKKEVVKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAMDVVVFVREIIETNPKLRVSIVTRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGDLPFYSISEEGDAADPAKKSQQVTSITVSSRRPAILADGTYATQSAASETAFSPPTVVQGSLTTGNLRSLLLTGDFFLGAVIACTLTKLVLRLEEVQPSRVEVNKASTNVLLIMVSMLQLGQSSVLPHPIDNDSYDRIVLCIRLLCNTGDEVRKIWLKSCRESFVKMLSDKQLRETEEIKAKAQISHSQPDDLIDFYHLKSRRGMSQLELEDEVQDDLKRATGEFIKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCSDAAFRTMWAEFEWENKVAVNTVIEDEKEFLDHIIKSTNMKCLTAQSALEGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >CDP02928 pep chromosome:AUK_PRJEB4211_v1:6:11440898:11445080:-1 gene:GSCOC_T00041351001 transcript:CDP02928 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIQGFVSVACFLFYLAWKMGAAAAAGDGSNGTVAPTHYSVRIGAVLDSNSFMGAMIDVCISMARWDFYATHADYRTRLDIHRKYAHNDFDMTSAVLELIKNEEVHSILGPQTWTEGKLVPELGGKAHVPVISFTDRGGSLSYTPSPYFIRPAPNDLDQAKAFASICQGFEWHEAVVLYQDTNYATRFLSMLNKAFQDADIRLAHVVAISTLAEDRHIMNELKKLKKMQTRVFLVHMNAVLGSRLFILAKKADMMSEGYAWLVTHSLGNSLNSIDSAAIECMEGVLGLRAHVPKTKNLDNFRNRWKKNMLLMKPDSTGRELNVYGLWAYDTVWALAMAVENIGPVNPDFLDINNSKSGSDMFGVQISQIGPKLVRELQNITFQGLTGEFYLIDGQLKASSWEIFNVFETGDKTIGYWTSDQGIMPKFSSSTRQSYSTSIKELKTIIWPGDSVKQPKGVAIQKLRVGVPKKYGFTQFVNVPDNLHTNQTKITGYSLDIFHAVMAQLNFSVDYYEIIPFTNESGFSNGTYNDLLHNVGKAFDIAVGDITILADRAGYVDFTLPYSESGTVLVVKNKKGKDMWSFVKPFRWDLWLTIISTCIFIGIVLRLLEHRENEKSDSAGTNNQKFEFLFWFPISILAFPEKNMVASHWSRFVLVVWLLMAYIMMQSYTANLSAIFTVDQLDFRFSNDYYIGIQKGSFTKDFLVDRLHVNESKIKEYSTIKEYHYAMNKGSENGGIDAIFDEIPYMKLFLDQYDSDYKIVGTTFRTDGFGFAFPLKSPLVVNFSRAILSVTEDENMSAIEQRNFGPKYSPFNQSDSVNKQSPSLTAFDFGGLFILVASALLFALFCSETSVGQKLTERVRYYHHRCSVFLSFRGNEPKVNSTVNPDRSGDSSSEKEKGPDVSDRLNLDDSSGPGTPARESEQGNADISAKDGQANESESEQKDIAVSARQISS >CDP14201 pep chromosome:AUK_PRJEB4211_v1:6:18782110:18786145:-1 gene:GSCOC_T00040462001 transcript:CDP14201 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPYAPPLYSAVCNFNVKLYVKNSICSNGFARPRFFTGLSKKSGGSSCEIRCSQSTTIKKTEKDSGKGHRKGEGRLILSEGRDQDESYESICPGCGVFMQDKDPNLPGFYKKKKVELSEVIGDEDEEDLMDDEFDDFDEEDEEFEDGIEGQLEGSDDGVEGRFQGADGVDWDLEELENEFEKEDDELKELDGFGPAGVGYGNITEEVVEKGKKIKLSKAERKRMNREARKDKEEVTVCARCHSLRNYGQVKNQVAENLIPDFDFDRLVTTKLIKPTGVADATVVVMVVDCADFDGSFPKRAAKSLFKALEGSRDGFKSNKKLPKLVLVATKVDLLPSQISPARLDRWVRHRAKANGAPKLSGVYLVSARKDLGVRNLLAFIKELAGPRGNVWVIGAQNAGKSTLINSFARKGGVKVSKLTEAAVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNREEQKMVEIRKQLQPRSYRIKAGHYSYLHAKAYKKMLSTVHVGALARLDLIQSSVETIYVTVWASANVSLHLGKTENADEIKIKHGGVRLQPPIGEVGVPQLGKWVRKEVKASGTSWDVNGIDIAVAGLGWFSLGLKGEANLTLWTYDGIEITLREPLVLDRAPFLERPGFWLPRAISDAVGNQSKLEAQVRKEHQETSMTCD >CDP16131 pep chromosome:AUK_PRJEB4211_v1:6:34222685:34224387:1 gene:GSCOC_T00017199001 transcript:CDP16131 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLGGDRGGDGPFRRSSTRISRAPLIKHISNSRKFSIRRSSSSSASVEQIQTSETTEIPPPFVSSSSSPLSATLIGYNEDILLQILPYLPPKSLLRFQSVSKKWLSIISNPTFRRLHLRVNPTTATSAFILFRKIKNMAPELNFISSHEDYVNPMGNIVSNLNNLFENADILGLHSCNGLLCVKFCFNYDSIEFVVYNPTTNKYRLIPRLKKIVEERLLQTSVVNIAFDPLNPAQYTLVCVITDHFESEYRFIVYSSETGFWRESVKRLDIYTEKYYFDRGVLWNGGLHWATQWGSTVCFDIDHECVRSTMPNLPALYDDSSEVCYFGDSGGELYLISVSMPRKTVFNVFSLKMDYSKWDVKHRIDLTLLSIFYPLVVDEELDPNEFGFHILHYVVDKNRGNVMVVLSMHRKIFCYDINDLTVKELANIEPKEVSYRWMETSKYLRRDASKYMWREAYQHVETLAYI >CDP14120 pep chromosome:AUK_PRJEB4211_v1:6:17757858:17787510:1 gene:GSCOC_T00040348001 transcript:CDP14120 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter A family member 1 [Source:Projected from Arabidopsis thaliana (AT2G41700) UniProtKB/Swiss-Prot;Acc:Q84M24] MGVKGFMENHLNISKKERNKVKEEEMKRSSRKQLKAMLRKNWLLKIRHPFVTLTEILLPTVVMLLLIAVRSQTDLRIHPAQAYIRKDMFVQVGKSDISQPFVDILQFLSAKGEHLAFAPDTNETKMMINILSLKFPLLKMVARVYTDEEELDTYIRSKHYGAYDKEKNFTNPKIRGAVVFHNQGPEMFDYSIRLNHTWAFSGFPDVRTIMDVNGPYMNDLELGVNTVPILQYGFSGFLTLQQVLDSFIIFVSQQNIANLSIEERGLPQQSASIRSAVEVPWTQFSPSNISIVPFPTRQYTDDEFQSIVKKVMGVLYLLGFLYPISRLISYSVFEKEHKIKQGLYMMGLKDEIFYLSWWITYALQFAVSSGILTLCTMNTLFEYSDKSLVFIYFFSFGLSAISLTFLISTFFSRAKTAVAVGTLSFLGAFFPYYTVNDEAVSMALKVTASVLSPTAFALGSVNFADYERAHVGLRWSNIWRESSGVSFLVCLLMMLFDTVVYCGMGLYLDKVLPKENGIRYPWNFMFQISFWRKRSTSGRSCTVEKLADHHVKQETSPVEDVHNPAVEAMSLEMRQQELDNRCIQIRNLHKEFLTKMGTCCAVNSLQLNLYENQILALLGHNGAGKSTTISMLVGLLRPTSGDALIYGKSILTDMDEIRKSLGVCPQQDILFPELTVKEHLEIFATIKGVDEDSIDSSVTEVVDEVGLADKIGTTVKALSGGMKRKLSLGIALIGNSKVIVLDEPTSGMDPYSMRLTWQFIKRIKKGRIILLTTHSMDEADVLGDRIAIMANGTLKCCGSSLYLKHQYGVGYTLTLVKSAPCASPAADIVYQHIPSATCVSEVGTEISFKLPLSSSSSFESMFREIEQCMRRSKPNAEARGHENDDNIGVESYGISVTTLEEVFLRVAGGDFDEADCFKENNSAASSDSNVSGSCQSYAPNHVFHIKQCTNFFKVLGLLVTTVGRACGLFFATVLSVFKFLSMQCCCCFVLSRSTFWKHVKALLIKRAISAKRDRKTIVFQLLIPAVFLFIGLLFLSLKPHPDQQSITFTTSHFNPLLSGGGGGGPIPFDLSWPIAQEVAKHLKGGWIQRVKKTLYKFPDSEMALNDAIQAAGPTLGPALLEMSEYLMSSFNESYESRYGAIVMDNQTDDGRVGYTVLHNSSCQHAAPTFINLMNSAILRLATLNENMSITTRNHPLPLTESQHLQRHDLDAFSAAIVVNIAFSFIPASFAVAIVKEHEVKAKHQQLISGVSILAYWASTYMWDFISFLFPSSIAIILFSAFGLEQFIGKESLFPTIMLLLEYGLAVASSTYCLTFFFSEHSLAQNIVLLVQFFSGIILMVVSFIMGLMKSTERANSLLKNFFRLSPGFCFADGLSSLALLRQDMKNGSGSRVFDWNVTGASLCYLAAEAVIYFLLALGLELLPPHKINLATAYEWWMSIKLSLATSGSLSEPLLKSSTGDVALKVDEDIDVLTERNRVLSGSIDGAIIYLRNLCKVYSGGKHRLKVAVDSLTFAVQEGECFGFLGTNGAGKTTTLSMLSGEEHPTSGKAFIFGKDIGANPEAARRLIGYCPQFDALLEFLNAQEHLELYARIKGVSEFELKDVVREKLVEFDLLKHATKPSFALSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISQLSTRRGKTAVILTTHSMNEAQALCSRIGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPTEVSIMDMENLCQIIQEKLFDVPCHTRSILGDLEVCIGAKDTIASEGTSVAEISLSEEIIVAIGRWLGNEERVRALVSASASNASSGATGEQLSEQLVRDGGIPLPVFSEWWLTKEKFSAIDSFIQSSFPGTTFQGCNGLSAQYQLPYEEDLSLADVFGHLERNRNLLGIKEYSISQSTLETIFNHFAAANT >CDP03181 pep chromosome:AUK_PRJEB4211_v1:6:9058868:9061192:-1 gene:GSCOC_T00041684001 transcript:CDP03181 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPPELLIINQRVVSTLSNSRLTFLYFHLTPPPAQFSRTVSQGPFRNFSPPPRPFHLPPFPQSGRNHQTPNPALPPSLSFKTLPIFNDSIISSLQLKIPEMHQPKQKILSPFILGFFCCFLTAVDQANVGNAALLESNSSSPDKPKEDSFADMMNRALEKEFNDTNEQSDEIDHGSFNNSVAEQQAVLETVARVRTKKNDTKEEYTPMINADFQLHDVFNFDFENRDEDMPRLIDQEDNEFTLSNSKSKFPILQLDIRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSVVGPGGFSIVSEMVQVC >CDP03317 pep chromosome:AUK_PRJEB4211_v1:6:7960037:7963268:1 gene:GSCOC_T00041858001 transcript:CDP03317 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEEVRGIKKSISFKLAKMFEIPGRQAHSLILDSGHGSESPIADADRLVFRSQGGKAASDAQHVGSVYNRSTDAGPVSRLCREGGKLGAPNSKESRQPSDLELMKERFSKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQRKLEPMASERKLRWKKEIDWLLSVTDNIVEFVPSQQVAKDGKNMEIMVTQQRKDLLMNIPALRKLDAMLIDCLDNFKDDNEFWYVSSDADDSEKGVQRDDKWWLPTVKVPPSGLSDASRKWLQYQKDCVNQVLKASMAINAQVLSEMEIPDNYIESLPKNGRASLGDSTYKSITFEFFDPEQFLSTMDLSSEHKVLDLKNRIEASIIIWKRKMHHKDGKSSWGSAVSLEKRELFEERAETILLLLKQRFPGLPQSSLDICKIQYNRDVGYAILESYSRVLESLANTVMSRIEDVLYADSIAQDPSLAIAKWKPSPDSSPSPQQSGVSSPGEETEKLSSAAETPTSMTLSDFMGWNLEQAESVKNLPSGNLEGYSKDEIDKPTSKPANINTSKKFSYIDKVELSCLRSPTARH >CDP06499 pep chromosome:AUK_PRJEB4211_v1:6:1211613:1212941:-1 gene:GSCOC_T00023370001 transcript:CDP06499 gene_biotype:protein_coding transcript_biotype:protein_coding METLAASSAKLLVYVENQNPRDKQTSTGFSCQARTTRAAAAAAARSRPEIRFLGYLNKHANFGYSSSLSLSFHRKTIHPISSVPALSQILVQNNGGVEQSMGLEEEWSWKKGISFRLKGKGKKGVGINFSGFNTRSSSRKYIALSLSLLYV >CDP15447 pep chromosome:AUK_PRJEB4211_v1:6:4794793:4797501:1 gene:GSCOC_T00043180001 transcript:CDP15447 gene_biotype:protein_coding transcript_biotype:protein_coding MELHNKFLWALPVFMLSFLISYNGVEASHNVYLHYQSLSAIKVEQVHRTGYHFQPKKHWINDPNGPMYYNGVYHLFYQYNPKGAVWGNIVWAHSVSTDLINWTPLEPAIFPSKPFDKYGCWSGSATVLPGNKPVILYTGIVDKNNTQVQNYAVPANLSDPYLRKWVKPDNNPLIVSEAGVNKTAFRDPPTAWLGRDGEWRILIGGRWKDTGIAFLYKSRDFMKWKKAANPIHQSAGTGNWECPDFYPVAKSGTNGLETSVLGQNVKHVLKVSLDATRFEYYTLGKYYPAEDRYVPDNTSPDNWKGLRYDYGNFYASKSFFDPSKNRRVLWGWANESDTAKDDIKKGWAGIQLIPRTITLDPNGKQLLQWPVKELDTLRGAHVRLSNQLLKKGDLVGVTGITPAQADVEVTFSFRSLDLAESFDPKWRKLDAQDVCSKRGSFVQGGLGPFGLATLATEDLQEYTPVFFRIFKDAGKHVVLMCSDATRSSLKKELYRPSFAGFVDVDLTDKKLSLRSLIDHSVVESFGAGGKSCISSRVYPTKAVFDKAHLYAFNNGTEAITVETLDAWSMKTAKVN >CDP03384 pep chromosome:AUK_PRJEB4211_v1:6:7366848:7368087:1 gene:GSCOC_T00041947001 transcript:CDP03384 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFCGDMQYTSVIGEFFFFIDFISLDVYIHDYLVKRKYGNSARTFQTEAKIPTKPAAIDTPGGFLSEWWSVFWDIFIARYRWSGPNNEVLDRFIANV >CDP06390 pep chromosome:AUK_PRJEB4211_v1:6:275251:283413:1 gene:GSCOC_T00023217001 transcript:CDP06390 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription repressor VAL2 [Source:Projected from Arabidopsis thaliana (AT4G32010) UniProtKB/Swiss-Prot;Acc:Q5CCK4] MDSKVCMNQQCTSSSSSSSIDLKRGWPLRSGGFATLCHHCGIAYEQLVFCDKFHSNDSGWRECTTCGKTLTGEKNKDCRVFSADDGGGKQFTTVGSQSNVGITDKMKLVQFGDDAGSSDQSQLFLSQTDDRIESLGQRKMKENFLTSGEFGSPFLSVLNQTSVEAAQNAQLNEYKEYMPIKDTHGSSVQTNLTISPPATSGDKIFLPAVVAEERPLNKKSSSFSQGSRSRHLLPKPVKSIMAAGLEANVSSLTQLRVARPPVEGRIKNQLLPRYWPRITDQELRQISGDSNSTVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVQGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLLMGFRKASLMQDNKHLSSIPKGSFSSEPFFLPGADNLHSANSQSALLDSVKGSGVFPLSALSKHFSAVEFGWHIAEMNGEKTLDGIFPSPMLVPERKRSRNIGSKSKRLLIDSQDAFELKLSWEELQDMLCPPPCVKPSTVAIEDHEFEEYDQPPVFGKKSIFTMRQSGEQEQWAQCDSCLKWRRLPVNVLLPPKWTCQEHTWALSRPSCSGPEDLSPHELESLLKMSKELKKQKIASGLKSAHEHESSDPDGLGNSKAVRGDTSGTSAASIATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRNKFDWVSKEEAEVESYQQLEIGKEQLDLNCHPDRENLQQSSSRVSMVSLLQEASLPLQTYLKQNGLTSLVSDQRVSSGSNVLLPQVTRESELQFDEDQCLSHEIQEQEVKEELSETDQAGNDPS >CDP16129 pep chromosome:AUK_PRJEB4211_v1:6:34181118:34182879:1 gene:GSCOC_T00017197001 transcript:CDP16129 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGKTAKTSRFKPIQNPKRISLNSSASPSTRLPSSPSKAPIQENQENVLKIPLDPSSSAITIADSEDLVTNNEDLLTNILLKLPAKSLLCFRCVSRQWRTIISDPEFRRRHIRSIRCCPTSDFLFFSPYIKPNEIDLLSLSGDGLDSVGNLSSRLRRSLEGRIISLQACNGLLCIQFMVNYIRIELIVYNPTTSEHRTIPWPNEGSHSPPIVRYTSIAFDPLRSDYYKLLGVSFDCGLQFFIYSSESGVWRVGGAAVAGTFDSDCYFDKGVFWNGDVHFMGKKFCTLCFDVENGCLRPSMPRIPIRMEVMGKWDIKYFGETGGNLYAIYLNRINTAVLSDVFELKRDYSQWVVKYRFDFSHLVTYYPEMKSCGCCTPCFIADEEGKNARIVISLKGKFILYDINRVFVKELVEVGPVCSNVDERGNSKWYRWYEAYQHVGTFASV >CDP10446 pep chromosome:AUK_PRJEB4211_v1:6:6513156:6514390:-1 gene:GSCOC_T00031173001 transcript:CDP10446 gene_biotype:protein_coding transcript_biotype:protein_coding MCGQDLAIVSESEENPTIPLPFRLYKAGVPQITYASVVHEEYVWACLHFFALLFLYSQLSSLSLLIYCLYQRHPFGNSESLSV >CDP10579 pep chromosome:AUK_PRJEB4211_v1:6:5421827:5425074:1 gene:GSCOC_T00031343001 transcript:CDP10579 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQLINLIGLLGLFLSVALLLVPSTSAASTTVRYCDKKAQYAVKVSGVDITPYPITRNKKTTFKIAASTDATISGGELVIDVSYFGFNVRTEKHNLCNETSCPVTAGDFEIAHSQVLPGYTPPGSYTLKLKLSDANKQLLTCINFDFSIGFVATEDVADT >CDP03113 pep chromosome:AUK_PRJEB4211_v1:6:9662369:9665728:1 gene:GSCOC_T00041602001 transcript:CDP03113 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKRKTPAELLRENKRMLDKSIRDIERERQGLQAQEKKLIAEIKKSAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQRIMQEFERQNEKMEMMSEVMGDAIDEALEGDEEEEETEELVNQVLDEIGIDINSELVNAPSSAVAAPAAKTKVPQAEATGNDDSGIDSDLQARLDNLRRM >CDP19410 pep chromosome:AUK_PRJEB4211_v1:6:28641136:28641526:1 gene:GSCOC_T00006443001 transcript:CDP19410 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLSKQLNGEDWMWNNLNNLCWAIGSILGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAIITINIM >CDP10169 pep chromosome:AUK_PRJEB4211_v1:6:13626113:13632900:-1 gene:GSCOC_T00030796001 transcript:CDP10169 gene_biotype:protein_coding transcript_biotype:protein_coding MERRCAEESTDIGGEEEEVEVEEALWNKETIPRVMKTVCTCIKLHQRDLISLLLVSPWLHRTLISHPSIWLVIDLHEMNNAGDRLVAALSLPRYHNVKNINLEFARDIEDKNLEILKIKFVDALKNLESLNLNGCQKISDKGVEVITSICPNLAAFSIYWNVRVTDIGVKHLVRNCKRITDLNLSGCKNITEQSLHLIADNYRDLESLNLTRCIKLTDGGLQQILLNCYSLQSLNLYALSSFTDEAYKKISLLSHLRFLDLCGAQNLTDDGLRSIANCRKLVSLNLTWCIHVTDVGVKAIAESCSSLELLSLFGIVGVTDRCLEALCKCCSGTLTTLDVNGCVGIKGRARDELRQLFPKLKCFKVHS >CDP02946 pep chromosome:AUK_PRJEB4211_v1:6:11259876:11268110:-1 gene:GSCOC_T00041379001 transcript:CDP02946 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSFRSIVYGKWVTTIIRYPPGKWQLIRFLSPFIVVIQSCKMRKDREETTLGGPRRSKSLNRASSVKSSLRRHSFSSFHVSRDQGDDDTESEAVSEAGDIGDRALHSRRSSESGRGRISIDNAVESGVIVPILEDASLQPTGFSPHKTTTFNTQSALPSSTLEIALGSSADGTAQLEDKKNENEKAIPWILEYISCLSFLALFGILGVITRFYIQKLFGPRVVGATSDQSYMYPDLPSNMVGSFLMGWFGVVFKGDISEVSDNLAIGLTTGFLGSLTTFSGWNQKMLDLSVRGHWVFAILGILIGLFLAAYSIIFGIETAKGFKWLKKRFIREMKFFSSIYSWDLDQYNTYLTGTVVLLLILGVVWGVCIAFTKKQFYSDHSHAELLLGCIVGPFGVWIRWFLARLNGRGLGKKPVLRWVPFGTLAANILAAAVMAALATLKKAVNTDKCNTVATGIQLGFLGCLSTVSTFIAEFNAMRQSSHTWRAYAYATSTIVISFALGTLIYSVPVWVKGYD >CDP09599 pep chromosome:AUK_PRJEB4211_v1:6:21396462:21400745:-1 gene:GSCOC_T00029033001 transcript:CDP09599 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQDVALSIVGPFVEKCVNPILRQFKYLIFYKSNVQTLSNEINGLGLQQAEVQRLIDAAENNAEKIKPTVTDWMKNVDDLKKEAYTISQGMESVEVNCFNIVRLPNLKSCYLLGRRAAKITDVAQKLIGEGKFDQVGYIAPLGKMPFSEQTQSSKEGLVSRMLKKKEVIEALKEDKTGLVAICGMPGVGKTYLVEQIADQVKFEKLFGEVAKANLSQNPNTRTVQDQLAEQLGLKISEKTDRARAERMYTRLSNGDKRILVILDDVREEAFKSNHTTPESWNIALRQLKKYTMRDIEGVQDLVFSSIMWSYDHLESAEAKSLLLLCSLFPEDYSIPLERLVRYGKGLQLFQDRETLGDVRDRVHMLINELKKYYLLVSSDGEQEDSVKLHNVVRDVCLSIASKGEHVFLVRNARVEERHPYTAISLTVKDYRVQLLPFGKKSPWLKLLRLVFQSDTLYLSIDSFVGMEVLRVMEINNAYIEFTVLWPAQNLTSICTLCLDGCTLPTGTSSMIGYMTQLEILSFFQSALEDDQFPRKIAQMSNLKLLDLRVRRSLQPLPRGILSSLKKLEELYLAPDYHLHLGRDKEEERECIKEIISLSNLECLQIHVYDLNLLLQLLHGFPPQRLSRFLIEGAAYNMGRRDLSRDFQFGRTFELHLSQDEQLKQALDPAVTSIVKRAENLTLDLYDVSSLRNLVSDLDKDGFANLKRLQLVSGVCQCLVDSTTNLVAPHVFGDLVCMNIVECSLQEICHGNLPPRCFSQLQEVKLQTVDTIKYLWMGPIEPPSLCNLSVIEVTYCDQITILFSQSVLKCLVKLQSLTTENCKELENIVMREESKQKEVLELPQLKVLVHKHTNLMGFGSKDDAADAFFHQVSLPSLEELEFGPNTSDVQLIIGGELPSQSLENLKFLRLEDCQVRWIAKADGVIILQNLLRLEAHGCDRMKSLFEFEGLKVPRQSHEELAILPKLESLTLRSSGLTHIWRNFPTGVQVFRNLRNLKVWHCRLLQCLFYPPCVANMLVSLEVLVIGCCDEMHGVIGEEDEEISQEDGVGNHREIALERTNKEFVFPKLSSLSFVNLQNLGSFSGRHREDCHFKFPSLTQLEIWGCPELKKLCSGKLDAPLLKKVKVTENTYIPVDLKVQIYVYPYSF >CDP10449 pep chromosome:AUK_PRJEB4211_v1:6:6480395:6484198:1 gene:GSCOC_T00031178001 transcript:CDP10449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G20250) UniProtKB/TrEMBL;Acc:F4K470] MVPLSISISSTAKSATTINWQLLSSSLQFTSPLITSTSLGFVQRLSAPSSLAIAKYYHYSTVPRILAYKGTEAEFGTKRKEEMTITPAVRIAEKKLVVKDRTILTNVPDDVIATSGSASGPVEGVFLGAEFHKDNSRHVVSLGTLRDVRFMACFRFKLWWMAQKMGDKGREIPLETQFLMLETKDGSHLEPENGGDDDKKIVYTVFLPLVEGPFRAVLQGNSQDELEMCLESGDTDTVGSSFTHSLYISAGTDPFAAITDAIRAVKLHLKSFRQRHEKKLPGIIDYFGWCTWDAFYQEVTQEGVEAGIESLSAGGTPPKFVIIDDGWQSVGGDEAKEEKRDDVESEKQQPPLMRLTGIKENSKFQKKDDPTVGIKNIVNIAKEKHGLKYVYVWHAITGYWGGLRPGVKEMEDYGPIVKYPSISKGVMENEPGWKTDPIAVQGLGLVNPKSVYKFYSELHNYLASAGVDGVKVDEQCILETLGAGLGGRVELTRQYHQALDASIAKNFPDNGCIACMSHNTDALYCSKQTAIVRASDDFFPRDPVTHTIHIAAVAYNSVFLSEFMQPDWDMFHSLHPAADYHGSARAISGGPIYVSDAPGKHNFDLLKKLVLPDGSILRARLPARPTKDCLFCDPARDGVSLLKIWNMNKYAGVLGVYNCQGAAWNTVERKNTFHQTKSEAITGYIRGRDVHLIAEVAMDPEWTGDCAIYGHWSGELLTLPYNAALPISLNVLQHEIFTVTPIKVFAPGFSFAPIGLIDMFNAGGAIEGIKYDIKDGAQLSEVEKGYQGEGNAFAGEAVENLSIRVVAVVLVEVKGCGRFGAYSSTKPRKCTVGSSMIDFAYDSSSGLVTFNLDDMPSEDQKVHNVEVEL >CDP10372 pep chromosome:AUK_PRJEB4211_v1:6:7076657:7078169:-1 gene:GSCOC_T00031081001 transcript:CDP10372 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKNVAQSPLERSCSLASLDHKLAMAKRCSHEGVVAGAKAAAVASIATAIPTLASARMVPWARANLNPTAQALIVSTVAGMAYFIVADKTVLATARRNSFNRQTSNN >CDP03028 pep chromosome:AUK_PRJEB4211_v1:6:10396201:10397140:1 gene:GSCOC_T00041498001 transcript:CDP03028 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGSFKNGAILLARLFVYDIFWVFFTQVMISVVKSFDAPIKFLFPTADSAHLVLSKICICNLYISGIFVALALHFKVS >CDP16119 pep chromosome:AUK_PRJEB4211_v1:6:33935818:33946817:1 gene:GSCOC_T00017178001 transcript:CDP16119 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRDLLFLIRQFCKDENLHKTAHMLEQETSFYFDMNYFEELVLSGNWSEVEAYLSSFTTPDSNEYSIKMYFEIRKQKFLEALDRHDLALALDILLKDLKCFASSNEHLYKEMAWLLTMEDFRKHHSLSTYGDIISARRRLMDEVKALLEANPHFRRKIKLPNMETTRLRRLINQSLNWQHSQCGRSECVPQINSLLFDHKCTGQPNDQIPLSFQAISVSKSPLVGNSNFPLFRLPDSQISSSAINPTNQNKLEITFNRALSLSLSLSLYIYIYIYYLFIYLFIKQSTLTRPPDMNSNFISATVVWNMCSKTIPFFESFKNITYLPYFCYLCNKIFKNPKLPFHLLNKNVPKPLCSLQENHHLKSNLLQQGISGIWNYFATVFASNTTHISLCISIITEMVLQTHLIEVVSQTNVPVQSQNLDVICPEDLPGKVERCMDMSSLPTSMDFHPLKATLLLVGNINGETELWDVRSEVKFFWNAFMIWKRETISSSFLIDYNQNPQISVNRVLWSPDGSIFGVAYSKQIVQLYCYHATGNYIEKQLEIDAHLGGVHDLAFSSPYDQILVITCGEDKLIQVWDSMTGSKQYTCEGHGAPVYSLCAHLKEDIHFVFSTSTNGEIKAWMFDNDNTGPRVALDAPGHSCMRMAYSADGKRLFSCGTNGDGDSYLVEWDDSEGFITQSYHGLSKSSAAIVQFSTCRNRFLVAGDEQLLKFWDMDNVNILVSLDADGGLPAKPYVCFNKEGTLLAVFADDSKIKILANDIGTQLLQTLPSGSVDSTGRPCRSSGKDDQNMKCLGISELNNQLSTKEYAKPTQVSQCKSLRLTAEFKTNRICRLLYTNAGNGILALAADGIHLFWKWPGNGYNNYGQATSNRSPCLWHPKTGSIMVNDLNNDPYQIASPCFALSKNDSYLVSASGGMVSLFNIVNFEKVRRCLLPPPTATCMAFYPLDNNLVAIGMDDSTVLIYNVRDSELMNRLSGHLKRVSGLAFSNSLKVLVSAAVDSQIIVWDTIAWEKKKSISMQISVGWWLPSETSETVIQFHKDQLHFLAIHETQLAMYESRSLERIRQWNTRDFCARISSGTFSCDNQLVFVVMRDGIVMILSALDLSPRFRIDPSAYLPPSSGHPVYPVVIAAHPQKSNQFALGLSNGDVIVVEPHESEGKWTILPPFHNGPRNRIIID >CDP03144 pep chromosome:AUK_PRJEB4211_v1:6:9355917:9359761:1 gene:GSCOC_T00041639001 transcript:CDP03144 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ domain-containing protein IQM5 [Source:Projected from Arabidopsis thaliana (AT5G57010) UniProtKB/Swiss-Prot;Acc:Q058N0] MTVSADSLKKADSEVASETNVWDEVPRKNSIDLKNCEPMRLMLESTLSFKNLIQDERKPESNDRNAKADGAVDVLTPSSFLPDLAMLYSPRPVSELDAAAVKLQKVYKSYRTRRHLADCAVVVEELWWKALDFAALKRSSVSFFNDDKPETAVSRWARAKTRVAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHIYYDVWFESESSQPFFYWLDVGDGKELNLEKCSRTNLQHQCIKYLGPKERESFEVIVENGMLVYRESGMFVDTVEGSKWIFVLSTSRNLYVGQKKKGTFQHSSFLSGGAITAAGRLVAHGGALEAIWPYSGHYHPTEENFRVFISFLEENRVDLSNVKRCAVDDDLLSFTVPDEEANAECNRSASATTEPEDGSTTDVRGLSGKDATAASRQNAKNTAHLTVKPPLYTMAKHLSCKWSTGTGPRISCVRDYPTELQSRALEQVNLSPRLASGALFNYGPIPSPRPSPKVRLSPRLAYMGLPSPRTAIPAAH >CDP18657 pep chromosome:AUK_PRJEB4211_v1:6:15361955:15365624:-1 gene:GSCOC_T00004152001 transcript:CDP18657 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQAKLIFSTAVQLCVSLTLLLNFVVSSEIQLGSKISVEDNNFWVSSNGDFAIGFFSYFNLYKVGIHFNSSSIPIDKQTVVWVAGADLKVGNKSYFQLDESGELFLFDSDTGITAWTSKTSNASVVSALLRDDGNFVLLNKQKITVWQSFDNPSDTLLPGQNFSASRVLRPPSNSPVSSYYSLYMGDSGQLQLRWETSIIYWTSGNPSQSAHRAILSADGTLQLIDQTSKSIWSIFGDDHNDSNVHFRFLRLDADGNLRLYSWQNASSSWRSVWQAVNNQCDVFATCGVHGICVFNESGLPVCKCPYMPAGEFNSKCLASSDENCDSGSSLILYEHTFLYGIYPPNDTIVHTNLQECKTLCEKDPRCTAVTFINNGTPQCRIKNTRYMSGKSDPSLGSISLIKTCSDPVAVLPQSPESKLIQKSLRKICIPCLIGVAAGTFGIILVIQLCAGFYFLRRRKYIRKKTDFSNVDPNTGGCIMLSYAEITELTENFKLKIGPKVFKGVLPDKRPVAIKDLATSIEERKFRSAVSKIASIFHKNLLKLDGYCCDSSNRLLVYEFAKNGSLGDCLEDPKMCKRLTWRRRISICLAVARAIYYLHTGCRVYVSHGNLKCENVLLDDNFEVKVSEFGLQTFLSEESDTEQTAEADVRDFGKMLVKIMSGSQNADDACEWAYEKWLADQSYEIVDSRLEGSVSSDELQRALRIAFWCLQADARMRPSMGEVVKVLEGTLSVDIPPPLFSHCHSRTSSEGKLESNAESG >CDP09581 pep chromosome:AUK_PRJEB4211_v1:6:21136679:21138431:-1 gene:GSCOC_T00029011001 transcript:CDP09581 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRRAFNPFRNHRFGARISLFCYDLETTRCALENCNSGYTGSQQMISSRNLSPTWFFNASCDSQKLLSGTRSFTSQAGTESSGQDDVTWRMGLPSLGLQLLLISLMKAMQFMKMRMI >CDP15421 pep chromosome:AUK_PRJEB4211_v1:6:4649773:4650992:-1 gene:GSCOC_T00043150001 transcript:CDP15421 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDVVTANPSDWTISMPQNFNPFSLSIDGDKLRGRGTTDCLGHVALVAELMKKLGETKPQLKHQKP >CDP14330 pep chromosome:AUK_PRJEB4211_v1:6:35835601:35838570:1 gene:GSCOC_T00040657001 transcript:CDP14330 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRSLSPMVRRELENLDKDADSRKSAMKALKSYVKDLDSKAIPLFLAQVSETKETGSSGEYTISLYEVLARVHGHKIVPQIDNIMTTIIKTLMSSAGSFALHQACSKVVPAIARYGMDPTTPEDKKRHIIHSLCKPLSDCLLGSHESLSSGAALCLKALVECDNWRFASNGMVNEVCQRVAGALDKHLQTNSHMALVMSLAKHNSLTVEAYARLLIRTGLQILNEDANSQKRLSAIHMVNSLMKCLDHKSISSELELIIKVMENCQSDQMAYVSGAAFEALQTARKICTEKSSKFEKDMVSVTGSNFDRRGDLRRRNLSDSGDQSPLTASPESQTINSFCGHDSFFDSPISTNQTSPDFAYDRRSVNRKLWSRCENGVLDVSLKDGIFSEVTRGSAMGSSEHDGFSDFSGDYTDGFVGFCQNSSRNRVARSATPSPQRSRSLINVDSVKIFATPRKLIHSLQDQNEESSDSDKPNRRFRSPSSQYEMTPTSKYKQNGVHQMRGLEINGNGKSPTGSEALRGSSESVSSTQDIPAEDTTKPSPKLELPRVDGLKGYWKPTRNTLGILFVIFATILCFMLIDDQGEGYNLVPT >CDP10076 pep chromosome:AUK_PRJEB4211_v1:6:14887596:14892722:-1 gene:GSCOC_T00030671001 transcript:CDP10076 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSIAEEVATQETNTRETKITNEISSGESPPSQIQLKEQKLSCRKFSRYDSLDVEANKLHPINHHSSKVLTLHLAFQSIGVVYGDIGTSPLYVLSSTFPAGINHNDDILAVLSLILYTITLIPLIKYVFIKITNGKGGTFAMYSLICRHAKIGLIPSQEPADQDVSTFQLENHRLLRASIFKSKLEKSKFAKFFLLIITMLGTSMVIGDGILTPSISVLSAVGGIKEATSAMTEERIVWISVGILVFLFMFQRMGTDKVGYVFAPIIFVWFIFIAGIGIYNFIKYDPLVIKALNPKYILDYFRRNHKNAWISLGGVVLCTTGTEALFADVGHFSVRSIRISTCAVTYPALILAYTGQAAYLRKNNSDVSDTFFKSIPGPMYWPMFVVAIFAAIIASQAMISGTFSIIQQSLSLGCFPRVKIVHTSSKYEGQVYIPEINYLLMVACVAVTLAFKNTVKIGNAYGIAVVFVMTLTSAFLVLIMIMIWKTKILLVILYVLVIASVELVYLSSVLYKFDQGGYLPVAFAMFLMSIMFTWNYVYRKKYYFELDHKVSPEKLKEVIIDTNSYRLPGLAIFYSELVHGIPPIFKHYVENVPALPSVLVFVSIKSLPISKVPMEERFLFRRVRPNDLNVFRCVVRYGYTDVRNEQEPFEKLLVERLGEFIKEDYKFSIVEESQGNHEEMDSDGSGNGENVDHDQEAVKRDIEVLEEAWRAGIVHFVGEQEVVAGKGASLGKRILIDYAFNFLKRNLRQSNEVFDIPQKRMLKVGMTYEL >CDP03055 pep chromosome:AUK_PRJEB4211_v1:6:10078108:10080387:-1 gene:GSCOC_T00041532001 transcript:CDP03055 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIASTALSSIPTSEINTKSLPGRVTRACLLGRSRLNATKGVSSVCEPLPPDRPLWFPGSSPPEWLDGSLPGDFGFDPLGLGSDPELLKWFAQAELMHSRWAMLAVAGILIPEWLESLGFIENFSWYDAGAREYFADPTTLFVVQLVLMGWAEGRRWADMMNPGCVDIEPTLPHKKKPKPDVGYPGGLWFDPFMWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFCFQAVYTGEGPVENLMAHIADPGHHNIFSAFTSH >CDP06647 pep chromosome:AUK_PRJEB4211_v1:6:2333688:2335426:1 gene:GSCOC_T00023568001 transcript:CDP06647 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGGKVKKGAGGRKGSGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYSERVGTGAPVYMAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKNEKAAKEPVKSPAKATKSPKKA >CDP06395 pep chromosome:AUK_PRJEB4211_v1:6:319090:320397:-1 gene:GSCOC_T00023224001 transcript:CDP06395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 53 [Source:Projected from Arabidopsis thaliana (AT2G38510) UniProtKB/Swiss-Prot;Acc:Q9ZVH5] MLFLGRMGKTELAGGSLAIGFANVTGFSVMKGLCMGMEPICSQAYGAKRLSVLSHTYIKTFLLLFFASIPITFLWLNVEPVLLRLGQDRVILRVAKAYLVYSIPELIAHAHITPLRSFLRTQGLNSPATVVATCSTLLHLPITYLLVSHLNLRVKGLALASVFYTLNMNTGLLLYLVFSKVAIKPWVGATLFSVLQGWGPLLSLAIPSLFSVCLEWWWYEIILFMSGLLTNPEACVAAMGILIQTTGTIYVFPFSLGLSISQRVGHELGAGQPARARFAALLGLCVGLAYGTLAFGLSVALRSVWGKLYTSDPQVLGLIAGVLPILGLAEVGNSSQTAACGALTGSARPRVGVRINLAAFYLVGIPCSVVFAFKLNIGFRGLWLGLIASQAACLSLMVYTLVQTDWKHQAKRAEELTLAAHGDNDDGDGGSNLIP >CDP06680 pep chromosome:AUK_PRJEB4211_v1:6:2547981:2549906:1 gene:GSCOC_T00023611001 transcript:CDP06680 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKTLRLHMQVQTAAAINCCPRQALPIFIPRHPIDYGFYGHLIQHCTDHQLVRQAKQLHARLVLCSTILDNFLASKLITFYSKNHLLREAHHVFDHIPQKNTFSWNALLIAYSLHNRYEEALTLFSSFLSVNSNSVSAKPDGFTVTCVLKALAAVTTHSVFADIMHCYVVKKRIDADVFVVNGLITCYSRCDDMVSARYLFDEMPCRDLVSWNSMISGYSQVGYYEECKLLYREMLDSKEFRPNGVTVVSVLQACAQSNDLVLGMDVHRYVIESGIEVDLSVCNSIISLYAKSGSLDYARELFEEMSEKDEVTYGAIISGYMVHGFVDKAVALFREMRSPRLSTWNAVISGHVQNNQRVAALDLVREMQKLGCRPNSVTLSSVLPTLSYLSYLKGGKEMHAYAIRNNCDGNVYVATAIIDTYSKLGFIDGAQSVFDRTVCRSLIIWTAIISAHAAHGDASVALRLFNEMLNSGLQPDPVTITAVLSACSHAGLVREAWGIFHSLVPKYGIQPSAEHYACMVGVLSRAAKLSEALNLINGMPIKPSAKVWGPLLDAASLSGNVELGKFICDRLFQLEPENTGNYVIMANLYSKAGRWEEAEVIREKMKNIGMKKVAGGSWIENHGGLQSLILEDVSDEKSE >CDP06367 pep chromosome:AUK_PRJEB4211_v1:6:121841:129731:-1 gene:GSCOC_T00023187001 transcript:CDP06367 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDFAKPFSTEFQSLTRVRFRVRGSSSSSSSTRMEASVGNNTDAAYRPTGASAPNNSYSNNTSNHHNSTSGHHHPHHPPRRFGGMQLSASSIFRSPLSALLEYSGILRNRPSSSSDSHHHHHHHYNSTLLNASGVSRPYHDDHLQSRLLLDNSSSAVPASLNISNATGAPGGEVSIRIIGAGEQDHLDRVSAPLPSPAAASTPAPLREVNAQNEVFLQPISRTTSSVSLEGQGDRGVGLGVPNRIPHPTATNVDTEAGDIPGSNNRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKISVLIGISLVFTLHVVGVYWWYWNDDLLSPLVMLPPKAIPPFWHAIFIIMVNDTLVRQAAMILKCILLMYYKNSRGRSYRKQGQMLTLVEYLLLLYRALLPAPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQCFFTALKALSCKEIHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >CDP10462 pep chromosome:AUK_PRJEB4211_v1:6:6329873:6331401:1 gene:GSCOC_T00031194001 transcript:CDP10462 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRFKVWTYREGEHPLVHKGPLKDIYAIEGQFIAEMEIGMNPLTASHPDEVHAFFIPISVANIVNYVYMPVTNYSREQLQRLVEDYIGVIANKYPYWNRSNGADHFMVSCHDWAPDISDANPRLFKNFIRVLCNANTSEGFHPGRDVSLPEVYGPAETLAIPDKGLVPKMRPILAFFAGGVHGCIREMLFEHWKDKDNDIRVHQYLPEGQNYTQLMAQSKFCLCPSGYEVASARVVEAIYAGCVPVIISDHYFLPFSDVLNWNEFSVSIPVDKIPDMKEILLGISETRYVRLQKRVRRLQRHFRLNRPARPFDVIHMVLHSVWLRRLNLRLPT >CDP06258 pep chromosome:AUK_PRJEB4211_v1:6:24293659:24296171:1 gene:GSCOC_T00022983001 transcript:CDP06258 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMNSHHFIHYVSECLVQPKHIPQDSEQRIYLAPWDLRLASLHYNQNGLLFAKPPAFDSEGKMKDYLQKLKESLSLTLVHFYPLAGRLATLKQENPPIYSIYVDCTNLPGAKFVHASVDLTIDDILTPIYMPKIVYSFFDHVGAVNHDGHSMSLISIQVTELKDGIFIGWSTNHLLVDGTSFWHFINTWSEVFNAKGQISTISRPPILKRWFPEGHRSPVFSLPFAHHDEFISRFQTPELLERYFHFSAESLAKLKAKANAECNSTNISTFQALSALLWRCITRARNMPSDQQTSAGTAVNSRLRINPPLSEEYFGNCIQGVAVTTTCGELLTNGLGWAAWKLHDLVINQTDRSIREWVESWVKSPFIVWIGRFSNRIQIGNSPRFNIYGNEFGLGKALAVRSGFANKLDGKITLFPGREGGGSMDVEACLPQQTMSFLESDQEFMENVSS >CDP02840 pep chromosome:AUK_PRJEB4211_v1:6:12536227:12542732:1 gene:GSCOC_T00041231001 transcript:CDP02840 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLIAPLHVSFYNPISKFSWSNSKDTVFPSKPLTIVSANKAKRRGKLRYPSEKKKLKLQRQYEIDERNKLEGVWRLFKLEVSVDKDPGKDFLGVSDALLEEIAKVLKFPVASMLPPEAFTVLRKSFDARKKEPKFVYAVNMDVSKLLSSEPRTLDFISDLEPKTGLIEHLTDQRDSGDFGDLISLVKNCKKNNETEGVGPRNHYGGSLVYPYARKPKVAVVGSGPSGLFASLVLAEFGADVSLIERGQPVERRGRDIGALVVRRMLQEDSNFCFGEGGAGTWSDGKLVTRIGKNSYSVTTVLKTLIHFGAPKNILVHGKPHLGTDRLVPLLRNFRQYLQELGVIVKFGTRLDDLLVEGAHVVGISVSDSIGNLESTSLKLGFDAVVIAVGHSARDTYQMLLSHNVDMVQKDFAVGLRVEHPQELINSIQYSELANEVQSGKGKVPVADYKVAAYVSGEYEDAPLSLGSTNRSCYSFCMCPGGQVVLTSTDPSELCINGMSFSRRSSRWANAALVVSVSSKDFDALNLHGPLAGVEFQREFERKAAIMGGGNFVVPVQTVTDFLNSKLPVKCIPSSSYRLGVKATSLHELYPPHITEALRHSILMFEKELPGFISNSALLHGVETRTSSPVRILRNSDTYESTSLKGLYPVGEGAGYAGGIVSAAVDGVYAGFSLAKSLGLYRGGIEDVLGKDQNVGFFKY >CDP03047 pep chromosome:AUK_PRJEB4211_v1:6:10195975:10198261:1 gene:GSCOC_T00041523001 transcript:CDP03047 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRQLKGYFAINLPLHRLKFEKWFDAYESTSAADHQLPAFTFLEQPEKGGLAVGIPDLHFTKFIYLFTEMEVRNGGEEIDRLERGLLLDSSGSSADSQSANDEEEEEEETDHFDEEEPVLYTASFEEAEENFVNYQTAQWILYSLLLILAWGIGLFMLLYLPFRRYILRKHIRSRKLYVTPNAIVYKVIKPVPFPCFGVLKREKHIVLPSVADIAIEQGYLQSKFGVYSIRIENVGVRRPPSDDLQIQGIANPHAFRKAVLMRLSNIRSQAFSRQASAVEDTNSRLAQSSTASMSPSKFLRHDSSSHIPEWVILQKLEEVGSSVKRVQNLIEGRNSQIAEHSD >CDP02964 pep chromosome:AUK_PRJEB4211_v1:6:11121209:11123177:-1 gene:GSCOC_T00041403001 transcript:CDP02964 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLVLRLFATSSLVLTACLVAFDSQTKLLFYSMVRKASFRDLKALSILVWVASAAAAYNVLQILRSRLFPQMGKNLAWFGYLLDQAVAYLVFAANSAALQGCVFAVSGQSNFGWMKVCDKYTRFCIQIGGALICGYAACLAMAVISALSAYGLFRLYSPKHFLQLKHQ >CDP03004 pep chromosome:AUK_PRJEB4211_v1:6:10687910:10690605:1 gene:GSCOC_T00041464001 transcript:CDP03004 gene_biotype:protein_coding transcript_biotype:protein_coding MPANVESKPPPRIGKIGPYTVFITPPSTPSTPTTPSPRPLSDSPKKTVPASPLASPAPVQRPTQSHSPPPPPPPAVQAPPSQFYSTKPSHKSHSTFGFFWDAVAKVQNAHASLDGHVAYWFGLNQSKYQWALDDFYETKGTNPGDSKAKGLSDKAQNV >CDP10549 pep chromosome:AUK_PRJEB4211_v1:6:5664857:5668285:1 gene:GSCOC_T00031307001 transcript:CDP10549 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREEKWIGGKFCGSISSFCHHLQSSCDALIQSADRRPIPLDSASTTFMEFLNRRMSSTSTDLNLLECMSCDTVSFEELLGHCTQVYNHNQIHLLALQQHPVFSTSATQIPSSAATAEDQDEEEKDSDDDDLSLSPPTSSSSTTILHFSSKFQDDPLLDDTLSLKNLGLSDVCLATIASDQGNNSIHTAKMFQESEGEMRDELPSSRDSKPWIVASRDDYEGLPKHIKSLASWEDLIVAVEKMNSSLAKRSMKVDTIQQDEISLLGLGHKAKAYLLLLIKMNCIVVETIDGVITYRVL >CDP17640 pep chromosome:AUK_PRJEB4211_v1:6:31287108:31292743:1 gene:GSCOC_T00001547001 transcript:CDP17640 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEEKREVEEEEVKVVEEEEEERIQSFKELGVCSELVEACDNLGWKAPTRIQMEAIPHAIQGNDIIGLAQTGSGKTAAFALPILQALLQAPQPFFACVLSPTRELAIQISEQFEALGSGIGVKCAVLVGGVAQTDQSIALAKRPHIVVATPGRLVDHLSNTKGFTLRMLKYLVLDEADRLLNEDFEKSLDEILNVIPRERKTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFVPAKYKECYLVYILTEMSGSTSMVFTRTCDATGLLALMLRNLGLRAIPISGHMTQAKRLGALNKFKGGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYIQIEKLIGKKLPEFPAQEEEVLLLLERVTEAKRISQMKLKETGGKKKRRGGDDVDEDVDRYLGLKNGKAKKFKNR >CDP18655 pep chromosome:AUK_PRJEB4211_v1:6:15346113:15347019:-1 gene:GSCOC_T00004149001 transcript:CDP18655 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSRLALPLELAEEPVYVNAKQYHGILRRRQLRAKAELENKVAKARKPYLHESRHLHAMRRARGCGGRFLSKKNVDKSDSRAASKKSIDFNATPTEMKDSLGSQHPFSSTSQKSCNEVKGFLLQEMQNTNTFEWGYQYHCKTQ >CDP06429 pep chromosome:AUK_PRJEB4211_v1:6:711527:714111:-1 gene:GSCOC_T00023280001 transcript:CDP06429 gene_biotype:protein_coding transcript_biotype:protein_coding MALACGLARHRCHSHLHNLFLIGNSNHNPNRSCFFYSTIRPPFKSQKLSSAGNRLTTLSTARLQQNVPKSSPNDPSTNTLPQAKTQPSRSDNLVDQPDSNPDSGSSLSPLPYPLRNVLKLDVLALEILSIALPAALALLADPITSLVDTAFVGHLGSVELAAVGVSVSVFNLVSKLFNVPLLNLTTSFVAEEQAAITNGSNESGPPVCDGPPDHKGKILLPSVSTSLALATGLGIAETVALSVGSGFLMNTMGIPAESSMRIPAEQFLTLRAFGAPPLVIALAAQGTFRGFKDTKTPLYAVGKYCKIEFVSCQICLIFVHSQICVCSVTLHV >CDP06766 pep chromosome:AUK_PRJEB4211_v1:6:3287866:3294643:-1 gene:GSCOC_T00023726001 transcript:CDP06766 gene_biotype:protein_coding transcript_biotype:protein_coding MNQALDIFRSSGYYFSRNPVFLNSSRNSPAFLLHKQNFPLEHLAAPLFEKYRRLVCSKRVNSKPFIPTESNSLRVEAEACDGADFSAVPHVQTLREFPKEELSEKVVMVRFDSRLLFQQLQERKIQCENAVSTIKYLHEAGAKVILVSSWDADAENTMKIVRAESIAGALSSVLQVKVVPVKLVTRHMHSAKQESNILLLENLFQFKEEPANCKGFARLLSSGVDIFVNDAFSQSHRVLASTVAISRFCYASIAGFHFEAVLSQLKNITKTSQKPYVAIIGGGNLVEKAAALRLLVCICDGLVFVGNIAFQIMHALGLPLPMKLVEHGATEEALTLINSMKSRRRAVIVPKDFLCLNNLNPEKLEIFSADCLVDGWQPVDLGPESLEEIASLVSKCKKILWIGPVRFGLSRSDAGEASRLGAVVDRLRSQNNLETILVGKVACTTVLGSNLNYSVIENASAVWEFLKGRSLPGLMALDRSYPFDIKWDGVYDDPTRPLVVDVGSGNGLFLFGMAKKRKDLNFLGLEINGKLVNRCLERVLQTGMKNGYFIRTNAASTFRSIISSYPGELVLVSIQCPNPDFNKPEHRWKMVQRSLIEAIADLLALGGKVFLQSDIEGVAIRMKSEFVKYGKGKIMVMDEPEDASCYQRQWLNENPFGVRSDWEQHVLDRGANMYRLLLSKLAIIAGSTCRG >CDP10403 pep chromosome:AUK_PRJEB4211_v1:6:6860833:6888803:-1 gene:GSCOC_T00031120001 transcript:CDP10403 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKQLLSTVEESLLGPNPPTPAQRVELIHAIRQSLPSLRNLLSYPPPKPSDRAQVHSKEVRLPNSGSITLDDEDVQIALKLSDDLHLNEIDCVRLLVAANQEWSLLGRDPLEILRLAAGLWYTERRDLITALYTLLRAVVLDQGLEADLLADIQRYLEDLFNSGVRQRFISLIKELNREEPAGLGGPNSECYVLDSRGALVERRAVVCRERVILVHCLVLSVLVVRTGSKDAKDIFATLKDNAEDFSQSTDVLKHQVIYGLLFSLVIAFISDALSAVPDKESVLSCDPSFKNDFQKTVMVTGNDPIVEGFVDCVRLAWAVHLMLTQDGFDVKDTSAAPSSNHAQYICACLDIVFSNNVFQFWLDKILRTAAFKNDDEDMIYMYDAYLHKMVTCFLSHPLARDKVKETKEKAMNALGAYRQVGLNDPMVDGGSHSRHSSETTSQPFVSLLEFVSEIYQKEPELLIGNDVLWTFVNFAGEDHTNFQTLVAFLNMLSTLASSPEGASKVFELLQGKTFRSIGWSTLFDCLSIYEEKFKQSLQSPGALLPEFQEGDAKALVAYLNVLQKVVENGNPIERKNWFPDIEPLFKLLGYENVPPYLKGALRNTIATFVKVSPALRDAIWAYLEQYDLPVVIGPQVRNNVQPMPAQIYDMRYELNEIEARREQYPSTISFVNLLNTLIADETDVSDRGRRFIGIFRFICDHVFGPFPQRAYSDPCEKWQLVVACLQHFRMILAMYDIKDEDIDSVNPSQQSSMQQPTPLEMQLPVMELMKDFMSGKTVFRNVMAIILPGVDSIITDRTNQIYGLLLEKAVLLSLEVILLVLDKDVTVSDFWRPLYQPLDVVLSQDHNQIVALIEYVRYDFQPRIQQCSIKIMSILSSRVVGLVQLLLKSNAAGPLIEDYAACLELRSESQIVEDSSEDPGILILQLLIDNIGRPAPNISHLLLKFDLDSPVERTVLQPKFHYSCLKVILDVLEKLSKPEVNALLHEFGFQLLYELCVDPLASGPTMDLLSTKKYQFFLKHLDTFAVAPLPKRNNNQALRISSLHQRAWLLKLLAVELHGADMASSHHRDSCQCILAELFGLEIPESGIDKNTLQPMILNSSDIAGIRMMGKNKVLGLLEVVQFKSPDNVKSSQAISNMKYGFMAEEILSNPSAVGKGGIYYYSERGDRLIDLTSFRDKLWQKCNIFNPQLSSFGSEAELNELRDVIQQLLRWGWKYNKNLEEQAAQLHMLTGWSQIVEVSASRRISSLQNRSEVLFQLLDTSLSASASPDCSLKMALMLSQVGLTCMAKLRDERFLWPGGMNADTVAFLDMIMTKQLSNGACQSILFKLIMAILRHETSETLRRRQYALLLSYFQYCQHMLDPDIPATVLQFLSANEQDNDLDLEKYDKEQAELARLNFAILRKEAQPILDLVIKDATQGGESGKTVSLYVLDALISIDHDKFFLSQLQSRGFLRSCLMSISNVSYQDSRLSLESMQRIYALEGELALLLRISHKYGKSGAQVLFSMGAVEHLSSCRIFNMQVKGGLRRVDTKFGRDFSVDVDKQRMVIAPILRLVFSLTSLVDTSDFFEVKNKVVREVIEFVKGNPLLFDQILQENISDVDELTMEQMNLVVGMLSKVWSYEESGEYGFIQGLFGMMCVLYSHNPEYFSSTESTRFLESKRKAELNRSRLCFSLSSYLYFMVKKKSLRLQVSDGPTEYRASATRQQPTLTLLGHFLNSLTTALERAAEENCLLLNKIRDINELSRQEVDEIITMCSRQDCISSSENIQRRRYIAMVEMCQSVADRNRLVTLLLLLAENVMNIILVHFQDGQVMKAFTNERKGHFDEDISFLCQKLVPILERLESLTEDKTGHNLKVFCRLASSLKEISIQKLAM >CDP14263 pep chromosome:AUK_PRJEB4211_v1:6:36726443:36729474:1 gene:GSCOC_T00040555001 transcript:CDP14263 gene_biotype:protein_coding transcript_biotype:protein_coding MEHILETVGLETFYLLYKFPLVVIESHLVSENSQYKDQRTCFVENQNFFPFIQTYFFNLFVLDYSVPFPFRFHIAQNQKGLLSVAIVTTISAFLSTFSPDYITLIILRVFVGVGLGGGPVYSAWFLEFVPAQKRGTWMVIFSTFWSVGTILEASLAWIIMPRLGWRWLLALSSIPSFAALILYGYVIESPRYLYMRGKMNDVHNILKKMAILNQTELPSGMLVSDRRPELDEEFAPAERIGLLSSGSNEVTFFKAGFSSVIMLFSSRLVKTTLLLWVVYFGNSFAYYGIILLTSEISSGQSECGPATLNSKISDDASLYRDVFITSFAELPGLILSAIIVDFLGRKRSMALMYLFSFIFLLPLFFKPQHEFLTTGLLFGARMFVIGTFTIVGIYCPEIYPTAVRSTGYGVASAVGRIGGMICPLVAVQLVTGCHLVPALIFFEVVIALSGICVMLFPFETKGRKLADTIAACNSS >CDP17643 pep chromosome:AUK_PRJEB4211_v1:6:31175168:31186065:1 gene:GSCOC_T00001551001 transcript:CDP17643 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSFFDKMMLNLRATCRYYTGYPKDLGPSRVIHFTSEREFVQLLHQGYPVVVAFTIKCNLTKHLDKVLEEAAVKFYPHVKFLRVECPKYPGFCISRQKTEYPFIEIFHSPEQAINHGRVADPNITKYSVQVLPFNYDVSTYGFREFFKRHSIHHSGPR >CDP03070 pep chromosome:AUK_PRJEB4211_v1:6:9990112:9990976:-1 gene:GSCOC_T00041549001 transcript:CDP03070 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPLPVQNICGPEKQKIGKEALVKLLRWHFGHSEFRGKQLEAIEAVLSGRDCFCLMPTGGGKSLCYQIPALAKTGIVLVVSPLIGPYLLP >CDP06411 pep chromosome:AUK_PRJEB4211_v1:6:499405:501708:-1 gene:GSCOC_T00023247001 transcript:CDP06411 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSYGGGAGGAPAFPNPAAYGAAAAYPHPVVNPLAVVGPQFCAPYPVDLVIVRKLLTLSEGNFGVTDVNGNIMFRVKGKLFSLRDRRILLDAAGNPLLTFQQKILTAHRRWKVFRGDASDDKNLLFSVKKSSLIQCKTKLDVFLASNTKEDVCDFKIEGSWFERSCTVYAGDSSTIVAQMHKKHSVQSVLLGKDTFAVTVYPNVDYAFIVALVVILEEINEDRDGED >CDP14166 pep chromosome:AUK_PRJEB4211_v1:6:18359025:18372266:-1 gene:GSCOC_T00040415001 transcript:CDP14166 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase INO80-like protein [Source:Projected from Arabidopsis thaliana (AT3G57300) UniProtKB/TrEMBL;Acc:F4J277] MDSNRRFSYSNLFNLEPLMNFKLPQPDDDFDYYGNGSSQDESRGSQGGAMGEHSNGVMSRRELKKKRRSGYSSDDEDGSYSNHISEEQYRAMLGEHIQKYKRRLKNTSPSPASMRTAVPVVKSSLGLNNQKLPNHQLGGLHRFESTSDFLNVNHSQKFGNFHGSDFTPKYGADRLVSEPGYLDIGDGISYRIPLPYEKLAASLNLPTVSDIRVEEFYLKGTLDLGSLAAMMASEKRFGLRSQAGMGDPKPLYESLQARLQAQPANTSAQKFSLQVSDAALAASSIPEGSAGSIRRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKPKVTKDPSVIEKEEKERIGKYWVNIVRKDIPKHQRNFSNFHKKQITDAKRFAEVCQREVKMKVSRSLKLMRGAGLRTRKLARDMLVFWKRVDREMAEVRKREEKEAAEALKREQELREAKRQQQRLNFLLSQTELYSHFMQNKSTSQPTEDLATGEEESDDQEMLTSSEAKLDEEEDPEDAELRKEALKAAQDAVSKQKKMTFAFDNECLKLRQAADIDAPLQDGSVTVSANIDLLHPSTMPVASTVNTPELFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEIGRFCPDLKTLPYWGGLQERMVLRKNINPKRLYRRDAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSNSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTGKTEITVHCKLSSRQQAFYQAIKNKISLAELFDGSRGHLNEKKILNLMNIVIQLRKVCNHPELFERNEGSTYFYFGDVPNSLLPPPFGELEDIYYSGGCNPITYEIPKLIYQEVVRQSNTCFSALGQGFTKELFEKYFNIFAPENIYRSMLQMDENLDGSFVHNGTFGFASLADLAPSELSLLATGTSVERLLFSIMRWDRQFIDGILDLLMETEEDDFELNQIGREKVRAVTRMLLLPPKSDTTLLRRHATGPEDAPFESLVMPHQDRLLSNIKLLHSTYSYIPRTRAPPISAHCADRHFAYKMLEELHHPWVKRLLVGFARTSDSNGPRKPNTPHPLIQEIDSDLPVSQPALQLTYKIFGSCPPMQPFDPAKMLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYKYLRLDGSSTIMDRRDMVRDFQHRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKNVTVYRLICKETVEEKILQRASQKSTVQQLVMTGGHVQGDLLAPEDVVSLLIDDPHLEQKLKEIPLQARERQKKKAGTKGIRIDAEGDASLEEFTELGSQGNEYGATPDPEKATSNNKKRKTSDKQTPKSRSVKGSSPPNSSSADYEFDDLQVNTEVHHQRPKRLKRPTKSVNENLEPAFTASPNVVQEANQNLPVSELNSGGYNHLLTGQ >CDP10108 pep chromosome:AUK_PRJEB4211_v1:6:14353871:14356530:-1 gene:GSCOC_T00030719001 transcript:CDP10108 gene_biotype:protein_coding transcript_biotype:protein_coding description:FT-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT5G06850) UniProtKB/Swiss-Prot;Acc:Q9FL59] MHAQAPSTRPDDYNIKDTKPQLGERWPHGGVRGGGGWISSERITSTYDLVEQMYYLYVRVVKAKDLPTNPVTGSCDPYVEVKLGNYKGKTQRFEKKTNPEWNQVFAFSKEKIQSSMLEVFVRDREMVARDDYLGKVVFDMNEVPTRVPPDSPLAPQWYRLEHRRGDSKVKGEIMLAVWMGTQADEAFPESWHSDAATVQGEGVYSVRSKVYVSPKLWYLRVNIIEAQDVESQDKSQLPQVFVKAQVGNQILKTKLCPTRTTSPFWNEDLIFVAAEPFEEQLVLTVENKASPTKDELVGRKSLPLNNFERRLDHRPVHSSWFNLEKFGFGVLEADRRKEHKFSTRIHIRACLEGAYHVLDESTMYISDQRPTARQLWKQPVGILEVGILSAQGLQPMKTKDGRGTTDAYCVAKYGQKWVRTRTIVESFSPKWNEQYTWEVYDPCTVITLGVFDNCHLGGNEKPAAGGGPGRDSRIGKVRIRLSTLETDRIYTHSYPLLVLQPSGVKKMGELQIAFRFTCLSLTHMIYLYGRPLLPKMHYLHPFTVSQLDSLRYEAMNIVASRLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIVSLFTGMVSMSKWLGEVRHWKNPVTSVLVHVLFCILICYPELILPTIFLYMFLIGIWNYRFRPRHPPHMDTKLSWAEAVHPDELDEEFDTFPTSKPQDVIRMRYDRLRSVAGKIQTVVGDMATQGERLHALLSWRDPRATCLFIVFCLCTAIILYVTPFKLVTLLAGFYFLRHPRFRSKMPSIPSNLFRRLPSAADSML >CDP06649 pep chromosome:AUK_PRJEB4211_v1:6:2339420:2343750:-1 gene:GSCOC_T00023570001 transcript:CDP06649 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFNSTTSTPNFDNLLLQTLMGRLQLRPPASLHNPSFLPPQSLEDLLLNNLPSDFDSDSDNDDSSSSSKTQLAKEESKLEKEIIRTILSGKTEILKPNSGQAVTVGEHHICVGFHEDTDSDYRVWEWHGHIMLFDEENGYTPEYIYGNYFERVNKKLMKDKKKKNEEANADARDQKSDDKEEKVSNLGLRELIDSGDSCTGRILHRNMNAGSPRLH >CDP06713 pep chromosome:AUK_PRJEB4211_v1:6:2800308:2800858:1 gene:GSCOC_T00023651001 transcript:CDP06713 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPNSRQTNALLHAGDTKDGWIPELTVNSIFSFSCLNPTIPTEQNILGLFSRLKSKSDDLRQNCKLSHSSEVKTNLSSSFCSQQRYSRIAFPSSVKRTFLYPVFTPTNPALAFTEI >CDP10063 pep chromosome:AUK_PRJEB4211_v1:6:15063654:15065133:-1 gene:GSCOC_T00030650001 transcript:CDP10063 gene_biotype:protein_coding transcript_biotype:protein_coding MIELFFAVAFSAMPLTLYVPPIRSLNLFVESLQNLLRQTTAYTHRVFPRLRTAVSRLLSNYSASRRM >CDP06383 pep chromosome:AUK_PRJEB4211_v1:6:237095:238012:1 gene:GSCOC_T00023208001 transcript:CDP06383 gene_biotype:protein_coding transcript_biotype:protein_coding MIRILHNSYLFWYLQFRKAPSRRRFVFRLWTFSFCLISHFSRLSSFFCLSLPLPLLLNTHLPFARIPTTTL >CDP06605 pep chromosome:AUK_PRJEB4211_v1:6:2027929:2035441:1 gene:GSCOC_T00023513001 transcript:CDP06605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MAVRVLNTRVTFRSAASPPLAVFRSCVRQVRTVRHPNHSKLGLHLSIIGSRWCFCSYGGSIRSYSVQSLVDTVMEELEVLRKRRQLRVSNKLATSGELLQDKLEKRTLQKGLLLEFKKDTGRVLLAVAQKPDGKKNWTVFDQNGVTTSIKPQQITFIVPGIEDFDHTQISDFIQRAQSNLDPALLEFAWIELLEKGKSVTIEQLAEMIFGSTEPLESYCAHLLLSNDDVYFTVLETKGPFSLYGPRPAVQVEELLQKKHAKELAEREFHEFMQLVKSAKGMPPHAKPSKSSWRSEEKIWHRIGSLEAYAIDDFKNDDQRKTAGMILKEMGLSKTSAAALNLLIDIGYFPVHVNLDLLKYSIHTDYPDRVLAAAGSLLSESYDDLDVNVRLDLTHLKVYAIDVDEADELDDALSATRLQDGRIKVWIHVADPTSLVRPGSIIDKEAMKRGTSIFLPTATYPMFPEKLAMEGMSLKQGKPCNAVTVSVILRPDGSIAEYSVDNSIIKPTYMLTYESASELLLLNLEEEIELKILSEAGALRFRWRQQQGAIDTATLETRIKVANPDDPEPLIRLYVEDQSDPAMRLVSEMMILCGEVMATYGSCNHIPLPYRGQPQSNIDTSAFAHLPEGPVRSSAIVRIMRAAEMDFSKPIRHGVLGLPGYVQFTSPIRRYMDLLAHYQVKAFLRGDSPPFSAGQLEGIASLVNMSTRVVRKLCNSSLRYWILEYLRRQPKEKRFSALVLRFIKDRMAAILLVEVGLQASASMSIGVEVGDEVKVQVEEAHPRDDILSLKEVSVAE >CDP03157 pep chromosome:AUK_PRJEB4211_v1:6:9229668:9230342:1 gene:GSCOC_T00041657001 transcript:CDP03157 gene_biotype:protein_coding transcript_biotype:protein_coding MNAALPIVDSMTSLFTPAILFCIVNLVIGTIFITSRLKSHNKPDPAANSPPSQNQLVRAPSFLDRVKSFNFSSYVSEQPDPFHAVTQKSDPREEQEQKNVAQEEALLESHHHDDQMMKSKLQTRVEAPAGNGGPARRMKKSASEKAVAVPEDREEVDRWRPATMREREGRSSSETETLTFGEEEHVDKKADAFINRFRQHLKLQRLDSILRYKEMLNRGAGLES >CDP03298 pep chromosome:AUK_PRJEB4211_v1:6:8108135:8111597:1 gene:GSCOC_T00041835001 transcript:CDP03298 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGSLEQFYNPKIELEQYPTGSHIASRMLYTAENSFGDVTNKVVADFGCGCGTLGIAAALLGAQHVVGLDIDAESLEIASENADELELDMEFVQCDIRNLRWEGQIIDTVVMNPPFGTRRKGADMDFLCVALKVASEAVYSLHKTTTREHVRRTALRDCHASSAEVLCELRYDVPHLYKFHKKKEVDIAVDLWRFVPKKNQ >CDP10098 pep chromosome:AUK_PRJEB4211_v1:6:14442016:14450043:-1 gene:GSCOC_T00030707001 transcript:CDP10098 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFNPLLLMFLLFLAVSLFLSHAIKGRMKSKTPIQHPPSPPALPLIGHFHLLGPETAKSFQTLASRYGPILRLRLVSKTSVIVSSAMIAKEILKDNEMNFISRPVVGYSWFNIYDGSTFVFAEYGTYWRFMKKLCMTELLSLAQVSRFTDIRRDETMKLLETLVKCSYEGKSCDLGKEFLRLTNNYTCRIVMSTRCSASEDESKKVWEFIKEIEELLAKLTFGEILGPLLGKLDLFGYGRKLKTLLSSFDTLVEKIMIKHEEDMRFCRKKERRDLMDLLLDVYRDENAEVKLTRKNIKALILELFTAGTETSAKALEWTIAELINHPQEFKKLKEEIHRVVGSQRLVEESDIPNLPYLRAVIRESLRLHPPSAVLLRRCVQDCKISGYDILANEGVLFNLIDIMRDPSSWENPLDFQPERFMEKSGGHYDPYQMDIRGQNFKMLAFGTGRRGCPGASLALAAVHGVIAALAQCFDFEVEGGKEIDMEEKAGLANAMAHPLLCYPITHFNPLAVHSFHPSQPLTIPKLSEGCTVKGYHIPSKTRLIFKFWGIPRDPKYWENPVEFQPERFLTENGSLKGQLDVRGQHLSSLAIWPGISLAQQIVQTSLAALVQLCFEWKIDRKRK >CDP10148 pep chromosome:AUK_PRJEB4211_v1:6:13805084:13805844:1 gene:GSCOC_T00030768001 transcript:CDP10148 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHMIFSCFLALTLFNVAFATDPMPLQDFCVADNSSPVWVNGVPCKDPKLVTAEDFFFTGLNIPGNTSNAYGSKVTSVSVAEMPGLNTLGVSMARIDFAPKGVNPPLFHPRATGILTVLEGTLLVGFVTSNPENRLITKVVQKGDVFVIPVGLVHFHRNVGDTNAVAVAAYSSQNPDSTAIGKAVFGSTPLISDDVLAKAFQVNRNTIDGIQSKF >CDP02965 pep chromosome:AUK_PRJEB4211_v1:6:11110250:11110741:1 gene:GSCOC_T00041407001 transcript:CDP02965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 2 [Source:Projected from Arabidopsis thaliana (AT5G57520) UniProtKB/Swiss-Prot;Acc:Q39261] MNYEPSTALNLGLTNNDLNLDLILDRSTSSLSSSPLNPSEPRVFSCNYCRRKFYSSQALGGHQNAHKLERTLAKKSRELSSAVRPHSGSSSRSGSVSSAPNHVQPPIMGHDHHGHRRFTSDTSYGRREMDYDSRIESHHWSSRVYKPESVQEDYSQIDLSLRL >CDP06374 pep chromosome:AUK_PRJEB4211_v1:6:182092:186979:1 gene:GSCOC_T00023197001 transcript:CDP06374 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLKDSLICGRRSLSSHHHRRGLSLSLNANDSPDDHNHLDLFSKSRRSISLASSDDSSDVSVRLGRLSLGSPAKPTPKPGLDDLLSSTDGGKHDYDWLLTPPGTPLFPTSDGNESQTVPMASRTTLLSRSSSTTKASRLSVSQSSEKDNRSRPARSSSVTRSSICTSQYSTYSNKSSSILNTSSASVSSYIRPSTPSSRSSSTARPSTPSSRPTLSRSSTPSKAHPAPTVASADKVRPSQNSRPSTPTSRPQIPVNLSSPAARSTSRPSTPTRRNPAPSLSAASGSSNLVGRSLQSGRTVSSTSRPSSPNPRVRPTQQPIVIPDFPLETPPNLRTTLPDRPLSAGRSRPGFTVTLKGNVENSGAANLPRRQSSPVVTRGRVPEPLSRGRMLANGQANHTTESHRAPHVSELPTRKPAKTSTESTGFGRTISKKSLDMAIRHMDIRNGTSGNRALSGSTLFPQSIRSSSTKGQLGPTGIKQASGNGDAPIFHNGDGTEHGNYHAGRYLENGNEEDKSQYPAKLTVVDMYESSRYDTLLLKEDLKNTNWLHSLDDKSEQGAIFDSGFEPLPEPFDPL >CDP03094 pep chromosome:AUK_PRJEB4211_v1:6:9811062:9812117:1 gene:GSCOC_T00041577001 transcript:CDP03094 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMHLRAQTMASPRMFLAVGMMMMVIMHSPMVIVGDKIGIGVCYGMVANNLPSATDVISLYKKYNIGKLRLFDPNPDALEALKGSGIDVALGAKNEDIPSIATSTEGAESWFTTNVLPYLSNITFSFISVGNEAIPGEFANDVAPAMSNLQAVLNDHNLNGITVTTAVATMVLGVSYPPSAGQFSPETKGALADVLSFLSIKGSPLMANVYPYFAYASDPDQVRLDYALFTAKDPVVVDGNLSYHNLFDATVDSFYWAMEKQGVNNVGVAVSESGWPSAGNGGFTTPELASTYNRNFMQHVLNMGGTPKRPGAYIEGFIFAMFNENQKPSGIEQNWGLFYPNMQPVYAVF >CDP03086 pep chromosome:AUK_PRJEB4211_v1:6:9840978:9842911:-1 gene:GSCOC_T00041569001 transcript:CDP03086 gene_biotype:protein_coding transcript_biotype:protein_coding MYANGSKPPAPELGAAGAGVGAGRKVVEEEDEGRSGESSSSTSSIGRNSDEESSAGRSSEAGDGEEVQSEYKGGALDSLEALEEVLPIKRSISQFYFGKSKSFTSLSDAANCSSMKDIVKPENAYTRKRKNLLACNNFWDKNRNGIRRNNSGGISKRAAGSRSSLALAASMGCTESNNNSDSSNSNSPSRGICLPPLPPQARRSLYNDSLSPPGQKFSPWRSFSLSDLQGATATPSISGIMVNNRHV >CDP03127 pep chromosome:AUK_PRJEB4211_v1:6:9515852:9517070:-1 gene:GSCOC_T00041618001 transcript:CDP03127 gene_biotype:protein_coding transcript_biotype:protein_coding MACPHCYELYDFSATLGILYLASGVEAEKLVGVSALDMFEAADDEAMCCSILMHRYLNLEICIDT >CDP14173 pep chromosome:AUK_PRJEB4211_v1:6:18436685:18448936:-1 gene:GSCOC_T00040422001 transcript:CDP14173 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAADVSHPVSTSQPFSQNPNPSFPGSNGPCQQQSRCFNFDDKDSGNCDLSFDFSKKGSDLSGQQKPPRIRVPVKKKRGKLTSSENKDFGNALRPDSSSRANEASVSKTSSCDYVFNAGAESGKIGKMRFVFGANCPNVCSSGSNLKNLDEGVVFGANKTPLPSILSSGNAGSVVGANECSSSSGLSGGNGDSLFGANKRSSISNFDNGNGSFEFGASNDRPDQENRGVMFGGERSSLPPNLNMFEADKISSIAKTYNGSVGSAFGSTESGSTLKSSLGNGNALFGASGSNSAVNMSLGKGNAEFVDISSDLKLNSRSGQGNAVFGANTSESTFSSSGGSGSFFFGASKSNLSSTPNLDQREFSRTAGQSEADESKILDNGSVVFGVEQGELASDSGVKQKSSSNTSSTQSAAIDFGKFSNTGFVFGTDWKVGGKEDRPRFEPGAKQNASKSNAEADKSKVRRRTRKLDFVTLSNKIRDMGNEFQKADVNGVFLFGNSSKEKPSSSGSNSNSHETNRLDGESAESGNASMKFPSDAIMSNFKFVIGSSSSPGSAVYKIPLSKLFDEMKGLNIDNTKGISGTDKVKVVGGNSSFTTGNLFVFQSKGQTSNQTSDSTGKVCNGNIPPQDQTAYDSDLKKTSFSSPNSSSATIHVQQNGFGFEAPPAPKIENKANLGATTTPVGPDACSREFKWNTNESYSFGTNLFSGLGKKLEFSAKSRCLRDKRSKKTRGKSRQPILAKHLTEQDRMSKESSSPNNFESPGCYSPMDSSPYQDTTANARGSSHTSTGTENREENVSGARQGFDINEVDKKSGKQDNVSSKVYCDDKSSATSSAQDGLSAIKRQYRKKYKLKVGDGLNRKTTVQKSDSFSSSVQFSPNASNSSCMGKAQVQSGVAAKPHNKPDGQCAKQDSTEGVMHEECEQWRMRGNQAYKSRDLYKAEEYYTKGINSIKHKNASGFIIEPLLLCYSNRAATRMSLGRMREALEDCKSAAALDPGFLKVKLRAANCHLLLGEFQEAMLYYNSCLESGNDVCLDRRIIIEAADGLQKAQKVYDYMCQAAELLQQRTSDAANSVLTKVGEGLSISCYSEKLLEIKGEALFLLRRYDEVIELCEQTLHTAEKNFSAIELANDDDAQRTNCVSLWRWCLMSKSQFHLGRLEMALDLIEKQEKLTSTSYRPASVNCGSSIPLAAAIRELLQRKKAGNGAFQSGKHAEAVEHYTAAISSSVVSRPFAAICFGNRAAAHQALGLISDAIADCSLAIALDENYLKAVSRRATLHEMIRDYKQAITDLQSLISLLENQSQVKAQSSGKQDGSNESNRKELKQARQRLSLIEDMAKKGTPMDFYLILGIKASDSESDIKKAYRKAALKHHPDKAGQYLVRSDAGDDGAGSLKDIVEKVHEDADRLFKIIGEAYAVLSDPNKRSNYDYEEEIRNSCGSPSDFYSSPYDRGQWSGRNSNFSSSFERSRSGRSWHGTWRSYDNSHSRW >CDP10522 pep chromosome:AUK_PRJEB4211_v1:6:5879066:5892414:-1 gene:GSCOC_T00031276001 transcript:CDP10522 gene_biotype:protein_coding transcript_biotype:protein_coding MASWGGALKKVASEGGGGGSESGGSESGADDRGSNYSSGNSSNTFEYFGWVYHLGVNSIGHEYCHLRYLCIRGKYVEMYKRDPRENPGVKPIRRGVVGHTLMVEELGRRKVNSGDLYVLRFYNRLDETRKGEIACASAGEARKWMEAFDHAKQQAEYELSRGASTRSKLNVENEINLEGRRPRVRRYAHGLKKLIRIGQGPEMLLRQSSSLDGRGRADAFFDAHGGDAVEAHEWKCVRTINGVRIFEDVASSESGKGIVVKAVGVVEASADTVFDVVLSLDRHQRYEWDTLTGDLELVDSENGHYDVVYGVFDPNYLTWWRSKRDFVFSRQWFRGQDGTYTILQFPAVHKKRPPKSGYRRTKINPSSWEIKNLNTSSSSKVAQCLVTQTLEINTKGWFKWKKDYSPKFEKTIPFALLSQVSGLKEYVGANPALTAESPTTVIHSKISDVLASDGELEVAEAPDEFYDAIAGDSSSEDDNSDDDSEKVNKNRKFKLKNVSWAIASLALQRMSAPDANKELNPDVTPINLDAFQFHGSMQRGGDETDTSCWTSPSGTGFMIRGKTYLKDSIKVKGGEPLLKLIAVDWFNVDNRVDNIALHPKCLVQSEAGKKVPFILVINLQVPAKPNYSMVLYYAADRPVNKNSLLGKFVEGTDMFRDSRFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYLRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSIVVDLAILIEAKEAELPEYILGTVRLNRVRLDSAVRLEN >CDP03262 pep chromosome:AUK_PRJEB4211_v1:6:8469175:8470041:-1 gene:GSCOC_T00041782001 transcript:CDP03262 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMFDLEMALSMTTMEENYIQTKLFEAKTLNQNQLSEMPMVEAVGDCIICMEDFEPGVGGKKVPCGHVFHSSCIAQWLSDHNSCPLCRSTVLTAT >CDP10631 pep chromosome:AUK_PRJEB4211_v1:6:4920515:4923270:1 gene:GSCOC_T00031407001 transcript:CDP10631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2735 [Source:Projected from Arabidopsis thaliana (AT5G06240) UniProtKB/TrEMBL;Acc:Q9FFZ3] MGIKLKVVCRKVYDYVRYDLKEIAFPSSLPDPPHIKKRRKLTWKERFLVLKEASRLYAASWVRDIGPELRPNDYKKKVENDDKFDEENKVKTEKEPSTLEDLAVAARGGMETLRPALQRVYMTRASAYRDALKSFIEGYQEGVQQVMEKRDSASQSEEGTPKRPTT >CDP10478 pep chromosome:AUK_PRJEB4211_v1:6:6200316:6203520:1 gene:GSCOC_T00031216001 transcript:CDP10478 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRRTDPNKAPPSDVMQQSSQMEASSEQKSSDQVKSSAIGPISSILEIMDDSVKLPQVSQLPAHHQHFSRAMLLRNPRYCSRKYYRRNSGNRADASTSSGKVTPSIDENIFLKFANKYRSDDCSQHTENWDQAFLKPERIRSSSFSTDAVSHDVGKMACRICERLLRKKPYINGGIGSSSDLSVVAVLVCGHVYHADCLEQKTSQEEQMDPPCPTCASLMSKVED >CDP12668 pep chromosome:AUK_PRJEB4211_v1:6:16841031:16842791:1 gene:GSCOC_T00036387001 transcript:CDP12668 gene_biotype:protein_coding transcript_biotype:protein_coding MKASNILLDDEMNPKISDFGLARTFRVTQELANTGRVVGTFGYMSPEYAMSGQFSEKSDVYSFGVLLLEIVSSKKNTGFGYHEKYLNLLGRAWQLWNECKAPELLDQSLADSCTPTEVKRCIQIGLLCVQDHAADRPTMSNVVLMLSSSEGEMC >CDP15781 pep chromosome:AUK_PRJEB4211_v1:6:25707580:25710211:-1 gene:GSCOC_T00015869001 transcript:CDP15781 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYRYQYRVGHLLEDGSYVWSKTYYFRASPYPGQESLQRVIIFGDMGKAERDGSNEYANYQPGSLNTTDQLIRDLPNIAIVFLIGDLPYANGYISQWDQFTAQVAAITSTKAFMIASGNHERDWLNSGSFFNVQDSGGECGVPAETYYYVPAENRAKFWYQTDYGMFRFCIIDSEHDWREGSEQYQFIEKCLASVDRKHQPWLIFAAHRVLGYSSNDWYAKDGSFEEPEGRAHLQKLWQKYKVDIAFFGHVHNYERTCPIYQNQCVKDGKSHYSGVVNGTIHVIVGGGGSHLSQFTPTNTFWSLYKDYDRGFVKLTAFNRSSLLFEYKKSRDGEVYDSFTISRDYRDVLACVHDGCEFTTLAS >CDP06204 pep chromosome:AUK_PRJEB4211_v1:6:22376114:22378014:1 gene:GSCOC_T00022884001 transcript:CDP06204 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAALSCLIAFLVHAFVADPRRTTTNHDTSQALFRDEVMDKGNANSVSLWVESWQAMKAVTRVPTFQYIVLQGIVGSLPWTALVFLTLWFELIGFDHNSSAALVGIFATGCALGSFVGGIIADQMSRLYPNSGRIMCAQFCAFMGIPFSWFLLRVIPQSVSSYSTYAATLFLMGLTISWCATATNGPMFAEVVPVKHRTMIYAFDRAFEVSFSSFAAPVVGILAEKMYGYDPKSVDPVVGSGREALALSRGLFSMMAVPFGLCGLFYTPLYWNFRHDRENARIATAKETEMI >CDP06607 pep chromosome:AUK_PRJEB4211_v1:6:2049629:2051011:-1 gene:GSCOC_T00023515001 transcript:CDP06607 gene_biotype:protein_coding transcript_biotype:protein_coding MANGRLEVLLVEAQGIRDRDKCIPGCLNCLNPCNLATKPYVHILYAEQERTSCVAKGKGKKREWNEKFAFEVKYPDGGEDRVYKIIFRVMDQHKFTEDVFVGESTVYVKDILSTGAEKGKLEVQPRLYRVVQSDKTYSGEISVAFTFTKIKDGIEENPEGRKECANQG >CDP06765 pep chromosome:AUK_PRJEB4211_v1:6:3287408:3287805:-1 gene:GSCOC_T00023725001 transcript:CDP06765 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFSCAAAKCVFTQDQNVVSGLESKHKLIWD >CDP18638 pep chromosome:AUK_PRJEB4211_v1:6:15119556:15120852:1 gene:GSCOC_T00004127001 transcript:CDP18638 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTFNSMLVTAAFLLLAMLASQATARSLYEASLTEKHEQWMVEHGRVYKDEAEKAKRFKIFKETVEYIEAFNKAGNKSYVLGINRFADLTNEEFLSASTGYNYKPRKDVSQGTSFRYADVSDAPPSMDWRQKGAVTEVKDQAACGVCWAFSAVAAVEGIHKLKAGELTSLSEQQLVDCDTSSNHGCSGGRMDSAFNFIASNGLATESEYPYQGADGTCNNDQGAVRITGYEDVPQNNEDALLQAVSKQPVSVGIEGSGMDFKNYQSGVFSGDCGNNLDHAVTLVGYGTSEDGTKYWLVKNSWGTSWGEDGYMRLQRDTGAPEGLCGIASQASYPTA >CDP06552 pep chromosome:AUK_PRJEB4211_v1:6:1623520:1624920:-1 gene:GSCOC_T00023443001 transcript:CDP06552 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKLFGYWVSPFCRSVEIALNIKGIQYEYIEEDLTNKSPQLLQYNPVYKKIPVLLHNGKPIVESMVILEYIDETWKNNPILPEDPFEKARARFWANFVDQKCFPALWKTIWSTSEQYEQNKAEALERLKVLENELGGKRFFGGDSIGMVDIVANFIGFSFRTIQEALKLDVFTEDNFPKLWEWAENFANCSPVKKCLPPQDKLIANFPPAYMGKTLVSSFQSRLQLQFHPPAAAK >CDP15767 pep chromosome:AUK_PRJEB4211_v1:6:26318030:26323111:1 gene:GSCOC_T00015838001 transcript:CDP15767 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEETQEPDGSWYGSWGICYTYGTWFAVERLVAYGGNCWKFSKFISTCQFLPSKQIPEKLVVNLQDSDGINNTKLLSLLLPPSPFICQDFYFNLSQIICHDLKWQINYH >CDP15279 pep chromosome:AUK_PRJEB4211_v1:6:3483803:3489733:1 gene:GSCOC_T00042950001 transcript:CDP15279 gene_biotype:protein_coding transcript_biotype:protein_coding MGILYDDLVIVRQSEKEGEPSVITVNCPDKTGLGCDLCRIILFFGLFIDRVDVSTDGKWCYLLFWVVGKPTTRWGLLKKRLVEACPSCSSASGISFYTELQPPKPPDVFLLKLCCYDRRGLLHDVTEVLCELELVIKKVKVSTTPEGKVMDLFFITDTRELLHTKKRQQDTYDRLKEVTGNALINCDIEMIGPEATACSQGPSFLPHEITDGMLNLEMLDEQQSGYLPPDSVSITMDNLLSPGHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFSTKRKTECELDLFIMQTDGKKIVDPSKQSALRSRLWMELCRPLRVALVSRGPDTELLVANPVELSGKGRPLVFHDITLALKMLKTGIFSAEIGRHMIGDREWEVYRVLLDEGDGLSVPREKIEQAVWKMLMGWE >CDP03177 pep chromosome:AUK_PRJEB4211_v1:6:9077996:9078478:-1 gene:GSCOC_T00041679001 transcript:CDP03177 gene_biotype:protein_coding transcript_biotype:protein_coding MITGRFVNIKLPRYYGTETNSPNPGYGNVEVQRENKQKKLTKKQRIIDFWSQENKNNVRKSIKVEGTMVQVAPK >CDP14160 pep chromosome:AUK_PRJEB4211_v1:6:18284997:18286916:-1 gene:GSCOC_T00040405001 transcript:CDP14160 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLHPILTECCVLKSDLELALIQFANDISSEAHVEVMRNAKVGMKEYRLESMFLHHTYMFGGCRQCSYTCICATGDSSAVLHYGHAAAPNDRQQQEISCTCNSLSKLSGARIVPCQQYSLNVQKEIDRRLSTK >CDP02909 pep chromosome:AUK_PRJEB4211_v1:6:11627075:11628123:1 gene:GSCOC_T00041327001 transcript:CDP02909 gene_biotype:protein_coding transcript_biotype:protein_coding MGATMRVLMMIAMAASLVSVSYAATGTATYYTPPYVPSSCYGFQDNGVMIAAASDAIWDNRAACGRNYRVTCTGRTNEGVLQPCRGSVVVKIVDYCPPGCRGTIDLSQEAFAIIADPNAGRVNIEYDQ >CDP03212 pep chromosome:AUK_PRJEB4211_v1:6:8882034:8885504:1 gene:GSCOC_T00041718001 transcript:CDP03212 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSTPPLEQLSLSGTVEEAQFSRRVLIHSILSRPDGGAGLAGQVVKIGGWVKTGREQGKGAFAFLELNDGSCPANLQVIVESGVHKLGDLVPTGTSVYVEGELKKPPEGTKQKIELKVLKVLDVGTVDAAKYPLPKTRLTLEFLRDFVHLRPRTNTISAVARIRNELAYATHTFFHKHGFLYVHTPIITTSDCEGAGEMFQVTTLINEAEKLEKELKENPAPSEADIVAAELLVKEKGEAVAQLKSAKASKEEISAAVAVLTKAKENLVKLQERFRLGNRCKLSAGIPKKDGKIDYSEDFFARQAFLTVSGQLQVETFACALSSVYTFGPTFRAEQSHTSRHLAEFWMVEPEIAFADLQDDMNCAEAYVKFLCQWLLDYSLADMEFMAAHIDKTCIDRLKMVASSNFYRITYTEAIAILEEVSKARKFENKVEWGIDLASEHERYLTEEKFKAPVIVYNYPKGIKAFYMKLNEDKKTVAAMDVLVPKVGELVGGSQREENYEVLRARILEMNLPLEPYEWYLDLRRYGTVKHSGFGLGFERMILFATGIENIRDVIPFPRYPGRADL >CDP10561 pep chromosome:AUK_PRJEB4211_v1:6:5560599:5565571:1 gene:GSCOC_T00031321001 transcript:CDP10561 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSDKGNLNLITQALAAVGCKIDVIPDPTTVHFHLPDDLSVQVHREYSDFVAEIVHKFPHEKEGILNFYGECWKIFNSLNSLELKSLEEPIYLFGQFFKKPIECLTLAYYLPQNAGDIARKFIKDPQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGEIAKSLAKGLVKKGSEILYKANVTNIIVNNGKAVGVKLSDGRKFYARTIISNATRWDTFGKLLEKKNLPKEEENFQKAYVKAPSFLSIHVGIKAEILPPDTDCHHFVLEDDWKNLENSYGSIFLSIPTVLDSSLAPEGRHILHIFTTSSIEDWEGLSRKDYEAKKELVADKIITRLEKKLFPGLKSAIVFKEVGTPKTHRRYLARDSGTYGPMPRNTPKGLLGMPFNTTGIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVGADLGLERKSPVLDAALLRLLGWLRTLA >CDP14185 pep chromosome:AUK_PRJEB4211_v1:6:18556951:18560754:-1 gene:GSCOC_T00040439001 transcript:CDP14185 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 12 [Source:Projected from Arabidopsis thaliana (AT5G03960) TAIR;Acc:AT5G03960] MAKKRGWFSFVKRLFIPETKPKNEKKSNRLGWIFGRLKFKQYPEIAAPQKTLSEATEEQRKRVLAVANATAAAAEAAVAAANAAAEVVKLTNLPREVERRRRNLAAIKIQSSYRRHLARKALSAMKGLVKLQAVVRGEIVRRKVVPRLKCLPSLAKTELQMCRIRVPLFDKDLTDGEWMQTLSPKRSVKFEELKLHGNRNMSWDLSLVTKEEMDALWFKKQEATMKRERMKKYSYSHREIRNDQILQESMKKENGRHSSRFNQWNEVNLLERGEKERSRSFAHPNVFAGDTDKMRQLKLNSSASKQDSTEPVINSPISLPRRSFCHVKQKSIGDDSSLPNSPMFPTYMATTESAKAKTRSVSTPKQRLLLSESFSGQHSPYKVRLSSWRSLNGEINNCNRKSGIFQPPQTNSRAFRWD >CDP15633 pep chromosome:AUK_PRJEB4211_v1:6:32006377:32006502:-1 gene:GSCOC_T00015556001 transcript:CDP15633 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGIKRGRWTAEEDEILTEYIQSNLFRRLIAKD >CDP03358 pep chromosome:AUK_PRJEB4211_v1:6:7628523:7636367:1 gene:GSCOC_T00041913001 transcript:CDP03358 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MSSRKEDEKNERIIRNLLKLPDNRRCINCNSLGPQYVCVNFWTFVCTNCSGIHREFTHRVKSISMAKFTSQEVSALQGGGNASAKEIYLKEWDPQRQSLPDGSNNVERLRDFIKHVYVERRYSGERIFEKPPRVKGESEDSQENRRIDSFQSGSRSPPYDDDRRYSDRPSPGGRSPGYDQDHRQYDKRSPARTEVVNDWRREDRFGNGRRSEDIRVSDGGSKLGSRSPDRQRDLDVSSPPVVRPVRDILGENVSPLRVLEPPKANGPKSADVSTRTQRTASSSSLASSNGNPAEIKIEASLIDFDAAPEPPVPAPVQPQQSAASTSIAQTTSPSSDNWANFDSVAEVKASQPPVNSNPLESVLSQLSVPASVSGHVAVTPGIGNALHNASVGTSSAFPNVSPVTSFGLPFGNVAPNSVTANNSTASSTGNPAAAPGPVASLPIPGGNSFGNAVTGGQWLQPQPHSSFPAVGGQPLSQPLTPASVGPSSNQPWNPLFAPNAQVLPGANLQASQAVMRPGLDASTGVATQNSQEAKSSGRRELPEDLFSFSYPSMPVRVPGWHGSPAHGMGFNMQYNMPMPMQPTFPQSSKSTNPFDVSADPSPAAAFPSMASLQGALPPLAAPAGLLQTSSLGAPLAHPSALLPNGPPYASIMPSTTNLMQQLPGNMAPRPHGQVSYGIESGAFGPLSSNPQLSVLQSVPAAPNTFSSIGGNPFG >CDP06622 pep chromosome:AUK_PRJEB4211_v1:6:2184069:2187178:-1 gene:GSCOC_T00023534001 transcript:CDP06622 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAASCRVSAASEHELFSTVQNGELETVETMVDEDPTILGLTTVHGRLSALHVAAANGQNQVLSMLLDRSFHPDVLNRHKQTPLMLAAMHGKHSCVERLIQAGANILMFDSVNGRTCLHYAAYYGHSDCLQSILSAANTSPVAQSWGFARFLNIRDGNGATPLHLAARQRRAECVRLLLSKGALVCASTGGYSCPGSTPLHLAARGGSLECVRELLAWGADRLQRDLSGRIPYMVALKHKHDGCAALLNPSAPEPLTWPSSLKFISDLSPEAKALLEGALIEVNKARGRLILKGMPDTDVPIADSVAGDVDPQVSNVEVCCICFEQACTIEVQNCGHQMCARCALSLCCYNKPSPSTDIIKAPVCPFCRSSITQLVAAKICANGDVELDLCPSQPRRSQKSFNQSEGSSSFKSLSSLGSFTRISGRKFAADGDEGFDKR >CDP18633 pep chromosome:AUK_PRJEB4211_v1:6:15083307:15087432:1 gene:GSCOC_T00004122001 transcript:CDP18633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like tyrosine-protein kinase PXC3 [Source:Projected from Arabidopsis thaliana (AT2G41820) UniProtKB/Swiss-Prot;Acc:O22938] MEFLTLVTAILFGLLSSSRLVTAQVVDDHAILLEIAKEFSLSSWNVNQSDFCSWPGVGCSSNQSMVERLDLSRHGLQGNVTLISELRALKWLDLSSNNFHGSIPQAFGNLSLLEVLDLSFNKFESSVPVELGRLKDLRSLNLSNNYLSGVIPDELEGLEKLQEFQIYTNRLNGSIPRWVGNLTNLRSFTAYENGLSGNIPDNLGSVSELQLLNLHSNQLEGPIPESIFAMEKLEVLVLTQNKLTGSIPPLVGKCKGLSSIRIGNNELIGNIPREIGNITGLTYFEADNNNLSGEIVSEFAQCSNLTLLNLASNGFSGIIPPEFGQLSNLQELILSGNSLFGEIPITVLSCKNLNKLDLSSNRLNGTIPQEICSTSRLQYLLLSQNFVRGEIPHEIGNCIKLLELQMGSNYMTGSIPPEIGHMKNLQIALNLSFNHLRGLLPQELGRLDKLVALDLSNNQLSGNIPAALKGMLSLIEVDFSNNQLTGPIPTFVPFQKSPNSSFFGNKGLCGEPLSPSCGNSNGSGNVNYHHKVSYRIILAVIGSGLAVFASVTVVVLLYMMRERQEEAAKGGVTADDETTGKPVIIAGNVFIENLRQAIDFDAAVKATMKESNKLSIGTFSTVYRADMPSGMILSVKKLRSMDRTLIHHQSKMIREVERLSKLTHANLIRPIGFIIYEDVALLLHQYYPNGTLAEFLHESSKKHEYKPDWPTRLSIAIGVAEGLAFLHHVAIIHLDISSGNVLLDSNFNPLVGEIEISKLLDPSRGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRLPVDEEFGEGVDLVKWVQGAPLRGETPEQILDAKLSTVSFAWRKEMLAALKVALLCTDSTPAKRPKMKKVVEMLREITE >CDP03135 pep chromosome:AUK_PRJEB4211_v1:6:9419194:9425277:1 gene:GSCOC_T00041629001 transcript:CDP03135 gene_biotype:protein_coding transcript_biotype:protein_coding MECIGFGAQNVAAMVVSGWPNVKGSSRRKRVVLRDNHHHVFHHVGGRYSNSRAMVVQVRCGSRRTTASESCVVDKEEKFADQEDYIKAGGSELLYVQMQQRKQMDQQSKFSDKMPEISAGNSILDLVVIGCGPAGLALAAESAKLGLTVGLIGPDVPFTNNYGVWEDEFKDLGLAGCIEHVWRDTVVYLDDNDPIFIGRAYGRVSRHLLHEELLRRCVESGVSYLSSKVERIVEAATGHSLVECEGSIVIPCRLATVASGAASGKLLQYELGGPRVSVQTAYGVEVEVENNPYDPNLMVFMDYRDYMRGKVESLEAEFPTFLYAMPMSPTRVFFEETCLASKDAMPFELLKKKLMSRLDTLGVRIIKTYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPKYASAIANILKQGQAKDMMTRNISAQAWNTLWPQERKRQRAFFLFGLALILQLDIEGIRTFFQTFFRLPNWMSQGFLGSSLSSTDLLLFAFYMFVIAPNDLRKCLIQHLLSDPTGATMVRTYLAI >CDP10426 pep chromosome:AUK_PRJEB4211_v1:6:6696373:6700985:-1 gene:GSCOC_T00031149001 transcript:CDP10426 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEEANEFVCLELPAPSGWKKKFMLKKGGTPKKNEIIFTAPTGEEITSRKQLDQYLKTHPGGPPISEFDWGTGETPRRSARISEKAKATPPPERETPKKRSRKSSGSKKDDKEKEAPEGSEAAQDVHMEEAEKSVKDTTGTVTEKDVAKEGQDEKGGETQTIETQATDKDAVKERENENKNETPATDCKAEDTEEQEKNVEAEVVDSKESQVGKVSDGSEDAKDKEKQQQIPPIEAEKEDGTGEGDKQVTGAEEKKQEVEAEEKVEQNSEANRSADGQSSLVSEKAEGGVIQNGDRAEVDKSKP >CDP06750 pep chromosome:AUK_PRJEB4211_v1:6:3150355:3159853:-1 gene:GSCOC_T00023703001 transcript:CDP06750 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFIFCNRLRHISFFYLKNFKQRWLRLSYMTKVIFIVGILLCSTWKQNTLDELNWESTNNQDHALRSDSQQDVKIKSEDKSCVICGNGGKLLCCVGQGCGRRFHRSCVNPPFTYFPHGVWHCIWCVKRKMEMGVRTVSEGIESILDVECVSANAAMPKQYLVKYKCLAHVHNCWIPEEKLLLEAPALIERHKKKNQKLSWKPEWSEPQRLLAKRLLLFPRESCGTHHEDDHCQYEWLVKWTGLGYDQATWELENAPFLRTPEVMKLKSDFAIRHEKEKVEMHHAKEDKRRKISLSELPELPFGGPDNLIYVNKLREGLQRNLNALVIDPQERVVKVVLFVLSLLKETSGPFLIITTSTSLSMWEAEFQHWASNVNLLVYKGSGDIRGMIRDLEFYNQGGSIMFQVLLSPSVTVVEDLENLKPIKWEVIAVDECQRPTMSVHLEQIKDLAANMRLLLVSSQIKDRSFNYFDVLSLLDPLYDGASKNCLGADISKLKKRLGSFVAYECKHEQVKFMEFWVPAMLTEVQSELYCASLYSNSVVLCSRLRSDTHISIHDLLETTRKCCDHPYLVDRSLRNSVLQDKPASDHFDVEIQMSGKLQLLDKILPEIKRRGLRVLLLFQEIVGSGKISIGDILDDFIHQKFGTDSYARIDVEISRSKKRAAFNMFNNKDNGNFLCLIEARACLPSTKLSSVDTIIIFNSDWDPVNDLKALQKITLDSQFEQLKIFRLYSAYTVEEKALILAKEGATLDSSINNIKQSICQKLLAWGAPRLFSKLDSNHEISASSKPFDSFEQTLSEDVLQELSALLPCNSTEQGSTFFSGRKNNSKYVLMVEEFEGTDHGKDLSLIGELKTHSVDTFPASRQLGEDESPDIFWTNLLEVRKPRWKYMSGPLQRKRKVTPFACLSKQTEYKGEASKKGRTDAKLKPTPNEKPMFERRLPGGDKKKRFAGNSPNSAVKEYRHVHKRRVQVEHGKEAAVSSRDHGRKIYSCGETATACDVGMPDHSEVPVTANSIPPEHEEGEFLESRESHNDSRNLVNAFGYQVQATIQTTTEGSTWSLADAVPQLVRNLQLQPVMTPIDRTEPDAANLEEENFCPDLSSNHPTEASSGTSEGPQPACVDSLQREMERIQLAREHAIKIHEDMKLQLEAECEKELEEIRKKYDLLIHNSEVVLAQKRTFLDSCYQIACTQKLLAEVMILNEDGFPASQSQGKQEVTLATFVSDIYQSCFRQPSCRTMSGPCALGTPTPPVVSNLLAVQQAAARNTAIPEQNSIETQTSNLVGQQTAAHTTQRSEISSSNLPVPTPPSQTSAMEVMAHSSAISQTGTNAAYSPSSTCLAMTGSSETLTGPYHPSVLSTSHCRPPSISNIRLSGNLNAGREVRAPAPHLRHAICSPEVVHLISRPC >CDP15373 pep chromosome:AUK_PRJEB4211_v1:6:4255310:4256677:-1 gene:GSCOC_T00043081001 transcript:CDP15373 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNWVEKENNHHHHHAVKIWEVNKDRLSLMQQKISAAPQILSKSAGRSSCCIFRVPRSLNDVNGQHYQPYIVSIGPYHHGMPHLAMIEEHKWRFLGALLKRTQEKGIGLEDYLRAVQPLEAEARECYSETIGYSSDEFIEMLVLDGCFILELFRKFGGLVPADPDDPLTSMSWVYAFLLRDLLRLENQIPFLILKRLFQLTNLAPDDEGSGPSLGRMTLNFFNNALQRSEPALSRYSGLELEGKHLLDFLRASFIPPEHGEPKPSEFPKTRVIECISYLRRAGIDLKPSEEESFLAIKFRHGGVIEMPRIALDDFMCSFLLNCVAYEQCQAGCSKHMTTYATFLDCLINTSRDVQFLCDRNIIENYFGTDAEIAKFINNMGKDVSFDIDVCYLARLFNQVNQYYHNNWHVQWASFKYTYFNTPWSFISALAASVLLVLTVLQTLYTILGYVHPH >CDP02913 pep chromosome:AUK_PRJEB4211_v1:6:11605351:11605969:1 gene:GSCOC_T00041333001 transcript:CDP02913 gene_biotype:protein_coding transcript_biotype:protein_coding MGATMRVVMMIGMVASLVSIAHAATGTATFYTPPYVPSSCYGYQDNGVMIAAASDTIWNNRKDCGKNVHC >CDP14285 pep chromosome:AUK_PRJEB4211_v1:6:36325035:36328880:1 gene:GSCOC_T00040590001 transcript:CDP14285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR4 [Source:Projected from Arabidopsis thaliana (AT5G06620) UniProtKB/Swiss-Prot;Acc:Q9FG08] MLRGGSRWASRFKIFLPRLKNDYKTPPFLLTFSSSFTTTAPTAGTGAADNDSSQPGRPSAPPPIQVGFTDSAGRGVFATRRIAAGELIHTAKPIVCHPSLSSLHSVCYFCLRKLLPDQRPLFQSNDVQFCSQECQNQSQVFHKIERRADWSTFNDYCRMHGLKYPLLVKRFACLVISGSSSASNLDILQPASLSSEMISLVEKEFCLLRSTFQDADINTQQLAFLTKQWYTGVLARIRINAFRVELACESYEDLLSSAAASVEAEGAVGNAIYMLPSFYNHDCDPNAHILWPENVDARVKALCDIEAGEELRICYIDASMDYEARQTILSKGFGFQCNCLRCSSHH >CDP02981 pep chromosome:AUK_PRJEB4211_v1:6:10969299:10975413:1 gene:GSCOC_T00041427001 transcript:CDP02981 gene_biotype:protein_coding transcript_biotype:protein_coding MILTTSVAVLIGCVVALVWRRSSASTKKVAEPPKLIMTKSVEEPEEIDDGRTKVTIFFGTQTGTAEGFAKALADEAKARYEKAVFKVTDLDDYAADDEEYEEKLKKETLAFFFLATYGDGEPTDNAARFYKWFAEGKERGDWLKNLSYGVFGLGNRQYEHFNKIAKVVDDLCVEQGAKRLVPVGLGDDDQCIEDDFAAWRENVWPELDKLLRDGDEASVATPYTAAILEYRVVFHDRSDSPVLDDRANGHANGHAVIDAQHPCRSNIAVRKELHTPASDRSCTHLEFDISCTGIKYETGDHVGVYCENLSETVEEAERLLNLPPETYFSIHTDKEDGTPLGGSSLPPPFPPCTLRTALTKYADLLGSPKKSALLALAAYASDPSEADQLRHLASPGGKDEYAQWVVANQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRFYSISSSPRMAPSRIHVTCALVYDKTPTGRIHKGVCSTWMKNSIPSEDSYDCSWAPIFVRTSNFRLPSDPKVPVIMIGPGTGLAPFRGFLQERLALKEEGTELGPAVFFFGCRNRQMDYIYEDELNHFAKSGALSELIVAFSREGPTKQYVQHKMAEKASDIWSMLSEGAYVYVCGDAKGMARDVHRTLHTIVQEQGSMDSSKAESFVKNLQMSGRYLRDVW >CDP03291 pep chromosome:AUK_PRJEB4211_v1:6:8159597:8161320:1 gene:GSCOC_T00041828001 transcript:CDP03291 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPCAVGGCKNCFFTCFMVTSCLCCKHYCFWLSSATKWGHEFASGNECHSTMIWKEISILIFGLLLWILRLGRQKRAFNVICRCTWPIYGLKACDLWCFISLNSFSWCE >CDP03068 pep chromosome:AUK_PRJEB4211_v1:6:9996140:9999074:1 gene:GSCOC_T00041547001 transcript:CDP03068 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSASEINNSSTSPEPGPLMRLLLNAKDQSPSQPTPLEKKEPEKDLNWYLPLYKASLQGDWESAREFFNRDPDAVTAKITHSLETVLHIAVGTVMAINFVKELLELIQPEILPSLRDQAGQTPLHYAAIFGNVEAAKLLVNRSPAMTNAPSNTGFLPIHLAAGYANKDAVSYLLTVTRDDIQPNPFRDESGAELLNLMIIAEFYDLALYMIQLYPGLATAKSPAGNSALSIIARKDLSVSGGSSVKIWETFLYSRMPAYFWNLYEHPKRKYPDNPDIGCQSCARICCCIRKLNFCGLSVVPSYGQKFIEGCQKLQAAFWKIIVNLGMSE >CDP06655 pep chromosome:AUK_PRJEB4211_v1:6:2397260:2404276:-1 gene:GSCOC_T00023577001 transcript:CDP06655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MSRTPDQLRKVGTGLSAPSPRPFLTPRPERRMPKLDSRASTHEWNSNRPDKDTNNNKEVNIQVLLRCRPLCDDELKMNVAKAVTCNESKREVTIWQNVASKQVDRVFTFDKVFGPKAQQRSIYDQAISPIVNDVLEGFNCTVFAYGQTGTGKTYTMEGGMRNKGGDLPAEAGVIPRAVRHIFDSLEAQNADYNMKVTFLELYNEEITDLLATEDFSKPLEERQKRPISLMEDGRGSVVVRGLEEETVYSANEIYNLLERGAARRRTADTLLNKRSSRSHSVFSITIHVKESAIGDEELIKCGKLNLVDLAGSENISRSGVREGRAREAGEINKSLLTLGRVINALVEHSVHVPYRDSKLTRILRDSLGGKTKTCIIATISPSAHCLEETLGTLDYAYRAKNIKNKPEANQKMLKAVLLRDLYLEVERMKEDVRAARERNGVYIPHERFLQDEAEKKARNEKMEQLEIDLNISEKQVTKFRELYLTEQEEKLNVQSELKDCKLNLERQNTELQDLQEKYNIATIKLKEKEFMISKLQHSESCLTDCAKELRVNLLHASEDIAALFAKLEKKDRLEAENQSLLLTFGSRLDQSLKDLHKTILGSVGEQHEQLGSMEEHICSFLASKCDVAQVMESKIKRMTETYTSGTEALREFADALQMKASSDMQQMNSMILSQATEVKNFLGTAILEAKDIILDIQRSVDEQRQLVAFSVQQREEGLHRSLISAQEISRATLKFFTDISYHASELMKVLEESKIDKFHEFQSFERSFKEDALRDEELAMEKIAAILASLRAKRTDMVSDASRKFEDSCLQENKKFLKVLSNVQLVSSKAEKELDQYVEKVKSSYTEDTFISAQSRATTEDFLLECANKMDCISQHQQNAQLGINSLINSGLAGIESVARERINGNCAAHQQLVSTSSSTDAQYEAQRQGLLVSAKDSLMLDHQNKNQMQCMSKICSDTLGTLRENHGVRISDIRKRLEQGLQKDYLVDQNTDYVPEKRKIEIPSVTFIEEMRTAAATLESKRKIGSDGNKTQQQLGGKRLEVSSNRTPFGDVN >CDP14119 pep chromosome:AUK_PRJEB4211_v1:6:17749946:17752372:-1 gene:GSCOC_T00040347001 transcript:CDP14119 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKTTSDVISRSYSYNLHRRRASFSSSSCKLAVTNEVLNIIEQISPMEPALEKVVPFLTREVVASVIEEKKKNLELGFRFFIWAMKNKRLRSRASHSLIVDMLVGDNCSGKSGHLDGFELYWRIFDEVRKRLGPMDSAALVVLISAYWKIKNAEKAVETFGKMREFDCTPDLFVYNTTLHVVVKKDVILLALALYNMMLKSNCRPNRSTFNILIHGLCKSGKTQDALHLFDEMRDLGLLPSKITYTVILSGLCQAKRTDDAHRLFNLMKTSGCLPDNVTYNALLNGFCKLGRVDEAFALLKSFTKDGYAVKLPGYSCLVDGLIRARRIDEAHELFQKLFEIPVIPDRVLYTTMMRGLSQAGRLKDALNLLKDMTQRGVVPDTQCYNTLIKGFCDIGLLDQARSLQLEISRNDLFPDTCTYTVLICALCENGMVREAQNIFDEMEKIGCFPSVVTFNALIHGLCMSGQLEKAHLMFYRMEIGKNPSLFLRLSQGADRVLDSASLQTMVEKLCDSGLILKAYKLLMQLADSGVVPNVITYNILINGLCKGGNLNGAFKLFEELQLKGHSPDKITYGTLIDGLQRAGREEDAFKLFEQMSNNGCTPGPEVYKSLMTWACRNMKTSLASSIWLKYMKAVGGEANEKIGSIEKHFEEGNLEMAVKGILEIDFQSVTFDSAPYNIWLIGLCQARRTEEALKVFSILEELSINISAPGCVMLIQSVCTDGKLDQAISIFLYTLEKGIRLMPRICNNLLTMLLHSQEKAEDAFYLLKEMKSMGYNLDSYLYKNTKSLLIHHRYRKVRKLESVSHG >CDP14331 pep chromosome:AUK_PRJEB4211_v1:6:35830375:35831151:1 gene:GSCOC_T00040659001 transcript:CDP14331 gene_biotype:protein_coding transcript_biotype:protein_coding MADSIPLPLKDRVAVVTGGSRGIGRAIALHLASLGANLVINYSSNPAQADLVASQINSASASRAITVRADISDPAQVRSLFDSAESAFNSSPVHILVNSAGVLDSKHPTLANTALEDFDNIFNVNARGAFLCCREAANRIKRGGGGRIICLTTSLVADLRPGFAAYVGSKAAVESMVKILAKELKGTGITANCVAPGPIATDMFFAGMTEEMIKRVVNESPLGRLGETEDVARLVGFLATDAGEWVNGQIIRVNGGYV >CDP10629 pep chromosome:AUK_PRJEB4211_v1:6:4938196:4942553:-1 gene:GSCOC_T00031404001 transcript:CDP10629 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGTRLRLLFLTLQLLLISSAKITLRFPSPAFRPESSSSVSIKSKLYETKYYTQILDHFTFTPQSYQTFQQRYLISYKHWGGAKKNAPIFVYTGNEGDIEWFTQNTGFMFENAPHFKALLVFIEHRFYGKSIPFGGNKDVAYANSSTLGYLSSTQALADYATLIIDLKKNLSAVDSPVVVFGGSYGGMLAAWFRLKYPHVAIGALASSAPILYFDNITSEYSFHSTVGNDFRAFVHMHVSLFDTGKCWRRSESENCYKVIKGSWQLIEDTAEQSGGLDTLRKSFRICKNYISAGALVSWLSTAFIYTAMTDYPTPSNFLNPLPAYPVKQMCKAIDDRKAGNNTLEKLYSAANVYYNSTGRATCFNLNDDSDPHGLDEWSWQACTEMILPIGGNNADGIFPPSEWDYAGRAQSCEDAFGVQPRPNWITTEFGGHNIQRVLKRFGSNIIFFNGLRDPWSGGGVLKDISKSLVAIVAKEGAHHVDLRFSTPEDPEWLKHARRREVKIIENWLSQYYHSSSS >CDP14193 pep chromosome:AUK_PRJEB4211_v1:6:18661974:18669445:-1 gene:GSCOC_T00040451001 transcript:CDP14193 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLHATLRPASSSSSVSSTSSHSRLLLFLSVLLISLATFAFIIQWRGGLPDPITRWPHENDPLGFLAMDLAGPGRSSSSSDACADVLGQSGVASFTYYRNWNFSFGPGSGSKKGPKICITTSTSAGLEQTLPWIYYHKVLGITNFFMFVERKAASKNVSKVLEFIPGVKVIYRTRELEEEQAKSRIWNESWLANFFYKPCNYELFVKQTLNMEMAIVMAREAGMDWIIHLDTDELIYPAGTSHYSVQQLLSEVPEDVDMVVFPNYESSIERDDIKEPFSEVSMFKKNFDHLNKETYFGSYKEATRGNPNYFMTYGNGKSAARIEENLRPNGAHRWHNYMKEPNCYKLHHKSYTCYCFRTQLKIVSMQRKMTMRLWECRWSVDTVIFGTVCILIKLKHLTRAWGCFLEQKRFIQYGQRKGTDVLKKEKVAEDVNFLVHFLEIKFEEAAVLHYTYSKFSDLTSRRDRCGCKPTQEDVKRCFMLDFDRNAFIIASTASEAEMHQWYHERVIWTDTALKQKLLKLGILTRIYAPMVRTLIVIIQGLRESGIFTNVVVSAWSEDTKEKSLSSTKSNNSSGNIGTKGISSRRFGYKESQTTIRKVLNFAGNGSYAIPPQSPPNMDDMRLHT >CDP10454 pep chromosome:AUK_PRJEB4211_v1:6:6431834:6437926:1 gene:GSCOC_T00031183001 transcript:CDP10454 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGTQKSLRKTLGALKDTTTVSLAKINSDYKELDIAIVKATNHVERPAKEKHIRAIFAAISATRPRADVAYCIHALARRLARTHNWAVALKTLIVIHRALREVDPTFQEELINYGRSRSHMLNMSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDIETDRPRTKDLDTPELLEQLPSLQQLLFRVLGCQPQGAAVHNFVIQLALSMVASESIKIYNAISDGTVNLVDKFFEMQRHDALKALDIYRRAGQQAESLSEFYEVCKSLDVGRGERFIKIEQPPASFLQAMEEYVREAPRSSTVRRDSTVDQVTLAIEYKKTPEVKEEVSPSPPPPPEPEPEPQPIKVEAPVVEPPDLLGLNDPVPAASELDEKNAMALAIVPVGVAEQPASTGLTNGTTGWELALVTAPSSNESATTASKLAGGLDKLTLDSLYDDAIRRSNQQTVSYNPWETAAPMGGPMMLPRAHDPFYASNTVAAPPSVQMAAMANQQQNFMLQQQQQVMMVSPQQPGNPFGNQYGAGVHPYGSGMPVRAYNPYSGLI >CDP06358 pep chromosome:AUK_PRJEB4211_v1:6:72314:72721:-1 gene:GSCOC_T00023175001 transcript:CDP06358 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMDGYKLLELVGLEMDLPVMMLSANSDPKLVIKGVMHGACDFGETCSN >CDP10413 pep chromosome:AUK_PRJEB4211_v1:6:6802610:6806383:-1 gene:GSCOC_T00031133001 transcript:CDP10413 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKKLLKFPQKSHHSSPNGLGSLVAGFDAHGDGGEDENGVVYKELESGEELETFEPFGLGSHGDVDDHQNEGTSYVKSDAGFSEIRAPPKHKVDVEAIDADDKRSDLDYELSQKEINLEKLQRIASSGIPDGGGLRATIWKLLLGYLPPSRDMWEKELRENRLKYARLKEEFLVSPSEFSRRKDEAAEGIDQQANAHAAEPLERQEISNEDHPLSLGKASVWHKYFEFAEISEQIDRDLERTHPTIKFFSGDSAISRKSKESMKNILLLFAKLNPEIRYVQGMNEVLAPLYYVLSTDHEEKNSANVEADSFSCFVRLISDSVDHFCEQMDNSSVGIRSTLLRLSELLKASDEELWRHLELTNKVNPQFYAFRWITLLLTQEFNFRTILRIWDSLLSNPHGIQDMLLRVCCAMLLCVKTRLLRGDFVDNLKLLQHYPEINIDCILQVAQDITPDTSSFHASL >CDP03282 pep chromosome:AUK_PRJEB4211_v1:6:8225117:8229432:1 gene:GSCOC_T00041816001 transcript:CDP03282 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRSQMERMCTVRNRRLFYVVGIITILIILSQILVVPYQNYISVPSHANISLIFSKTILSNISQQTNADVFNGKANDNSASGWDEDALIVQEEEGSHQGHNISTEVGGQTGDYLEKERQLPTQFTSGRGSTSDKIAKMMYLNEPEDNPILEGLETGLEFTEHVKKLKGDVSESLAPGEIANLTKGSIRSVVNFMSPMALTTGLESVADASETAVPYPAVNISVVHTDKKDLDLESHKQGDSFLQTASLSPKDSSGMFGKPFIKKNNRRTKSISDMSILLHLGSPPANLPRPKWFSARDKELQNARRQIENAPVIRNAPRAYAYLFRNYSMFVSRSYELMERVLRVYIYKEGDKPVFHQPHLRGIYASEGWFMKLMEGNRQFVVRDPRKAHLFYLPFSSRRLRSVLYQQNFTSHRDLENHLKNYVHVIASKYRFWNRTKGADHFLVACHDWGPGFTRNSMGSCIRALCNSNIARGFEIGKDVSLPVTYILSAENPLKDLGGNQPSERPTLAFFAGGMHGYLRPILLQYWKDKEPDMKIFGPMPRDPDGKQRYREFMKSSKYCICARGYEVHTPRVIESIYYECIPVIISDNYVPPFFEILDWESFAVFVLEQDIPNLRNILLSIPEKKYIEMQNRLKMVQRQFLWHKVPVKYDLFYMILHSIWYNRVFQVKS >CDP06236 pep chromosome:AUK_PRJEB4211_v1:6:23707531:23709773:1 gene:GSCOC_T00022949001 transcript:CDP06236 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGCLGNFFHSAKPYFAMICLQFGYAGMNVITKVSLNRGMSHYVLVVYRHAFATAVIAPFAIFLERKVRPKITFPIFMQIFVLGLLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVLCRMEKVHIKKIRCQAKVIGTVVTVAGAMLMTLYKGHVINLVWSEHVQPHYSSAPAAAAISDKDWVKGSILLILATLAWASFFILQAFTMKRYTAPLSLTSLVCFMGTLQSIAVTFVMEHKASVWTIGWDINLLAAAYAGIVSSSIAYYVQGLVMEKRGPVFVTAFSPLMMIIVAIMGSFILAEKIYVGGVLGAILIVFGLYSVLWGKYKEYQEKVADIIPEAVKGGAGNNSQSVLAIDDDIEANIVEMQKAEINKSAAPAIAISAPVPQPPMIALEAPKV >CDP14255 pep chromosome:AUK_PRJEB4211_v1:6:36799552:36801691:-1 gene:GSCOC_T00040545001 transcript:CDP14255 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAEYLSPEIVNQGVEDSGPYAGSPSFRVKVRRGLPDFLNSVNLKYVKLGYGYLLSHGIYFVAAPVLLATFGTQIWKLTWTNSFLDFNNFLNLIALLVFFCSVLYVYLDLTPRATYLVDFACYRPPNELKISKEEFTDLAKRSGKFSDAAIEFQQRVLKNSGLGDETYLPRIIFQPDHKITLRDGREEASMLMFGAVDNLLAATRISPKDIKILIVNCGVLNPTPSLSAMIINHYKLSHKIQSFNLGGMGCAAGVTAIDLAKDLLSAYPGSYALVVSIEAMTFTWYAGRELDMLLPNCFFRMGSAAILLSNHPTDRWRAKYELKQLVRTHKAMDSRSFKSIHLKEDAEGKKGLSVSKDVIEVGGHALKANITTLGPLRAFEHVCILATSKKVLDEIQKNLDLTSEYMEASRRTLERFGNTSSSSVWYELAYLEANKKIKRGDRIWQIALGSGFKCNSAVWKALRNVKQQNRNPWNEAED >CDP17645 pep chromosome:AUK_PRJEB4211_v1:6:31135824:31152215:-1 gene:GSCOC_T00001553001 transcript:CDP17645 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase [Source:Projected from Arabidopsis thaliana (AT5G17770) UniProtKB/TrEMBL;Acc:A0A178US77] MVFLESTEAQILGVAIAAVAVGAAAFYLYSSKKAKVCLDPEKWKEFKLVKRSQLSHNVAKFRFTLPTPTSVLGLPIGQHISCRGKDGQGEEVIKPYTPTTLDSDVGYFELVIKMYPQGRMSHHFRELHVGDYLAVKGPKGRFRYQPGQVRAFGMLAGGSGITPMFQVARAILENPNDKTNVHLIYANVTYEDILLKEELESLTANYAGRFKVYYVLNQPPEVWNGGVGFVSKEMIQEHCPAPASDIKVLRCGPPPMNKAMAAHLEALGYTPEMQFQF >CDP02859 pep chromosome:AUK_PRJEB4211_v1:6:12339897:12349061:-1 gene:GSCOC_T00041256001 transcript:CDP02859 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLDPPSIFSSPALLPIVPSSNPIKSITNGAENLLNLKILNPNSLWEVSKKKGEVREQGELENPSNGSGSDGISAMRICSGAEMGGNKSLIEHVKDWVQRRIDSGASEHRCYLPFLVHAPRMVECRVCKSCVFPEEEILCSVRSCQEVYHLKCAIERLRFSSLKPFKCPQHACFLCKDKIHLLRCTRCHMASHLKCAAFPKDIIYHPSQEGQMICWRHPNDWHSDREQRVPANNMEEVFCQLPIPYREEEFKIDISWKDTIEHKLEPPSYVHIRRNIYLVKKKRDNADVDIGCTNCCSTECAEDCVCRVQSISCSKACRCSDMCTNRPFRKEKKISIVKTALCGWGVVAAEAINKDDFIIEYVGEVINDALCEQRLWEMKFKEVKNFYMCEIRKDFTIDATFKGNSSRFLNHSCNPNCKLEKWQVEGETRVGVFASRTIEVGEPLTYDYRFIQFGPEVRCHCGASNCQGYLGTKKKICKVDFCWGSKRKRTATSCLAIVKYD >CDP10080 pep chromosome:AUK_PRJEB4211_v1:6:14822291:14822485:1 gene:GSCOC_T00030679001 transcript:CDP10080 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSIAKALVMMVVVLMAVVAAASAQESPSPSPDAGAGFSLPVSGAMVAVSLVLSSVALLRHY >CDP14288 pep chromosome:AUK_PRJEB4211_v1:6:36319053:36320670:1 gene:GSCOC_T00040593001 transcript:CDP14288 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAPLSEEPVNEEDTKNCSSKAQTWRKWLRAHFSLQLFNRKSDLRLLLSVLGCPLFPLPVHPKHPITEVSSSAQYIIHHFTAATGCRKLEGMVKNIYATGKVAMAMVDHDQLGGGLAASASAANVSDAALQKGCFVMWQMLPNKWLIELAVAGHKVVAGSDGHLAWRHTPWLGVHAAKGGARPLRRSFQARNAPSP >CDP06510 pep chromosome:AUK_PRJEB4211_v1:6:1324753:1326430:-1 gene:GSCOC_T00023388001 transcript:CDP06510 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPYLGNSSWLFEESKGAKWTPEENKRFENALALFDKDTPDRWFNVATMIPGKTVSDVIKQYRELVDDVGDIEAGLIPIPGYTGDSFTLEWVNDHDYDGLKQFYGPGGKRNSSNRSSDHERKKGVPWTEEEHRQFLLGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQLSGGKDKRRSSIHDITTVNLAEKKSPSPDDDGPPSTDESSPVLQPSANSDMNGISKAFYDYNPPNQGATMAFHLSNSSTTSTPHQIISYGQRPSDRNTHGIALHGFQFGDDNSFFQS >CDP06549 pep chromosome:AUK_PRJEB4211_v1:6:1599284:1600924:1 gene:GSCOC_T00023440001 transcript:CDP06549 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPNSTMSTNDNMTSMQMSFFWGKDVVVLFRGWPDNNLGMYILALFFVFFLGFAVEVLSASPRVLKTTGVVSSLSQAGAYAIRMALAYLVMLSVMSFNLGIFIVAVAGHGVGHFLVNFRKPAAAQTNSLTLDPKV >CDP10635 pep chromosome:AUK_PRJEB4211_v1:6:4886723:4888752:1 gene:GSCOC_T00031413001 transcript:CDP10635 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRKLSNNLVGHLLQPNCRNSTFLSSSWPSPSPALFISRRGIASKLFIGGLSYYTTEKGLSEAFSQYGQVVGAKIPMDKVSGRSKGFGFITYASEDEAENAIKELNGKALNGRVIFVAYAKPRTGFGGGGTPIARGPPEPATGQ >CDP10210 pep chromosome:AUK_PRJEB4211_v1:6:13111234:13111774:1 gene:GSCOC_T00030862001 transcript:CDP10210 gene_biotype:protein_coding transcript_biotype:protein_coding MMTHGRLCSLYYELLLHSWLAKSDMSQVVRIADSSQLRARILVCYPYISTPDAYFREFGIPEASLNFPQLRTERGRGVPAWCLLNVLRISSARIAYALLSGFLVSNSMSFTR >CDP10565 pep chromosome:AUK_PRJEB4211_v1:6:5534761:5541159:-1 gene:GSCOC_T00031326001 transcript:CDP10565 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPGEDEVKKAEDRMTSAAAFVEGGIQEACDDSCSICLEAFCESDPSTVTICKHEFHLQCILEWCQRSSNCPMCWQTISLKDPASQQLLDAVEREKRIRFTPARNATIFHHPTLGDFELQHLPVGVNDSELEERIIQHLAAAAAMGRARHIARREGSRGHSSAQGRPHFLVFSTHASAPGPGAGPASASVGAEPEADATAPVNDPSVTHRAISSESLQCTPNLTSVPSGQLSASSSGSVAMLNNPRGLSTDNRSVARQAPSGQDRAGTSDFQSFSDSWRSRFSAMSMKYKESLSKSTRGWKERLFARSNSMADIRSEVRREVNAGIASVSRMMERLETRENSRPGPVSTSNNPPATEQNNPGNLDESPLSDISALPANSVSR >CDP06427 pep chromosome:AUK_PRJEB4211_v1:6:704928:706868:1 gene:GSCOC_T00023278001 transcript:CDP06427 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGNRNNNNSRRRRTSVESESVDDILLKWKNLNRQILETVGVVGDDEVGGDELFRRKKKRKVLPRGSRKGCMRGKGGPENSRCNFRGVRQRTWGKWVAEIREPVSFSKTQVLSKRGRLWLGTFPTAVEAALKYDEAARAMYGPDAILNFPENCAPPTPPPSSLHHHSSTISDNNDSSTPDSAASSTSVDPVLVPPDGSCIAKGVLEIEIDNHADKSNGYLVRTRTVESPAETSGLSGVTVVQESKIESDATHYAPTPSPTKLRCCKMDGGEPSYSRIKVEQPPENQVHSQVVSQINEDSVIRHAAEAETRFPIDDPVGAADLDELVLLDEQQKLGNPGMPDDNGFWRNILHGQSLDIDSSECISSLDDDMRLATGDWAANLDDNLPVGCAASGAISLQEDSTWLENINKMLMEDTDSLNFLDLLDPKPQGGYRDETFRVRGRGCQEPGTGRFELQNIQPPATMADDFPGSSGAVNASDTGLRNEVDGDLGQYRSSDEDQGQYWLQHQDAKLNVENLQAIILDDNLNVDVLDLY >CDP06568 pep chromosome:AUK_PRJEB4211_v1:6:1763154:1766767:1 gene:GSCOC_T00023466001 transcript:CDP06568 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein A1 [Source:Projected from Arabidopsis thaliana (AT5G02040) UniProtKB/Swiss-Prot;Acc:Q9LZM7] MDWGNVTAEDLIEALREVDWSSPPRPPSEFFSRFTVPRSYSKWNSRLKCNLYYYRTNYFIMITVILGLGFLRRPLAIVAALLTALSIAFLNDSFAGTFSEKITRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRTIHICGRPRWVFVLVFSTVSFILWFVSCGILTLLWAFAIGLLATVLHASFRTPNLKARLNTFREEFRAVWRNYSEL >CDP03172 pep chromosome:AUK_PRJEB4211_v1:6:9100147:9103261:-1 gene:GSCOC_T00041673001 transcript:CDP03172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 2-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G29670) UniProtKB/Swiss-Prot;Acc:Q8LCT3] MAVVIRLSGGLLDFSSSSFLTSFPSSCSQPILAPPYRRFCKRVVSSSVSSPPTEEFVPQIGFKPRRRLTSFKVQAAVTETEKPKWWEKNAGPNMIDIHSTQEFLNALSQAGDKLVIVEFYGTWCASCRALFPKLCRTAEEHPDILFLKVNFDENKPMCKNLNVKVLPYFHFYRGADGQLESFSCSLAKFQKIKDAIQLHNTARCSIGPPTGVGDFNLESLSTAKDKVAGSSS >CDP15302 pep chromosome:AUK_PRJEB4211_v1:6:3686813:3688709:1 gene:GSCOC_T00042977001 transcript:CDP15302 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVQTHFVLLPLMAPGHMNPMIDIARLLARRGEIVTIVTTTLNAKRIETIACGLCIRIVPLQFPSVAAGLPEGCENLDMIPSMDSLSQFFVATDMLQQPVEELLADLKPRPSCIISDLSFWWTTLVAQRFQIPRLVFHGTGCFALYVTRRLMISKVPNNVKSDSDYMVVPGLPHRIELTKAQLPGLANPSLSGINYFHERMKEAEKNAYGIVVNTFEELEMDYVEEFRKVMNKRVWCIGPVSLCNSDNLDYKAERGNKASVDQHNCLKWLASRETNSVIYVCLGSLSRLSTGQMTELALGLEASQRPFVWAMRYKSGDFEKWLCEEKFEERINDRGLLIHGWAPQVLILSHPAVGGFVTHCGWNSTLEGISAGVPLITWPMFGEQFCNEKLIVNILRVGVRVGVEFPVRFGEEEKVGVQVKQQDCKTAIDELMSEEQEGKQRRERARKLGEMAKRALEEGGSSHLNLDLLVQDITEHPME >CDP14130 pep chromosome:AUK_PRJEB4211_v1:6:17936800:17941327:1 gene:GSCOC_T00040363001 transcript:CDP14130 gene_biotype:protein_coding transcript_biotype:protein_coding MADTFCTDCKRNTEVVFDHAAGDTVCSECGLVLESRSIDETSEWRTFADESGDHDPVRVGGPVNPLLGDSGLSTVISKGPNGSNGDSSLARLQNRGGDPDRAIVLAFKAITNMADRLSLVATIKDRASEIYKRLEDQKCTRGRNLDALVAACIYIACRQEGKARTVKEICSIANGATKKEIGRAKEFIVKQLKVEMGESMEMGTIHAGDYLRRFCSNLGMSNEEIKAVQETVQKSEEFDIRRSPISIAAAIIYMITQLSDSRKPLRDISIATTVAEGTIKNAYKDLFPHASKIIPEWYAKEKDLKSLANPKS >CDP06359 pep chromosome:AUK_PRJEB4211_v1:6:72779:73328:-1 gene:GSCOC_T00023176001 transcript:CDP06359 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYMCRYAFKCHQKSGAGRDIVYPIEFNCISSYISAMVHLLLGVVMVMLVCGMETTKKGCISLIQLTVLKIPSKPC >CDP06730 pep chromosome:AUK_PRJEB4211_v1:6:2930242:2933501:1 gene:GSCOC_T00023677001 transcript:CDP06730 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMSANMFTVPPSVNGFSPEPADTNPTSSNSNPKSNSTTTNPAGKKKRNLPGNPDPDAEVIALSPKTLMATNRFICEICNKGFQREQNLQLHRRGHNLPWKLKQRTTKEQVKRKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARITSVAANNFNFKTDQQHLNGGALLNHPGSFPHGLSGPSGISQIGVDFATGNQLKPRLSLWLDQASNPQLNHADMPPSQNLYMPSASHHDIMQMSSAADVFGNYGMPWQQLEKSSAPPSSASSSSAANLSLSPMMPQQVLKDEGAGGSINTQTLSSLYSPSAASNNDISHSKSSATPMSATALLQKAAQMGSSKSTAAIFGNGFGIMNPSSSSSSPAVAANMIAPAAFSSGLAQNRTEMMQVFGKQTDNMMGGGSNMTSSTSSTLTNNLDHQPMVQTSTVADSQTVASLGGFHRHPGFNAVVEHASLTRDFLGMGGDGGRPFLPHELAKFASMSSAMGLGHFSSNH >CDP02874 pep chromosome:AUK_PRJEB4211_v1:6:12172848:12178879:1 gene:GSCOC_T00041275001 transcript:CDP02874 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVIFSYRFFLLGFFVFSPTLCYSYEARNPEVEALISVKNALSDPHGVLSNWDEDSVDPCSWSMITCSSDNLVTGIGAPSQGLSGTLSEVIANLTNLKQVLLQNNNISGHIPLELGNLSNLETLDLSSNKLSGPLPDSLGFLTKLQYLRLNNNSLSGAIPPSLAKVPQLAFLDLSYNNLSGPVPRFPTKAFNIVGNPLICGSHSGKSCSGHFNMSSLSFSMDSSPGKSNSKRLAVALGVSLSFVSIFLLAIVVLIWTRSKKNKQSILNINDVQEEDLLRLGNLRSFTFKELQLATDNFSSKNILGAGGFGNVYRGKLGDGTMIAVKRLKDVTGTAGESQFRTELELISLAVHRNLLRLIGYCAIPNERLLVYPFMSNGSVASRLKGKPALDWNTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDYCEAVVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGMKALDLGKTVNQKGAMLEWVKKIQQEKKIEVLVDRELGINYDRIEVGEMLQVALLCTQYLPAHRPKMSDVVRMLEGEGLAEKWAASHNYPNQGTNLPPLIHGSQIRLHHATVPGHDDNVYDQSAMFEMITMDEDYDAYAMELSGPR >CDP03121 pep chromosome:AUK_PRJEB4211_v1:6:9552147:9555591:1 gene:GSCOC_T00041612001 transcript:CDP03121 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSPVIIENAHDDSIWTATWTPAPEDSAPILLTGSLDETVRLWDPKKDSCIRTNTGHCLGVVSVAANPSCRMAASASIDSFIRVFDVASNNTIATLEAPPSEVWQLQFNPEGYNLSCSWRWQCLSQAVGYS >CDP03301 pep chromosome:AUK_PRJEB4211_v1:6:8052926:8055268:-1 gene:GSCOC_T00041840001 transcript:CDP03301 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLEWLVILASVNVIRSANAAVSDYAWASIRRVNQEGPYLGIVVPNSFEMSPLLQSPSFVPHDKLPHIDVAGRRFRIGKAENKRVIVVMTGLSMLNAGVSTELLLSLFKIKGILHIGIAENANPQLQIGDVTIPQYWAHTGLWNWQRYGDGPEDKLSLESNGDYTRSVGYLELSDFNSNVKDQNLLNNVWYQPEEVFPVDGYPEIRQHAFWIPVNELYFSLAEKLEGIKLEGCLNSTCLPRRPLVTRVERGISANVFVDNAAYREFVYTKFNATAIDMESAAIALVCLQQRTPFLTIRALSDLAGGGSSTSNAAAIFAPLAAHNAVVVLLKFISLLNP >CDP10153 pep chromosome:AUK_PRJEB4211_v1:6:13766631:13767980:-1 gene:GSCOC_T00030775001 transcript:CDP10153 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLQKLLIQHFSHEHLLERRLFPPEGNCICFGCKLQILPGKHGYRCRKCPFYLHQVCYSMPQKIQHPVDPNHHLTLLAIPSDFDKAIDCEACEQTIAGFYYNCATCGTFYHMLCLATPLSVKLPVHPHTLKLEFSPPYKFQCDLCDMSSHRGWLYRCGFCEFDVHISCAIMNSQAMLQLQPQTRVLEIDGRTDADSKRHELMALVLKGIAGLQGQENFPSQSEYYQPSPLSEYAPTPSFQLSEACFSIDIERSFIHDGLESKEADFHQVQLQESKETKNIAYVVLTKGDVHDPKQPNPVVTPAKNPSDGSRIGTPQKGQKPAIRSHVWVELGQENAKANASNDNAGLVHQTQEQVSIILSINITEINGMQNKI >CDP03357 pep chromosome:AUK_PRJEB4211_v1:6:7636432:7636978:-1 gene:GSCOC_T00041912001 transcript:CDP03357 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPRSNIRDTKIRNQRQFKEKRRKREPEHQTDIILCSFSEFPPFFFGGYVHFIFFFLDKHKI >CDP06545 pep chromosome:AUK_PRJEB4211_v1:6:1586830:1587234:-1 gene:GSCOC_T00023436001 transcript:CDP06545 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPKLFGDMLAPSGLSDETKGIVNARVDWKETPEAHVFKVDLPGLKKEEVKVEVEDGRVLAISGERAAEKEDKNDKWHRVERSRGRFTRKFLLPENAKVEEVKANMEYGVLTVTIPKQEVKKPEVRAIEISG >CDP11665 pep chromosome:AUK_PRJEB4211_v1:6:27836166:27838115:1 gene:GSCOC_T00034088001 transcript:CDP11665 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSTSLPSFTGPSSSFSSPTKPTLCPNPSTSFFNKKVTSNCQHENMLFSHHKTASYRYSSWGRFNTEEEEDVDCCSFQEAVELFNKKEYYRCHDVLESLWNKSQEPTRTLVHGILQCAVGFHHLFNKNHRGAMMELGEGLCKLRKMNFEDGPFHMFEKDISGVLDFIYQTQLELAACTEDLCITMDQSERSYQLLGGYAAGQPLYRVQSTNQLDNSNYIVFCPERNRGFNGQIRIRLPILQASEDDLMNLDTNY >CDP06233 pep chromosome:AUK_PRJEB4211_v1:6:23619154:23620659:-1 gene:GSCOC_T00022945001 transcript:CDP06233 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFSLPSVLFIFLVSLYLYIFFLKPISKQTTKTGFKIYPVVGTLPGFLLNRHQFLDWSTEILSKCPTHTAVFRRPGVRGITTANPRVVEHMLKAKFENYPKGPRFIGLLEDFLGKGIFNSDGELWKVQRKTASYEFNTKSLRNFVIENVRVEVQTRLIPLFEDAAKVDRVLDLQDVLERFAFDNICELAFNVDPGCLGGDATSGREFMEAFEDAATLSSGRFMYAIPAFYLFKKFFNIGSEKRLKQSIDTVHEFADKIIKSRLEEGVERKDEDLLSRFIGNSENSAEFLRDIIISFILAGRDTTSSALSWFFWLLSTRPIIERKILQELDLIRNRSHKKIGDAYDFDELREMHYLHAALSETMRLYPPVPIDTRACLGDDVLPEGTFIAKSWFISYHTYAMGRMESIWGKDCYDFKPERWLENGIYKQENPFKFPIFHAGPRMCIGKDMAYIQMKSIAACVLERFGIDAVLKDGKCPEPLLSLTLRMKGGLSVKVKERCL >CDP14208 pep chromosome:AUK_PRJEB4211_v1:6:18881285:18883103:1 gene:GSCOC_T00040472001 transcript:CDP14208 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPKIDPRKLLTKYKRSQSRSVSRSEDLLNSFSSSGTSSSSDSSSSTSSLSNSKSVKKKLRTSKGSPATPTSVLPPTLSSEITSDEWSEISGGVYEDVKEAFKMIDRDGDGKITRDELEALLSRVGAEPPSAEELNMLLSEVDRDGDGCISLEEFEAIGSAFGPPSCDSEMRDAFDFFDTDHDGRITAEELYNVFRVIGDGQCTLDECQRMISGVGKNGVGFVCFEDFSRMMMQLHR >CDP14143 pep chromosome:AUK_PRJEB4211_v1:6:18103421:18107718:1 gene:GSCOC_T00040380001 transcript:CDP14143 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGSLLLKSSEVIQGLRFYSQGIRAIQDLDLLKILQSEINHELTSKPPAQGDESGSLLDFVLEWDSPKTQDVILRKKSESGEEVAISALLRGQSFEPDGEFPPTTDVKMKVCIKKPGLRSILLFDCEVSNRGEDKSGFNVQNAYYIQSASCLSPSVYRGPLFSDLDPQLQDELKKYLEARGIGESLLNFLLLHLRKKEQSQYVNWLQKLESAFRESD >CDP06211 pep chromosome:AUK_PRJEB4211_v1:6:22606648:22609863:1 gene:GSCOC_T00022896001 transcript:CDP06211 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDNGEVIEEMISMSNLRMRSRKATINDCSCILRPGLDVCVLNTSSDTKDSSEDSPVWADARIRSIERKPHGAICACHFHVSFYVGEEAALTLQKKLSKETTVVQIDQIMILQKLESVPSENQHYRWRTSEDCSSLLLYKLFTGKVCSDLTWLVVASVAKQAIFAVRSAEGRMVYGVLDVDCSTDSGSYSYCVNFKLDNGILSPIILLINGHWAEFKSTKFQNQKETNDKAEENHEEEISEIDMLWKEMELALASCFFLDDGEDSHAFPTEVKLSTEKGRTGCNHDYRLNEEIGVICRLCGFVSTEMKDVSPPFVSQLRFDERKGQLGICMICHLSLGTKRNHHAARTFIRRRSGDNVWALIPDLRSKLRVHQKRAFEFLWRNIAGSLVPALMEDKSKRRGGCVISHTPGAGKSC >CDP06531 pep chromosome:AUK_PRJEB4211_v1:6:1499612:1500670:-1 gene:GSCOC_T00023418001 transcript:CDP06531 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSASRYLISIALLLLYFEIVYADFNVSFASEKVDKGPNFESLFAFYGDAKFVSGHDKSVQLSGSVAPSAGTVLYKEPIKLFERNSRKMVSFVMNFVFSLSSENGDGLAFAMSPMGNPVHVFNGGSSGVLAAGSKVKFLAVEFEPSRNNRYGDVNGNNVGVGLASLISVKISNFSSVNSSLSSGEKLQSWIDYEANSKRLEVRLAKLGEKKPLNPILFYPVDLSRMWDKAEVLFSLSSASGNSSHKCNLYSWSLTLRSIPNWMHSEPLDPAAFVERRKEPKVHEKSDCALRILAALMFGTGCGALGALLVLFIWTLLGYKRPVVPEDYVVRPVGFEYAKPIRDGKNQLGA >CDP14272 pep chromosome:AUK_PRJEB4211_v1:6:36494682:36501748:-1 gene:GSCOC_T00040570001 transcript:CDP14272 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGAVYYSDQAQFPHGTGGETEQGQAAAASRHVVLRKFKEFIRNFPHKSQPNVFPYREALLENPKFLLVNMSDLLAYERDQTLPELLRQNPADYLPLFETAAAEVLASLRSRISGETGEMEEPEIGEVQILLRSEQDSMSMRSLGAQYISKLVKVSGITIAASKTKAKATYVTLICKNCKNLKIVPCRPGLGGAIVPRSCDHTPQTGEEPCPVDPWVVIPDRSKYVDQQTLKLQENPEDVPTGELPRNILLSVDRHLVQTIVPGTRLTVMGIYSIFQAANSSTSHKGAVAVRQPYIRVVGIEETNETDSRSPANFTADEIEEFKKFASDTNAYENICSKIAPSIFGHENVKKAVACLLFGGSRKTLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPVAVYTSGKGSSAAGLTASVIRDNSSREFYLEGGAMVLADGGVVCIDEFDKMRAEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYSQDKSIASHIIKVHASANATMSDSRSSKEDNWLKRYIHYCRTHCHPRLSESAASVLQENYVKIRQDMRRQANETGEAAAIPITVRQLEAVVRLSEALARMRLSYLANENHVSEALRLFNNSTMDAARSGINQHINLTPEMANEIKQAETQIKRRMGIGSHISERRLIDELTRMGMNESIVRRALLIMHQRDEVEYKRERRLIVRKA >CDP02932 pep chromosome:AUK_PRJEB4211_v1:6:11407813:11408988:-1 gene:GSCOC_T00041356001 transcript:CDP02932 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNFRIHTSAEKTMTIAQSPSVASTPATRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRLSGYLEGGANNERDLEAGESNEDGSDGDLKKPGVRVFEEKFLVIMPGQEKPTWLATPMSSRASSFGTTSNSSCSSESSEKSGRESEEKKQEIGLSQVELTNMDADHHAETTNQDHQV >CDP14124 pep chromosome:AUK_PRJEB4211_v1:6:17849423:17850238:-1 gene:GSCOC_T00040356001 transcript:CDP14124 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRQVMAVNTLPRTSSCRSTQDIIPTNYLRSSSFVPDPSEEFSDRSLVRRGGSPISFQRFNKARYGLSTLLRSFLNIISFPAILPTCRWLNIPTQLSITPSLGRKVTGTLFGHRRGHVSFAVQENPRSEPVSIIELAVSTATLVKEMSSGLVRIALECEKTVPSRGRSTKLVSEPMWTMYCNGRKCGYALTRACSDSDWHVLNTIQSVSVGAGVIPLMEDGRKASASEGELLYMRARFERVVGSRDSEAFYMMNPDGNGGPELSIFLLRI >CDP14307 pep chromosome:AUK_PRJEB4211_v1:6:36050931:36052014:-1 gene:GSCOC_T00040626001 transcript:CDP14307 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEHHFCTGDYFTEEAECLKLLADDILSVPNAEQVLVSGYVRKGERLLPASALELLLHLAFPSAPVEVEETDRFETIYPTLRCVAVAGVNGSDSRKQLAIQIQDLAWRAIGGGNAKLSDKATGLFVLSLYHNPECYKRWDRVYEDDVDKSVAILRKLKKL >CDP09532 pep chromosome:AUK_PRJEB4211_v1:6:19826487:19826888:-1 gene:GSCOC_T00028938001 transcript:CDP09532 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVKESSSIPMDKPGESHSLGLRMESIYEKVRLLASGNAVVIFTMSGCCMCHVVKQLLFGLGVAPTIVELDHDAAGREIHSLLLQLSGKTQQQPVPAVFVGGKFLGGIETVMACHINGSLVPLLKDAGALWL >CDP02868 pep chromosome:AUK_PRJEB4211_v1:6:12244306:12246475:-1 gene:GSCOC_T00041268001 transcript:CDP02868 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYFICGPPRNWRTELLKFCPRPRYDRVIGQWCEECKSLPTQSRSIQPPSYGSASVIDGMLTPEEEEPVFAADRLECPRLKRRRRLTRCPSCHGICRQRTDSQPCRRLKDCKSCPWESFLLDPSLQTISVESAKFPFLMVIKPGKKACFLKNLVLPVQVELKHREITPFCGNYRSIYDQIKRAPHCCFFFRCLYSRKSWMLLYERHPACCRKLSVLLLLNPLINQRIDLPVIGQVMVIQAAFSVSDVNNANASPDCVFAIVNEANCDYSHSGKSDIFLAMWRPGWKTWEFCKAQYPHLSLDNYIGMVFCGKRVRCFTVDGWMYVVDLNGDECSWGLLRHVHDPDERVNKGFHVVVDDGDDSGRLMLTRAGGPRSYYFTISKDSNEILKIVTWLDEAGKTVYHFYRLDRLELEWIRLGDGEWMDRSWFFTGEFVFSTAVIGGAKIFRKSDAPTERDRVKFICHDLLRNSSHSLVGDFIRGSSWRRGFHCYSLYH >CDP15286 pep chromosome:AUK_PRJEB4211_v1:6:3548716:3552105:-1 gene:GSCOC_T00042957001 transcript:CDP15286 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSTCIVLTCLAISFLPFGSAFHNLTLPGQHPNPEAVVEDIHRKVNVSISRRQLLSYESNDQSSCITGNPIDDCWRCDTNWQLDRQRLADCAIGFGQYALGGKGGRYYVVTDSSDHDPVNPAPGTLRYAVIQTEPLWIVFAANMVISLSEELIFNSYKTLDGRGANVHITGGGCITLQYITNIIIHNIHVHHCYQAGETNIRSSPTHYGWRTLSDGDGISIFGARDIWIDHCSLSHCKDGLIDAVMGSTGITISNNHFSHHNEVMLLGHSDDYLPDSGMQVTIAFNHFGQKLIQRMPRCRRGYIHVVNNDFTRWEMYAIGGSGNPTINSQGNRYTAPSDRNAKEVTKRVETAEDAWKGWNWRSDGDIMVNGAYFVTSGEGVEVKYEKAYSVEPKSAAFIDQLTMNAGVWGSRGSRMGRWTAGNGTGGSELGGDSDDYDDYDDDSGSCKSPSLPTLLAFLLALSTLLFFES >CDP11670 pep chromosome:AUK_PRJEB4211_v1:6:27452636:27454484:-1 gene:GSCOC_T00034097001 transcript:CDP11670 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMLKVFFLGCILHLASAQLQNGFYSTSCPRAESIVQQVVVKKFSADRSITAALLRMHFHDCFVRGCDASILIDSTKSKSSEKDAGPNLTVRGFELIDDAKRNLEAACPSTVSCADIITLATRDAVALAGGPGYNVSTGRRDGLVSDSSEVNLPGPSLSISDAARFFTAKGLTLNDMVVLLGAHTVGVAHCGFFRDRLSNFQGTGKPDPTMDPALAATLLKTCGTQSRPLSRDPTVFLDQNTSFILDNQFYNQIRSKRGILQIDQELALDSLSAPLASRLAANNDLFRQSFVNAMMKMGSVEVLVGNAGEIRKNCRVFNKPGGRA >CDP06674 pep chromosome:AUK_PRJEB4211_v1:6:2514970:2516537:1 gene:GSCOC_T00023603001 transcript:CDP06674 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGLVALPSFNAVGSADNKPRLKPETETQKFINHGQKPIDISATNSSNLGLGLGLGFGNKKREPLWQCIQNCGACCKLNKGPTFPSPEEIFDDPSDIQQYKSLIGPDGWCIHYEKTTRKCSIYADRPYFCRVEPDIFQTLYGIDKKKFNKEACSCCIDTIKAIYGSKSEELNNFKHAIWETSS >CDP15360 pep chromosome:AUK_PRJEB4211_v1:6:4102974:4105279:1 gene:GSCOC_T00043059001 transcript:CDP15360 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGWAGGVLVSERESLSIYIQYLGWWLLHNKVELTTAAIVANCLVFFIGYVVFRGANKQKHVFKKDPKAPIWGRPPKVIGGKLLASGYWGIARHSNYLGDLLVALSFSLPCGISSPIPYFYPVYLLILLVWRERRDEARCAQKYKEIWNEYCNLVPWRILPYVY >CDP15654 pep chromosome:AUK_PRJEB4211_v1:6:32889185:32890093:-1 gene:GSCOC_T00015598001 transcript:CDP15654 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHPVADSPEVLHNFLPFFRIFKDGRVEKFLQTPFIPPSDDPNAISGGVRSKDVFISPENKVGARLFLPRTIKADEKQKLPLLIYFHGGAFVIESAFSVQYHNYLSSLVAEAIIIAVSVEYRLAPEHPMPACFDDSWTMINWVTSHAKTRQGPESWINNHADFTKVFFAGDSAGANSAHNMAAKASQHSLRDGVKLPGLILMHPSFGNGQSHKLWELICPDLNGWDDPRLHPMAHRSLLSSLVCSKILICISGKDSLRERGWLYYEALKNNGWEGGLDFWRLKMRVMFFTCLIQIVIMPKS >CDP06678 pep chromosome:AUK_PRJEB4211_v1:6:2540074:2541510:-1 gene:GSCOC_T00023609001 transcript:CDP06678 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNPPKTKTLVVKGQRVLDIIASKPDVVRNRKNHLRLVDDFLRTTNSLQFPESQGGRIGNPPNTNESSKVLELFRRHQQGLSVGPSVLSNALSLCGSERAVSAGIQMHGLAVINGFLCNVYVGSSLITFYSKCGVLNSAYKVFDEMPTRNIVSWTAIINGFAQECRIDICWQLYRKMRDLTLKPNAFTFASFLCACTGSGCLGKGRSVHCQTILTGFDCYIHVANALISMYCKCGSVEDALAIFWETDNRDLVSWNSMIAGYALHGLALKAVGLFEEMTHQRVKPDAITFLGILTSCRHAGLVKQGRFFFNLMAEYGVNPGQDHYSCIVDLLGRAGMLEEARDFIKRMPISPNAVIWGSLLSSSRLHENVWAGIEAAENRLLLKPSCAVTHLQLANLYASVGYWDQAARVRKLMKDKCLKTDPGRSWVEIKNGVYHFRAEDISNSNYTHILAMMDSLLDHMRSFGHLPIIFEETDYP >CDP09590 pep chromosome:AUK_PRJEB4211_v1:6:21289710:21291143:1 gene:GSCOC_T00029023001 transcript:CDP09590 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKLVFVPSPGIGHLISTVELSKRLTERDDQLSIFVLVISSPVGPDLESYTQQVAASNTGIQFINIPRVDPNLPQVWSSPENLYALYLESHKSHVKTAIIDQVLVSESITSLAGIVVDLFCSSMVDVANELGVPSYVFFPSGCAYLGFMFYLPIHYSQYGREFETSDSDSIIPTYSHPIPSKVIPSYAFNKHGGYSSFVKHATKFKETKGIIINTFAELEPHAVDQLKFDAETPPIYTVGPLLDLEGRKREPDHEKIIKWLDDQPPSSVIFLCFGSMGSFEPDQLAEMALALERSGYRFLWSVRLSKAYTKGTGEHSNISEMLPQGFLERVENRGLVSSWAPQMEVLAHEAVGGFVSHCGWNSILESLWHGVPVATWPVYAEQQINAFELVRELELAMDLKMDYRMENAQNLVVAEEIEKAIRCLMDTENPTRKRVQEMKEMSRKAIENGGSSFISLGRLIEDMHINIGKDKGSF >CDP10373 pep chromosome:AUK_PRJEB4211_v1:6:7075472:7076041:-1 gene:GSCOC_T00031082001 transcript:CDP10373 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSSSSGVTAKYSIPPPGPLRFTGQSVRPMPVRILTVGKKRSAGVQLVVDDYVNKLSHYCPVEDVRVKSNPKNARDPTVQIEHEDQACVRCINSQDEWVVLLDERGLELDSIQMASFIGNALNDGAPSLLFCIGGPYGHGRLLRRRANVSIKLSSLVLNHEVALVVLMEQLYRAWTILKGHKYHHH >CDP10115 pep chromosome:AUK_PRJEB4211_v1:6:14201879:14209726:-1 gene:GSCOC_T00030727001 transcript:CDP10115 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVSFAAETIGNLLIEETKFLQGVSDQVEQLQLELKRMQSFLKDADARQHEEERVKVWISQARDLAYEADDLIERYAFKVASRRRKGIRGITKRCVGILNECYARHTTKTAVMERQDGASSSSHQQLRRTYSHVVEDDFVGLEDDVEMLVKHLLRGSDHDHAIDQHFRVVSICGMGGLGKTTLARKVYNHPELRRCFDGLAWVCVSQKWQKEDILQRILLSLIPEKRKEILEWRDEELVRQLFQILQNKKCLVVLDDIWATEPWECIKQAFPIRNDGSKILVTSRNKDVALHIDPSGFHHQPRFLSEDESWQLLQRKALRGRFHGEHEDFKKLENLGKEMVKACGGLPLAVIVLSGTLATKKDLNEWATVNRNIKAHLGRGNNLIKEEGNLHKILALSYNDLPYKLKPCFLYLSRYEEDSDIGTEKLYQLWIAEGIISTKDQIGEESMMDVAERYLGELVTRCMVQGKAPDDDDVMLSSVGRSFASCRLHDLMRDLSLLKAKEENFLKYVPPAEKRNTRHLRSLALLLLGNEFYEGRLPKKMKSQFNRFKMLRVLTIEGIRPAFSEDHILKTVFLLVADHLRLPKAVGELIHLRYLSLRNSVFVCLPSSLGNLQNLQTLDLRAGVCRIPNVLWKMRQLRHLYLPANYADRMVGKFSLNLCCKNLRLKGLDKLEILENFCPPTCSSQDISTLKNLRVLSAVVFTGNFDDEYFPVEIHRLMANSDHVRCTSLIIVFNNDITPAVALTKKKLSDAVGQCFSSRNLQLLEVHGPLANFPKYEARYMHASLLKLKLTTMEMEEDSMETLERLPNLRSLHLRDLNILGKEMRCKATGFGQLRFLRFEFLRNLEKWNVDEGAMPNLSVLTIVYCTKLEMVPNGLRYVKTLKELNIASMPKEFTDRIQAVNGEDKGQDFDKVSHIPTISVRDILS >CDP19793 pep chromosome:AUK_PRJEB4211_v1:6:34409412:34410098:1 gene:GSCOC_T00005217001 transcript:CDP19793 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVLIIALLSALPIIFLILLQKNSRKSSTKSHPPLPGPPGIPIIGNMHQFDSSAPHIYLWELSRKYGPLMSLKLGSLPVLVVSSARMAEEVMKNHDLIFCSRPPMLGQRKLSYNGQDIGLAPYTNQWRELRKICVRHLLSSKRVQSFRPIREEEVYRMIQKISQESASSQVTDLTHTLLSLTSTMICRIGFGKRYDEEGQERKRFHFLMHEAEATLVAFYFSDYFPTI >CDP15311 pep chromosome:AUK_PRJEB4211_v1:6:3753403:3755407:-1 gene:GSCOC_T00042994001 transcript:CDP15311 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALVATPASPQSMMSITNEHPVESQYQKGVKHLYEIGIRRVPPKYIVPVSERPNQTHWKTQTTDGSLQLPVIDLSGLHGPKRSQVVRSLAGACENYGFFQVVNHGIPNEVISNMMDVTKRFFELPSAEREKYMSADMSKPVRYGTSFNQLKDSVFCWRDFLKLVCNPLADVLSHWPSSPMDLRGLAVTYAKETKFLYLMILEAILESLGLTTTKNTTADTDDQILSEIKDGSQQMFLNFYPPCPEPDLTFGLRSHSDFGILTLLLQDEVAGLQIKHQGRWLAIEPIPGSFVVNVGDQLEIFSNGRYKSVLHRVVVNSSMSRISVASLHSLPSQSAVRPAEKLINQTNPRRYRDTDIAAFLHHIKSCDYQEKGVLESRKLT >CDP10091 pep chromosome:AUK_PRJEB4211_v1:6:14531621:14537853:-1 gene:GSCOC_T00030696001 transcript:CDP10091 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSKGHSLTVRSRHDHGSLVKSCLDISLRSPKLNVRLLAPCQTRIQWSQRLASAGLFIGLVCCSSSEPVRADALEAKQHQEASYSHGKIVCNDYSVIGIPGDGRCLFRSVAHGACLRAGKPAPDEKCQRELADELRARVADEFVKRRKETEWFIEGDFDTYVSNMRKPHVWGGEPELLMAAHVLQMPITVYMRDQDSGGLISIAEYGQEYGKDDPIKVLYHGFGHYDALHIPRKTGARSRL >CDP03211 pep chromosome:AUK_PRJEB4211_v1:6:8888190:8890920:1 gene:GSCOC_T00041717001 transcript:CDP03211 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLTRKWRKSGDEDDNLSLPTRDDSRPMDPQEQEELVRSLESSQAQQSLLWRSVFAGLLFCYVVFLVYSIYHQAFFPWELRYHAYFMYEVDSWSIITADWASVLVCLLAIKGLLHNSKHRRRWLWSSCCPGLLLMFFWLHHMLRLSKFRWDILWLPLGPLSGAGVCLYVDHLLNESSEEVRKLRGYMYSYKAS >CDP06748 pep chromosome:AUK_PRJEB4211_v1:6:3131897:3132848:-1 gene:GSCOC_T00023700001 transcript:CDP06748 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLDESIYKINMDGTFFEAGIGIRFVVRNQWLRRFPELSILGRWNALTFLKALQFAKNFGVSHSFWNLSM >CDP02870 pep chromosome:AUK_PRJEB4211_v1:6:12218669:12225210:1 gene:GSCOC_T00041270001 transcript:CDP02870 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGNVTSQNFRKAFGALKDSTKVGMAIVNSENKELDVAIVKATNHDEVLPKQKHVRTIFNSLSASSPREDVFYCIHALARRLSKTHTWTVALKTLVVIHRGLREVDATFQQELIEYGYRKNHLLNLSHFKDDSSPSAWENSSWVRCYALYLEELLECFRVLKYDFQKDRSRIKALDTSDLLEQLPVLQQLLFRLLDCQPVGASQPNFLIQYALSIVAAESVRLYVIITDGTLNLVDKFFEMQRHDAVRSLEIYKKAGKQAKRLSEFFELCRSLDFGRGQNYIEIKQPAESFMTVMEEYVKDAPQTLMLPWRVGDNDKVRTPKATIAVDSSLDHELGDGAENCSDPSVVSDKVSKNENTESSATPPVADLLSFDDLFPEASPMEDTDSAPSAIIRPGDQLNTSLNSDLISQSTSWELELVSAPSSNLAVVTASTLQIQGGGLDRLTLDSLYDVALAKANPSGMNQVSGISSNPFEDASYELAQCPHHVAQSIEPPAEAHTSDMAQKQEVYMQQEQQVMVVHESSHPFGNPFVDQGTGCPSQSQSLHASSI >CDP03174 pep chromosome:AUK_PRJEB4211_v1:6:9096793:9097350:-1 gene:GSCOC_T00041675001 transcript:CDP03174 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMYGTIPTSDFYADRLDRVRSDLGKRRPWKEMLSSLSFPDGFQHSLSRINTNVAYFHMNYAMIVLFVLFLSLLWHPVSLIVFTVLMVAWLFLYFLRDDPIVVFGYAVDERVVLTILSISTVVLLVTRTTLNVVVGVAVGLAAVVVHGAFRMTEDLNVYGNEGVGGPTRLDLMETAAASFSSSS >CDP06398 pep chromosome:AUK_PRJEB4211_v1:6:360525:364732:1 gene:GSCOC_T00023228001 transcript:CDP06398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK5 [Source:Projected from Arabidopsis thaliana (AT3G51630) UniProtKB/Swiss-Prot;Acc:Q9SCU5] MPKSRLVEPPILDAQSPAAYAEVDPSGTGRYGRFREILGKGAMKTVYRAFDEALGMEVAWNQVKLNDVFRSPQELQRLYSEVHLLKNLDHPSIMRFHASWIDVHHRTFNFITEMFTSGSLREYRHKHKRVNMQAVKNWGRQILEGLAYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSHHAHSVIGKLFFNLLFDNSTPEFMAPELYEEDYDELVDVYSFGMCMLEMLTSEYPYSECSNPAQIYKKVTSGKLPGAFYRIHDPEARRFIGKCLETASKRPSALELLRDPFLAYDQEDELLEITGIPCLMPCSPRRREEVLQMPSTFCDQKRSTNMTITGTLNPKDDSIFLKVQISDKDGQARNIYFPFDISSDTAMDVAGEMVKELEITDWKPIEIAEMIDEEISALVPSWKGCPQGFHQQRQHSFRYDQEDDDDHHSTLPPFYSLSSQHSSSQASLPGLFPSGLPDWIQEDLSNYDDASSSQSSFNSNKLSNLSYFSDREMNGSTFSSKVGEPQIFMRTTTTGSSKWTTRFCPDQNNMSKCCTDDDRNLGMPRVRSLVDIRSQLLHRSLVEEINRRRLFKTVGAVENIGYHEPGGYDFFPGNASVKSGDGRYVRNVSKQGFEW >CDP10525 pep chromosome:AUK_PRJEB4211_v1:6:5829561:5834691:-1 gene:GSCOC_T00031280001 transcript:CDP10525 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIPSSKLASAPSLLSPLPCINKPISQKKIDSVLNHHIPGLTSSERIMASHIVGYPRMGPKRELKFALESFWDKKSSAEELEKVAADLRASIWKQMADAGIKYIPGNTFSYYDQVLDTIALLGAVPPRYNWSGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVNEYKEAKALGVDTVPVLVGPFTFLSLSKPAKGVEKTFNKLSLLDKILPIYKEVIADLKAAGASWIQFDEPILVMDLESHQLQAFTKAYSELESSFSGLNVLIETYFADVPEEAFKAVTSLSGVSAIGFDLVRGAKTLDLIKGGFPAGKYLFAGVVDGRNIWANDLAASLTTLQALEGIVGKDKLVVSTSSSLLHTAVDLVNEPKLDKEIKSWLAFAAQKVVEVDALAKALSGAKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALRGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREYKAKKISEDGYVKAIKEEISKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYAEVKPALQNMVAAAKQIRTQLASAK >CDP06465 pep chromosome:AUK_PRJEB4211_v1:6:959230:961193:1 gene:GSCOC_T00023327001 transcript:CDP06465 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFNFTSPTHTHPSRLVCPTLVHRNCNSTISSYPIPKFSFSSVRSIDPRPRPKSDTEIKRRHASVVVSALKNLSDTELVAVPPETDAVPGTFPSSSGVYAVYDGNTDLQFIGISRNIAASVVGHRKSVPELCSSIKAGVVDEPDRAALTQAWKTWMEEHISATGKVPPGNEPGNSTWVRKPPKKKSDLRLTPGRHVQLTVPLEGLIDRLVKENKVVAFIKGSRSAPLCGFSQRVVGILESEGVDYESVDVLDEEYNFGLRETLKKYSNWPTFPQIFVNGELVGGCDILSSMYEKGELAGLFRK >CDP10189 pep chromosome:AUK_PRJEB4211_v1:6:13394345:13396342:1 gene:GSCOC_T00030829001 transcript:CDP10189 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIKFILLSLTLCVLHLNFCHGQNAVKAGYWFPDSGIEISDIDSTLFTHLFCAFADLDSQSNKVTISSSNAGPFSQFTKTVQLKNPSVKTLLSIGGGSSNRTAFASMASQPTSRKSFINSSIKLARANSFHGLDLDWEYPQSASEMKNLGSLLDEWRAAVATEAKNSGKPQLILTAAVSFASKVDGLYQYPIPSVSRSLDWINLMAYDFYAPDRPSTSTRCHAALKDPSGQASGSSGIADWENAGVGAKKLVLGIPFYGYAWRLVKANNHGILAPANGAAGPDNGVKRYQDIRTFVAQTPGAVVVYNSTYVCNYCYAGTTWIGYDDNQTISTKVSYAKQNGLLGYFAWHIGADNNFALSRQGQLLTSFQDKQFPV >CDP06609 pep chromosome:AUK_PRJEB4211_v1:6:2065410:2067135:1 gene:GSCOC_T00023518001 transcript:CDP06609 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSGQFVCTDPLAPSPPILLPPWLSNSTSGVPVRPSSPSVTLSLSPSVVPPPGAAWLQPDRDSKNPVSNSLPCLGMVPPPPSREKAAVVSELLECCKELEEMQRAWAVHKKEAAWRLRRVELQAESEKACKRREKMEAIEAKVKALKEEQKATLDRIEAEYREQLGGLRRDAEAKEQKLAEQWSAKHSRLTMFLEQMGCQSKFVEPNC >CDP16334 pep chromosome:AUK_PRJEB4211_v1:6:29327527:29333577:-1 gene:GSCOC_T00018138001 transcript:CDP16334 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDESTVAASKFAKANGSVGGDGMPLTNRWNGRPYSARYYEILEKRKTLPVWQQKNDFLKALRENQTLILVGETGSGKTTQIPQFVLEAVEIESADKRRKYMIGCTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFYAAPLMKVPGRLHPVEIFYTQEPERDYLEAGIRTVVQIHMCEPPGDILVFLTGEEEIEDACRKITKEIGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPLVEGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFQNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNMTKLGEIMSEFPLDPQMAKMLVVSPEFNCSNEILSISAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNKEDPQWCYENFVNHRALKAADNVRQQLSRIMVRFGLKLCSTDFNSRDYYVNIRKAMLAGYFMQVAHLERSGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDVRGEWLIDIAPHYYDLTNFPNCEAKRVLERLYKKREREGEESKNKK >CDP06387 pep chromosome:AUK_PRJEB4211_v1:6:255079:261640:1 gene:GSCOC_T00023213001 transcript:CDP06387 gene_biotype:protein_coding transcript_biotype:protein_coding MREMLGRSLVVYLLWFLFLLGSCSGRFVVEKNSLKITSPDSLKRVYECAIGNFGVPQYGGTMIGTVVYPKADQKACKSFDDVDISFKSKPGGRPTFLLADRGDCYFTLKAWNAQKAGAAAILVADDRDEPLITMDNPEEEDAQADYLQSITIPSALISKSLGDKIKNELSKGEMVSINLDWREALPHPDDRVEYEFWTNSNDECGPKCESQIDFVKNFKGAAQILEQKGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSRGYDGKDVVLQNLRQVCFFKVANESRKPWLWWDYVTDFSIRCPMKEKKYTKECADQVIQSLGIDVKQVEKCVGDPDADIDNPILKGEQESQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFQETTEPAICLTEEIETNECLENNGGCWQDKAANITACKDTFRGRVCECPIVQGVKFVGDGYTHCEASGALRCELNNGGCWKGTKDGKTYSACIDGQTKGCTCPPGFRGDGVKSCEDIDECKERAACQCPECRCKNTWGSYDCSCRGNLLYMHEHDTCISKDVKTDFSWSFIWVIILAVAAVGAGGYAMYKYRIRRYMDSEIRAIMAQYMPLDNQGEVPLHNPHGNV >CDP06472 pep chromosome:AUK_PRJEB4211_v1:6:1002108:1005922:-1 gene:GSCOC_T00023335001 transcript:CDP06472 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTQLLLAGLPLFLLCSDLFNLFAPPSPKPAHHDHHNHHHNYQPSKPQSTIQQPSPDFTTHAASGTGAIGFGNTVNIDFCASCSYRGTAVTMKNMLETQFPGINVVLANYPPPLPKRVLSKLVPVVQIGVMSIVLAGEHIFPRLGYAVPPPWYYSLRANRFGTISSTWLLGNFLQSFLQSSGAFEVYCNGEMVFSKLKESRFPGEIELKDLVARRIASSRVLDGLGGANWSS >CDP02862 pep chromosome:AUK_PRJEB4211_v1:6:12312787:12316380:1 gene:GSCOC_T00041260001 transcript:CDP02862 gene_biotype:protein_coding transcript_biotype:protein_coding MFISNHFKVHTLFTAFLAGQFSLLPCTGMASFGRFCLFAWLLWGNLGVRGDDSAIVGVCKKIGMYYDMCYDCLKSNPQEPDFAAKSIICATDAYVILRKSAFDFSLNSTGRFREVAQLCVDQFDITLGYCKAAFKAWRLKRKLATLAFLRSGLDYYFKCVNHVFEPVPIEYVVQLNTAKDFNEVSLEIVSLP >CDP06575 pep chromosome:AUK_PRJEB4211_v1:6:1818064:1822915:-1 gene:GSCOC_T00023477001 transcript:CDP06575 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGGRGVEEDKMESEEEITENKSKRKSPVVLMWGYLPGASQQRMPLLSPTPIQFPDSRDSWKDVCAGGCGFAIAISGSGKLITWGSTDDQGQSYLTSGKHGETPEEFSLPTEAPVLKAAAGWAHCVSITDNCDVYTWGWKECVPSGMITRNFSAGESDEDDAFEKQNSVASEQVSPRSPSSKSTFSLESHLENKRPGEESTKRRRISPAKQEFESSIANDEPLSAPPCRVALDPGVKITAVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSQIKLVASPHLIPCIEPYLNGKDSPSMMPQGGITSAAHVQKVFGSYIRDIACGGRHSAVITDAGVLLTFGWGLYGQCGQGNTNDVLRPTAVASLSGTCIEALAAGLWHTVCVSADGRVHVFGGNQFGQLGLGTITDQAETLPRLLDAPILEHKRAKMVSCGARHSAILTENSKVYSWGWNKYGQLGLGDAIDRNSPCEVPIEGFLPQELACGWWHTLSLAKALS >CDP03198 pep chromosome:AUK_PRJEB4211_v1:6:8951090:8952671:-1 gene:GSCOC_T00041703001 transcript:CDP03198 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEFEQQPSVELSKVAVSDTHGEDSPYFAGWKAYDEDPYDESRNPSGVIQMGLAENQVSFDLLEEYLEKHSEAASFGNKISSFRENALLQDYHGLQSFRKAMASFMEQIRGGRAKFDPDRVVITAGATAANELVTFILANPGDALLVPTPYYPGSNNFQITIQALEAAYADAVSKNIKVRGVLITNPSNPLGATIQRSVLEDILDFVISKNIHLVSDEIYSGSAFFSGEFVSIAEVLEARNYQDSERVHIVYSLSKDLGLPGFRVGTIYSYNDKVDLLAIVQSQDGSEFALPT >CDP10472 pep chromosome:AUK_PRJEB4211_v1:6:6235350:6237171:-1 gene:GSCOC_T00031206001 transcript:CDP10472 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGVAVEATFRNHHQSQVLKVLQALKEASHQLQTKSADSSNASVKALLELEFVSNSILSSDPHLTTLSADLSDLKNLIQRQQSCEPTTGIRSFLTNRFRAHEISRLASSIESEIQAWIDRETINNLTETLEEWSSSNNIVLGSSPAASRDEESLLAQLSQLRIRLVQGFDIDLQDMLLKSRLLQKLKSLLCNGHVSKRVHEMAAYSLKELVLFNKDVFVGEVLVGQTIKSLVLMGTFFSLDVLKSLIKAVKSPLVDELEPFGGILKIVNTLDSADMATKIMALDCLMEIGYYGRKEAVEAMLSAGIIKKLVYLQRSELGGDLVDMGKGKSEEFGGENGVNVGGVEKSGRGRKGCKEMRYLECHPFASCVARFAVQVEVGEGLRQREKRAFKQEILKRIREASVSDAEAATVIAEVLWGSSP >CDP10474 pep chromosome:AUK_PRJEB4211_v1:6:6219363:6223983:1 gene:GSCOC_T00031209001 transcript:CDP10474 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPKSSHKNHRSHFPLQKSLFQQNASFPHSSPVHTTSNKTANSSYGKPISASNNFAGDFNHPCPHLLELRSKLGPNPFRNLQDCLEVRPLGRVSVRREPGQEVVQCGACKASSPRLFACIACAAVSCQLHATSHAAQADDNTGCSSPSSFHAIAVDVDRVELFCCVCKDQVYDSGFDAAVVLAQTAASSAIGSIHCPPPPVPAENLRKRRRVEYKPWTPDPNERALIVEKSSPLPSRPSQLGEADAELPWGLRGLNNLGNTCFMNSVLQALLHTPPLRNYFLSDKHNRYFCQQRNNVISTRSHDSNNHSNKNAARLCLACDLDAMFSAVFSGDRTPYSPAKFLYSWWQHASNLASYEQQDAHEFFISMLDGIHEKLQKDKRKPQIQTGSGDCCIAHRVFSGILRSDVMCTACGFTSTTYDPCIDISLDLETNVGGPAKMTSAKSNHSCDGVPDSKNACQSTGVSTLMGCLDRFTRPERLGSDQKFYCQQCQVRQESLKQMSIRKLPLVSCFHIKRFEHSHIKKMSKKVDRFLQFPFSLDMSPYLSSSILRSRFGNRIFPFDGDESDSPNDLSSEFELFAVITHSGKLDAGHYVTYLRLSNQWYKCDDAWITQVNDNIVRAAQGYMIFYVQKMLYYKASERQVAS >CDP06446 pep chromosome:AUK_PRJEB4211_v1:6:820842:826839:-1 gene:GSCOC_T00023303001 transcript:CDP06446 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEKAALNRRKWPAGKLNLNAPLLSTRRTIGVNRLEDVQNANSVRVSWDKFERIPFSWEQTPGKPKERGEESDGENAEEIIPPPKPPPGCRWLPTEEEADPKRDSCEGEAERGHDYDDGCEGDVEDDGGVGAHEDWKGGDGVSSNELDIFSLAQSVETVDSWKELRRKFGIENGDMEEGIGCHSPSFMIQRFLPDAKALAAAASSSSSTSLKKDLINSDDEKSKKHPKSSSVCQQRIDSRLDRRERKRKKRPLITLDLLVASFWCPKQLKSIHLPIVGFPCSGTMAMEITQILLAAQSADAKVRTDAESNLTRFRDQNLPSFLLSLSVELSNEGKPMESRRLAGIVLKNSLDAKEASRKEHLVQQWLTIDSSFKSQIKNLLLSTLGSSVQDAIHTAAQVIAKIASIEIPRKEWPELIGSLLVNMTQPHRPASLKQATLETLGYVCEEISHRDLVQDEVNSILTAVVQGMNVTEQNPAVRLAAVRALYNALDFAQTNFENEMERNYIMKVICEAAVAKEAEIRQAAYECLVSIASTYYEVLDPYMQTIFELTSNAVKGDQEAVALQAVEFWSSICDEEIELQEYEAPNSEDSTASHSHFIEKALPTLIPMLLETLLKQDEDQDQEDGIWNLAMAGGTCLGLIARTVGDAVVPLVMPFVEANILKPEWRSREAATYAFGSIIEGPSIEKLSPMVNAGLDFLLNAMNDVNSHVKDTTAWTLSRIFELLHNPATGFTVITPSNLQRIVVVLLQSIKDAPHVAEKVCAAIYYLAQGYEDAGPSSSQLTPFLPDLVGCLIATADRTDGSDSKLRSSAYETLNEVVRCSNLTETSGIIAQLLPVIMTKLGQTIELQIITSDDRERQGDLQASLCGVIQVIIQKLSSDDGTKPIIIQAADQIMMLFLKVFACRCSTVHEEAMLAIGALAYATGPEFAKYMPEFYKYLEMGLQNFEEYQVCAISVGVVGDISRALEDKVLPYCDGIMTHLLKDLSSSELHRSVKPPIFSCFGDIALAIGENFEKYINYAMPMMQSAAEVCAQIDNSDEEMVDYGNQLRRSIFEAYSGILQGFQNSKSDLLMPHAPHLLQFIEVVAKDHHRDEGVTKAAVAVLGDIADALGSNVKTLYKDRALCMEFLNECLQSDDEQLKETAVWTQGMIGRVCG >CDP02957 pep chromosome:AUK_PRJEB4211_v1:6:11158220:11159708:-1 gene:GSCOC_T00041395001 transcript:CDP02957 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHLLETHLNLSRDFTGKRKRIWISKSSVSPTSSALVVVLVLPSAVATLVLAPAPAVVLALLDRLIIMITKPYTKFM >CDP15426 pep chromosome:AUK_PRJEB4211_v1:6:4673970:4676578:1 gene:GSCOC_T00043156001 transcript:CDP15426 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMPAGFAIFVLATTVLIIIHASPIFGEEAIVQIPFSYMGATGPSKWGSLKPGFSECSTGKSQSPIDIPKGKVVLNKKLKPLTREYSYINATFVNNGFNIGLRCGKNTNLLISDGKAYIFSQLHWHSPSEHRIDGVQYDAELHLVHIADDGCIAVIGILYHLGHPDPLIAKVQNKLYQLGIDMSGVKEGGQVALGTFNMHQLRKNARKYYRYSGSLTTPPCNQTVAWYILGKVRSISPEQVQALKAPLGWAYKNNSRPVQPLNGRLVELYEERSLSTCVFIMNDSFCA >CDP10087 pep chromosome:AUK_PRJEB4211_v1:6:14650512:14657182:1 gene:GSCOC_T00030691001 transcript:CDP10087 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVDRKKVLKNNQRKISAKPEKRDQNFQQKSDRNALKREGNKVKASSAKADSGTLVGDPNTGTEPSEVYQNMLIDYVDDVHKSEAASPDVKTLEIVDKDTNSKVSDPSHDVGNEPNEDSEQESDSDTTNDSVSSQGDIAAVDDEKIERASRVSKTPVKNDLPAGSSQPGRAKSDQSSDNTRPKASKSTINEPITSNKEFSKGTGKNIYDDLKTIKVHPKPSAEFSGVVDKPLEEAKDVDIQDETSVSANSVGSDDEPVKTEENGENEDKTASDQKIQDMETRIEKLEEELREVAALEVALYSVVPEHGSSAHKVHTPARRLCRLYIHACKHWSPAKRATVSRNTVSGLVLIAKSCGHDVSRLTFWLSNTVVLREIISQTFGSACQSSPVAKAFESNGGKIGEAKFSSLKWKSNLGSKLPNKQSFMQVVDDWQETRTFTAALEKVESWMFSRIVESIWWQTLTPNMQSPNDDHTKNKVLGRILGPPLGDQQQGSFSINLWKNAFQDAFGRLCPVRAGGHECGCLPVLARKVMEQCLARLDVAMFNAILRESEHEIPTDPVSDPIVDCRVLPIPAGDLSFGSGAQLKNSIGNWTRWLTDLFGMGAGDLIKDDQNICEDDGQGGADEPKCFYLLSALSDLLMLPKDMLMDRTIRMEVCPQISLPLVKRILCNFTPDEFCPDSVPGAVLEALNAECIIERRFSGDAASTFPYPAASVVYTPPSSSDVAEKVAEAGSKSQLSRNASTIQSKGYTSDEDLVELDSPLAIIVDNQKNEQDTSHVGVNARYELLREVWQAT >CDP03064 pep chromosome:AUK_PRJEB4211_v1:6:10011501:10016529:1 gene:GSCOC_T00041543001 transcript:CDP03064 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGFYGLKVAQVSLILIGFVCLAVLMLAWTKTTLLTSLDPPESDLSYLTPEIPFSIREAAGTKDVSQNTSSLRVDEKDFVSPLSSKDLEFSAIQSSAENEHHQYVNSTQRQDCNFGKGRWVIDDARPLYSGLGCKQWLSSMWACRMTQRTDFEYEKLRWRPKDCDMEDFTGPKFLTRMVNKTLAFVGDSLGRQQFQSMMCMITGGEERPDVLDVGKEYGLVKARGARRPDGWAYRFPSTNTTVLYYWSASLCDLEPLNVSNPATDFAMHLDRPPAFLKRFIHKFDVLVLNTGHHWNRGKLKANRWAMHVGGVPNTNRKIAEIAGAKNFTVYSIVGWVNSQLPRYPGLRAFYRSISPRHFFNGDWNTGGTCDNTTPLSAGMEVVEDESIDPVAAGAVKGTGVKLLDITALSQLRDEGHISRYSIKATPGVQDCLHWCLPGVPDTWNEILFAQI >CDP15331 pep chromosome:AUK_PRJEB4211_v1:6:3880312:3880757:1 gene:GSCOC_T00043020001 transcript:CDP15331 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNFIHQMEMTKFRTRDPNKAHVYFLPMSVTAIVHFIYESKLRDHWKPMKRTVRDYVDLVSGKYPYWNRSLGADHFILACHDWGPELSSSVPELYSNSISCPV >CDP12666 pep chromosome:AUK_PRJEB4211_v1:6:16485647:16490348:-1 gene:GSCOC_T00036383001 transcript:CDP12666 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAGLVRNPGDVIGKVQDKIIRKKRCSNSSRRKVIIRRSLRPILGLQQLFLSCQEVFKGPGTVPSPNDVQMLCHILDQMTPQDVGLSSDLHFFKPNTDVKAHERVAYATIHQCKKFSLCIFFLPANSVIPLHNHPGMTVFSKLLLGSMHIKSYDWLDNSSSDNHESDPKLKRLARLRANRIFTAPCDTSVLYPTSGGNIHEFTAITPCAVLDVLGPPYSKDDGRDCSYYKDSPYDATLDVEVKEAKQDECYGWLEEIDLPNDSEMDPIPYLGPQVSVAS >CDP15382 pep chromosome:AUK_PRJEB4211_v1:6:4342839:4354924:-1 gene:GSCOC_T00043094001 transcript:CDP15382 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MSSYFSGLLSGSFSESCLDLVSIHWNAQSFLSVLRFMFGYYVEITSDNFILLYEAALFFGVESLLLQCQLWLSEVTSSKDPLTPKLQVDALIRVWKYGVEHANDLILHLCTSYLARNLKWAMLCDSFLDVPYQLLNTCIQHPELTIDSEKYLCDAILLWLAANIRKNTIEDGCTGMLRQIRCNCLPLWFLAGKRRCFFFAEFADENVDAILQLAGHPSVDVGNICADCDLSCMQIRLTEFTKKVDLSGCPQIRPILLLSLLPPLYSRDIMERKKFKRPLLNLQYLNVDHLPTLTFEAVEEVDISNCPMLDLEDALKCFSKSFPSLRKLRAVNYVDFGTEKLIRLLARFPLLCNIDLTVDVSPLIPAKVSVVSSQPALSPLGSREFPNDDHCPWDALLSNMSRRQLSNITRLTLQGRSDIADSDLRKITKSCASLCYLNLNGCMSVTDSGISFVILNCILLRSILACDTYFGQESISALCSGVHNLEDHVEPQAKKPLHSFASKFHTLHIGGCMGVSEASLSELLSQTLMIKSVSLRETHLVDDALDRFPGCSLEMLDISETKVSSLALAHLIHRNPGLKCLKARGCKHLSLNFMDAEGREPSNLAYSSTDLHSELGKSCQLEEIAVGWGFSFFSLESLKPAIRSLRTLVVGLGGSLGHDGLRLLPAFSPLLETLMIYFQVISDSLVINIMKTLRKLQVLALCYCFGQISSLSFQISMPNLRNLKLERVAAWMTNTDLIILTQNCPNLVDLSLLGCRLLNPESQDIISCGWPGLISMHLEDCGEVTAHGVSPLMNCRALEDLLLRHTGSGIPKNFIVLMASEMPMLRKMSLDICDASDGDFDIPDFSDRCFLSYVKIARCKLQRCSLDLHKLDIHKTPVHKETLLLVWDSKKLTRAVIKERL >CDP15370 pep chromosome:AUK_PRJEB4211_v1:6:4204888:4207425:1 gene:GSCOC_T00043076001 transcript:CDP15370 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYKGKYTDELIATANYIGTPGKGILAADESTGTIGKRLSSINVENVESNRRALRELLFTTPGALECLSGVILFEETLYQKSAAGKPFVDVLKEGGVIPGIKVDKGTVELPGTNGETTTQGLDGLAQRCQQYYTAGARFAKWRAVLKIGPNEPSQLAINENANGLARYAIICQQNGLVPIVEPEILVDGSHDIEKCADVTERVLAAVYKALNDHHVLLEGTLLKPNMVTPGSEAPKVAPEVIAEYTVRALQRTMPAAVPAVVFLSGGQSEEEATRNLNAMNRLKTKKPWSLTFSFGRALQQSTLKAWAGKEENIPKAQAAFLSRCKANSDATLGKYEGGASLGEGASESLHVKDYKY >CDP10236 pep chromosome:AUK_PRJEB4211_v1:6:12828223:12830824:-1 gene:GSCOC_T00030899001 transcript:CDP10236 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLTVMTFNLLEDQAEDSPNSWDKRKDICISVITSYSPMILCTQQGVKSQLDYLQQCLTGYNQFGISRKGSEDTSDQYCTIFYDKEKVELLEGGTFWLSESPSVPGSMSWGSIVPCIATWAISFYTLYCLLYIHLFQCCITDHFTLGFLYKLCTHSN >CDP06216 pep chromosome:AUK_PRJEB4211_v1:6:22877005:22882955:-1 gene:GSCOC_T00022905001 transcript:CDP06216 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNPQPVAALHNVFVYGSLLADDVARVLLNRVPASSPAILHNYHRFSIKGRVYPAILPIENKQVSGKVLSGITPFELHILDEFEDVEYERQTVDVYLMDSSEKLQVSTYVWSNKTDPKLYGEWDFQEWQRLHKEDFIKMSLGFMEELQLPESKPRVTTYESFFQPKNDGQS >CDP03238 pep chromosome:AUK_PRJEB4211_v1:6:8691755:8694451:-1 gene:GSCOC_T00041752001 transcript:CDP03238 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVNDHLMCDVGDGNTLTAAAIIGHDGSVWAQSASFPQLKPEEVSGIMNDFAEPGSLAPTGLFLAGTKYMVIQGEPGAAIRGKKGSAGATIKRTGQALIIGTYDEPLTASQCNMVVERLGDYLIDQGL >CDP06498 pep chromosome:AUK_PRJEB4211_v1:6:1208993:1211335:-1 gene:GSCOC_T00023369001 transcript:CDP06498 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSAGIEEIRTKDTTQFKSVHVKFQLQRECLFGQQFLIVGDDPMFGLWDPSNAIPLNWSEGHVWTVEMDIPCDKVMKYKFILKRGDDTILWQPGPDRILRTLETWKTITVCEDWDNAELQTLIEEDPVAHQELQTLIEAEDSVAHQELQTLIEEDPVAHQELQTLIEEEDSVAHQELQTLIKEDPVAHQLTESRENSEKLTVVGNLLQPSVDPEALASTNGYAQPATKPLSEKPVTVPVENKIEQHEEQAVEFNEFAGVSFSSNPNEIVSLGVNDHPNFRRSESTENFTVPKDEKKLDTSAAMPVLVPGLTPKPTADIEESSASKADPHISTASSVGSYKSQDFSVPELNLKEEPDIHYPVAEEETETLLLNENLEMHGKGHLQMPQLSEEKDQPDYTGERDSVPDNDIQWGRRTLQQFLMNLGLL >CDP09511 pep chromosome:AUK_PRJEB4211_v1:6:19487060:19492999:1 gene:GSCOC_T00028905001 transcript:CDP09511 gene_biotype:protein_coding transcript_biotype:protein_coding MAELYNKQAKQYSESRPTYPEELFQFIASKTPCHDLAWDVGTGSGQAARTLAGIYKNVVATDTSPKQLEFALKLPNIRYQCTPPKMSIAELEQNVSAESSVDLVTSAAAMHWFDLPTFYQQVKWILKKPDGVIAAWSYAKAEINAASANTLLQILYDNCDPYCDPRARYFVHDRYRTIDFPFQPVDGLEHTGPFEFKTERLMDLDDCFTFIKSWSPYQTAKDAGVELLSDDVVQDFTRAWHEDANPKKVATFPISLKVGKTTVPEGRSEMAELYDKQAKQYSESRPTYPEELFQFIASRTPCHDLAWDVGTGSGQAARTLAGIYKNVVATDTSPKQLEFALKLPNIRYQCTPPKMSIAELEQNVSAESSVDLVTSAAAMHWFDLPTFYQQVKWILKKPDGVIAAWSYAKAEINASAANALLQKLYDDRDPYCDPRARFFLHDKYRTIDFPFQPVDGLDHTGPFEFKMEWLMDLDDCFAFIKSWAHYQAAKDTGVELLHDDFVQGFTRAWHEDGNPKKIATFRINLRIGKVGNGCK >CDP09551 pep chromosome:AUK_PRJEB4211_v1:6:20546551:20550214:-1 gene:GSCOC_T00028969001 transcript:CDP09551 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWEATVRKTQAVAKKRANTIFGTYAAAPNVEEEMIEDYEEEEHPSGEVYQAERFLPNGDYYTGYWADNFPHGTGKYWWTDGCMYVGEWFRGKTMGKGTFSWPSGAMYEGNFKSGFMDGEGTYSSSNGDTYRGSWMMNFKHGRGTKEYANGDCYEGEWCRGLQEGQGKYQWKNGNYYVGEWKNGIICGKGKLCWTNGNVYEGNWEDGLPKGNGTFKWPDGSLYIGNWSKDPKDQNGTYYPAGSTLLDGNLEWDPQEVYNVDLKDCKVCPSEKVSILPSNKKLAMWRSTKASDSSTRPRRMSVDGRIDAGIDKEFARIPTPDAAAGRHSSAGTSDRNGMDESFAGLQIEDVSTRGSPIRIPKVVKKQGETISKGHKNYELMLNLQLGIRHSVGKPGPPPSLDLKPSAFDPKEKYWTRFPPEGSKNTPPHPSCEFRWKDYCPKVFRTLRLLFKVDPADYMLSICGNDALRELCSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLLRMLNAYYNHVRAFENTLVIKYFGLHCVRLNGPAQKKVRFIIMGNLFCTDYTIHRRYDLKGSTFGRITDKPESEIDANTTLKDLDLDFIFRLQKNWFQEFRRQVDRDCEFLEQERIMDYSLLVGLHFREATNSCDHTPPGCVTPTDNGGAEESLPRLSRADMDQLLLDPAGWASIRLGVNMPAKVERTERKNDGEIQLVGEPTGEYYDVIIFFSIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPKQYSRRFRDYIFKVFAEDI >CDP09602 pep chromosome:AUK_PRJEB4211_v1:6:21565354:21567647:1 gene:GSCOC_T00029037001 transcript:CDP09602 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKQEKTSLMAICGMGGVGKTTLVKQIADQVKFEKLFDEVAMATVSQSPNMRNVQDQLAEQLGLKITKQTDLARAKRLYTRLTGRDKRILVILDDIWKELDLKSLGIPVKGECKSLKVILTSRSSDACRDMGAEIFEVNVLPKEEAWLLFKEVAEISDDFALSGVAKQVAEECKGLPLAIVVVAKALKRNHTPESWDRPLRQLRKDRMGNLRGVQDLVFLRIEWSYNHLGTDEAKHLLLLCSLFPEDYSIPIEHLVRYGKGLQLFRDTENLIDARDKVDLLVDELKSSHLLLNDAEKEDSVKLHDVVRDVCLSIASKDEHEFLVSNSGLGEKNSYTAISLILQDSNHDLLPFCKEYPRLRLLRLVVQSGELNLSEDSFVGMEALRAMELNNSSIEFPLLFKSEILDDRFPAEIGQLSNLKLLDLRVESSLHPLSSGILSSLKKLEELYLGSGDHLQLGRDKEEEIGCLKEISSISNLACLQIILYDLNLFLLSLQEFDTQRLSRFDIAVDNYERAMANLSINYQFRKSFELYLLDHGDEGLKKLFDPNVTSIVKRTENLTLDLPISSCLRNLVPDLGENGFTNLKKLRLDGGQYECLLKEICNGFLPSGCFSQLQEVRLYSISALECLWKGSVEPPSLCNLRSIEVQYCHQITTFFSQSALKCLVKLQKRKCLNYPNSKR >CDP14228 pep chromosome:AUK_PRJEB4211_v1:6:37268827:37274854:-1 gene:GSCOC_T00040501001 transcript:CDP14228 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSQILTCIITVGAFGKCLSDQRLTLLQFRDGLLFNSTLSNKLVHWNQSSDCCSWGGVACDVVGHVISLELDNETISVKNADWKSLFTLRHLERLNLGDNNFDSIQIPEELSNLTRLKCLNLSIAGFTGQIPLGLSRMKSLVTLDLSNRFPDRRIQIKNIPGGLKAVFNNLTELRELYLDGVNISAEGRLWCDVFSSLSKLQVLTLTSCHLSGPITSSLLDLSSLTTLTLDNNNLSTVVPQFFSSFTNLTSLSLVSCNLIGKFPEKIFQLPTLQRVLLSDNPFLRGSLPEFPNQGSFTEIILSETNFSGSLPDSIGNLRALSRIDLWTSNFSGPIPANLSNLENLVYLDLSYNKFNGSVPSFQMSKKLVHIDLSHNSFTGNIPLSLFTLPSLQKLQLSNNKFDGQMNEIPNASSSLLDVLDLGSNYLGGSIPKFIFELKRLTVLSISWNTFSGTVSLEMFQGLPHLSELDLSYNNLSIDARQIPNWIWDVGGGYLEQLNLSFNLLAEIQKPYTIPSDLLALDLHANQLRGEIPTPPLQFIYLDYSSNNFNTSIPPNIACLLGMEFLGVLNLGENNLHGLIPNTFPDKCGLRTLDLRMNALERKIPRLLQNCKQLQVLNVGKNKMEDIFPCMLMKATDLQVLVLRSNRFQGETVCPRYNHSWTMYKVIDFSDNKFHGQIPMTIGELKRLYILNLSHNSLTGMIPESIGDCTQLGVLDLSMNQLTGMIPVKLAGLTFLSFLNLSFNQLSGEIPVGRQLQTFTDASFYGNRGLCGFPLSISCNKSEANGFLRCIHFSTYICIFFNASFEFGGLVSLRTLILKLQVQDKHGWDFAA >CDP03145 pep chromosome:AUK_PRJEB4211_v1:6:9334990:9339830:-1 gene:GSCOC_T00041641001 transcript:CDP03145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory protein NPR1 [Source:Projected from Arabidopsis thaliana (AT1G64280) UniProtKB/Swiss-Prot;Acc:P93002] MVTRTVFSDSSDVDISGGSSSICCAGANTESSLSPESDVVSLQRLSHTLESIFLSDASSSPDCLDFFADARLVAPGGREIPVHRCILSARSSFFKSVFSGAKEKTNAAAKVELKELMKERDVSFDALLTVLAYLYCGRVRPSPKDVCICVDDECSHVACRPRMEFMAEVLYASFIFQVPELIAKFQRHLLDVLHKAAADDVLIILSVANICGKSCERLLGRCIEVIVKSDVDMITLDKALPHHIVKQIIDARRELGLQGLDTSSFPDKHVKRIHRALDSDDVELLKMLLKEGHTTLDDAYALHYAVAYCDAKTTTELLDLALADVNHRNPRGCTVLHVAAMRKEPKIIVSLLTKGASPSDLTSDGRKALQISKRLTRAVDYKKPTEEGEASPKDRLCIEILEQAERRDPLLGEASVSLAMAGDDLRMKLLYLENRVGLAKLLFPMEAKVAMDIAQVDGTSEFPLATINKNVAEAKRTTVDLNETPFKMKEEHLSRMRALSRTVELGKRFFPRCSDVLNKIMDADDLSEIAYMGNETPEERQLKKQRYLELQEVLTKAFSEDKQEFDRNNNLSSSSSSTSMEMIKSDMKLTFRK >CDP06255 pep chromosome:AUK_PRJEB4211_v1:6:24205990:24211264:-1 gene:GSCOC_T00022979001 transcript:CDP06255 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSIFTCKASSFPSTTFKVLKEVKINIIKMELSITRPDDWHLHLRDGKLLEAVVPHSAHHFGRAIIMPNLKPPITTTAAAMAYRESILKALPINSGFTPLMTLYLTDSTTPAEIKLAKESGVIFAVKLYPAGATTNSQDGVTDLFGKCMPVIEEMVKHNMPLLVHGEVTSPEVDIFDREKVFIETVLSPLVQKFPQLKVVMEHVTTMDAVKFVESWRIAATVTPQHLVLNRNSLFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKRFFLGTDSAPHDRLKKECPCGCAGIYNAPVALAVYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTSKITLRKTPWKVPESFSYASGHVVPMFAGEMLDWLPSPV >CDP15288 pep chromosome:AUK_PRJEB4211_v1:6:3562416:3565301:-1 gene:GSCOC_T00042960001 transcript:CDP15288 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGSKHQSISHSSRTDESLMEMASGPYGCSHYKRRCKIRAPCCDEIFDCRHCHDELKNSIEVDPLRRHDIPRHEIKRVICSLCNTEQDVQQKCVKCGICMGEYFCSICNFFDDDVSKNQYHCDRCGICRTGGKENFFHCNGCGCCYSNVLKDSHTCIERAMHHNCPVCFEYLFDTTKEITVLFCGHTIHLDCVKEMERHFKYSCPVCSKSYCDMSRVWEKLDEEVASTAMPQIYRDKMVWILCNDCGETSEVNFHIVAHKCLKCSSYNTRQTRGGPASCSSRIQEMVG >CDP15390 pep chromosome:AUK_PRJEB4211_v1:6:4417898:4422139:-1 gene:GSCOC_T00043105001 transcript:CDP15390 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNVLLLCFCYLSLATFINGANDNERKTYIVYMGELPEEGISTVDEHHNLLQETIGNERIARESKIHSYGRSFNGFVARLLPHEAKSLSQKKGVVSVFPNTVQKLRTTRSWDFIGMPETVERNHQVESETIVAVLDTGIWIKSPSFNDEGYGPPPPKWKGKCAKGANFTGCNRKVIGAQYFNLGGGASDGPISPVDLVGHGTHTSSTAAGVPVRDASLFGLAEGTARGGVPSARIAMYKVCWGVGCDDMDLLAGFDAAIADGVDIISVSIGGPSRRFFEDPMAIGSFHALKRGILTSCSAGNSGPDLQSVENVAPWIMTVAASSIDRQFVSTVKLGEGDTISGVSINTFTPTKQMYPLTNGDRAANSSTANYGNVSACDYGTLSASKVRGNIVFCRGRGQDSTIQELGGVGVIMSADQYTDMASACLIPATTVNVTDGELIDHYINATKAPKAVIDKAVTVNMTAPFIASFSSRGPQVIALNVLKPDVAAPGLDILAAYSQLVSLTGDTSDKRIVEYNILSGTSMACPHAAAAAAYVKTFHPKWSPAAIKSALMTTAKSMKIKPIGLALASGAGQINPRKALDPGLVYDLDVNSYVSFLCKEGYNDTAITAITGDRTSNCSSFPPAKGTDGLNYPSMHLQLVDPTSNNTDISAIFYRTVTYVGEGKTVYRAKVKSPKVLSVTVVPKVLSFTKQHQKRSFKVMVKGNFVRRKSWLMPASLVWSNCRHNVKSPILIYRPPV >CDP06628 pep chromosome:AUK_PRJEB4211_v1:6:2225596:2228797:1 gene:GSCOC_T00023542001 transcript:CDP06628 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLT1 [Source:Projected from Arabidopsis thaliana (AT2G37570) UniProtKB/TrEMBL;Acc:A0A178VWH9] MVDSLLTSLSMENHHPSTLLSMDSSASSSHDELDLEMNRQVVLPRPPPDINLPLSTERNSPQSWNPEHCDILDVGLGSQMYETETFLTVPKVGRKCAKRGDSIWGAWFFFSFYFRPVLNEKSKAKITRDGNGLSGFDKSDLQLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFGRSHRMQRKHYRGLSNPQCVHGIEVVPSPNLLGLDEDERKRWAELTGRDINFTIPHEASDYGSWRNLPSTEFELERPPAIIKNNSHSQSKKLLNGSGLNLSTQPSSHSNGDSMDLSPVSGKRRKDFFSHGNEEDCYLTVNPPDRIPDLETHPNEPHWLNEFSGVLRHVYGPVTAAKSIYEDEEGYLIIISLPFVDLQRVKVSWRNTLTHGIIKVSCVSTSRMPFIKRLDRTFKLTDPSSEHCPPGEFIREIPLSTRIPEDANIEAYYDGTGTVLEILVPKLREGPEEHEVRVCLRPHFAGNDLMLT >CDP16112 pep chromosome:AUK_PRJEB4211_v1:6:33674972:33685725:1 gene:GSCOC_T00017162001 transcript:CDP16112 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAFTFIQKYKHYLCICWPCITIKPHGSSRSTCFPLVCCYRYVILRTNQLSLELFYFLFVSALGFLILKAIKPSSPDFQPRNLDLFFSSVSACTVSSMSTVEMEVFSNAQHLMMTFLMFIGGEVFTSMVGLHLRGFKPLKSGKLALQAPISRNTTNVSLYSIHEVELGDIPVTRRDTNASLDCIDHHMKIDSIKFLGIVVLGYLLVFHFLGVTLVFGYINIISSAKDVLKNKGLKNFQQKFRSPSNSHPTNPLWEYIISFLFKVLYTVFGEIFLENRSPVFAEEHKIHWLFAMLFALASKHSFITLGGYSLGIDFATIHSFCSLESNSSALSGLNTCQKVVGLLFQTTNARHTGESIVDLSTIAPAILVMFVVMVNLPPYTSLLPTKFGENSSQEGKVRNKRGAWLAENVIFSQLSYRSHLHHRKEKVERGSTKFQCLEHHFGSYKVSAIIPEIKAAQSLWQCLWKCGLLNKI >CDP06780 pep chromosome:AUK_PRJEB4211_v1:6:3398665:3406767:1 gene:GSCOC_T00023746001 transcript:CDP06780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MSALCSRFPPVFHSLTSYKLSSLPNHNYLPAYPHRNRLRTWASVSKKPRGSRRVKSNEDLYNDIREFLSAVGLPHDHVPSMKQLSEHGRQDLANIVRRRGYKFIRELLTRSAEMQINISITEEKLTGGQHIPSGGEGHHEKVKDSCEDTSLLSEANEIKEHEKSLVEDAQTNTGLDSDKDDSCSPESSIYPSMQDKVAKFIQDGELDDVEDSGFDILNERTSQDSTAIAQSPYAIESNSISVLGQQNDPVLNSADTVNGNMASSSHQVEHRVLETSSSRIDSHSSEEANIIDFKEDQEIEAQNLGNQAEINHLKFILHQKELELTQLKEEIEKEKVALSILQTKADKEIIKAQKLILEKDAELQAAEESLSELKEASSLFPVEIQYWGEGEVVEVAGSFNGWHQKIKMDSQPSSSLSDPNGSRKSRLWRSVLWLYPGVYEIKFIVDGHWTVDPQRDSVTRDTLHNNILRVVR >CDP17393 pep chromosome:AUK_PRJEB4211_v1:6:31128072:31129037:1 gene:GSCOC_T00008029001 transcript:CDP17393 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSSLLMLFCHFEPTEYLYLWDNNSQQICELLFFFPIHWGCYSIKSVQDYLIFQQEGKFYCVKESSDSLKMTFYRRLMLCCCYSIIGRHFYIHLFVSANSFFCKLKEVK >CDP06457 pep chromosome:AUK_PRJEB4211_v1:6:876910:881476:-1 gene:GSCOC_T00023317001 transcript:CDP06457 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS1 [Source:Projected from Arabidopsis thaliana (AT3G08970) UniProtKB/TrEMBL;Acc:A0A178VJB6] MKASLAMPIIVSVSIFLFAVESKTLDPYKVLGVDRSASQREIQKAFHKLSLQYHPDKNKNKGAQEKFAEINNAYDILSDEEKRKNYDLYGDEKGSSGFDPGNSGQHGGYTYFTSGGPGQSGFTFRPGDWQNMGGQGGSKSFSFSFGGPSSQSSFGFGLDDIFSNFFGGDRGGSHFGGFGGSGRSQYGGAGSTPKSIPPVNSLVYQKEISDKGITWLLLSYKSTSRGMQYYESVIGEVAVSLKGALKVGSVNCDTETSFCKELGIHPHRTPRLLVYSYKSSESGTLVEYNNDLDAKNLKSFCQDHLPKFSKRVNLDHFDFASETVGVLPKVMLLSTKRDTPVIWRVLSGLYHKSFVFYDAEVHDVSDPMVRRLGVDALPAIVGWLSNGEKHNLKTGISVKDLESAIQDLSALLDKFEKMNEKAATAKSKKDGTKPDDGKIPLLTPYNFGDICGQETPVCIIGAFRSSKARDNLEHILRMVSQKSLSRRRDVAFGSRDSVSYALLDAAKQQSFLTALDKSGFKSADQLLVAYKSRKGKFATLQSGLTTEEAEKFISSVLNGDVQFTKIRQKPTLK >CDP06525 pep chromosome:AUK_PRJEB4211_v1:6:1447774:1451846:-1 gene:GSCOC_T00023409001 transcript:CDP06525 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLRKPSSEAGGLKPLPADTVTVACPDHLVLADLPVAKCLGSPAATALVKIVGRRSRRQLGERVHFCVHCDLPIAVYGRLSPCDHVFCLDCARSDSFCFICDERIQKIQTIKLMEGIFICAAPHCLKSFLKKSDFESHILETHGDLLHPNAEKEGNDSEAASMRKSTASDSTVQAPPRPMFSMHSNSQVHDREAHRPQLRDQSISRPFMQPKVMPSFPGQIQNHSSEQLLDNNAPPGFDRLGSQNRFTQQAFDSPGGIRQDSSQIPEKQQGIRAESPFPEYPMQALQSPGFAGPVNLNPGLVPPQFVYPHFMPDGAQPFYGAPYDMARPESTPEVGSEQGPLLGFPPGPAGSINFAESYPRPWSLGPGVGNFETSPTGPGSVEGFMNNTASDPQGRPAILQGDYGRNPAALLSNLPLHPSTNKGMESGQSGTSMDARDGKGILAQPPLPLPPPPPFPPHLAQIKRGKFYSNETNNDGQGFGWPNEKRDSFVSNQE >CDP10140 pep chromosome:AUK_PRJEB4211_v1:6:13848799:13853634:1 gene:GSCOC_T00030757001 transcript:CDP10140 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAVPILGSCSSASSSGLVASLSQRLKLKKKKKAILLHPSCACGFSLISRTSIAPKTISLSSRKSTSPFLLPAHKYNQKGYFCNFRVSGMSLAHNESPPDGPSSSSPSSVTIHSSTGSTRKINFCQSCGGPTKHEIPNGEEKMREVCTVCGKIAYVNPKMVVGCLIEHEDKILLCRRKIQPSYGLWTLPAGYMEMGESAADGATRETWEEANAEVDILSPFAQLDIPLIGQTYIIFLAKLRRPHFSPGQESSECRLFALDDIPFDSLAFSSMLVTLKLYIEDRQVGKPKFHYGIINKRPGTSPSEIYAYTLDDHMKS >CDP06626 pep chromosome:AUK_PRJEB4211_v1:6:2215333:2216387:-1 gene:GSCOC_T00023540001 transcript:CDP06626 gene_biotype:protein_coding transcript_biotype:protein_coding MDITPKIRFHHGGLEISPLLVGLLGVMAGALIVATLHCIMVRWCCDTESERSPARRRTIPTAPANSAAADYNREQATSSSGSFSNVLPVIITSNYNDSTSKEYSKEDDDICAVCLSEFNVGDELRVLPECTHTFHGSCIDRWLHSHRNCPLCRAETLPS >CDP03185 pep chromosome:AUK_PRJEB4211_v1:6:9026309:9032669:1 gene:GSCOC_T00041689001 transcript:CDP03185 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKQKSVKGRVLGVSERVVLVAVKFSLDIRRNALLWALTHVAQPGDCVKLLVVISPHGSSKKLWGFPRFHSDCAVGHGHGALGTRLDQKDYITDSCAQMMLELHQYYDPDRIKLKVKVVSGSELGVVAAEAKKAQTQWVILDKGVKKEAKCCMDQLDCNVVVMKKSRPKVLRLNLIGTSSIEAVGLSGSEAYEMHVEKDSDRWNATQVPNVTPVSSPEHTSFTATDIEASSKSSLDLGSSPKFISEIDWRLKKDWFSYHKDNHDVDESDSDTDSEKLTSPSTSVNSHQWVADILSSAQEYSKYCKKNFQNFNRMLNPTFESLDRKISVPDRETAVGVPKHGLDVDLSANVRDAVSLTTKSPPDPPPLCSVCQHRAPVFGKPPRWLTYAELEQATGGFSQANFLAEGGYGSVHRGVLPDGQVIAVKQHKTASTQGDREFCSEVEVLSCAQHRNVVMLNGFCVEGGRRLLVYEYICNGSLDAHLYGRKPNPLDWSARHKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILLTHDFEPLVGDFGLARWQPDGEMGFETRIIGTFGYLSPEYAQSGQITEKADVYAFGVVLIELVTGRKAVDINRPKGQQYLTEWARPLLEEYAIAELIDPLLRSCYSEQEVKSMLRCAFLCIQRDPHSRPRMSQVLRMLEGDGFMN >CDP06598 pep chromosome:AUK_PRJEB4211_v1:6:1984226:1985417:1 gene:GSCOC_T00023506001 transcript:CDP06598 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQPTPWSADELVGELLDNESPFFIIPDQVAEASNLDVASNHSPVYNRLMSAVYSGPTMQDIESALSVTKYRNQTEHVSQARVSMLDRDLSRTHENNYRYTLRIKSCDNALADDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCGAKKQVERCSGDPETLIITYEGLHLHFAYPFFPCNRPQQQHVNSPAKKKQRKPTSSSNSQGRNDQEAQRSVTSSINDAEESPDNVTHSSPKGTEGDYSPQGLLEDVVPLPIRNPLINPTSVNSSSSSSFPSTPTSPSSISWPPGYSTLGFVGF >CDP06381 pep chromosome:AUK_PRJEB4211_v1:6:221206:223765:-1 gene:GSCOC_T00023206001 transcript:CDP06381 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSQIDITCGTLLLQLLFILFMYLDTSNADSPKMEDEMNIPWLHSSNNNDFYFGLRNFGVMEDEGWTMVQKHGNQFMVNGQPFYVNGFNTYWLMVFAADQSTRAKVTEVFQQASSVGLTVCRTWAFNDGQWRALQKSPAVYDEQVFQALDFVISEAKKFKIRLILSLVNNWEAYGGKSQYVKWGKAAGLNLTSDDDFFSHPTLKGYYKAHVKMVLDRVNTVNNVTYKDDPTIFAWELMNEPRCESDPSGNKLQAWLEEMAVYVKSIDPKHLLEIGVEGFYGPSTLNRVQFNPNTYAQQVGTDFIRNHQILGVDFASVHIYPDSWISQSISDAHIDFTKAWMQSHIDDAENFLGMPVVFGEFGVSAKDPGYNSTYRDTFLNTVYKTLLNSTKMGGGGGGSLLWQLFPEGTDYMDDGYAVVLSKSPSTSDIVSLQSRRLKTFNSLCSWRCHWGCKKHHHRHPGTGSTLLYHDEL >CDP06214 pep chromosome:AUK_PRJEB4211_v1:6:22858556:22868553:1 gene:GSCOC_T00022903001 transcript:CDP06214 gene_biotype:protein_coding transcript_biotype:protein_coding MTRISPEFGGEVEMQTIRSLSADVSFACDQFPKYKLGPDNQVLEEIEENAKGPPLKEVILQETTELTEQHKRLSVRDLASKFDKNLSAAAKLSNEAKLREVASLEGHVLLKKLRDALEFLKGRMVGRNKEDVEKAISIVEALAVKLTQKEGELIQEKFEVKKLLNFLKQASEDAKKLVNQERSFACAEIESARAVVQRIGEALEEQERTCQTSGKQEMEELMEEVQEARRIKLKHQPSKVMDMEYEVRALRIEIREKSSISFNLQKELLMNKRAEENKFLPYEISGSETLGSILRVQPCSNEATELTKCSIQWYRLSSECSRREPILGANKSVYAPEPIDIGRVLQVDITSNGQTVSVTTAGPIDPAAGLENYVETLLRKSNSEFHVVVSQMNGRNYSSHSTHAFHIGKMRMKLCRGWITKARDSYSGSMQLCGFRGGGNSAAKSLYWQPRKGQTFVLVFESERERNGALMLARKYALDSNVMLAGPDDDALV >CDP10113 pep chromosome:AUK_PRJEB4211_v1:6:14228572:14231473:1 gene:GSCOC_T00030725001 transcript:CDP10113 gene_biotype:protein_coding transcript_biotype:protein_coding MAETILPFVLRQLSTFLREEGQLLGGLRQEVQFIMDELGHIRAFLREAEEKEEDAQPRLQEWIKQVREVAYDIEDFLDEFGARFPRHPTTGFHGSVRRTFSSIKNLKARHRGASKIQSIKSRIKSISEGHQRYQSEFGISTQASNSLSAANNTTWRYSRDDALLVEEAKLVGIEQPKKHLISQLLKGDDCQLKVVSVVGMAGLGKTTLVKKVHEDPDVISHFPVRAWVTVSQTCDFQDLLKDLIRQLCKEGKKPVPQLIESMTTAELKEFIKNFLQQAGRYAIVFDDVWDVEFWNTIKFALPESSRGNRVMLTTRKADVASASCIESRGLVYKMEPLSIEDSWTLFCNKIFNGDNCPGHLMDAAKGILDKCAGLPLAIIAISGLLALKDVNRIEEWEKVRRSLGGELEGTGKLDRVKKILCLSYNDLSWQLKTCLLYTSVFPEDYKIQGVRLINLWIAERFVEWREGMNIEDIAWGYLSELVNRSIIQVTRVFYEGIPRHCQIHDLWREIIISKSREQNMATITAGQPTRWPSEKVRRLVVHSSRSNNTQHHQQRPNYCFDCLRSFITVGSMDPPLFKIGQATLEKIPNEIFNLFHLNYLNLFGTRVERVPKAIGKLQHLEYLNLGNTRVRELPMEILKLQKLWFLKVFQLVDSSDDDYGFHGFKAPSNMGGLLGLEILECIDASGESTIIQEIGKLTQLRELIITKLKREDGKELCSSLANLTNLRELTVNSVGKGDDHEILDLNHHYSSLSSSSSSSSCSFLQSLHMLILLGHLEKMPKWVVHLHSLVRIDLNWSRLRGEEDPLESLQYLPNLHSINFCGSYQGEGLCFKVGGFLKLKRLHLKSMEGLRWMRVEEGALPHLQRLILEKLPLLEELPLGIQHLSHLQQLFLYEMSSQMMEKMENQKEESEGYTRIEHIPEIVLGYNTNDGKWRHRRLWAKNKKTYNLS >CDP06533 pep chromosome:AUK_PRJEB4211_v1:6:1508414:1510894:1 gene:GSCOC_T00023420001 transcript:CDP06533 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGNHNNNNNSNTSGGGSYRSRKSENMTIGKGKVTAVQIAFIVDRYLSDNNYAQTRSTFRSEASNLISKSSVQEAPKSLLSLGAMLDEYICLKEQKVSLEQERFRMELEKVRVHNLLKGLQDAMNAFNATPHDLTPVPPLPRPPPAFPTSRFIPPNTDQPPFGSPPGGYHSAHKSPAVISTSKPSITSEDTPSISSDIRNHPVIKRKGSKDVSDATVTAKRSCRGSTNKQLLPKDAKGHSQPSMANNHQVISPKHPVAQSLPCPNMLRGSQVERSNAVESSHVVKCLFNQAAQSPTANSSGPKTPPLESFPQAEKSASPLEICSITTSGKDVTPQQITSTNCTMISSETIRVSPTKQISYHSISSNQHIYTSSPVKANLKKPIKRDHVKGRLDFDAPDKHTASEKPDCNDIPSSECVKEADILDLDLPSLDALENINLSELLVDFDLVDEETIYSTEPAGDSSPDSTSWSPGKSQKVNVGANQVITQLSSTVTEILAEKDTTLSGLDSVTTVKSVTKTIKILSPGNFFNWVVAFVFSHILVTRHRMANSFLVIWQPRVTGAVWMGKICLPKPDWNTIRWTIN >CDP03122 pep chromosome:AUK_PRJEB4211_v1:6:9544329:9547129:1 gene:GSCOC_T00041613001 transcript:CDP03122 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDGDSPPFWSQPSTNAIFQHQRLRRRPPSPIFNPVSLILLLPIIALLVIFFLLPPFLTHTTQILRPTYSVKKSWDSLNILLVIFAILCGVFAKRNDDVSAAESSHDDERVAAASDTTAQQAESSTNQWFNTPLVGLGGTGVNRLRRSSSSYPDLRQGPLWETGETRHRFTDDFEVYRHSRRTATEELHHRPRRSGAEKEETDVKVIPVDTFEVHSARPPSPEPPPKPATPPPPPPPQPPPPARLKRRRSLHSVPPRKEKLGKPRVEPKVTEAELEESPLRPPPQSFAPPPPPPPPPPLEFEQIPEQKLQRRKSGTAKEITTALASLYNQTKRKKILKKRNNSESSSESSPPSVHSSVPPPTPPPPPPPPPPLPSSRVFQNLFKKSSKNKRIYSLSGTAPPPPPPPPPPPNSIFNNLFKGGSKKQKLQRRKSGTAKEITTALASLYNQTKRKKILKKRNNSESSSESSPPSVHSSVPPPTPPPPPPPPPPLPSSRVFQNLFKKSSKNKRIYSLSGTAPPPPPPPPPPPNSIFNNLFKGGSKSKRFNSTSSAPPPPPPPPPPPSSILNTLFKHGSKSRRFKSESSSPPPPPPPPPPPPMQQMTSNRKPKYTQPQTPTTVPEPFRRRPSSTGKPPLPAKTSIYYEDYINSGAQSPQIPTPPPPPPPFPMPDFKFVARGDFVRIRSAHSSRCSSPELEDVDVMSVKSSEAMDGADSIGQSVFCPSPDVNLKADNFIARLKDEWRLEKMNSMKGVHKTDHGPSPEFETKLLSSTSLWLRVCRLCVIHGWQKNCCSGCVFCSKLEQLLAEDASYILSCLV >CDP10528 pep chromosome:AUK_PRJEB4211_v1:6:5803865:5811447:1 gene:GSCOC_T00031283001 transcript:CDP10528 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQPTKSIKTSPNIEKEIKPMDNVGNSSKNELGITEVEMIDLGETLSVQTETYKADASVASEVKSEDLKEVKVEAIDCIKTSPSFKSSVKGEDEEELCVSSGKHVSEVLVAGQNAGKESQGIQGEFKNQDVKFVKMESGDLVADLNTGFVKQRQGEGEELVSELVENNDVGLNGGVASSLETEALGGEGGEVVKEVVENNDVGLNGGVPLSEEMEALERKKRRRTEVFLGGLDKDTREEDIRKVFEQVGQVTEVRLVMNANTGKNKGFAFLRFASAADAKKALEKYSAIKICGKQCGVRPVAGNDTIYLGNINKMWKNEDVVKLLKDARIEKIDKVTVMTDPRNFEQNRGFAFIEFETSKDAQIALSKLQKHDVFINQMKMKVAWAQPLVEPKEEDMLKVKSIYAEYLPSSWDEEKVMGFFRRFGEIESISLAKNLPSSKRKDFAFVNYAVREAALACVQAFSRGQLDDEGSELRVKVSLAKPNLKGMQKKHVSGTTGKELNEKRKASESIIKVREPRKKGKPATSNLEGTRVNRTASATDELLLLLRQQALAGQRQRASAGLSNLNYQYALPGGEGPLSALGSRLLPSDPRGFPHRYMDAPHLSRSPSAVSHPVGLVSLPYSQQPRTRHILEPETSYGFEDNPHVVMRQQANYFGRSGMYRRCRLSQPFSLFE >CDP10504 pep chromosome:AUK_PRJEB4211_v1:6:6017349:6020097:-1 gene:GSCOC_T00031251001 transcript:CDP10504 gene_biotype:protein_coding transcript_biotype:protein_coding MASITPLSAFKQPIPTFAPSISYRQQLDCMPSSSSSSSIYPTACFYLSRPQGPCSISVAFNPSGNYDLSLYDDDEQAISIFALALLRVLTDNCRRGKGTEQSCSVYLSTIREQADLPPPIPPTEGRYEVVIDNDIIRRLDLVPFQSATGITSPSDAKPKELLERTIGFTVNYTREDQNDPRELSEFPDIRLWFVRLDAAYPWLPVLLDWRAGELARYAAMLVPHQMSMKKGVVFNPEALALFVMKKVFIVYSWLKENDIPMPRLKTKDMARMLGFGIGEELFDLIDEQSTSPS >CDP15771 pep chromosome:AUK_PRJEB4211_v1:6:26213138:26213856:1 gene:GSCOC_T00015847001 transcript:CDP15771 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIHKIEETLGVGGHKDDHEKHKEGEKYHHGEQHKKEGHSGEHKEGVIDKIKDKIHGEGGEHHEHKDEKKKKKKEKKKHEHGHEHGHSSSSDSDSD >CDP03305 pep chromosome:AUK_PRJEB4211_v1:6:8029076:8029945:-1 gene:GSCOC_T00041845001 transcript:CDP03305 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDANIVNLGLWDTAGIFFFHH >CDP10365 pep chromosome:AUK_PRJEB4211_v1:6:7127347:7132855:1 gene:GSCOC_T00031074001 transcript:CDP10365 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQSQEELLAAHLEQQNIDPEEPVIEDDDEEDDEDDDDDKDEDDVEGQGDGSGRSKQSRSEKKSRKAVLKLGMKAIPGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVLFGEAKIEDLSSQLQTQAAEQFKAPNISNVISKPEPSTVAQDDEDVDETGVEPKDIELVMTQAGVSRAKAVKALKAADGDIVTAIMELTN >CDP02830 pep chromosome:AUK_PRJEB4211_v1:6:12613638:12620858:-1 gene:GSCOC_T00041219001 transcript:CDP02830 gene_biotype:protein_coding transcript_biotype:protein_coding MQADQTVISLRPGGGNRGGSRVLGPRFETSSINNSNANSSDLPFFRPHGGAGAGGAPLSSFKTGETRFEGRERIRYTRDQLLQLREVVSIAENILKVKKDVEAEFFGEDPSWGHVESPSQNQPLSRYSEPDNRDWRSRSTQFSAPTEERSWDTIRENREFGARQQEVNQYNRQEQLNAQFARAQISSNQGGGPSPALIKAEVPWSARRGNLSDQDRVLKTVKGILNKLTPEKFDLLKGQLIDSGITSADILRGVISLIFDKAVLEPTFCPMYALLCSDLNEKLPPFPSDEPGGKEITFKRVLLNICQEAFEGADKLREEVRQMTAPEQELERRDKDRMLKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGKDTKSCPAEENVEAICQFFITIGKQLGENQKSRRINDMYFNRLRELGTNPQLAPRLRFMIRDVLDLRTNNWVPRREEVKAKKITEIHSEAEKNLGLRPGVTATIRSGRGPPSTGQGNISTGGFPINRPGTGGMMPGMPGTRKMPGMPGMDNDNWEVPRSRSMPRGDGSMIQPAGRVQPPLVGKSPSLNQRFLPQGSGGLISGRTSALLQGSGVPPVRPTYGFGMEPTPEVSASVRAPPTPSVAAVADKPLAPTVKMNADELRRKTISLLEEYFSVQLLEEALACVEDLKSPAYYSEIVKEALSVGLEKSPPCVELVAKLLEYFFTKKVFTASDITLGFQRYAEMLDDLAIDLPRAPANFGEIVGRSILAGELDFKVVSEILKKVTDDYFQKAIFTSTLKVVSSSPNGKLILDSQALDIRACEALFQ >CDP03223 pep chromosome:AUK_PRJEB4211_v1:6:8793953:8794838:-1 gene:GSCOC_T00041732001 transcript:CDP03223 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYVDFFLGGDEKRDDLPPCLHQRFPMSVVFGGDGSYMAPFSLQSDNILTCLISQSVPPTIWYRLVAGLNAHLRLVRRGHFRATFNPVICWLETHANPTLKSHGVRVDLACFQPSASGYRQFGLLVCTIESEALRSAVDRPDKHSLLEKQSSLPGTRWRKALDLVRVNEPAISHKRISEEILITKNLQMLNEKMTISFPFYYIIRNTKPIGHQACFYLPNHLL >CDP10554 pep chromosome:AUK_PRJEB4211_v1:6:5622549:5624475:-1 gene:GSCOC_T00031314001 transcript:CDP10554 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPHIVEDLEGVVQLYSDGSVFRPNDVDFNIPIHDDSSIVWRDCQFDNQNHLYLRLYKPVNASTKLPVVYFFHGGGFCVGSRTWPNNHNACLRLASGLQALVVAPDYRLAPEHRLPAAVDDALTSLKWLQARATADGAPAQDTWLHDQAVDFDRVYIMGDSSGGNMAHHLAVQLGPGSPELAPVHIRGYVLMAPFFGGTVRTKSEAEGMPEPILNVEILDRFWRLSLPPGKNADHPLANPFSPFSKKNLESVMFDPVLVIAGEIELLRDRVEDYARRLKEAGKKVEYVEFEGKYHGFFNNDPYSELGDRVLQEIKDWMSKISG >CDP14261 pep chromosome:AUK_PRJEB4211_v1:6:36748158:36752676:1 gene:GSCOC_T00040553001 transcript:CDP14261 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQSIGCTPEEAISVIGFGKFQGLILVFAGLGWISEAMEMMILSFVGVAVQSEWGLSPAEESLITSVVFAGMLVGCSFWGIISDAYGRRKAFIGTMIVVAVAGICSSLSPSYKLFLVSRCMLGFGVGGGQVFAAWFMEFIPTANRGVWVIALTSFWSLGSVLEALLAWIIMPRFGWRWLIGLSCLPSFIVLLLSGIAPESPRYLCVKGKMEEAQKILAKAAAMNKTILPPGMLILDRTAKIDEHYPLLDTSLLSSARERKCSPENYLSSLFMIFSSKLRKTTLLLWFLYFSNTFSYYGIVLLTSELSSNQINCSSSTVNFLKSAKDASLYRDVFITSLADLAGLVISAAILDRLGRKLTMKILCSLGFILLLPLVVHQNEILTTALLFGSRMFVMSSSNAVVIYSREVYPTSVRATGVGSATSIGRIGGMICPLVAVGLVRDCHQTAAIVLFEAVILLTGFCMLFLPIETGGKGLSDTTSLLNEE >CDP10435 pep chromosome:AUK_PRJEB4211_v1:6:6627623:6641125:-1 gene:GSCOC_T00031160001 transcript:CDP10435 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPRPLNESWDTMLPGPPSRNNGGAADLSPAGLLAYAAGSSVSIVDTHSMQLVATIPLPPPSTAATSATPSLSPFVTSVRWSPQPLPHQLLSPDSLNHLLLAVGDRQGRISLLDFRSKSTILNFETDAATSKLGIQDLCWIQTRIDSWILAALSGPSLLSLYSTISGRCFFKYDASPEFFSCIRGDPFDRRHFCALGLKGFLLSGTVLGDTENDVVVKELQIRTETSELQRLERDSSSGAGGNGAPALAVFPTYMVRFAFSWHWKHILYVVFPRELVVFDLQYETELSMAALPRGCGKFLDVLADSNMEVFYCAHVDGKVSTWRRKGGEQVHIMCMMEELMPSVGTPVPSPSILAVVVSQTDSTLQNISKLCSDVHSSFAVDFNNPFDFCDESLVISKTNMISISDDGKIWKWLLTAEGFGDGSTNSGPVRDKDLLEANGGTAAPSADDDPLNVVGPSNDVNSHRINPSNPTISQEEVLLKINLVGQLHLLSSTVTMLAVPSPSLTATLARGGNLPAVAVPLVALGTQSGSIEVIDVSANAVAASFSVHNSVVRGLRWLGNSRLVSFSYIQGTEKTGGFINKLVVTCVRSGLNRKFRVMQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKTPIMLRSLALPFTVVEWTLPTVPRPTQNGPSKSSSVSSKDQAAILPAGTSSPKASSAESKGASADGAEDEFSESFAFALVNGALGVFEVHGRRIRDFRPKWPTSTFVTSDGLITAMAYRLPHVVMGDRSGNIRWWDVTTGQSSSFNTHREGIRRIKFSPVVLGDRSRGRIAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPVRIEKNDPLVLCIAGADSSFRLVEVKLSDRKVGYESQNRSIKERFRPVPLCSPILLPTPHALALRMILQLGVKPSWFNAFYSTMENADYHVQTPSTTDLRSYMMDSPRVGDSVVPEMLLKVLEPYRKEGCILDDERARLYASVVNKGSALRFAFAAAIFGDFMEALFWLQLPNALNHLMNKLVKKSPTRVPVPSSTVELDDESMLSRISSKGKPVPGDVGKTKGQLRLMAFEQQELWDSASERIPWHEKLDGEEAIQNRVHELVSVGNLEAAVSLLLSTSPESSYFYPNALRAIALSSAVSRSLLELALKVVAANMVRTDRSLSGMHLLCAVGRYQEACSQLQDAGCWTDAATLAATHLKGSDYARVLQRWAEHVLRAEHKIWRALILYVSAGSLQDALAALREAQQPDTAAMFILACREIHADFISSLGSDEESSSLMKDKLPYLPGLNPENEDVLAVGEYYGQYQRKLVHMCMDSQPFSD >CDP14151 pep chromosome:AUK_PRJEB4211_v1:6:18209739:18211953:1 gene:GSCOC_T00040392001 transcript:CDP14151 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNNHCLSITPSSLVLLSIIGFITLILIRVLYIIHRSSQPRSASSQQKPLSTLIVLGSGGHTAEMINLLNVLQKNSFTPRYYIAAATDNMSLQKAQVLESSWLSEGGVEEVGSAKFMQIYRSREVGQSYITSVGTTLIALAHALWLMIKIRPHVILCNGPGTCIPLCAIAFIFKILGIRWSYIFYVESIARVRRLSLSALLLYKLRMADQLFVQWPQLKSKYHRAHYVGRLM >CDP14317 pep chromosome:AUK_PRJEB4211_v1:6:35926716:35930445:-1 gene:GSCOC_T00040640001 transcript:CDP14317 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSSLQQFHVLALCKFRNFIRVAPTQSLLNSHSNLKFKFKCLSFKEIHMEDQSPNSLKSHSRGALIVLEGLDRCGKTSQASRLVSFLTGLGHAVEMWRFPDRTTVVGKMISSYLSNRSHLDDRAIHLLFSANRWEKRSLMEDKLRCGTTLIVDRYSYSGVAFSSAKELDFLWCKTPEKGLLAPDLVVYLDISPEKAAERGGYGGERYEQLEFQKKVAQSYHKLFDASWKIVDATLPIEEIEEQLRDVALDCLVTCQKGKSLSQLWSC >CDP06720 pep chromosome:AUK_PRJEB4211_v1:6:2842455:2844155:-1 gene:GSCOC_T00023660001 transcript:CDP06720 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEQDFGDRVPPSFDSRNMGVETEAKGFNPGLIVLLLVGGLILAFLVGNYFLYMYAQKTLPPKKKKPVSKKKMKRERLKQGVSAPGE >CDP14324 pep chromosome:AUK_PRJEB4211_v1:6:35868008:35876331:1 gene:GSCOC_T00040650001 transcript:CDP14324 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRSKRLGDLQPAAQPAFQEENWLVQQPTQNRTRRRGGGRGRGNAAAVAKGPSAATPARPAAAGRGRGIRLIDLDPEPPCEVLPQAVAPGAVEPALNRVEGAADKDIAMDGGSADKILGAEEETSTTPVPERVQVGNSPIYKTERKLGKGGFGQVYVGRRVSGGTERTGPDAVEVGLKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPGVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMVACIAVEAISILEKLHLKGFVHGDVKPENFLLGQPGTPDEKKLYLIDLGLASRWKDASSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVTNMKFDEEPNYSKLISFFESLIEPCTTLRPIRIDGALKVGQKRGRLLINLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLRQHVDKGNEDGLYISCVASATNLWALIMDAGTGFSSQVYELSAVFLHKDWIMEQWEKNYYISSIAGAANGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWESGYRITSMAATADQAAFILSIPRRKMMDETQETLRTSAFPSTHVKEKWSKNLYIASICYGRTVC >CDP06740 pep chromosome:AUK_PRJEB4211_v1:6:3012373:3012698:1 gene:GSCOC_T00023691001 transcript:CDP06740 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPIFFGERPSGPILGARELAAPTSPPVTRTNTSTTWVGSNFGGISDPTAEAGAAAG >CDP10430 pep chromosome:AUK_PRJEB4211_v1:6:6664083:6665137:-1 gene:GSCOC_T00031153001 transcript:CDP10430 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKDSLVVMEILVVAAATLVVHTLAATTYHVGDTFGWQVPSGGYNYSNWATQHTFVAGDSLVFDFTTGAHTAAQVVQNAYDNCNTANPISNQVNGPATFTLAAGTSYYVCTIHCSQGQKLAVSVASSAGSPFGSPPPPSPPGSGTFSPPPPRSSATPTRAVAAASLIFLSVFAAFFC >CDP10574 pep chromosome:AUK_PRJEB4211_v1:6:5470761:5473186:1 gene:GSCOC_T00031337001 transcript:CDP10574 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPSISADTDHKVLIMGDKLVLRGLKFHGYHGVKQEERKLGQKFLIDVDAWTDLRAAGTSDHLSDTISYTAIYAIVKEVVEGPPKNLLESVAQLIASTTLSKYPQISAVRVQVGKPHVAVHGPVDYLGVEIIRYRSSDL >CDP03168 pep chromosome:AUK_PRJEB4211_v1:6:9117636:9119988:-1 gene:GSCOC_T00041669001 transcript:CDP03168 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDHSERAFSFRLSAEKQHSSATSYAEGKGRTDSTKTDFLVASIKKSKSVKYCEEENFNSENSGMWIFPNDTKHLCITTAGKRVMNLLGTGATEAKLHQKKNSSSSSGDTRKHGSDYEMKKREKESQSPRISRSESSSNDASGGLVGQVIKIAIIVGFGILVFFTRQREPRKSKRDTNDPFFTSSEYMDEHTTTAEQVD >CDP10510 pep chromosome:AUK_PRJEB4211_v1:6:5984265:5986652:1 gene:GSCOC_T00031258001 transcript:CDP10510 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTYTPTYYSSLQDSIASLCKNILPFSFKKRRLPAIAAAEQRLSKQQADNLKWQQDSFHQILKLMGLCKEGILPETEVSAFRSHLLDTLIASPLDHEHSAILRDKLLFLQELLYAKCISEDEYHSSKRPLLQRLAVQGAEIEARDVIVGAQKESSDEEWSVIDLKDEKCIVSKEGSNLKNKQKQGSAMKQIKGAVSVMGFGSSNKGATTKEDKGLTAPGAENSRPADRRYEVGLSTENPFWNSQFREKESETKSILMSESLPNESEKVEKQSGGDKGKRKPFRTLFQREQKEGHVSTNGDNGCGSEEKTKSGKKQWGFEGFKKWKKNDSDDETAPLALNEKSNCGIYNSQLVQSPVGEGPDTKQIKRKLHPDGAPSDFFVDKVLEDNIKKKLSQIRSELDAKNHNVHLSDDQIEAISTRLPVDKADLKQFFPKSWCDQYGDVVLDVVRKEFKDHVGQVSAGREKHSSKRWTTFDDDENCHPNLFAPEENFFHMKQDKSNALKKDHLYAAAAAAANSSIEKGFKYNPFFDI >CDP10171 pep chromosome:AUK_PRJEB4211_v1:6:13616121:13622915:1 gene:GSCOC_T00030798001 transcript:CDP10171 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLRVHLPSDIPIVGCELTPYVLVKRPDKSVITEDVPESTPLDGYFLRYKWYRIQSDRKVAICSVHPSEQATLQCLGCVKAKIPISKSYHCSPKCFSDAWQHHRVLHERAASAVNENGNEEEEIFGRFNGSGSGVINTNLTGTQANSSLANGATALYPAAVTQRGGETWFEVGRFKTYTPTADDIGHVLKFECVVVDAESKQPVGHPSTLHTSRVIPAPSPTPRRLIPVSGVEMPGHLDVDGRVSSSGTFTVLSYNILSDSYAANDLYSYCPSWALSWAYRRQNLLREIVGYRADIVCLQEVQCDHFEEFFAPELDKHGYQALYKRKTAEVFNGNIYSNDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSTQKKTALGRLIKDNIALIVVLEAKFSNQGIDNPGKRQLVCVANTHVNVQQDLKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHALLAMGKVDPMHSDLAVDPLGILRPATKLTHQLPLVSAYSSFGRAAGIGFEQQRRRLDLTTNEPLFTNCTRDFIGTHDYIFYSGMLKVNSDSLTVESLLELLDEDGLRKDTALPSPEWSSDHIALLAEFRCKPRTRR >CDP09597 pep chromosome:AUK_PRJEB4211_v1:6:21373003:21383629:1 gene:GSCOC_T00029031001 transcript:CDP09597 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGPSGFNNAPVTRILVIACVLFTIIFGIQGRSNKLGWSYEDIFKKFQIWKLILSIFGFASTPELIFGTYLLYYFRIFERQIGSNKYSVFILFSAIVSLVLEVLAMKIVKDSSLKTLTSGPYGLIFASFVPFYLDIPVSTRYRVFSLHFSDKTFIYVAGLQLLFSSWKRSLLPGICGILAGSLYRLNILRIRSMKFPEFIASFFSRLSWPSSGNIPPAAPARNTVGNMPSYAGRQGNYPAPVRSTVDPPEDSISTLVSMGFDRNSARQALIRARNDVNAATNILLESQAR >CDP06423 pep chromosome:AUK_PRJEB4211_v1:6:674534:678528:1 gene:GSCOC_T00023273001 transcript:CDP06423 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLDISTNVNLNGVDLDSVFSEATKTVAAIMGKPETFVMVLLKGSVPISFGGNKEPAALGEIISMGGINREVKRKLIAAIGTILETKLSIPRTRFVLKVYDTTMGRNQSKL >CDP15332 pep chromosome:AUK_PRJEB4211_v1:6:3880999:3881822:1 gene:GSCOC_T00043021001 transcript:CDP15332 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSKFCICPSARYGYEVASPRLVEALYTGCVPVLIKDHYVLPFSDVLNWKMFPVEIPVKNIPNLKKILMTISTRQYIRMQRRGKQIRRHFELNLPPKRYDVFHMILHSVWLRRLNVQLHGMRDP >CDP06473 pep chromosome:AUK_PRJEB4211_v1:6:1009925:1011302:-1 gene:GSCOC_T00023337001 transcript:CDP06473 gene_biotype:protein_coding transcript_biotype:protein_coding MESHQHHHHHQYGSSSGVHIDSGGGGGGDRFPQWSMQETRDFLMIRAELDPTFMETKRNKLLWEVIATKMREKGYNRSAEQCKCKWKNLVTRYKGCETMEPEGVRQQFPFYNDLQTIFAARMQRMLWMEAEGGAGSSKKKAAQLSSDDEDDNDDSEVERAHKKKRKLKAGGGGGGGGASSASASAAAGGNAVNSLKEILDEFMKQQMQIEMQWMKAYEAREEERRKRETEWRQTMEALENERLMMDKRWREREEQRRIREEARAEKRDALITALLNKLQREDR >CDP06666 pep chromosome:AUK_PRJEB4211_v1:6:2467549:2470987:-1 gene:GSCOC_T00023592001 transcript:CDP06666 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLPYTHVDSALRALAAQAEGFGRLAIGGLHGPLYHVTTLADDGPGSLRDGCRRKEALWIVFEVSGIIELKSYLNVSSYKTIDGRGQRIKLVGKGLRLKECEHVIICNLEFEGGRGPDVDAIQIKPNSKHIWIDRCSLSDFDDGLIDITRESTDITISRCHFSKHNKTILIGGDPSHTGDRCMRATIHHCFFDGTRQRHPRVRFAKVHLYNNYTRNWGIYAICASVESEIYSQCNIYEAGEKKVAFKYLSEKAADRAEANTGCIKSEGDFFVCGTQAGLLTQSHESNTFHPSEYYPSWTVETPSDALKHYIQHCTGWQHIHRPPDQSAVAQPCS >CDP06212 pep chromosome:AUK_PRJEB4211_v1:6:22640252:22640557:1 gene:GSCOC_T00022898001 transcript:CDP06212 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHSLEPEVKIVVDRDPIKTPFEEWTKPSHFSKTIAKGPETTTWIWNLHADIHHFNRNTIDSEQISRKVFNAHFGQLFVIFIWLSGIYCHSARFSIMKCG >CDP16122 pep chromosome:AUK_PRJEB4211_v1:6:34001578:34002678:1 gene:GSCOC_T00017182001 transcript:CDP16122 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTMEEFLQTNNGLVPITYSYKEIKAMTNNFKEKLGEGGYGSIYKGRLRSVHLAAVKMLNKSKANGQEFIDEVATIERIHHVNVARLVGFCVVASKDGSPLSWKQACEIAKGVARGIDYLHQGCNMQILHFDIKPHNILLDENFVPKVSDFGLAKLYPMQKSVSTLTTVRGILGYMAPELFYKKVGRVSHKANVYSYGMLLMEMAGRFDQGEDIEIRDNATEDEKTVTRKLILIALWCTQMTLDNRPSMRGVLEMLEGDLRSLKLPPKQLFFPPDSPISMQRSSHTSPSVESTEPLCSSVALEIEQMDDYTPTFTESFVW >CDP02855 pep chromosome:AUK_PRJEB4211_v1:6:12397362:12401043:1 gene:GSCOC_T00041251001 transcript:CDP02855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP43 [Source:Projected from Arabidopsis thaliana (AT4G30840) UniProtKB/Swiss-Prot;Acc:Q24JJ9] MALTIPSPQPTSHSPPFQVHRFPQDQYIDALRWLPQLSAFHRHVLLATFNSDTSISSLQTLTYTPNSPPNLSLQSSYPTPSRITSLKTLLIPQSPKPLIVASTLSGSLLFLSADLVNGSLDFEFSVPEKGFHRGPVSGIDVDGNGSGSVVSVGEDGKINLIGIGSEGRGSNQRFFDSNGLVSYSTVKWASPFEFVTGGLGYSLQWWDQRRPGGPVSQFKGNWSSGTTSGIVHSVDIHPSRKHTCLAGGSSGTVFAWDLRWQHQPVILSGAGTPETYSPSESEIWEVQYDNYTHSSNIRNVSSSRVLPAMICSEDGILAVIEQGEVPMELLAEPCAINSFDIDKENPSDVICSLEWESIAILTRS >CDP02892 pep chromosome:AUK_PRJEB4211_v1:6:11915520:11918979:-1 gene:GSCOC_T00041300001 transcript:CDP02892 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLIVLLFCFTIFAFVVTNKGAGEALAGKGYKEYRLGDYNTWLQKRVNKNWPRIRSCLQDSQICKHLIEQGATPLGQFNKETLSALQSGCCKPPDECKFTYVTPTNWTGTAGSTNPDCTAWSNDQKVLCYNCQSCKAGLLDNIKSDWKKEAILNIIVLVFLIIVYSIGCCAFRNNREDNAWKRYP >CDP10396 pep chromosome:AUK_PRJEB4211_v1:6:6931191:6934936:-1 gene:GSCOC_T00031109001 transcript:CDP10396 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNHVGSARAGSNPAAHEFLLSSSSPVLLLLHVRIWKVLLTLFLFPGFLKPYKNRFFQPGLLPFRSALSSIGPPGLKPQYQSHPSQNPSLPTSLPLSSQEQSTPPATMTGEAVNPKAYPLADSQMTITILDLVQQAANYKQLKKGANEATKTLNRGMSEFIVLAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKTQIQNLKDAIEKLMI >CDP12667 pep chromosome:AUK_PRJEB4211_v1:6:16808980:16822729:1 gene:GSCOC_T00036386001 transcript:CDP12667 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPECLICYAVSDYRQSLQFQSLNEEKQNIHLEVVRGGRRIEVSIFDIVVGDIVPLKIGDQVPADGILVSGHSLAIDESSMTGESKIVQKDSKAPFLMSGCKVADGYGNMLVTNVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLAVAAIVLIVLFIRFFTGHTYNADGSRQFKAGRTKASTAIDGAIKIFTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGGKKVDPPDDKSLLPPKLTSLLTEGIAQNSTGSVFLPEGGGDAEVSGSPTEKAILQWGLNLGMNFDVIRSSSSIIHAFPFNSEKKRGGVAVKLPDSDIHVHWKGAAEIVLASCTAYMDENDGIQPIEEKVLDFKTAIEDMAARSLRCVAIAYRHHEGKDVPTNEEELSNWQLPEGQLVLLAIVGIKDPCRPGVRDAVQLCRNAGVKVRMVTGDNLNTARAIALECGILTSDADADATEPNLIIEGKAFRALSEERRREVAEKISVMGRSSPNDKLLLVQALRKKHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDDFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSAGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMNRPPVRRREPLVTNIMWRNLLIQALYQVTVLLILNFRGKSILHLEHDNADHANKVKNTMIFNAFVLCQVFNEFNARKPDEINVFKGVTKNHLFMGIIGLTVVLQVVMIFFLGKFTSTVRLSWKLWLVSIAIGLISWPLAAIGKWIPVPETPLGELLTGKIRQQRNGGGGNLNCCSILKKKKKKS >CDP03294 pep chromosome:AUK_PRJEB4211_v1:6:8148963:8150686:1 gene:GSCOC_T00041831001 transcript:CDP03294 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLVICLILFLSAFAFHSSTVDGSINESMYVNWGSHHSTIQGDYLPLVLDRSSGNQYPSDRCLLIFCFQGTGAQSQKAYLFGSFEMQIKFVPGNSAGTVTAYYLSSTGNKHDEVDFEFLGNSSGQPYTIHTNIYTQGVGGKEQQFYLWFDPTSDYHNYTIHWNPKAIVWYVDGVPLRVCRNYQSRGIPYPNQQGMRAYTSLWDADNWATRGGLVKIDWKRSPFIARIRNFRPRACTWNGPLSISQCALPSPGNWWTSPAYGQLSFAKLGQMNWIRQKYMTYDYCKDTKRFNGLMPAECSLAQY >CDP15772 pep chromosome:AUK_PRJEB4211_v1:6:26110817:26114824:1 gene:GSCOC_T00015850001 transcript:CDP15772 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRESSDVNFKDLSPKSKNVQSFSTLLLMVFFFSWILFDLSVMRLVNKLMRMLCPLTTVEF >CDP21137 pep chromosome:AUK_PRJEB4211_v1:6:21776917:21779230:-1 gene:GSCOC_T00009739001 transcript:CDP21137 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQDIALSIVGPFVEKCVNPILRQFKYLIFYKSNVQTLSNEINGLGLQQAEVQRLIDAAENNAEKIKPTVTDWMKNVDDLKKEAYTISQGMESVEVNCFNIVRLPNLKSCYLLGRRAAKITDVAQKLIGEGKFDQVGYIAPLGKMPFSEQTQSSKEGLVSRMLKKKEVIEALKEDKTGLVAICGMPGVGKTYLVEQIADQVKFEKLFGEVAKANLSQNPNTRTVQDQLAEQLGLKISEKTDRARAERMYTRLSNGDKRILVILDDVREEVDFKSLGIPVRGECKGLKVILTSRLSHVCSLPLAIVVVAKAFKSNHTTPESWNIALRQLKKYTMRDIEGVQDLVFSSIMWSYDHLESAEAKSLLFLCSLFPEDYSIPLERLVRYGKGLQLFQDRETLGDVRDRVHMLINELKKYYLLVSSDGEQEDSVKLHNAVRDVCLSIASKGEHVFLVRNARVEERHPYTAISLTVKDYRVQLLPFGKKSPWLKLLRLVFQSDTLYLSIDSFVGMEVLRVMEINNAYIEFTVLWPAQNLTSICTLCLDGCTLPTGTSSMIGYMTQLEILSFFQSALEDDQFPRKIAQMSNLKLLDLRVRCSLQPLPRGILSSLKKLEELYLAPDYHLHLGRDKEEERECIKEIISLSNLECLQIHVYDLNLLLQLLHGFPTQRLSRFLIEGGAYNMGWRDLSRDFQFGRTFELHLELRISPWT >CDP02857 pep chromosome:AUK_PRJEB4211_v1:6:12373839:12376592:1 gene:GSCOC_T00041254001 transcript:CDP02857 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRSRTTGNADASAHGVESVHSDRNNKRATKKMKFQQKLLKFESLPDYMQDNEFIRDHYRCEWPLKYVVLSVFSVHNETLNIWTHLVGFAIFLCLTAMSLTEKATVENLVGRFFRPGTDGPLMMMMNKKINGSDAFFLESYLRHIPKPSILHVNGDSDVIPKWPWFVFLGGAMCCMVCSSLAHLFACHSRRFYLFFWRLDYAGISLMIICSFFAPIYYAFSCHPYWRLFYLASITGFGSLAVVTLFAPALSSGRFRSFRANIFLAMGFSGVIPAAHAVILYWHNSPHILVALGYEIVMGFLYAAGAGFYTSRIPERWRPGAFDIVGQSHQIFHVLVVVAALAHSAATLVIMDLRGGLPACDG >CDP06207 pep chromosome:AUK_PRJEB4211_v1:6:22424465:22433154:1 gene:GSCOC_T00022889001 transcript:CDP06207 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDNVEALKQCDQAYEEEQKHYVPWELVGRPGNDSEILYHCYLIALEPGFSCDTMPHDILLATRSRLEFDDETLTFELDVDRGNLKIRMKYVRHIKLTSEEVLLCQKFQVALLRLLLDHNQNKLQEALSGLNQNSQRDVFDYLLIPSTGLHENPSIDWTCVSSVLFPQENISDKHMDCCSNRVQGRYVHTVNGLICSCTLQNSLVVTPHDGIMYCTTGILDDLNSNSLLKRKRKWHGITLRFVGEPFLSGQLLFTVQNYLLGCRNQKTKGSRNAPVDLPPELCEVIMSPISSASILSFSYAPSIIHRIESFLIAGNLKRMLVDHCTQNDMIPTIKVVEAITTKNCQENLNLTPLATLGDSFLKCAVSQQLFKRHQNHNIGLLTEDRKKIIPNKALCKLGCHKKIPGFIRIDRFDPKTWIIPGDNSRAYTLEKEQLSPSRTIYDRKKRMIEMKTVADVIEALIGVFLCSTSEIAALAFMEWLGIEVDSIYVPYIRPLPANPGEVVDLRFFETLLNQYSFHDASLLVEALTHGSYKRPENPRCYKRLEFLGDAVLDYLITMHLHNEHPNLSSRLLTDLRSASVNNDCYARAAIKAGLHQHILLHDSQHLKPRILAIVKNFKQSSQDSTSGWESEPVIKILADIIESLAGAIYVDSGYDKEVVFQSIKPLLEPLVTPETLKLQPGRELKELCEKENFVKKEEDGKLEVNANGAIYVCGLTAANKKTAEKIASMRILEMLKKNYDAGESHIQPRLSCDIRQVR >CDP06432 pep chromosome:AUK_PRJEB4211_v1:6:736612:740281:-1 gene:GSCOC_T00023283001 transcript:CDP06432 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKCHRKKGLFPLMALVLFVFIIFSILYKEITTIQRIRQSQPQNEGNDDQPQSSDFQLQQEFLPRPTVLLNRSLSSRAPPVPLDKSSACSSTVEYSGRRVLPPEPGGWRGQPQGCDFFSGEWVFDNASRPLYNESDCPYMSDQLACHKHGRPDVDYQYWRWQPLNCNLKRWNVTEMWEKLRGKRLMFVGDSLTRGQWISMVCLLQSIIPADKQSMTPQAQLTTFTAQDYNATVEFLWAPLLVESNSDDPVDHRLPERILRPDSILRHASQWKDADILVFNSYLWWRQGPVKLLWSNEDSGVCEEIDGLGGMELAMEAWADWMASDFDALNKQAFFVTMSPTHFLKEEWEPGSEGNCYNERQPISSERYLGNGIHLPTMQIAEKVLRRLSSKVSVLNITRLSDYRKDGHPTIYRKFWEMLSPETLSKPASYSDCIHWCLPGVPDVWNELLFQYL >CDP10614 pep chromosome:AUK_PRJEB4211_v1:6:5128628:5131604:1 gene:GSCOC_T00031384001 transcript:CDP10614 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQTLTVEAATVVKQALALARRRGHAQVTPLHVANTMLSASNGLLRTACLQSHAHPLQCKALELCFNVALNRLPASSSGPMLGAHSQHPTISNALVAAFKRAQAHQRRGSIENQQQPLLAVKIELEQLVISILDDPSVSRVMREAGFSSTQVKSNVEQAVSLELCSSQSPAPPSRNKSKGNDLLVLSQPTPDADSALKVSKLSASGTPVKDEDVACVFENLVNKRRKNFVIVGECLASLEGVVGGMMDKIDKGDVVDGLREVKFVSVPLNSFVSLHREEVEQKIGELTCLVRSLVGKGVVLYLGDLKWVADYRANSGEQGRNNYYCPVEHMIMELGRLLCGVIENGRCWLVGIATFQTYMRCSSGYHSLETLWGLYPITLPAGSLGLSLNTESTGSESESRSKKAQNDSFQFLLGNMEEGQLTCFADAEVQSVKSSISNSEASMSSLPAWLKDESKRLKNNDQNCAALVKWNSIWSPVHKQTKPLERTLSFSPSSSPSSSTSFFSYDQQHIDLHDSPSMLFELRQSFRVDPKQAISPITSSTPNSASSSDLMEMEYIPRFKEFNAENLNILCNALEQKVPWQKHVVPDIAGTILQCRSGMMRRKEKITSTTGHEVKEETWLFFLGLDVQAKEKIARELAKVVFGSHSSFSSIALSSFSSTRADSTEDLRNKRSRDEQSCSYIGRLAQAISLNPHRVFLVEDVEQADFSSQVGIKRAIERGSITNSSGEQVSLSDAIIILSCESFSSRSRACSPSKQKIKEKGGTMDETRSPCVSLDLNISFDDDSVEEDQSIDDIGILENVDRCIVFKIQEL >CDP06424 pep chromosome:AUK_PRJEB4211_v1:6:684519:686980:1 gene:GSCOC_T00023274001 transcript:CDP06424 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVKLEGVDTSAILSEATSTVAKLVGKPEAYVMIVLKGSVPMSFGGTEQPTAYGELVSIGGINPDVNKKLSAAISNILETKLNVPKSRFFLKFCDTKGSNFGWNGSTF >CDP06637 pep chromosome:AUK_PRJEB4211_v1:6:2269132:2273605:1 gene:GSCOC_T00023554001 transcript:CDP06637 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLITGIPGPSGFGSASTAEQVTQGIDASNLTAIVTGGASGIGFETARVLALRKAHVVIAARNVEAANEARQNILKENGTARVDVLKLDLCSIKSIKAFADDFYALGLPLNILINNAGVMFCPYQLSQDGIEMQFATNHLGHFYLTNLLLDKMKHTANSTGIEGRIVNLSSVAHVHTYGGGIRFDRINDKDSYSDKKAYGQSKLANILHANELSRRLQEEGANITVNSVHPGLIMTNLMRHSALLMRVLQAFTFILWKNVPQGAATTCYVALHPSLQGVTGKYFLDCNGFPPSKLARDKALAKRLWDFSDTLVNAAAQN >CDP06537 pep chromosome:AUK_PRJEB4211_v1:6:1527265:1530905:-1 gene:GSCOC_T00023425001 transcript:CDP06537 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLLTCALVLISSSSFASADIVEHSFHVQNLTVNRLCRRQVITAVNGGLPGPTLRVREGDTLVVHVFNKSPYNLTIHWHGIFQTLSGWADGPGYVTQCPIVPGNSYTYKFNVAGQEGTLWWHAHVSTLRATVYGALIIRPRAGRTYPFPKPYREYPIILGEYWNANVVDVERQGLASGAAPNNSDAYTINGFPGDLYPCSSNYTHKIKVIKGKTYLLRIINAALNNQLFFKIADHNFTVVAVDASYTNPYVTDVVLVAPGQTTDVLLTADQPPALYYMAARPYASAAGVSFDNTTTSGIVAYEGATPSTPRMPVLPPFNDTPTAHRFYSNLTGLITGPFWRPVPRQVDVHLFVTGGLGLAACGKNAKCGGPLGQRLAASMNNASFQLPTKMSLLQAHYENVGGIYTTDFPSQPPLKFDYTNASNSRNRAIIMTTKSTKVTKLKFNATVQAVLQNTAFIGVENHPVHLHGFNFYVLAQGFGNFDHASDSKNFNFDNPQERNTIGVPAGGWAVIRFRANNPGVWFMHCHLDVHLPWGLATAFVVENGPTPSTTLPPPPADFPKC >CDP03352 pep chromosome:AUK_PRJEB4211_v1:6:7712941:7715438:1 gene:GSCOC_T00041904001 transcript:CDP03352 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDEEGPYLTKPTFRSKPAIRNPHSYPLNTHYTTNDAIFAQEDDEFEDDEESPQFQNAQSGYYYQSFYEDEPKRSKKKRKLESLVPNYELAPRAGLNAPKSSNLGGGSSRDSWNEEESFVLLEVWGERYLELGRRSLRAEDWAELAEKVSEMSGRERNEMECRNQLDVLKQKYKKERAKMEKVGGGFLSKWVFFKKMDVLLNLRMRGHVGLGCGVDSGEYVFMNPRVYLDKSNVLDEMRDSPGVSDEENEEEEEGLGLGKDEEDGESARLLADSIQRFGEIYEKIESSKRRQMMELEKMRRDFQRDLELQKQQIVERAQAEIAKIREGVEEEDDDEEEDDDEEEEDDDDVQNENIQV >CDP15770 pep chromosome:AUK_PRJEB4211_v1:6:26215224:26227398:-1 gene:GSCOC_T00015845001 transcript:CDP15770 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETKKRKRKGVSDSSQKEKSPKKQCSKGVKLKPKLQVKSGNSESKHMKKCFDRLGEGKGNISRRKSIVKKAIMQKTKSLKKQSELKTSRKDEPEVKNSKDGGKRDSSDVGFQKANRRKRRKRRKENLELDEASRLQRRTRYLLIKVKLEQNLIDAYSAEGWKGHSREKIKPEKELERAKKQILKCKLGIREAICQLDVLSSVGHIEDSAVAPDGSVHHEHIICAKCKLREAFPDNDIVLCDGTCNCAFHQKCLDPPLSTENIPPGDEGWFCRYCKSKMEILEVTNAHLGTNFPLDSNWQDIFKEEAALLDGKESLLCQEEEWPSDDSEDVDYDPEGREFHSPNSMSGSGSDSSVDASSSNSLSSIEDDVLLLSERLDKRHRVSEGNIAGVESDEATDCEVLSGPRQRKAVDYIKLHDEMFGKNAVVSEQISEDEDWGPSRRKHRGKESNVESTIVTTGDKPGTSAEVKKKLSSGRSKRPIFRFPRHVVEKLRVVFAENELPSRTIRDNLSRQLGLESEKVNKWFKNARYLALKARKKAKRLNASGPTVSKESVSRSGEDKSADPVTSENVAIEHELESPGLKSSSRRKTAHLMASAFTRKRHKTALPPTNNKTPADFGDDVCLKLLREKVKKKKKMNIKRTVMPEAEAEMERLCKMKDKIEKLQQVLLRLPSSRSSKADAAALNELAIIYVPVAELREKR >CDP03248 pep chromosome:AUK_PRJEB4211_v1:6:8597759:8599420:1 gene:GSCOC_T00041764001 transcript:CDP03248 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPNTTPKLTTISVIDHQKITSSSTSTRSPRPPSQTHFYFDLLSLPTPLKVQENPKENHQNPPKFALPSSSEIVQETKALFKLSFPIAIAALILYARSILSMLFLGQLGDIQLAAGSLAMAFANITGYSVLSGLALGMEPLCSQAFGAQLPRLLSLTLQRSVIFLLACSIPITFLWINISHILLYLHQDPIITRLARTYLVFSLPDLVTNSFIHPIRIYLRAQGITYPLTFASLAGTALHLPINYVLVIRLRLGVAGVAAAAAISNLVVLATLVLYLWATGLHAATWTKVSGECLTGWGPLMRLAGPSCVSVCLEWWWYEIMIVLCGLLVDPKATVASMGVLIQTTSLLYIFPSSLSFAVSTRVGNELGANRPERARVSSVVSIFIAVLMGLSAMGFATSLRDLWARMFIDDGNILRLTSAALPILGLCELGNCPQTVGCGVVRGTARPSTAANVNLGAFYLVGMPVAIGLVFGLGVGFCGLWLGLLSAQVCCAGLMLYVVAITDWKFEANRAQFLTCEGGCGGESETTPPPLPGECHNEIEPLICVTVTSP >CDP06758 pep chromosome:AUK_PRJEB4211_v1:6:3230251:3235378:1 gene:GSCOC_T00023716001 transcript:CDP06758 gene_biotype:protein_coding transcript_biotype:protein_coding MQARLLGHKEWITEVNVLGVVEHPNLVRLIGYCAEDDERGIQRLLIYEFMPNGSVEDHLSVKSEAPLSWAMRLKIAQDAARGLAYLHEEMEFQIIFRDFKASNILLDEDWNAKLSDFGLARLGPSEGLTHVSTAVVGTMGYAAPEYIQTGRLTSKSDVWSYGVFLYELITGRRPLDRNRPRNEQKLLEWVKPYLSDAKKFQQILDPRLERKHLIKSAHKLSLVANRCLARHAKTRPKMSEVVAMVNQVVAASVEIGSPRPPLKAVGQKETEEGAGKKNKRRIMDARVVEGGWLVRIWSSKHVKTY >CDP06585 pep chromosome:AUK_PRJEB4211_v1:6:1883735:1884999:1 gene:GSCOC_T00023490001 transcript:CDP06585 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSYLLLTLLCVYAFLTRSNGIQLILVNNCPEHIWPGILGGAGHVTPKAGGFHLSSGEEVVVGVPEMWSGRIWGRQDCHFDDKGKGSCGTGDCSGQLHCEGMGGVPPATVVEMTLGSSTSPLHFYDVSLVDGFNLPVSMKPVGGGIGCGIAECEVDLNICCPSALEVRVGEKVIGCKSACLAMQSAKYCCTGEYGNPKTCKPTLFANLFKAICPKAYSYAFDDSSSLNKCRASRYVITFCPPK >CDP10434 pep chromosome:AUK_PRJEB4211_v1:6:6642011:6643658:1 gene:GSCOC_T00031159001 transcript:CDP10434 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPIGEKIFCFEEETHLTVHKTSIFVPGGGDGFIVYNPTWEMTFRVDSYGPDSASKDELVLMDSSGKSLVTLLRKKRSLHQRWEAFLGEKKKDEQQPAFSIFKSSIIGKFDLLVEVCGHDLVAEIKRKVDPDTNEMLGKDVFLLCLKPLVDGAFMMALMLVLDRMGGVDMDDTNQAPTTTAEDTTVSS >CDP09585 pep chromosome:AUK_PRJEB4211_v1:6:21167087:21172601:-1 gene:GSCOC_T00029018001 transcript:CDP09585 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIDHYLFLISFSLVSLAMMAAGNSNVTTDQLALLVLRDRMINSEPREILAKNWSITSSVCDWIGVTCGFRHHRVTALNISNMNLTGTLPPQLGNLSFLVSLNISRNNFHGELPGELVHLRRLRYLDFGINNLGGELPSWFGFLYKLQFLSLGNNSFMGSIPPSISNMSNLETLLLSFNFIEGTVPIEFQNLHNLKNLLIEYNQLSGPLPLHVFNLSSLQIISFMSNSLSGILPDDACQRLQKLTWLSLEYNNLIGRIPSTVSQCSLLRYLASSENRLSGPIPMGIANLTMLEELYLESNNLTGKIYLISLCFCSSIWRFQRNRTFFLAVLIDSDEKAQPLSLSLSLSLCNFAGAIPNEIGNLTMLTALDFHNNKLIDSSKISSLFVTTFLHYKSNQTHKSCNFVGAIPNEIGNLTMLTILYFYDNKLTGVIPAEIGNLAMLMDLDFSNNKLTGGIPEKIGNLHRLEELYLYNNALSGSIPAAIFNISSLQHIGLDQNKFSGTIPLTVSNNKLSNLESLFLERSSIPTSLASLRNLKYLYLDYNRLSSESSELSFFTFLTSSRSLTYLVLGNNPLNGFLPASFSNYSTSLEVITAKSCRIKGNIPDGISNLSSLVELEFSGNELIGSVPRTIHNSNRLTSMIPTNLLSMKDLQILNLSSNFLSGSLPLEIGNLKAAYSLDISFNQLSDKIPTTIGELQALQSLSLANNNLQGQLFMNNEGLCGDPRLSVPLCQSNSIRRSSKRKVLLLVISLSGMAAILIIAIGALLNLRWLKKPKSSSGIELMSAAKYERFSYYDLLHSTDNYNESNLLGEGSYGSVYKGILSDGTIVAIKVFNLLVEGSLKSFDKECEVLKSLRHRNLIKVLGSCCNPNFKALVLKYMPNGNLEKWLYSHNHFLDMFQRINIMIDVACALEYLHYGYDAPVVHCDLKPSNILLDEDMAAHVSDFGIAKMFGQGESILHTNTLATLGYIAPEYGSEGIVSTRIDVYSFGIVLMEIFSRMRPSDETFSGDLSLKSWVEDSLPNALQVVDANLIRPEDEHFTDKLKCVTLIMKLALNCCTECPRERISMKDVFAELIKIKHQFQFLMTVSIYPFCANFTSQ >CDP18647 pep chromosome:AUK_PRJEB4211_v1:6:15221338:15222846:-1 gene:GSCOC_T00004138001 transcript:CDP18647 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDWDMFHSLHPAADYHAAARAVGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFVDPARDGKSLLKIWNVNKCTGVVGVFNCQGAGWCKITKKTRIHDASPGTLTGSVQASDVDPISQIAGPNWDGETVVYAQRSGEVMRLPKGASLPVTLKILEYELFHFCPVKEIAANISFAPIGLLNMFNSGGAVEQFEISGSLSEKRSPTAKIILKVRGCGPFGAYSSQRPLKCSVDGAETDFTYEATTGLVAIDIPVPKEEMHRWTIGIDV >CDP14211 pep chromosome:AUK_PRJEB4211_v1:6:18915156:18917463:1 gene:GSCOC_T00040477001 transcript:CDP14211 gene_biotype:protein_coding transcript_biotype:protein_coding MREMGVEHDSYTFPILSKAVFLVDFEAFWLGKTIHGLAMQMGFGCDVYFCNTMIAVYGKSGRFGDACKLFHEMPDRDIVSWTAMISAYVREDNFFGAFRLFGKMQNEVEPNAVTMLGLLQGCPSMVEGRQLHGYIIKNGLLHDRSVENSLLNMYSHVDSVSDAEILFGEIDKRDVVTWNIMLSLYTYKGDITRMIGCFRQMSGEVDPSCETLTVFVSGLAECGYLFEGRQIHCLALKKGLFDDKLRACLLDFYAKHREVDISAKLFQEVHYRNSITWNTMMLGFIENGLLKECIALFKQMLLVGVRPGAEILRTLILAYTHMGAVQLGKGIHGYIIRKSFVESGAAITALETSILNMYLRCGSLSAAGVCFDRMVGKDLVAWTSMIEGYGMHGLGFQALELFQKMVEEGLKPNDMTFLSILSACSHSGLLSEGCQILYCMRSKFSVEPNLNHYTCIIDMLGRSGMIKEGLALIFKLVPFPDGRIFGALLAASRVYMDKKVADYAANRLLELEPDNAGYHTLISNIKASAEKWFEVEDFRSTIKSKDLMKLPGWSCIEAKGFLHGFVSGDRSHPYADCIQQTLEILNRTMQDVLM >CDP09591 pep chromosome:AUK_PRJEB4211_v1:6:21308538:21310371:1 gene:GSCOC_T00029024001 transcript:CDP09591 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKLVFVPSPGVSHLISTVELSKRLTERDDQLSIFVLVISSPVGPDSESYTQEVAASNTSIQFINIPKADPNLSEALSSPENFYALYLESHRSYVKTAIIDQVLVSESITSLAGIVVDLFCSSMVDVANELGVPSYVFFTSGSAYLGFLFYLPIHYSQNGREFETSDSDSIIPTYSHPVPSKVIPSLAFNKHGGYSSFIKHATKFKETKGIIINTFAELEPHAVDRLKFDAETPPIYTVGPLLDLEGRKREPDHETIMKWLDDQPPSSVVFLCFGSMGSFEPDQLAEMALALERSGYRFLLSVRLSKVFTKGTGEHSNISEMLPQGFLERIENRGLVSSWAPQMEVLAHEAVGGFVSHCGWNSILESLWHGVPVATWPVYAEQQINAFELVRELELAMDLKMDYRMENAKNLVMAEEIEKAIRCLMDTENPTRKRVQEMKEMSRKAIENGGSSFISLGRLTEDMHINIGKEKGSF >CDP14183 pep chromosome:AUK_PRJEB4211_v1:6:18532495:18534205:1 gene:GSCOC_T00040435001 transcript:CDP14183 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEQQFKAAVFVIGLLLLAALDYTGAQTGVCYGRLGSNLPSPADVVALCNQNNIKRMRIYDPDQSTLQALGGSNIEVILGVPNTDLQNVAASQDNANTWVQNNVRNYPNVKFRYIAVGNEVSPIKGDTAQYANFLLPAIQNIFNAISAAGLGIKVSTAVETELVGNNFPPSGGTFKPEVQNFINPIVQFLASKGAPLLVNVYPYFAYIFNSQSIALEYALFTSSGITTPDGVKYQNLLDALLDATYSALERAGGQSVEIVVSETGWPSDGGGQATSIDNARTYNTNLIGHVNGNSGTPKRPGRAIETYIFDLFDEDQKSPDYEKHFGLFLPNRQPKYPISF >CDP10543 pep chromosome:AUK_PRJEB4211_v1:6:5703304:5708273:1 gene:GSCOC_T00031301001 transcript:CDP10543 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIPFFSSSFVHRRLKDSGPSLFFFVPTCLAFTTCVFIFFYISSTSNILSIHLNETHLHVRPPIQLSSISSPPSTSSSRPVTIKIPHEQGQQLDAPRLHFLEPAQVLLGDETTSYMLVNDSDSQEAANTSLPADDQLAKATEASSLSANDEDEAPDQEDQNDQLPEPEEIRQQGRHEGNNVDGFDVFHDKALFQQEYKEMNKSLKIFVYPHKRNDPFANVLLPVNDEPGGNYASESYFKKALFKSHFITENPLEADLFYLPFSIASLRHDKRVGVGGIQGFVRDYIRDISKNYPYWNRTGGADHFYVACHSVGRSAMEKATEVKLNAIQVVCSSSYFLPGYVAHKDASVPQIWPRKGNPPIRPPSKREKLAFFAGAMNSRVREFLVQVWKNDAEISVHRSRLKTPYSEALLGSKFCIHAKGFEVNTARIGDALYYGCVPVILADHYDLPFADIINWNSFSVVMSTVDIPILKKVLLQEINSSNYLKLQSSVMEVQKHFQWHDVPVDYDAFHMVMFELWLRRSHLSLAIN >CDP06719 pep chromosome:AUK_PRJEB4211_v1:6:2838086:2841283:1 gene:GSCOC_T00023659001 transcript:CDP06719 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEESSPLLPKQQESKVEKDLSQNEAVYPTKSADGKAPDAAKKSAPPIPMSWTANGLPLNHGSVMGEPMMGRAHWDSSLCACLGRNDDFCSSDLEVCLLGSVAPCVLYGSNAERLGSAPGTFANHCLPYTGLYLIGNSLFGWNCLAPWFSYSSRTAIRRKFNLEGSCEALTRSCGCCGSFVEDEAQREQCETACDFATHVFCHACALCQEGREVRRRLHHPGFNAHPVLVMIPPGDQIMGRGA >CDP15335 pep chromosome:AUK_PRJEB4211_v1:6:3900778:3904808:1 gene:GSCOC_T00043025001 transcript:CDP15335 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGLVVYLLTGFSVAVLSVLFVYNNGHSNASVALSPPSSLLSSSSNSANVLQQHPYGSESKVWPELKFSWRIILATIIGFLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAAISKCMIMGASASSVWYNLRVPHPCREVPIIDYELALLFQPMLMLGITIGVALSVVFPYWLITVLIIILFIGTSTRSFVKGIEMWKEESIVKKEIAEQEKTLVNSHGELLIDTEYDPLVPREEKTALQIMRSNLNLKRFGVLLLVWIIFLVLQNDLVACTTLYWVLNIVQVPVALVVFGYECVKLYRESKKRRLEGNKDLVCEAAIQWTGTNLAFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYALYLMTVSIVAGFWGQFFIRRLITILRRASIIVFILSGVIFASAITMGVIGIERSVTMIKNHEFMGFLDFCSSQ >CDP06631 pep chromosome:AUK_PRJEB4211_v1:6:2241640:2247719:1 gene:GSCOC_T00023545001 transcript:CDP06631 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAGRIRALWWVKLQSAFRTALACTIVGCTTLYAPAHFTRQITFPAISYVTAVLIVSDANLGDAIRGCWHAFYATLQMLPLSILGLRIIGPARFSPSIAAAAAALASFLVALPNSTPLMSKRIAFGQIVIVCVNAVIHGKQSSSVVVHPVHVASSTALGAASAFLALLLPYPRLAYYEVRKLSRLYAENAAERINLYMNAFLAENHLNAVELISLAHPLAATGKKLLRTITLMQVTPFCSFSSQEGILWEMPWIRFSKLHFANPGDRLQGMETSMEGMEIALKFCPLSSAGLATQELTDTIRHLSVQLGQKLKQARCFQPFNSTTVPKPKGGCLDNLILPSCDTIPLNNKHLSALFFLSCLEQFLNDSTMVQKPEPNLESLAAEGEELKNSQKHAQISFKETCRKWIGNLRNERLLFASKCSLSLGLAVLIGLIFNKENGYWSGLTIAISFTTRRQAIFTTANARAQGTAVGSVYGVLGCFVFSRLAEIRFVALIPWIIFTSFLRHSRMYGQAGGISAVIGALLVLGRNNYGPPDDFAIARLTEAFIGLCCFILVELLLQPTGASTLVKRHLHLTLGILQECITQVITYSKGKDQASMDLQALKGKQKHLKSYVHEFENLIGQAELEPNFWFLPFRNTSYWKLHNYLSNTADLLHCMAYSLEYLLQVPKNHFALWKDLQEYIHHDLELCKDNLTSSLRCLEKAKMVKSLEVTQEVQQGGMYNDLEKAFFARENSFSTLTTTDQQIRNIVNYLLQRSKKGEHEIKENEGEDGVGEKMALALCSLGFCISGFMREIKDTKSVIKDVVAWETKLNVDSRQTSCKFCPF >CDP03258 pep chromosome:AUK_PRJEB4211_v1:6:8507758:8510092:-1 gene:GSCOC_T00041778001 transcript:CDP03258 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFRPKRSKSFPTMTPASADQLPKQEIPESKNVKHEVLLSIPGCKAHLMDAGEAVELASGDFELVRISDDNVSLATVIKIGDELQWPLTKDEPVVKLDALHYLFSLPMKDGDPLSYGITFSGLNSGNLGLLDSFLSEHALLTASASSTKNKNVNWKEFAPRIDNYNHVLAKAIAGGTGQIVKGIFKCSNAYANQVHKGGETILVAEGNSSVTATSSKRSNNSDSQKKNAVNKSLKRVRKLSKMTEKMSKAMLDGVGIATGSVMAPVVRSQAGKAFFTMLPGEVLLASLDAVNKIIDAAEAAEKQALSATSGAVTRMVTKRFGESAGEATEDVLATAGHCAGFAWNVFKIRKAINPASSASSGVLKNAAKTGTMR >CDP10638 pep chromosome:AUK_PRJEB4211_v1:6:4874379:4878897:1 gene:GSCOC_T00031416001 transcript:CDP10638 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIRRAVIIGNGIAGAENQCLGLVRALGLSHTLYRVTRPRGGINDWLRWLPVSFHKNLHNVVKRFRVTGFSGIAEAKAHYIAKLARETLDKDGPTLVVASGRDTISVASSIKRLAPENVFVVQIQHPRSKLNRFDLVVTPRHDYYPLAPEGQQQIPWFLRGWITPREPPDQKVVLTIGALHQVDSIALHRAASFWHDELSPLPKPLVVVNIGGPTGHCGYGVDLAKQLISSLLNVLSTCGSLRVSFSRRTPKKVSDILVRELGSHPKVYIWNGQDPNPHMGHLAWGDAFVVTADSVSMLSEACSTGKPVYVIGTEQCKWKFADFHKSLRDRGAVRPFTGEEDMSERWKYVPLNDTEEAAAQVITALAQRGWRL >CDP06418 pep chromosome:AUK_PRJEB4211_v1:6:573993:578420:1 gene:GSCOC_T00023259001 transcript:CDP06418 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEPLICEPQEQQRQPLSFPPSLLNYLYVGHFLARWGARMWEFSVGLYMINVWPDSLALAAAYGVVESASTALFGPVVGRLVDKFTYIKVLRLWLLSQNFSFMIAGGTVVALLLYEDVKSENFIVFLSFILLINISGAVGVLFTLAGTILVEREWVVVISEGQHPGVLTKMNATIRRIDLVCKLFAPVVSGFIISFVSLTASALTLAIWNILSVCLQYWLLMSVYNGIPALSESSQKRVYRSLPTDSERSSLTDESRNSHCLGDNDLEPLGHGWMRKVIERVSRISYFSAWKVYLEQDVVLPGIALALLYFTVLSFGTLMTAALEWQGIPAYIIGIARGTSATIGILATFLYPVLESQLSTLRTGLWSIWSQWTCLVVCVASIWVPNKLGAAYLLMGGVALSRLGLWMFDLAVIQQMQDQVPESDRCVVGGVQNSLQSVLDLTTYVMGIIISNPRDFWKLIILSLLVVTLAAVLYSIHIYRVRKHLFHFEKLYPLVRIVFRSTYFLLYFET >CDP14178 pep chromosome:AUK_PRJEB4211_v1:6:18510272:18516589:1 gene:GSCOC_T00040430001 transcript:CDP14178 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein recA homolog 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10140) UniProtKB/Swiss-Prot;Acc:Q8RY99] MVPLAPQNFQNFLRLSAFSASRLFSALPLFSYQNGFSGRQVIDSRHFSSAAEAPEFEFDDIPNDAKATEKDAALRVALSQLAGEFGKESMLSLQRFFRSRRAPVIPTGSLRLDLALGIGGLPKGRIVEIFGQEASGKTTLALHVIKEAQKLGGYCAYLDVENAMNPSLAESIGVNIDNLLISQPDSAENLLSMVDTLTKSGSIAVVVVDSVAALIPQLEIDNVEVGSTKDIQSQIMTQALRKIRYSLSNSHTLIIFVNQVRANLKSAQGNRPADQVTCGGNALPFYAAVRMKLFRKALLKTRDKATGLGICVKVIKNKLAPAMTNAELTIKFGQGICCESEILELACEHGIILNEGGSYFIEGKTLNTKEEAEDFLTANSSVLDHIVKNLRCQLFERKSKPE >CDP06377 pep chromosome:AUK_PRJEB4211_v1:6:200923:204774:1 gene:GSCOC_T00023200001 transcript:CDP06377 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLPKPKNDGDESVHNAEFVGGNVHLITTKESWDQKLTEAKRDGKIVIANFSASWCGPCRMVAPFYCELSEKHPTLMFLTIDVDELTEFSASWDIKATPTFFFLKDGQQIDKLVGANKPELQKKIISIVDSETTLQK >CDP06426 pep chromosome:AUK_PRJEB4211_v1:6:689538:699134:1 gene:GSCOC_T00023276001 transcript:CDP06426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probably inactive leucine-rich repeat receptor-like protein kinase IMK2 [Source:Projected from Arabidopsis thaliana (AT3G51740) UniProtKB/Swiss-Prot;Acc:Q9SCT4] MILRFSFMLSWRKLMDTRVVGCPNDTRSYSSRLIPMSAWCRAEDGKREKWKKPPHWRWHHTSMLFPFLLLLFAFPLVSGKQWDGVIVTQADYQALRALKHEFVDFRGVLSSWNDSGPGACSGGWVGIKCVDGQVIAIQLPYKGLGGRISEKIGQLQALRRLSLHDNLLVGPVPTSLGFLSDLRGVYLFNNRLSGSIPPSIGNCPVLQTLDLSNNQLIGAIPHNLANSTRIYRLNLSFNAISGRIPMNVPPSLSKLSILQKLDLGHNQIFGTIPSELGSLSRLQELDLSSNSLNGSFPDSFSNLSSLVSLNLKNNFLDNQIPATLDRLQNLSVLNLSHNQFKGPIPLSIGNITSLTLLDLSENNFTGEIPTSLADLPKLASLDVSYNNLSGMVPSTLSKKFNSSSFVGNLQLCGYSSSTRCSSPPPQNLPSPSQGTSKHHHRKLSTKDIILIAAGAMLVVLLLLCCVLLCCLIRKKAASKAKNGKASVLAPTGRGTKAVPTAGTELESGGEAGGKLVHFDGPFVFTADDLLCATAEIMGKSTYGTAYKATLEDSNQVAVKRLREKITKGQKDFEIEVAELGKIRHPNILALRAYYLGPKGEKLLVYDYMLNGSLASFLHARGPETTIAWPTRMTIIVGITRGLCFLHTKENIVHGNLTSSNVLLDEQKIPKIADVGLSRLMTSAGNTNVIATAGTLGYRAPELSKLKNANSKTDVYSLGMIMLELLTGKSPSEATDGLDLPQWVASIVKEEWTNEVFDVELMRDASNIGDELLNTLKLALHCVDPSPGARPECDQILQKLEEIKPDLLMCLVNGYVEEVPVAVKISNPASCEAMDDVPIHKIQISGPALASLLLRFSSSPAAIHGLLFGHVTVSATSSLSDDATSNLDPSSADADAGTAIPLLTATVTSFLSLPSHLPLPLQPLPNPNPPSSSLLGWFSARRRTPLRPSLNDSTTTHALSSSTSLSFTPPQCSNLHTLTLPPSLFLLLTSPLQDQLVHTHQYKAFHYRISTDSFEPKSLDIVNIGPSFRSHYGSFSTNSPFPLLPCEWRCSNAMAEDDQRETLGSLKKDLNDQKELDMCAEGFEVGRLNRLMGSQAANYTAELEDLYNKMLAKLDGLSRLVENSSAKVLEQEHHNMKLRLKVAGLE >CDP06591 pep chromosome:AUK_PRJEB4211_v1:6:1902325:1904315:1 gene:GSCOC_T00023498001 transcript:CDP06591 gene_biotype:protein_coding transcript_biotype:protein_coding MKDACTCILMLLIQTQLFFRSAETSMYSKDSAPMLVLPLKTQEISTGSLPRAPNKLPFTHNVTLTVSLAVGTPSQNVTMVLDTGSELSWLQCNTTRSGQPVFFPNRSSSYSPVTCSSPTCTTRTQDLSIPASCDSRNLCHAVLCYADASSSEGNLAFDNFNVGGSNFPDTVFGCMDSGYSSNSDEDSKTTGLMGMNRGSLSFVSQMGFKKFSYCISGSDFSGVLLLGDANFTWLIPLNYTPLVQISTPLPYFDRVAYTVQLEGIKVSDKLLQLPKSVFEPDHTGAGQTMVDSGTQFTFLLGPAYTALRAEFVNQTSAVLRVLEEPEFVFQGAMDLCFRVPMNRTNIPEVPSVSLVFRGAEIVVSGERLLYRVPGQVRGSDGVYCFTFGNSDLLAIEAYVIGHHHQQNVWVEFDLEKSRIGFARLQCDLARPRFGVYRSHNF >CDP15306 pep chromosome:AUK_PRJEB4211_v1:6:3712926:3715748:1 gene:GSCOC_T00042986001 transcript:CDP15306 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNVQDLHFVLVPLLAQGHLIPMIDMAKLFAERGVRVTLVTTPNNAPSSKRAIHRARESGLSVQVLELPFPSTEVGLPPGCENLDSVPSRDLLRKFYTALHSLQQPLEQYLQEHKHPPSCIISDKCMSWTSQTARKFQVPRIIFHGMCCFSLLSAHNIKLYKSHLSVRSAAEPFVIPGMPMHVQITKAQLPGSFVALPDLDDVRDQMRDAELSSYGVVVNTFAELENCCVEEYRKATDKKVWCIGPVSLCNKHNLDKFERGNKSSIDEEQCLEWLDSRKSESVLYACLGSQCRLVPAQLIQIGLGLEASNQPFIWVIKTGERFSELEQWLLDERYEERIRGRGLLIKGWAPQVLILSHPAIKGFLTHCGWNSTIEAVCSGVPMITWPMFAEQFLNEKLVVEILRVGVRVGVDVPVRWGEEEEVGVLVTQEQVVNAVERLMHEGEEGNDRRIRAKGLGLAAKKAMEDVGSTNSNVSLLIQDIMEQSFKLGEG >CDP06764 pep chromosome:AUK_PRJEB4211_v1:6:3283406:3285973:-1 gene:GSCOC_T00023724001 transcript:CDP06764 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLILGLGRSAFRRKRTSSLDILTSKRGPRDYYKGKNCKPTGFHTRKGGYVVVQEKLPNYVVPDLTDFKLKPYVSQCPIEIKATEGADSSK >CDP06718 pep chromosome:AUK_PRJEB4211_v1:6:2833667:2834828:1 gene:GSCOC_T00023658001 transcript:CDP06718 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPCIAAAGFLLFLLLTPCLSRGMQKKELDIYEIDYRGPETHSYLPPPNRSNGRHNIHHQNVNAHGKSKDFRVVRSGGKGKKIHD >CDP10173 pep chromosome:AUK_PRJEB4211_v1:6:13600420:13601366:-1 gene:GSCOC_T00030801001 transcript:CDP10173 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKREKLSHLHFYFHDIVSGRNPTAVRVAAATTTNSSATGFGAVVMMDDPLTAGPDLSSKLVGRAQGIYASAAQEESGYLMVLNYVFVEGKYNGSTLSILGRNTVLSTVREMPVVGGSGLFRFARGYAQARTHYFDLKTGDAVVEYNVYVIHY >CDP10537 pep chromosome:AUK_PRJEB4211_v1:6:5729624:5733095:-1 gene:GSCOC_T00031295001 transcript:CDP10537 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQDPLLVKKIGAATALEVRAAGIQYSFAPCVAVCRDPRWGRCFESYSEDPQVVQAMTDIILGLQGDVPPDYPKGVPYISGQNNVLACAKHYVGDGGTIRGINEYDTVANWDDLLRIHMPAYNDSIIKGVSTVMISYSSWNGVKMHANRDLITGFLKNTLHFEGFVISDSQGIYKMTSPEHVNSTYSILVGVNAGIDMIMIPYNYTEFIDGLTYLVNNSFISMSRIDDAVRRILRVKFMMGLFEYPFPDYSMIKYLGSQEHRELAREAVRRSLVLLKNVRFEDEPLLPLPKHASRILVAGSHANDIGNQCGGWTVSWHGLSGNTTIGTTVLTAIRNTIHPKTEVIFEENPGSAFVKSSKFSYAIVVVGEVPYSETYGDSLNLTIPEPGPSTIRNVCGSVKCVVVLITGRPVVIQPYLDQIDALVAAWLPGTEGQGVADVLFGDYGFSGKLPRTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTKPSEAHYSY >CDP14339 pep chromosome:AUK_PRJEB4211_v1:6:35764122:35771655:-1 gene:GSCOC_T00040669001 transcript:CDP14339 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEPQTQSKISFHQTHTETIIPNRQDQEEANHHFQTAALPIASPPPPPPPAIEEEKNGNQFDHRFQRTDQWRPVYSWLESLATDEPVKSKDILDWLTENPEIREQLYTRHSRYHLMHYIKKCHMKILKRKEKKMGVQVTHKVHSDNVQTSVETKAIVPVQNTANNLSSLPLDSDLYKAKQKEALQKYEILIDLEKQLLNVISKCDNRAS >CDP09533 pep chromosome:AUK_PRJEB4211_v1:6:19837606:19839610:1 gene:GSCOC_T00028939001 transcript:CDP09533 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRGDKYWELLRQKVSSSNLLYFPIFDIIKEDLVLFVPEEQNQKPKMAIIKDIIETADRSMIVAGYMFYRPEEAELRNVRFEQPHGTREVFYSFHRDEFPAESVMHKCVAHFISLNQQIPPRIQYLGFIVQWVYNTRKRRLFELTHKHYSDNKRKEIDLLIQKTKSRLEDPPVIESEYCATGQ >CDP14163 pep chromosome:AUK_PRJEB4211_v1:6:18322009:18323251:-1 gene:GSCOC_T00040411001 transcript:CDP14163 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNLPEILKPFYQRAAEAETRLERLEAAIASDRNAGNDELLNKVRELQSKLENVKAEQALEREKAQKELKQLNAENAKLQYRITHLVRAVKDADCMLQSK >CDP15338 pep chromosome:AUK_PRJEB4211_v1:6:3923634:3926161:-1 gene:GSCOC_T00043029001 transcript:CDP15338 gene_biotype:protein_coding transcript_biotype:protein_coding MTITGIEDRRYWNWIPTDESRFQVVAYLQQIWWFEVDGIVKFPFPPDIYSLSFRLHLGRSSKRLLRRVCYDHTHGWDIKPVRFELSTSDGQQASSECCLDDTEQEEATGSQKRGCWVDYKVGEFIISGSDPVTEVSFSMKQIDCTHSKGGLCVDSVSIMPSDLGECRRRGVFK >CDP03173 pep chromosome:AUK_PRJEB4211_v1:6:9097936:9099687:-1 gene:GSCOC_T00041674001 transcript:CDP03173 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLWRKYADYLYYKWEKTILWDMLELYRRPKSFTPLVTLYIFAFYTGVIGSAITEQLYKEKYWEDHPGEAVPLMKPKFYGGPWRVMRGEVPPSIKQG >CDP17392 pep chromosome:AUK_PRJEB4211_v1:6:30928064:30933273:1 gene:GSCOC_T00008024001 transcript:CDP17392 gene_biotype:protein_coding transcript_biotype:protein_coding MLATTLHAIAMLLRTAKWDWFINLSASDYPLVTQDDLMSAFANLPRDLNFVQHTSHLGWKMNKRGKPVIIDPGLHSLNKSEIWWVIKQRSLPTAFKLFTGSAWTFLSRSFSEYCIAGWDNLPRTLLLYYTNFVSSPEGYFQTVICNSEDYRNTTVNHDLHHITWDNPPKQHPRSLGQKDYRRMVLSNRPFARKFKQNDRVLDKIDHEILKRHHRHFTYGGWCSKGADDLSLSCSDLQSENYGVLKPGTGARRLRTLLSKLLSAQNLNKRQCK >CDP06412 pep chromosome:AUK_PRJEB4211_v1:6:503394:504030:-1 gene:GSCOC_T00023248001 transcript:CDP06412 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNINAAVKCFNISKMKNSKGFKEVQSSSSRCFFKGLPGALVDSKVGVLASAESKPIPGGTVPKTKALFAVVTAGGSSFAAVDDSAMASGIFLVDGLKNSVSAYFPS >CDP02969 pep chromosome:AUK_PRJEB4211_v1:6:11066942:11067238:1 gene:GSCOC_T00041413001 transcript:CDP02969 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVWLEILNGFDFDVIFLNCPYFLGKDLISIELMKLQVKAYVKAIWHYVHPKSTGVDDPLLNHWMEPNLLRLDFVVVKKVGYFHQQECCVDAFLSG >CDP06767 pep chromosome:AUK_PRJEB4211_v1:6:3296111:3299303:1 gene:GSCOC_T00023727001 transcript:CDP06767 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFVVGVLGVLILSHAAYSTIQYRAMLKITEEEFSGPPINVVVELIAGLMLCMWAALTVPGKFLSILSHSDENRVVALPENLDFMIFNHRGKAIPMGIDAKLK >CDP14306 pep chromosome:AUK_PRJEB4211_v1:6:36052239:36054255:-1 gene:GSCOC_T00040625001 transcript:CDP14306 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISFEEAEKKKEKKKKKKERKNRKNQQQQQLENEGRAMATMETNGSAEGAELASFLSFALASNGSQHLQEDTGLIKITNHLEGVFSAVRRPPQTPWLKLFNELAADTIDRLAVS >CDP02921 pep chromosome:AUK_PRJEB4211_v1:6:11529284:11537675:-1 gene:GSCOC_T00041342001 transcript:CDP02921 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKEKLVRFYSDANQLKGFSWSGSTESSRIDKSTSGYRFSSSLLNNNSGGKIRESFRFGRSKAFSEDHEPLRKRILDPGSDIVLTWNRIFIVSCLVALFVDPLYFYLPSIGEKQKILCVKTDLNLRIVVTFFRTIADLFYLLHVIIKFRTAYVAPSSRVFGRGELVMDPKKIAQRYIRSDFFIDLVATLPLPQIVIWFIIPATRSQQANHKNNALTLIVLFQYIPRLYLIFPLSSQIIKATGLVTKTAWAGAAYNLLLYMLASHVLGAAWYVLSVDRYTSCWKSKCRKENSPVKCLLGYLDCDAPESNDFITWASNTSVFSSCDPNGLTDFKYGIFEKAVDKNVVSSSFVEKYFYCLWWGLQNLSSYGQNLSTSTFIGETLFAILIAILGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMRHRQLPEDLRQRVRRFVQYKWVATRGVDEEATLRGLPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTEGTYIEREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSIRLGPGDFCGEELLAWALLPKSTINLPSSTRTVRALVEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRHKRRMTAKDLLAMESFALDGRTEDENEHEEEQGDSAANSNSTPAKSHLGVTILASRFAASTRRGAQKLKGGGLPKLQKPEEPDFSAELED >CDP03032 pep chromosome:AUK_PRJEB4211_v1:6:10358338:10371983:-1 gene:GSCOC_T00041503001 transcript:CDP03032 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELENEQPPPQQQQQLTSYSENDHTYAENDQHQTENDQGTAGECYPGRPNPLPEGFMQKFRLYETGSNYYMIGRDKSRTCWRVLKINRLEAYELNILEDSTTYTERECSDLLRRIHEGNMSTGGLRFVTTCYGIVGFIKFLGPYYMLVITKRRQIGAISGHNVYAISKSEIIPLPNSAVRSSMMIDYRNENRYKRLLCTVDLTKDFFFSYSYHVMRSVQKNICDAETGNVLYETMFVWNEFLTRGIRNILQNTLWTVALVYGFFQQATLSISGRQFRLTLIARRSRHYAGTRYLKRGVNERGRVANDVETEQIVFENVPEGIPIQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDQRYEATRLHFENLVGRYGHPIIILNLIKTSEKKPRESILRAEFANAIEYINKDLSEENHLKFLHWDLHKHSRSKATNVLLLLGKVATYALTLTGFFYCQVTPALATEGCLKWPYFESSVDSDTQQPVQCEIENESNDNHHDDASENLDRFDRRLCTGNNVANGGGFGKPPILQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLRALGIINSTKIDLDDPLADELMGFYERMGDTLAHQYGGSAAHNKIFSQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQNAINVFLGHFQPQPGKPEVWELNSDQLYRESRNGQSKIDKNGRSLFKRSLSDGNILRETYTPVSTSNMSKDISNSLPDTPEGGSNILSESTPNIPTCENELSHLRYTPSMPVRELFEDLPRDRCQENENGDSYDCSNFVDLDWLSSSGNSCEEEILERSLLTSPIAGLSSDNVVSDLAGEATPAISECGSSMKGRDQTGTELCYDDSYGSEVHEEFSDCFVHWVTYGETLCH >CDP14209 pep chromosome:AUK_PRJEB4211_v1:6:18900407:18903954:1 gene:GSCOC_T00040474001 transcript:CDP14209 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNALPRRAHKERAQPEARKKFGLLEKHKDYVVRAKAYHKKEQALQKLKEKAAFRNPDEFYFKMVKTRTVGGVHRPESEANKYTPEELLLMKTQDMGYIMPKIQSEKKKIEKLAAILHSLDNQSSKGHVYYAEDREEANELQLKKSAHTQVSIDENLPNHVKRKTAASYRELEARKSRVNDLEKLYMDMALKKELQKKGRKRKLREDEIVCPTSKPVYKWRAERKR >CDP03006 pep chromosome:AUK_PRJEB4211_v1:6:10670282:10674463:-1 gene:GSCOC_T00041467001 transcript:CDP03006 gene_biotype:protein_coding transcript_biotype:protein_coding MERCFYFLDAVTSATPPMLDLQSPIKHSSSALFFLLGGMVVLIILLVLFFIYNKFIKTGRLKMFTAGPKKGLCGTTNVLSGNLHTISYYDFETLKKATKNFHHANLLGRGGFGPVFLGKLEDGKLIAVKQLSLEKSQQGESEFLSEVRMITSIQHKNLVRLLGCCSDGAQRLLVYEYMKNRSLDIIIYGKSDVFLNWSTRFQIIIGVARGLQYLHEDSHFRIVHRDIKASNILLDDKFQPRIGDFGLARFFPEDQAYLSTTFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLALPSEKQYLPEYAWKLYEKSKLMDLVDPKLREDGVLEKDVLQVLHLAFLCLQPHANLRPPMSEVVAMLTCKVELDEAPIRPAFLDRKRRNNDPASWDTISEVFPSPQRSSSNSFPKPGIPPASSPKQINPTTLPKSASISLSS >CDP10374 pep chromosome:AUK_PRJEB4211_v1:6:7073010:7075220:1 gene:GSCOC_T00031083001 transcript:CDP10374 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSPFTSKASAFHIPPESNPPCSLLQKHPSLSLLSGCKNMETLKQIHAQFIKFGLHNTHFGLSKLVGFCALSPSGNSNYALLIFDAIENPTEILWNTIIHGYALSSNPELALEFYVKMVSLGNYPNSYTFPSLFKSCKKLMAAQIGKQVHGCVVKLGLDNAVSFTALIGGYVSRGCLDEARELFDGIPVRDVVSWNAMISGYAEVGRFGEALSLFENMWKLNVTPNESTLVSVLSASLAVFRHMQLERVEPNDVTFLNILPACAHMGALDMGRWIHVYINKNCHHLQNSSLWTSVIDMYAKCGSIEAAKQVFFGLETKSLSSWNVMISGLAMHGNGHGAIDLFSKLIEEGIEPDDVTFVGLLSACSHGGLVELGRLHFSSMVQDYKIQPKLPHYGCMIDLLGRAGLFDEAKSLLECMDIEPDGAIWSSLLGACRIHGNLELGEYAASSLFKLDPNNHGAYVLLSNIYAGAGRWNDVARIRTMLNDNGLKKVPGCTSIELDSVVHEFLVSDRTHPQSEDIYRMLDEIDRRLEMAGHVPNTSEVHYDMDEEWKEGILSQHSERLAIAFGLISTKPGTTLRIVKNLRVCGNCHSATKLISKIFNREIIARDRNRFHHFKDGCCSCMDYW >CDP03143 pep chromosome:AUK_PRJEB4211_v1:6:9370562:9376733:1 gene:GSCOC_T00041638001 transcript:CDP03143 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKYRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISERKVSTSIEALCRGYPTEFASYFHYCRSLRFEDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQIAAPPSRAVGPSAGTSSGMPPAIPNIERQQGEEDGRQAGFSSADPSRRRSSGPLLNVGSLSKQKSPIANDSTSKEVGLSSSAYLGRSSGSLRRGAIAGSRETFTAGNDSDPTRSRTPEASPGTAQKISSGQRTLPLGGSSDPRHTSSGKNNSGVKNFESTLKGIESLRFDD >CDP10197 pep chromosome:AUK_PRJEB4211_v1:6:13285566:13296218:-1 gene:GSCOC_T00030841001 transcript:CDP10197 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVVDLGSHTCKAGYAGEDAPKAVFPSVVGSIDQMEVDEPDNPDKNSGSVPDSKSKAKRKLYVGSQALGFRRDHMEVLSPIKDGIVADWEIVESIWDHAFKECLLIDPKEHPMLLAEPCANSQQQREKSAEIMFEKYQVPALFLAKNAVLTSFASGRATSLVVDSGGGSTTVAPVHDGYVLQKAVATSPIGGEFLTDCLMKSLESKGITIKPRYAFKRKDIRPGEFQTVDLDFPNTTESYKLYCQRVIASDIKECVCRAPDTPYDDSSYSNIPMTSYELPDGQTIEIGADRFKAPDILFNPSLAQTIPGMENFAETASSVRGLPQMVLESINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEFEEHGASYVQRKCP >CDP10187 pep chromosome:AUK_PRJEB4211_v1:6:13433816:13437032:1 gene:GSCOC_T00030823001 transcript:CDP10187 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYYSPVLDSMTYPVDSIRKNLDWVHLRSYDYHMSSKDKFTGAHAALYDPLSRLNTDFGIKNWISKGLPANKLVLGLAYHGYAWTLLDPKNNAIGAPAAGLAITKDGSMSYKYIKWYMTSYGATSVFNSTYVVNYCTIGSFWIGFDDVEAIKTKVSYAKEKGLLGYSIWQVPNDDTKDVLSRAAQAAEDQQKKQHLLFILLPASAIPFLLVAIICYLKRKFILSKVRQITDSGKRSIPPHLQAFSFSKIKAATKNFSEENKLGEGGFGPVYKGKLKDGQEIAVKRLSNTSTQGSEEFKNEVTLAAKLQHVNLVRLIGICTEKEEKILIYDYMPNKSLDFYLYDPTRRLFLDWEKRLAIIEGVTQGLLYLQEYSAYTVIHRDLKASNILLDSELKPKISDFGIAKLFKKDENEGNTSRIIGTYGYVPPEYVKRGMYSRKYDVYSFGVLILQIISGKRSSCLYGMHKNLNLLEFAYQRWKDGSALEFLDPSLDDDQSSCKLLRCMQVALLCVQEKWEDRPSMLEVSSMLKNENESLPTPKMPAFSTNEHKDVETNFRTDEEFCSVNMATVSDLMPR >CDP18642 pep chromosome:AUK_PRJEB4211_v1:6:15153320:15155505:-1 gene:GSCOC_T00004131001 transcript:CDP18642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 and C2H2 domain-containing stress-associated protein, Stress response, Regulation of the expression of stress-associated gene [Source: Projected from Oryza sativa (Os07g0569700)] MGTPAFPNLGKHCSVEDCRLIDFLPFTCDSCHQVFCLEHRSYGRHHCPKANKNDVTVVVCPLCAKGVRLIPDENPNITWESHVNIECDPSNYDKVTKKRKCPVSGCREILSFSNKIRCKDCTIEHCLKHRFGPDHRCPGPKKIESTFQFSSFLSSGKQQSKQNPVSSSSSWASSLFRVASSVRATAESGVARLSSDFKDILQGSGSSGGSSSTSHGGSTSGQVEQCPQCNLRFSSVMDLVNHVERVHERNGVMKVTLDVCPKCSKGFRDPVSLVEHVEREHRGTSKA >CDP06618 pep chromosome:AUK_PRJEB4211_v1:6:2142480:2146852:-1 gene:GSCOC_T00023528001 transcript:CDP06618 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAVALIVSSWIVPISILVNHIVPEPYMDEIFHVPQAQKYCKANFTSWDPMITTPPGLYFVSLAHVASLFPGIHLVNNASSFSDACSVSVLRFTNGVLAVFCSILIYDIITHLRPALTDRKATFHALVLTLYPLHWFFTFLYYTDVASLTAVLAAYLCCLKKNCWISALLGAVAVLMRQTNIIWILFIVCSEVIKLTLAQQKDDLLPEKSSMSEVKVASSTSRNGATSGSNLRKRRSGHVDTVNHSVAPTSVHAIPHSAGLCEEMQNMLSNIWQLKWELVFSFSPFFLILVAFLAFVYWNGSIVLGAKDAHKVSPHFAQLLYFSLVSALFMAPVHFTSGQFVALVQSLWKKKPFSFFQLVIALTISFLSVHFFSIAHPYLLADNRHYPFYLWRKVIASHWIMKYLLVPLYVYSWFCIFNTLVKTQKKIWVIAYFLASAATLIPAPLIEFRYYTIPFFFLVLHSDVDDTISWLLMGLLYVAINLFTMSMFLWRPFYWNHEPGLQRFIW >CDP03183 pep chromosome:AUK_PRJEB4211_v1:6:9041529:9043924:1 gene:GSCOC_T00041687001 transcript:CDP03183 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCSHCGNIGHNSRTCTAYSSGNISVMAAGLRLFGVQLDVSCNSAPSSSNSNSIAMKKSFSLDCLSSSPTSSPSPSSSLSSSRISIGENSDKISIGYLSDGLLGRPQERKKGVPWTEEEHRSFLVGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRQASLNKKKRRSSLFDMVGSKNAIVRHQVFDLSFELNNDTTSANCLSSKASSDLDTAAHLLDLNSFAVDATTNHQDCIEVQEGSSSHHMDIDSMSIWPAASGSSSYQLSNISSITSTTGAPDLELTLAAPKTAAQNNPPPPPASILIMGPISVI >CDP14210 pep chromosome:AUK_PRJEB4211_v1:6:18904042:18908812:-1 gene:GSCOC_T00040475001 transcript:CDP14210 gene_biotype:protein_coding transcript_biotype:protein_coding MKSITQILTKPNPLIANSLLQNLFSRSHPKHSSSSTSIRSISSSSSAYNYTQLESVPKESVDCVVIGAGVVGIAVARELSLKHGREVLVVESGPTFGTGTSSRNSEVIHAGIYYPFNSLKALFCVRGRKLLYRYCKEHEIPHEQMGKLIVATRSSEIPKLDALLNRGIENGVDGLRLMEGYEAMKLEPELHCVKALLSPASGIIDTHSLMLSLVGEAESHGTTFSYNASVIGGHREGNKIQLHISESSALKSWGASSPLHPELILNPKLVVNSAGLSAPSLARRFCGLDSGVIPTPYYARGCYFTLSNVKTPLFQHLIYPMPEDGGLGVHVTLDLNGQVKFGPDVEWIDCIDDISSFLNLFDYSVCKDRSKRFYPEIRKYYPNLKDGSLEPGYSGIRPKVSGPKQVPADFLVQGEDIHGITGLVNLFGIESPGLTASMAIAEYVAAKLITN >CDP18646 pep chromosome:AUK_PRJEB4211_v1:6:15220707:15221285:1 gene:GSCOC_T00004137001 transcript:CDP18646 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHIQLVKCTIHNKAQPLQLLPQGDKITHSLEQCSRRQALLKNSAQISTNLCRSYDQSSFIRKQMKVIQGPFSLFPPHTQGSLLLPAHPHSPQQ >CDP06505 pep chromosome:AUK_PRJEB4211_v1:6:1272776:1278567:1 gene:GSCOC_T00023378001 transcript:CDP06505 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNPLIRSVFNLVALAWADILIAYAMWMLMIYLTDVWKLAFTHSAGIINVYMGLTGIMPLFMQFIADTVMGNYWMLLFSSLAYCTGMGFLTMSTPPPECIGDTQHILFYAALALIAVGTAGHVTSLASFLGEQLMGAIENISTRSIISAYIGNIVTFFVSVVAVLGLPYIKPWSLHFGIPTICILVATIIFLSGSRTYTYVGPQGSHLTMVLRVLVAAASKLFRRHPADRYELHGMSDCPLPRTRFLRCLDKAALVLPDQSLEQQQNNRWRLCTVTEVEATKLIIDLIPMAISFIFLGLLSSLGYTYFIEQAKNMNHKVGSLAIPLTIFFWFYIQAKMYFPALYFSVSGLFCGFNTRTVAPVIGIMVSMVLGALCCVTAAKVESHRLHVVKSHGLLDKPDERIPLSVFWLLPQFILLGAVEGMFEISAAAFFDLYFTPALNRYMLLFGCVVHGLGCIGSILSVYAVGKVSERGGMPSWFQDTLNKSRLDNYYWFLCCLCAINLVLYVVIRFWYAWRSTDAQDQDDSEDSSCCCCCFRSGNAK >CDP06491 pep chromosome:AUK_PRJEB4211_v1:6:1160312:1165687:1 gene:GSCOC_T00023360001 transcript:CDP06491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MSSWSLHLSLPKVRSESWQRSFPLRPRVKLHPNLQILCTSPHSDIRGGRPDEDQESEPPRRKDRRGQGNVILVERYGNGTSKRYILDDDSGIRTFIEEQVSQSNGSHGSQTSDMELPWLPQSVKDFWLPTGFPGSVSDDYLAYMLLQHVNLTLAINSIWAVGVGSFSGTTAAASAAAIRWVSKDGIGAVGRLFVGGRFGSLFDDDPKQWRMYADFIGSAGSIFDLTTQLYPAYFLPLASLGNLAKAVASGLKDPSFRVIQNHFAIAGNLGEIAAKEEVWEVAAELLGLAIGILALDTPGFSSSYSSLTLTWLSVRVLHLWLRYQSLSVLQFNTINLKRACILVNSHVVHSTIPGFRECNRMENILVWQKFSKPRLQFGASLEELVGGERCSSMVRFLLKLYAKEKHILAVNQMQLRELEVFITFKEGATSLSVLRSVWQAHWLYQNWGWSNNVQDQLEQSLGKLEERFDDFMEQLESSGWDPSQLNLKVPKEISIEEPAAVLSNNNP >CDP03106 pep chromosome:AUK_PRJEB4211_v1:6:9696254:9699039:-1 gene:GSCOC_T00041592001 transcript:CDP03106 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMSKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGKRIRELTSVVQKRFKFPENSVELYAEKVNNRALCAIAQAESLRYKLLGGLAVRRACYGVLRFVMENGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLSWDPKGKMGPTTPLPDLVTIHPPKEEEDYIRPTLVASEIEVLA >CDP12645 pep chromosome:AUK_PRJEB4211_v1:6:15665848:15670379:-1 gene:GSCOC_T00036348001 transcript:CDP12645 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLAGYSSSFSTIIFRCPLKNPLMEYAYRNRIPLVNGSAGSCNVLCREIIRKRRRISSRYFGKLMMIRACYSNNDDNSGSGEGEDKESSSLATAAKEDTEERRNGGGEDLDSEDFPGSVSSRQPTISPVGSGYNNFQVDSFKLMELLGPERVDPMDVKIIKEKLFGYSTFWVTKEEPFGDLGEGILFLGNLRGKREEVFAKLQNQLSEVMGDKYNLFMVEEPNSEGPDPRGGPRVSFGMLRKEVSEPGPTTLWQYVIALLLFLLTIGSSVELGIASQINRLPPEIAKYFTDPNAVEPPDMQLLLPFVDSALPLAYGVLGVQLFHEVGHFLAAFPKKVKLSIPYFIPNITLGSFGAITQASISSHPFLHFGT >CDP06372 pep chromosome:AUK_PRJEB4211_v1:6:152241:165148:-1 gene:GSCOC_T00023194001 transcript:CDP06372 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGWSASCICTTSMIVSIVFAVSLYCCCCSPGLLALAQRTHPSEVSALHAIAINLIDTNNVLENWRKGDPCIKNWTGVLCFDAFGADGYFHVRVLLLMNKNLSGTLAPQLGQLSQLHILNFMWNHLTGSIPKEIGNIASLRLLLLNGNKLSGSLPDELGYLSNLDRFQIDENQLSGSIPKSFSNLHRIKHIHFNNNSLSGQIPPELSNLTTVVHLLLDNNHLSGYLPSEFSTFPQLSILQLDNNNFSGAEIPAAYGNLSNLVKLSLRNCSLEGPIPDLSWIKNLSYLDLSQNQLSGTIPPNNLSNNLTTIVLSDNQLNGSIPESFSYLPLLQKLSLDNNFFTGSVTVDLWQNRSFSSAARLLIDLQNNSLSNIIGDLDPPVNVMLRLQGNPVCRNANIKNISPFCGPGADINDVPSNSTNSNKPCPIQACPIDNYFEYVPESPVPCFCASPLRIGYRLKSPSFCYFRPYEYAFESYLTSSLSLNLYQVSINSYSWEKGPRLTMYLKLFPVASADRSGYFNTSEILRIRDIFTSWKFHGNDFFGPYELLNFTLLGHYSYVNSETSGNSMSKGILVAIVLAAVVVAVSISATITVFVTKRHKRYQLAPSRRRLSSKLSIKIEDVKSFTFEELALATNHFSSSTQVGQGGYGTVHRGTLADNTIVAVKRAKEGSLQGQKEFLTEIELLSRLHHRNLVSLLGYCDEEGEQMLVYEFMPNGTLQDWLSAKTGKTLNFGARLHIALGAAKGILYLHTEANPPIFHRDVKASNILLDSKLTAKVADFGLSRLAPVVDDEGALPEYVSTFVKGTPGYLDPEYFLTRKLTDKSDVYSLGVVFLEILTGKQPILHGKNIVREVNLAHQSGAMFSIIDTRMGSYPSECVERFIALGLKCCQDKPEDRPSIVDVVRELENILRIMPETGVDSSESRSKFFSESVSPSSLSANTSRDLYALSSTSGGGLITEASLSVTPR >CDP03343 pep chromosome:AUK_PRJEB4211_v1:6:7781285:7782315:1 gene:GSCOC_T00041891001 transcript:CDP03343 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVILHKASWCITAVQYHCFLRTEEEERRGGGVVPASSSVTFESYKFFDCFGCLCA >CDP10401 pep chromosome:AUK_PRJEB4211_v1:6:6891161:6892756:1 gene:GSCOC_T00031117001 transcript:CDP10401 gene_biotype:protein_coding transcript_biotype:protein_coding MIHNSVGKTTRTNAILGFLTEKCKSVHQLKQVHAHFLKLYLPENPSSIAPLLSFAATSKNPAFFSYARTIFQNLQYKTTFLYNTMIRGYVQSHLAKPAISCYKSMLKDGLIPNNYTFTPLFKACSLVSQEFNLAGLLVHCHVLKLCLVYDPFISSSLIEFYSSSLEMDKARMLFDEIPRRDVVLWTTMIDGYGKVGQVEEARALFEEMPERNVISWSALMAGYSRSGDFKEVLDLYRRMEEAAVKPNESVLVTVLTACAQLGALSQGLWVHSYARSCGYEDNQILATALVDMYSKCGLVELASSVFYGIVNKDSGAWNAIISGFAMNGYATRSLELFDHMVLGGTQPSEATFVAVISACTHASMVDKGLWLFGQMSSVYKVEPRLEHYACVVDLLARAGRLEEAEEFIEDKIGGISQGDANIWGALLGACRIYGNTAMGDRIWRKLANMGVTDHGTHVLSYNIYRQAGSDMEARRVWRLMEDTGMKKKPGCSAIEVNGLIEEFRAGAFVHPKAPQVCQTLDSLFNVMHLAN >CDP14268 pep chromosome:AUK_PRJEB4211_v1:6:36668251:36674930:-1 gene:GSCOC_T00040561001 transcript:CDP14268 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKELCRNFLRGSCQYGDRCKFLHAAQTPARQNPFGLGMQTGNQFQHANLQQQKPNPFGFGVQNSSQPRGAGDFSSKQNQFKAFENKWTRSSQANTGNSAASRQPDNQPPSHKCTDPESCKRQILEDFENERPLWKLTCYGHSKYGPCDIVGDISYEEFRATAYDDAKRGLSLQSIVERERNLLNSKLVEFDSLLRSPYKGLSNSAIGLQSPFPISVRNTSVSAQNSKPPSFSSFSQLGTSLGGGATASPNNFFGQQHAFQSTSQTSSTFKMTNSPFQNSGFGSQLPSQTLQSPFASGSASFGNSSVNTVQNPLFASTVSAQTSTLSNASNNNMKSGFASFSNSSVQNPFSTSAVSSQSSIFGDNTNALFSVNANVNEVGLSAGNIDLKLTTPEENLNGDNSIWRKEEWGIGEIPEAEPPVEYCR >CDP06561 pep chromosome:AUK_PRJEB4211_v1:6:1683198:1685142:1 gene:GSCOC_T00023455001 transcript:CDP06561 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSRDWKLLTFILFMFIRTHADLPGSWELLVPDAGIASMHTAVTHTNTVILLDRTNIGPSRKLLPPHRCRHDPNDPVLKVDCYAHSALLDLTTNSIRPLMILTDTWCSSGQFLPDGTLLSTGGDLDGFTKIRKFTPCTESTPNSASSLCDWQELEDIQLSQGRWYATNQILPDGSIIIIGGRASPSVEFYPPRKTGAVNFPFLNEVEDNQMDNLYPYVHLLPNGHLFIFANNKAVMYDYNSHSIVKNYPVLEGGPRSYPSAGSSAMLALAGDYSSATVVVCGGAKYGAYLHRTTDSPANGSCGRIEATGPDPVWEMEDMPFARIMGDMVVLPTGDVVIINGAQAGTQGFELASNPCLNPVLYRPDQPVGLRFMTLNPGVVPRMYHSTANLLPDGRILIAGSNPHYFYNFKRAFPTELRIESFSPEYLSADKANLRPVFVELPEKVAYGAAFDAVVTVELPVVGVVEVNMASAPFATHSFSQGQRLVKLSVTSAIPEGPGRYRIGCVAPPDGRVAPPGYYMVFAVNQGVPSIAQWVHLAS >CDP06378 pep chromosome:AUK_PRJEB4211_v1:6:204952:208870:-1 gene:GSCOC_T00023201001 transcript:CDP06378 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRHPLLFSLLNCLFLVFVARRVLADNYVPTDKILLSCGGPSQSTDIDGRKWYSDIGSKFALASSNSSVFPAAVQKPSVPQVPYMNARIFQSNYTYRFPVAPGRKFIRLYFYPTSYGGLNASNAIFSVTAGRFMLMKNFSAAQTAEALNYDFITKEFSVNVPSGVLNLTFSPASDPGNSYAFVNGIEVVSHPDIYSAEGPSLLAGQNAPFSIDNTTALENVYRLNVGGNDISPSNDTGLFRSWIADSNYILGSGFGVTNAADPNVTINYPPGTPSYVAPLDVYRTFRTMGPNANVNLQYNLTWLFSVDTGFYYLVRLHFCEGFENISLINQRVFDIFLNNQTVQKAADVIAWGNTNGVALHRDYMVFVPNVGTPQQDIWLALHPNPGTKPSYYDAILNGVEIFKVNNTDGNLGGLNPTPLPNELAVSSTTSSGRSKKGKAVIGGGVGGGIAAVLLIGLLVCAISRRRRRHQKDSTASDGWLPLSLYGNSHSSGSAKTNTTGSYASSLPSNLCRHFSFAEIKAATNNFDEAALLGVGGFGKVYRGEIDGGTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALNPALPKEQVSLAEWALHCYKKGILDQVMDPYLKGKIAAECFKKIAETAVKCVADVGTDRPSMGDVLWNLEFALQLQESAEESGTEGVGLVGGVDLQEGTFDGEEVTGKGRKDPNGGGGFEDSRSSGMTMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >CDP10376 pep chromosome:AUK_PRJEB4211_v1:6:7056802:7059920:-1 gene:GSCOC_T00031085001 transcript:CDP10376 gene_biotype:protein_coding transcript_biotype:protein_coding MPWATLGNFKKVLGNFRNNHIGLSLKPCHRNKMRFPNPPPPVPPLSPPQPPLPAYTQRPFSDGDVGGFWPGLPLEYPGFPAGFPVNHEDLDLYERFEHAPPPKRPRNSDNNNAVSEPFSTLINPRMNPSNPLGSKGTSHIFYKTRMCAKFSFGSCKNGEKCTYAHGIEDMREPPPNWQEFLAEKDGAARTWTDDQRLIHKMKLCKKFYNGEECPYGEKCNFLHERRIPSFSSLDERPRSKTDLPMQRESSVISIGTRGSSIGNPSDYDQPEVSRPVDPNMDALRPKTSSWKTKLCSKWEITGRCPFGERCHFAHGQSELNVSTAQVDVEVTVNSSPFQTKPVSIPVFDSSAANLEVGAPVPEVMQDKNFSWWRPKKKMNKIYADWLDDQTPPHGSPNEVEDR >CDP02916 pep chromosome:AUK_PRJEB4211_v1:6:11594973:11596962:-1 gene:GSCOC_T00041336001 transcript:CDP02916 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKSQKLSRVCQENLVLGREPAMEFLQPIGAAVMVLQESIKLLPKNGKLVALTIVPTLLFSSLFFLVFNFSYKSLLRDMLMRESMLPLTSANSAEFSSILAHLKEDFGLMLVVDLTFILGYYIISLLSIIATILVSTISHTERTLSSKDFALLVLRSWKRPLITGFYTTLLDIGYIFLVLFVASPVMMLFSNSMEEVFFTMYLVGIAASIVYLYLSVTWILGIVTSVIEEDCYGIQALGKAGDLIQGMKIQGFVLNMMFALLSVVVFQGFRIIRGHKWLVNQTIFGLFLVNVSCLLRHSQFVGYTVLYFQCKKKHGEEIELQGEVEYTKISSTPLADVGMP >CDP15344 pep chromosome:AUK_PRJEB4211_v1:6:3986393:3987241:1 gene:GSCOC_T00043037001 transcript:CDP15344 gene_biotype:protein_coding transcript_biotype:protein_coding MELHVNQFVWGVAILIPSLLLLLRHKKSSHNRLPPGPPGWPIFGNMFDLGSMPHRTLAGLKNKYGPVVWLRIGAMNTMAVQSSKAAAELFRNHDISFVERTVTENMKSHNFDKSSLSLAPYGSYWRVLKRMMTVEMIVNKRINETVAIRRKCVDDMVSWIKKEAHAGKESWRGIHLAHFVFLASFNMLGNLMLSKDLVEPEKEEGVEFFSAMVRLAEWVGHPNIVDLFPWLRWLDPQGLRKKTAGEMWKTTQIVSRFVKERLQERQRGGPRKNDFLEVLLRI >CDP06462 pep chromosome:AUK_PRJEB4211_v1:6:933078:944621:1 gene:GSCOC_T00023324001 transcript:CDP06462 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAC1 [Source:Projected from Arabidopsis thaliana (AT2G38280) UniProtKB/TrEMBL;Acc:A0A178VY66] MDTYALHLAMAALVGASAVAVSAYYMHRKTLNHLLEFAKTIERERERGDAHFKRYGSVDKRRNHGRRKGSGYYRRGSASLPDVTAISGGMDILDDRRNGPVHVDSIPIGLPRLHTLPEGKSSHASSAKRAGHLIRPTSPKSPVASASAFESVEGSDDEDNMTDSAGLNDPYLHANGNADLPDHRNANNGEQMAMSASSMIRSHSVSGDLHSVQPDPVAADILRKEPEQETFVKLKIAPRETPSPDEVDVYRNLQVCLEMRKTYVFREAVVPWEKEIITDPSTPKPIPNPFDYMQERKSDHSFQMEDGVVQVYANKEATEKLFPVADATTFFTDLHYILKVIAAGNIRTLCHHRLVLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLSGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQENLIQGRFLGELTKQVFSDLEASKYQMAEYRVSIYGRKQSEWDQLASWIVNNDLYSENVVWLIQLPRLYNVYKEMGIVTSFQNILDNVFLPLFEVTVDPDSHPQLHVFLKQVVGFDLVDDESKPERRPTKHMPTPAQWTNIFNPAYSYYIYYCYANLYTLNKLRESKGMTTIKLRPHSGEAGDVDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDICEIARNSVYQSGFSHALKSHWVGKEYYKRGPDGNDIHKTNVPHIRLEFRDMIWREEMQQVYLGNSIFAEHIDP >CDP14310 pep chromosome:AUK_PRJEB4211_v1:6:36008681:36014507:-1 gene:GSCOC_T00040629001 transcript:CDP14310 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFLRLAMLLWICFCYGVCRSCAVASSSASPAMCRSPSPQSNPPFLTHLLSQCHLSYYRPNFPVEMNGDSRESALSSKSGNTYTAVLFYASWCPFSQDALSAFEVLDSLYPEIDHLAVEQSSTVPSLFSRYGIHSLPAIIIVSQKSRTRFRGSKDLDSLIKFYKKTTGHEPVQYIAVDQSGNSGIGGKSMVVSRCGSSAKETLTREPYLFFSVLFLSLRVMVYVCPKFLFHSRNLWVSFRPHLNLEIFGGTSEILGQIFQMTDFKRVWTKIRLCNHRNFHLGASNARVFASSFASVSLGETSLSKL >CDP10640 pep chromosome:AUK_PRJEB4211_v1:6:4853637:4866826:1 gene:GSCOC_T00031418001 transcript:CDP10640 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-linked N-acetylglucosamine transferase, Negative regulator of gibberellin (GA) signaling, Brassinosteroid (BR) synthesi [Source: Projected from Oryza sativa (Os08g0559300)] MAWTDKDNGNVKERDLAGENGFLNGGQPSTVSGGSQVSNSPVKKIFEGKDALSYANILRSRNKFVEALQIYESVLEKDSENVEAHIGKGICLQMQNMGRLAFESFAEAIRLDPQNSCALTHCGILYKEEGRLVEAAESYQKALRADPSYKPAAECLAIVLTDLGTSLKLAGNTQEGIQKYYEAIKIDPHYAPAYYNLGVVYSEMMQYEVALNCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQAILMALSIKPNFSQSLNNLGVVYTVQGKMDAAANMIEKAIVANPTYAEAYNNLGVLYRDAGSIFLAIDAYERCLKIDPDSRNAGQNRLLAMNYINEGTDDKLYEAHREWGSRFMRLYSQYTSWDNPKDPERTLTIGYVSPDYFTHSVSYFIEAPLVYHDYENYKVVVYSAVVKADAKTIRFRDRVLKKGGIWRDIYGVDEKKVANMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRISDPLADSPDTKQKHVEELVRLPACFLCYTPSPEAGPVSPTPALSNGFVTFGSFNNLAKITPKVLQVWARILRAVPNSRLIVKCKPFCCDSVRQRFLSTLEQLGLDSTRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMGGSVHAHNVGVSLLNTVGLPNLVAKNEDEYVQQAVQLATDVTALSNLRIGLRDLMSKSPLCDGPKFTQGLESAYRNMWRRYCKDDVPSLRWMELLKKEQAREQAQQQNSHEQEVVPEEPVIKFSDPTRISTSNDGPFRSVMVNGLSLGQSSIISHPSSVEENGV >CDP10212 pep chromosome:AUK_PRJEB4211_v1:6:13096008:13097153:1 gene:GSCOC_T00030865001 transcript:CDP10212 gene_biotype:protein_coding transcript_biotype:protein_coding MITKTQKFQVFIQSPELQIPTQALNVENPNPNFTLQDLKSSLFAKAHQNLSSLYFTLNGKPLSDSTKLPNSQIAPLSTLTLRCRLLGGGGDGGATGAESRDCYLNMYATKKPDKVDPNETRLSKWLNCAISNEPLKRPVVIDKLGNLFNKEALVGALLMKKVPKEFWYIKGLKDMIAVELDVIPGLEDERGSRTGAKFQCPITGLEFNGKYKFFALRSCGHVLSAKALKEVKSSACLVCHKEFSESDKMPINGNEEEVTVLRERMEAERVNIKDKKVKKVKTGGLVANGAEDGSVGLPRLSGTKRGIDTKVPDKASAKVEGNSKLVSTGKVETNGTAKRFKAVDAAPANATKEVYASIFTSSRKSDFKETFTCRSLPLGRN >CDP16335 pep chromosome:AUK_PRJEB4211_v1:6:29453065:29458067:1 gene:GSCOC_T00018144001 transcript:CDP16335 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDKHVIYHNPVAPGFVLEIEACDPLSRVVTLAHVCHIKEDQIADNIIGVLFAAQDTTASVLTWIVKYLHDNPKLLKTVKAKQKAIYQSNTKEHCQLTFARVPNICGQELGASQTWAQTREMPLTYKVYLRIASIISFIFREAVADVEYKVYLIPKGWKVMPLFRNIHHNQEFFTKPQRFDPSRFEVAPKPNTFMPFGSGAHACPGNELAKLEMLVLIHHLVSKFRWEVVGSNSGVQYGPFPVPLRGLPARLWKESTA >CDP02894 pep chromosome:AUK_PRJEB4211_v1:6:11906995:11910021:1 gene:GSCOC_T00041302001 transcript:CDP02894 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEDQKPLIFMVVLQFVYAGITLSTRASLLQGMSPRVFVVYRQALAALLVAPVAFFRSRKGGNTCSLGWKNFCLIFLVSLVGVTVNQNIYFEGLYLASSSIASAMGNLLPAITFVLAYIVGQEKINIRSLRSKAKFIGTIICVTGAISMVLLKGPKLLNKEFHSDPIHSILRLSKGGETWLLGCLLLFASNCCWSIWLILQAPVSKSYPDHLSLTAWMCFIAAWQSAIVAFFIEKDLDAWKLQTPLELGCLFFTAGASAISFFAQAWCICKRGPLFSAMFNPLSTVIVTFIAFTFFREELYIGSLLGAIAVIFGLYIVLWGKAKDHMINSDVEKKPEEEKINYTIVVDESTDKLSCRIDLEEPLLHKQTIDLKDDQKH >CDP02863 pep chromosome:AUK_PRJEB4211_v1:6:12297182:12307361:-1 gene:GSCOC_T00041261001 transcript:CDP02863 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRKLIPFLHCTQSCRIFDSGLRECVRGSNFCRYYVTNVMSSKERLLKLEEVENQVKTANDQISEDEIEKIRREFNGAKQRFLKVPDAVKQMPKMNPEGIYVNKNLRLDNIQVYGFDYDYTLAHYSGNVQSLIYNLAKEHLVNEFKYPESCLDFKYDHSFPIRGLYYDKSKGCLMKLDFFGSIEPDGCYYGRRKLSQQEIEQIYGTKYIGRDQAQRLVCLMDFFCFSEACLIADIVQHFVDAKLEFDACYVYEDVNRAIQHVHLSGLVHRRILSDPHRYLVKNGQLLCFLRMLREKGKKLFLLTNSPYFFVDGGMRFLLQESLGQQDSWRELFDVVIAKANKPEFYTSEHPFRCYDVEKDTVAFSKVDAFLPNKIYYHGCLKSFLQITQWKGPEVIYYGDHLFSDLRGPSKAGWHTAAIIHELGNEIRIQNDDNYRFEQAKFHIIQELLGKLHATVANNQRSEAYRSLLVELNEERQKARYTMKSMFNSSFGATFLNDSGQESSFAYNIHQYADVYTSKPENFLFYPPDAWLHVPYDIKIMPHHVKVASSLFKT >CDP02908 pep chromosome:AUK_PRJEB4211_v1:6:11641776:11642777:1 gene:GSCOC_T00041325001 transcript:CDP02908 gene_biotype:protein_coding transcript_biotype:protein_coding MGATMRVLMMIAMAASLVSVSYAATGTATFYTPPYVPSSCYGFQNNGVMIAAASDAIWGNRAACGRNYRVTCTGRTNLGVLQPCRGSVVVKIVDYCPPGCRGTIDLSQEAFAIIADPNAGKVNIEYHQV >CDP06646 pep chromosome:AUK_PRJEB4211_v1:6:2325071:2328395:-1 gene:GSCOC_T00023566001 transcript:CDP06646 gene_biotype:protein_coding transcript_biotype:protein_coding MDICCPHDMPVSEDVSSFCALSLGPPDNPNDHNKNLYDDLGNRLTEFLHIQNKQKSSSVAVPSPNDGQIGTSAKEVGYKESHGNELDKLTNEKCLAKCATFPCSAESKSSADVLDMEERHKEDLTPGDSVENGHDQSANCPYPRSISLPTPLKLVSAMKGSREKQGAPPQKLTVTWAADVYDPVPTSVSHVPSSKPQRYRNDNRRNGKNKLKASGKTSRGNRGKDKKQSRKGGGRSNRSFKPVDDEGNGACFSGPHRNAIDFDVGSPDPFCGQSFLKKSVTSIHFSVTEAT >CDP06256 pep chromosome:AUK_PRJEB4211_v1:6:24236813:24239958:1 gene:GSCOC_T00022980001 transcript:CDP06256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G01395) UniProtKB/Swiss-Prot;Acc:Q8L838] MASSDGDGGGASISSSNTVSSSSVKFGTAEALEHVRKLTDVGAMTRLLHECIAYQRALDLELDSLLSQRPNLDRQLSALNKSADVLHIVKADSDHLLSNLLAANKQLQGIVRKRLSAAVDQRDHPTILRFIKLYPPLGLEEEGLQAYVNYLKKVISMRSRMEFDQLVELMKEQSQSDSNSGRVNFIACLTNLFKDIVLAIEENNEILGNLCGEDGIVYAICELQEECDSRGSLIMNKYMDYRKLAKLTSDINSYKSNLLSAVAEGPDPREVELYLEEILSLTQLAEDYTEYMVSKIRALSSVDPELVPRATKAFRSGNLSRVVQDIMGYYVILEGFFMVENVRKAISIDEHVFDSLTTSMVDDVFYVLQSCCRRSISTSNINSVIAVLSNAVSLLGSEYNEALQQKMREPNLGAKLFLGGSAVQKTGSEIATALNDMDVSSEYALKLRHEIEEQCAEVFPAPADRERVKSCLSELNEMSNTFKKALNMGMEQLVATVAPRVRPVLDNVATISYELSEAEYADNEVNDPWVQRLLHAVEANVAWLQPLMTTNNYDMFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDRDARALVSHFSSMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLGLRIDFKPEAIAALRL >CDP15394 pep chromosome:AUK_PRJEB4211_v1:6:4474443:4475356:1 gene:GSCOC_T00043113001 transcript:CDP15394 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIFAASAVALQTAPQLIHSSLTPTLKPGTRHSTRTQSLLELSMDGCGLTCYALNSTFPRIRSDDCSLSLSLYSKYVHAHVPKNCLKWRRVFAGLLVLRIRRS >CDP06508 pep chromosome:AUK_PRJEB4211_v1:6:1299313:1305435:1 gene:GSCOC_T00023382001 transcript:CDP06508 gene_biotype:protein_coding transcript_biotype:protein_coding MTITEYMEEEEESPPPLLESEMNSRPRRIALFIEPSPFSYVSGYKNRFQNFIKYLRELGDEVMVVTTHEGVPQEFYGAKLIGSRSFPCPWYKKVPLSLALSPRIISEVARFKPDIIHASSPGVMVFGALAIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIGKDLEAAQVTAANRIRLWTKGVDSESFHPRYHSDEMRLKLSGGEPQKPLIIHVGRLGYEKSLDFLKRIMDKLPEARIAFIGDGPYREELENMFRGMPAVFMGMLHGEELSQAYASGDVFIMPSESETLGLVVLEAMSSGLPVVAARAGGIPDIIPEDQQGKIGYLFNPGDVDDCLSKLKPLLHDLELRETIGNAARLEMEKFDWRAATRKIRNEQYNAAIWFWRKKRAQLLRPFQWLFKRIFQAPEVDYR >CDP03148 pep chromosome:AUK_PRJEB4211_v1:6:9313980:9316357:-1 gene:GSCOC_T00041645001 transcript:CDP03148 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIRLSRFGCRNKPFYRVMAADSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFDRVKYWLSVGAQPSEPVQRLLFRSGVLPPPPMLAMGRKGGPKDTRWVDPMTGRVFASEPSKNANPKSDANDDKVDEDESAATA >CDP14133 pep chromosome:AUK_PRJEB4211_v1:6:17966210:17967655:-1 gene:GSCOC_T00040367001 transcript:CDP14133 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTGHSTAQNQIGSIQEEETHEVTVVMVPLLLQGHLNQLLHLSRLISSYNIPVHYVGTATHTRQAKVRVQGWDPLAISNIHFHDFPVPSYETPPPDPNAPTKFPVQLIPMLKASIKLREPVYALLQQLSSTTRRLVVIYDSVTPYVIQDVDLIPNAESYSFMSVSAFTAYAYSWEKIGKPRVLEPEILEALENLPSHASSLPQELKDFIKLQNESKPISSGGDLYNTCRFIEGPYLDLLAKASAADSHKQWAVGPFNPVEINEQKNTGQRHYCLEWLDKQGPNWVIFVCFGSNTSVSDEEATQIAIGLEKSGKKFIWILKDGDQGDVFKGEVRRVQLPGGFEERTEGRGIIVRDWAPQLEILGHSSTGGFMSHCGWNSCMESISMGVPVAAWPMHTDQYRNAILLEKVLKIGLSVRDWSRQDELETSMAVENAVRRLMDSAEGEEMRQRAKELSKAVKDSVMEGGTSRLEMDSFIAHIGR >CDP14238 pep chromosome:AUK_PRJEB4211_v1:6:37032911:37036553:1 gene:GSCOC_T00040520001 transcript:CDP14238 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEPRISEQRWSLKGQTALVTGGTRGIGHAIVEELAGFGARVHTCSRNQTELNDRLKEWKAKGFQVSGSVCDLLSRTQREELMKTVSSEFDAKLNILVNNAATTKMKRAENSTSEDYSFLMSTNLESSFHLSQLAYPLLKASANGNIVFISSVAGATALPGLSIYSATKGAINQLTKNLACEWAKDSIRVNTVAPWAVRTTVLKPEEADESLLRMFFPLVNRTPLRRIAEANEISPLVAFLCLPAASYITGQVICVDGGFTAGTSGEQD >CDP10101 pep chromosome:AUK_PRJEB4211_v1:6:14400803:14405006:-1 gene:GSCOC_T00030711001 transcript:CDP10101 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVNLGMLHYVLDHVYGAVLHRTKITPPFFSRGWGGNKLELFERMINQLFPNVEGQNWPPMLVEPIWKIVWESKAACLKEGVFKTPCDEELLSALPTESHHGRVAFLAPKFVSPHRMSCVVHLAGTGDHTFERRLRLGGPLLKENIATMVLESPFYGKRRPFLQRGAKLLCVSDLLLLGRVTIEEARSLLYWLDSEAGFGKMGVCGISMGGVHAAMVGSLHPTPIATLPFLSPHSAVVAFCEGVLKHATAWDALRDDLAMEKAATTLEEVRDRMRNVLSLTDVTRFPIPKNPKSVIFVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSILLHGGQFRKAIVDALDRLEWKEDPS >CDP16109 pep chromosome:AUK_PRJEB4211_v1:6:33517874:33520113:-1 gene:GSCOC_T00017155001 transcript:CDP16109 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRCRLDGGVNFAVASGSATSATLCLIRLSDLPEKRVTEQIFLSPITNRTGDVWHAFLKGDFQDMLYGYRFDGKFSPQEGHYFDSSQILVDPYAKAVVSRGDYGALGLEDECWPPMACMVPSLTDKVMSTLQLLYFCSHFDWEGDLPLKFPQRDLLIYKMHVRGFTRHESSGTEFPGTYLGVIEKLDYLKVDTTGLHC >CDP06415 pep chromosome:AUK_PRJEB4211_v1:6:541392:541865:-1 gene:GSCOC_T00023253001 transcript:CDP06415 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEPEISVLEQNNGNEATVKALYKALASGEIGKVAGFVASDLEWWFHGPQGCHHMMKMLTGKSSHKAFSFNPRSMDAIDDYVIVEGWEGVQAYWVHVWTLKDGLITQFREYFNTWLTVADLRPVAVAGGCSSSKFWQSHPRDLAKRSLPGLMLAI >CDP15409 pep chromosome:AUK_PRJEB4211_v1:6:4589897:4591009:1 gene:GSCOC_T00043135001 transcript:CDP15409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BIC1 [Source:Projected from Arabidopsis thaliana (AT3G52740) UniProtKB/Swiss-Prot;Acc:Q9LXJ1] MKRERPSSSASMTLQNSYDSARDATVSADVLLLQEFKKANHETASCDEQIKSVKPRCGTNDEFKRHQPRLTSKNTTVKDAKHQPKDDGAAKLQPSAAVLTPLVENLRTTNEAEVSTVVTGRERLKRHRIEVAGRVWIPDIWGQEELLKDWIDCSAFDASVMNSSIMSARAALVEEGRRANSSRIRIENRC >CDP06501 pep chromosome:AUK_PRJEB4211_v1:6:1254565:1255407:1 gene:GSCOC_T00023374001 transcript:CDP06501 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKGFRNPPKIMYEAYVRAKIEEAVMEKHDSALDLPKGEQLMRENEVMEAEHNDIITNLKDESVVSHMFDELCQRTPMDFLEENVNGEEQSAEKVQDKSEGNSEYVTEANKGSGHDSNPAEGTHEANQEQGNGNETTQQESGESSNPTEGTKEANQQQSISDNSKADADQNQRNAVGDVLPGGDGAQSTQEEQTENKDAATNNDKSDTSSNMKEGSAYGEGSNDVGNRQNAGSDTVGGTEKSSENSSANQVNEKVEIQKSDAHSETGPEEKINPSNDNG >CDP15310 pep chromosome:AUK_PRJEB4211_v1:6:3743706:3747452:-1 gene:GSCOC_T00042993001 transcript:CDP15310 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLGQNDDSNIPVASAKLEDVSELLGGALFLPLYKLMNEYGPIYRLAAGPRNFVVVSDPAIAKHVLRNYGKYAKGLVSEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHKTYLSVIVDRVFCRCAERLVEKLRANAVTGTGVNMEENFSQLTLDVIGMALFNYNFDSLTADSPVIDAVYTALKEAELRSTDILPYWKIAALCKIVPRQIKAERAVTLIRKTVEKLITKCKEIVEAEGERLTEEEYVNDSDPSILRFLLASREEVSRAQLRDDLLSMLVAGHETTGSVLTWTAYLLSKSPSALRKAQEEVDEILRGRAPTFEDVKNLKFLTRCINESMRLYPHPPVLLRRAQVPDVLPGGYKVNTGQDVMISVYNIHHSPQVWERAEDFVPERFELEGPVPNETNTDFRFIPFSGGPRKCVGDQFALLEAIVALAVILQHLNFELIPDQNISMTTGATIHTTNGLYMKVSQRLSSTPAFV >CDP03118 pep chromosome:AUK_PRJEB4211_v1:6:9582151:9586053:-1 gene:GSCOC_T00041609001 transcript:CDP03118 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNGESSSPEDSNGESNGGLIRKRLRDRSEKVAKTKEMLSKQAVQTKQILSKHADKIAKRAEEHERFINKVTYLLSVLGFGTFCFLLGARPQDVPYAYCLLYVIFVPLRWIYYRYKKWHYYLLDFCYYANTLFLVMLLCFPRNEKFFMICFSFAEGPLAWALIVWRCSLVFNSFDKIVSVFIHLLPGLVFFTIRWWDPVFFEAMHPEGTPRRPSWPYVESKYNLWTWLFLVPLVAYCLWQALYFLIVDVLRRQRLLQDPEVMTSYRELSKKAQKANNIWWRLSGILGDQNRLLMYIVLQGIFTVATMALTVPIFLSYKLSVFFQILKLSATVWNGGNFLLEVMPRQYVLKEKKKSEGKREQTIEDQSIAPENSMSSYGKCVPQTMQTEQDQPMELEALMGTSNSAEMQESS >CDP15778 pep chromosome:AUK_PRJEB4211_v1:6:25962433:25969221:-1 gene:GSCOC_T00015861001 transcript:CDP15778 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSVPMCVYKRKKQQITSSAICPVQISVSTKPGGRSNSSISSHAPSGSTKEHTLSVEAETEVTGSPCKPSVKCNTDGIVSKSASFNGCLVGGEEPSSEEALRSDSRRILDVCRIDDSCSSSKLNLGVATASRQTDVDDTGECSSSGVSILERSWDNTSGKDFCISILRSQGLLQRVSAQQHHAPADDSCANCCSRKCKVCNNSETTLNMLICDNCEDAFHASCCYPRIKKIPIDEWFCYSCLKKKRKLLMEKSTSNSLNIDNGSGQCRNATSEGELGPIESMLKDMEPCKFPVRIGREFQAEIPDWLHPIIDEVDPMSEPEEMIQSEYLYLHNSSKTPQLSSIGNWVQCQQVIEGIGEHVDGTICGKWRRAPLFEVQTDDWECFRAVLWDPSHADCAVPQEIPTDQVLRQLKYIEKLRPRLTAKRRKLDQRKSSCSQISKQE >CDP03099 pep chromosome:AUK_PRJEB4211_v1:6:9750680:9752961:-1 gene:GSCOC_T00041583001 transcript:CDP03099 gene_biotype:protein_coding transcript_biotype:protein_coding MMSATTSARSSSRFPFTASQWQELEHQALIFKYMVSGTPVPPDLLYTVRRSLDSSLSSKLLFHQPQLGWNSCFQMGFGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEQQLITTPIPPPPIISPPSFSNNNTSSTATPPTAIPITSISKNHPPSPSSPTSHHSFSCLTSLATSSESQPHHHHHNHNHNHGTGINQPGYSLHHPLLYPHSSCSRPPGTSHDRISSEENSANYLLGSGPYSQASKGYSYGQGGKEEVDEHAFFCEASGTVRNLSGGSSVVDHDGTSSWQLAPLTMGSPSGSHLKQRSSYSVSNGGHSSYLQLQSLNHDSDYNTTSRQQKQASQQQYYGLGDRDDHQNKRVMHRFFDEWPPKDNKDSCWLDNNDHHDHKLSKIQLSISMPNASSHHDFFMTRNGTS >CDP15396 pep chromosome:AUK_PRJEB4211_v1:6:4486021:4491000:-1 gene:GSCOC_T00043116001 transcript:CDP15396 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQTPTPPPSTPQPPELPQQPPPSPSPPTPTTTASTASSSAALPPPITATTSTSTSSLPSTTTSPPQLSLPSPSQNPQPPTSTPTPNTQTRPAAAAAFNRAWQQPPQPTPISHFALPPPPLPLPPHHHSSSSSASSTASASNSSSSSLLVPPPPRGGMAIGVPAHHPGTPPPPPTSFSSLTPPSFVQPFGGLGRNVTDSGPTSSSSQVRPTVGGMQGIGMMGTLGSSSAMRPPGVPVRPVQSSPRPQSSPSIQSPATQNFQGHGMLRVSSVGSPGSPSPGSSQSPQPQNQPWLNSGSQVKPPLPPSRPQVSPQSMQQRSHISQQHHHAMTTTSQQQQASSSQQSQQPSTSGAGTQEHYGQQLPQSRIQQSLPNQQQIARNPSLGTQRPSHSTIPSSPVLPGLPNRAPSAEPEESCNRILSKRSIQELVSHVDASEKLDPEVEDILVDIAEDFVDSITTFGCSLAKHRKSATLEAKDILLHLERNWNTTLPGFSGDEIKTYKKPFTSDIHRERLAVIKKSVLAGETLNSRSSAGQAGGHPKGHLAKGPTSIVGSPPDKRT >CDP10442 pep chromosome:AUK_PRJEB4211_v1:6:6562095:6573780:1 gene:GSCOC_T00031168001 transcript:CDP10442 gene_biotype:protein_coding transcript_biotype:protein_coding MHETEVNDLSDDADYAASMQQGSSSFARSDSGRRSSSGETDGAEIVYLKDNVTIHPTQFASERIRGRLKLIKQGTALFMTWIPYKGQNSNARLTEKDKNLYTIRAVPFSDIKSIRRHNPTIGWQYIIVVLTSGLAFPPLYFYNGGVREFLATVKQHSFLVRSAEDANVFVVNDFQDPLQRTLSSLELPRAVSVLNSPSTSVTSNETPASGNQEKTDGSAFDSISSGVRQNGRQRQKTNDPARDLSIQVLEKFSLVTRFARETTSQLFREAHMDGYVANERRKQDQSLVDYPQIPASNDSPKVPDEVPVVSDSLEKTSHRNENRDEEAATNVGVFELVNCKELDKLSLVWGKPRQPPLGPEEWATFLDSEGRVMDEKALKKRIFYGGVEHSLRKELWPCLLEYHAYDSTYAEREYLVSVKKLEYETLKNQWQSISPAQARKFTKFRERKGLIEKDVVRTDRSLPFYDGDDNPNVNLLHDILLTYSFYNFDLGYCQGMSDLLSPILFVMKDESESFWCFVSLMDRLGPNFNRDQNGMHSQLFALSKLVEILDSPLHNYFNQNDCLNYFFCFRWILIQFKRQVRLFLELEYEKTMRLWEVLWTHYLSEHLHLYVCVAILKRYRSKIMGEQMDFDTLLKFINELSGHIDLDAILRDAEALCLCAGENGAACIPPGTPPSLPVEEASMYPQQDDDIL >CDP15638 pep chromosome:AUK_PRJEB4211_v1:6:32429450:32433593:-1 gene:GSCOC_T00015565001 transcript:CDP15638 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGNITSELSRADFGEDFIFGSASSAYQMEGAAEEGGRGPSIWDKFTEQRPDKVVDGSNGNVAIDQYHRYKEDVQMMKKIGLDAYRFSISWPRVLPGGRLSAGVNKEGIQYYNNLIDELLANGIKPFVTLFHWDVPQTLEDEYGGFLCRRIVDDFREFAELCFWEFGDRVKHWITLNEPWTFAYSGYATGGHAPSRGVSTAEHIKEGNTGHRCNHLFSGIPVDGNPGTEPYVVAHHLLLAHAEAVKVYREYFKGQEGKIGITLVSQWWEPLNDTPQDKEAVERAFMSPITYGDYPKRMRDIVKSRLPKFSEEESQNLKGSFDFLGLNYYTTIYASDASGTKSELLSYATDQQVKTQILHDLISGGSKWLYIYPLGIYKLLQYVKTHYNSPLIFITENGVDEENDPGLTVSKARIDKTRIKYHHDHLVYVKQAVDVDKVNVKGYFIWSLLDNFEWSLKA >CDP10533 pep chromosome:AUK_PRJEB4211_v1:6:5760608:5763974:-1 gene:GSCOC_T00031290001 transcript:CDP10533 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVRKLIVEVVDARNLPPKDGHGTCSPYVILDFYGQRKKTRTVIRDLNPAWNETLEFNVGKPSDVLGDMLELEMYHDRKVGPTTRNHFLGRLKLSSRQFVRKGEEALIYYPLEKKTLFSWIQGEIGLKIYFADEVIPPPGPPALPTPPPEEAKPEQTPQTPAAAEEASPEAAAAPPSDSPPPPPEATEPVCEKPDAASETNPEQPKEPASGGEKPATEQPPVPPAEAAPPPETASVSSDVADHEKQDVAPDHLPAQEGTDPVDLSPQQPVQLDNGLDHMMASVASGSMAEVKINNVNGPQPISRVSSVSSFLSDASDRSTIERSSFDLVEKMHYLFVRVVKARSLPTMGRPVVKIVVSGCHIISKPARKTTLFEWDQTFAFSRDAPDCSSILEISVWDPIHAMSGGGSADVAGHNFLGGICFDVSEIPLRDPPDSPLAPQWYRLEGGGAHKGDLMLATWVGTQADESFPEAWKTDTAGNPNSKSKVYQSPKLWYLRSTIIEAQDIFPLMSSKESSFQVKVQLGFQVQKTKSSVSSNGSPSWNEDLVFVAAEPFTEHPLMFSLIENRQPKEQVMLGVASIPLASIERRVDDRNVVSRWLTLEDPKEAKRAYKGRVHLKLCFDGGYHVMDEAAHVCSDYRPTARQLWKPPIGTVELGVIGCRNLLPMKTIKGKGSTDAYAVAKYGSKWVRTRTISDSLDPKWNEQYTWRVYDPSTVLTIGVFDSWEVLESDGSKESMRPDFRIGKVRIRISTLGTGKVYRNTFPLFLLSNNSGLKKMGEIELAVRFARATPTLDFLHVYSQPLLPMMHHTKPLGMVQQEVLRTTAVKMVAAHLSRSEPPLRREVVTYMLDADSHAFSMRKVRANWFRIINVIAGVTDVVKWLDDTRSWKNPTATILVHALLVMLVWFPDLIVPTFAFYVFVVGAWNYRVRPRDSLPHFDPKLSLAESIDRDEVDEEFDAMPCARANEVVRARYDKLRMLGARVQTVLGDFATQGERLQALVAWRDPRATGIFIGLCFGVSFILCLVPSKMIAMAFGFYYLRHPIFRDRMPSPALNFFRRLPSLSDRML >CDP14114 pep chromosome:AUK_PRJEB4211_v1:6:17678964:17691554:1 gene:GSCOC_T00040340001 transcript:CDP14114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin, villin/gelsolin superfamily protein, Actin binding protein, Regulation of plant architectur [Source: Projected from Oryza sativa (Os03g0356700)] MSSSVKALEPAFQGAGQRIGTEIWRIENFQPVPLPKSDYGKFYSGDSYIVLQTSPGKGGAYLYDIHFWLGKDTSQDEAGTAAIKTVELDAILGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKKPEEEEFETRLYVCKGKRVVRLKQVPFSRSSLNHDDVFILDTKDKIFQFNGANSNIQERAKALEVIQFLKEKYHEGTCDVAIVDDGKLQTESDSGEFWVLFGGFAPIGKKVASEDDIIPEKTPAKLYSVVDGQVKPVDDELSKSILENNKCFLLDCGSEIFVWVGRVTQVDERKTAIQAAEEFVVSQNRPKSTSITRLIQGYETHSFKSNFDSWPSGSAPVAEEGRGKVAALLKQQGVGVKGASKSAPVNEEVPPLLEVGGKIEVWCINGSAKTPVPIEDIGKFFSGDCYIILYTYHSHDKKEEYYLCYWIGKDSIQEDQIMAAGLANTMCNSLKGRPVLGRIYQGKEPPQFVAIFQPMVVLKGGVSSGYKNYIADKGLNDETYTPDSVALIRISGTSVHNDIVVQVDAVPASLNSNESFLLQSGSSLFSWHGSQSTFEQQQLAAKVAEFLKPGVAMKHTKEGTESSAFWFALGGKQSYTSKKVTPEVSRDPHLFTFSFNKGSSFSMCLTLKLIEEVYNFSQDDLLTEDVLILDTHAEVFIWVGQSVDAKEKQSAFENGQKYVELAASLEGLSPKVPLYKVTEGNEPCFFTTYFSWDPAKAMAHGNSFQKKVLLLFGASHATEERSNGTNQGGPTQRASALAALNSAFNSTGSAKPTLAARSAGVSQGSQRAAAVAALSSVLTAEKKRSPDSSPARPGRSPTSETGSPASGLKSENSPPDLEDSKEGSEVEAEITELAVQTNGEDSEPKPDSEQDENGGESTQSTFSYEQLKAKSDNPVTGIDFKRREAYLSDEEFEAVLGMTKEAFYKLPKWKQDMLKKKADLF >CDP06519 pep chromosome:AUK_PRJEB4211_v1:6:1406264:1416294:-1 gene:GSCOC_T00023400001 transcript:CDP06519 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPQPEEDDEIFEPHLGEDSAPEEHVHHNDSAEFAESAVEISRREREQRIQKMRSQRMDDRPQYVQQPYSQDDMFQTKRQKTHNKLPPGWLDCPAFGQEIGCIIPSKVPLGESFNDAIIPGKRYSFRQVLHQQRVLGRKLGLVIDLTNTYRYYPLQDLKKDGIKHVKISCKGRDSVPENEAVNQFVYEVSQFLARQKHSKKYILVHCTHGHNRTGYMIIHYLMRTLPISVTQAVKIFADSRPPGIYKPDYIDALYTFYHEKKPDMVVCPGTPEWKKSTEFDLNGDAMPDDDDDGTSAALPIATQETDVVMTNDDILGDAIPSEQQEALRQFVYQSLKLSVGVKGLSQFPGSHPVSLNSENVKLLRQRYYYATWKADGTRYMMLITMDGCYLIDRHFQFRRVQMRFPCRHTNEGIAEKTHHFTLLDGEMIIDTMPDSQKQERRYLIYDMMAINSVSLIERPFYERWKMLEKEIIEPRNYERQHIYQSRNPYYRYELEPFRVRRKDFWLLSTVTKLLKEFIPRLSHAADGLIFQGWDDPYVPRTHEGLLKWKYASMNSVDFLFEVVDNRQLLYLHEKGKKKLMEGNVVVFDDGSEPLSFSGKIIECSWKAEEKVWVCMRIRTDKGTPNDFNTYKKVMRSIRDNITEEILLDEIHETIQLPMYADRIQRDSKPHYHSNSVRRR >CDP06379 pep chromosome:AUK_PRJEB4211_v1:6:210242:213988:-1 gene:GSCOC_T00023203001 transcript:CDP06379 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAQALFACAPLPPPPPPPPPPPPPLHQKSSSVPTWHFSSFTEHEELGFKKQKKKKKKENHNSILESHRPYKNAATHTQYKREEVRDGKVCDESQSLDFVSHFSTRRSGDKGGKEGERKPTGEDEEGLDVGRPKPEDEEEEDSGGPVVCKGIAKGTSRRQIMKRSTMIAKQVISIQSALSLGFVSQLCVDTNAWAVLFVEVKPNLLSSELERFSLDDLAKVGDVVLVEDESVMENDFKLVGLETLVGYTVATPGRRNIGKVRGYNFDINSGVVESLEIDSFGISIIPSSLVSTYALLVEDVLEVLPDTVVVHEAAASRIHRLTKGFWGGGQKMGGSVDDDDDEFEECCGYGGSGKRKLSRARGKFPGEAVERADEWELPMDYL >CDP03347 pep chromosome:AUK_PRJEB4211_v1:6:7746522:7753840:-1 gene:GSCOC_T00041898001 transcript:CDP03347 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETNEKLEGSSVIPKIRFTKPFINGYFVDSVSGRTYETIDPRTGKPTARIAEGDKEDVDLAVKAAREAFDNGPWPRFPGPGRRKLILKFADLIDENVEEIAALDALDAGKLFHCLKAVDIPLVAETLRSHAGAADKIHGETLKMTREFQGCTLREPIGVVGIIIPWNYPCNILFLKVAPALAAGCTIVIKPAEQTPLSSLYCAHLAKKVRSNFGQLYSPQELAFQMEYSMLYQDMDTPLVLPYVSSHMDIDKVAFTGSTGVGRLVMEAAAKSNLKSVSLELGGKNPFIVFEDADVDTAASLVLQGSLCNKGEVCVASSRTFVQEGIYEEFFKKLVEKTKTWVVGDPFDPRSDQGPQVDKKQFDKMLSCIELGKAEGATLLTGGKPCGEKGYYSGKSYMGFGSKWNILQQVLMQSSSTNQHYDHFIGLAVEEAIKRANATKYGLAAGILTKEANTVSRSIRAGIIWINCYQAVHVDCPFGGLSRSRDLRAIKHLNRTRYPGRLASSTYYNATLLSLSNSFNSSHTYYTIFPYCTSMAAVCNGKLEDSFMVPEIKFTKLFINGDFVDSVSGKTYETIDPRSGEPTARIAEGDKEDVDLAVKAAREAFDNGPWPRFPGPGRRRLMMKFADLIDENVEEIAALEALDAGKLFHWLKAVDIPVVAETLRYYAGAADKIHGETLKMTRELQGYTLREPIGVVGIIIPWNYPSNLLFLKVGPALAAGCTVVIKPAEQTPLTALYFAHLAKKAGIPDGVFNVVPGYGQTAGAAISSHMEIDKVTFTGSTEVGRLVMEAAASSNLKPVSLELGGKNPFIVFDDADVDTAADLVLQGSLFNKGEVCVASSRIFVQEGIYEEFSNKLVEMAKTWVVGDPFDPRSNQGPQVDKKQYDKILSYIEIGRAEGATLLTGGKPCGDRGYYIEPTVFSNVKDDMRIAKEEIFGPVISLMKFKTVEEAIRRANATEYGLAAGILTNDLNIANTVSRSIRAGVIWINCYRAFDIDCPYGGYKMSGFGRDFGMQALDQYLQVKSVVTPLYSSPWL >CDP14217 pep chromosome:AUK_PRJEB4211_v1:6:18984585:18988871:-1 gene:GSCOC_T00040486001 transcript:CDP14217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:Projected from Arabidopsis thaliana (AT5G14800) UniProtKB/Swiss-Prot;Acc:P54904] MAESIARGVVKSGVLPASRIRTAHHRPQRSSAFESFGVTVFEHNHQVIPDCDVVVLSVKPQIVKDVALELKPLLSEKQLLVSVVAGVKLKDLQEWAGHTRFIRVMPNTPAAIGQAASVISLGATATEEDGELVSRLFGAIGKVWRANEKLFDAITGLSGSGPAYIYLAIEALADGGVAAGLPRELALGLASQTVLGAASMAINGGKHPAQLKDDVASPGGTTIAGIHELEKAGFRGILMNAVVAAAKRGKELSPN >CDP06235 pep chromosome:AUK_PRJEB4211_v1:6:23674836:23685595:1 gene:GSCOC_T00022948001 transcript:CDP06235 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQTLASSSSSSSSSSPAEDAWVDSYLKLLPQWQSLTSSNQPVIPIAISRVNQVDAGRLDIEMSAMLKEQMVKVFSLMKPGMLFQYEPELDAFLQFLIWRFSIWVDKPTPGNGLMNLRYRDERAIEARGKVRTGLEGPGLAVSQKIWYCVATVGGQYLWARLQSFSAFRRWGDSDQRSLVRRGWFLLQRVEGLYRAASFVNVLVFLYSGRYRCLIERLLRARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSMKSFLRPFSKDKSSSSAGDENLCPICQANPSIPFLALPCQHRYCYYCLRTRCSAAPSFRCSRCNEPVVAMQRHGVPVDNLAQKQ >CDP10151 pep chromosome:AUK_PRJEB4211_v1:6:13771216:13777599:-1 gene:GSCOC_T00030773001 transcript:CDP10151 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVCPFAKAGRPDDAAVKKCPENQSKSKCPFGYDSATSAAGNESKPEQESGESARVTPKCPFGYDSDTFKLGPFSCMICQALLFDCSKCIPCSHVYCKVCILRLKDCPLCGADIEKIEPDTDLQNVVDRFIEGHARIKRSQVNEDKEEVVGQKKTVIYEDVSLERGSFLVQQALRAFRANNLESAKSRLSLCADDIREQLQRIGNTAELCSQLGAVLGMLGDCCRASGDAASAITYFDESVVFLSKVPKDDLEVTHTLSVSLNKIGDLKYYDGDLQAARSYYFRALDVRRDAIKRHSSIPSQILDIAISLAKVADVDRNLGNEDAAIGGFQEAIKSLESLTLSSEEIVQQQKRLSVLEFLNNQLMNKPSQ >CDP10250 pep chromosome:AUK_PRJEB4211_v1:6:12700343:12704128:-1 gene:GSCOC_T00030915001 transcript:CDP10250 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKAKRVAGETSLPPYGGGGVYEDPKTRLKHQALLQDYQDLQKDTDDLRNKFDAAKQRKLRLMAEVRFLRRRHKYLLKMKSSNRLQELPLNIEKQHNLNGKGRISQKRETALFKLPPLPKPKPKGKMHSGKEAAMKNIAPILDLNRRQTLDSGKEANLCSSTPVSQLNLRKRIASGTEAAVPNSEATNNLNKIQKMQVANDITAFASRRQLFDLNQDTSLINGTSLGDKEATLCSRAPTFDLNEISTEEEEPQNNYEPSNGETKVGLMRGGNEEQSNDLKLSVCRNVGEGSSRIGKRKISWQDPVALRV >CDP06261 pep chromosome:AUK_PRJEB4211_v1:6:24351801:24368490:-1 gene:GSCOC_T00022990001 transcript:CDP06261 gene_biotype:protein_coding transcript_biotype:protein_coding MLINRYIIVPIQLHLCISKASTTPFLFSVPGFWTSFKMEGKASKERKSYRSVLSNYRLGKTLGVGCFAKVKLAVHNLTGIKVAIKILDRQTLDEFETMKVRREVNIMRQLSHPHIVRLFEVIETTSHIYVVMEYMNSGELFYYITEKGRLNEDEARHFFQQIISGIEYCHHHMVVHRDLKPENLLLDSKRNVKIADFGLGNIMRDGHFLKTSCGSPNYAAPEVVGNRLYAGPEADVWSCGVILYALICGRLPFDDDNLPGLYAKIRNGSYTVSNHMSRGANDLIARIFEVNPVDRISIPEIRQHPWFQQHIPRYISNATIESLYDTTKVEEEVVREMVNMGFDICEVIGSVLNHLQNEITVTYYLLLHRQFQGRFSYGRSELGESSSQELFDHTRIFLRSVSALEQKWTLGFKSQASPHQTMMDVLKVFHSINVQWKQIGPYNMKCLWNPPSSKALNGKFVDQFPQIPQIKPVDGKTEPSTATNGMGIRKHDVVKFELQLYRGSTELYVLDLQWLNGPPFLFLEICASFLFAVGVM >CDP03379 pep chromosome:AUK_PRJEB4211_v1:6:7449213:7453787:1 gene:GSCOC_T00041939001 transcript:CDP03379 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNKKDDLVMSNNNVFAALGSLRKKKKSAGSSDKDGKSKSSSSSSSKKQEPKVEPEPQVFWSPAPLTNKSWADVDDEDDDDYYATNAPPQSVWGGASGAAEELHTLPKESSTPVEESESEEEGIDEVDDDNEDEHEHESEVPVEKEPVTKKPAEVLAPKETERQLSKKELKKKELAELEAMLAQFGCNKPDEEDASQGVQDKKVEAVNEEVNKKENTAPGESKSAKKKKKKDKLSKESKEEQDQAVGVEVGSGTDGNVRTEELEDEPVDVKEKIKKVASMKKKKSSKEMDAAAKAAASEAAARSARLAAAKKKERSHYNQHPQR >CDP15399 pep chromosome:AUK_PRJEB4211_v1:6:4506937:4509236:-1 gene:GSCOC_T00043120001 transcript:CDP15399 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTRRLHFFSLITLLSFPHHLHASPEYSRPPPRPIIFTSHTRSESDPQQVHISLVGVDHVRVSWITSHKHTKSTVDYGKSSGTYEASATGDHTSYHYFFYSSGEIHHVVIGPLEPSTTYYYRCGGSGPEFSFRTPPSDLPIDFVIVGDLGQTEWTASTLSHVGARDYDVFLLPGDLSYADTHQQLWDSFGRLVEPYANRRPWMVTEGNHEIETFPIVYPHGFRAYNARWRMPYEQSGSESNLYYSFNVAGAHILMLGSYTDFDADSDQYKWLEADLAKVNRTTTPWIFALLHAPWYNSNEAHQGEGESMRQAMEELLYKARVDAVFAGHVHAYERFTRVFDNTADPCGPVYITVGDGGNREGLALNFEKQKPSISVYREASFGHGRLRVYNQTHAHWSWHRNNDSDSFEADQVWLQNIYASTTSCMEATPQSQRDEL >CDP10150 pep chromosome:AUK_PRJEB4211_v1:6:13781111:13786075:-1 gene:GSCOC_T00030772001 transcript:CDP10150 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSRFSYGKAQNASFHHYQKLDSESRSPRSINGDFSFGGDTDRRFAYSRQPSFHQPPQEPQTPVSIKDSTKPFLSRTSSSVDIPLNLYSYDKIENFADESKELDEKLSILSFILFIFKSARSGNKQLRKLFVLISLNVAYSSAELCIGLFSGRVGLVSDAFHLTFGCGLLTFSLFAMVTSRRKPDRCYTYGYKRLEVLSAFTNALFLLFLSFSLAVEALHAFIQDESEHKHYLIVSAVTNLLVNLIGVWFFRNYARINLVYRNAEDMNYHSVCLHVLADSIRSAGLILASWLLALGVRNAEVLCLGLVSFTVFMLVIPLFKATGGILLQMAPPSMPSSALSKCWRQVSTREEISEVSQARFWELVPGHVIGSISLQVKKGIEDRPVLQYVHNLYHELGVQDLTVQVDYS >CDP03056 pep chromosome:AUK_PRJEB4211_v1:6:10074201:10077257:-1 gene:GSCOC_T00041534001 transcript:CDP03056 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVRRLPSQLLSLFLILFLSPVSTSLKDDEALFGFKDSLRNTAAIDSSWIKGTSPCAAGKTWKGVVCHEETVQTIRLQGIGLSGDIDVEYLSKLENLRSLTLAYNNFSGPIPPFNKLGGLRALFIQGNQFSGSGTKWVILGVVVALLLMTIFFKAKQKEDHFDVLAKENIDDIVEVRVPSSNRRSTSSRRGNDSFHSRKSSHHGKSVGDLVVVNDAKGTFGLQDLMKAAAEVLGNGGLGSAYKALMGNGVSVVVKRMREMNKLSKDAFDSEIRRLGNLRHQNILPPLAYHYRKDEKLLVSEFIPKGSLLYLLHGDRGTSHAELNWPIRLKIIQGVAQGLGFLHSEFASYELPHGNLKSSNILLSSSYEPLLTDYAFFPLISNTQTAQSLFAYKSPEAILYQQLTPKSDVYCLGIIILEILTGKFPSQYLNNQKGGTDLVQWVNSAIAESREVELIDPEIANATGSLAEMEKLLHLGAACTESEPDKRIDLREALRRIEQIQV >CDP10560 pep chromosome:AUK_PRJEB4211_v1:6:5566110:5574957:-1 gene:GSCOC_T00031320001 transcript:CDP10560 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPTHSSSETAAAGAAVENGGTGTGTLSRKSSKRLAMPSPGRSGSSTGKNAHIRKWSSAQMKFDLDDVSSGAALSRASSASLGLSFSFTGFTMPPEEIADSKPFSDDDIQDLEAGTRKKKIHTEPTLPIYLKFTDVSYKIVIKGVTSTIEKDILNGITGSVNPGEVLALMGPSGSGKTTLLSLLGGRLRGATTGGSVTYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTFAAQLRLPSILTKQQKEQRAVDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVELLQDIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGNLLYFGKAAEAMVYFSSVGCSPLIAMNPAEFLLDLANGNLNDVSVPSELEDRVQLGNTETETRNGRPSPTIVHEYLVESYETRVAENEKKKLLVPLQIDEAIKSQVCSKKREWGASWFEQYSILFWRGLKERRHDYFSWLRITQVLATAVILGMLWWQSGSNNPKEVQDQAGLLFFISVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFVARTTSDIPLDLLLPVLFLLVVYFMAGLRLNAGSFFLTVVTVFLCIVAAQGFGLAIGATLMDLKKSTTLASVTVMTFMLAGGYFVKKVPVFISWLSYLSFNYHTYKLLLKVQYEHISHTIEGIRIDSGFKEVSVLAAMVVGYRLLAYVSLRRMKL >CDP14349 pep chromosome:AUK_PRJEB4211_v1:6:35653028:35661731:1 gene:GSCOC_T00040681001 transcript:CDP14349 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKNFRKRSTAESGEDSVHNSKSDSDDDQERRLVLEEVKFLQKQREKKSGIPAISMTTQVGGASNGNSGGLIRKGNDKTDGDGEKDDLVLQDTFAQETAVMVEDPNMLRYVEQELAKKRGRNIGVENEVETDIKRAEDELYEIPEHLKVKRRNSEESSTQWTTGIAEVQLPMEYKLRNIEETEAAKKLLQEKRLMGRTKTDSSIPSSYSADYLQRGKDYAEKLRREHPELYKDKDPKKSDQESRPVESGAEAAVQRQAATDEFMLERFRRRERHRVMRR >CDP10483 pep chromosome:AUK_PRJEB4211_v1:6:6173638:6175480:1 gene:GSCOC_T00031223001 transcript:CDP10483 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVLPSTVNPPPENYIHNPAAIFPLLQIPQVDLCVLNSEPSSPEATQELKILRFALTVCGFFQVVNHGIDISPLEELYDVTKRFFLLPPEEKHKYSRPAGDLDLAGFGNDQTLTQHQTLDWNDVLYLDLYPEDQRTLKLWPDNPNDFRKVLLECRAKLQKLNELILKAMANSLNLEDNTFLKQYGESPRVLSRFNSHHPCSGSGPQVEAKPHSDASTLTFFLQDKPVEALQVLRGDKWFRLPAIAHPAILVMPGDQAEIMTNGLFKSLLHRFVANSERERISISIFFIPDKENVIEPAEGLVDEKRPSLYRPVVDYVGAFCPEHREGNRVIDALKK >CDP19209 pep chromosome:AUK_PRJEB4211_v1:6:15626006:15629209:1 gene:GSCOC_T00012662001 transcript:CDP19209 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGCSGVVVLMVLAVAIAGAAKESAVNLLEAEALHKSGWWGDSSTAATNISAHCHWPGIICNDAGSVTEILLPNYGIQDELANFSLSSFPNLVRLDLSGNELYGAIPRQIGTLSKLTHLNLSSNALRVSLSGAENQIYGSIPSEIGNLKYLEYLHLGSNQLTGQIPPTLGNLPFLQILDLSSNQLTGPIPTQFGDNIKSKWYLSTLDLSHNILSGTVPWSLLQLGDVDLSYNALEGELPCKLVIQFGSERFVGNPHLRHDSTLCGVSPPVMGNHTLVIVGNHRHRTLYYIIGLGVTLLVFAITGGLVIYIRCFKKVKVELMDNKHGDIFRIWNYDGHMAYEDIIKATNDFDVCYCIGTGGYGSVYRARLPSGKVVALKKLHRLEGENPNFDKSFRNEADMLSKIRHRNIVKLFGFCLHKRCMFLIYEYMEKGSLFCFLRDETEAVELDWIKRVNLIKGIASALSYLHSDCDPPIIHRDVSSNNILLNSHLEATLSDFGTARILELDSSNQTVIAGTFGYMAPELAYTMVVTEKSDVYSFGVVVLETLFGEHPREFLSCISSQPNEPIMLKDLLDARLPPPTNPLVVRNVVLATALALDCINANPKCRPTMQQVVNRFEEGRREPTRPLHTIAVNQLVSPPVLSLRDQTCADGMSSLSTINEFHVDVSATLPSSNFSIHAST >CDP06579 pep chromosome:AUK_PRJEB4211_v1:6:1857385:1860981:1 gene:GSCOC_T00023483001 transcript:CDP06579 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVGGISESVEQDVIHSYTMGYGGGGFAISYPLAAELVRVLDGCINRYASFYGSDQKIGGCMSEIGVPITRELGFHQLDIRGNPYGLLAAHPLAPLVSLHHLDYVQPLFPGTNRIDSVKILVKAYRSDPSRALQHSFCYDLKRNWSISVSWGYTIQLYPSLVTAKDLATPLQTFLTWRSWSQDPFTFNTRVLSLDPCKRPVTYFFDGVTKVGDGGTMTSYMRPPQPRKKCGNGKYRPALSVQMFNVSAPILDPNVWKKAPRRQCCEVINGGDRAGVVRMKIRGCSRWESVTPP >CDP06413 pep chromosome:AUK_PRJEB4211_v1:6:520893:521460:1 gene:GSCOC_T00023251001 transcript:CDP06413 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSVKNIILSAGLRQLCRGDAVAITDALEKYQDLDPTFPRTREYRFLADLATAVDEEDGAKFADVVEEFDSLTPLVNGLFPLNLVLLVSTSHSSGK >CDP10444 pep chromosome:AUK_PRJEB4211_v1:6:6533620:6539228:-1 gene:GSCOC_T00031170001 transcript:CDP10444 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILCGKRSNFFEDLQSSPASTSPPPVSKRIRCSSSSPVRFSPPRSTAAGGASSSYFSANLFTGVSFNSSALDHLIGLFPDMDKQLLERALEECSNDLDSAIRSLNELRLTSMENLESAASNDAKEVHVQLPDKYCAISNGEAASVQDASASEKHSIDKAEWVEHFVGEMMSASNIDDAKARASRTLEVLEKSIRVHATVEAAQSFQQENVMLKQQLQALMQENNVLKRAVSIQHERQKEFDDRSQELHHLKQLVAQYQEQLRTLEVNNYALSMHLKQAQQNNSIPGRFNPDVF >CDP03276 pep chromosome:AUK_PRJEB4211_v1:6:8282440:8288410:1 gene:GSCOC_T00041804001 transcript:CDP03276 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQYSNPTSKIPPLEELPNPFGQLSLHLTQSELRETAYEILIGASRSSGASRPLTYVSNSERTMERSVTSAAASKVKKALGLKSRRKNLGSDEADADKRSSTVGELMRVQMRVSEQTDSRVRRALLRVAAGQLGRRMESMVLPLELLQQFKSSDFPNQLEYEAWQKRNLKILEAGLLLHPHLPLDNRDSSAQQLRQIIRGASEKPMETGKHSQSIQALRNVVMSLACRSFDGSGSGMCHWADGVPLNFHLYRILLESCFDVGDETAVIDEVDEVLELIKKTWIILVEKDAKDLKDPAYRKILSSTMSLILSWAEKQLLAYHENFYGSNIDIMENHVVELTLTSDHLCSVHFLRQMSIINHWLHTCCHLCTFHLILPKKKIISSRKTAKNQQHSLPMLSVLAQNITDLAFNEKEIFSPILKRWHPLATGVAAAKLHACYGNELKQFVSGITELTPDAVLVLIASDKLEKNLVQMAVADSVDSDDGGKAIIQEMTPYEAESVIANLVKSWIKTRVDRLQEWVERNLQQEVWNPRANKERFAPSAVEVLRIIDETLEAFFLLPIATHQILIPDLMNGLDACLQNYIIKSKSGCGSKDTFLPPLPALTRCTAGSKFGVFKKKDRSYMVQVRKSQVGSSDVDDYFGIPKLCVRINTMHLIRKEVEVLEKRITSNLTNSGYVQDSIVTVGSDKMFELSVAACVEGIQQLSEATAYRIIFHNLNHVFWDYLYVGQVSSARIEPFLQLLEQNLEIVSATVHDRVRTRVITQIMKASFDGFLLVLLAGGPSRAFTVQDAAVLDEDFRFLMELFWSDGDGLPTDLIDKYSAASKDVLSLFHTETVKLVEQYKIVTLNRYGASDNSRLPLPPTSGQWSAADPNTILRVLCHRNDEVATKFLKKTYHFPKNL >CDP10412 pep chromosome:AUK_PRJEB4211_v1:6:6813660:6815125:1 gene:GSCOC_T00031132001 transcript:CDP10412 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFDTAEEAARAYDQAAVLMSGRNAKTNFPVVQNSDDDGTTYYKAQEGSPSSSSKDLSEILHAKLRKCSKAPSPSLTCLRLDIENSNIGVWQKRAGPSSDSNWVMTVELQKNKNTHNSNNNVNIIADDQGATLSTSSKAPARIDGRSRQNDQMDEEQRVALQMIEELLNINSPAATFGISQEDQGSGFYVD >CDP06500 pep chromosome:AUK_PRJEB4211_v1:6:1219891:1224346:-1 gene:GSCOC_T00023372001 transcript:CDP06500 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVSNFNEADPEGKEEQQPIEDDQSIFNVKNLLWHGGSAWDAWFSCSSNQVAQVLLTLPYSFSQLGMLSGVILQIFYGLVGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWYEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAALVNGQVDGVQHSAPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSASAVYWAFGDQLLNHSNAFSLLPKSGWRDSAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKASARQNAAEKPPFFLPSWTAMYAMNTFVVVWVFVLGFGFGGWASVTNFVRQVDTFGLFAKCYQCKPPPPTAAHQPAIAPPHH >CDP15443 pep chromosome:AUK_PRJEB4211_v1:6:4767441:4770966:-1 gene:GSCOC_T00043176001 transcript:CDP15443 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNEAQRAKVSTSCPESAISPPEQSPLSHLSQTMSGKNHNDGQRVEPISRPAGNTEHSWCRALSQGTGVAVLTLQLSQAIEIPIFQQALRKLQDAHPILRSKLHYNPSKKAFSFTTPATSHIQVQSLEEEAKGGEKPDIGPVKYQEKMQQGIEDLIPSGMAKKTLWAHGIDVLEYSVNSLRLTNLKFKDVKWPRFSEVARLRMNPHETNQILVGCKLRGIKLCGALAAAALIAAHSSRSSPDQRKKYGVLTLTDCRSILDPPLSPHNFGFYHGAILNSHAVKGGDNLWDLASRCYLAFDNSKKCNKHFSDMADLNFLLCRAIENPSLTASSSLRTSFISVFEEPVIDNSNQIQKGVGLDDYLGCASIHGAGPSIAFFDTIRDGKLDCLCVYPSPLHSRAQMEELVEKMKAVLVDATY >CDP03109 pep chromosome:AUK_PRJEB4211_v1:6:9688431:9690808:1 gene:GSCOC_T00041597001 transcript:CDP03109 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIVEWILFICAMAMMVAGLYAPNLKTSILWGLELWKWCLLVAVIFCGRLVTKWAKDAIVFVIETKFLFNERVVYFLHGVKQSVRVVIWLGLVLLAWVLLIDRGVNRSKETTKILNYISKALVSSLIGAVMWMVKTLLVKLIAASFHVKTFFEKIREAISDECVLLMLSVPPMPKVEENGDNSRPSENKQEIEVEDHKKVVQEKTNHVQKKEEMTLKKLGRMKAGKMPASTMKNLIDRIRSSKLSIVSSALDGSLDDLGEQKDITSRYEAEQAASLIFNNVRRHGREYIKERDLFHFMNEENGTCLLAWFEKVAEQGKISKESLTDWAVNVYKQREYLSHSLKDAKTAIEELNRILSGVVLVLIVVVWLLLMGFATTKVLVFLSSQILLVVFIFGNTCRTVFEAMIFVFVMHPFDVGDRCVIDGVQMIVEEMDILKTAFYRYDNEMIYYPNAVLATKSIGNFNRSPDKMGDTVNFDVDVSTSFESIEALKAKIKEYIDGNPHHWHPDHSVQIKEIEQMNKMKMALFVNHKMNFQDFLQKMNRRTELMLALKKIFEDVGIKYHLLPQELHISYIGSAVATSASVMQTR >CDP14153 pep chromosome:AUK_PRJEB4211_v1:6:18221658:18226366:1 gene:GSCOC_T00040395001 transcript:CDP14153 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLWDPPICEDDSADFKGNWQSDFSSGVGCDVMEEDAINERCCIEVLKKLIPKADAEIKELEEEIIFLQAQVALEDDAWAKICFDTLTERTKALDISIQRLKNEKVQQQQGQASSSQTHGDPAQNEKQQTENELNFCFQTDGDPAQNKKQQTEDELDVCFQTDGDPAKIESLQTEKDLDSHIQTCKEPSQNQKIQLEHEMRVLCQTHLEPAQIENLQAEHERDFNFQTNGDPGQDETLQMEHEMGVPHHKHGEPAPKLSEILKALLEKYYFPNRDKQLTALQSTDETGLSNAEAIACVAKSLEEIAEPFNLEVSEGAEERDQNGDTTIINSFPNLSEHASEASTKRKMMEIDSSGIHDSTTEAEKRMYTPDNQKEATAMMMDTSANALSYIAGSSSGTVGCNHSEETSGAVTVLNFGIESTKLKPSPNPAETTITETDMSMFQLSDITSVCMTDPVERKVEKADINNSKETEFPLLMKQEDWWLFYFERALGGSSSEPLLKERQEPQLLNQTHHIEGVGAEPFTSNCLKSGIPEHRDRPFPMFESGLLPESALGMEMEYKPQDSYRIILDENNSMNKKIKEETHIDFSGEKSCNVVSACQSPGTRQKSVLPPLIKKGEELGNLQVTRTMQEGRLKGLVKEEIDRISNFPAANEFGVKKPLKLLSCTTSVATMNLEFLSMAQLRAMAKERNLKRYSKLNKSDLIKLLNFPPPAI >CDP09508 pep chromosome:AUK_PRJEB4211_v1:6:19414320:19417071:-1 gene:GSCOC_T00028898001 transcript:CDP09508 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVMLETSNQFSLLLRIGLLINRFIYTCLGVGIVVCLSTLLGHSTANCISNSVLAVYIVSICSLLLVQAVIIVTVFYKTNWEMQISIYVDENHEEFKNFVLFHVEMCRLISISVLVAQLIVALLAGILWAVGCEPNLHCSSSDPPNFTYSFLDDRTSSLSDCTRLPERSFQVHNIGQTLRNSFA >CDP10452 pep chromosome:AUK_PRJEB4211_v1:6:6451331:6452957:1 gene:GSCOC_T00031181001 transcript:CDP10452 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKITALLLLSMLLIASANPVDPDSSCNPSASNSTPGGEATHNPTAHCEATSDIATGHITSSAESASNFATCDTTPSAESASNFATCDTTPSREAASNFATCDTTPIVNPPITLPPITLPPVTMPPVTTKPPKAKPCPPPPVKPKKPKQAKCPVDTLKMGACADVLGGVMHIGFGDPVVNECCPILSGLVDVEAAVCLCTTLKLKALNLEMFVPIALELLVYCGKTPPPGYTCSL >CDP10468 pep chromosome:AUK_PRJEB4211_v1:6:6273525:6274754:-1 gene:GSCOC_T00031201001 transcript:CDP10468 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSMRLFATAFLLLMLFMSTEMDPVRVAEARTCESLSHRFKGTCVRGSNCAAVCQTEGFPGGQCSGLRRRCFCSKPC >CDP10067 pep chromosome:AUK_PRJEB4211_v1:6:15013119:15023857:1 gene:GSCOC_T00030655001 transcript:CDP10067 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGRGGGEKSNNEKKKAAANITLEQFVSIMTPLIDMEKEAEISASMSSGATRNLETSQKKGSTILNLKCVDVQTGLMGKALLELQSNKGDVLPAHKFGPHDVVILKPNKADPGSPSLGQGVVYRLKDSSITVAFDDVPEDGLNSPLRLEKVANEVTYRRMKDTLVQLSKGVQKGPAADLVPVLFGERPPMIAKKDAKITPYNANLDHSQKAAISKALSSKDLFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLVPHRVKLVRFGHPARLLPQVLESALDAQVLRGDNSALANDIRKEMKALNGKLLKAKDRNTKRDIKRELKALSKEERKRQQLAVTDVIKNADVVLTTLTGSLSKKLDGTSFDLVIIDEAAQALEIACWIALLKGSRCILAGDHLQLPPTIQSVDAEKKGLGRTLFERLAGLYGNEVMSMLTVQYRMHELIMNWSSQELYDSKIKAHPSVAAHMLYDLDSVNKTPTTESTLLIIDIAGCDMEEKKDEEDSTLNEGEAEISIAHARRLVQSGVQASDIGIITPYAAQVVFLKMLKCNHDKLKDMEISTVDGFQGREKEAIIISMVRSNSKKEVGFLRDRRRMNVAVTRARRQCCLVCDTETVSSDKFLKRMIEYFEEHGEYLSASEYGNE >CDP10542 pep chromosome:AUK_PRJEB4211_v1:6:5709447:5712965:1 gene:GSCOC_T00031300001 transcript:CDP10542 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLKIYVYSHRSDDPFANILLPVNFEPGGNYASESYFKKVLMISHFITKDPSKADLFFLPFSIARLRHDPRVGIRGIQDFIRNYISNISHEYPYWNRSGGADHFYVACHSVGRSAMEKTIEVKLNAIQVVCSSSYYVSAYVPHKDASLPQIWPRQGDNPDLASYERRTLVFFSGSLNSPVRENLLRVWGNDTEISVHTGHLEKPYTEELLSSKFCLHVKGFEVNTARISDALYYGCVPVIIANHYDLPFADVLNWKSFSVVVATLDIPKLKKILQGISTDEYLVLKNNVINARKHFQWHLPPFDYDAFYMVMHELWLRRSSLRVSG >CDP16100 pep chromosome:AUK_PRJEB4211_v1:6:33241867:33242556:1 gene:GSCOC_T00017140001 transcript:CDP16100 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAELRYLEDEDTPLMKTIKGATSGLVAGTIWGTIVATWQDVPRVERRVALPGLIRTLKMMGNHGITFAAIGGVYIGVEQLLQNYRMKRDFINGAVGGFAAGATVVGYKGKSIATALSAGAALAATSAFIDVGGQTTRIDNGKEYYPYTTKKRASAD >CDP10623 pep chromosome:AUK_PRJEB4211_v1:6:5020261:5021055:1 gene:GSCOC_T00031396001 transcript:CDP10623 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKMKLPFPFKSKEKVIASTSWAWPTCGNPKTLSFRAKNEDGCGASIFFKTMNSAYVDASNLELMEIPDLYKSYECESFSSVLCEEESVETVIRGLRSERLFFEAEETSSILEKAKMDGFPFKESLVMAVDSRDPFADFRRSMEEMVEAHGLKDWESLEDLLTCYLRVNGKSNHGYIVGAFVDLLVALAFAASSPSPASSADPHGVSTSSDHNYHCSSSMTHSFTSPFSLSSTTTSTSPSLSLVEVEDEIEKSIDNASSSDA >CDP06259 pep chromosome:AUK_PRJEB4211_v1:6:24319973:24320392:-1 gene:GSCOC_T00022986001 transcript:CDP06259 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIALLQKAAQIGATATNVSLLRGFGIVSSASASSGQQKWSGRAIESDSVSLAAGLGLGLPCDSGSSLKELMLGTPSVFGPKHTTLDLLGLGMAAGGGPSGGFSALMTSIGGGLDDAAAAASFGGSGEYSGKDMGGGS >CDP06761 pep chromosome:AUK_PRJEB4211_v1:6:3250666:3254879:1 gene:GSCOC_T00023719001 transcript:CDP06761 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARNFLSSPPTFSSRTHLKNFPSSSSTSSSVSVLREKVAPLLTRMPAVTVACYFATSTHISEQHEESGPSLRLMKYDRMSQATLDRRLIDAAPSANQGSDTDDPDHLKSNSHVKLLQLPGLWYLFQSLDTRQSVPSIPDDFTDVEAENVVALAKNALSASREAALLAENSKLFGASVDDTLSPSLLYALTDIPLEKKTVHSTRLLQRQPKKRRVPKPKVEVEDTRPPVRPNLQRKAFDLIDPLRVFLSGPETKLLTVDEESELIGKIQDWMKQEEVKSRLQSQFNREPTLVEWAEAAGLSCRALQTQLHSGNRSREKLIYANHRMVVHIARQYMGRGLSLQDLLQEGSMGLMRSIEKFKPQVGCRFATYAYWWIRQAIRKAIFQHSRTIRLPDNVYGLLSKVKEAKKLCIQQGIHSPTKEEIASCAGITVEKLEKLLFTARMPLSMQQPVWMDQDTTFQEVTADTAIEASDLSVSKQLMRIHIRNLLRILNPKERKIIRLRFGIEDGKQKSLSEIGAVFGLSKERVRQLETRALYKLKQCLNSQGLNAYADMLL >CDP14234 pep chromosome:AUK_PRJEB4211_v1:6:37149236:37150795:-1 gene:GSCOC_T00040509001 transcript:CDP14234 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIEVLHMNGGNGDKSYANNSLVQQKVTLMTRPITEAAITDLYCSLIPKSISIADLGCSSGPNTFLAVSELIKTVNENAKF >CDP10550 pep chromosome:AUK_PRJEB4211_v1:6:5658580:5663873:1 gene:GSCOC_T00031309001 transcript:CDP10550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutamate carboxypeptidase AMP1 [Source:Projected from Arabidopsis thaliana (AT3G54720) UniProtKB/Swiss-Prot;Acc:Q9M1S8] MVFPSISSFSFLFILAFFLLLTLYTTLHRPTTTATPSNKLHQDDRPHSLHYQNIFLSSASNYTLATYLRHLTLQPHLAGTPPSLQTTLYVKSHFEALGLETHVSNHTVLLSYPSFSSLTAHFSNGSSLDLPLSEPGFSGVVKAYHAYSPSGSALGQPVFLNYGREQDYIALRGLGVQFKGCIGIVRRGAGLSRNAVVEKAAAHGVAAVLMYTEGEKFAGVERGTVMKGLGDPLSPGWWAGVQGGERLRLNDSQLNERFPAIPSMPVSADTAEIILSSMEGAPLPQEWRENLKSNKIGRVGPGPVLLNFTYLGEKKMETIHNIFAVIRGSEEPDRFIILGNHRDAWTYGAVDPNSGTSALLEVGRRFALLMRLGWSPRRTIVFGSWDAEEFGMIGSTEWVEKNLVSLGSKAIAYLNVDCAVQGPGFFAGATPQLDDLLFEVTKKVKDPDSENISIFETCKVTNKAINIQRLSGVDSDFAPFLQHAGVPSIDLYYGRDFPVYHTAFDSYDWMVNFGDPLFQRHVAVSGVWGLLALRLADDPILPFNYLSYVAQLQEYRNTLSNFLEGDISLNPITLAIQDFKAAADEIAEQVKKLSEDERMDEFSVLKKRMLNDRLMFAERGFLDAEGLQGLRWFKHLIYGPQIDGGSELNFLPGVVGAISRNTGMNKGERQEAIQHEVWRVARAIERAACALKGSLT >CDP06701 pep chromosome:AUK_PRJEB4211_v1:6:2722605:2731683:1 gene:GSCOC_T00023637001 transcript:CDP06701 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGHKRAETADELPADKRACILSEFRPSTSNSSIQTPTNSTHETHDADMDSSSATSGSARSDGEGERDSAYGSCDSDNNYRDYYRRGSSGDQTKFNRVLSSLNEDHDESGQLAALTELCELLAFCTDSSLSGLMADSFSPVLVKLARHESNPNIMLLAIRAITYFCDVHPRSSAYLVRHDAVPALCQRLLAIEYLDVAEQCLQALEKISREQPLACLQSGAIMAVLNYIDFFSTIVQRVALSTVVNICKKLPSECPSPFMDAVPILCKLLQYEDRQLVESVSTCLIKITERVCHSSDMLDELCKHGLVQQATDLINLNSRTSLSPPIYLGLIGLLARLASGSIVAVRTLFEINVSSVLQDIVSRYDLAHGMPFNAMVDGQCNQVHEVLKLLNELLPATTREQDNPLASDKEAFLTSRPDLMQRFGLDLLPVLIRVVNSGVNLYVCYGCLSVIKKLVYFSKSDMLLDFLQSSNISSFLAGVFTRKDHHVLLLALQVVETLLQKLPDGLLDSFIKEGVCFAVDSLLSPKRSSQFMFSTLSAMEYSDDASQKSASRDARCLCFAFDTGQCPTISRTLTCKLDKDSIRNLAEHIKTSYFATEAINPEKGLTDILQKLKTLSSVLADLVNTHMNDTISEQHEEEFYCILLEIMSVLAGKDPISTFEFVESGIAKSLLNYLSNGQYMERKAGVDGACCQLCIVEKRFELLGNLLLSFRDPHIADLPLPALVRRLQSSLASLETFPVILSHSSRVRSSYATVPHGRGTSYPCLKVQFVKGEEDVFLGDYLKDVVNVDPFSTLTAIDGYMWSKVSVNKSEQAKSATLEESSSFRSRSLDSVESNSMLSHANEMQEERSFSGTGEIASVGDNLVNSADLKDLDNTVEQEQEGSVNKSTDSHGCSKNEDSSPKLFFNLEGQQLDHRLTLYQAIIQQQLKEEIDSSLSSKLWSQVYKISYRKAVNPKNNLAEQSSFQGNDFSSSDKATRSCQCVSFFSDIFYSDFADLNKFSPTYDMICLLKSLEGMNRLRYHVMSRDRMNSFWKCQIDNLYDWEVEAFGVSQSEFVNSKLTEKLEQQMRDPLAVSVGGMPSWCSQLMASCPFLFGFEARCKYFRLAAFGQPAVRHHVSYNDDVGGINGMRQNSGSYPRKKFLVHRDRIIDSAAQMMNLHAHQRVVLEVEYNDEVGTGLGPTLEFYTLVSFEFQKGGLCLWREDHAASSCINGSEADNSKILVSPLGLFPRPWSPGVDISNGIQFSEVTKKFVLLGQIVGKALQDGRVLDLPFSKAFYKLILGKELTVYDIQSFDVELGRALLEFQALIERKRYLESISPGKSSMDLDFFHGTRIEDLCLDFSLPGYPDYVPESVSDSKMVKMSNLQEYISFVVDATIRTGISRQVEAFKSGFDQVFPIRHLQVFTEGELERLLCGERELWDSNALVDHIKFDHGYTASSPPILNFLQIIQEFNYEQQRSFLRFVTGAPRLPSGGLASLNPKLTIVRKHCSKWVDTDLPSVMTCANYLKLPPYSSKERMKEKLLYAITEGQGSFHLS >CDP03155 pep chromosome:AUK_PRJEB4211_v1:6:9255884:9259489:1 gene:GSCOC_T00041653001 transcript:CDP03155 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSSIPACFFMLLFIIGRFISIIGKFRPWPNSLPRQVLFLDIATKLRFDPDAINTASSDFGKLVQEIPSAVFHPSSVADIIRVINVSYNSSVPFGIAARGHGHSIRGQAMAPNGVVIEMSSLSDYTSNGTKIKVSWSPSLGYYADVGGEQLWIDVLRTTLDYGLAPVSWTDYLYLTVGGTLSNAGISGQTFRHGPQISNVHELDVITGKGEFITCSKHMNSELYYAVLGGLGQFGIITRARIVLDKAPTRVKWLRIMYDDFSSFTRDQEYLISINDNGLDYVEGSLILQQSSPNNWRSSFFSASHQSKISSLLTERGILYCMEVVKYYDDNTAATVDQELELLLEGLHYIPGFLFKKDDSFVGFLNRVRSGELELQSKGLWDVPHPWLNLFVPKSRIMDFNAGVFVDILLKQNKATGPILVYPTSKKMWDERMSAVVPDEDTFYCVGLLHSGTLDDWKNLDDQNNELLEFCDKNGIEIKQYLPHYKTRQDWMNHFGSKWSTFQERKARFDPKVILSPGQKIFNFV >CDP06283 pep chromosome:AUK_PRJEB4211_v1:6:25169252:25170370:1 gene:GSCOC_T00023033001 transcript:CDP06283 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAAVNFAVETIRNLIEETKFLHVVSDQVAQLQDEQKQMRSFLKDADARQHDEETVKEWISQARDLAYEVDDLFESYAFKIAGRRRKGIRGIIKICVCILNECYNRHTIRTGTQTLKTKISHLTKRFRDYGIRVMERQEGASSSHQQLRRTYSHVVEDDFVGLEGDVEMLVKHLVRGSGHEIDKCFSVVSICGMGGLGKTTLARKVYNHPQVRRCFDDFAWICVSQTWQKEDVLQRILLRHA >CDP03240 pep chromosome:AUK_PRJEB4211_v1:6:8671502:8683982:-1 gene:GSCOC_T00041754001 transcript:CDP03240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] MILMKWLGNMKQRSLWYFMVPNTTCNAWTLELSNCGPLSWGSRLKIAKGTARGLAYLHECSPRKFVHGDIKPFNILLDNDFQACISDFGLNRLINITSNNPSSSGVFIVFQIGISDFEFKFDVYSFGVVLLELLTGKSPEISSPTTSTSTEIPDLQHGFWRSSQFESSLPQIRSVDFVTPSLCSTPNSSPIHSLLSFLSKNFSVQTLSLIIEARIMKLKKPVKELKLSVPVQDTSVSSFLTASGTFHDGDLLLNQKGLRLISDDNDSPPQPAESKEFDLQFSLEDLETIKVIGKGSGGVVQLVRHKWVGTLFALKVIQMNIQEDIRKQIVQELKINQGSQCPHVVVCYHSFYHNGAISLVLEYMDRGSLADVLRQVKTVLEPYLAVLCKQVLQGLVYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLASSMGQRDTFVGTYNYMAPERISGSTYDYKSDIWSLGMVTLECAIGRFPYIQSEDQQGWPSFYELLEAIVGGSPPSAPPDQFSPEFCSFISACIQKDPRDRSSSLDLLNHPFLKKFEDKDIDLGILVASLEPPISFPR >CDP06482 pep chromosome:AUK_PRJEB4211_v1:6:1091174:1093545:1 gene:GSCOC_T00023348001 transcript:CDP06482 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIDQGKDYLVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGRIAEEE >CDP10138 pep chromosome:AUK_PRJEB4211_v1:6:13859226:13861239:1 gene:GSCOC_T00030755001 transcript:CDP10138 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSQANLPIVYFTRETLKPGTGSWLSTCKKVRDALEKHSCFLAQYERIPSELISAIFLQLGELFDLPTETKVQNITTTDGLFGYFGQQPTNPTYEGMGIEDVNNPEAVLKFTNLMWPSGNDSFCELMESYKNHVSDLEKLVMRTIFESFGVEKLYSSHDESCNRMLRFNKHRPPQMSENLGGVPEHTDPTFVTIIQRNQYSSLEVKSKEDGSWIAVDFPPSSFLVMAGDCLVAWSNGRVHSTVHRIRMRPGETRFSTALFSYHSGMVHVPEELVDDEHPLQFKPFDQMGFFRFTFAYYPLSDESKIKAYCGI >CDP06642 pep chromosome:AUK_PRJEB4211_v1:6:2302854:2303243:1 gene:GSCOC_T00023562001 transcript:CDP06642 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFLQKVFCTKSSDLSESSSLTLFTCMIDLWSFESKSGYIISGGLQIEEGLLSL >CDP06620 pep chromosome:AUK_PRJEB4211_v1:6:2173893:2176970:1 gene:GSCOC_T00023532001 transcript:CDP06620 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNNCAFDADKDLDDAALWAVIDSAAAASLSAYSASKSRKSLPLKHNSPIPFPIPSPQTKLPKNPRSHQNYSDGEVLQEPWVQHDHRPHKLPRSHPRCASELSEMSPQPLALVKHVQRTPTTPPLHSPSETKSCAITGFYTSGNTPSGSDYHEDRETESFTRHSLSGRFPTVSLFKEYQDAAMAILEKNDYTLISGYRYIKKSGWRKIAFYFNLSFEIKDKTIEFDDNRNVLRAEFVVRAYMQGGRYSDGWGSCERQEKRFLKPNHDIPSTAETRAKNKACQDLLGIGEYRPGASRA >CDP10402 pep chromosome:AUK_PRJEB4211_v1:6:6888938:6890205:1 gene:GSCOC_T00031119001 transcript:CDP10402 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNRYMVIKHNIDGEPQDQEKTDVIVKNLYLAIDPYQFNRMKNQSPSERAINFAGDVIPGKCIDGYGVGRVVLSGNPEKDDLVSGFLCWGEYSIIEEGGAMLRKVDTMGFPISYNVGILGFGGLTAYAGFFELCKPKKGEKVFVSAASGSIGNLVGRHAKLIGCCVVGSAGSSEKVDLLKNKLGFDEAFNYKEETDLKSSLERYFPDGIDIYFDNVGAEMLEAAVDNTNAFGRSLRVVL >CDP06269 pep chromosome:AUK_PRJEB4211_v1:6:24722878:24728587:1 gene:GSCOC_T00023007001 transcript:CDP06269 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASFTLACPSLSLSSRTRISSSGSCYPLRCSIQNSSLSVSVPDTTISKCNETLSAIQNSGIIACLRAESAELAFEAARAALNGGITVLEIVMSNPGVFEVLQQLVHEYPTKIIGVGTVLNLEDAKHAMSHGAKFLLSPAMVMGILDDVSCSKALYIPGAMTPTEILSAFSSGAKMIKVCNSSANRKPNISIVTSCYVLQFVL >CDP19202 pep chromosome:AUK_PRJEB4211_v1:6:15569786:15571581:-1 gene:GSCOC_T00012651001 transcript:CDP19202 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKLRFKLSKSQRSHWTCSSSSTVTTSENDDRRVKVIAVVSKFHDTMKLKVVRKRRSKNLSISDCQKRTSSRKQASKVESSASVSASASSCLSSGSSSVISSAGSSGNVMMKMRGGGRGFVTPPAKDPKRKPILGSTHMRRRAEAILKVLSSHGRASEVKIRELLGDSPSTSKALRILLNLEQVKRSGAGGRTDPYVYMVNIAP >CDP10461 pep chromosome:AUK_PRJEB4211_v1:6:6334070:6335584:-1 gene:GSCOC_T00031193001 transcript:CDP10461 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCGKMGLNKGPWTKEEDQILISYINENGRGNWRALPKKAGLLRCGKSCRLRWTNYLRPDIKRGNFTQEEEDTIIKLHEALGNRWSAIAATLPGRTDNEIKNVWHSHLKKRLIPQQDHDQHHQGQAVRKTKSEQGTNKALSVEKPKFHCQDSNSSPVKIIVSKQERGTNNQSSSLQYSSSDDISSSLSFTDATTTTTTIPDNPTEIYLTNSGDGDSVSNKHQELDQDIWHDVVSSQDHSLSPVLHRGGCGFNPFTNDDINFWCDLFTRTEDISHLLEF >CDP03180 pep chromosome:AUK_PRJEB4211_v1:6:9063477:9065723:1 gene:GSCOC_T00041683001 transcript:CDP03180 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDFKKIEGESRKETLSLLHQACEKWGFFMIENHSIDVELMVKVKALVNQHYEQNMKGRFYNSDVAKSLVDKEITRNIDWESAFFIWHRPVSNINQFANLPMDFQKTMDEYIDQLIKLAETLSELMSENLGLEKSFIKQAFSGSKGPSVGTKVAKYPRCPRPEQVRGLREHTDAGGIILLLQDDEVPGLEFFKDGEWVEIPPSKNNRIFVNTGDQLEVLSNGIYKSALHRVMADKNGSRLSIATFYNPAGDAIISPASKLLHPGGFSFQEYLKIYAETKFADKAPRLESMKKLTNGPRDLLM >CDP15637 pep chromosome:AUK_PRJEB4211_v1:6:32371934:32380850:-1 gene:GSCOC_T00015564001 transcript:CDP15637 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCNVTSDLSRADFGGDFIFGSASSAYQYVCAAEEDGLDPSIWDKFTEQRPDKVVDGSNGNVAIDQYHRYKEDVQMMKKIGLDAYRFSISWPRVLPGGRLSAGVNKEGIQYYNNLIDELLANGIKSFVTLFYWDVPQTLEDEYGCFLCRRIVDDFREFVELCFWEFSDRVKHWITVNEPWTFAYNGYTTGGHALSRGIPLDGNPGTEPYLVAHHSLLAHAKAVKVYREYFKKNSISMFSCNIFAGSRRKIGITLVSQWWEPLNDTPPDKEAVERAADFMFGWFMSPITYGDYPKRMRDIVKSRLPKFSKEESQNLKGSFDFLGLNYYTSIYASDASGTKSELLSYVNDQQVKTQTVGLDGKTDIGPRAGSTWLYIYPLGIYKLLQYVKTHYNSPLIYITANGVDEVNDPGIGLISFSQDSYLVWEVTNFLIGFTRIDKTKIKYYQGHLACVKQAMDVDKVDVKGYLIWSLLDNFEWSEGSTARFGIIHVNFKDRNARYPKESGLWFMNFLAKSNAVNDPSPTKTIKRALENGGLADQEKPRKKILKA >CDP15291 pep chromosome:AUK_PRJEB4211_v1:6:3587067:3589162:1 gene:GSCOC_T00042965001 transcript:CDP15291 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGRRNGMEDEEEGEEGILFDEQLEFLGDVSYSDTPPHLRDLATAAERGNVEDLRLALDNFIGSIDEPVEDGDTALHLTCLYGHLPCVQLLLERGANLEVKDEDGALPLHDACAGGYTDIVQLLLNSGNSPECVKRMLESVDLDGDTPLHHAARGEHMEAIRLLLASGASPNRENVYGKTPRELADPDTEARRILEEAASAMAGH >CDP15292 pep chromosome:AUK_PRJEB4211_v1:6:3591113:3593705:1 gene:GSCOC_T00042966001 transcript:CDP15292 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPTRARADYDYLVKLLLIGDSGNCILLRFCEDSFTTSFISTIGIDLKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDSVNTILVGNKADMDESKRAVPTSRGQALANEYGVKFFETSAKTNHNVNEVFFSIARDIKKRLAETESEIRAEPPTIKINKPDPVKISTAEPQKSACCS >CDP10068 pep chromosome:AUK_PRJEB4211_v1:6:15008121:15012120:1 gene:GSCOC_T00030656001 transcript:CDP10068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57610) UniProtKB/Swiss-Prot;Acc:Q96529] MSTRAAVAVPFNGTQSYRVSFGGSGLRKVVKLSPTCSSAAKPMDAVVDKQLQKVGELGSGVLSRIESLSQVSGVLGCQWGDEGKGKLVDILAEHFDVVARCQGGANAGHTIYNSEGKKFALHLIPSGILNEEPLCVIGNGVVVHLPGFFKEIDSLESNGVSCKGRILVSDRAHLLFDFHQVVDELREAELAKSFIGTTRRGIGPCYSSKVIRNGIRVCDLRHMDTFPQKLDLLLSDAASRFQGFNYGPDMLREEVERYKRFAERLEPFVADTVHVMNEAISQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRAVGDLIGVVKAYTTRVGSGPFPTEILGKGGDLLRFAGQEFGTTTGRPRRCGWLDIVALRYCCQINGFSSLNLTKLDVLSDLPEIQLGVSYGQIDGAPIKSFPADLRVLEQVKVEYEVLPGWQCDISSIRNYSDLPKAARQYVERIEELVGVPIHYIGVGPGRDALIYK >CDP10523 pep chromosome:AUK_PRJEB4211_v1:6:5867903:5871698:-1 gene:GSCOC_T00031278001 transcript:CDP10523 gene_biotype:protein_coding transcript_biotype:protein_coding MEILKLKYSIPLIFPLFFVAAFAAVDPFSQALLSFKSEIIDDSNSLRDWIAPALVNSSDKILACSWSGVKCDNNSSLIIGLDLSMKNLGGALSGKQFNVFIDLLDLNLSYNSFSEQLPQSIFNLTNLRSLDISRNNFSGHFPSGISNLQNLVVLDAFSNSFSGPLPPDVSQIQPLKVLNFAGSYFRGPIPSEYGSFKKLGMLRTVTHMEIGYNVYEGSIPWQLGNMSELQYLDIAGANLSGPIPKELGNLTKLESLFLFRNQLNGMIPWEFSNIVSLQSLDLSDNLLSGTIPDSLSELKNLRLLSLMYNDLSGTVPEGIAKLPQLDTLLIWNNFFSGPLPENLGRHSKLKYVDVSTNEFVGEIPPGICAGGMLMKLILFSNNFTGGLHPSLSNCSTLVRLRVEDNSFSGELSVIFSNLSEVIYMDLSRNKFVGGITVDITEASSLQYLNVSNNPQLGGVIPEKLWSLPSLQNFSAASCSISGNIPSFDICKSMMVVDLSKNNLSGTVPESISNCKGLLAMDLSINNLSGHIPVQLATLPAISVLDMSHNSFSGPIPMQFGNSSSLKLLNVSFNDISGSIPLEKAFRMMDSSAFMGNPRLCGVPLRTCHGKGMPSGLELGSRRTQKFAWVLISCAVIVLLIVMIIFGMLHFRKGTKGEWKIVSFSGLPGFTANDVLRSFNAAEAADAVPSFPHSVCKAVLPTGITVSVKKIEWEQKRVDSMSRFINRMGNARHKNLTRLLGFVYNKHMAYLLYDYSPNGSLAEKIKMKRDWETKYKIIIGVARGLSFLHHDCFPAIPHGDLKASNIVFDETMEPQLEEYGLSSLYQLKNIELPATTNPETGEVTPSTKDQLYKDVYNFGDIILEILTNGGPRSTSKEVLLRDILDRNEISPSSSMQEEIKLVFDVALRCTSRISDRPSMENALKLLSGLKHQRS >CDP15322 pep chromosome:AUK_PRJEB4211_v1:6:3826110:3831160:1 gene:GSCOC_T00043007001 transcript:CDP15322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 7 [Source:Projected from Arabidopsis thaliana (AT2G36660) UniProtKB/Swiss-Prot;Acc:Q9ZQA8] MAAASMTISAPASLYVGDLHPDVTDAQLFEAFSEFKSLTSVRICRDSSTGRSLCYGYVNFISPQDAFSAIGARNHSTLNGKAIRVMWSHRDPEARKSGVGNVFVKNLGDSIDSAKLQEMFKPFGNILSCKVAIADDGNSKGYGFVQFDSEDAANSAIEKLNGSVIDDKELFVGKFVKKSDRVLLNPNAKYTNLYMKNLDPEITEDYLNEKFSEFGKIVSLVISKSENGASKGFGFVNFESPHDARRAMEAMNGSQLGSNVLYVARAQKKAEREEFLRRQFEERKRERVLKYQGSNVYVKNIDDAVTDEELREHFSSCGTITSAKLMRDDKGISKGFGFVCFSNPEEAFNAVNTFHGSMFHKKPLYVAIAQRKDERQAQLQLQYGQMAGIAGPSTVIPGGYPPLYYPAPSVVPPVAGQTGLMYQPIGMRPGWRPNSFTNSSRPAFQPAQVPLIPNAPRQHRQNRGRMGGHTHPQGGGQSVSYVPHAQQSGQFMASSRESGNQQRAKYNPTGRVREMNKASAVPSASASAAPPVPIVSGLEGSQMLSSMLAAASPENRKQILGERLFPLVNQHKPDLAAKVTGMLLEMDNSELLLLLESPESLAAKVEEAVEVLKLSKSKVSSSQEALHSNYLSAGVAVN >CDP10469 pep chromosome:AUK_PRJEB4211_v1:6:6265739:6273467:1 gene:GSCOC_T00031202001 transcript:CDP10469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative chloride channel-like protein CLC-g [Source:Projected from Arabidopsis thaliana (AT5G33280) UniProtKB/Swiss-Prot;Acc:P60300] MAAASPPAEAAVEEESLLSQPLLQQHEEQHHTLRRSASNTTSQLAIVGSNLCAIESLDYEIMENALFKQDWRNNRKIQIFQYIFMKWTFCFLIGLLVSLVGFFNNLAVENIAGLKFVVTSDMMLARRFVAAFAIFSSSNFALTLFASLITAFIAPECAGSGIPEVKAYLNGVDAPAIFSLRTLLVKIIGSISAVSSSLNVGKAGPMVHTGACIAAILGQGGSKKYGLTWKWLRFFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWRSALLWRAFFTAAIVAIVLRALIDVCLSGKCGLFGKGGLIMYDVTSADIPYHLWDVPPVILLGVVGGILGSLYNSLLEKVLRIYNLINEKGTFFKIILACCISICTSCLLFGLPWIASCRPCPLDPSEPCPTIGRSGNYKKFQCAPGHYNDLASLFFNTNDDAIKNLFSKNTDAEFQHSSMLIFFVTCFFLSIFSYGIVAPTGLFVPVIVTGASYGRLVGMLVGSRSTLNHGLFAVLGSASLLGGSMRMTVSLCVIILELTNNLLLLPLIMLVLLISKTVADAFNGNIYDLIMRSKGFPYLEAHAEPYMRQLTVGDVVTGPLQLFQGIEKVANIVHVLKTTGHNGFPVVDVPPFSEAPVLVGLILRAHLITLLKKKAFLHSPMPNFSDAFKRFMADDFAKKGLGNGDRIEDIDLSDEEMNMFVDLHPFVNTSPYSVVETMSLAKALILFREVGLRHMLVVPKIPGGVPVVGILTRHDFMPEHVLNVHPSSLRSRWRRLRFQWPIWSKIF >CDP06265 pep chromosome:AUK_PRJEB4211_v1:6:24575499:24577623:1 gene:GSCOC_T00022999001 transcript:CDP06265 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAVPDKRQFVTFMSWSEIFRLNFGTITDQIVENANFCFFVWVNSLWQTVFINRIVFQFWARWTYCFEAYILGNRWFYT >CDP10421 pep chromosome:AUK_PRJEB4211_v1:6:6752606:6755110:1 gene:GSCOC_T00031142001 transcript:CDP10421 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVKNKLGKYELGRTIGEGTFAKVKFAQNTETGESVAVKVLAKSTILKHKMVDQIKREISIMKIVRHPYIVGLHEVLASKTKIFIVLEFVTGGELFDKIVHQGRLSEDDSRRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSQGNLKVSDFGLSALPQQGVDLLHTTCGTPNYVAPEACFLNFF >CDP14157 pep chromosome:AUK_PRJEB4211_v1:6:18270207:18271290:1 gene:GSCOC_T00040402001 transcript:CDP14157 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFFVTCVVLLWFLALHIILSLLLPTATVPSKNRKFQDLSDTSYIVT >CDP10370 pep chromosome:AUK_PRJEB4211_v1:6:7093509:7095700:-1 gene:GSCOC_T00031079001 transcript:CDP10370 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVWDLVIVKLYAVNNCSCTAYSSFCDDAAGCELYYGSKWDLLHGIGKGNGIIHVRGEFSGSSDAKRRRWLMLSVILPVSSVLIAIVASVWLYLRWRNNAFTATYGSDGNSLELGKRKDPEPPHLSFSSIVSLTDNFSFSSKIGEGGFGPAYTLQLISKLQHSNLVRLLGYCTEQEEKILICEFMTNDSLYSFLFDTNKRLQLDWGRRLHIIEGIAQGLLYLHKYSRFKVIHRDLKTSNIYFGMARIFDETSQTKTKRVVGTYGYMLPEYAVHGLFSTKSDIFSFGVIMLEIISGKKNTTFYRSDRSLNLLGYAWETWQEGHCLDLMDQTLVDSCLEDELENPKDRPTMSDVISMLNKERTNLPIPKQPAFSTLCILNVDTPQAMH >CDP14254 pep chromosome:AUK_PRJEB4211_v1:6:36805723:36809643:-1 gene:GSCOC_T00040544001 transcript:CDP14254 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIGGGEGEVFEVTQQDLELFTDNFSQNNHIAKAQYCELYHGRIPQGWKGFEARDVTVKVWVKAAEMSHKYRVEALYQDLLENFNYEIPFLKHHNSTTSDLPVLMAFCRVDEKEMLGVVYDLKSMNTLRNFVDKGEFKWLDRIQVAVRLARLLELLHGYQPRYLVRDLSAAHIMLDQDLNPVLFNFFMLTGGVIGEKKDDTPFQQNRTLFGSYGYIDSAYVMTGVWCEATDIFALGVILLELLFKLTVDHHIDEKTGAWIYLHLDAVNLYPLKKSRFSLKERKCSFADKSFEEEPEFNLRDGQKISKLARLCVDRDLRIRPSTKTLVRELQKVKFNKK >CDP06594 pep chromosome:AUK_PRJEB4211_v1:6:1954966:1958516:1 gene:GSCOC_T00023501001 transcript:CDP06594 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGCRFSFHVCVIVAAIVYIYFSTVFIFIDQWFGLRSSPGLLNAAVFTCLALMCVYTYALAIFTDPGRIPASYVPDVEDADTPMHEIKRKGGDLRYCQKCALHKPPRAHHCRVCNRCVLRMDHHCVWLNNCVGHANYKTFFIFIVYAVVSCIYSLVLLCGSLTVDSMTDDEQNEGFFRAAYVISGLLLVPLTLALTIFMFWHVYLILQNKTTIEYHEGVRAMWLAEKGGYLYSHPYDLGAYENMISVLGPNIFCWVCPTSEQIGSGLRFRTGVDKLAGTSF >CDP06260 pep chromosome:AUK_PRJEB4211_v1:6:24323299:24335098:-1 gene:GSCOC_T00022989001 transcript:CDP06260 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEIVEPNTCIRGCCHSQTIPLHLPPSSYSLSSPIARGAESVVYDAILDGKKLAVKKPILSISEDIDKFHKQLQLLCKLDHPGIAKLVAAHARPPNYMFFFEFYECGNLAEKLHVDEWVPNFDQVIHIALDLAKSLKYLHSLGIVHRDVKPSNILLDRNLRPHLADFGLAEYLRDLKQVSIGNWKPSGKPTGGFHKRNMVGTLIYMAPEILTKDLQTEKSDVYSFGVSLNELLSGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAVVSEGLRPVLASSKLGASASVLSLIERCWDADPESRPSFDDIVVELDAILENSNNTEEMAVIQPSVTPEHSNVTNSRSFHESINWFTQGKYSSKEASIMPESFFRVSVDSSADSFAYSPVVSWGSFATCGRRETMEDRHFLMPHLCDEKDIHAFGIFDGHRGAAAAEFSAGALPGFLQTLASMSSPSDALYEAFVKTDSAFREELDSRRKSKGVIKKDWHPGCTAVTALIVKNRLFVANAGDCRTMLCRSGHPYSLSRDHVASCSEERERVISAGGQVKWQIDTWRVGQAALQVTRSIGDDDLKPAVTAEPEITETILSAADEYIVMASDGLWDVMNEADVVSIIKDTVKEPGMCSKRLATEAAERGSKDNITVIIIFLRPVSTAERVY >CDP10083 pep chromosome:AUK_PRJEB4211_v1:6:14776369:14781234:-1 gene:GSCOC_T00030686001 transcript:CDP10083 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLLGSRFFALYIEQPPALESIPKQSLTHTRSNSSCLKQDIYLMESSKETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKEMILKQEQAKLAASSIENIVNGSSTNNGKEPVATGALPIQAMPVDLEAVSTQASINVLAGQGSEVKVKEGPNRCTTCHKRVGLTGFNCRCGNLFCAVHRYSDKHECPFDYRNAARDAIARANPVVKAEKLDKI >CDP15386 pep chromosome:AUK_PRJEB4211_v1:6:4372748:4376974:-1 gene:GSCOC_T00043100001 transcript:CDP15386 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSRSCEIVESNEEMCSTRHPQTRYQAKRHEREWQPPVKKGSNKSLEDDINRLFEGITITTSKSLDLSDRANTGFSRKNASKKPMKVGVSYSPGIGFSDPVSLKQALRGLCISQAAEMAALKRLSKPPGSPGVLEPGRITNLYRSVVIEAGDSGLPLSDVREGKVEISLKPEESTSNCIERVHRSLQEPKMPSATQSAYSSPRFGVKPTMKSVENSPLHHAISLASRKVETQASGKMRIKAESQEPKNESAGQSTLPSSQPAVEPIIEKTGLTQRENEITSTSREIEVQPLEMVPSQGGNQILSSSVPSPSYADVKSKLDKNPSGTNNVASGPIRKVGTSVKILGKSTPKIRRKGKLQMVPPSNASKTRKESKSTRATATASKPVTRNKNLALKKTKQESIIVAAKSDAACGVYGAFGDNSSQLVCQRCKCSLKDVKEELSKESSSLAHSNSASMVTTNSRTCDTNKPGLILKDCENASTPAGKGNMIPKIKEKGDFSQSSKSSMGEYSSCTSMSEESTVSGSSCGNRPHMSKDVRWEAINLVKKQHGFLGLSHFNLLKKLGSGDIGTVYLAELVGTNSLFAIKVMDNEFLARRKKMPRAQTEREILRMLDHPFLPTMYAQFTSDNLSCLVMEFCPGGDLHVLRQKQPGRYFPENAARFYVAEVLLALEYLHMLGIVYRDLKPENILVREDGHIMLTDFDLSLRCSVNPTLIKSSSLGLEPPRISGPCAGSNCIDPFCAAPSCKVSCFSPRILPATAKTRKQKAEQATPCRFLPQLVAEPTEARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGIFLYELLYGKTPFKGSGNEETLANVVLQNLKFPDSPIVSFQARDLIRGLLVKEPENRLGTETGAAEIKRHPFFDDLNWALIRCAIPPIIPEFCDVGVPKVVSQDKGKRFLEYNATGEHLEFELF >CDP10145 pep chromosome:AUK_PRJEB4211_v1:6:13815707:13817991:1 gene:GSCOC_T00030765001 transcript:CDP10145 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNEDNNSDESCPRIQHVAKASSDELLRKFAQVGSESEDKKELQLAKRIKRSTNISAIKAGFYTQSRMYVDQGSSLNGISAAIVERKSLLPPVTPSSRRSSVAVVRRLGIGRVKVRAREIKHKSLLGAIEKTWRRTIEGACKVFVEKHYNQHRRLKHDMF >CDP10222 pep chromosome:AUK_PRJEB4211_v1:6:12942711:12949323:-1 gene:GSCOC_T00030880001 transcript:CDP10222 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLAGSSFLLVGQQRRPIQNHKLSPLTCPGTFNLKWEDIFRGRNNQKRCLISLRHSRVVQAVAVPIAPSPADRREHRKQLSERYGFRQIGEPLPDNVTLKDIIETLPKKVFEIDDVKAWKSVLISATSYALGLFMIAKTPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSKNKLVEDIIGTLAFLPLIYPYEPWRFKHDRHHAKTNMLQEDTAWHPVVKEEFDSSPVLRKAIIYGYGPFRPWMSIAHWLIWHFDLKKFRPSEVHRVKISLACVFAFMAVGWPLIIYKTGIIGWINFWLMPWLGYHFWMSTFTMVHHTAPHIPFKDSDEWNAAQAQLNGTVHCDYPKWIEILCHNINVHIPHHISSRIPSYNLRAAHQSLQENWGKYLNEAAWNWRLMKTILTMCHVYNKEQNYIAFDEIAPEESQPIKFLKKVMPDYA >CDP06440 pep chromosome:AUK_PRJEB4211_v1:6:786217:787411:-1 gene:GSCOC_T00023294001 transcript:CDP06440 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVDEIQNTVSPDDKRSSFVGEPAAGIGAEQRVRDEAEEIQGDKERPTGLINNSISTIGSVTGGDDEEKNRSGGLSGEERNIEGERESGGPINHLISNLVSPILPPRVRESSNKRKADELESESKQEDKRGDGLPSKSVEEFGGSSGGDGGIFKGLISNIFHQDQDPGVKERKVKDVNQGAEQVKSESGGGLVESPVSSLPTPLAEDAAPATDEASILIHSIVHE >CDP10500 pep chromosome:AUK_PRJEB4211_v1:6:6049596:6051013:1 gene:GSCOC_T00031246001 transcript:CDP10500 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRFHRLLQVRRYVYHDVVRLEDLEKLIDCSNVQAYTINAAKVVFIKKRPQNRQFKGSGNFCTSCDRCLQEPFIHCSLGCKVDSVLKHYKDLSPFLRACKVLQLSPDFFIPQDYGDDEMTNETPHSTIVDCDEPLSSSSGSSGSENMSFMCTEFVRKKRSGLYVCGRSATKITDEDMATSMSRRKRVPHRSPLC >CDP03081 pep chromosome:AUK_PRJEB4211_v1:6:9894188:9898413:1 gene:GSCOC_T00041564001 transcript:CDP03081 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDTDVTMVPAGEGSSGAGPSSSTASTSTSGKKAKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >CDP03196 pep chromosome:AUK_PRJEB4211_v1:6:8970447:8974239:1 gene:GSCOC_T00041700001 transcript:CDP03196 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPDPDDGNVIGADKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYKNSFLFRDKVVLDVGAGTGILSLFCAKVGAKHVYAIECSSMADMAQEIVKLNGFSDVITVIKGKVEEIELPVAQVDVIISEWMGYFLLYENMLDTVLYARNKWLVADGLVLPDKATLYLTAIEDADYKEDKIEFWNNVYGFDMSCIRKQSIMEPLVDTVDQNQIVTNCQMLKTMDISKMATGDASFTAPFKLVAERNDYIHALVAYFDVSFTKCHKLMGFSTGPKSRATHWKQTVLYLEDVLTVCQGESIVGSMTVAPNKKNPRDVDIMLKYSINGRRCQASRTQFYRMR >CDP06783 pep chromosome:AUK_PRJEB4211_v1:6:3415996:3417798:-1 gene:GSCOC_T00023749001 transcript:CDP06783 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGDKEERPNLGSHSHGNLVAASGDENLKEIFHHIRTSRAPAVINYGASWCHVCSQIFPAFCQLSNKFPKLSFVYADIDECPDTTKHIRYTPTFHFYRDGERVDEMFGAGEERLHDRLWLHS >CDP03012 pep chromosome:AUK_PRJEB4211_v1:6:10590388:10594021:-1 gene:GSCOC_T00041477001 transcript:CDP03012 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASSVIHLIFISTILTAFIFLSSSASTPYESDDEAELEAAAAAATQFVKETIGSHTIVIFSKSYCPYCRRAKAVFKELEQVPYVVELDERDDGWKIQNAMSKIVGRRTVPQVFINGKHIGGSDDTVEAYESGELAKLLGITTSQRDDL >CDP06588 pep chromosome:AUK_PRJEB4211_v1:6:1892101:1893415:1 gene:GSCOC_T00023493001 transcript:CDP06588 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASLQTLFSSLGVKQPRAGASGTSLRRSTTAVGSKVWSLNAGVRENKKKGRSLTVVAAVGDVSSEGTTYLIAGAAAVALIGTAFPIFFSRKDLCPECDGAGFVRQSGAALRANAARKDQAQIVCARCNGLGKLNQIDK >CDP06536 pep chromosome:AUK_PRJEB4211_v1:6:1522966:1525015:1 gene:GSCOC_T00023424001 transcript:CDP06536 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLTFSFVLFFACSLFLRGTQGEIVCEELPTNVCSFSIASSGKRCLLENLKGKDGKVEYQCRTSEVVVERMAEHIETDECVSACGVDRNAVGISSDAFFEPLFTAKLCSPACYQNCPNIIDLYFNLAAGEGVYLPALCEKQRSRPHRAMIELLSNGAAPGPAAPQSENLVASAPASAPSSF >CDP03189 pep chromosome:AUK_PRJEB4211_v1:6:9004534:9007922:1 gene:GSCOC_T00041693001 transcript:CDP03189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MEKKREERDGAMTMNWDGVIEGMPLFAKELIAGGVAGGIAKTAVAPLERIKILFQTRRAEFQSIGLLGSFSKIAKTEGLLGFYRGNGASVARIVPYAALHYMTYEEYRRWIILGIPGITRGPVLDLIAGSFAGATAVLCTYPLDLVRTKLAYQVVGSPKLNVKGLLPNEQVYKGILDCFSKTYKEAGVRGLYRGVAPSLYGIFPYAGLKFYFYEEMKSHVREDHKKDIMVKLACGSVAGLLGQTFTYPLDVVRRQMQVQRLSASNRTDMKSTIDTLVMIVQRHGWKQLFSGLSLNYLKVVPSVAIGFTVYDVMKSFLRVPSRDEAVVEVTTNRRNSQPSSLSS >CDP09579 pep chromosome:AUK_PRJEB4211_v1:6:21057645:21058715:1 gene:GSCOC_T00029007001 transcript:CDP09579 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNLIRQCTKFRLEQFRHSFVLTLLETHNEDQHLLNPTVIDSFRGYLEDVNVNSKATPGSVLITTAQGDLFCGGFDFGYAPSHAGGSEDKAYKEMNDGFKDVVKDLISLPMPTIAAINGYATEAGLMLALSHDHLTMKQVVEPHLRAELLSRKRSYPGYFAALIRSKVGCPLARRNLLLRDVQIDAQEAAKIGLLDWNREVASEAASEEGALEVAKTQADELAKKKWNGELYAEMRQLLYPELCKELELTSSHSC >CDP06434 pep chromosome:AUK_PRJEB4211_v1:6:754995:757435:-1 gene:GSCOC_T00023286001 transcript:CDP06434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 34 [Source:Projected from Arabidopsis thaliana (AT2G38320) UniProtKB/Swiss-Prot;Acc:O80919] MTKKHQVIISTSPAAAGILWDVRCSFQSLIALLVVALVGGAVYLTAQSGHLLADDRTSSSTSNSSPPPPPSASTNDSPPSKCNLFSGKWVYDNHSYPLYKEKQCTFMSDQLACEKFGRKDLTYQNWRWQPHHCDLPRFNATALLERLRNKRLVFVGDSLNRGQWVSMVCLVETSMPSSSPKSMISNGSLITFKATDFNASIEFYWAPLLVESNSDNPVNHRLPDRIVRAHAIEKHARHWTDAHILVFNSYLWWRQPKMNTLWGSFGNSDGIYKDVEMLRSYEMALKTWADWLEIHINRTKTQLFFISMSPTHQRAEEWGESAGENCYSETEMIGKDEYQGNGSNPEMMRKVEAAINDLKSRGLTVRLINITQLSEYRKEGHPSIYRKQWELLTQRQISKPSAYADCIHWCLPGVPDVWNQLLYAYIFNSSSHNTKM >CDP10457 pep chromosome:AUK_PRJEB4211_v1:6:6350222:6351139:-1 gene:GSCOC_T00031189001 transcript:CDP10457 gene_biotype:protein_coding transcript_biotype:protein_coding MPETARYTALVANDLKQANADMSKVLQVDIEAEPAKVQQTAGSPSSSYGLLSKEFLTRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPAAKTMNSINEVFTIAKAQTLIALCSTVPGYWFTVALIDRMGRFAIQLVGFTMMTAFMFALAFPYDHWTQPGHHIPFVVMYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISAASGKLGAMVGAFGFLYLAQSPDKAKTDAGYQPGIGVKNSLIVLGAVNFLGLLFTFLVPESKGKSLEEMSGEIGDMKEGGEELDHPASYNNRTVR >CDP06752 pep chromosome:AUK_PRJEB4211_v1:6:3188031:3190123:1 gene:GSCOC_T00023707001 transcript:CDP06752 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRHPPPEPPPVASPPSPISNQSAAQIFKKTTQFFISHPFTFIFLVSLVFAFRFNVEKGAHYLISFVDGDPSLKSLISRLDLSGNQHYHHHHRHPLHIRRRRRPFLHLSRVGTLDEDFFSGDADYARSLFNPTTKFQLNGTFVILSNFNPSLGFSPDPIVDNGVSFAQTVRSGVVAFKPPPEPLETPQESASLPADANDSTEDSNAVVDLHFLLRGLELGRRDTTALIYFVGILSAAYGYVILAFLVTYTWVNGIVFYQVLNDLLRKSKNFFRAVWDGSNLGIRRLSGFVLMRWAVRDALAQLMGIYFFGEMDDQYKFFKFFMRMKLMPFSDVVPWVLGHERESLGFMASWFLVELVVSFIFAIDTWVAIVDSRKSGREVVKEGCHLLAMLLYPAVEIKCWELMACGFLARWLLSHVIGDVFALVFQSVMEVHFMVAWLLFYLAARHKDAHSIGREFGQRELEGFLEGTR >CDP03254 pep chromosome:AUK_PRJEB4211_v1:6:8532595:8533668:1 gene:GSCOC_T00041773001 transcript:CDP03254 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWSLLSPLYSHCRRSSSYVFAAISTRTTTITTHFHRTMATTASEPTSPSNTRLGWIGTGVMGISMCSHLINAGYNLTIFTRTPSKAQPLLSLGAHWADSPKTVASQSDVVFSIVGYPSDVRHVILHPSTGALFGLRPGGIIVDMTTSDPSLAVEIHSAATSAGCSAVDAPVSGGDRGARNATLSIFTGGDGPIIEKLKPIFALLGRVYYMGAPGKGQFTKLANQITIASTMVGLCEGLIYAHKAGLDLELYLSAISTGAAGSKSLDLYGSRILKRDFEAGFFVNHFVKDLGICLRECQNMNLALPGLALAQQLYLSLKAYGEGDLGTQALVLALERLNNVSLDSAGGAAGSGNKT >CDP10234 pep chromosome:AUK_PRJEB4211_v1:6:12842368:12844231:1 gene:GSCOC_T00030897001 transcript:CDP10234 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNLKAETMKLMEQRSGIEPEMNVIIENLCRPGGPGLNVFIILQGFPRADIDIPAVRAERHRLAELRNDHKDITEKINQNIQVLHSARLTSTTIEDTGNHFNFMSFLLFALIKLVNELCCLFLVF >CDP03074 pep chromosome:AUK_PRJEB4211_v1:6:9949373:9952908:-1 gene:GSCOC_T00041554001 transcript:CDP03074 gene_biotype:protein_coding transcript_biotype:protein_coding MWACNPSLIQSHVRNISLLGDENQGKEKSLSTCLSTLLSNTPEPSDRIGQRPSYWSSKGEIDSAVPETLTYKLMAKLCVITEIHIQPFQVYFQFGSPIYSAKAVRFLMGHSIAGMEAQMEGDVSSAAQESCH >CDP03295 pep chromosome:AUK_PRJEB4211_v1:6:8140515:8146051:1 gene:GSCOC_T00041832001 transcript:CDP03295 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLKAFLVLPLVFLGAFAGTPINQVQKHGFSLGIRNPFGSIQFGFGGQDGGNFDGFQQPPGGTQQPSGKKPEFLTNFRGRWEIHSENAGVSAMQFQLLPNNKAVWFDTTNLGPSGLQFDPPYCHPNFNNASLIDCYAHAVEYDTETGSVRPLKFSFDPWCSSGGLAANGEVISTGGAIEALRAVRTYTSCDNCEFQENQVALAENRWYSGQQTLEDGSFLLVGGRDVFSYEIVPQNQLQFEPRLFQLPFLRETRDEKENNLYPFVYLLPDGNVYVFANSKSIILNPYTGETIRQLPELAGGSRNYPVSGMSVLLPLQLSADGSHNVDVEVMVCGGNAPDAFKYSENPPRKFLPALNDCNRLSLTQENADWDKEIMPSRRTMGDALLLPTGDVLMLNGAKAGTSAWESADDANFTPVLYRPTAAKGKRFKTLKPTHIARMYHSTSALLIDGKILVAGSNPHQFYTFNVKYPTELRVEKFTPPYLAPELDKHRPVIVEDASDKELKYGQQFVVNINLDDQVDASDIKVTMYPPPFTTHGFSQSQRLLVLGLTQVTNQQITAVAPPSGKIAPPGYYMLFVVHRGVPSRGMWVHIISIKERIDHWSSFINMACFRVLCVALFLSAFAFHSSAVNGSIIKSMYISWGAQHTAIQGDDLALLCFCFPGTGAQSKKTFLFGSIEMLIKFVPGNSAGTVTAYYLSSTGDKHDEIDFEFLGNSSGQPYTLHTNVYIQGVGNREQQFYPWFDPSADYHNYTIHWNKNAIVWYVDGIPLRVFRNYESKGIPYPSQQGMRVYTSLWNADEWATRGGLVKTDWNSAPFIARIRNFRPRACFWNGPVSISQCALPSPANWWNTPAFNQLSAAKMGQMNWVRNNYMIYDYCKDTKRFNGVMPAECSLPQF >CDP15374 pep chromosome:AUK_PRJEB4211_v1:6:4259968:4263574:-1 gene:GSCOC_T00043083001 transcript:CDP15374 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKHLHELLKEDQEPFHLKKYIADRRCLLKRSNNPPPKTASTSLQLKKGKPIIETRAVNKSNLCRHACFLSFHGSPVDVRMSPFLDLHPSPAKSPCRRNQTGTVFPHVPARTAALLLEAAMRIHKQQPSSSSSSSSSSSSLAKPKSHQVRNKGLGLFSSILKKLKDRNKNKKGGIRDAEFKIPRKSDAKMEENVAAMESTNIEVGAFSCSCNNSRLSSAGWSESNEDNKSLDMETSTSGCRSEEDLNDHEMVFVAQQSLNGACASGDNLFCSSPFRFSLQRSPSFGGSRTPDFSPPTASPSRRKEEDKKNNKYEGNGYENSAKLKLEEEEEDEKEQCSPVSVLDPPFQDEDEEDEDRGEEDEEEDYDLECSFAIVQKAKEQLLYKLRRFEKLAELDPVELEKRMMEQLDDDDDDDDEEERAEEEEKCMENDLLPLYRGCSLESFVSDVCRKSSLPSRRKIPADMKRLVSDLISEEKREIKSSENKEIVVGRVCKKLDSWKEVESNTIDMMVGLDFRTEFDLWKRFQEQREETALEIEIAIFGFLVDEILEEPLP >CDP15648 pep chromosome:AUK_PRJEB4211_v1:6:32702663:32704185:1 gene:GSCOC_T00015581001 transcript:CDP15648 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDAAGSLIISHSQPVTADAAVIKTDIKWFMQLDTETIMWWRCLVQFWGLVRIGDKTYLTTCDQPFALCYYFDPDVKPLCEYRKLCLECLIPVDDDDDDDVELIIGPPGRVFRSGFPEYAEDVHDYTSREYPQRDYAVDRARYYWALPIYHPTRHLPVGVLEIVSPYVVGDLPRREVLEKLQVRLFSFFKLLNYLFPIAHRDLVVLLMIYEL >CDP03011 pep chromosome:AUK_PRJEB4211_v1:6:10603684:10605030:1 gene:GSCOC_T00041475001 transcript:CDP03011 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAYYDDGESSGNSPTPLAPPPQLSFRTKGSPFRPSIAVIVGVLTTVFSVTFLLLLYAKHCRRGGDNSPFSSTSRIGYPAIAARKNSGIGRAVIESLPVFRFASLRGQKDGLECAVCLNKFEHTEVLRLLPKCKHAFHVECVDTWLDAHSTCPLCRYRVDPEDILLVEDNRVLYQTDTPPLKSSSVNHPTPELGSISRVSGRHSFAGNSLEVIAETPRGGDAAATSFGARRSLDSWNSRKKRNSEMVGVGCFDRPRKDELLLGDKTSGSGSGSGAEQRRLEHRIIISGGRTEVETADGPNHRWSDVQPSDLLFLRSEMILGDSRRFSGSRGSRPGGRGGGRNVINTRSVSEITGMSRFRSNEDEQQQQRLQRQQRQAGAVSRWMAWISQSQNQQHHHHHNHNHHHQHQPAVHRTSSSSSVNAV >CDP06215 pep chromosome:AUK_PRJEB4211_v1:6:22872526:22875652:1 gene:GSCOC_T00022904001 transcript:CDP06215 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVVQQQNRVEGVAGGVKEKKMAAEGKNRRALGDIGNMVTVRPVEGKPLPQISRPVTRSFCAQLLANAQAAAAAENQKKCVAVNVEGGAPVADGVLPQGGRAKKPTQKKAVAKPKPEAVIEISSSSEEVKKEKNKKKTGEAASKKTASTLSSTLTARSKAACGLSRKQKEITVDIDAADVNNELAVVEYVEDIYKFYKLAEAYKILIFFNESRIGDYMHSQPEINEKMRAILIDWLIEVHHKFELNPETLYLTINIVDRYLAVQTILRKELQLVGMSAMLIASKYEEIWAPEVNDFVCMSDRAYTHEQVLVMEKRILGALEWYLTVPTPYVFLVRFVKASIPDSNMENMVYFLAELAMMNYATIIYCPSMIAASAVYAARCTLNKSPLWDETLKLHTGFSETQILDCAKLLVSCHSMAAEHRLKVIYRKYSNTERGAVALLPPAKSLLAAA >CDP15768 pep chromosome:AUK_PRJEB4211_v1:6:26262948:26264855:1 gene:GSCOC_T00015841001 transcript:CDP15768 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGLDGAYYNYFLFSLVLLSPILLLVFTQRKTRLPPGPFAWPVIGNLFDLDGKKPHIALSRLAQSYGPLISLRFGARLVVVASSPEAAREIFMTHNRDLSGRHVVQLAKILPQIDTSMIAMAAECNERWRFLRSTAHSELFSAQALESFSQIRLDKAKEMLDFLASKDGEVVKISDILLATSANIMSNAMVSQDIVSWKNIGEVRRCIRRLLEFGIPGLADLFPAIGCLDFWTKQKAVECTRILRETWIDIVSKRRGGRADVAFSSRDFLDVLVENSFDDYQIYNLLTVRYQSNLHLILQLLISLILDYNSQRSETISTAIEWAMAELTRNQEASSKLLDELMKNESEGTALSEKHLTQLPYLQACIKETLRLHPPTPLLVPRRASQTCEFMNYNLPKDSLVVVNAYALGRDEKSWEDPQGFKPERFLGTSLDVKGTHYELLPFGGGRRICAGYPLALKQIQLLLASLVYAFDWLHPPGMEPTNIDMSEKFGFTLARENPLLLIPRIRNDMQKDWAETLGLKKF >CDP14329 pep chromosome:AUK_PRJEB4211_v1:6:35838855:35840842:-1 gene:GSCOC_T00040656001 transcript:CDP14329 gene_biotype:protein_coding transcript_biotype:protein_coding MTMENGSVAKLMLPSGLITSYKPLMWHGATMELLHTSVSEGENGEALIHGGVSLDLKFDLNGILWSPKTWTLRGVQGSSLEFIRVELISQNVESNIEVKQIITLGEDALSSEILVCNSSDSSVHFTGAVISHLTASTPEATYAIGLEGSDFFSKTPLLTDFSLIHPSFGKIGSRKARGLMDFTGFFSGWGVDQDSERTRKETEEGLEGEEEDGYKNLAEEMSRIYTSAPRDFTVLDRGRRNSVLVGRNGFNELYMFSPGSSHEWYGKYSYICVGQAALLQPITLSSQSEWRGKQRLCNPNL >CDP15368 pep chromosome:AUK_PRJEB4211_v1:6:4186594:4188499:1 gene:GSCOC_T00043074001 transcript:CDP15368 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTERLRLLTLLYVISCTILNTWSVVRASTSQDHLTSGFKATPNPAVSTFQPILTDSTGNYSLGFLRLNKTQLTLAVVHVLSSETIWHANITRLPGWSDPTQLTFNGSLVVSDPRSGVFWSTYTDGDRVWLSNTSNLQVETSDGSALWQSFDFPTDTLVENQNFTSKMSLVSSNGIYSMRLGQDFMGLYAKFKSGSDPGQIYLKRRAMQAKAEIVQGQPIYAVVESDGFLGMYQNGTTPVDVQSFSSYQQPVSGVRRVRMETDGNLKGYFWTGSSWILDYQAVSDPCELPCSCGPYGLCQPGKGCGCLNNNETEPQTGRCVSPGNHNLVDFCSAYDNKYKALRSSGVDLPYKELMGYQIMENFQECENACERNCTCWGAVYRNTSGFCYILDYPIQTLVRVADESKMGYFKVSEGVGKGKMDVGVGVGVGVLCGAILVIGGGLVGYGWYRMRRGKQRGVSGYVKEEGIIGGGVGPYKDLGAASFRSVELSAR >CDP14333 pep chromosome:AUK_PRJEB4211_v1:6:35814247:35818805:1 gene:GSCOC_T00040661001 transcript:CDP14333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g13650 [Source:Projected from Arabidopsis thaliana (AT4G13650) UniProtKB/Swiss-Prot;Acc:Q9SVP7] MNIGSLIHTRKLQGKIFKLGFSQDHDFQCRLLDVYVACGDLFSALQTFDDFPSKNLSSWNTLIAGFLGKKLNNQVFRLLSRLVADNVMPDEWTLASVLRACGAGKVTFQYVEHIHAKVIQYGFGAATVVCNPLIDLYSKNGFINSAKQIFQNMFLRDSVSWVAMISGFSNNGREEDSIILYNEMRISGINPTPYIFSCVISACSKIEFYDLGLQLHALVYKWGFASEVYVCNALVTLYSRFGHPMPAEQIFSKMQQMDRVSYNSLISGLVQQGNNERSVELFRKMQIDSLKPDCVTISSLLSACASMGALLKGRQLHSHALKAGMCSDIIIEGSLLDLYVKCSDVESAHRFFLTTQKDNVVLWNVMLVAYGLRGDLNESVRIFEQMQSRGLQPNQYTYPSILRTCTLVGALDLGEQIHSQVIKTGLYQNAYVCSVLIDMRLTEEDIVSWTAMIAGYTQHDLFLEALKLFIEMQDLGIQSDNIGFASALSACAGLQAINQGRQIHAQSITSGYSLDPSISNALISLYARCGKVQDAYLAFDKNKNKDNISWNALISGFSQSRHWEEALYTFSNMNLAGVESNMFTYGSVVSAAANTTNLKQGKQIHAKIIKTGYIAEIEASNVLITLYAKTGSLDDAKREFLEMPQKNEVSWNAMITAYSQHGCAAEAIEQFEEMKQLDVRPNNVTFIGVLSACSHVGLVDEGLSYFKSMREKHDLVPKSEHYACVVDILGRAGHLSRAIEFVETMPIEPDAMVWRTLLSACTVHKNMEIGELAAKHLLRLEPNDSATYVLLSNMYAVSGKWVYRNHARKLMKDRGVKKEPGRSWIEVKNSFHAFFVGDQLHPLADQIYAFLEDLNVRVAAMGYIQDRYSIWNDMELGQKDPTALIHSEKLAIAFGLLSLSDSIPLRVMKNLREDPGLYASFHGDMDKPNWNQVFRCSRHGMRTLSDPAEQINFNVEGQLKEVFNKSISVQYEFIGSKINSATYH >CDP10239 pep chromosome:AUK_PRJEB4211_v1:6:12799086:12811396:1 gene:GSCOC_T00030902001 transcript:CDP10239 gene_biotype:protein_coding transcript_biotype:protein_coding MFDHAAKSQYIGGQREKFVRLDDLDSTLSSPSASVATNKCGFGIEGKGRVGSSTTTTSKSFKRGMKKGSEGLKSIGRSFGFGVSRAVFPEDLEVSEKKIFDPQDKFLLQWNRFFVISCILAVSVDPIFFYLPVFDNKANCLGIHRSLAIIATTLRTVIDAFYLIHMVLQFRTAFIAPSSRVFGRGELVIDPAQIAKRYVRSYFIIDFLSVLPLPQIVVWRFLQKSEGSDVYTTKQALLVIIILQYIPRFIRVLPLTSELKRTTGVFAQTAWAGAVYYLLLYMLASHIVGALWYLLSVERNDYCWQKACKTRLGQCNTNFLYCGNQNMKDFNSWSGISESVLNASCGVGVENPPFDFGIFEQALSSGIIYSKKFISKYCYCLWWGLQNLSTLGQGLQTSTYPGESLFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEESLVQSLPKDLRRDIKRHLCLALVKRVPLFENMDERLLDAICERLKPCLYTENTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGSNLPSSTRTVKALMEVEAFALTADELKFVAGQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYCKRKLMELRRKEEEEEAAAAAAAGGSNNAGGGSYSLGATFLASRFAANALRGVHRNRNLRSARELMKLQKPPEPDFTADAD >CDP10597 pep chromosome:AUK_PRJEB4211_v1:6:5269201:5271233:1 gene:GSCOC_T00031365001 transcript:CDP10597 gene_biotype:protein_coding transcript_biotype:protein_coding MICKTRSNHSPSLINSQPTFTSIYKDRLGKLGIDYRHKADDVNDGTRAIREFRTTGPYLIRRLASSLPSLHGQFKSFLTSFGTVVPAAGGPTKWVEYYVDQLGYLRASYDQQY >CDP06419 pep chromosome:AUK_PRJEB4211_v1:6:650627:651410:1 gene:GSCOC_T00023269001 transcript:CDP06419 gene_biotype:protein_coding transcript_biotype:protein_coding MVCILGMMNEKQYTVRLLRAQESSDRGEKIQSEHLKRREKKGSPKFFYLRRPHAHRPQAASLLFGNSDPKPSKTQQRQQQSW >CDP06627 pep chromosome:AUK_PRJEB4211_v1:6:2218934:2220143:1 gene:GSCOC_T00023541001 transcript:CDP06627 gene_biotype:protein_coding transcript_biotype:protein_coding MQKATKSKRRLATRFTRFKKRTGTSLLFKLEKPTYFSVFKDERRCFYLFKGLVCAGEVHPPSSGLNQTDGIN >CDP15665 pep chromosome:AUK_PRJEB4211_v1:6:33152936:33154268:1 gene:GSCOC_T00015614001 transcript:CDP15665 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDFSSQQVDTANACINGVHPQQGGIVGSPPRPEHVHGFINMSNQEFYFAGVDVAHKSMNGIYEQQNGIVRSTTGRELVQNVVSIEDHEPIVEDPERDDASIEQNGNEVTNLKKQEPSCTLKSDLEITREVLEQNSMRKLEDAAKNIGVNRSTLKRICREYGIRRRPPHKERKVNQVFAKQKAVQPATENTEENHQSDATRLEDDSSMWVIKAKYQEDKIKFELPSSARKIDLEKNIAQRFNLSRGSFKIKYQDELNDWILITCDTDLSFCMKTLSKLGRTTIEMLVS >CDP06577 pep chromosome:AUK_PRJEB4211_v1:6:1839865:1840776:1 gene:GSCOC_T00023479001 transcript:CDP06577 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARYWMWTKRKHDFGSHVFSASLPNPSSYSESWEEQAFAEDAAGGLGGCTWPPRSYTCSFCRREFKSAQALGGHMNVHRRDRARLKQSPTPHMEVLSRNHQILNPCASLDSPQICTFLYSHDSNDSDHRVVLASPSSPIRVSSPSPPPKIMDCEEKILGAPQFFSTIVDESYQKSNLSSPQSWSNLVADKYFHVPDSKNGGKGSKTVKSNGRANGEYVKADLSASLNLLLCRTRSNTSDDAEIFHTYKRRKMDETSVTLLPTSTLADEKCLLQSNLPPKMSPNSKDELDLELRLGDPPKVK >CDP06742 pep chromosome:AUK_PRJEB4211_v1:6:3025750:3029080:1 gene:GSCOC_T00023693001 transcript:CDP06742 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIAAYIKIWTIDYRISSQESLLLRQQFDLAHREAMDESAEWRQRFDMEVEKSQMCIKELDQIKESRQAASAAGINKKLELLEKENMDLLEQIEILKQELEAEKFNCSMRHI >CDP10637 pep chromosome:AUK_PRJEB4211_v1:6:4879921:4880933:1 gene:GSCOC_T00031415001 transcript:CDP10637 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSESAKIDIAETGRERKGKAPLLGGRSGPAERAKAGGYKRGIAIFDLILRVSAAAAALGATVSMGTAEQTLPFFTQFFQFQASYDDLPTFSFFVIGMAIVCAYLALSIPFSIVCIARPHAVAPRLLLIIFDTLALTLATSASAAAAAMVYLAHQGNSNANWLAICQQFTDFCQKTSGSVIAGFTTVALLIFLVVLSAMALRKHY >CDP10488 pep chromosome:AUK_PRJEB4211_v1:6:6136999:6138047:1 gene:GSCOC_T00031232001 transcript:CDP10488 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKMFNAHLASQPSFQQRDNHFSQGLRVLAIDDNVVCLKVLAFELQKCGYQVTATTKAAEAIEMLRKNKDSYDIVITDVMRSDMDVFKLLEIIGLEMDIPVISDEPTSIFSIRFVFSSSLKLVIGIMQFD >CDP10458 pep chromosome:AUK_PRJEB4211_v1:6:6349232:6349876:1 gene:GSCOC_T00031190001 transcript:CDP10458 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRIRGQNATSGMGVADHSKDAFLELKRKKAYRYVIFKIDEKKKEVVVEKTGNPAESYEDFTASMPENDCRYAVYDFDFVTSENCQKSKIFFIAWLVLSHPVF >CDP15367 pep chromosome:AUK_PRJEB4211_v1:6:4175593:4176711:-1 gene:GSCOC_T00043072001 transcript:CDP15367 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGYYTYITSLLFFLLISRSISARLLPTNQASSSFSTTKADEDMASLMGLEECNGKDEACVQRRMIAEAHLDYIYTQHHKPKGSP >CDP10456 pep chromosome:AUK_PRJEB4211_v1:6:6400193:6410450:-1 gene:GSCOC_T00031188001 transcript:CDP10456 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMTDGIEKFADNTDAQVPSNPSIPSGDQTVWADVSPLLQLASQDLRDGELIHGDNFNLFAAMSALEIMDPKMDSGIVSTYCSVDEAIEKGAAPVPLSFNSTIDIQCTIDIMDHLLACEATWHKGHSLAQTVFSCIYLLRPDRISSHALLHSYCTVVRVTCNAVVSAVSDARTNEEEDLFTMTYGLPLKGEGDEKCLSILHAVDETISRQLRASKAPSTKRRVLEDIEPLQTNADLEGGLCKAVLCRLRFRKHFYHVLTCMRRPQGKGLELARKHITSCLSELDSMLKLEEFLKCKSICRTVKDATDDETTASGCQPIGFDSSLNSRLSAPTPPRAIKLLSWRKAVDYFKKLLHDLEVICSYTLDPVLEVVLRFVVGFQKLHPDLVARAYLQLLLVQEGKLYGRDPVFAMICKASLLPDTVKNHDLQKNETIVQLGQLLTNLLRILCTNSAWRRRKLGKILQEWRIVHAQLELAFRKEYGDISNTSNEDVGMNICSYILIWVEEQTYWIATRFLTLGFELELYSPCDYCMVYWYIYVILIKLAERTHIKMMRSNENSRRKGKKKRDSVKDGAKDHQIPPAVSLLQCQICLAEGLVMMLAALRNEYNAFQSVGPFNSEHERFLQHFELLQKACIPDGVSYVSFKETINHARLSTSSTYNCFKDAQRTAKELRTSYSNDPEKLNELRMIEQVAEHNVVALNLVRRLGTLDPSLKVYFEFSHHPHFASAVVKRS >CDP06441 pep chromosome:AUK_PRJEB4211_v1:6:788685:792947:-1 gene:GSCOC_T00023296001 transcript:CDP06441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 56, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01590) UniProtKB/Swiss-Prot;Acc:Q7Y1W1] MASLNFNFNPFNDDNWFKKPINLVSLFENLNPFKPQASTPNFAALSFKPSPKKPKNEAANPGKYEQMLDQFYWECENLPDYRHTPEVERLLNEDPVFEKLENPTPEELAENAKRWEEFRASPVVQFLARAEEIANKINELELKENSTPYRKEDRKLWKAVPNVLGLDGRPMPRKAIKTKRESDDKFWDFARQFFFGLWRFRQRPYPPGRPIDVAQAIGYKRLERRYYDFIMRSSGWYYKDRLGRTRGPMELIQLKTAWGAGIIDKHTFIWGDDMDEWAPIGMVYGLERAIATWEVRFGAAATAALHKLQKGMPPWAPLKGHEQKTYKQLQEEAYESKRRDLAVLEANEGVWPGVRIPSHALFLWASGSELTSVLEADHMPNKFIPKDLRYQLAKVIPGLRPWEVLSVEQAMDQITYGGEWYREPLGSYTTGPPYIQEWNKDVRTLFDIFHNLSVQVYNKLERTIPGFSTVMERVQAESIESEEKRREKREAEKRAEKEKSFGRVEGDL >CDP03178 pep chromosome:AUK_PRJEB4211_v1:6:9075342:9077877:1 gene:GSCOC_T00041680001 transcript:CDP03178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-2 [Source:Projected from Arabidopsis thaliana (AT2G19580) UniProtKB/Swiss-Prot;Acc:Q9ZUN5] MAVSNNITAFLNFLALMCSIPIIASGIWLASKPDNECIHWLRWPVVFLGIAVLLVSLTGFVGAYWKKEGLLGLYLVCMAILIVLLLVFLILAFVVARPSGAYDVPGKGYQEYRLEGFSSWLRNHITSSDNWGNIRACLADSGICLKLNEEYPTSDLFFNAHLSPIESGCCKPPTVCGYQYVNPVMWTNPASPVADADCSIWNNDPSQLCYYCDSCKAGLLGNLRREWRSVNIILIITVVVLIWVYIIACSAYRNAQTEGLFSRYKQGWV >CDP11671 pep chromosome:AUK_PRJEB4211_v1:6:26976207:26976456:1 gene:GSCOC_T00034102001 transcript:CDP11671 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKLVPGNFAGTITAYYISLRPIDIYFEFLGNLSGQFYILHTNVYT >CDP15276 pep chromosome:AUK_PRJEB4211_v1:6:3452517:3454657:-1 gene:GSCOC_T00042947001 transcript:CDP15276 gene_biotype:protein_coding transcript_biotype:protein_coding MLTINFLIVKQIQVGFFCVRSIEHEKRGNFFPPTPELHNCKQNPGISMPDDADPDYAMYIPLIQDPYPMEIDYYDEEQEDEDDDTAAAGTQNVMFPGPAAGRVLEGSGATTTASSQDGLSYREYQGFRDAPEARDQPSPKKSKRGEATPLKDAKWENPGEGDWHRDELDGLFCPICLEAWTSGDDHQVCCIPCGHIYGMSCIKRWLKQCGSPGKCPQCNMKCTLEDIRVLYASRIVVVDGELQKKVQSLESKCTSLEKKNLIWSKKEKGWQKREADLSMQIQNLREACHSFTFTSQ >CDP10102 pep chromosome:AUK_PRJEB4211_v1:6:14397298:14398266:-1 gene:GSCOC_T00030712001 transcript:CDP10102 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSFSAFTAILSLSLLLFLSLPSPSLAAAKCNADDKKALLQIKAGLNNPYYLASWNPSTDCCSWYALDCDSKTGRVIHITIFDDNKVSAQIPAAIGNLPYLEMLDMNRLPNLVGPIPSPISRLTRLNFLRLKSNGLSGPVPSFLGQIKSLTFLDLSFNQFSGSIPPSLTRLNLQGFDVSHNNLCGQIPQGGKLQSFASSAYDHNKCLCGSPLPAC >CDP02891 pep chromosome:AUK_PRJEB4211_v1:6:11922155:11927106:-1 gene:GSCOC_T00041299001 transcript:CDP02891 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIELKTAPADFRFPTTNQTRHCFTRYIEFHRCLAAKGDDSGECEKFAKYYRSLCPGEWVERWNEQRENGTFPGPL >CDP06529 pep chromosome:AUK_PRJEB4211_v1:6:1484832:1489466:1 gene:GSCOC_T00023414001 transcript:CDP06529 gene_biotype:protein_coding transcript_biotype:protein_coding MPNASTITTTNSGSGSPIPTHRRRVPDSMMSDSDRLLVHTQTSFDRLQVGCAGDEEYCNYDNGSPAHSLHQHLHYHHLLRRRVTNFFVVFHNLLHSLGSKKNFARTLISLLMVLVVASIFLKYSVLDASLHDQSKKRKDDFPIIQNMKTSSARDQHVITESAVALSAGLLHKRQMVEYPVPEIWMKPNSDNYYQCIGRPRNRIRTGSETNGYILAHANGGLNQMRTGICDMVAIAKIMNATLVLPSLDHKSFWTDPSDFKDIFDWKHFIGTLRDDIEIVDSLPPHLASSVKPLPKAPVSWSKPSYYRGEILSLLKKHKVIKFTHTDSRLANNGLAPSIQRLRCRANYVALRYTKEIEELGRKLVDRIRSDGEPFIALHLRQRRYEKDMLAFTGCSHNLSREEVEELRRMRYNVKHWKEKEIDGEEKRLQGGCPMSPREAGLFLKAMGYPSTTRIYIVAGEIYGNNSMDAFRAEYTNVFSHSTLATEEELEELKHYQNRLAALDYVIALQSDVFVYTYDGNMAKAVQGHRRFDGFRKTINPDRFNLVRLMDMLDVGTLSWEQFASEVKSLHENRLGAPYIRQAGESPRLEENFYANPFPGCICNVSREQERSQHHHRKFITSSRIASQR >CDP06477 pep chromosome:AUK_PRJEB4211_v1:6:1052979:1057120:-1 gene:GSCOC_T00023342001 transcript:CDP06477 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSSNPRTVEEIFKDYSARRAGIVRALTYDVDDFYGLCDPEKENLCLYGHPNETWEVNLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDCWLLSVAFYLGARLNRNERKRLFSLINDLPTVFEVVTDRKPAKEKPSVDSGSRSRGGTKRSNDGQAKSNPRLADESYEEDDDEHSETLCGSCGGNYNADEFWIGCDICERWFHGKCVKITPAKAENIKQYKCPSCSLKRGRQ >CDP10443 pep chromosome:AUK_PRJEB4211_v1:6:6546623:6550422:1 gene:GSCOC_T00031169001 transcript:CDP10443 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKCGCWAALRLSLSGACKSSDPKNSANSIPRTSLVYDAATETRYLNASNREMCAPDEAQNSSNNPHPDPLPTENKAPCQLLQFSFQELKAATGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGSGTTVAVKSLKPDGLQGHREWVAEVDFLGQLHHANLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTIPLPWSNRIKIALGAARGLAFLHGGPEPVIYRDFKTSNILLDSEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVTWARPYLADKRKVYQLVDPRLELNYSLKGVQKVSQLACNCLSRDPKSRPSMDEVVKVLTPLQDLNDLAILSYHSRFSQPGRRRKKNDGIHQLSCSQSRSIRDSPLNSGKQHGK >CDP03355 pep chromosome:AUK_PRJEB4211_v1:6:7669780:7673139:-1 gene:GSCOC_T00041909001 transcript:CDP03355 gene_biotype:protein_coding transcript_biotype:protein_coding MKKETLFHLNPVLFLLSLLVLLPFFGVVHSARISHGPLTDAEAHYIRQRQLLSYRDEFGDRGELVTVDPTLTFENPRLRNAYIALQAWKEAILSDPSNFTGDWVGSDVCKYTGVFCAPAPDNPKIRTVAGIDLNHADIAGYLPAELGLLTDLGLFHINSNRFCGTIPGTFKHLEILFELDLSNNRFAGKFPYVVLSLPKLIFLDIRFNEFEGTVPPQLFDKPLDAIFINHNRFAFELPDNFGNSPVSVIVLANNKFHGCVPASIGNMSNLNEVIFLNNAFRSCVPEEIGLLKNLTVLDLSFNQLMGPLPDNIGGLVSLEQLDVAHNMLRGSIPQSICQLPRLQNFTFSFNFFTGEPPTCLALPAFDDRQNCLPARPAQRPAAQCKAFLSKKIHCSAFKCHPFIPTLPPPPPPSPPPPVPVPSSPPPPVPVPSLPPPLSSPPPPVYTPASPPPPPVYSPPPPPPVYSPPPPPPSPPPPSPPPPPPPPPPSPPPPIYSPPPPPPSPPPPSPPPPSPPPPSPPPPPAISPPPPSPPPPSPTPPYCVRSPPPPPPNSPPPPLYSPPPIPYYYNSPPPPPPNSPPPPPPVYIYSSPPPPPVVHSPPPPPVVHSPPPPHSPPPPSPPPCIEPPPPPPPCIEPPPPPSPPPCIEPPPPPPSPSPPPPPYIYKPPPSPSPPPPPIIYNSPPPPSPPTYYNSPPPPPSQSPPPPVHYNSPPPPSPSPPPPVHYHSPPPPSPSPPPPIPCEHPPPPPPVIYGSPPPPAPVYEGPLPPVIGVSYASPPPPPFY >CDP15290 pep chromosome:AUK_PRJEB4211_v1:6:3577621:3579000:1 gene:GSCOC_T00042964001 transcript:CDP15290 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHQEEIILGSKHMIFKGKRTKRQRTVSQPPFLLTMATTTSSSCSTGTPGGDSFTAFNRVTASPSNSGELTQTSVAGVDQEEDMANCLILLAQGRARKSTQPTTHLPMPSTSTAKSSSAVHDVYQCKTCNRSFPSFQALGGHRASHKKPKPTDLEDKSPPPLSLGGHQESTVGLIRDEDTTLSLQIPSGRKDPIISGDVNKSRVHECSICGAEFSSGQALGGHMRRHRPLPTLTGTSSNDDEGSREFAAKKPRALLSLDLNLPAPPDQPEDDYSTESKYPFASAKQQVIVFSASPHPLVDCHY >CDP03048 pep chromosome:AUK_PRJEB4211_v1:6:10188815:10195117:-1 gene:GSCOC_T00041524001 transcript:CDP03048 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKSSSKVSSEEVFASCSFSSLGLDPKLCDQLKERLGYEVPTLVQAQAIPVIISGRHVLVNAATGTGKTLAYLAPVIHHLQKYDPKIDRSDGTFALVLVPTRELCMQVLEILQKILHRFHWIVPGYIMGGEKRSKEKARLRKGISILVATPGRLLDHLRNTSSFLYKNLRWVIFDEADRILELGFGKDIEEIIDILGSRQQSAVAKDDITSRYSHFQRQNLLLSATLNEKVNELAQISLENPVLIGLNDKKVQLKSFREHVGPVESDLENEMGNSGESLCSSDKEFKLPAQLAQRYVKVPCGARLVVLLSILKHLFEREASQKIVVFFSTCDAVDFHYSLATDFQWPLNSQMEAEFRQMFLGCKIFRSHGKMDNEKRRATFQAFKAEKSALLLSTDVAARGLDFPKVRYIIQYDSPGEAAEYVHRVGRTARLGEEGESLLFLQPLEIDYLQDLEKHGVVLGEYPLLKMLDGFSAHGIKKHVKNLVAIERHPWVLSLQKALETFISTTPRIKKLAQNAFWSWVKAYSTHRGELKRIFVVQKLHLGHVTKSFALKEQPSLVSKSNQKEFKKRKRDEKQKGISKKRKFAKKS >CDP03281 pep chromosome:AUK_PRJEB4211_v1:6:8230678:8235251:1 gene:GSCOC_T00041815001 transcript:CDP03281 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTVPIRRIYQFEKWKWLLMVGLVAATHLFCQSLILPYGNALLSLIPDGTVHEKVELISKQSAAAKSVILDDPLIDNVSNLVNKSLLTRSIKRVGQTDAVAGSRDDEIIMTEETEKENQVLSDSKGIDNAVDFVEDANLDNDMAYEIGNKDELDSVESDDQAHDFGLENNGEIKASLSLEQVVEPNNHTLSDKMPSSSIMMKTALTSTNSLVNVTFSGNLASNVSIVNSSNFDASLGEKEMIALPSESEGSSVLLHTHATGSSNNAALVSNRAKKKMKCEMPPKMITPIPEMERLLVHRRARSRAMRPRWSSERDREILSAKVQIENAPVIRNDRELYAPLFRNVSTFKKSYELMEQILKIHVYKEGTKPIFHQPILKGLYASEGWFMKLMEGNKRFVVKDPRRAHLFYMPFSSRMLEYTLYVRNSHNRTNLRQYLRDYSEKISSKYRYWNRTGGADHFLVACHDWAPYETRHHMEHCMKALCNADVTLGFKIGRDVSLPETYVRSARNPLRDLGGKPASERHTVAFYAGNMHGYLRPILLKHWKDKDPDMKIFGPMPPGVASKMNYIQHMKSSKYCICPRGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWSAFSVILAEKDIPNLKEILLSIPEAKYLEMQSGVRKVQQHFLWHAKPVKYDLFHMTLHSIWYNRVFQIKPR >CDP14198 pep chromosome:AUK_PRJEB4211_v1:6:18743340:18745067:-1 gene:GSCOC_T00040458001 transcript:CDP14198 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGGLACFALVILCMAVLVVPHAEAITCGQVSGAVAPCINYVRSGGVVPPSCCGGIRGLVGAAKTTADRRTACNCLKSAAGRIPGLKPGLAAGLPGKCGVSVPFPISTSVNCNTVT >CDP10408 pep chromosome:AUK_PRJEB4211_v1:6:6845257:6848117:1 gene:GSCOC_T00031126001 transcript:CDP10408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha-amylase 2 [Source:Projected from Arabidopsis thaliana (AT1G76130) UniProtKB/Swiss-Prot;Acc:Q8LFG1] MGYLNSGSDENVQQTDPAAVLRNGREILLQAFNWESHKHDWWRNLEKKVPDIAKSGFTSAWLPPPTHSFAPQGYTPQNLYSLNSAYGSEYVLKALLSKMKQYKVRAMADIVINHRVGTTQGHGGTYNRFDGIPLAWDERAVTSCTGGRGNRSTGDNFPGFPNVDHTQHFVRKDITDWLRWLRYDVGFQDFRFDFVRGYSPKYVREYIEGAKPIFSVGEYWDSCNYNGCNLDYNQDSHRQRIINWIDGTGQLPTAFDFTTKGILQGAVKGELWRLRDSQGKPPGVMGWWPSRAVTFIDNHDTGSTQAHWPFPASHIMEGYAYILTHPGIPSVFYDHFYDWGNSIHDQIVKLMEIRRFQGIHSRSSIEILTAQPNLYAAMIGEKICMKIGDGSWCPAGREWTLAASGTRYAVWQK >CDP03208 pep chromosome:AUK_PRJEB4211_v1:6:8905216:8908158:1 gene:GSCOC_T00041714001 transcript:CDP03208 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLNPCTPSVQLLHLSPPQTLSHQPNDCPPFLTAGVPRQYHFHKRNFALFTKATENYGLETNNLQDNDADNYYENDDDDGDEGQFSRGRGFRGREEEKDYDRDPEFAEILGSFLDNPDKARSKMEDRLRKKRSRILHTKTGSAAPMKVVFNKFEFSNSYIWFEFYNALLEKDVSLICDTIRSWHIVGRLGGCNSMNMQLSQSASDKRPSYDAVQGANVTPTTFYNIGDLEIQDNLARIWVDIGTSEPLLLDVLINALTQISSDYVGIKQVVFGGSEFENWRENLTSEDAGFSVHKI >CDP06193 pep chromosome:AUK_PRJEB4211_v1:6:21958478:21960665:1 gene:GSCOC_T00022865001 transcript:CDP06193 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLLDAAIEGDEKTLHELLQEDKLVLHRVSLSFSSFNPIQIAIIRGHQKFVEAILDHNPELLGSVEDSGQKWSSLHLASARGHLRIVEALVNANPDMCFDCDQDGRNPLHVAAMKGKIGVLEVLIHARPFAAREKTRRGETILHLCAKYHQLEALKKLVEAVDDDEFLNQKDGEGLTILHLAVIGKQIEIIKYLLTTKIDINSNNAKGHTALNVVPQNPKVSQKEIENSLRQAGALTADEITNQQSNFGQGKWMEQKSKALMVVASLIANMAFQAGINPAGGVWQDDQTEQSQGNPSLNNPHKAGKSIMAYHDILIYRCFITQNTIAFVSSLGTISLLISGLPFRRKVFMWILNGVMWLTASSIIYSYGLSIGFVTPDREVKRERPYAVATVAWMFVITIYLLGISGVTKKRWNLCGRIKWRPRNSASAVVENHRNSSNRVELQI >CDP14247 pep chromosome:AUK_PRJEB4211_v1:6:36883730:36888489:1 gene:GSCOC_T00040536001 transcript:CDP14247 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYRSNTGTGKSSAAAAPQLTGEAAAEFQQGINLLLSRWTALQMAVQSEWGGPQSRLKSQQLELDLFSLLAGSKERVYMDDVEDLLDDSMLSLGTEIADGSIEEIAEKLMFMHEECLKGDFSSIQRLRATNPPPGAATHVTQASSDSDSDDNEDSDNGNGPNDGSSKMVVDVPQSHSVMNREEMMVDESIPQEPAETEDGWTVVASKRNRGRRH >CDP03142 pep chromosome:AUK_PRJEB4211_v1:6:9379589:9380692:-1 gene:GSCOC_T00041636001 transcript:CDP03142 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFRSKSYHDGRNSQLESYSYSSNSNPSSNPTGLQDLRCYSASYATAANNGHTEISKDVKFKKGKSANGSISKSWSFNDPELQRKKRVASYKVYTVEGKVKGSLKKSFRWLKDRCTQVVYGWR >CDP10531 pep chromosome:AUK_PRJEB4211_v1:6:5789060:5793192:-1 gene:GSCOC_T00031287001 transcript:CDP10531 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARTVQVEQLSDLAREREIHEFFSFSGEIEHIEIRRDPPQSRIAFVTFKDPKALEIALLLSGATIVDRIVTITPAENYVPQYDIREVRIVENAVDMSQDNTSPVAETKNSSPRSGKVYVNRAQDVVSSVLAKGSAIGQDALNKARAFDEKHKLRANASARVASFDKRVGLSEKFTVGISVVNEKVKSVDQRLQVSDKTMAAILAAERKLNNTGSAVKSSRYVTAGTTWLNGAFSKVARAGQVAGTKTREKWNVALSNLTAKDPAIVA >CDP16328 pep chromosome:AUK_PRJEB4211_v1:6:28862596:28868841:-1 gene:GSCOC_T00018125001 transcript:CDP16328 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCQDWLTLVDELKKLVDVLSLILEASYFQKGGSTSSDDCSSLETWPSKKDAGHIIEFIIYYVACERAKVSRDILSQNLEREKQLLTLLEVVLDTDWDAPYLLHFFLELKHLPNVCGLIHSNRCQYVVVLDSYIKAVDESIHAFSFIHDMLRQLSETDSEAFQAAVFSRIGNLVKLDRKDGSLHFLSGRMAKHQSDRMKTFLEELNDFPKLLRSKPIQLTDEVTEQYLESCHHVILSSYRVENCLCFCQEYGIVDAASFLLERINDVGSALMLILSGLNKKFSVLEASIGPSDSHPKHFNSILKEEEEVNDILDILHSCIGLYQRNSSRLDPHESEYLWFQLLDSFCLPLMDSCSSKTRSIHQEDIEVLEVQQDHEDDCIIKWTWSST >CDP06443 pep chromosome:AUK_PRJEB4211_v1:6:796066:797757:-1 gene:GSCOC_T00023299001 transcript:CDP06443 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNPVSPKSQLIRELRVLLPLQSQQCISTKAKRKMMGSQLLQPSWPLYSTVNLDHAGLHSFPMDICIDEPHDFSSSFATEESSGISFDSYFAAMTSPDSLVEFPIFDDEKRGGDTMAHIMDGLEPISSSGAIEEDCQWLEESDNGEDYISSQLTPEADAWRACPPMEQSKPGSASTMNATPSGSMSLTFPPVNNMGTDTQLGLHHLLTAHGEAVGNGHQELAEVIVRRITERIDPLGEALERLAFNLFQSAENQGCYLGQQSTKNFEAAFKAFYQWFPYGRFAHFTANSAILEAMPSDAETIHIVDFDMGEGIQWPPLMDSISRKGKSLRLTSIISGDSCWVFEETRQRLYDHARSLGLRLNVEEMALEDLVAEMKRTKKKGGAREWLAFNLMVALPHMGRRADRRRVVEFLTLAKDLLVYSAGDKGIITIGDGEGGGNLQNCPEYSSFFNSHFTHYQALFESMECNFPVYLAEARIAMESLFLAPYVSSHSWFQHWQDARGSQPLCGLDGRRMSRDCLIEAKEIVNEQKASYKVNFQKENENEIVLEWRGIPLVRVSSWI >CDP02897 pep chromosome:AUK_PRJEB4211_v1:6:11868286:11869325:-1 gene:GSCOC_T00041306001 transcript:CDP02897 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASSLRQEFLKKWLKGLQIYSGSKKEMSILERKKAIKLSADIAMASTRISTSSWSRALMARASNDATNKVLVQHVLSPEAQKLVPIKKDPKKETLDYILSLRLQVDVMRHFANATEETDHGKGYK >CDP03341 pep chromosome:AUK_PRJEB4211_v1:6:7784524:7791853:-1 gene:GSCOC_T00041889001 transcript:CDP03341 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLLNRGFSSKCKSLMKATRTRIEVVRKRAEAKQRFLKEDLANLLANGLDINAYGRTEEFLAGLNLLSCYDFIEYTCEYILKQLLSMQKQRECPEECREPVASLMFAAARFSDLPELRDLRNLFQERYGSTLECFVNQKFVEILSSKPPAMEKRLQLLKDIASEFSINWNSGGFEQRMADPPTLVQAKPNKHGNFSVAAEELNLLNGNGNVAKSDGHDVSLKETHDHTNGGQKMQSAGEGKHSRREDGSTNVAKYDVSPEETCGLSNDGQRMHNRRGTKESERGDGRGVVAKYDRYDVLPEKTRALSHGSHRIHKYREDTDSRREGVAVAVATTDGYDVSPKDPHGLINDGHGMRNGREDKDSREQLNLHFRGRLGSGTDRHISPTKKGESTPRQVRNDLLFERRQEVMVDKHELSWKKDETLFKAVKAGTSSRRKGLDDFSGGYSGQDDGSTSMHDGESSDAPSHGKSEMPSSCGGFPGRNEDMSVAHEQVREKNMANSKRKVQQEDVDSSKSYQNALLPPPYIKSKSNLIPPYVKPKDHKNRPSRKSELAGPCSDGHSTEPSENGSNRIQMGPYNPGHEGQNIGPGRVRSQRHREDQYYQDDKIALPKPRSIRRKQHKSSSNHDDMAHVEDAVAVKRSSSSRRRDSSRKGLQILFDDEHSQKDEEERMIDKLLLHYSKKPSTYGGGNMRKGSQAHPSHQISNGSGESSHDRMRDGHDSNADVIPTTTRSISLPRQETTQSEAKKVFTRAKSFHPDGQARHVHPKLPDYDDLAARFAALKGR >CDP16333 pep chromosome:AUK_PRJEB4211_v1:6:29146138:29152983:-1 gene:GSCOC_T00018132001 transcript:CDP16333 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEDEQPLPGSIVDEAQRRIDEQNNVGNSDRRACCFCCWNRSRRLKRVVSFRCVFALVLGLGVLLSAFFLLPFFHYGDQKDLDLDSEFGGHAIVASFMVDKPASFLEDYVLQLEDDIFEEISFLTTKVEVLKLEPSAGPNTTKVVFAVDSDVTTQSLIRATFVSILIHQSPLGLTASLFGHPYSFEVLKFFGGITVSPQQSAFLMQKVQILFNFTLNFSIEQLQNNFDELRRQLKLGLHLAPYENLYISLTNLRGSTVVPPTIVQCRVLLAVGINPSKSRFKQLTQTITGSHEENLGLNNTVFGRVKQVRLSSVLPPGGTGSPSPAPVPQPHHHHHHHHHHHHHPGTNYSPTVAPAPRNENSGSINRKGAPQSAPLAAPTPTQGLHHKVEPPGCRFGHKNRHPRNGNRQTPISPPILAPVSAPSPQQKIDPPTPTVPQVPSSSPLPNVVFAHARPPSGREFDAEPPDITPSVSPSPSSSSASITFCNLWAALLFLPLVLYA >CDP10608 pep chromosome:AUK_PRJEB4211_v1:6:5168480:5174279:-1 gene:GSCOC_T00031377001 transcript:CDP10608 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAHENIDKTLKVAERILAQFDLSRQAEAKILRGPHEDLESYLDAVDQLRSIIKFFSGNKNLQSSVGVVNHANNLLGKSILKLEEEYRQLLSSYSKPVEPDLLFDCLPNTLRPSTGSPAQGDGSGLKSSEHHKKSLEPVVYHPPTLIPPRILPLLHDLAFQLVEGGHHQQLFNIHSEARSFVMEQSLRKLGVERLTKDDVQKMQWEVLEAKIGNWIHFMRIAVKLLFHAEKKICDQIYEGHDSLRDQCFAEATTNSVGMLLSFGEAVAKSKRSPEKLFVLLDMYEIMRELKPEIDIIFGSKYCGEVREAAAVLSKRLAQTAQETFVDFEEAVEKDATKTTVLDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFGDDDVEEKLASLTTRIMQALQSNLDGKSKQYKDPALTQLFLMNNMHYIVRSVRRSEAKDMLGDDWVQIQRRVVQQHANQYKRISWSKILQCLSIQGAGSGSFGADGGNSNSAVSRSMVKDRFKTFNLLFEELHQRQSQWTVPDSELRESLRLAVAEVLLPAYRSFIKRFGPMIQNGKNPQKYIRYNPEDLERMLAEFFEGKTWNDPRR >CDP10116 pep chromosome:AUK_PRJEB4211_v1:6:14195803:14200520:-1 gene:GSCOC_T00030728001 transcript:CDP10116 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVSFAAETIGNLLIEETKFLQGVSDQVEQLQLELKRIQSFLKDADARQHEEERVKVWISQARDLAYEADDLIERYAFKVASRRGKGIRGTAKRCVGILNECYARHTTGTGIQTLKTKISDLTKSFQEYGIAAVMERQDGASSSSHQQLRRMYSHVVEDDFVGLEGDVEMLVKHLLRGSDHDHEIDQRFRVVSICGMGGLGKTTLAQKVYNHPKVRRCFDGIAWVYVSQTWQKEDILQRILLSLIPEKRKEILEWRDEELVRQLFQILQNKNCLVVLDDMWSTDAWDCIKQAFPIGNDGCKILVTSRNKDVALHIDPSGFHHEPRLLSDSESWELLRRKALRGRFHGDLKKLENLGKEMVKACGGLPLAVIVLSGTLATKKDLNEWATVNRNIKAHLRRGNNLIKEEGNLHKILALSYNDLPYKLKPCFLYLSRYEEDSDIGTEKLYQLWIAEGIISTKDQIGEESMMDVAERYLGELVTRCMVQGKAPDDDDVMLSSVGRSFASCRLHDLMRDLSLLKAKEENFLLSISHYHDGILDEHGNNDHSQVYRLAVHFSKEDVRKYSQFNRFKMLRVLAIEGLDPALPTAIGELIHLRYLSLRRSVFLCLPSSLGNLQNLQTLDLRVASLFRIPNVLWKMRQLRHLYLLPDLFCGKLRLKGLDKLEILENFCPPTCSSQDISTLRNLRVLSAMVQLDALDEGFPTEIQRLMSNSDHVGCRSLCIYSYEDSAATGASIKKKVSDVVGQCFSSRNLQGLEVNCPMANFPQYEARYMCASLLKLELSSLEIEEDPMETLERLPNLRSLHLKYRSFLGKEMRCKAMGFGQLRFLRFEDLQNLEKWNVDEGAMPNLSVLTIEDCTKLEMVPNGLRFVKTLKELNFVRMPKEFTDRIQAANGEDQGQDFDKVSHIPTISVRNVLS >CDP10136 pep chromosome:AUK_PRJEB4211_v1:6:13881699:13886409:-1 gene:GSCOC_T00030752001 transcript:CDP10136 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD2 [Source:Projected from Arabidopsis thaliana (AT3G12120) UniProtKB/TrEMBL;Acc:A0A178VIK7] MTCRRVGPRERERGRDVAHANCKAFISRGGKRKSLKSEQKRATSSCSLKWRRERASPEGKKYNKYPPPFSSDHSAMGAGGRMNVSTEAKRSKSDVLNRVPYSKPPFTVGDVKKAIPPHCFKRSVIRSFSYVFYDLAIASLFYYVATTYIPLLPQPLSFLAWPLYWICQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSLERDEVFVPKAKSNLKWSAKFLNNPPGRVLTLAVQLTLGWPLYLMFNVSGRPYDRFACHYDPYGPIYNDRERLQIYLSDVGVLSVFYGLYRLVAAKGLAWVVCVYGCPLLIVNGFLVLITYLQHTHPSLPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGDYYQFDGTPIFKAMWREAKECVYVEQDDADQNKGVFWYNNKL >CDP02852 pep chromosome:AUK_PRJEB4211_v1:6:12422342:12432101:-1 gene:GSCOC_T00041248001 transcript:CDP02852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 [Source:Projected from Arabidopsis thaliana (AT4G30790) UniProtKB/Swiss-Prot;Acc:Q9SUG7] MSSNVTEGVVQTGKLLVHIAENGHSFELECDEYYIVEAVQRFLESVTGIQFNDQLLLCLDMKLESQRPLSTYRLPSGDREVFLFNRARMRSNSEPPADEQVDIIDIPDPQVPSSSHNRHPLDDAPDPALKALPSYERQFRYHSQFGDAIYSRTRAKLDICERLFREQKVQERALEIAGHNLDHFYRMILQNYRDFEKFYSQQHRRHANLLANFGRDIEKLKACKILPALQGASRRCLLDFVKEENLQKAVEDCSNSHKQFENKVLDFKQEFGQLKRNAEHLFSSKASFHVGEIETTLKEHQRYINEQKSIMQTLRKDVNTVKKLVDDSLSSKLSSSLRPHDAVSALGPMYDSHEKSCLPKMQDCERAISKMLNFCQDKKNEMNVFVHKYMQQIAYIQYTIKGVRFEFSVFQELLKRQSDQFEHLRVVHGIGPAYRACLAEVVRRKATMKLYMGMAGQLAERLATKREAEVRRREEFLRVHSLYIPRDILTSMGLYDTPNQCDVNITPFDTNLLDIDISDVDRYAPEHLVGLLSKTEKQGTSRGSFSMSNDSSHTAEIEESVVDSPEKFDSMDLLEGSELAEIAGTSKMEVENAKLKAELASKIAMICSMSPEFDYESLDDSRLDSLLKSAAEKTTEALNLKDQYEKHLQTMLKTKQIQCESYEKRIKELEQRLSDQYLQARELPADEDTSKLTHSAVRTDDNKSEITGVGETHVEHAPAETMDEFSCASSSTNKTGLLFKQGKAQEGLDDNMTDSSGMLNPQLDSSMIDPHRDEGHLCDKEGNDSYAGLSLATSMAVSMSQPSNALPPEIATEQGLDCKKGADLVQELQGALEEKAIQLGEAENKLKALIEEVAKLGRELEISRKLLDESQMNCAHLENCLHQAREEAQTHRCAADRRASEYSALRASAVKMHSLFERLKTCVSSSGIVGLAESLRGLALSLGNSISENEDDGTVAFRECIRVLADRVGVLSRQRLDLLDRHSKAEANTEQLTKELDEKKELVKTLYVKHQMEKQQANKEKISFGRLEVHEIAAFVLNSAGHYEAINRNSSHYYLSAESVALFADHLPNRPAYIVGQIVHIERQSVRSPPPAGDQNRDRLDVLTSDAGSNLLTLNAGSTLNPYGLPVGCEYFVVTVAMLPDTTIHSPSSS >CDP09520 pep chromosome:AUK_PRJEB4211_v1:6:19620116:19621333:-1 gene:GSCOC_T00028924001 transcript:CDP09520 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGRCRPDTAAYSTIIDGLCKDKMMDQALSLLHEMIEKGIAPNVITYNCLVRGLCNLSKWKDVENLFTEMKAYNIVPDVITFNILIDALCKEGQLEGAEEVLKIMIEQNQKPDNATYSALMDGYCLQGRMDEAKIVCDKMAASGLNPDVQSHSILINGYMKKMKVEAATSLFQEIRHKGLTPNVVTYTTVLQGLFRERRYLTAIEVFNEMLAAGIKPDFYTYCVLLDGLCKNSHVEEALKFLHKMEVDEVDCHITMYSIVLDGLCKCGKLDSARHLFCSLSSKGLDPNVRTYNTMINGLFSEGFLQEAKEFIKKMEENGCTPNLITFNIIVQGLLKAGKLNDAVVYFDEMDRRGFSLHLSTFSLLLDSYRDSGNDPSIFKIIEKFAQKMGNGSLNNGEGGSYP >CDP15299 pep chromosome:AUK_PRJEB4211_v1:6:3645537:3646814:1 gene:GSCOC_T00042973001 transcript:CDP15299 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKIILAFFVFLIAYAFSVRFTIDNNCPYTIWPASVTRSKGPRILTGFELASRASKKLEVPSQWSGRIWARFVCSGFQGKFTCRSGDCGSGHIECGGASGAAPATLVEFTLFGAGGQDFYDISLVDGFNLPVRVAPQIAGCTTISCPVDINNRRCPKELAVFNTDGGIIGCKSACVAFNQPQYCCTGEYGSPDKCKPTNYSNVFKQPCPQAYSYAYDDRSSLFTCKRHPDYVITFCP >CDP10104 pep chromosome:AUK_PRJEB4211_v1:6:14390694:14391698:1 gene:GSCOC_T00030715001 transcript:CDP10104 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSVGFILFLSFHLFLSLPYPSLSVHAKCNAHDKQVLLEIKAAFNNPYHLASWNPDVDCCEWYALDCDRNTGRVIALTIFAGNISGEIPPAVGDLPYLQNLMFHKLTNLTGQIPSAVTKLVRLKELTISWTNLSGPVPSFLGQLKNLTSLDLSFNDLTGSIPPSLSELPNLLDLHLDRNKLTGSIPDSFGRFAGNTPDLFLSHNMLSGPVPKSLGNVNFSIQIDFSRNKLEGDPSFFFGKNKTIQFVDLSRNLFEFDLSKVEFPNSLTYLDLNHNKVFGSLPVGLTELNLQFFNVSYNRLCGQIPQGGKLQSFDSYNYFHNRCLCGAPLPACK >CDP10155 pep chromosome:AUK_PRJEB4211_v1:6:13756958:13757619:-1 gene:GSCOC_T00030777001 transcript:CDP10155 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTNKKCLLQSGDGHEGIKNTMSSAGAKKSKRGSVIMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRNSAMTNQIGANEKDEITAPLSRLQEKEVVKSLSSKINSNDFDEQDDDEKKPLVGVKKRMKLGAVKARSMSSLLGQTDTNMVVGSNGNNHI >CDP10555 pep chromosome:AUK_PRJEB4211_v1:6:5611217:5614699:-1 gene:GSCOC_T00031315001 transcript:CDP10555 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIVSENKRSRGRRGRQGNRKRPCGDESTSGQDLGSEKANGEVSGLKKRKPSKKVTEDVIPPEQRGIPDTPLLHNLGKHPSIYARLGRGTLTQNLNFRGAWPTALGLYRQAHDQYKELFVAAGFGDFLKIDPVHIPQAYLVALMERWFSETNTIHLPCCEIGPTPVDWAMVTGLQFKGESIRFNHQFEMSKALELLGVESAAVTEGKIRLSSITPTMEELVKYLEHIDEVGNYNWAAITFAAFLAGMRRKVTGETGAFTGFWPFLLFWAFEYLDIFRPNIVEADVFPRAIRWSCPNILSSADFSDLFAARCQLDYIEEAQVTWQPYLASSEFGSTDMVQAVSLAQKRAPFESIDTWEYYLGERCRRQLGFPCRVPFPPPDRMHGTHDLTPEDEVGVGRPADNLVMDENVDYSSWFAVHSVGRIVDLSRFLGGVETGAKVLSHWVAAHHPDILLVQRSDYESMAEAYDAAVAECQMLRAKLAQAE >CDP06662 pep chromosome:AUK_PRJEB4211_v1:6:2445017:2451986:1 gene:GSCOC_T00023585001 transcript:CDP06662 gene_biotype:protein_coding transcript_biotype:protein_coding METTRHKRSKSATAIQGNYQVHRHKAVPKPIANSRLRSGGITKEDSSTLESGQNSFKRGSGAPIKKLLAEEMAKESENRRRSPNVIARLMGLDGLPSLQYKQQKRLSEKHQQRNASVGINQNEQPHESRSSKMSPVDQQDFKDVYEDMEASHVTNHRYSSRWSANSRFTMPEIAHKQQKFMDAKHCSNNEKLHLSKQFDDTLEILDSSKDLLLKYLEQPHPMHLKQLHDMKIDPYSSLYGPVASSELLSSAEYECKGKIWKSARDGLCKRDTSSRQKREDGLLLQSHNHHGPYHSCKTEKSQLNVKNETEILPTRIVVLKPNLIKRANAVTSVSSPESSCTHMASLKNHLQPRGSYSEEMLSCKRKNSSSEASFLNTKSREDRKIAKDITRQMAEHFKLSGGLRGYAGDESSYDAYESDSSSASEVVTLSSRNSFDANDRIKYSPSGPYESMVSREAKKRLSERWKMTHKSRSFAPIAKGSTLGEMLAVPERETVQEFNAAICLDGASEGFAGSSDSSGWGGPLGISSRDGWNDGCIRHSSRSRSLPPSPVASRIYRTNGRHEPLLSDTVKNEKLYRDRIKERKRNPSKKDKTSAKDVQSSIRTSLACYSKGNDSGLSPNLSSNLIQSDIGACKEDPYEKHLIHCQTPDTADSLRKFVGDELTNTKPGSLSLSSLDSSLNVQPEPSDFTKENDDSAACDQEDANLQESVLGQSERTSSLKCLGPEPESSESSKEADHLSPVSVLEVSFAEDLSSSSDCFETVNAQLNELRMQLQLLKIESGSYGGAAVVSPSEKGAMLQQPTVEYEEKSFVGGNSWESSYIVDTLLYSGLEEFDSDTSFASWHSPECPLGPWVFTNLEKKYGGKTSDLKFERRLLFDRINSALLEIFQKLADQRPRVQPKIIGASKWQTRGVKDYLIKLLANREHNVKGDGPENRLDREMNWLGFRDDIDMIGKEIEKLLIDDLIGEVLAL >CDP10404 pep chromosome:AUK_PRJEB4211_v1:6:6856828:6860158:-1 gene:GSCOC_T00031121001 transcript:CDP10404 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKVLAFEPVFENLQRICEGIYFNRVGGLVEVFEAATSDRSGNITFHKLVGRLDNSAVSATGAKMAFKSNEEIALQIKSIPLDEVIEESEPVLLLKIDVQGWEYHVLKGASKLLSRKKGEAPYIIYEEDERLLKASNSSAKEIREFLHSLGYHDCTQHGTDAHCTKTE >CDP10566 pep chromosome:AUK_PRJEB4211_v1:6:5523341:5527573:-1 gene:GSCOC_T00031327001 transcript:CDP10566 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQIYGVFRRLLLLMIVVCSTSGATLRENSSSSSKKHSPSSTSATSPQINSNSVLVALLDSHYTELSELVEKALLLQTLEEAVSKHNITIFAPKNEALERDLDPEFKRFLLEPGNLKSLQNLLLFHMIPSRIDSAHWPHHRSSNKSSHHTSLCKDAFDESLRISHRNGDDHISVARIFKPNDIVRPDGIIHGIERVLIPKSVQQDFNSRRSLRAISAVLPEGAPEVDPRTHRLKKPASPAPAGSPPALPIYDAMAPGPSLAPAPAPGPGGPHHHFDGEAQIKDFIQTLLHYGGYNELADILVNLTSLASEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIVYYHLIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAEEADGSVKFGQGDGSAYLFDPDIYTDGRISVQGIDGVLMPPEETKLAPKSSPPVAKAAVKPRRGKLMEVACRMLGAFGQDSQFTSCH >CDP12650 pep chromosome:AUK_PRJEB4211_v1:6:15901988:15905879:-1 gene:GSCOC_T00036355001 transcript:CDP12650 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSIPDPSINTRFICKLDLSNNDLQTIPESLTARLLNVMVLDVHSNQLKSLPNSIGCLSKLKTLNASGNMLQSLPRTIENCRSLVELNANFNKLTQLPDTIGFELINLKKLLVNSNKLVFLPMSTSHLTSLQILDARLNCLRSLPEDLENLINLEVLNVSQNFHFLATLPYSIGLLLSLVELDVSYNNITTLPESIGCLKKLQKLRAEGNPLVSPPMDVMEQGLHVVKGYLCEKINGMHNNSPKKTSWFGKLARCSTFNGANMPREDGDNYIMHNERSIDSLSSPKYLGMFSPRRLLSPRSYFSR >CDP06515 pep chromosome:AUK_PRJEB4211_v1:6:1362831:1366520:-1 gene:GSCOC_T00023395001 transcript:CDP06515 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTAADPAPPPPPPPQPPRSSFSCDRHLQEQFTGFCPSCLCERLTTLDQSSSNPSSSSRRPSTSSSSAAAALKSLFSSSSSKPSTSFTTTTTNPPPQPPPKPSKPASFFPELRRTKSFSASKHEGLGLNFSGAFEPQRKSCDVRVRNTLWTLFTLDDESKVTTSAKPSTSSSSLNPQHSILTKDTHDDFVNKPVFESKEEGEGEEEPQNEESECTPVVEEKGDINLEIVEEEDEEVVDFSTIKPMKDHIDLDSQAKKPSGGGGVREIAGSFWSAASVFSKKWHNWRRKQKMKKRSNGELSATLPVEKPISRQYRETQSEIADYGFGRRSCDTDPRFSLDAGRISFDDPRYSFDEPRASWDGYLIGRSFPRMPPMLSVVEDAPAVHVSRSDSQIPVEEPNVLGMNCPVNDDEAVPGGSAQTREYYSDSSSRRRKSLDRSSSIRKTAADVVAEIDEMKGASNARDANSSSLRDDYSETFELRSLRDNASVVGNGEGKGPKKSRRWSWKIWGFIHRRNGGNKDEDDDRDSKANGVERSYSESWQELRREGNGEVKDGINRKVFRSNSSVSWRNSSYIGGSFGSRNRSARYSPNNVDHGLLRFYLTPLRSSSRRGAPAKNRQNGSHTIARSVLRLY >CDP14233 pep chromosome:AUK_PRJEB4211_v1:6:37153501:37153708:-1 gene:GSCOC_T00040508001 transcript:CDP14233 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIGCLQLSKKVHWLGMSQKMKGYCLLHPSHIASCPRF >CDP15303 pep chromosome:AUK_PRJEB4211_v1:6:3693625:3695253:1 gene:GSCOC_T00042980001 transcript:CDP15303 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQTEHLHFLLIPLMAQSHIIPLTDFAKLLARQGPMVSMISTPKNANRFKALIDYAERENLKIQFITIPFPGQQVGLPEGCENLDALPSTDLKRSFVDACSLMQEPIENVAKKQLQPRPSCIISTNALVWTQNLAHRLGIPRYVFQTVSSFTLVCAGRIGRFLESHDSSDLDAFWVPNLPHKIQFRKSQLPFPVSRKESGDVRKETQVSDRGSLVNSFEELDQWYVEEQKRVHKNFWDVGPVSLINSTGSATAKSDHYSLKWLDSMKPSSVIYACFGSMCHLSFRQLREIGLGLEASGRPFIWVIREIDYSPQVEKWLKDEKFEERVKGVVVRGWAPQVPILSHSSVGGFLTHCGWNSTLEGICAAVPMLCWPMFAEQFYNEKFVVDVVKIGVRIGVETHMRVGEEEKTVDREQIKAAIDQLMDEGEEGQERRETARKLSEMARNATQQGGSSYRNVILLIQDVLQLQQQEAAEDEKVNEDRGSEIADKRVM >CDP19046 pep chromosome:AUK_PRJEB4211_v1:6:34524529:34525893:1 gene:GSCOC_T00012879001 transcript:CDP19046 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVVKDGACHFWYDNWLGNGALFLQATVIPNLSFSNFISNGHWDTSRLCQSLPSQMVTSILNHPVPEEGGEAEVIWMPTSSGNFSLASAFRDIRQARNTSMVFDRIWHPCLPLKVSFFMLRLLLGRLPIPDSLRNIGFHLPSKCFCCPLPSEESIEHLFSNGNIASTIWNYFGAACGFDLSASSLRLRIVGWWLKSYDSEIRRFIGRVLPCLVCWHIWKARNKAMFDDVQMRSIAICHAIFSEIQSMVGIYLKKPLRVPSFYHLYDWPNSSEVGFTYKLVRWETKESGRLTLNTDGCSKGNPGVGAGGGVLRESNGLPLIGFSAYFGETTCLLAEARALLIGLQISAHRGFLNLNVQSDSLLLIGILQHRIHCPWHIRRVIRQIWQIMEDPDRFSHCYREANTVADVLSNEGVSHPQQQLRIYETFNTFPPMARGAIRLDKLGMPSIRKIRLV >CDP03327 pep chromosome:AUK_PRJEB4211_v1:6:7894977:7902982:-1 gene:GSCOC_T00041872001 transcript:CDP03327 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLQLLQSPKSIHFLFRLFHCNLIQSPWRPGQLIQTRKSRLQQPCKRIGETHPASLSLLPLPCVLDEGAFFTMMLFTSKSSIFSLSILLLFASFFLNPFFNPQPTPQNQTHSPISSSSTAASESKKAHQQDQHFTRRNHIIRFNNYKKAEDHKNYLKEKLQFKGWEWIERKNPAAKFPTDFGLVEIEDSKRDVLIGKFLELELVKDVSLDLSYQRVILEEDKEENEGNVGGFLDEKKRPGKLFTAMSFSEPEENYYAVANTSNMRISWRRNLMVQKSQVTSFFGADALWSKGYTGSKVKMAIFDTGIRANHPHFRKIKERTNWTNEDTLNDNLGHGTFVAGVIAGEDSECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWELPHGYGRIKPDIVAYGREILGSKISTGCKSLSGTSVASPVVAGIVCLLVSVIPENKRKDILNPASMKQALVEGAVKLSDPNMYEQGAGRVSLLESYEILKSYKPRASIFPSVLDYTDCPYSWPFCRQPLYAGAMPVIFNATILNGMGVIGYVESPPTWHPSDEEGNLLSIHFTYSEIIWPWTGYLALHMQIKEEGAQFSGEIEGNVTVKIYSPPANGQNKPQTSICVLHLKLKVAPTPPRSARILWDQFHSIKYPPGYIPKDSLDVRNDILDWHGDHLHTNFHVMFNMLRDAGYFVETLGSPFTCFDAQHYGTLMLVDLEDEFFTEEIKKLREDVINTGLGLVVFADWYNVDTMVKMRFFDDNTRSWWTPVTGGANLPALNDLLSPFGIAFGDRILNGDFVMNGEESRYASGTNIVKFPGGGYLHSFPFVDSSESGATQNVLLSGMMKADSPILGLLEVGGGRIAVYGDSNCLDSSHMVTNCFWLLKRLLNFTSGNIKDPVLFSESNRQHMPLHLDNNQLPSRRTDVNFSTYSSVVGKELICGSDSRFEVWGTKGYSLQVRGRNRRLPGYAVIDLGTGLNSTRDASNTRAFDSSEKKNDDNRYFGLFNREDMDMPVVVASHWLLPAIVAIFGLLLLVSFWRIRQKRRRRRKGSGSSRSANP >CDP06636 pep chromosome:AUK_PRJEB4211_v1:6:2257691:2262349:-1 gene:GSCOC_T00023552001 transcript:CDP06636 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLRELQSQLGNKICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLRKMELGGNDNFNNFISQYGIPKQTDIVTKYNTKAASVYRDRIQALAEGKPWRDPPPFKETLSGGPTSKPPLSSAGASGGSRTAASSGWDSWDNYDDGGFSSSNTSNNIRRNQTVGDFRSGGGTGSTPARSKSTQDIYSRAQLEASAANKESFFARKMSDNESRPEGIPPSQGGKYVGFGSSPAPIPRNNSQGDVFSTVAQGFGKLSLVAASAAQSAANVVQAGATEFSSKVKEGGYDYKVNETVNVVAAKTTEIGHKTWGIMKGVMALASQKVEEYAKEGGVSWKNDGWQRSESETNGYYQEFGQDSKGWNSPAAGQPSSGRQFNSVSSGSWDDWDSKDNRKEESSKGTASHNGDSWAGWDDNKDDGFDNFYQSASDSKAVGYNGKSDAKWTEGGFL >CDP06461 pep chromosome:AUK_PRJEB4211_v1:6:923796:928507:-1 gene:GSCOC_T00023323001 transcript:CDP06461 gene_biotype:protein_coding transcript_biotype:protein_coding MASALNGVLSIRLSDASWSISNPLRTSQLASPISVSFTPRRLVVRATETDTNEVKAKVPDKTPAAAGGSSGSSINQILGIKGAKQETDKWKIRVQLTKPVTWPPLVWGVVCGAAASGNFHWSLDDMAKALVCMLMSGPCLTGYTQTLNDWYDREIDAINEPYRPIPSGAISEFEVITQIWVLLLAGLGLAGLLDVWAGHDVPLLFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGTETAKWICVGAIDVTQISVAGYLLGGGKPYYALALLGLIIPQVFFQFKYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSS >CDP03125 pep chromosome:AUK_PRJEB4211_v1:6:9518954:9522884:-1 gene:GSCOC_T00041616001 transcript:CDP03125 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDHQTDLPQVQNGSSVQNYGNALDIYPLNCYYFGSKEAVPFKDETTSDRILRMKFNYDAHGLRTCVQAVMLVELFKHPHVLLLQARNSIYKLPGGRLRTGESDIQCLKRKLSSKLSAVGDCSAPDWEVGECLGMWWRPDFDALLYPYLPPNIKRPKECTKLFLVKLPESQKFTVPRNLKLLAVPLCQLHENHKTYGPIISGVPQLLSKFSFNIIES >CDP14298 pep chromosome:AUK_PRJEB4211_v1:6:36149171:36150094:-1 gene:GSCOC_T00040608001 transcript:CDP14298 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHFQQPEQEFAIPVSKMSNKFKGRSRSSGQNNKYVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFVPQQISPDSPLASRIKTLLNKRKNAKVPRSNTDMHESSGATPSPANSVSVNNCIASSSNVISFEQKTPDGHLFDDAYKPDLSNCCAKGFEMEMGPSYHDQTHIPSSGLQAQGFDHRFAFSTTQDHHQLLQLPKLNESGLPEIANHHMELSEFERMKVERQISASLYAMNGVQEYMETVLDPIEALWDLPPLCSLLC >CDP10399 pep chromosome:AUK_PRJEB4211_v1:6:6911989:6913041:1 gene:GSCOC_T00031113001 transcript:CDP10399 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGVSEGVLKKIILSYTYVAIWIFLSFTVIVYNKYILDRKMYNWPYPISLTMIHMAFCSSLAYLLVRVFKLVEPVSMSWDLYVKSVVPIGLLYAVSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGILFKKEQFKTNVMANMVSISVGVAIAAYGEAKFDSWGVILQLGAVAFEATRLVMIQILLNSKGITLNPITSLYYVAPCCLVFLTGPWLVVEYPLLKETSSFHLDFFVFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDAVTSVNLIGYALAFLGVCYYNHAKFVAMKAKEAEEAQRKAAQADEEAGRLLEEREESGAKKSESQD >CDP14188 pep chromosome:AUK_PRJEB4211_v1:6:18616066:18617150:-1 gene:GSCOC_T00040443001 transcript:CDP14188 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETSASDSHMADLTCMAGNKTCTSLGAVGSASGVMLCDICCSEPGFCRDCCCILCCKTVSSSYGGYSYIRCQALLNGYACGHVTHLNCGPRAYMAGTVGGTIGLDAEYYCRRYDSRLDLVSHVRKLLKTCESIDSRDDIEMILSVGKEMKLCKRMASVELPKLTLPFVLKIARTDRSVNLTVKSNFIHFSGQNQTFNS >CDP09534 pep chromosome:AUK_PRJEB4211_v1:6:19843154:19843902:1 gene:GSCOC_T00028941001 transcript:CDP09534 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGGSFSNSSNVQCLRSSKATDVRKGVYSSTVAVNMDDGLLMMQVDHSIVESFGQGGKTCITSRVYPTNAVYENAQLFLFNNATDAKGYLMQKGRSLINHFIFALVVNNSGVYDGIGFNIRISTIFHYPKEFNCSASVSRLRKPMDYTSKDDHIDFAKFFIYYFFEQFLGLQNHILSKEPLE >CDP03292 pep chromosome:AUK_PRJEB4211_v1:6:8154586:8159459:1 gene:GSCOC_T00041829001 transcript:CDP03292 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKFKLGRKIGSGSFGEIFLATHIDTFEIVAVKIENNKTKHPQLLYEAKLYNILQGGSGIPGIKWSGVDGDDNVLVIDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFTREGCESDYIFDWTILKYQQSQSSKVQQRPMPAESSRAVQMDVEKHQAGNSAPFSAEMADRIRSNNASSPGIRMQFKSPPNRNMTSGNPLERNVLNTAQMPSTSFAPPGVPKGNVSRPNLSAEISNSGLGHGESTGPSSSWISSLRRISSAK >CDP03373 pep chromosome:AUK_PRJEB4211_v1:6:7499677:7503262:-1 gene:GSCOC_T00041931001 transcript:CDP03373 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKTTSYRDRTHEFESLVEKLKKPSSLSPASTAPSSSSGGGFDGNPTSAPQETTRSAAPIQSEFNKRASRIALGIHQTSQKLSKLAKLAKKTSVFDDPTLEIQELTTVIKQDITALNSAVVDLQLLSNSQNEGNLSSDSSAHSTTVVDNLKNSLMTTTKEFKEVLTLRTENMKVHENRRQLFSSSASKESSNPFIRQRPLATRAAASAAISPPPWASEPSTSSQLFPRNQAEGESHPLLQQQQSQQQLAPLQDNFVQSRATALQNVESTIHELGNIFTQLATMVSQQGELAIRIDENMEDTLASVEGAQSQLMRYFNSISSNRWLMIKIFFIVILFLMIFLFFVA >CDP10164 pep chromosome:AUK_PRJEB4211_v1:6:13672860:13673481:-1 gene:GSCOC_T00030789001 transcript:CDP10164 gene_biotype:protein_coding transcript_biotype:protein_coding MDADPRKVQEKQTSAQDDDQQPKFKPFVGAARRLDEKPGSIVPRAASSTPPSSQLPVKESSNRMASEAQPLSRKRAGKLVFGPEEVGNQPSKSRRISEELDNKKNATSVENKFIPFTGKKYTLGGYQTQFCGRDRTLAANSA >CDP06660 pep chromosome:AUK_PRJEB4211_v1:6:2431475:2433168:1 gene:GSCOC_T00023583001 transcript:CDP06660 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSPHEYIHLIQHLIEECILFHMSKEECMEALFKHANIKPVITATVWKELEKENKEFFEAYTKDREERASETETRQRIQKRMLSLHSSTAKDTSKLGG >CDP02901 pep chromosome:AUK_PRJEB4211_v1:6:11724799:11728233:-1 gene:GSCOC_T00041311001 transcript:CDP02901 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCELKPTISQAHPETMDFLSSAWCNFAVQAFQPELQDQSLILHDSSIKKLDNDTKPPFLKLDESMKMDDTDNFVPPWKSNDVKSWIWMQQAMHPELNYNSYFKKKWMPWKIGQQFKNVSIKKWLKEIKQRSKGDKRLQRAEVHAAVSVAGLAAALAAIAAENSSKLDDNPINATKDTAVASAAALVAAQCAKMAEAMGAKKEQLRSVIGSAMTGTSTSDILTLTAAATTSLRGAATLKVRAGYKNKLINGGAPVLPIEDHNDLNFDFENCRSIIAKGAELNVETPDAGRNVLRSVSVILNGESKVILRIRKLNLLNAFARTHESVVLDLHVELYKDSEAGENDNTCYLLVLTTNKGMIKLDMMDDYKGFKTWSITINQMLMLSTSFTKYELQFYKH >CDP06687 pep chromosome:AUK_PRJEB4211_v1:6:2605033:2614793:1 gene:GSCOC_T00023619001 transcript:CDP06687 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLLSKRSLDVDSRDAYGFTVRPQHLHRYREYSTIYKEEEEERFHKWNCFLQQQTESTVSPENVKTVEPEVTEQQIKPIQQLTAEGDASGGVESVPDILEETDAEKEVPAIIPKESSEVHKWVPVRPSLCHIEDMMSSRAKKGKSMIDELITTDHNHLPSIMEESHSGEELEQAHEEEHYDSKRDAGPDAPKERGASINGGCTESLFPWKELEFLVHGGVPRDLRGEVWQAFVGVRTRRVERYYQDLLAPESDAGKDRDGDKSQSLEDRKGPNENSVHVPESLRKQIEKDLTRTFPGHPALNENGRNSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLMMPEENAFWTLVGIIDDYFDGYYSQEMIECQVDQLVFEELMRERFPKLVNHLDYLGVQATWISGPWFLSIFVNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPVIVTTKDAADAISLLQSLAGSTFDSSQLVLTACMGFLSVTEDRLQGLREKHRPAVLAAVEERSKGARVWKDPKGLATKLYSFKHKPESLTKDPGVEEASRDKLIGRDVSHSESHATTDLNELLDGLNIDSEVDSLPDLQEQVVWMKVELCRLLEEKRTAILRAEELETALMEMVKQDNRRQLSARVEQLEREVADLQQALSDKTQQENAMIQVLMRVEQEQKVTEDARILAEQDAAAQRYAVEVLQEKHNKALASIADMEKRVVMAESMLEATLQYESGQVKALASPGSVRPDSPRDMPRKTGLLPFGLGWRDRNKSDAAVSVERKSGNEGVDTSSVHIQANGHQG >CDP10513 pep chromosome:AUK_PRJEB4211_v1:6:5972595:5974382:-1 gene:GSCOC_T00031261001 transcript:CDP10513 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDDLNPASPHSLKSKIKDSLCFYCCFRRHHHGHRQVLDSPTGPPTPPLPSDDKPTLLWLKTRKAHDLKDDIKDKCMMVFGRVGNGINRHHKRHPSAEFRYDPLSYSLNFADGFDEDDEYPLRNFSARLPPSQPVEALPAVREIAAISCR >CDP03250 pep chromosome:AUK_PRJEB4211_v1:6:8564276:8569918:-1 gene:GSCOC_T00041766001 transcript:CDP03250 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVTVAVVCAAAACAVAALVVRNRMKSLGKWAKVMAILKEFEEECGTPTAKLRQVADAMTVEMHAGLASEGGSKLKMLISYVDNLPTGDERGLFYALDLGGTNFRVLRVQLGGHEKRVVKREFQEVSIPPHLMVGSTEALFDYIAGALARFVATEGEDLCLPPGRQRELGFTFSFPVRQLSVASGTLIKWTKGFSIEDTVGQDVVGELSKAMERIGLDMRVAALVNDAVGTLAGGRYNHPDVMAAVILGTGTNAAYVERAHAIPKWHGLLPKSGEMVINMEWGNFRSSHLPLTEYDQGLDAESLNPGEQIFEKIISGMYLGEIVRRVLCRMAEEAAFFGDAVPPKLNIPFILRTPEMSAIHHDTSCDLKVVGAKLKDILEIPNSSLKVRKVIVEICDIVVSRGARLSAAGVLGILKKLGRDTITDGEKQKSVVALDGGLFEHYAKFRNCMDSTLQELLGDAYENVSIIHSNDGSGIGAALLAASHSQYLEVEES >CDP10191 pep chromosome:AUK_PRJEB4211_v1:6:13383027:13385553:1 gene:GSCOC_T00030831001 transcript:CDP10191 gene_biotype:protein_coding transcript_biotype:protein_coding MASITKFILLSLTLCVLHLNFCHGQNAVKAGYWFPDSGIALSDIDSKLFTHLFCAFADLDPQSNKVTISSSNAGPFSQFTKTVQLKNPSVKTLLSIGGGNSNRADFASMASQSSSRKSFIDSSINLARANNFHGLDLDWEYPQSASEMKNLGSLLDEWRAAVATEAKNSGKPQLILTAAVSFASKVDGLYQYPIPSVSRSLDWINLMAYDFYAPDRPSTSTRCHAALKDPSGQASGSSGIADWEKAGVGAKKLVLGIPFYGYAWRLVNANNHGILAPANGAAGPDNGVERYQDIRTFVAQTPGAVVVYNSTYVCNYCYAGTTWIGYDDNQTVSTKVSYAKQNGLLGYFAWHIGADDNLALSRQGYLME >CDP06578 pep chromosome:AUK_PRJEB4211_v1:6:1845882:1848298:-1 gene:GSCOC_T00023481001 transcript:CDP06578 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNLAENTLKAIKKIDKVRVDREARHHAMRMKGKKAMERKEAAKELEQSIHMVKAPAALQQEASLTLPKIKVKVSQEQSQENRMEE >CDP15316 pep chromosome:AUK_PRJEB4211_v1:6:3783985:3788828:1 gene:GSCOC_T00043001001 transcript:CDP15316 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFWNSPDQQTQPRPQEISTGSWGPPSVVSSPSSSRPGTPSVSSSGSFSIQRPADRSQSSAHVSPSEAAGIIAHLKDKSVDELRKLLSDKDAYHNFLLSLEPVKTQNKVRDELRNETLQLASANLDKEPRIMELRNQCRIIRTTELAAAQEKLHELDRKKEETLKFYAPVSLLRQLQEAMSTAEEESEALHRQLLDREIDHGSFIQKYKKLRCTYHRRALTHLAAKTSFNG >CDP03307 pep chromosome:AUK_PRJEB4211_v1:6:8022851:8025948:-1 gene:GSCOC_T00041847001 transcript:CDP03307 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G28980 protein [Source:Projected from Arabidopsis thaliana (AT4G28980) UniProtKB/TrEMBL;Acc:B9DFZ7] MDLPPSKSWSIHTRREIISKYQILDHVGSGAYSDVYRARRLSDNLTVALKEVHDYQSAFREIEALQTLQNCPNVVVLHEYFWAEDEDAVLVLEYLPTDLSDVIREAKRGEWKDQGLRTGEIKRWMVQILCGVDACHRNSIVHRDLKPTNLLISADGVLKLADFGQARILLAPGYFAIGENSQSHEQRSPNDAIVANPPETNPSTDSADDEGQVIQAEPLRKEEARGLSDELREGDFLDEGDKESNIPDGDVSCLATCTASDMEEDPFKNYAYEAEEDENEGLGPLTSCVGTRWFRAPELLYGSTTYGTEIDLWSLGCIFAELLSLEPLFPGTSDIDQLGRIISVLGNLSKEVWPGCRELPDYKTISFGEVENPIGLESCLPNRSTDEILLVKKLLCFHPANRATAMELLQDKYLTEEPLPVPTSALRIPSKHSDQDEGSPVEWGDYRAFDSDSDFDDFGPVDVTKTNEGFSIRFS >CDP03356 pep chromosome:AUK_PRJEB4211_v1:6:7652393:7656521:-1 gene:GSCOC_T00041911001 transcript:CDP03356 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTKSIKDRYAKLVEGSWFSQFCHGSNPWMARYVYGLIFLVANLLAWAVRDYGHSVLAEMRRLKECKGGKDCLGAEGVLRVSMGCFMFYFVMFLSTAGTSKLNERREFWHSGWWSAKIFMMMMLIILPFLLPAEVISLYGEVAHFGAGVFLLIQLISIISFITWLNDCCQSEKYSDRCQIHIMLLATTAYVVCIIGIILMYIWYTPEPSCLLNIFFITWTLVLLQLMTSVSLHPKINAGFLTPGLMGLYVVFLCWSAIRSEPPEEKCIRKAETAIKGDWLTIISFIVAVLAIVIATFSTGIDSKCFQFRKDEAEKDDVPYGYAFFHFVFATGAMYFAMLLIGWNTHHSMKKWTIDVGWTSSWVRIVNEWLAACVYIWMVVAPIIWKSRQATEPPV >CDP10642 pep chromosome:AUK_PRJEB4211_v1:6:4838122:4840313:1 gene:GSCOC_T00031420001 transcript:CDP10642 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPPGFRFYPTEEELISFYLHSKLEGRRQDIDTVIPVVDIYDHKPWDLPQFAGECCRGDPEQWFFFIPMQDREARGGRPNRLTTEGYWKATGSPGCVYSSQNRIIGVKRTMVFYKGRAPNGEKTQWKMNEYKAIDGEASSAAPPKLRQEMSLCRLYIGSKSLRAFDRRPPPPPGETSSHHRQPHHQEDQPASITSHQTHPPSLAAEIASSTSGSGEDNFLASSSQGLENESHDLAFNPEPMWDWEQLNWF >CDP02967 pep chromosome:AUK_PRJEB4211_v1:6:11080807:11081775:-1 gene:GSCOC_T00041411001 transcript:CDP02967 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSRSSNGQRFIITSFFFIVFLCILASINEVRFDSFLRFGRCAFSRAPHYSSEEKFLAANSSSTSNSSSTDDVRILIGIPTLPDHYHKRHFLRLVYGIQSPQNAKVDVKFVFCNLTKEDQKVLTYTYFSSLPEMLNNTDGPFPPYHYVMKADDDSYFRLENLVESLRPLPREDLYYGYVIPCPSMDPFVHYMSGMGYLVSWDIVEWIKESDIPLKHLEGPEDKVFGEWLRDGHRAKNRYNAKWSMYNFPEPPTRCTRELWPDTIAVHLLKTQEKWIRTLNYFNVTKNLKPSKLYHIP >CDP15432 pep chromosome:AUK_PRJEB4211_v1:6:4706443:4708310:-1 gene:GSCOC_T00043163001 transcript:CDP15432 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSPAVLVNGELLRMHVGKRVRAVIQVLRSDGSGTVTGKSTDGQQIFVKGHPPAPLSTFVEVIGIADSNQSIRADIWTNFGDVFDTQGYNHICQLANGEYKHLFI >CDP14148 pep chromosome:AUK_PRJEB4211_v1:6:18143063:18148929:1 gene:GSCOC_T00040385001 transcript:CDP14148 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEDVVDKFEKIRIGFVAYMAALKFIDAVEHAKDKLPPKREEDTVDEDLEVELQKNLSEEARVAGFQIHPDVLATIVGAFEIKTLRKLKGVEGLAIRLNVSLSEGLKSNDIPLRQKVYGPNTYTEKPSKSFWLFVWEALHDLTLVILMVCAVVSIGVGLATEGWPKGMYDGLGIILSIFLVVIVTAMSDYKQSLQFKDLDREKKKIFIQVTRDGSRQKVSIYDLVVGDVAHLSIGDQVPADGVFVSGYSLLIDQSSLSGESVPINVHGKKPFLLAGTKVQDGSGKMLVTTVGMRTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGLGFAVVTFLVLLVRFLVEKGIHHEFTKWYSSDALTLLNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMDDRALVRHLSACETMGSATCICTDKTGTLTTNHMVVNKMWTFGKDKEIQTNGQIAAINSGISGHALTILLQAIFYNTSAEVVTDKNKKKSILGTPTESAILEYGVRMGGDFDDQRRDSKFLKVEPFNSERKKMSVLVALPGGNTRAFCKGASEIVLKMCDKIIDGNGEPIDLTEEIAGAVMDVINGFACEALRTLSLAFKDISNGYTENGIPDSGYTLIAIVGIKDPVRPGVEDAVKTCLKAGIMVRMVTGDNINTAKAIAKECGILTDDGIAIEGPEFRRKSPDEMRRIIPRIQVMARSSPTDKHVLVKNLRGMFREVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVMDDNFATIVNVAKWGRAVYINIQKFVQFQLTVNIVALMINFLSACVSGSAPLTAVQLLWVNLIMDTLGALALATEPPHEGLMNRPPVGRDVGFITKTMWRNIAGQSIYQLAVLLSFNFAGKQILGLEGSDATRILNTFIFNTFVFCQVFNEINSRDMEKINVFQGMFGSWIFLVIIVATVVFQVIIVEFLGTFASTIPLSWQLWLLSILIGAVSLPIAVVLKFIPVEGETKHHDGYDRLPGGPDQA >CDP06285 pep chromosome:AUK_PRJEB4211_v1:6:25181182:25182849:-1 gene:GSCOC_T00023035001 transcript:CDP06285 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVDQNHVFKPFRRPPRCKLPSYPQIDYAMLESKNTNLVKSIKDAFDFNSIHKSFSTPCLSISTMVEEEFDSNSRIEIIAGNGAPRVRALVVEVAIAMASGVNPEPVSTGLGGAYFIRSRNGDTIAVAKPVDEEPLALNNPKGFAGRMLGQPGMKRSIRVGETGIRELAAYLLDHGGFAGVPPTALVKMSQVKFNVNGPDSILTPPYKIASIQRFVDHDSDAGDLGPSGFSVSSVHHIGILDIRLLNLDRHAGNILVRKGQEAYSGGVAELVPIDHGFCLPELLDDPYFEWLHWPQALVPFSESEIEYISSLDPFKDAELLKTTIPSIRESSIQILVLCTIFLKQAADSGMCLADIGEMMTREFNGGEENWSAFENLCMDAKSSLCGRITSDNGDQVEEDNEVFQFDDKKDGSSDFPESLESSPKPGKPAKILRFLSERLVTKLSDSELLLSKEEDSHQNDDNDNDYHNIVEESGTENGYAGSEHKLGGVFTRSMSFAGLNHSNDNGGISFGEMSNEEWELFLENFQKLLPEFLEARKCMCLSKQRLGTSCEF >CDP19201 pep chromosome:AUK_PRJEB4211_v1:6:15567119:15567418:-1 gene:GSCOC_T00012650001 transcript:CDP19201 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYLEMLDVGVRILARFHSHCPQTARMYYHPPSNTEEQNHRHHPLFHRIHGVDSSRSSNMASHGRTSMASCGFETFMDFDTGDFNSLFIDQDTYRAMN >CDP14129 pep chromosome:AUK_PRJEB4211_v1:6:17924138:17926250:-1 gene:GSCOC_T00040362001 transcript:CDP14129 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNQRYHQLRKGEVVHVLIQNKRTRSKPLYFICLSFLSCCLILAPQLLTCYPSSTFSLLYSLGFEEDQRPSPEADANAPICSAVPNGTICCDRSSIRSDICMMKGDLRTDSASSSIFLYTNNGFNDYVSPLSDDGNGNEILQHEKIKPYTRKWETSVMNNVAELDLIVKKGNSSTTQKKCDVRHDVPAVFFSTGGYTGNVYHDINDGILPLYITSQHFKKKVQFVILDYHDWWLTKYGDVVSRLSDYPVIIFSEEKRTHCFPEAIVGLRIHDELAIDPSAMEGNKTIVDFHNLLDRAYLPRIRGLIQAEEREAQLKMDKPGKQDLKKPKLAIISRKGSREIKNENLLVKMAEQIGFSVTVLRPDRTTELAKLYRVLNSSDVMVGVHGAAMTYFLFMRPGSVFIQVVPLGTDWAAESYYGEPAKKLGLRYVGYKILPRESSLYDKYDKNDVVLTDPDKATNMRWDLTKKIYLDHQNIKLNLPRFRKRLVRAYHYSIGKKNSENFHLDSQ >CDP15400 pep chromosome:AUK_PRJEB4211_v1:6:4515612:4518580:1 gene:GSCOC_T00043121001 transcript:CDP15400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable purple acid phosphatase 20 [Source:Projected from Arabidopsis thaliana (AT3G52780) UniProtKB/Swiss-Prot;Acc:Q9LXI7] MAAIRGPFVALALALVFAGDFHFQFALAYDRPPARKSLFVAPSEDLDSTSPQQVHISLVGQDKIRISWITDDPSPSTVEYGSSSGSYGFSANGSTSSYTYVTYDSGEIHDVVIGPLKPNTEYYYRCGSDSSPEFNLKTPPAQFPIKFAVAGDLGQTDWTSSTLEHIGESNYDMLLLPGDLSYADTDQPSWDSFGRLVEPLASKRPWMVTQGNHEIEKIPILHSQPFTAYNARWDMPYEQSGSDSNLYYSFEVSGVHVIMLGSYTEFDPSSAQYKWLQTDLEKVDRSRTPWLVVLIHAPWYNSNKAHQGEAESVNMKAAMEGLLYQARVDVVFAGHVHAYERFARVYENEANECGPMHITIGDGGNREGLATKYIDPQPKISAFREASFGHGEFEVINATHARWTWHRNDDDEAVIADSTWLTSLASDPNCKA >CDP16108 pep chromosome:AUK_PRJEB4211_v1:6:33397506:33397832:-1 gene:GSCOC_T00017151001 transcript:CDP16108 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGFARKRGLKRVNSSKPFKKFKNLKLNPGAEKLVPKQPLENDSGEPVLHFRFLLRIFFCY >CDP03310 pep chromosome:AUK_PRJEB4211_v1:6:8006562:8008777:-1 gene:GSCOC_T00041850001 transcript:CDP03310 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFWWDQFRGRLFCYCFRRGCLSDGYRMRAGSVSPVRHRPDSRYSPEFDHSGAPPRSRGYGSGRDHGRYRDYSPSYGRGRDGSRFNGRGFDGPGYGPGPFRGEVVPRNNPNVRPREGDWYCSECNNLNFARREVCNNCKRPRYAPARSPPRRGYPAPPLPPRRFPGPSSDRSPGRMMNGYRSPPRGWARDEPRDFRAGGPPYPRHEGRFPDPMFRRDRPDYAEDDYRERNRFDRPIPLDWAHRDRARDNLFNERKGYERRPPSPLPTALPPRGQWGRDARERSRSPLRGGAAPKDYRRDMYLERGRDDRRGMGRDGY >CDP15404 pep chromosome:AUK_PRJEB4211_v1:6:4553197:4557124:1 gene:GSCOC_T00043127001 transcript:CDP15404 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVTRKISAASARAHTRKSKQKSSVPVLPSGIFKKILVVFFIGFLAWAYQATRPPPPKPLGSPDGAPVTAPRIKLRDGRHLAYKEHGVSKDKAKYKIVFVHGFHCCRHDVAIMATLSQDLIESLGIYIVSFDRPGYGESDPNPNRTLQSMASDLEELADQLELGSKFYVVGFSMGGQALWPSIKYIPHRLAGAALLAPVVNYWWPGFPANLSNEAYNQQLPQDQWALRVSHYAAWLTYWWNTQKLFPPAAAVAQNPAVLSRQDLELMGHNTLRRDYEAQIKQQGEYESLHRDLIIGFGKWEFDPMDLENPFPNNEGSVHIWQGDEDLLVPASLQKYIAKRLPWIHYHELAGAGHMFPLAEGMGDNIVKALVSGKSAR >CDP03186 pep chromosome:AUK_PRJEB4211_v1:6:9014603:9018999:-1 gene:GSCOC_T00041690001 transcript:CDP03186 gene_biotype:protein_coding transcript_biotype:protein_coding MESGERAPPSIILSVEAREKSVTALATISSSSRLKREDCRRTKHDSAFSPWKVLIGPSDWEDHSNGKEGAERYRTQNLPNCTSCTGVYELGTAVWRSKSRRDAGKLDPDFIIPVYLGKSDNVRNRLQQYGREGAHLENGSSNTKLNGCNGSLAQTGSGLFKDIFSEGFSIVYRWAPMKSTRDADKTESDLLGKFDYAWNKGSNGPRRHNDILKMLDRISKTSPFMAKLQVLSQRKKGIKIKAYKPPFVENGLDFYTSLETNGLLAQIFKFGRSQPRLIVRSVINENYISICGVALGHGVVCKRAPAEGNKRCTEHKGMKVNGFTSKLLKDGKSTLVANEYPINKTFTPPCGVILDDGSCCTSKPVQGNKRCLEHKGRKINNFPQLVNDKKANYVYGPVLDYNTSLSKAGHTDSSSTICGVDLDDGTFCTRQPPAGRKRCEEHKGKRIKETICISHRDEIPSSFIPSGPKIGIQESQNHSLIPSVFCGKTSPACGAATHDGSLCSRKPTEGNKRCWQHKGMRVDASPTSSSCNNSRRSSTSLQYASEDFTSTCGAALQNGSHCSRKPTNGNKRCSQHEGRRADLSPRSSNDFRYYANPFSYASHGSAYETFSSTCGAPTLGGSYCRRSTAGGRCWQHEGYANSYSYASYGSAYGNFSSTCGAPTRSGSYCRRSTAGGRCWQHGG >CDP03371 pep chromosome:AUK_PRJEB4211_v1:6:7513317:7515206:-1 gene:GSCOC_T00041929001 transcript:CDP03371 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRVSSLGVNWGTMASHQLPPENVVQMMLDNGFDKVKLFEADEKILGALIGTDLEVMLGIPNNMLREISQDPEAAASWVDANVTAYCFKGGVNIRYVAVGNEPFLKSYNNSFLPYTLSALKNIQEAINHAGLGTVVKATIPFNADVYNSPESNPVPSAGDFRPEIRDLTVQIIQYLHSNDAPFVVNIYPFLSLYGNIYFPLDYAFFDGSNKPIKDGDYLYTNVFDANFDTLVSSLSRAGYPEMKIIIGEVGWPTDGDKNANIQNAKRFNQGLIQHVSGGVGTPARNGSIDVYLFSLIDENAKSIEPGSFERHWGLFEFDGKPKYELDLSGSREEKGLVAVEGVRYMLRRWCILDPRAKELEELPKNIDYACSLSDCTSLGYGSSCNHLSAKGNASYAFNMYYQFKNQNGWDCDFSGLAVVTDEDPSDDECQFPVMIADGHSMVLLHKTLLNILLAVLEGCVVFLLLIS >CDP12648 pep chromosome:AUK_PRJEB4211_v1:6:15869573:15871201:1 gene:GSCOC_T00036352001 transcript:CDP12648 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLISLEPSNTLTIRIEPGQKCYGVLTLRNVMYTMPVAFRLQPMSKTRYTARPQSGIISPLMTLSVEITYHTPPNSSLPESFPYSDDSFLLHSVVAPGAAVKDPSSTFDSVPNDWFTTKKKQVFVDSGLKIMFVGSLVLSHLVSRGSMDEIREALEKSDPKWRAADSVDADGQTLLHLAIAQSRPELVQLILEFNPDIEARSRSGSTALEAAAASGEALIVELLLAHRASTERSASSTWGPIHLAAVGGHLEVLRLLLLKGANVDSITKDGNSALHMAVEQRRRDCARLLLASGARADIRNGSDSDTPLHIAAGLGDEQMVKLLLQKGANKDIRNKVGKTAYDVAAELGHTRLFDALRLGDSLCVAARKGEVRTIHRLLENGAIINGRDQHGWTALHRAAFKGRVDAVRTLLDNGIDINARDEDGYLALHCAVESGHVDVIELLVKKGADIEARTNKGVTALQIAESLHYAGITRILVNGGATREGGVAQMSTITKVSQLPFNKGITVKEMESGAIKKKPTRPRVRRSSFDRSAAVPLAVV >CDP10571 pep chromosome:AUK_PRJEB4211_v1:6:5490687:5495187:1 gene:GSCOC_T00031333001 transcript:CDP10571 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKLHSLAPLSTNLLHRGSASVPYPSATTADPFSSEITSKRSSLSQFSSLLLSPPQFQIEGNYCYSFHSPPSSRVFQTPRLHSGLHGLNSGFFCSIASSPAANSKCWNCNAVASSAVPFLVCHGCRSVQPPDPSIDYFQILGVEKKYDVKVENLEGKYKDWQKKLHPDLVHTKSKKERDFAAEQSARVTDAYRTLSDTLSRAVYLLKLEGLEVDEEGRISDPELLAEIMEIREAVEEAAETQALNHIQAQIWKKLEHWSKSFADAFQSRKYEEAISSIQRMTYYKRANEEIIKKL >CDP02912 pep chromosome:AUK_PRJEB4211_v1:6:11606110:11606413:1 gene:GSCOC_T00041332001 transcript:CDP02912 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLKSNITAFKLLIGIRKLPEVQ >CDP03188 pep chromosome:AUK_PRJEB4211_v1:6:9008312:9009748:-1 gene:GSCOC_T00041692001 transcript:CDP03188 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSIHTSSNSFSSPSRLNLSLPPPPSHLPLKDSTYRTPPLKTLCTSTAPKRPTKPRHRPHHSPHPQSSQLLKNNYQRTTTNENETSPSTQNCQTPPPSDADLMSLCNEGNIEEAIDCIKQGAEANYFVFEALISSCGSSESLELGKNVHELMMKSPFSRNVELNIKLVQMYVKCGNMNGARKVFDRLRDRSLELWHLMINGYAKTGEGNNGLLLFERMRKIGALEPNAETFVAVLSACACEGAVREGFFYFEMMQNEYRILPGFEHYLGVIDVLGQAGHLVEALEFIEDMPFEPTVEVWEAVLSFARVHGDIDLEDRAEELLVELDSSKATPDKVPTPLAKRNCDFNKLEGKIKVSELRSTSLYGEGANEKFKGLNGQMRDAGYVPDTRYVLHDIDEEAKEQALMYHSERLAIAYGLISTPARTTLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFRDGKCSCGDYW >CDP06433 pep chromosome:AUK_PRJEB4211_v1:6:744282:746192:-1 gene:GSCOC_T00023284001 transcript:CDP06433 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGIVPGTGRIGLRLHSLAGFLLTALVITTFYYLSGDSTGPILEDETKSKNSSPSCNLFSGKWVYDSKSYPLYKEGECSFMADDFACEKFGRKEFKYQHWRWQPHDCDLPRFNATALLNRLRGKRLVFVGDSLNKNQWISMVCLLESSIPPSLKSNTWKGSLITFEAFEYNATIDFYWEPLLVESNCDDPVSHHVLDRIVRIEAIEKHARHWTDADILVFDSFMWWLQPEMTLLWGSFESSDAIYKKVGKRLRVYEMALRTWSDWAEDWGSSSGQNCYNETEPISEEGYRGSSTDRGMMSMAEAAIRELEGRGLKVQYLNITQLSDYRKDAHPSIYRRHWEAPSKKQLADPRSYSDCVHWCLPGVPDVWNQILYAYVMFDTR >CDP17636 pep chromosome:AUK_PRJEB4211_v1:6:31391416:31397682:-1 gene:GSCOC_T00001539001 transcript:CDP17636 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGNRGVVGDKWSSRILWVCAIGSAIGLYMVAVERQAQNREKMMAEALGGAGSGADKGQEI >CDP06402 pep chromosome:AUK_PRJEB4211_v1:6:399831:406803:1 gene:GSCOC_T00023232001 transcript:CDP06402 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWLVIPLIGLWLLSQLLPPAFRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNARLRERKRFEAIEMQKLKKTATRRCRNCLTPYRDQNPGGGRFMCSYCGHISKRPVLDLPVPPGLGLSNSGILKDLVGKGGKILNGKAWSDNGWMCGQDWLENGNWVGGAFAGKPSYWRNGGGSFGGDDHCLAERSYSHVLIFACKSFTTFFFSIMGLWRKIFRVSSSRGDASLDVDRRGALDKRGENVGNCHESRGEKARRKAEEKRQARLEKELLEEEERKQREEVARLVEERRRLRDEKMEAEKERGKGSPLKGRDRRKEAEKKRHERKKERDHGSSKSNSDVEELEKRASRECERYKKCDSDRRENHRTGSESAKAHGAEVGHPFKSTSVNSHSKGVAGTRYLDRVRGTFLSSSRAFTGGGFFGKSSANTVAREPKANAFADQSQTNSNRREVSQLDRVYSKSSGNGDEKNISRPALIDPQPGGLAPKKSWQQLFTRSSSASQPSSSNVISRPNGKSKPEVQSPFTCNPPTTQAFDNPINFGLPSPFSASSFPFGSTNSNTNFLLPSEPMFPQIGEAPHQFLPEESEIFEDPCYVPDPVSLLGPVSESLDNFQLDRGFVADSGLETPSTMKNISVSAEVNRPSPIESPISRLRVSEERHANPFSFPSTAKAQDLHHLPVGGSINANDTGTWQMWNSSPLGQDGLGLVGGPASWLLPPELNRPKNEDILHPTPQKTMASLFKNDDQVHSGTHSPQNVIFGSLHNGRTVNSSVSGGPDCSWLPKTFIGPIPGNEDQFSRKFREEAAQNNMIYGSAGCSATNHQNEVSGANCWAKRDRNMPVSGIGVGNSSGTKPHIGGLYSAPDVQSFWSYDCVKE >CDP06634 pep chromosome:AUK_PRJEB4211_v1:6:2250544:2253583:1 gene:GSCOC_T00023549001 transcript:CDP06634 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLLWVFDHFIIGFPPYWEEYALNCFGGESPTMGAAQSNCDSENLSPEAGIVVSVTVDFTGCPRINHKNASSEEAEDDNCKKNSSIEDSPAGGRNESSSKSKSGGAQPSDAVSQQESRVLAKFRHSDCYCRDESGLSPMVPEASGKELSSSNCSIEQMETLELSGNSLDDNVRQPLAQAGFEENSDPPSDQANMFTDEDRKQDQKNKRIRKRARKVMHSPSSLGGVGKKTEKNAGASKRPIPNPANFSVKKGSPITRKKKEESFIALPESLSLQRSRSGRLLLPTMQFWRNQRAVYDADRRIMGIKDPQLNQHTKGVLLYGAKTI >CDP14121 pep chromosome:AUK_PRJEB4211_v1:6:17792774:17795998:-1 gene:GSCOC_T00040349001 transcript:CDP14121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor B-3 [Source:Projected from Arabidopsis thaliana (AT2G41690) UniProtKB/Swiss-Prot;Acc:O22230] MMEPECEKSLLEYVRKSSPPPFLLKTYMLVEDPSTDEVISWNTEGTAFVVWQPAEFARDLLPTLFKHSNFSSFVRQLNTYGFRKVATARWEFSNDMFRKGERDLLCEIRRRKAWTNKSHSNNNKGQQNHHHHHQAAAAAAAPTKDQSDEDQSQRSSSTSSSSDQYTVLIDENKRLKRENGVLSTELSTMKKKCKELLDLVAVYADQAGEKNDGSIVDNDEFDERPKLFGVRLEVQGEIERKRKFAEISRNAQVILFQSCK >CDP14321 pep chromosome:AUK_PRJEB4211_v1:6:35896169:35898125:1 gene:GSCOC_T00040646001 transcript:CDP14321 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGGGGFRGGRDGGRGGRDGGRGGFRGGGRFGGGGRGTFRDEGPPAEVVEISTFLHACEGDAVTTLTNEKIPYFNAPIYLQNKTQIGKVDEIFGPINESFFSIKMMEGIVATSYSAGDKFYIDPAKLLPLSRFLPQPKGQAQAGSRGGGRGGGRGGGRFGGRGGGGFRGRGAPRGGRGGPRGGGRGGGFRGRGRS >CDP03260 pep chromosome:AUK_PRJEB4211_v1:6:8479334:8486044:-1 gene:GSCOC_T00041780001 transcript:CDP03260 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRDITINGKNSIALIFRYADWQDLLLMFLGTIGAIGDGSSTNCLLLYVTHLFNSLGYGKTQLNHWNFMAVVEKCSLYFVYLGLAVMVVAFMEGYCWSKTSERQVLKIRYKYLEAVLRQEVGFFDSQEATTSEIIDSISKDTSLMQEVLSEKVPLFLMHSTSFISALGFSTYFSWRLALVAFPTMLLLIIPGLIYKKYLLFLSKKSSEEYSKANAIVGQALSSIKTVYSFTAERGIVQKYSSILDRTTKLGIKQGIAKGLAVGSTGLAFAIWALLAWYGSHLVMYKGESGGRIYAAGISFVMGGLSLGMALPEVKYFTEASVAVSRIFNRIDRIPEIDGDDTKGTQLDKVRGEVEFQHVQFTYPSRPDSLVLKDFNLKIEAGKTVALVGASGSGKSTAIALVQRFYDANSGVVRVDGVDIKSLQLKWLRSQMGLVSQEHALFATTIKENIMFAKHDATMNEVLTAATAANAHDFIRQLPDGYETKVGERGALLSGGQKQRIAIARAIIRNPVILLLDEATSALDSESETLVQNALDQASMGRTTLVVAHKLSTVRNADLIAVMSGGCVIEMGSHSELINKNGYYAKMAKFQRQFSAVDHEHHMEASVSSVAKSSGGRRSETRSPAIFASPLPVDNTPKSAPYPPPSFFRLLSMNAPEWKQGVIGSISAIAFGAVQPVYALTIGGMISAFFSPSHEEMQARIQTYATIFSSLCLVSMVVNLCQHYNFGYMGEHLTKRIRLRVLEKILTFEPAWFDEEKNSSGVLCSRLSTEASLVKSLVADRLSLLVQTTSAVTIAMIMGLIVAWKLALVMIAVQPLTILCFYTRRVLLSTITAKFVKAQYDSTQIAVEAVYNHRIVTSYGSIHKVLQIFDEAQDEARKEARKKSLLAGVGIGSAQGLTFMSWALDFWYGGRLINSGNISSGDVFKTFFILVSTGKVIAEAGSMTSDLAKGSAAVASIFAILDRKSLIPETDKAGDGYTETKVKKICGGIEMKKVEFAYPGRPEIRVLNGFSLGVKPGTSIGLVGKSGCGKSTVIALIQRFYDVTGGTVKVDQLDIRLLDIGWFRRQMALVSQEPVIYSGSIRDNIVFGKPDASENEVVDAARAANAHEFISSLINGYETECGERGVQLSGGQKQRIAIARAIIRNPTILLLDEATSALDLQSEQIVQEALDRIMVGRTTVVVAHRLNTIKNLDSVAFVSEGKVIEQGSYGQLKNKRGAFFNLLNLQKV >CDP10181 pep chromosome:AUK_PRJEB4211_v1:6:13501622:13505495:1 gene:GSCOC_T00030811001 transcript:CDP10181 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIFSPTSHLRVAPLYHKLGSNLHLQSLCCCFKLCHFLGGRQSSVLCKFPTISPNPKLKFQSTLGGTSPANEGTVSVINFEDLMEKDWSFLEHDDKNSKEQHNQKQDQIISAGEVGETSRVLISIGSEEFVDKVFNSSPCEQLLVVHDSLFILASIKEKYDKVKCWQGELIYLPEKWAPFDVVFLYFLPALPFQLGQILGTLAKHCLPGARVVISHVQGRQMVQEQQKQYPDVVAAELPDKTTLQDVAADNTFELVKFVDEPGFYLAVLKFQTENLVR >CDP03034 pep chromosome:AUK_PRJEB4211_v1:6:10346816:10353990:1 gene:GSCOC_T00041505001 transcript:CDP03034 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGTPITTPSSKTRRTPSCTPGGGPKAQEEKILVTVRVRPLSPKEQAAYDLIAWEFSDEHTIISKNLTHERPTGPYTFDKVFDPSCSNQKVYEEGARDVALSVLSGINATIFAYGQTSSGKTFTMRGITENAIKDIYEHISVTPEREFVLKFSALEIYNETVVDLLNRDSGSLRLLDDPEKGTIVEKQIEEAVKDAQHLRHLIAICEARRQVGETALNDKSSRSHQIIKLTIESSLQESTGSLRSFLASLYLVDLAGSERASQTNADGTRFKEGSHINRSLLTLTTVIRKLSGGKRIGHIPYRDSKLTRILQSSVGGNARTAIICTMSPALGHVEQSRNTLSFATSAKEVTNNAHVNLVVAEKQLLKHLKKEVARLEAELRSPEPCGSSSLRSLLIEKEMKIQQMEIEMNELKRQRDIAESQLELERKAHKEPKGSNQHGPSRRVVKCLSYTSENESVSGGPLPKIQPRNLTGRQSLVRQSATSSSMLVHEIRKIEMSQRQLGEEANRALDLLHKEVASHRIGSQDANEALAKLLVEIKEMQAIGLVPETIEIKDKGSLKEEIARLNTQETNIASLEEKLENVQRSLDKLVTCFPSGKGTPDLRTSAKKKKGLPFTLSNSPNMPNMIRSPCSPVEPGIENRAPEANNLSSGSDGFYLRESTPRRNHEANNISSAETTPAPKHSTSVGVKKMEKMFKKATEEHIRSIRTYVTGLKERVAKLQYQKQLLVCQVLELEANEAASDGPDNIEESSISWQSMFEERRKQIVMLWHLCHVSIIHRTQFYLLFKGDPSDQIYMEVELRRLTWLEQHLADLGNASPALLGDDPASFVSSSIKALKQEREYLAKRVSTKLTPEEREMLYLKWNIPPEGKGKTKRRLQLVNKLWMDPLNMQHIKESAEIVAKLVGFCETGEHVSKEMFELNFVSPCDKKTWIGWNLISNLLHL >CDP03187 pep chromosome:AUK_PRJEB4211_v1:6:9011452:9014139:-1 gene:GSCOC_T00041691001 transcript:CDP03187 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPIDLANDGGEAWVWIQIKAEARRDAETEPALASYLDPNLRSATVADLIAARYRDPACVSFSHCLLNYKGFLACQAHRVAHKLWTESRMPLALALQSRISDVFAVDIHPAAEIGKGILFDHATGVVVGETAVIGNNVSILHHVTLGGTGKAGGDRHPKIGDGVLIGAGATILGNVKIGKGAKIGAGSVVLIDVPPKTTVVGNPARLVGGKDQPRRHDEVPGESMDHTSFISEWSDYVI >CDP15305 pep chromosome:AUK_PRJEB4211_v1:6:3708221:3709331:-1 gene:GSCOC_T00042984001 transcript:CDP15305 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVFMHRKEDRFCCLRAAAYFSCCGKRYLLFFFIGIEALSLIVHSSLFFSFEQTLICYFSLHFIYVYTLCNLVTFIAVLF >CDP02973 pep chromosome:AUK_PRJEB4211_v1:6:11042913:11045483:-1 gene:GSCOC_T00041417001 transcript:CDP02973 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFWTTLASVMGVWAFFQSILHTVFPPELRFASLKLFHRFFNWFSAYCYYDITEIDGVNTNELYNAVQLYLSSSASITGNRLSLTRGLNSSAITFGLSNNDRLMDNYEGVTVLWEHIVTQRQAQTFSWRPLPEEKRGFTLRVKKKDKSVILGSYLDFVMEKASEIRRNNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPINKAEIMADLKDFANGEAFYQRTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDIYDLELTEVHTNSELRKLLMKTSSKSIIVIEDIDCSINLTNRKKSGGAANSGGARSNNFDVSPPGTSNGGEDGGNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHVFMSYCSFPALKILLKNYLGYNDGDLERDLEEEFKQVMDKAEMTPADISEVLIKNRRDKERAVWELLEALKMRAEKKSRGLNGTVVEDEEQEKRALDSPKEEEEEEEDDDGELQESCNKRDQNNG >CDP15414 pep chromosome:AUK_PRJEB4211_v1:6:4610246:4611274:-1 gene:GSCOC_T00043141001 transcript:CDP15414 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNSLDGVLYAVVIPMRPNQDTKCRKVRESARKILIFLLNKHALIGIFSPKFLMSPLDFCMKDLSRLCHQVDLSRLHLTYLLSCRWMFHSVVTY >CDP03089 pep chromosome:AUK_PRJEB4211_v1:6:9829346:9832457:-1 gene:GSCOC_T00041572001 transcript:CDP03089 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive protein 4 (ORG4) [Source:Projected from Arabidopsis thaliana (AT2G06010) UniProtKB/TrEMBL;Acc:Q8VY85] MEAGSNGEEPTSWEELYNINLVPSELFVKFRKEIEGYRVGVNLEFYNAPINEYQAKLVLKPLAHDRRWKFIYEPLHHDVRLLSKKIPITKFLNLQVGVGHSFQLHATGWKWKLTTCLGGDGVSRIRNKTTLGLCPGIDFRFGWRADYVFPEITGQVSYCLFAFLLKKITC >CDP06573 pep chromosome:AUK_PRJEB4211_v1:6:1799932:1804156:1 gene:GSCOC_T00023474001 transcript:CDP06573 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSRMINICRSTLLHSPSSVVGRAIFPHQLVCTCSEYTEQRTARALYTGSLGPTLGAQTMTVSSTDHMRQSSTLST >CDP10514 pep chromosome:AUK_PRJEB4211_v1:6:5963765:5964954:-1 gene:GSCOC_T00031263001 transcript:CDP10514 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEQKQAFTSSYSAIREHEQEGLHHDREEEEVASPSGCCCCCFGFGGWKRSNQESKYLLRENSGHEESWFVDKLKNMKEYSEVVAGPKWKNLVRKIGKYVKPKKSTTQSMYTPNSYALNFDDGEEEEEEDDLFVSFSSRFSAPLSSNQQRTSASS >CDP10152 pep chromosome:AUK_PRJEB4211_v1:6:13769265:13770065:-1 gene:GSCOC_T00030774001 transcript:CDP10152 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKHFSHQHNLNIYKVQQGQICRCHGCQSLCHDSIYACWACDFFLHEHCGNATRYVNHPAHPLHPLILIPSPTYCSGSFVCNACGEIGNAFSYCCALCEIDLHLNCAFMPPKVSHKAHQHELVVSVGSPDRKGAPEFCKICTKELNSKNWSYCCLKPECDFRVHTFCATSEVKPGLYQGDDPDSEIPEPNSAATEANGNQPKPAPPKPSDPAAELLELQLQMQMAQQLAQMMASFNLSQFV >CDP06448 pep chromosome:AUK_PRJEB4211_v1:6:837215:839081:1 gene:GSCOC_T00023305001 transcript:CDP06448 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEDTECSKACPSSGKDEVDENDGDKQKDGGSSSNSTVEENENKPSVRPYVRSKMPRLRWTPDLHLRFVHAVERLGGQERATPKLVLQLMNIKGLNIAHVKSHLQMYRSKKIDDPSQGVTDHSHLWEGVDRNIFNLGQIPMLPGLHQNQKSTFRYGDASWNGLENSMQMSAMRQSTNKKRPGFYDTWPGRIVGSRNNDVYSGFRNSNQLWSWQTHELESKMQSLPQQEYWQDQLKSSIQVQLKVQDFSSCRSNIPGVGRSMIQKKRAGVKRKATDCNIHLNLSLGLGPIGDGHQDSLEDDDGHLSLSLCSPSSSKITRLIDDASKENASGASTLDLTL >CDP16107 pep chromosome:AUK_PRJEB4211_v1:6:33376015:33397429:-1 gene:GSCOC_T00017150001 transcript:CDP16107 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNLLKRLGSSSQLIANAIKQRKREEEGKSDTEDDESESEVEDDNEGSIDESPRRSEMINGAEESGVPGSTEKSEESGSDDGEIGASESDEEHDSRTNVESITSRSTFSSHLEYKLSQGEVDNLFRRKWNYKWEVPALRAPNCKWRGTGDCFLKDTGMSETCDLKPRLYKHWVDVYKGSGSTDFQSSEQRFFFSICNSYRDVLHHNKKPFYLKGQEDSSILDAYVLHTLNHVLRTRDLISKNDAKVADAQGKGGILNGDGFLDHGFTRPKVLILLPLASIAFRVVKRLIQLTPSKHKVNIEHMDRFTEEFGSGGVESEEDEEDVENSKRKKSSKPSDFQSLFGGNNNDHFMIGIKYMGRKIKLYADFYSSDIIVASPLGLITKIGAAEVEKEKDIDYLSSIEVLIIDHADVILMQNWSHVNTVVEQLNRLPVKQHGTDIMRIRPWYLDGQAQFYRQTIILGSHLNPDINSLFNRHCLNYEGKVKLVCLYKGVLPKVLIPVRQIYERFDADSIAYVDDARFQYFCKKVFPKIKDSIQGGIMLFISSYFEFVRLRNFLKSQEASFCLLGEYTKQSDISRARIWFFQGQRKIMLYTERAHFYHRYKIRAIQKLIIYSLPERKEFYPEIVNMLEGSQNMSCTVLFSRFDQFRLERIVGSASAKRMITSEKGVFVFC >CDP06485 pep chromosome:AUK_PRJEB4211_v1:6:1116795:1125450:1 gene:GSCOC_T00023354001 transcript:CDP06485 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAIVVCVLVFIFNIYLLINYQHPDDVNQAYFPKFVVVLGLSIAAISILMLPADVANRQACRHAIYNGACKLTLPMKDLWLAVYIADAILVFFVIPFAMFYYEGDQDKSVGKRIKSALWWVITTAIVCALVLGILYGLVGKVDFTVRHLSSGTASFPSSWDFSSGQPCVGNGARQCSAYSASPSSETTWSMRATFPEYVVALATIVGSVLFTIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKAREMKKAADALHQEERSGSKGRKWRKNVKAVEKELLLLEDDVKALEEMYPQGEKAEAAWAMTVLGYLAKFVLGILGLIVSVAWVAHIIIYLLIRPPLSAFLNEVFIKLDDVWGLLGTAAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFIVLAGLTFVYYLAFGWRRKKPSGRFQLSS >CDP03338 pep chromosome:AUK_PRJEB4211_v1:6:7801812:7806998:1 gene:GSCOC_T00041886001 transcript:CDP03338 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGRQMQRSSSTGGHHQRQYSDNFIDTAFNNKWLRSVGLHHLHSSNSSIPPLQDFGFFGANGGGQGSKWGKNGQRGVNGGGGDEDPSSPTASSRSSSMRKNGNGVEVLPGELSPGLLDLHSFDTELIPEFPSQNDGSLLNQPLCGRSFDDSGPCISAKYSKNPRGLSDNDLLKSFSADKEKANNVAKIKVVVRKRPLNKKEIAKKEEDIITIDPNANSLTVYETKLKVDLTEYVEKHEFVFDAVMNEEVSNDEVYAETVEPIVPIIFQRTKATCFAYGQTGNGKTYTMQPLPLKASQDILKSMHNNYRNQGFQLFVSFFEIYGGKVFDLLNDRNKLCMREDGKQQVCIVGLQEYKVSTVETVRELIEKGNATRSTGTTGANEESSRSHAILQLAIKRKVDDNDSKPARLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCVSPNSGSCEHTLNTLRYADRVKSLSKGNSSKREPLSTLNLRSSTPVPLSSISQVVSGSEDNVFGGLQNQELSKLGWPKQQERESSLSSNIERVPSGQAQSNLAATVRIENHKQFGPSIDRVPSGRAQWSSLVHTNEDNFDGSKGISDHASWSINPKLDSHQLRNIDDKTGNVKYGDPSELEPSSLIAEEDLTALLKEEGDLINAHRKQVEETMEIVKEEMSLLVEVHEPGNQLDDYISRLNAILSQKAAGILQLQNRLVEFQKHLKDHEVFLFPSGH >CDP06755 pep chromosome:AUK_PRJEB4211_v1:6:3201969:3207124:-1 gene:GSCOC_T00023710001 transcript:CDP06755 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESAIPVLEDEAIQFECLLVEPQDDHVSVDAFLCFHRNSPEKCMEMEDIPCKFGGDNFGTDPERKHLLGQERGLDIKHSEIEAENFLAPNGPSSVCEDYLLDADFGENGKKLDHDSGIELQKLVSGSYDPADNAGDNETSHKSDLSGPTVLNKCMGDPDMNDSTSHDIFSCTADNEMSLEERWFKYPTFTVENMEEDVSSLFLESNNKTMGCTHNVASGEVLCPLTANQETKHVPMGENKNVSLLGKDVSPSKSPIQASIATHAEKRLRKPPQRFIDELSEPKSRPPKRREVSTPSSRGKFPRVGSHKHCDIKSRAERLSSEDSAGKAIQVPFGPIVHKESWTSCALPLVQKESRTSRAPIVAKVLQLDKKPLLQPHKQAFVPRHKEAVLQPHKEAVLQPHKESSVDESEEDDIAEVKLGEDGGRRKHHILWTVSEVKKLIDGVSKYGVGRWSRIKKDLFPSSAHRTPVDLKDKWRNLLKASCAQIEGKKGEDRKRNLAWRPLPKTILRRVSELATIHPYPRDLRSKR >CDP10177 pep chromosome:AUK_PRJEB4211_v1:6:13565080:13572227:-1 gene:GSCOC_T00030805001 transcript:CDP10177 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKPSSSQSSGFSGSGAVSHVYVRYPPLRCKVSGSRNLFYDDGSKLLLTSTSDQVFSWKTAPFDPNVAPSCDSISEGPVLSVRYSLDCQLLAVQRSNHEVQIWNREGEKTFIYKCRSESEHILGFFWTDCPTCDIVFVKTSGLELFSYSSDSKSLTLVETKKTNVSWYIYTHESRLVLLAQGMQNKSFTGYQISSAGIIRLPRFEMVMAKHGANSRPVLSAEDVHIVTVYGRIYCLQFDRVAMLLHSYRFYRDAVIQQGSLPIYSNRIAVSAIDNVLLVHQVDAKVVIMYDLFADSRAPISAPLPLLLRLYPRAASLCSSSTSRNSDALETQILTDTESITYGDGWSFLVPDLICDVTNGLLWKINIDLEASCCGCLHSISSEVPFILDFLQRRKLEANKAKQLCLAIARAMILERRPVSVVARALDVLITSYSQSIKTRSNDKRTKAENTSNSGVSSANIVDDANNRIDASGKSVIDETVSSGLENESIERSFVLTSDSDDNLSAETQKINSLKLDSSSGKIDRGHSLRAESSSAAVHQPLSQSQVLRPGDTPLNAGAFDNLDSQVTSAVISPDDLYSSVFALVEEEMIGDASYLVAIIIEFLRRANLEKLKLHPNIYVLTVQLLARSERHAELGLFVINKILEPSLEVALQLLEPGRQNFQTRKLGLDMLRQLSLHHEYVLLLVQDGYYLEALRYTRKHKVTSVRPSLFLEAAYSSNDPRQLAAVLRFFCDFIPGFKNTSDHHTFNRVLAEMSTSIVV >CDP10207 pep chromosome:AUK_PRJEB4211_v1:6:13134259:13136448:1 gene:GSCOC_T00030858001 transcript:CDP10207 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRPPLPRLLLNNVSCMRNAQQILRHVNVSVHDGGALVLTGTNGSGKTTFLRMLAGFSKPSAGEILWNGHDVTESGVFHQYKLQLNWLSLKDAIKDKFTVLDNVQWFEVLEGKQGRSLPALELMGLGRLAKEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDDGVKLLEYIIAEHRKKGGIVIVATHLPIKIDDAMILRLPPRFPRRMTLVDMLDRGGLD >CDP03195 pep chromosome:AUK_PRJEB4211_v1:6:8974303:8979445:-1 gene:GSCOC_T00041699001 transcript:CDP03195 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNKTVALLLSLLVVISSWISISECSKKPSGVARKEDIPYVKCQVCEKLAYQLYQQVQAKQAEISPKKISEYQIIEISENVCNLKKHEADWILKIDIVEEGDRLELVEQDSEGQCNSECKTIERACQEVMGYSDTDVAEYLYKNKPQVDSLVKFLCKDLTGACSKKPPKVPEDRIPGEPFVPKSSKEAEMEKMLKSMEGMPGAPGMKMYSKEDLMNMKNFGDDDVDEEDDEDEAQFPSNLGKVLKEKENKKDDWKRRVTKGILNAGETVKNHANKVSHRIRKWWKAKKAVLNKKNSNAKKAEL >CDP10603 pep chromosome:AUK_PRJEB4211_v1:6:5197374:5207192:-1 gene:GSCOC_T00031371001 transcript:CDP10603 gene_biotype:protein_coding transcript_biotype:protein_coding MMTHEVHSHGILHALEPDLVEDCSGRSGIIEELKDILPILVSCFVDFMSSNNTMQLLDVQSFDCVLFILQSIDIVVKFFAFEMNKGEKSWQYVPSLYERGPDADILDHFMSPAIFKKLWELFPLNLIHRNSDKDDDRYLALNAIISDIFLQLREWSYPPPSLLEKFLGFIESSLIEKLSNCPQSRVFREKHLLPLVPFIPKIFMQVSGAWRSRILQAFTEVFKNCGPESSMKLACLSALGDVVDPEKGWLYLVAGDPELLDYQLIWIRELPQLLLVLGDKNPLCSKAVLRLLLLIGKAAKLSFSFSQEYDQMQCKLAVFYSTHLDDGSIFYGPFTSLPQDIQELSLCCLYYFSSLKPMLLQSLVLCCLSQNMEPFLLFRIIEVLHSVCKAGNISSADYISFLITLLSRFRVSPENCSSLGYEGISNQATFRTITRVICSCLSQIGDDHLVLQTLENKILDEMSLKLPLDNICAFLRVLVTLDTKPTRLSERSVIKLSNVLCKYLINVASSKQHDGESKVYLSTNKSHYYLLPCFFLFDRSTKLLDLFLTSMRSLITDNTSLLLSPHHSTSKISQSSRSHVIVTVLLLMHGDVKMHQILLPHKSQIESMLEMVLKVQSEETNTTIEERHKMQSTCDRLKAAIGISLSG >CDP15356 pep chromosome:AUK_PRJEB4211_v1:6:4091407:4092087:1 gene:GSCOC_T00043054001 transcript:CDP15356 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYSEEVFTTSLFEEKVAVVCGPAGNKFMLYTANDCLASWVPSAALKLFNWLDSPGLSVKEVISKGRNFLYSEILKPESLRQYISIMDSLAREHMKTYWDASQMVKVYPLTQKYTLSLACKLLLGAKDAHEVERISEFFHLTLQALFSLPINLPGTKYNRALKGLENLKQHFLNIIAEKKNTLLETRESTNSNVLSRMLLDKNVGSISGWVKLDGGKKEELPLFA >CDP14340 pep chromosome:AUK_PRJEB4211_v1:6:35728409:35732995:-1 gene:GSCOC_T00040672001 transcript:CDP14340 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGLGSDSVPPSGSIDKSRVLDVRPLRCLVPMFPSSPGMGSVSNPQATPFVCVPPTGPFPPGVAPLYPFSVSNGSHNRQNTTPSGAPNQPGLYGFGNTTIPSPVPLNAFRTPQGPSANGDAGPSRKGNKNRAMQGIVIEDDGYSDSQNQSELYASGFSMHVNDVEDGSSGRRQKNGGSQKRTRRAQQQLDSFSEVDVDSEANRFLTTFGLVELDPDRKSNGDREIVQRILLVFDLLRRKLTQMEEAKDATPGSTRRPDLRAATTLMTNKGIRTNIMKRIGHVPGVEVGDIFFFRMELCLVGLHAPSMAGIDYMSVKLTLDEEPLAVSIVSSGGYDDEGDDGDVLIYSGQGGVQRRDGQMFDQKLERGNLAMEKSLHRANEVRVVRGLKDVAGSTGKIYVYDGVYKIQESWTEKNKSGCSVFKYKLSRVAGQPAAFTLWKSIQQWKGGVTSRSGVILPDLTSGAESQPVSLVNDVDDEKGPAYFTYIPSLKYLKPFSSPKSFTGCHCLGGCQPGDSNCPCIQRNGGHVPYSSIGVIMSYKLLIHECGLTCSCPPNCRNRTSQAGLKVRLEVFKTKDRGWGLRSWDPIRAGGFICEYAGEVVDASRVGELASEHEDGYVFDATRVYEPVENVHDASSESAKAPFPLVISAKNSGNVARFMNHSCSPNVYWLPVLQESNNDSYLHIAFFAIRHIPPMQELTFDYGMIQSDQASYRRKKCLCGSAKCRGYFY >CDP06528 pep chromosome:AUK_PRJEB4211_v1:6:1471021:1475711:1 gene:GSCOC_T00023412001 transcript:CDP06528 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLLSSDKNGARDGGRESDKLRSYEYVGRAGSIIPTAFLAGSQVSVDEIRSAASIPHSDPYPPSLHGALVSPPPPQSPSQPYAQDIIYQGGCYGDTREIDHEARRQEQILDEVEIRELLVDHVGHRCCWGSRPARTWKIHAVEDCNVYVGTLDTFIEEREIIIEREPYVSGNIDGTANGPELGVWELDLRSEFPVLFIPYQETRTRIPHSEAIDKCPDCTGRGHTVCTACNKDLEPGFYKENQMMHCPACFGRGLIAHRDGSDTKCLKCNGKGMLPCATCDSRGLIKCVTCMGGGSLLTRKVAVIRWKTLSTRKVSATSGAASVPDDVFHRAQGIQLCNTQAHQCTPAFFADSFFLNKFSSEVIAERAPVPHAARVICERHIISVVPVTRVTMAHHNRSFSFYIIGNGREVYLKDYPARLCWGLCPCFEWLKS >CDP12662 pep chromosome:AUK_PRJEB4211_v1:6:16368137:16372224:1 gene:GSCOC_T00036379001 transcript:CDP12662 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLTNLEGNHYSCKHCHAHLALTDDLISRSFHSKHGKAYLFDKAVNVTIGEKEERMMMTGMHTVVDIFCVGCGSLVGWKYESAFEKSQKYKEGKYILERFKVLGPDGSPYVFSQDGQFLESDTDDG >CDP09516 pep chromosome:AUK_PRJEB4211_v1:6:19524723:19531068:-1 gene:GSCOC_T00028911001 transcript:CDP09516 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGKMEMDVDGESYPRCLSSYIDEGSIESHRYYLSRRTVLEMLRDRGFLVPNSEIGLSLQDFRNNYGQQPDIDRLRISALHKDDPSNKILVLFCGPNVVKVNVIRGIANQIMNKDTLSRLILIVQNHITSQAMKAVDLLPFKVEIFQITDLLVNVTKHVLKPKHQLLTEEEKQRLLEKYNIEDKQLPRMLQKDAIARYYGLEKGQVLKVTYNNEITETHVTYRCVW >CDP14326 pep chromosome:AUK_PRJEB4211_v1:6:35854528:35857470:-1 gene:GSCOC_T00040652001 transcript:CDP14326 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGFHSSNQMAGGGEVEERSSNPRGAGAGAGAGGGGGESRVPEIRKDSVQNRWVIFSPARSRRPSDFKSKFTTSSGNPPDPHSQNCPFCLGNEHHCAPEIFRVHPSHSAHWKLRVIQNLYPALSRDNLPVQPEQIGNNKVAGFGFHDVVIEAPFHSLQLLDLSPAEIGDVLLAYKKRILQLRSYHSIQYVQVFKNHGASAGASLSHSHSQIIALPVVPTAASARIDCMKQHFEQTGKCNLCEVQVDDLLIDESAHFISIVPFAATFPFEIWIIPRDHSSHFHEVDNEKAVDLGGLLKLLLRKISSQLNDPPYNMMIHTTPFHINPSCLTYTHWFLQIVPQLTIVGGFEVGTGCHINPIFPEDAAKVLREVQL >CDP14168 pep chromosome:AUK_PRJEB4211_v1:6:18384466:18384624:-1 gene:GSCOC_T00040417001 transcript:CDP14168 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGRLSLMLMAKISSALYDYKINKKSFYVSILISPTTGGVTASFAMSEQCF >CDP10105 pep chromosome:AUK_PRJEB4211_v1:6:14382199:14383338:-1 gene:GSCOC_T00030716001 transcript:CDP10105 gene_biotype:protein_coding transcript_biotype:protein_coding MQASIGFVVFLSLYLFLSLPYPSLGANAKCNSNDRKALLQIKAAFIDRYHFVSWAPDVDCCEWYGLECDPNTGRVITLSVLAGNLSGQIPPAIGDLTDLQILIFHKLSNVTGQIPSAISKLVHLKQLILSNTNLSGPVPSFLGQLKNLTFLDLSFNNLAGSIPPSLFELPNLGGLRLDRNKLTGSIPDSFGNFVGTAPDLYLSHNMLSGPLPKSIGNVNFTLEIDFSRNNLQGDPSLLFGKNKTVHFVDLSRNLFEFDLSKVEFPDSLTNLDLNHNKIFGSLPNGLTELTLQFFNVSYNSLCGQIPQGGKLQSFDNYTYFHNRCLCGAPLPDCK >CDP10557 pep chromosome:AUK_PRJEB4211_v1:6:5600305:5603740:-1 gene:GSCOC_T00031317001 transcript:CDP10557 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKNLIAQTHKRKRNIKQKTAWKKQCSVSRNHIFASRKPEADILHELEKEKVGDDSAEGFSLKASAPSNSHGVQPLGNLYFTPSSHNSRNSGLGTHLGVLSTVSKSLYVFCNHEPLWRNIVLETCKGGFLFYETWKSTYVSAIRPSFEILNSGLNVRDFYSDYLFQSWLCANLEMKPEWLERDNIVRAKGISVEEFVSRFEEPNKPVLLEGCLENWAALDKWDRNYLIELCGNVQFSVGPVEMKLEEYFRYSDLAKEERPLYLFDPRFARKVPNLGLDYEVPVYFSEDLFSVLGDERPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVIKGSKKWILFPPDVVPPGVHPSPDGVEVACPVSIIEWFMNFYNETRSWKKKPIECVCKAGEVIFVPNGWWHLVINLEDSIAITQNFVSRRNLLNVLDFLKKPNACMIVSGTRDRVNLYEKFKKAIEASFPGIVDDLLSKAEDKKIQKKKLSFWESVTDSNAGAFKFSF >CDP15402 pep chromosome:AUK_PRJEB4211_v1:6:4528230:4535513:-1 gene:GSCOC_T00043124001 transcript:CDP15402 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 2 [Source:Projected from Arabidopsis thaliana (AT2G36305) UniProtKB/Swiss-Prot;Acc:Q8GW19] MGTAISVERQEVEQEQVVVGGGGLSATAAVMGCAAMAVFYVAILYAPTLILRLPPPDSYKSFMIRRFICAAISSLVSIFASALILPLRWHAPDVSSAFGIRFDHLWQALILPLSLTSLMYAGSFVQKCLHLLDSWEQHRNYGRNVTIEWISKVQDKCIDLMLTMPSNISVWRNYIVAPITEELVFRACMVPLLLCGGFSTYTVVFLGPIFFSLAHLNHILEYYFQKNRSLVKASMAAGFQLGYTVIFGSYASFLFVRTGHLIAPLVAHIFCNYMGLPTTFSRRAGVVSLAFIAGSLVFFWLLFPLTSPHLYNDRMHSCKCWHRYCTWS >CDP03265 pep chromosome:AUK_PRJEB4211_v1:6:8402103:8403301:-1 gene:GSCOC_T00041787001 transcript:CDP03265 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVIQNASFAMAKRKPFPSPTTEYKITENVFASRHFHFVHVNHVTQNASSAVLKQIPSLFPSNGNGGRYPREVIRACVGGLFSPFRNGTGAGYTPSPRHPFEKIYMYMYIIIYILIMILSIILLIIHVY >CDP03385 pep chromosome:AUK_PRJEB4211_v1:6:7356748:7361632:1 gene:GSCOC_T00041948001 transcript:CDP03385 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRIVAKDCKSLQSSEVYQRRSFGLSARTPKTNLSIRSGIAMPEAGLPIQNKPQSSIFAVTPSSLFEDSFESRNGRCYCNTAANVSGDLPSSSPGEMSQYERIIEILTTLFPVWVILGTIIGIYKPSMVTWLETDLFTIGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFFIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTIIGVLSNEFFPKFTSKIVTVTPLIGVILTTLLCASPIGQVSEVLKTQGAQLLFPVALLHAAAFFLGYWISKISFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSGLAVLWRNRPISVDDKDDFKE >CDP18640 pep chromosome:AUK_PRJEB4211_v1:6:15143169:15146796:-1 gene:GSCOC_T00004129001 transcript:CDP18640 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYGCVYKDEVEKADRYKIFKDNVEFIESFNKAGTKSYKFGINQFADLTNAEFKATRNKMLLGIFSSCSFRRDSRLKTGKLISLSEQELVDCDAAGEDQGCNGGLMDDAFTFIIKNNGLTTEANYPYQAVDGTCNTNKEASSAAKITGYEDVPANNEAALLKAVANQPVSVAIDASGSAFQFYSSGVFTGDCGTELDHGVTAVGYGTSSDGTKILYVYIRDYWLLENSWGTHWGEHGYITMYASYPTALVEDS >CDP14292 pep chromosome:AUK_PRJEB4211_v1:6:36210325:36239430:-1 gene:GSCOC_T00040598001 transcript:CDP14292 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA biogenesis protein RRP5 [Source:Projected from Arabidopsis thaliana (AT3G11964) UniProtKB/Swiss-Prot;Acc:F4J8K6] MAKKSPNKKSSKKPSLINLQKPSKKSFKSKPPRDGGKGTKTELGDPVLSHQQKQASLALQLEDDVPDFPRGGGSSLSKQELEDVRAEVDAEFEAEERVSKKKNKRAKRLEWRSQSAEDDMGSLFGDAFTGKLPRFANKITLKNISPGMKLWGVISEVNQKDVVVSLPGGLRGLVRASEAFDPLPNDGVKGDKESNFLSSIYHVGQLVSCIVQQVDDEKKETGKRRIWLSLRLSLLHKGLTMDIIQEGMVLSAYIKSIEDHGYTLNFGFGSFTGFMPESRQSERKDTEVKVGQLIQGVVKSIDKTRKLLYMSSDSDMVSKCVTKDLKGISIDLLVPGMMVDTRVRSSLENGIMLSFLTYFTGTVDIFNLSETFPSANWKDYYTQGKKVNARILFIDPTTRAVGLTLNPHLVHNRAPPTLVQTGDIFDQSKIIRIDRGLGLLLEIPSSPVATPAYVSVSDVADKEIKKLEKNFKEGSLVRVRVLGLRHLEGLATGILKASAFEGTVFTHSDVKPGMVVKAKVIAVDSFGAIVQLGSGVKALCPLRHMSEFEITKPRKKFQVGAELVFRVLGCKSKRITVTHKKTLVKSKLEILSSYADAIEGLITHGWITNIENHGCFVRFYNGVQGFAPRSELGLDLGCVINSMYHVEQVVKCRVTSSIPASRRINLSFTAKKTRIAEDDMMNLGSLVSGVVEQVTPHAVVVCVNSKSHIKGTISPEHLSDHLGLGALLKSVLKPGHQFDKLLVLDIEGSNLILTAKYSLVNSARDLPLDIKQVCPHSIVHGYVCNLIEAGCFVRFVGRLTGFAPKHKAVDDRRSDVSEVFSIGQSVRCNVVDVNSETNRITLALKQSLCSSTDASFIREYFLLEDKISKLQLLGSESSELNWVDEFQVGSIIEGKVNEKKEFGVVISFEKYKDIFGFISQYQLDGIAVDFGTTVQAAVLDISKSERLLDLSLKPQFVERSKREGSSVHSVKKKRGRETHKGLDLKQTVHAQVEIVKEDYLASFRTSFPSYKEFLFGKIVVATVADLPSPSTGGRLLLLLKSMNEVMDSSTSKRAKRKANIDVGSVVQAEITEIKPLELRVKFGSGFHGRVHITEATDDNLAEDPFNSLRVGQTVNAMIVSKCNRNRSYQWELSLKHSLLAGAGEVEDGLLVEDFDYPIGGRVSGFVYKVDKEWAWVTVSRDVRAQLYILDSASEPTELEKFEKHFYIGMALSGYVIKADKEKKLLRIVLHPILTHVDSACSLSDDCSTSPLNGNKACHISVGGFVGGRISKILPGVGGVLVQIDQHLYGKVHFTELTKAWVSDPLAGYHEGQFVKCKVLEISHSFKGTVHVDLSLRLTSDDMDHGKFADLYPSMNSISPRVENIEDLKPDIVVKGYVKNVSSRGCFIMISRTVDAKILLSNLSDGFVENPETEFPVGKLVIGRVISVEPLSKRVEVTLKTSNSVSVSKLDVNSLNKLTVGDFISGRVKRIESYGLFVTIDDTNLVGLCHVSELSDEHIDNIETKHKAGEVVRAKVLKVDKERHRIALGMKNSYFTGDTNDQKLSEHGTNSAIEENHVFEGTGAISFPGIDDFDIKSDNEKLSVLGKLESRASIQPLDVPLDEIENSDVDNVVNQDHENPNAADIMDEKSKKREKKKAKVEREQEIQAAEERLLEKGIPRNADEFEKLIRTSPNSSFVWIKYMAFMLSLADVEKARSIAERALRTINIREESEKLNIWVAYFNLENEYGNPPEEAVKKLFYRALQYCDPKKLYLALLGMYERTEQHKLADDLLGKMIKKFKSSCKVWLRRVQRLLQQNHDGIQSNINRALLCLPRHKHIKFISQTAILEFKCGVPDRGRSLFEGMLREYPKRTDLWSIYLDQEIRLGDVDVIRSLFERAISLSLPPKKMKFLFTKYLEYEKSLGDEERASTVREKAREYVESNVK >CDP14154 pep chromosome:AUK_PRJEB4211_v1:6:18230512:18232254:-1 gene:GSCOC_T00040397001 transcript:CDP14154 gene_biotype:protein_coding transcript_biotype:protein_coding MREPKKKKSFGYPLSQPRTLRKPDTFVSWQAGSCLHLLQWQKTICPKSENPFTSELLRLRLDWKDLKLLLLVTEMLGMRNY >CDP03381 pep chromosome:AUK_PRJEB4211_v1:6:7395051:7398550:1 gene:GSCOC_T00041943001 transcript:CDP03381 gene_biotype:protein_coding transcript_biotype:protein_coding METSPSSSAAAILSHLQTLTSRGWCFKRVDQVQALVAAELSSRLTVDSVESALLNMDFRSIGGKSLPELSHLRHASHLQGPKVLQISSVRDIGKSNVAESLGNSSNRRLLRFNLTDGQTEIVAVEYSHLSSIPDNVVPGTKVLLENNAKVRNGIVCLDAKVTRVLGGRVQSLYEEWEMNQKYSAFSRSALRPLNESAASRPPRFEKFEVGASLQQQSRTSQYSSSSLGTASEIGESSTSRQMSKSPSRSVIMDSQQTEVQPPARVENAEDNSTNLHARQKEVAESIPVQNQAAAQKLLQRMSQPNHDNKHFRGRRHGRKEKEEDTATLTLDEWERRKTGISSSTAHSLDEDLARQLQEKFDLEDVHVQKNPNATEAENIRMSMFNFERDDPRAHGRMGFRGRGRGSGRGRGRRGGRGRW >CDP02960 pep chromosome:AUK_PRJEB4211_v1:6:11133211:11134612:1 gene:GSCOC_T00041399001 transcript:CDP02960 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYILHTNVYSQGKGNREQQFYLWFDPTADFHTYSILWNPRRIIFSVDGTPIREYKNPESLGVPYPKNQPMRIYSSLWNADDWATRGGLVKADWSKAPFTASYRNFNEHACIWSSGKSSCNSNTPSNNAWLNQELDATSQERLKWVQKNYMIYNYCTDSKRFPQSFPPECAINRSS >CDP03406 pep chromosome:AUK_PRJEB4211_v1:6:7181385:7184256:1 gene:GSCOC_T00041981001 transcript:CDP03406 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSNTANQEVVRRQNKGPPFKFLVPLIYAPVLPLIRLTLRHRPVLRDRLFTAVLAGAFAHGFYLVTDIYDAESK >CDP19790 pep chromosome:AUK_PRJEB4211_v1:6:34354727:34354999:-1 gene:GSCOC_T00005213001 transcript:CDP19790 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIALISALLVVVLSVLQKNRMRSSTTKIPPPPGPRGFQSFEACFSLIPQPLMYTFGNFPKYMVLSCLLSLDPFHCLWFHPQEWLKKL >CDP06704 pep chromosome:AUK_PRJEB4211_v1:6:2747643:2752643:-1 gene:GSCOC_T00023640001 transcript:CDP06704 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSDRIDLQVKGLSLIDFSNENDALILNSSPSPLSASRFSLHNHQSSEKQEEPDTFESLGSFIAGKEAGVTPGSELDDQLPDLNESSEPSRASRKGKCNLRKSLAWDNAFFTSAGVLDPEELSCMIKGAEKIEMQKLPGIQEDMQRSTDSISTLGSEDLTLENFEAELFEDIRASIQKSSKAYNTTNANCKITSGESQAVTSMKKMNLASQNQKPALKKPVGISKSQTKQTLRLQSTAKAVKQDSVCSQPAQAVRNGSSNPTLSKPPSVINKTVRNGSSNPTLSKPPSVINQVKPISTSTEKGASLAASQANKLGNTKTTIGTVAGKGVQALKAPIISGARRVLPKSSSSSSSASNSTKIKSATTSSVDSSGNVSSNNLGKSHLEAVRRKIGERTLKPLPSGSVLKTPLKTAKRTCGNSAVSAYLMSSKISPSISPASSISEWSSASSSSSSTINQRSNTSRTSFDANSCRSLDNDTIPLNNDSNNQIIEQHGNEEIVIQNGGPRKSSSQAGTLSHSARPSGLRMPSPKIGFFDGVKSVRTPSGTVQSHASLGALPKVEAAVPSPSRSSNMKPKFGKLSHVRTVSALVRVNPQESSSPMSMREKSLGVSHPTSNNVEGSSLSSIKVGDEISGGSCLKVEEVGCEELQEANHVVANAFGAVIMHENCGVPSLQESEISLDMDGVAGSKAHKIFGMSGTRDPNTDWEGILMPSKKAGEDTRDSEGELLSILPAVSGSDEKENVPFAEHVGK >CDP03394 pep chromosome:AUK_PRJEB4211_v1:6:7254585:7256704:-1 gene:GSCOC_T00041965001 transcript:CDP03394 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIQIQGERDGRPSPMKPISRQFVSHLSTAPSSRTTILTKKSCVYLLKTCKSMTHLMQIQAQVLILGLHQNLDIIHKLVAFTADPFLGNLGYAQRIFDHVKTPSLFIYNVMIKAHTKAGNFRKALCLFDQLRKHGLWPDNYTYPFVFKAIGELKSVREGEKIHGFVLKSGDGFDCYVCNSIMDCYGVEGSVDSLRKVFDEMRQRDLVSWNVLISGFVRCHKFEDAIGVYTRMRHEANLKPDEATVVSTLSACTALRNLELGREIHDYVSNELELTVIIGNALLDMYSKCGCLNIARQIFDTIPNRNVICWTSMVSGYISNGKLDDARELFDRSPVRDLILWTAMINGYVQFNRVDDALALFREMQMERIIPDKFTLVTLLTGCAQLGAMEQGEWLHACIVENRISIDAVVGTALIEMYAKCGCIEKSLAIFNTLKEKDTASWTAVICALAMNGESFKALELFSGMKQAGIKPDDITFIGVLSACSHGGLVEEGRQHFDSMKNVYHIEPKLEHYGCLIDLFGRAGLLGEAQDMIANIPNKDNKIVVPLYGALLSACRTYGDVDMGERIANILIQIQCSDSSTHSLLANIYASVNRWEDVKKVRREMSTLGFKKSPGCSSIEADSNIHELIAGHLQHWNEID >CDP17384 pep chromosome:AUK_PRJEB4211_v1:6:30378098:30388092:-1 gene:GSCOC_T00008008001 transcript:CDP17384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G27740) UniProtKB/Swiss-Prot;Acc:Q8VXX4] MLWVDKYRPKTLDKVLVHKEVAENLKKLVTEQDCPHLLFYGPSGSGKKTLIMALLRQMFGPSSDKVKVENKTWKVEAGTRTLDIELTTLLSTHHVEMNPADAGFQDRYVVQEIIKEMAKNRPLDAKGKKGFKVLVLNEVDRLSREAQHSLRRTMEKYSSSCRLILCCNSSSKVSEAVRSRCLNVRISAPTEDEMVRVLEFIGKKEGLQLPPGFAARIAEKSNRSLRRAILLFETCRVQQYPFTNNQAIPPMDWEEYVSEIASDIMKEQSPKRLFQVRGKLYELLTNCIPPEIILKRLLYELLKKLDSELKHEVSYWAAYYEHRMRLGQKAIFHLEAFVAKFMSIYKGFLISTFG >CDP10615 pep chromosome:AUK_PRJEB4211_v1:6:5113271:5122582:1 gene:GSCOC_T00031385001 transcript:CDP10615 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSLWPTIDRFSLHHFRYIVNQLREIRVVDKRNREVVLDLLQSIVEIVTYGDRHDPAIFECFMEFQVLAEFVRILKIGGNSGIEAPLLQYLSIMIQNVENEHAIYYCFSNGYINSVISHHYEFDGGDLIPYYVSFLRTVSGKIGRDTICLLVKVHQDTAISLPLYDEALKFANHGEKMIQIAVRALTLNIYNVADEMVYQFLTTPTASAYFSSLILNLKNKCLHVDAIINGVKESFHEKKRDLLSETDRILDDFYYLKDILCIPEQRLNKLVTENIVNMLILPMLLTLLNNRLSNDTGLSAITCLYVLCRLLQVFDGTNLVNVIGSAVLFSFMPPNVTDAAESVVSARLEQVNGLAVCYQEGEEMVDLQHEGAENFMMNYVLKHSLEFTELSSCFDSSPLENSENEWGGIFSCIFSRNHSLMLGSLMLLFTVADSKDLHYQLAAKIGFSQVKTASEMIGSTFAGHIQKIVNQLLKVLASEPPLSVPILLHAAWFLRKLLVFLDQKLEDNDCHLFKTSYEVSCGHLYEEFDRCWFDHIPDVLKSEWANCKTALEESSQSKDPFFLLELASIQNPPSGNTGPAFDWQRMVDCVKVFVLHLQLKPFIFGGDPFDNPLANLKNSYLAQSGKRYPSDLSLASFGSEVALGSGIPCKIAFSGAGTRDIYVIPIAREISGKLLLVERHPLHSRKGVVIAIAPLAGLDPKIDEEHPTWLLVHLRDFEPRLRSDETKTLDSHTSLPEQGRWILGFLSAKDCKAAFSVILEETRKQRSFVENLVAPVLEEKLFK >CDP14224 pep chromosome:AUK_PRJEB4211_v1:6:19044158:19045631:1 gene:GSCOC_T00040495001 transcript:CDP14224 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKALASCVLLLHLLIVLGACDIIPKAKYSGTNAIRLQGMDANNPHRNDKTHHVAHVHEKKSMHDPSLSSSHMMHQMDPRATVFFVLDDLKLGKTLSILFPDGDPSPLSSPYLWPREQADAIPFSLAKLPQILQHFSFPQGSHQAQVMEHTLRACETKPMKGESRACATSYESLVDFARKILGLNTDIEVLSTHRLAKSNAARLQNYTITEAPKRISTLKMVGCHTMPYPYIVFGCHYQRGDNHLYRTVLSGENGDRVEATARCHMDTSQWSHDHVSFRVLGIEPGTAPVCHFFPAEDFVLVPSTSSI >CDP14315 pep chromosome:AUK_PRJEB4211_v1:6:35938234:35938563:1 gene:GSCOC_T00040637001 transcript:CDP14315 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSPVVVGVANLSANACQYVACNPERLPGDQVLYLVFCVPFQQIRRFALYLWSVFCFPLPPHHGRDYHYYNPPPSRYYANSSSSSSSSSLSTSSSGSDLQALHPHLH >CDP15359 pep chromosome:AUK_PRJEB4211_v1:6:4098771:4102883:1 gene:GSCOC_T00043058001 transcript:CDP15359 gene_biotype:protein_coding transcript_biotype:protein_coding MDINPLVNSLIPSSISVGLLLSFFTYLAVAGSILPGKIVPGVTLTDGTRLHYRCNGLLLLLVLIALLGIGTQLDIVSPTIIADRGLELLSTTFVFSVLVTLLLYVVGCKSSDQNSSLKPHVTGNLIHDWWFGIQLNPQFFGIDLKFFFVRAGMMGWLLINLSILLKALKDSNLTQSMILYQIFCTLYIIDYFFYEEFMTSTWDIIAERLGFMLVFGDLVWIPYTFSIQACNLACCL >CDP16338 pep chromosome:AUK_PRJEB4211_v1:6:29735398:29735854:1 gene:GSCOC_T00018156001 transcript:CDP16338 gene_biotype:protein_coding transcript_biotype:protein_coding MKVITKVSRVTVKKSKNVINVCHLKAILHETGVKTKDIGLVMTQGKVCRAKAVKAFKSTNGDIVFAIMEQTN >CDP19196 pep chromosome:AUK_PRJEB4211_v1:6:15450389:15459459:1 gene:GSCOC_T00012644001 transcript:CDP19196 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLEESSHLSLEICQIYMGGLYVLQDDNLQWLAGLFNLEHLDMTSVNLRGANNWAQVINTIPSLVEEHLSYCQLDRISPLMETNLSSLTVLDLSHNGISFLPRWYLVLVRYPWNLTSLQFSHLPQNILNGSLPLTILDLSTLVLLDLSKNEILSGQLTDNLGYFQNVEQRNLGSNSISGPIPSNIGVLSFLETMLLGDNQINGTLPPSLGKLFRVKELDISRNMMEGIVTQSQFENLTNIRYLKAPGNSLTLEVDPSWVSLAQFLYLDLSYWKLGPQFPNWLRLQKEINFLDLSSTGISGAIPPWLWNMSTAFDYLDLSHNQLSGGISNICCINKVHLSSNQFSRLNPSLLNLKQLRFLDLSLNDFEGVPIPSFIGSLKSLEYLNLSYTGFSGIIPPQLGNLWIFSLGTLVSLDLGQNNCQVPWPEGPWNLTSLQVLNLNQNQLGGSLPRELLYLNKLVSLDLSKNEINDLMPTASSNLTNLLHLDISDNQLNSSIPNWLYKSKHIKLLNLARNWLQGVILEDIANLSSIVELDLTANQLTGTIPKQIGNPCDHENNQLSGQLTDNLGQFQNLEQLNLGSNSISGPIPSNIGLLSSLETLLLGDNKLNGTLPASLGKFSKLKELDISRNMMEGIVTQSQLENLTNIRSMKAPGNSLTLEVDSRWVSPAQFQHLDLSSWKLGPQFPNWLRLQKKIYHLDLSFTGISGAIPSWLWNLSAEFDYLDLSHNQLSGSISDICCINEVHLSSNQFSGQLPHTTAHRVFTLDLSNNSFSGGLSHFLCRGAGVNKERGIQVLDLGENLLSGAIPDCWMNLPMLVFISLSNNNLSGSIPMSIGYLTSLLSLHLRNNSLSGDIPSSLENCTELITIDVAGNKLGGKLPTWLGPSLSKLRILILRSNKFHGELAPGLCHLSSLRVLDVSNNDFVGVIPSCFNNFTSMATEGNVSLRYNEITYYYDGYPERAQLATKRNEYDYHGTVLYLICSIDLASNNFSGKIPDELINLLGLISLNLSGNQLNGMIPRNIGQMGQLESLDLSRNHLSGSIPSSISKLSSLGFLNLSNNNLSGEIPSSTQMQTFGASSFAGNQLCGRPLTVNCSSSSTDGVTAITEESAEAVADYWLYVFIGLGFVVGFWGVCFTLILKRSWRYAYFQFVDEAWDWLQLQACHLSAKFMLKG >CDP19050 pep chromosome:AUK_PRJEB4211_v1:6:34589755:34590390:1 gene:GSCOC_T00012883001 transcript:CDP19050 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPIFPPTFPTLIKSPLQLRVLQFWTHHSIVPIEGTFGHLAPECFMHSIVDEKTDVFAFGVFLLELLSSKKLAKPILSRGEIEKVVDPRLGGFYDVKQLNRLAFAASLCIRGSSI >CDP18639 pep chromosome:AUK_PRJEB4211_v1:6:15140932:15142267:1 gene:GSCOC_T00004128001 transcript:CDP18639 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTFNSMLVTAAFLLLAMLASQATARSLYEASLTEKHEQWMVEHGRVYKDEAEKAKRFKIFKETVEYIEAFNKAGNKSYVLGINRFADLTNEEFLSASTGYNYKPRKDVSQGTSFRYADVSDAPPSTDWRQKGAVTGVKDQAACGCCWAFSAVAAVEGIHKLKAGELTSLSEQQLVDCDTSSNHGCSGGRMDEAFNFIASNGLATESEYPYQGADGTCNNDQGAVQITGYEDVPQNNEDALLQAVSKQPVSVGIEGSGMDFKNYQSGVFSGDCGNNLDHAVTLVGYGTSEDGTKYWLVKNSWGTSWGEDGYMRLQRDTGAPEGLCGIASQASYPTA >CDP03120 pep chromosome:AUK_PRJEB4211_v1:6:9556726:9558272:-1 gene:GSCOC_T00041611001 transcript:CDP03120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine synthase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G29840) UniProtKB/Swiss-Prot;Acc:Q9S7B5] MASSPLFNSFLSKPTTAAPSPPSTSLSFSAKYVPFTAITSSSDSSPTAESYSLDEIVYRSRTGGLLDVQHDMAALKQFDGQYWRSLFDSRVGKTTWPYGSGVWSKKEWVLPEISDDDIVSAFEGNTNLFWAERFGKVHLDMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMKRPLVGVGCASTGDTSAALSAYCAAANIPSIVFLPANKISMAQLVQPIANGAFVLSIDTDFDGCMKLIRQVTSELPIYLANSLNSLRLEGQKTAAIEILQQFDWKVPDWVIVPGGNLGNIYAFYKGFNMCQELGLVDRIPRLVCAQAANANPLYLYYKSGWQEFQPVRASTTFASAIQIGDPVSIDRAVFALKNSNGIVEEATEEELMDAMALADSTGMFICPHTGVALTALTKLRKSGIIGPTDRTVVVSTAHGLKFTQSKIDYHSRQIKDMSCRLANPPVQVKSDFGAVMDVLKNYLDGRAS >CDP06254 pep chromosome:AUK_PRJEB4211_v1:6:24199988:24205018:-1 gene:GSCOC_T00022978001 transcript:CDP06254 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPKRNLEFDSASAALSWAQQLNRFSQSSIFYCYKGIITQRLGGILKLMLFSLENNQIEGSIPIRAIGKLISLKELYLGVNNLTGVITYEVGNLQTLEALNLRFNSLKGSIPTRIFNISTLRVLSLVANSLSVNLPSNMGLGLPNLEELFLSSNNLGGLFFTTLTECRYLRKISVANNPLTGILPVSIGNLSSSIEDIYAGGYKMKGRIPKTVGNLSNLRVLGLQENPLPDSLCSLQYLNLLRLIGNQFLHNSKAREVNEIFKPGGVSVQVSETSGEVSEIIPLGNLTSLRYLYLASSRITSHVPDSFWSLKDLLGFILSSNFLTGSLPSEIGLLKVATWIDFLMNQFANNIPSRIGDSENLNPLSLAYNNFEGTIPESISNMLTTSMSLSIIYRGKFHLTALSRISLPNTSIHISRTKKVLQTKLIVFGASAIIAGIALAFLFVRYIKKEKVPNGTNLFSLTAKGRISYYELLQATNGYDESNLLGSFGSVYKGILANGMYVAIKAFNLQLEYSFKSCTRESESLIIIQRMNVMIDVASALEYLHHCYSIPVVHCDLKPSNVLLNRLYVHS >CDP06417 pep chromosome:AUK_PRJEB4211_v1:6:559383:567026:1 gene:GSCOC_T00023256001 transcript:CDP06417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCAR2 [Source:Projected from Arabidopsis thaliana (AT2G38440) UniProtKB/Swiss-Prot;Acc:Q5XPJ9] MPLSRYQLRNEYSLADPDLYRAADKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEEVMATASRGHSLMVRVQQLEAEVPRIEKAFLSQTIHSSFYYHAGMDWHPNTHMDQNLITRGDLPRFIMDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSVFKVEASYSGITSADVLREKKARKAKKKGSRWRNGETPESLPTSHAKLHQLFMEERIENGTIDSARRVKLKRRLNGFPFDIRSGKSYMEKFLRSPSPEHEEVHEIPVDSSPLALPYNNVYESAFEIVEISTVSPEKESKQTMSSPSSSSSTGKGTTPASAIHELNEVPSPSPNGISEVSKSKSAVSVDGGIKKEDSGGDYQSDDAASEIENYMDALATMDSEMDTDSELRSKNDLLNLNSRRQASNSDMDGEQVHAHFSDSLSMGNSSLSDEGNSSSKKDLSSFSYSDSHSTSAENTPSEGEVSSNTFTSTEIHASEDLPTQQSIGGGHVSQPLGGAASDGSFTEPVEIPGNSSEPGDLTAPSDSAPVDKNEEAVVKQIASMGPEIDEMVPKLSMDPPLISSDDWLQEGDYSSRQMSGDNQHLDEFENENVNKAKNLGCTSHLPVQSISRDGFLFEVSAENKFDDKLEDEDRNQQDDLASTADRLVAHHAMDDNPFLLSSETQPLDKLHDEDGPNSRDDSSNAFNPLDAVLQNRDDLSPKMSYEKLRLDDSGVENPNFVLDAPVFASDTIDAATEKKITENLLENVPQSGDPQDNLVADSVENQIGPQNLVMTLTGEQSIDAISMEVETHCSKVEPVDRDSEIDDAVPSAGGKADNLIFKEDIPVICESLESFVSGTIGEIPPLGLSEERTSYLREEYFDQPSNLGDGAVMDKATSDPDLVEMDTTSEPSLMAGDDVHLDEIAREPLNVPATFSSHDNHAVEDDDDGSSVDPKKFHEGPLPGIGNEHNGLETEAFWLDTPGKSDIAEDVCHQKYASPDLNSLCNIVTDEDTGSQACDDASDTYIVSEARSSLNPGDAPTCQSSVEQNEQELHLKEKFDIQVQEYEQKLFSEGQANSELFNQLQQKHYSNQDDQVGASDTFAESLLVNIPSQLSTSKLLPQGNNMIEVSEHLLDPSSSIALGSSLLSNSSQIYVEEMPPLPPLPPVQWRMGKLQYANQTLERMSAERTATAFPPPLQYISDQEAQPLVPFSPPSASACEMSMPMSEELINITNPFLSQVQAVVHDGDSKDDVLPQEVIGCTSTSLQLPESHGGMLQNDCQAGEGEKVPTTLDSSHASVMDAASADALELSHQEVVKPLNEMAPEKSLKDMELCQHSAYSDDNVMTCSTTELPSDVANKHAMPTSEEKLSWPTSEDGKLHGVQPMKLPRPRNPLIDAVAAHDKSKLRKVTERFRPQIQKEDERDSLLEQIRAKSFNLKPAVVTRPSIQGPKTNLKVAAILEKAKTIRQAFAGSDEDDDDSWSDS >CDP03141 pep chromosome:AUK_PRJEB4211_v1:6:9381188:9389598:-1 gene:GSCOC_T00041635001 transcript:CDP03141 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMESPEVVVAATATTTISSAENREENSNYDRSGSLRRRTASSVVVEAVAVAAAAAKDVVKESESDSDLDSSSKGNSTDDYEERSRSKLVYGSSGGVELVRELSNAKDDKDKVGSGEGRGGGGGSGGGVESSSSSSWKFAYRPSAPAHRRIKESPLSSDAIFKQSHAGLFNLCIVVLVAVNGRLIIENLMKYGWLIKSGFWFSSRSLGDWPLLMCCLSLLVFPLASFFVEKLVQKKYISEPVVVILHIIISTTAILYPVVVILRCDSVVLSGVNLMLCACVVWLKLISYAHTNYDMRAVAKSLEKGEVLPNYLNTDFSYDVSFKSLAYFMVAPTLCYQLSYPRTAYIRKGWVVTQLIKLVIFTGFMGFIIEQYINPIVQNSQHPLKGNLLYAIERVLKLSVPNLYVWLCMFYCVFHLWLNILAEILCFGDREFYKDWWNAKTIDEYWRMWNMPVHKWMVRHIYFPCLRNGLPKGVAIIIVFLVSAIFHELCIAVPCHIFKFWAFIGIMFQVPLVVLTNFLQAKFKNSMVGNMIFWCFFCILGQPMCVLLYYHDLMNRKGDSTYVT >CDP10394 pep chromosome:AUK_PRJEB4211_v1:6:6940937:6942662:-1 gene:GSCOC_T00031106001 transcript:CDP10394 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSFTTSTTTPFQVGVYLSSNTGQNLTLDSRSTRKSTIAEDPISESRKRALYSINQSNIPSTLTCVRWMETKTKL >CDP06596 pep chromosome:AUK_PRJEB4211_v1:6:1963947:1968132:-1 gene:GSCOC_T00023503001 transcript:CDP06596 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRMAFHHFSPPHYLPILFFVCCVVSLLPKPALCATRHYTFNIVQHNVTRLCHTKSIVSVNGQFPGPRLVAREGDQLIIKVVNHVANNITIHWHGVRQLRTGWADGPAYVTQCPIQTGHTYTYNFTLTGHRGTLFWHAHISWLRSTVYGPLIIFPRRNESYPFEKPYKEVPIMFGEWWNADPEAVISQALQTGGAPNVSDAYTINGLPGPLYNCSHKDTFKLKVQPGKTYMLRLINAAMNDELYFSIANHSVTVVEADALYVKPFKTDVVVITPGQTTNVLLKTKPTSPTATFIMAAAPYFTGQGTIDNSTVAGILEYQHDASIANKTVVNPTLPAVNATSFVANFTRKFRSLATAKFPANVPQTVQKRFYFTIGLGTSPCPANTTCQGPNGTKFAASVNNISFPLPSTALLQSYFFGKSNGVFTTDFPTQPTNPYNYTGTPPNNTIVTNATRTVVLQFNTSVEVVLQDTSILGAESHPLHLHGFNFFVVGEGFGNYDPNKDPANFNLVDPVERNTVGIPSGGWLAIRFFADNPGVWLMHCHFDVHTSWGLRMAWIVLNGFLPNEKLPPPPSDLPSC >CDP06770 pep chromosome:AUK_PRJEB4211_v1:6:3306729:3311073:1 gene:GSCOC_T00023730001 transcript:CDP06770 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLLYQHPPLSIATLMPASGPKFPVYPKYKASATQKEISILGQKSTQLLSSKWFADNLRSGPVNFFLSGSLALALSLAGVGIAEGKVGVNKPELLPKEFTPVIDVAGFLSDGQENRLAQEIAAIEKDTGFKLRVLAQNYPDTPGLAIKDFWKVDDRTIVFVADPTFGNILNFNVGASVDIDVPRSFWGRLAGKYGNMFYWKEKGEDASIEAAVVAIGNCLREPVGANNCSEVK >CDP06262 pep chromosome:AUK_PRJEB4211_v1:6:24539770:24540026:1 gene:GSCOC_T00022993001 transcript:CDP06262 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALLAEEKVLQEYSSRIQVILCNDCERKGNASFHWLYHKCPHCGSYNTRLL >CDP06744 pep chromosome:AUK_PRJEB4211_v1:6:3044349:3048356:-1 gene:GSCOC_T00023696001 transcript:CDP06744 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFMDSRDKVHETEKCLDSQLWHACAGSMVQMPPANSKVLYFPQGHAEHVCGNVDFGNCPLIPAYTRCRVSAIKFMADSETDEVFAKVRLIPIEGNGADFDEDGVGGVSGMDDREKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGDTWKFRHIYRGTPRRHLLTTGWSTFVNSKKLVAGDSIVFLRAKNGDLCVGIRRARRGVGGGLEPSSGWNAAGRSCVMPHGGFSAFLREDESKLMRNGSESSSGTSMGRGRLKAESVTEAATLAANGQPFEIVYYPRASNPEFCVKASLVRAALQIHWCSGMRFKMPFETEDSSRISWFMGTVSSVQVADPIRWPDSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPTIHLFPFSPPRKKLRLPQHSQFPLDGQLPAPTFSGNHLLGPSSHFGCLPDNTPAGMQGARHAQYGLSLSDLHFNKLQSGLFPVGLQPLYPTALPGHSNRPIIHKPSSGENISCLLTAGSSAQSAEKIGSCKAPQFVLFGQPILTEQQISLSCSGDAVSPVRTGNSSSDDNADKMGNTSDVSGSALNLEGVNERSSCEGSEDNLDIGHCKVFMESEDVGRTLDLSLLESYEQLYEKLADMFGVRTSEVLNHVLYRDRTGAVRQLGDETFSVFAKTARRLTILTDSSSDNVGPYTAEIMRKTSVSNCMAG >CDP14260 pep chromosome:AUK_PRJEB4211_v1:6:36755960:36760819:1 gene:GSCOC_T00040552001 transcript:CDP14260 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSSVHTVDEALSTIGFGNFQTVLLLYGALGWVAEAMELMILSFIGPVLQSEWRLSSSKESLISTVVFAGMLIGAYLWGHISDNWGRKKGFLGAAILSAGAGLLSAFSPNYITFVTCRFFAGIGLAAGYLFTSWFLEFVPTSNRGSWMMVFSSFWTVGTIFEASLAWIVMPRWGWRWLLVLSSVPYFLVLLFYKCVPESPRYLSAKGRLKEAHEILEKAAQLNGMELPSDTLVSDQVKNLDEGLSLVEETQLLSSSQNELLEPRNRCRPPTLLSLFSQKYIRTTLLLWFLYFANTFSYYGVILLTSQLSSMVNKCGSITFLSVGSQDSRLYINVFLTSLAEVPGLVLAAFIVDRVGRKVSMVIMFTLGFILLLPLVVQQNGVLTTALLFGARMFVSATFEVACVYAPEVYPTDIRASAVGLTTSIGRIGGMLCPLVAVALVSDCHQTAAVILILAMIFLSAVSVAFLPFETGGRDLSESVHQPVVE >CDP16118 pep chromosome:AUK_PRJEB4211_v1:6:33795863:33796221:-1 gene:GSCOC_T00017170001 transcript:CDP16118 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGIAYKSIYGGLAATEEDSYRRAGSIAEQAISSIRTVFSFVAEDLLTEKYVDVLDKSVPLGIKIGFAKGTGIGVIYLVTYATWALAF >CDP10192 pep chromosome:AUK_PRJEB4211_v1:6:13370030:13373215:-1 gene:GSCOC_T00030832001 transcript:CDP10192 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMMHFLLYLPLVLSNLYIIFDVKLASAHCLSEQKGLLLQLRSNLTYDSSLSTKLGSWEEHTDCCSWRGVHCDDAGHQGLIVLSLSNCNISGPFDSSLAKLQSLSVVKLDGNKFSASLPGFFAKFANLTTLSASSCDLLGEAPQQIFQLPTLRTIDLSNNGELGGCLPEFPENGFLESLDLSYTSFSGNLPDSVDNLKKLSSLKLFGCNFSGPIPSSISNLSQLVSVDLAVNHFMGSLPSFTLSRNLSSVSLRDNKLMGKIPLDWEGLKHLTILDLSNNSLSGELPAFLFSLPSLESLKLANNQFSGQINELEGMYPSPLGELDLSSNNLEGPIPQFLFKITSLSSLSLAFNKFTSTVELVGFIELKILAVLDLSYNNLSVGTRGSDSAFSLLPQFNSLMLASCKLQKFPFLKNQSRLNMLDLSNNQITGDIPNWIWEIHDGYLPYLNLSHNHFTVLQEPYHFQTHSYLDIHSNLLTGAMPLPPPSAVYVDFSSNKFTSSLAADIGNLLSSAIYFNIANNSIVDDIPLSLCNATLLEVLDLSDNSLSGSMPSCLMEMSRSLVVLNLHGNKLSGNIPNTLPRDCKLETLDLSFNQFEGEIPQSLVNCRKLKVLNLGQNRIGSTFPCRLDKLTNMRVLVLHSNRFSGKISCPNSNYSWPHLQIIDLASNNFNGVLPPKFFSSLGAMMADGDKSNLHLDLLHYQGRDFKTYYQDTVTMAFKGTPTTLTKVLTTLTSIDLSSNNFQGSMSEKVGDLISLLLLNLSNNTLTGQIPSSVGNLKNLESLDLSSNQLTGEIPENMSSLTFLSLLNLSHNQLVGRIPGGRQMQTFLESSFEGNSGLCGFQLNRTCNGDRDPAFPESQLEEKQLYSKTDICVSAAVGSLVGLAFIFGPLWLSKRWRICYNKNVDQLILRIFNKKGKQMRKNLKEDW >CDP10617 pep chromosome:AUK_PRJEB4211_v1:6:5087272:5091981:1 gene:GSCOC_T00031388001 transcript:CDP10617 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPSLPALPAKSFLSSQTLSTPSSFNTLRKSSSSFSSLSHVPCSFSSRSSFPNRRSFVVRASELPLVGNQAPDFEAEAVFDQEFIKVKLSEYIGKKYVVLFFYPLDFTFVCPTEITAFSDRYEEFRKLNTEVLGVSVDSVFSHLAWVQTERKAGGLGDLKYPLISDITRSISAAYNVLIPDQGIALRGLFIIDKEGVIQHATINNLAIGRSVDESLRTLQAVQFVQDNPDEVCPAGWKPGEKTMKPDPKLSKEFFAAI >CDP02876 pep chromosome:AUK_PRJEB4211_v1:6:12153390:12154022:1 gene:GSCOC_T00041278001 transcript:CDP02876 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGEHKMSGAPPAVNPYGRVDEELASVAQREERRKKRMKYVTYGVAFVVFQTFVILVFSLVVMKVKTPKFRVRSATVEDLQATNANFSGNMHAELSVKNENFGRYKYQESTIDFFFQNYKVGVAAIPKGKAGFKSTKKFTVPVDLSSANANVPGSELNQHALIPLTSQATLNGKVRLMLIFTKKKSTNMNCTMNLNTSSKQLQDISCN >CDP02880 pep chromosome:AUK_PRJEB4211_v1:6:12137326:12137973:-1 gene:GSCOC_T00041284001 transcript:CDP02880 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGGEKVFAAATANPHGRGDEELASVAQREERRKKRMKYVAYGAAFVVFQTAVILVFSLVVMKVRTPKFRVRSATFQDFKAATLPTNSSFSTQMFAELSVKNPNFGRYKYQDSTVEFFYQNYKVGQAAIPKGKASFKSTKKFAVPVDLSSTNVPGDVLGSELNQHAWIPLTSRATLNGKVRLMLIFTKKKSTNMSCTMNLNTSSKQLQDLECT >CDP02836 pep chromosome:AUK_PRJEB4211_v1:6:12575486:12579398:-1 gene:GSCOC_T00041225001 transcript:CDP02836 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTSAYTSSLGFSFLYISLGFLGILVSENQRPSQQPWIRDLKCSVCLAFRRAGTYSRYIDTSSRISKIRSRKRERLHGPPWLKAVQGALLSSMYAYFFFKMMEGWYQSAEERMSAPTVYPPPPPSPPPKVAKEGIPLPPDRMLCPLRSQKCVNPSAVAVSGFVFCYSCIFK >CDP10231 pep chromosome:AUK_PRJEB4211_v1:6:12857304:12862940:-1 gene:GSCOC_T00030892001 transcript:CDP10231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 32 [Source:Projected from Arabidopsis thaliana (AT4G30935) UniProtKB/Swiss-Prot;Acc:P59583] MDAKNFNSENNSSSKSPSCEGEDEAAAQNSKYRKEFDENSRGGGGDGYEQVNSKTVDNSSIPVVVAQMSNNRASSTPGTLATVGPSDPHCKFEVAVDNEMQRLEPAAELKDQVGMSYQEEFRIIADQAVPDQTQNQQEPPNGPRSSEPSPTSVTQPISSVPSPTLPEQKMSPGDNRNTSHTSEADKKNSFDPKTLSVVSIQKTPSPDGYNWRKYGQKQVKSPQGSRSYYRCTYSECCAKKIECSDDSNRVMEIVYRSHHNHDPPQKVNCTRGSRHSLSVAPANGSDSSVAPIGATADSVPSTSSKDSIQETVSVPDPIQKDSSDSDDNAETNIKQEREDEPEPSKRLKKTVASCSAPLLKPGKKPKIVVHAAGDVGISADGYRWRKYGQKMVKGNPHPRNYYRCTSAGCPVRKHIERAKDNTNAVIITYKGVHDHDMPVPKKRHGPPSAPLVAAAAPASMSTVQVVKSETIQNQVSATQWSVDKEGGLKGQTLECGGEKNVESARTLLSIGFEIKPC >CDP16099 pep chromosome:AUK_PRJEB4211_v1:6:33215425:33217960:-1 gene:GSCOC_T00017139001 transcript:CDP16099 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIALISALLIIVLSVLQTNRRRLSRPKYPPPPGPPGIPVFGNLLQFDTSAPHVYLWQLSKIYGPLMSLKLGSLPLLVVSSARMAEEVVKNHDLTFSSRPLFLGSRMLSYNGLDIAFSPYSEQWRELRKISVLHLFSNRRVESFRGSREDEVSRMIRTISKEASSSQVTNLSKTSVSLSSTFICRIAFGKRYDEGDQQRRRFHDLLQEMQAAFVGFFFSDYIPSISWLDSLNGMRSRLERTCSKLDSFLQELIDEHLNPNRPESMNGDIIDIMLQLRQQQSTSFDLTQDHIKAMLMDVFSAGSDTTAATIIWAMAALMKSPEAILKKAQAEIRGALGNKDIVNEDDIQKLPYLKAIVKETFRLYPPTPLLVPRQTLANCIINGHEIQSNSIVYTNVWAIGRDPDNWENPNEFLPERFLNSSVDMKGKDFQLIPFGAGRRGCPGYSLGLAMVEVGLANLLYSFDWELPSGIKKEDIDTEVLPGLTMLKKNDLLLVAKNVYAQQVSSSGI >CDP10535 pep chromosome:AUK_PRJEB4211_v1:6:5736977:5739955:-1 gene:GSCOC_T00031293001 transcript:CDP10535 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDLIKLNYNYNLNELATILDPALVKKIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDPKIVSAMTEIIPGLQGEIPSNGRLGVPYLAGQKNIAACAKHFVGDGGTTEGINGNNTVISRHGLLSIHMAGYYNAIIKGVSTVMISYSSWNGIKMHANREMITGFLKNTLRFRGFVISDWQGIDSITSPPHANYTYSIVAGVSAGIDMMMIPYNYTEFIDGLTFLVKNNFVPMSRIDDAVKRILRVKFTMGIFEHPLADYSMTKYVGLQEHRDLAREAVRKSLVLLKNGESAAKPLLPLPKKAKRILVAGTHADNIGNQCGGWTIEWQGLSGNITKGTTILTGIRNAVDPTTEVVYSEKPDAEFVKSNKFSYAVVVVGEPPYAETVGDSLNLTIPEPGPSTITNVCGSVRCVVVLITGRPVVIQPYVNRIDALVAAWLPGTEGQGVADV >CDP03348 pep chromosome:AUK_PRJEB4211_v1:6:7735157:7740226:-1 gene:GSCOC_T00041899001 transcript:CDP03348 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQSNGNGSLDSRVKVPVPQIKFTKLFINGEFVDSVSGKTFQTIDPRNREVIAEIAEGNKEDVDLAVKAAREAFDNGPWPRLPGFERRKIMMKFADLIDENNEELATLETIDAGKLFFLCKIMEIPGAAETIRYYAGAADKIHGETLKMSTALQGYTLHEPVGVVGHIIPWNFPSQMFAMKVGPALAAGCTMVVKPAEQTPLSALYYAHLAKLAGIPDGVLNVVTGFGQTAGAAISSHTDIDMVSFTGSTEVGRLVMQAAATSNLKPVCLELGGKSPILIFDDADVDKVTELALQGTLFNKGEICVAGSRVFVQEGIYDKFLLKLKEKVKNWVVGDPFDPTSHQGPQVNKKQYDRILSYIELGKKEGATLFHGGKPGDRKGYYIEPTIFTDVTDEMTIAQEEIFGPVMSVFKFKTVEEAIKRANATKYGLAAGVMTNDINIANTVARSIRAGAIWINCYFAFDRDSPYGGYKMSGFGRDMGMDGLKKYLVVKAVATPIYNSPWL >CDP15762 pep chromosome:AUK_PRJEB4211_v1:6:26418326:26418496:1 gene:GSCOC_T00015829001 transcript:CDP15762 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPLEMTSSFNGTVDPALTSLIRYLIHESSEYVSGNNFIVDAGTTLPGFPIFSSL >CDP06542 pep chromosome:AUK_PRJEB4211_v1:6:1561678:1566165:-1 gene:GSCOC_T00023432001 transcript:CDP06542 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYIRREAAKLWKRLCAEISTEINLLAENWKYVLAGLIFQYIHGLAARGVHYLHRPGPTLQDVGFYLLPELGQDKAYISETVFTFIFISFVLWTFHPFIFKSKKIYTVLVWCRVLAFLVACQILRIITFYSTQLPGPNYHCREGSKLARLPPPNNALEVLLINFPRGVLYGCGDLIFSSHMIFSLVFVRTYHKYGSRRFVKQLAWLTVVIQSMLIVASRKHYTVDVVVAWYTVNLMVFFLDKKLPELPDRSGAAALLLPLSKDSSKSKEENHKLLNGNSGDPADWRPRIQVNGKIMEDGTTVHVEAVMNGV >CDP02933 pep chromosome:AUK_PRJEB4211_v1:6:11386217:11395671:-1 gene:GSCOC_T00041357001 transcript:CDP02933 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLSCCGTEKVEQVDGSTSWRIFTYKELHAATHGFSEDNKLGEGGFGSVYWGKTSDGLQIAVKKLKSMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCAGSEQRLIVYDYMPNLSLLSHLHGQFAAEVQLDWKRRMRIALGSAEGLLLTILSRCLHSQVIRGVTLIYGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLELITGRKPIEKLPGGIKRTITEWAEPLIAKSKFKDLVDPKLRENFDENQLKQAINVVFCFFFFFVLINCGLRFNMRSSLVRKILVGISLDAQESRELLYWAITFLAQPNDTVVALHVLVAEENKKPFDQKKQDNKKWQSITKYQKKIRHTKNFVISVMGEFAKACQSKQVDLEARVGFSSKVGRGLTKEAKCISADFLLIGGKINQSNKPSRRIRKFCCDHVPEGCSLVLVGKYGCLPPNFHSNSIQVRDVHQSSARWSEKDSQTSKSVSSDEERAGSKAKTEKRSPRTVLDACERESQGTMEDGSSIDESSIRKSPYVASESKRQSETKRPMSPLKIISSFFRSPFDSSARKRNDTFFNKNKQQPTFKCFPYEEIANATNNFHPENMVGQGGFSEVYRGVLSDGRTIAVKRLANDTNADKEKEFLMELGIIGHVNHPNTASLVGCCVEKGLYLIFKFYPNGTLSSALHGKPCQSLDWPVRYRIALGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQISDFGLAKWLPNKWTHHAVIPIEGTFGYLAPEYFMHGIVDEKTDVFAFGILLLEIITGRRPVDSSQQNLLLWAKPLMESGKLSELADPRLEDKYDMVQLHRLVLTASYCVRQTSIWRPSMTEVLELLTFGNDSEEARSWRIPKFTSDEMDDYSMVFGYQLPSDISLEDF >CDP14240 pep chromosome:AUK_PRJEB4211_v1:6:36983756:36986843:-1 gene:GSCOC_T00040525001 transcript:CDP14240 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNFLKPEALQRYIGIMDHIAGRHFSSGWENKDQVKVFPLCKNYTFWIASRLFVSVEEPTEVAKLLEPFNVLASGLISVPIDLPGTPFNRAVKASNQIRKMLVALIKQRKVDLAESKASPTQDIMSHMLTISDENGKFMHELDVADKILGLLIGGHDTASSACTFVIKFLAELPEIYEGVYKEQMEIVKSKAPGELLNWDDIQKMKYSWNVACEVLRLAPPLQGAFREALADFMYNGFSIPKGWKIYWSANTTHRNPECFPEPQKFDPARFEGSGPAPYTFVPFGGGPRMCPGKEYARLEILVFIHHVVKRFRWEKIIPDEKIVVDPMPIPAKGLPVRLFPHKA >CDP06717 pep chromosome:AUK_PRJEB4211_v1:6:2824545:2826355:1 gene:GSCOC_T00023657001 transcript:CDP06717 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSAKPISSPSRADKFPTPLMMRFLRSNVGSRSRGRSRSSPMFIMRPKKNTAAAINDAQQEPSSPKVTCIGQVRARRSSKSKTTSRPRKRLPPSPSSCCSGPCSSPCRCCCSGCCPPTALPPCCHLKTTRFRKPRSFCRPFRKLVLFFRFGYCKKIDVRDDCSSSRVVVSTAQRGQNHVNSANSNGGAGDIEDKEANGGETKEDVLESSTTSPPKNALLLTRCRSAPYRSSSLASRFWGSPLGASDNTETDRSPKNKLPQMPNSPNNYGDEAVESRSSSCPGESGSEELGSSSNGGGSNEATTNTQEMGGSIHPVLLTRCKSEPARTGERLDPEVINHWQQRRSYATESRLQQSRSNCD >CDP03176 pep chromosome:AUK_PRJEB4211_v1:6:9081484:9085495:-1 gene:GSCOC_T00041678001 transcript:CDP03176 gene_biotype:protein_coding transcript_biotype:protein_coding MANRFGTKSQQNLPNLAGVYQSLPLTTNSTSVTCFCQTGYVPGLVRIVRRRNTEYNSGCRLNRRVALGLGASFWSQWMSTAGNLGDKAFLASARQKGVIEEVLKNVDWPEQFPFKEEDFQRFDESSDSYFYDTPRFVTHIDDPAIAALTKYYSEVLPPSNTPGVAVLDMCSSWVSHYPKGYKQDRIVGMGMNDEELKRNPVLTEYIVQDLNVNPKLPFEDNTFDVITNVVSVDYLTKPIEVFKEMSRILKPGGLAIMSFSNRCFWTKAISIWTSTGDADHAMIVGSYFHYAGGFEPPQAVDISPNPGRSDPMYVVYSRKLAAA >CDP06447 pep chromosome:AUK_PRJEB4211_v1:6:827627:832330:-1 gene:GSCOC_T00023304001 transcript:CDP06447 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETO1 [Source:Projected from Arabidopsis thaliana (AT3G51770) UniProtKB/TrEMBL;Acc:A0A384KPH2] MQHNIFTTMRSLKMMDGCKGTQIYALNPFAGTTTNAGTGGVGEKFLHHIHDHLRVNSIRSKSNRNFQNFQPNNYANSDNVKQTLAIYGLPQTDLIEPHIEPNLKFVDFVEALADLYTRIQACSEFEKSGMYLEQCAMYKGLPDPKLFRRSLRLAREHAVDVHSKVVLSTWLRFERREDELIGISAMDCCGRSMECPRTSLVSGYNPESIHDPCRCTRSPKEDEDDDFAATDEECSTSWNHEEVDEDDLDMSFFIGDDEIRCSRYKISSLSRPFWAMLYGGFMESRREKINFSKNGISAKAMRAAEVFSRIKTVDSFDEDTVLQLLGLANMFCCEEMKSACDAYLASLVVDMESAMLLIEYGLEETAHLLVAACLQVFLRELPSSMHNPNVMKFFCSSDAKERLAMVGHASFLLYNLLSQISMEEDIKSNTTVMLLERLGECASECWQKQLAFHQLGCVMLERKEYKDAQKWFRAAVDAGHVYSTVGFARAKYKRGHKYRAYKLMNSLISDHTPSGWMYQERSLYCNGKEKLMDLSTATELDPTLSYPYKYRSVSMVEEEDKLEAAISEINKIIGFKVSPDFLELRAWFLISMEDYEGALRDVRALLTLNPHYMMFHGKMQGDHLVELLRHHVQEWSQADCWMQLYDRWSSVDDVGSLAVVHHMLTIDPGKSLLRFRQSLLLLRLNCHKAAMRSLRMARNLATSEHERLIYEGWILYDTGYHEEAIAKAEESISMQRSFEAYFLKAYVLSETNPDAESSLYVIQLLEEALRCPSDGLRKGQALSNLASIYVDVDKLDNAVDCYMNALNIKHTRAHQGLARVYHLKNLRKAAYDEMTKLIEKARYNASAYEKRSEYCDGEMAKSDLSMATQLDPLRTYPYRYRAAVLMDDHKEAEAIAELTKAITFKPDLQLLHLRAAFHDSMGDNSSTLRDCEAALCLDPNHADTLELYQKTRERANELQT >CDP15395 pep chromosome:AUK_PRJEB4211_v1:6:4477613:4481556:-1 gene:GSCOC_T00043114001 transcript:CDP15395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine nucleosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G36310) UniProtKB/Swiss-Prot;Acc:Q9SJM7] MSNSRVVNGGCANGLVMADALAEDFANSGAMKRHKLIIDTDPGIDDSMAILMAFQSPDLDILGLTTIYGNVTTEGATRNALLLCEIAGYPGVPVAEGSPGPLKGGEPCIADFVHGSDGLGNIFLPPPKSKKIEKSASEFLVDKVSEYPGEVSILALGPLTNLALAVKRDSSFASKVKRIVILGGAFFALGNVNPAAESNIYCDPEAADVVFTSGANVDVVGINITTQVKMTDAAFDELRQSHGKHAQFVCDICKFYRDWHVKSDGVYGIFLHDPVSFVALVRPDLFTYKKGVVRVETQGISAGHTLMDQGLKKWNSSNPWTGHSPISVAWTVDADEVLNYVMKSLMKP >CDP06569 pep chromosome:AUK_PRJEB4211_v1:6:1770092:1775285:1 gene:GSCOC_T00023468001 transcript:CDP06569 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPIYNYYYSPLLRCPPCASPSSSFSSSPPYHQLHLLPPNSLHSSSPSLYHLKNQSIISATITVFPLSRRRRRALKMDCQTTPEQKSISQERMLVFVPPHPLIKHWVSVLRNEDTPTPIFKSAMAELGRLLMYEASRDWLPTISGEIQSPLGVATVEFIDPREPVAVVPILRAGLALAEHASSILPASKTYHLGISRNEETLQPTVYLNKLPDRFPEGSRVFVVDPMLATGGTIVAALNLIKERGVDNKQIKVVSAVAAPPALQKLSEKFPGLHVYAGNIDPTVNDKGFIIPGVGDAGDRSFGT >CDP06435 pep chromosome:AUK_PRJEB4211_v1:6:763058:764072:1 gene:GSCOC_T00023288001 transcript:CDP06435 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEKAALNRRKWPAGKLNLNAPLLSTRRTIGVNRLEDVQNAHSVRVSWDKFERIPFSWEQTPGKPKERGEESDGENAEEIIPPPNPPPGCRWLPAEEEADPKRDSCEGEAERGHDYDDGCEGDVEDDGGVGAHEDWKGGDGVSSNELDIFSLAQSVETVDSWKELRRKFGIENGDMEEGIGCHSPSFMIQRFLPDAKALAAAASSSSSTSLKKDLINSDDEKSKKHPKSSSGAGRRRASGAIRTATQSWSYSSWKGCGLDILLPWRMKPRPCGCGVVKGRPAMALATSRPQWSGSSRKK >CDP10496 pep chromosome:AUK_PRJEB4211_v1:6:6081780:6083038:-1 gene:GSCOC_T00031241001 transcript:CDP10496 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYSWLSKTNLEPSLAYEYGLSFTRNELEREDLVYFNHEFLKSLGINVAKHRLEILKLVRKDAGGNLNGLSRLVFAFNKTKKLFVKKVGKWGFPGRNSTNHHVPSLDMCTYPTPWSGALKRFTSAKEDKPAIASRDVMKSGPLDSRVLQEKMMLTNKCLSISGPLDGKLQDRWMLANWSPMRPGVADGKGRDRHGYGNRSPGFYAPWEGRGMSPMRNHYYEGKKVGYATDDGGPSLWSLMFQDLKPN >CDP17639 pep chromosome:AUK_PRJEB4211_v1:6:31293668:31297677:-1 gene:GSCOC_T00001546001 transcript:CDP17639 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGTRWSDCGCDSCVEWMKNADDPKLHVVVQEPQTERASWVGSKEREAENVIFLHGFLSSSSFWTETVFPNLSESAKQNCRLFAVDLLGFGRSPKPRDCFYTLKDHLEMIEYSVIGPYQLNSFHLVAHSMGCIIALALAAKHSKSVKSITLIAPPYFSSLREDASMVALERLAAKRLWPPLLFGSSFMSWYEHLGRCVCFIICRNHKMWESLLKFITRRRNLHFTFIDLTRHTHHSAWHTMHNVICGGAKLADKYLETLRLAQVKINVIQGTRDQVVPIECSINIQKQVQEAEIDKIANANHGSVVLGREKDFTRDLERIWSSVADLH >CDP06481 pep chromosome:AUK_PRJEB4211_v1:6:1084731:1090703:-1 gene:GSCOC_T00023347001 transcript:CDP06481 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQAYKVCCCFRRRFKFREAEAPDEIKALFGSYSENGLMTVDHLHKFLKEVQGEETVTMEEAESAMESIIKDLKHLHVFHRKVLNLEAFFRYLFSDANYPLISSNKVHHDMTAPLSHYFVYTSHNSYLTGNQISSDCSDVPIIKALEKGVRVIELDMWPNSTKDNVDIYHGRTLTTPVDLIKCLSSIRKHAFVASEYPVILTLEDHLTPDLQAKVAEMVTHTFGDVLFSSESECSSEFPSPESLKRKIIISTKPPKEYLETKSIKERDDHSHKAKSLSEEAAWGNEVSDIADHLKIHNENEPDDGEFLDEEDAREDIQELQQNAAPKYKNLIAIHARKRKGGIKDWLKIDPNNVTRISLSEEKFEKAVLSHGGDIVRFTQRNLLRIFPKAMRLDSSNYNPLIGWTHGAQMVALNMQGYGRYLWLMQGLFRANGGCGYVKKPDILLQIGPHSEVFDPKRALPVKKTLKVKVYMGDGWNLDFHHTHFDMYSPPDFYVKVGIAGVSDDSAVKKTKIVEDNWVPTWEEEFEFQLRVPELALLRIEVHEYDMSEKDDFGGQTCLPVSELREGIRGVPLYNQKGEKYKSVKLLMRFDFL >CDP14132 pep chromosome:AUK_PRJEB4211_v1:6:17950786:17952141:-1 gene:GSCOC_T00040366001 transcript:CDP14132 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPAQGHLNQLLHLSRLISSYNIPVHFVGSATHNRQAKDRVHGWDPLAISDIRFHEFPLPSFPTPPPDPKAPTKLPTQLVPAFFATLHLREPACELVTKLSSTARRVVVIHDSLMCYVVQDMPSIPNAESYCFQSVSAFALYSYVWEAMGKPVLADGEPVNDLLSTPESVFPEELAEFFKVQQEARKCNWGNLFNSSRLIDGEYLNLLAEIKFDETENNWAIGPFNPPVITEDQKSKKSHKCLEWLDKQAPKSVIFVSFGSTTSLSDEEVEQIAIGLERSGQKFMWVLRDADTGDVSIGEGRKAQLPEGYEERVDGRGFILREWAPQLEVLGHPSTGGFMSHCGWNSCVESISMGVPMAAWPMHSDQPRNAILVTKILKTGLMVGDLAHQDESFKAEVVEDAVKRLMNSTEGQEMRKRAEELSDALKQSVIKGSDNSTEMDTFIAHITR >CDP06416 pep chromosome:AUK_PRJEB4211_v1:6:549649:550545:-1 gene:GSCOC_T00023255001 transcript:CDP06416 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKMDHRLEGKVAVVTGGARGIGEATVRLFARHGAKVVIGDVEDVLGHALAASLSPHGVTYVHCDVSSEGDVEKLIQSTVSGFGRLDIMFNNAGVLGSQAKHKKSIVDFDAEEFDRIMGVNVRGVALGMKHAARAMIPRGTGCIISTASVASVLGGLGPHSYTASKHAIVGLTKNAACELGKYGIRVNCISPFGVATRMLINAWRNSHIDDDDDEDEDDEDAAAATMMSSSNTTAREAVEKAEEMVRGLANLKGATLRTSDIAEAALYLASDESRYVSGHNLVVDGGITTSRNCIGL >CDP15362 pep chromosome:AUK_PRJEB4211_v1:6:4139393:4148338:1 gene:GSCOC_T00043063001 transcript:CDP15362 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRSNYTLLSQFPPEDHLHQQPSKHSAVTGGGAGVGGVAYYESHSVDKSNNKGKVDRGVFDWDLVDHKMQIGPGSSSSKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDESASRASASPVPSGAMSHRFWVNGCLSYFDKVPDGFYLIHGMDPYVWNVCCDLQESGRIPSIESLKSVDPNIVPSVEVIIIDRHSDPSLKELQNRVHSITCSCITTEDVVDQLAKLVCNHMGGLASTDEEDLAPRWKDCSVDLKDCLGSVVLPIGSLFVGLCRHRALLFKVLADSIDLPCRIAKGCKYCFRDEASSCLVRFGLEREYLVDLIGNPGCLCEPDSLLNGPSSISISSPLRLPRFRQVDATIDFRSLAKQYFSDCQSLNLVFDDSSTGATVEDDGDSTYHKQSDRNYMDRNSGTPSSSNQDEIQNPWIKGRLKESQVSKFPNAYNIMGSTNMALSAQHIPSFGHRPPRALPGPRMDAIKDPRFVDRGQLVPMATSGELNLDVEDLNIPWSDLVLKERIGAGSFGTVHRADWNGSDVAVKILMEQDFHAERFNEFLREVQIMKRLRHPNIVLFMGAVTKPPNLSIVTEYLSRGSLYRLLHKPGAREMLDERRRLCMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATIQQPWCNLNPAQVVAAVGFKGKRLEIPRDLNPHVAAIIEACWANEPWKRPSFSSVMESLNPLTKPSPIPQSGHGDVPLLT >CDP06684 pep chromosome:AUK_PRJEB4211_v1:6:2577392:2580291:-1 gene:GSCOC_T00023616001 transcript:CDP06684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MODIFIER OF SNC1 11 [Source:Projected from Arabidopsis thaliana (AT5G02770) UniProtKB/Swiss-Prot;Acc:Q9LZ08] MATATTASKVENPKKPLAQPQPQPNPSDPNSSPRSEDVPPLTTTHAPSNASSGGGGGETKAAATGSENAAAGGAATDIQKKMRRAERFGMPVQLSEEEKRNSRAERFGTGTGSHGSEASKKSEENKRKARAERFGLAQSTSADEEAKKKARLARFAPASKPDSVEEDKKKARAIRFSQNQSTSLGPANGEGNIETKAAVLSKAGGGP >CDP03409 pep chromosome:AUK_PRJEB4211_v1:6:7146182:7148394:1 gene:GSCOC_T00041984001 transcript:CDP03409 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKKGSEVEVFCKDEVPSGSWRCGQIICGNGHHYTIRCIIGAKDAAIFERVSRKSIRPCPPVVHVSRSWMPGDVVEMLHNYSWKMATVSKVLKGNHFLVRLVGSSNEFKVHKFDIRLRQSWMDGKWIVVGKGFGNYDEEKYCEQPCFKYDYISGSFKGIKAKLDSHEEKDSVGTVNNINFQESHVVSKKNLKRVSHNVYPQAKAPESARKFRAIEKGGRHHRVIVADPSPLPEKVENYPHPKKLPGEKDTCYLLNNKTTIFSQTDEGRIRIIDVAQFSNSRTSDCNDVDDDVSSVGSCSIGWDNRIKWPSRILACPVGYADDHSSDAESVCPLNKEEDNYLQPSEEELAVEIHRLELHAYRCTLGALYALGPLSWEQETLMTNLRISLHISNDEHLMELRNLTSSATGIHVS >CDP06195 pep chromosome:AUK_PRJEB4211_v1:6:22035101:22038948:-1 gene:GSCOC_T00022868001 transcript:CDP06195 gene_biotype:protein_coding transcript_biotype:protein_coding MERLEMEKRLYGAAFEGNENTLHELLQEDKLVLDRVSLACFNYNNPLHIAINRGHEKFVEAILDHNPELLGNLEDSRQKWSSLHLASARGQLRIVEALVSVDPERCFDSDQDGRNPLHIAAIKGKIEVLEVLVDARPFAAREKTKRGETILHLCVKYHQLEALKKLVEAVDDDEFLNQTDGDGLTILHLAVIGKQIEIINYLLTTRIELNARNAKGQTALNMVPQNPKDRQKEIEKSLRQADALTADEITNQQSNFDQVKWLEQKIKALMVVASLIANMAFQAGINPPGGVWQDDQTEHSQENPSLNNAHDAGQSIMAYHKIQFYRFFISFNTIAFVSSLGTISLLISGLPFRRRVFMRILNGVMWLTATSILLSYGISVAFVTPESTKQRPGNVAVVAWSFVITIYLLGIAAAYSTNTWWKLCGRIKWRSRNSVSAVIENNRNGNLVELQIYSS >CDP14275 pep chromosome:AUK_PRJEB4211_v1:6:36458748:36461587:1 gene:GSCOC_T00040576001 transcript:CDP14275 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIEEMAGMDVLCSDKTGTLTLNKLTVDKQMIEVFPKNMDKDSVVLYAARASRTENQDAIDASIVNMLSDPKEARAGITELHFLPFNPVEKRTAITYIDSNGDWHRSSKGAPEQIIDLCELKGEVRKKAHDIIDNFANRGLRSLGVARQAVPEKNKESAGGPWEFIGLLPLFDPPRHDSAETIKKALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQSKDESIASIPIDELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLKEIFATGVVLGTYLAIMTVVFFYLAADTDFFSNIFKVRSIRDHPDELTAALYLQVSIISQALIFVTRSRSWSFVERPGLMLVTAFFIAQLVATLIAVYANWSFARIQGIGWGWGGVIWIFSIVTYFPLDILKFIIRYALSGKAWDSMIQNKTAFTTKKDYGREEREAQWALAQRTLHGLQTPESAGLFNDKHYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >CDP10246 pep chromosome:AUK_PRJEB4211_v1:6:12739419:12744356:1 gene:GSCOC_T00030909001 transcript:CDP10246 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLLIFTRGGLILWTCRELGNALRGSPIETLIRSCLLEERSGSASYSYDAPGAAYTLKWSFHNELGLVFVAVYQRILHLLYVDDLLEMVKDEFSKIYEPTRMVYNDFDDTFQQLKKEAEDLAEKMKKSKQPVKAINANLGKKQGLGQKGGVDGGNQKKSGDDGNGDKDGNKTKSYVYENGHTNGEHVSNGEVTVASGVNGKENRSSNTGAFDKDKLQKMRGGKGGKKTNTAAVSKAPKEELKKKPRVWNDSPPVSKLDFTDPVSENGTDNVPVVAMEHGESMMDREEIISSDSDSDEEEELGKNVKIETKKKGWFSTMFNSIAGKASLDKTDLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTVQAAMEEALVRILTPRRSIDIMRDVHAAKDQGKPYVVVFVGVNGVGKSTNLAKVAYWLLQHKVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAVQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLIYVNSPDLILFVGEALVGNDAVDQLSKFNQKLADLSPSPNPRLIDGILLTKFDTIDDKVGAALSMVYISGSPVMFVGCGQSYTDLKKLNVKSIVKTLLK >CDP03213 pep chromosome:AUK_PRJEB4211_v1:6:8871704:8875454:1 gene:GSCOC_T00041720001 transcript:CDP03213 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIRRTLHEIPELGFEEFETSKLVRTELDKMGIPYKHPVAITGVVGYIGTGKPPFVAIRADMDALAMQENVEWEHKSKIPGKMHACGHDAHVAMLLGAARILQEYRNHLQGTVILVFQPAEEGGGGAKKMLDTGILENVDAIFGLHVSSKYPIGTVAARPGPILAANGFFDAVIKGKGGHAAIPQTSIDPILAASNIIISLQHLISREADPLDSQVVTVGKFQGGAAFNVIPDSVAIGGTFRAFSKDSFRQLKQRIEEAGSSFGA >CDP09571 pep chromosome:AUK_PRJEB4211_v1:6:20938129:20942670:1 gene:GSCOC_T00028998001 transcript:CDP09571 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQMISSSSNSNCCVDNCNGDVSWPEPPKLTPSASLKTKKKPTPALSISRAGGEVDELVTLLHGSDPLRVELSRLENEVRDKERNLGDAYAEIKALKYSERLKEKAVEELSDELKKIDDKLKATEALLESKNLEVRKINEEKKTALAAQFAAEATLRRVHAGQKDDEMPPIEAIITPLEAELKLARLEVAKLQDDNRALDRLTKSKEAALLEAERTVQMALAKASLVDDLQNKNQDLMKQIEICQEENRILDKMHRQKVSEVEKLMQTVHELEEAVLAGGAAANAVRDYQRKMQELNEEKRILDRELARAKISANRVAVVVANEWKDSNDKVMPVKQWLEERRLLQACDVFRLIFYVSSMYTCLFP >CDP02760 pep chromosome:AUK_PRJEB4211_v1:7:6309730:6311500:1 gene:GSCOC_T00040249001 transcript:CDP02760 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQKHLPIFFTATNASQLRVTCANSIWVSINRTAAQNLVTSVAVTVRAVLTAGFWVQYEANNSICSECNRSGGWCGYNTSSNSFACYCSDRPYDRMCNRTSFRSQKGVIPRPNFICRLLHCHCYGGTVEKLAVCMVYSQKTFILGSRVVSWRSDEENNEQVEAFVKEHGSIAPKLYKYSEVKKMTNSFADILGHGGYGSVYRGKLSDGRLVAVKVLNDDKGSEDEFINEVASICRTSHVNVVTLLGHCYDRKKRALTHEFMPNGSLDRFIHEKESSEDTNTDCHIYTEVGCNTRIVHFDIKPQNILLDKDFCPKISDFGLARICKRIHCPRKEEETSRKLILIGLLCIQANPANRPSMSKKVVEKLEGRVEHLKIPPLSFLDSPATASESTQESCTSSVSG >CDP02791 pep chromosome:AUK_PRJEB4211_v1:7:6576055:6576943:-1 gene:GSCOC_T00040288001 transcript:CDP02791 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRGGKSLMGPLLAVNSVVHLIVLGLAGWSLDKYIDGEQDHPHLGGNPSTSFLLVFALIGGVIGACSLFAGLIHLRAWHSDSLAGAASSAFISWTITALAFGLVCKQIILGGHRGKRLQTLEAFITLSLLSQLLYLLLLHGGMCSGRFGPAYGGYGTRYGGIGMTRRAPAVV >CDP02348 pep chromosome:AUK_PRJEB4211_v1:7:3213255:3215515:-1 gene:GSCOC_T00039709001 transcript:CDP02348 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKHHEKKLLKKVNFLEWKREGGHRENDVIRRYHLTNRDDYKKYSKLCRMVQKLVNILKQMDHTDPFRIEMTDALLEKLYNMGVIPSRKSLALCDRLSVSSFCRRRLSTVLMRLKFAEHLNEAVTYIEQGHIRVGPDTVTDGSFLVTRNMEDFVTWVDTSKIRRKVLEYNDKLDDYDAMN >CDP04634 pep chromosome:AUK_PRJEB4211_v1:7:17068483:17070408:-1 gene:GSCOC_T00018650001 transcript:CDP04634 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMQRRASSAIILSIAQSQEAAAASSGTTATARCTTLASFLSAFPNPKPQLAFYSAISGKVESSSGKALKFQPGLRNDINNVVSLDDALSLYRQMVRMRPLPCVIQFNQLLDRIVKMKNHYVSAISLFRDMCVKGIPADEATLNVVINCYRLLGRVDLGFTVLAAFMKRGLVPNVVTFSTLLKGLFREHRVPQGQELFKKIIFEKLCEPNEVMFLIVIDGLCKVGNIQMAIEFLRVMEKRRRCKPNVHAYSTIIDSLCKDKMVVEALALLQEMIEKGIPPNVVTYSCLIQGLCNLSRWEDVDKLFSEMKVYKIVPNVITFSIVVDALCKEGRIEDAEEVVRIMIQQGQNPDLVTYTSLMDGYCLQRRIDDGRRIFDTMVASGFTPNLHSYGILINAYYKAKKLEAAMKLFQEIPHKGLTPDIVIYNTVLQGLFSSGRYLSAREIFNEMQASGMKPDFLTYCVVLDGLCKTGHIDEALQLFHEMETDGTNLHIKMYTIMLDGLCKSRRLDSARDLFNNLSLKGLDPNVITFNTMISGLLSEGLLIEAKELIGKMEEKGCLANSVTYNVILQGLLKGGHYDDAVVYYEEMVHKGFLLDASTFSILLDSSAGNQSNPSLLMLMLKTDPDSKKFMDGGQRGPSH >CDP02807 pep chromosome:AUK_PRJEB4211_v1:7:6674256:6675793:1 gene:GSCOC_T00040308001 transcript:CDP02807 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKLHVAILASPGLGHLIPVLVLGNRLATHHAVKVTALVLTTSTSPAESQLLKPPAGPKVVDTINIPPVDISHLIDANTRVVTQLCIMVRESLPGVRSAIAGMKHRPNVLIADLFCTEAFPIATEFNIPKYLYIPSTAWFTALTSYCPVLHEQIDGQYVDQSEPLQIPGCKPVRPEDVVDPMLDRNDQQYREYLRQAMEFQLGDGILMNTWEEIEPVSLEALRRNESLRAVVKPPVYPIGPLTRPIELAGPKSEVIEWLDKQPHESVIFVSFGSGGTLSAQHITELAWGLELSQQRFIWVVRPPAENGADESFFTSGSASDGTPDYLPEGFLRRTKKTGWVVPLWAQQPEILNHPSVGGFLSHCGWNSALECIKSGVPMIAWPLYAEQRLNATMLTEELGIAVRPEILPAKKVVDREEIEKLVRTVMEYKEGKVMRDKVKKLKNSAENALNKGGSSYDTMCNLLRDVQMRLKF >CDP01696 pep chromosome:AUK_PRJEB4211_v1:7:9495901:9497344:-1 gene:GSCOC_T00036833001 transcript:CDP01696 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDVLLLDFWVSPFCSRVKIALAEKGVAYQQRGEDIFGGKSELLLKSNPIYQKVPVLLHDGKPMCESTNIVHYIDETWPSPPLLPASAYDRARARFWADFIDKKLFDAGGNIWKTKGEDQAAAKKELIQILKYLEGGLGDKDYFGGDSFGFVDIIAIPLTTWFFAYEKFGNFNVEEECPKFAAWTKRCLGRESVAKTLPDPQQVLEFVSMMRKMHGIE >CDP01928 pep chromosome:AUK_PRJEB4211_v1:7:7628831:7634562:-1 gene:GSCOC_T00037114001 transcript:CDP01928 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQQKQKLFLIATFIFFLSSPTFTDGAGILSRIFDRTITASDNNHLSSGNSSSKYLTRDALWFNQTLDHFSPYDHRQFRQRYYEFLDHFRAPDGPIFLKICGESECGGISNDYLAVLAKKFEAAVVSPEHRYYGKSSPFKSLTTENLRFLSSKQALFDLAVFRDYYQKSLNARFNRTAADNPWFVFGASYSGALSAWFRLKFPHLTCGSLASSAVVLAVYNFTEFDQQIGESAGPECKSVLQEITHIVEERLASNANELKSLFGALELKIDGDFMYFLADAAAIAFQYGNPDKLCTPLIEAKKAGEDLVNAYAIYVKEYYVKSFGANVETYNQQHLKNTAVNDDSADRLWWFQVCTEVAYFQVAPSNDKVSFVISGRYHLDLCKNVFGEGIYPDVDATNLYYGGTDIAGSKIVFSNGSQDPWRHASKQTSSPEMPSYIISCHNCGHGTDLRGCPQSPLVIEGDASNCSSPDAVHKVRQQIIEHIDLWLSQCRSSGRSSM >CDP02698 pep chromosome:AUK_PRJEB4211_v1:7:5917320:5919397:1 gene:GSCOC_T00040165001 transcript:CDP02698 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTDGLRKGAWTEEEDILLRKCMEKYGEGKWHQVPVRAGLNRCRKSCRLRWLNYLRPDIKRGDFASDEVDLLIRLQKLLGNRPVLFSFSFFFLVF >CDP01405 pep chromosome:AUK_PRJEB4211_v1:7:12532558:12535897:1 gene:GSCOC_T00036443001 transcript:CDP01405 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSEEWKSLWPISSVFNPPLLLLDGNPPRPPKRTQEKTHEEIGPIIFTPCPKSLIELYSSPSLAPRLPVPYPGLTLARFLVSSTLNSAAPLSSITYSVSSHIASEIGSELVLAQHESHDYLHGFNCLQLLRLPCDGDDESWAYLAFFPAGENCEQVGFVKLFLKGNFQLEVELNHGNQDVFVANQKLNSRILQLLVNPVSDFDDTLSADAASSFSSSAGLAVVGYLMACSIHSVYWYAVKTTSGADGLGVKCAKVDFVGQRLFGISEVVHACWSPHLSEESVVLLETGELYLFGISSCLEKHPSSNNRVGMKKLNALWSKNKDFENSGRGGWISVEFSWHPRILIVAHAVAVFLVDARSCGCNLSCLLKIQMLSNIQHDRFIGLCRTGCDGFRFCVTSGRLLMLCDVRQPFRPLLRWVHSLDNPRYITVFRLSELRSNTKDDKWATDSGSCILLGSFWNSEFSLFVYGPNNKTKTVSSEISKFCNSFYAWGLPSEFSLSAYGTHCGRFLIREECLKDALPAWFDWRQKTGVVLGFGIFSRDPIAPLPKSDTVDGFLLIRLTSSGKLEAQRYHAVGECGRISDEAHRKTLCNSQAHLLYEMSHEEDDLNIKFNFLNFQYLQGYLNGNLAEILSKERKQVDKVALKKEAGKESNEESMVGDPTGYGSLQRIFDVFKDINLPTSIYEIALKCIWANMPEYLERLALSSHSKYPEAPKFESYGIPFQIPSYCGNTKSRKFLPSKALKQSFLPPPFWLTLHMDISGMLGKKNLVIRSAEEEIQLQCDGVMEAADELTGARNEAESELDGTYAVSLADDTDEINIGDGDVRNFFFHKPVALLDEVCTMEIKCEKFRTWSKRFTTFLYRKQELSPDVSPEMVGLDLLNAGCPIQLTFNDSDISFDPNELKTLKLLKKQHLDFQKGFGLYQEYVSRGNFKK >CDP12846 pep chromosome:AUK_PRJEB4211_v1:7:13840291:13842519:1 gene:GSCOC_T00037518001 transcript:CDP12846 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVEETNGPPSKTKETKDSAEKERDKEKGYRRKERGDEDEGDIDEDERDRKNSSSKRSRGDDENGRDRDRERERDRDREKERSSLHRSRDKESERSSRDRDRDKEKREKERDRDRERDRERRDRDKEKERERDRDRDKEKEKDRERRDREKEKERDRERERSRERERSKEMERSRRSRSRSRLDRERERELIRERERELEMRESRCILMG >CDP01910 pep chromosome:AUK_PRJEB4211_v1:7:7803752:7804293:-1 gene:GSCOC_T00037090001 transcript:CDP01910 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPRPLNAIPASISLASSQRQHVQFLTLGPIKSSSDDINGETGSNEPNASTPIQQPIKPPDTVEIRFRRGSRRRRRNQQQDGASMKAKTAAHPKDWESMTLTEKVVELYMGEKGILFWLNKFAYASIFIIIGGWIVFRFVGPALNLYQLDSPPLAPTSIFKG >CDP01409 pep chromosome:AUK_PRJEB4211_v1:7:12422379:12428568:-1 gene:GSCOC_T00036451001 transcript:CDP01409 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVLALPLLVMVAVVGIENGGGAVAVELFSSKLMHRFSDELKADSVSRSRGEVARFPRKWSSEYYRRLLSSDLQRQKVKLGPQFDYLFPSQGSTTLSTGDDYGWLHYTWIDIGTPNVSFLVALDTGSDLLWVPCDCMQCAPLSASYYSNLGKDLSEYNPSDSNTSKVVPCSHHLCEGGSSCKSPKDPCPYRVNYDTADTSTSGLLIEDILHLASGGTAASKKFVRAPIMLGCGSKQSGIYLSGVAPDGLMGLGLGNISVPSFLSKAGFSRNSFSLCLKEDTGRIFFGDQGIPSQHTTPFLPFDDINAYIVGVETSCVESYCLEKTNFKALVDSGTSFTFLPDAVYQKLAGEFDRQINATKFSFEGDQWQYCYKFSSEELPKTPSLSLKFSANNSFVVINPVFAIYGSQGAVGFCLAIQPTNGDIGTIGQNFFIGYRMVFDRENMKLGWSHSNCEDLTDEKGMPMTPSGSASPKPLPTTQQQSKPNGRAVAPAEAGRAPSKSTATSVFLVPGQFYISEMMLIFWPAAYIFLAFYC >CDP01454 pep chromosome:AUK_PRJEB4211_v1:7:11903722:11905025:-1 gene:GSCOC_T00036506001 transcript:CDP01454 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLGRRVVHFANLPIKLLMPSSFSNIREIALKTIPSASKIEIKRVLESLYGFEVDKVRTLNMDGKKKKRGGLLIAKPDYKKAYVTLKNPLSISPDVYPIRMIEEDKKNMAKPSKKSSMLEDGEPKTPHWLDERKDRSNGFRQERFGSPRRGGHSRDGPGGGDSTGAAAAKFPWSSMKSFAR >CDP02417 pep chromosome:AUK_PRJEB4211_v1:7:3661918:3665019:1 gene:GSCOC_T00039788001 transcript:CDP02417 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNKIRTTLKQPSLNKYGSAGVCDNQLHLVNQMLMSSNTLVDLNFKRSLLCSKIDYIKKFIMNEYLICFFLIVGHLASRLNGQQQYSGNSVLSCNNTDKKGPTPAFLYSCNGEKHFCPAFLIFRSQSTYNSPTAISNLTSSSAVELARINNISISGVLPQSREVIVPESQIGIYIGIAVGSSFGILSRVLFLIFSHSVRGKINEASLKNKDGNKMQNLPANILDKIAEFDQELKIYEFEELEAATGNFSPQKKLSDSVYRGVLRGELVAIKRMSKDVRREVKILQKINHFNLISLYGVCERNGVYHLAYEFMENGSVKQWLKERGFPEIQSWNTRIRIALDIANGLDYLHNFTAPAYVHKDINSGNILLNGDLRAKIANFSFAKSSEGGEFSNSSSTTFFPGTKGYMAPEYLEDQKVSPKIDVYAFGVVLLELITGRDAIFVEGGQDVLLSEAVISVMAATDAEYAIGDLIDPCLQVKHPIGYIIDHSELALRLIKLSVACLAREPSERLTMAEVISRLMKIQLDVQKTEYSFSIV >CDP04588 pep chromosome:AUK_PRJEB4211_v1:7:18307839:18317056:1 gene:GSCOC_T00018569001 transcript:CDP04588 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQPPPPKPLSSQEWETLIEDYNHGRLHRWTTSDYAGPPLVDLALSSLLRKDFSLHLKQHLLIFLEEHSISLFTSPASLFRLLETLRLVIQSPNPNDAVSLSFSFKEQFLISTTSIFIVHGNFATTDFETLEGLVELLLTIVNRPNHGIDRQTRAIERTHAVQSYVLLLAAVIHSIVVYGKAPNVSILNASTPLFPFNVPRIFIENDKDGDDSDETNVRYKELRRVISFLLEWPQYLTCGGVLEFMRMTMPVANALELQASLLKVQFSGLIYTFDPLLCHAYLGMYLRLLDSFDGQEMEIANRLVLQSKELYLSYQNNVVFQLLSVHWLLGLIQLVIGRDVTKRKSFADVMSLSFYPAIFDPLALKSLKLDLAAYCSVLLDDFGTLKRYANGGTMTVEVGSEVSVVKLLEDGLMCVSGFKWLPPWSTETAVAFRTFHKFLVGTSSHSDLNESDTSSKRSPMELPIFCAIQGMLIESTLKFQGLVPLIVSFVDRLLGCYKHRWLGEWLLETFNESFIPKLNIDYKLGSYFPILERISANERVSPTGLLELLANYMVVLIKKHGPDTGLKSWCQGSKILGICRTMMIHHHSSSLFLGLSRLLAFACLYFPDLEVRDHARTYLRMLVCIPGKKLRDLLNIGEQLPGISPSTNSSSFFNLQSPRYHDPKKSRNISSYIHLERTVPLLVRQSWSLSLPVLRLDDDRQSLESFKDNKTTGEPKELHRSSSSIEIVSDINRISQLQEPLRVMDSKVSEMVGILRKHFASIPDFRHFPGLKIKIPCVLSFDSELFNHSVGTSIPSDSCGIDSLPAIYATVLKFSSSAPYGNIPSYHIPFLLGQPVKKDYSCSETNSLDIVPVGNGSAEEKRFKAHVMIELEPREPQPGVINVHIQTNADNSEIIQGQLHSINVGIEDMFLKAIVPEDVPTEAVPNYCLDLFNALWEACGTSTSTGRETFVLKGGKGVAAISGTQSVKLLEVPFMSLIQAVQRCLASFVVSVTGEPLINIVKGGEIIREVVWKDSDSDSLSEAPNPDARLDGGPLYIQYMDDENEKGPHLQISKKNMGCFLVLIFLPPRFHLLFKMEVCDVSTLVRVRTDHWPCLAYVDELLEALFIE >CDP04686 pep chromosome:AUK_PRJEB4211_v1:7:15898304:15901901:1 gene:GSCOC_T00018743001 transcript:CDP04686 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 10 [Source:Projected from Arabidopsis thaliana (AT4G33460) UniProtKB/Swiss-Prot;Acc:Q8H1R4] MNLSSITGTSAFQLPLLPIPQSHTSRSSGLVENLAIECQSLSYSVTPKQGKSKPILKDCSLSIPSGQLWMLLGPNGSGKSTLLKILAGLLTPSEGFFRVKKPRGFVFQNPDHQVVMPTVRDDVAFGLSRFNLTYDEITSRVEKALDAVGMYEYLQRPVQTLSGGQKQRVAIAGALAEKCKVLLLDELTTFLDDTDQVGVIKAVKSTLASSEGITALWVTHRLEELEYAEGAVYMEEGQVVMHGDPSTIRGFIEARQAAYIKQIYS >CDP02123 pep chromosome:AUK_PRJEB4211_v1:7:1561585:1564271:1 gene:GSCOC_T00039412001 transcript:CDP02123 gene_biotype:protein_coding transcript_biotype:protein_coding MAENKTMRKPVFTKVDQLRPGTNGHNLVAKVVSSKMVLQKGRPDGPQVRQMRIAECLVGDETGTIVFTARNEQVDMMKVGATIILRNAKIDMFKGSMRLAVDKWGRVEVAEPASFVVKEDNNLSLVEYELVNVVEE >CDP02818 pep chromosome:AUK_PRJEB4211_v1:7:6769067:6771558:-1 gene:GSCOC_T00040320001 transcript:CDP02818 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFQRKIYLYNVTFGLYMLDWWERCLFNILVVVLMWFVFYNGFRYFAEFCRRELLCNTGIARTFSTSCNFK >CDP08423 pep chromosome:AUK_PRJEB4211_v1:7:24846570:24850009:-1 gene:GSCOC_T00027281001 transcript:CDP08423 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFDSDIKEFNIISLLLYYSLGDLQLVMDFIDSISENLRHLYGTCYEFDKDLKIVMRTLQEKLMHLKSFIRFATLQGVEGVQLKDLLVHIEVVAVNAASLICRLWFQRDDEQVCNEINTEISQVIQQMIVPVDPQVQETYMHVLTASKLSRSSCTFAMKENKHLVAEFIDYLLHSLMELLVYYTSFLVLVKDQMLKLHDGVKFLITLLSKQQEKFDELNDKMKDLIGVVVSDAGIVIFSLSVNEMKEGLCKETDLALSHLLEVLKLIIAEVGHIYPLPSSSLSFPKTNELGSLDFLLDTLKELAGSTADSIAFPNNQIRTILEDLVFLRAFLGNIVEQRSQNGKLQTLWSRVMKVAYCVEIEIDSALLGDKHEHCLDAPARDIELLNIEAEEIYDSIKYGSETRRVTKTAIHMPSQIVAPIFNEALVGLNDEVESIIDRLTRGSNQFDVVAIVGMAGLGKTTLAKNVYSDPSIKFHFHICAWCTVSQVYSKHNLLLQILCVIDSRSSDQYHKMNEDDLAAKLYQQLKGKRYVIVLDDVWDIEGWNLLKHSLPDDCNGSRVLLTSRFHNLSLEIRPDSKPLHLRPLTNKESLELLQKKLFAKEDCPPTLSEVVLHVAKCCKGLPLAVVLVAGILATTQQDCWEEVTRRLSSTIFVENEHCMKTLEYSYNYLPDYLKPCLLYLGAFQEDQDIPIRKLSRLWISEGFVQKIEGKSLEDVADNYLMDLIGRSLVMPAHRRSLGGIKVCRIHDLVHEFCVAKAKEENFLRILNVDDLHAFTGPCNPHRLSIFPTTSWRRIKSRLFFPNLRSLLFFNCNYEQQLDGSSLKFLLSKLLRVLDFGETVCRYFPGEVLFLIHLRYLRIKWKFGKIPSAIANLSRLETFEVGGPYILNFLLPNAIWSIQTLKHLVVFQNHGSGGFEFPMDNLEGSPDLEHLETLSLVIDPSSRSQSLQKILSKLPSIRRLTCVNGNRNWNSECDASAENHDGILVLDYLSRLESLKMGGFSGYQFEFPLNLRKLTLSYNCQPWREFGNLQFLELSGLGIRWWDASSDNFCCLEKLVLHDCGWLEKVPSCLGETLTLDVIELKWCHESAVNSVKQIQQEQMDMGNKDLKIVII >CDP01864 pep chromosome:AUK_PRJEB4211_v1:7:8141236:8142217:-1 gene:GSCOC_T00037039001 transcript:CDP01864 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDYLSDRFEWHNTWGERRKLKKLKKRPLQTVDIRVKIDCEGCERRVRKSVEHMRGVSEVEVDPKKHRLRVIGHVDPDKVLRRVRHRTGKKAQFWPYIPQEVVEHPYAPGVYDRKAPAGYVRNAVDNPQISSLARASSTEVKYMTAFSDDNPNACSVM >CDP01727 pep chromosome:AUK_PRJEB4211_v1:7:9238627:9240510:-1 gene:GSCOC_T00036869001 transcript:CDP01727 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKPGPANSAPLTPLGFLERAATVYGDCPSVVYNNATYTWSDTHVRCLRVASSIVSLGIKRGQVVSVVAPNIPAMYEAHFAVPMAGAVLSTINLRLDARTVSILLHHSESKLILVDYQSTSLVLEALSLFPPNSQRPLLVLITDDASPSIPPSNFPDFYCTYESLVQKGDPGFKWVRPKSDFDPIILNYTSGTTSSPKGVVHCHRGIFIVTVDSLIEWSVPKEPVYLWTLPMFHANGWSYPWGMAAVGGTNICLRKFDAAVVYSAIRQHNVTHLCGAPVVLNMISNFPMGEPLKNPVEIMTAGAPPPAAVLLRTEALGFKVSHGYGLTETGGLVVSCTWKNKWNRLPATERARLKARQGVRTLGMAEIDVIEPETGVSVKRDGSTLGELVLRGGCIMLGYLKDPEGTSKSTRENGWFYTGDVAVMHPDGYLEIKDRSKDVIISGGENLSSVEVESVLYMHPAVNEAAVVARPDEFWGETPCAFVSLKADVKQRPAEKDVIEFCRGKLPKYMVPKTVVFRQELPKTSTGKIQKFVLREMAKAIGSSKVSRL >CDP02565 pep chromosome:AUK_PRJEB4211_v1:7:4888508:4901635:-1 gene:GSCOC_T00039988001 transcript:CDP02565 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MASTVSERIELAKLCTSKDWSKAIRILDNLLAQSCAIQDICNRAFCYSQLELHKHVVKDCDKALQLDPTLLQAYILKGRAFSSLGRREEAVQVWEQGYEHALRQSADLKQLLELEELLVGAKQGYSAANENLTVESSESSYCVNESATVVSVKSDETCDDYRKSNGQFVPLSKSNDQLESCESSNGSSGICNLNDSTSENKKFDNQTNGIHEKHVGTEEKHVGTEEIDDKLGDESLLLGESKDPTQSCVKGPTISVKTSGIPEIQIRPSNKLEMHEEWSNEATKGKKFCVARISKTNSINVDFRLSRGIAQVNEGNYAHAISIFDQILQEDPTYPEALIGRGTAYAFRRELDAAIADFTKAIQSNPAAGEAWKRRGQARAALGDSGEAIGDLTKALEYEPDSEDILHERGIVNFKFKDFNAAVKDLSACVKFDKSNKSAYTYLGLSLSSLGEYAKAEEAHKKAIQLDRSFVEAWAHLAQFYQELANSSKALECLQQLLEIDGRFTKAYHLRGLLLHGMGDHKNAIKELSVGLSLESSNIECLYLRASCHHAIGEYREAVKDYDAALDLELDSMEKFVLQCLAFYQKEIALYTASKLNSEFRWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRDSLRKAKLRKQEFSITKPRTNLLQAADSIGKKIQYHCSGFLANRRQHRMAGLAAIEIAQKVSKAWRSLQAEWKHSSKGTAKSGRKVRRKEKLNLPSQNRGGAGCSTSSLSEISTSYSLLEDRSPLRSTMSWHDVYNLAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPLVLGQAKVVRYFPNFPRMLNTAKMVIKERKYVCDKKDNLVLLSEDKKLQQVMNAESCSDLYQAIGDDFWVATWCNSTAVEGKCLEGTRITLVKLGNGFDFAIRTPCTPSRWEDFDLEMTAAWEALCDAYCGETFGSTDFDMLENVREAVLRMTYYWYNFMPLSRGSAAVGFVVLLGLLLAANMEFTGSIPDGLQLDWEAILNFDPNSFMASVRSWLYPSLKVTTSWKGYPDVASTFETTGSVVAALSTYSD >CDP02506 pep chromosome:AUK_PRJEB4211_v1:7:4409333:4420317:1 gene:GSCOC_T00039906001 transcript:CDP02506 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G67100) UniProtKB/Swiss-Prot;Acc:Q9FHA3] MSDEEPRVAGRRRSRGAQATARADALQRLKALRSGCRRPTEGGATFNIKMEDPIYDTVDEEEYKELVATRREEAKDFIVDDDGLGYVDEGQEEDWSRAGVPLSSEESDGELERPKRKKNNKSNTTAEKEQQSTKKSSALSAAAALMGKQRISNMFTSSVFKKNRDDNKGKNTLSCESIVDDVIAEFAPDEADRERRKKATSRLLSNSISSVPLNSNFMSVKFDNAVNDCVDSSVRHEDTGFRNSNSEFLVNNLQKSHVDEEKNVMLENGKENEGIVKLKDDKLQQEVKLDERVFTLNAKIKEETDSALSATAGWQAVRTAGNEAVSSNGSGVNQSLNNEDKSDFELNSDGSLPFYILDAHEEFYGANSGNLYLFGKVKSGDTYYSCCVVVKNMLRCVYAVPNVSVFHGDILMKLEQDVEESRISSTEFRTQLHEMASGLKTEMSNQLLERNVSCFSMTPVKRNYAFERSDIPRGKNYVLKISYPYKDPPLPSDIRGENFCALLGTHSSALETFLVKRKIKGPSWLSISKFSSRPVPQRVSWCKFEVFVDSPKDIQVLTSSKNIVEIPPVVVTAINLKTITNEKQNLNEIVSASVICCHKAKIDAPMLASEWARPGMLSHFTVIRKIEGAIFPMGFTKEAAERNIKAGFNVISCESRHVLIYFERALLNRLMIELHKLDSDVLVGHNISGFDLDVLLHRFQACKVPSSMWSKVGRLKRSVMPKLSKGNNIFGSGASTGMMSCIAGRLLCDTYLSSRDLLKEVSYSLTQLAKTQLNKDRKEIAPQDIPQMFQNSESLMELIEYGETDSWLSMELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKFILPDKLSYQAKETKMTKRKIDQDVDSKENDYTFDEINFDEIPRNDNGKTKKGPAYAGGLVLEPKKGLYDKYILLLDFNSLYPSIIQEYNICFTTVDRFSDGSVSHLPSSRRTGVLPELLKNLVERRRMVKSWLKAASGLKAQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQSTVDLVQNILNLEVIYGDTDSIMIYTGLDDIGKAKAIAGKVIQEVNKKYRCLEIDLDGLYKKMLLLKKKKYAAIKVQFKDGMPNEVIERKGLDMVRRDWSLLSKELGDFCLSQILSGGSCEDVVESIHDSLVKIQDDMRRGQIELEKYIITKTLTKAPEAYPDAKSQPHVEVALRLRRNGYVTGCSAGDTVPYIICCEQGISSSGSGIAQRARHPDEVKKGNGKWIIDIDYYLAQQIHPVVSRLCAPIQGTSPARLADCLGLDSSKFQSKTNEAGSSDSSSLLLCVGDDEERYRGCEPLVLSCPSCSSSFDCPPLFSSLCSLISQKPADSQAPGNFWLKLRCQLCSVEGDQGRISPAMIANQVKKQAEGFILAYYQGWMMCDDETCKYTTRSLNLRLIGDCDRGTVCPNYPRCNGRLLRKYSEADLYKQLAYFCHILDTVRCVDKIEAGMKNQLEKELAVVRPLVELAASTAQSLRDRCAYGWVQMESLAVSI >CDP02174 pep chromosome:AUK_PRJEB4211_v1:7:1868681:1874507:-1 gene:GSCOC_T00039486001 transcript:CDP02174 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKLDSTSSKNKGSFRSIFMHADNIDKLLMTLGFLGSVGDGVLMPMMLLVTSELMNNIGDAASSVTKDFRHSINKNALILCYMAAGQWISCFIEGYCWTRTAERQASTLRARYLKAVLRQEIGYFDLHVASTAEVIASVSSDSLVIQDVISEKVPVSLMNLSTFVGAYVAAFAMVWRLAIVGFPFIIFLVIPGLMYGRSLMSIARRIREEYNKAGMVVEQAISSVRTVYSFVGESKTIAEYSSALQGTLKLGLRQGFAKGLAIGSNGVVFAIWSFMSYYGSRLVMYHGAKGGNVFAVGAALAVGGLALGSALSNVRYLSEASAAGERIMEIIKRVPKIDSDNLEGQILENVSGKVEFKHVEFAYPSRPESIIFKDFNLEVPAGRTVALVGGSGSGKSTVIALLQRFYDPLGGEILLDGVNIDKLQLKWLRSQTGLVSQEPALFATSIKENILFGKEDASMEEVIEAAKASNAHNFICQLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKTPKLLLLDEATSALDAESERVVQEALDKAAVGRTTITIAHRLSTIRNADLIAVVQNGQVIETGSHDELVEDENGFYTSLIRLQQTEKISQVESNASALASLSNAISDRHSTSSRRLSILSRSSSANSTTPSHRAENAAAPGDQVFSVPSFRRLLAMNLPEWRQATAGCISAVLFGAIQPTYALALGSMISVYFLPDHREIKKKTEIYALSFVGLAVASLVINICQHYNFAAMGEHLTKRIREKMLSKMLTFEIGWFDQDENTTGALCSRLAKDANVVRSLVGDRMALLVQTISAVIIACTMGLVIAWRLALVMIAVQPLIIICFYCKRVLLTTMTKKAIKAQQESSKVAAEAVSNLRTVTAFSSQARILRMLEQAQRGPRRQSIRQSWFAGVGLGTSNSLMSLTWALDFWYGGKLIGEGELGAKALFQTFMILVSTGRVIADAATLTNDLAKGSEAVGSVFAVLDRYSSIEPEDPEGHKPNKVTGHVELRDVDFAYPSRPDVIIFSGFSLKIQAGKSTALVGQSGSGKSTIIGLIQRFYDPLRGVVKIDGRDIKAYHLRSLRKHIALVSQEPTLFAGTIRQNVAYGASEDVGEAEIIEAAKAANAHDFVAGLKDGYDTWCGDRGLQLSGGQKQRIAIARAILKNPAILLLDEATSALDSRSEKVVQDALERVMVGRTSVVVAHRLSTIQSCDTIAVLDKGKVVEKGTHSSLLAKGPSGAYYSLVSLQTTANSTDAIA >CDP02763 pep chromosome:AUK_PRJEB4211_v1:7:6328424:6332758:-1 gene:GSCOC_T00040254001 transcript:CDP02763 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYLKLFVQETSFYNDIVLASLLPERWWAPLPHFFRGWLRNYIGGTLLYFISGFLWCLYIYHLRQNVYVPKDAIPSNKAMVLQIYVAMKAMPWYTLLPTLSEHMIENGRTKCFSRISDVGWLPYLLYLSAYLVFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGILQAVPHVVALFLLPMHFTTHIALLFMEAVWTANIHDCIHGKIWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFNTLRDPEEDEAKKS >CDP01513 pep chromosome:AUK_PRJEB4211_v1:7:11273473:11284017:-1 gene:GSCOC_T00036592001 transcript:CDP01513 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVILTLRYFAGPDVPRYVYFTVAYTWFCSISIIILVPADIWTTIIGHYNGGISFFWSWSYWSTFLLTWIAVPLIQGFEDAGDFTVTERLKTSIHVNLVFYLIVGSIGLLGLILLVTMSKNWDRDLLGFAMACSNTFGLVTGAFLLGFGLSEIPKGIWRNADWTTRQKVLSHKIAKMAVKLDDAHKELSNAIVVAQATSKQMSKRDPLRRYMDVIDNMLVQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRCHLRGAREEYYRCKSEYMTFVLKALELEDTTKNYDQRSATGWKYVSSLRPERNGSFGSFLDTLEFIWRCILEKQFEKVLAVILGCMSAAILLAEATMLIGGVDLSLFSILIKSAGKQEVLVQVFAFVPLMYMCICTYYSLFKVGRLMFYSFTPSQTSSVSLLMICSMVARYAPPISYNFLNLISLHGDKKTIFETRMGTIDSAVPFFGKGFNNIYPLIMVIYTLLVASNFFNRVIAFFGSWKRLRLQTEEDDVDGFDPSGLLILQRERTWLEQGRKVGEHVIPLARNFNGMSTDLESGSHDMDRSTVEMKVKTDVIEDDLKGSSSKPLKEEARSKYGGSKEAISSKYASMREQSKQIPNQKLAENMASAKVSLLDAGSSKSGGSSGIKSGLTSRWASMKAGFQTFKANMESKKFLPLRQVQETQILSRASSSESLDDIFQRLKRPSAEHGNHSDEDEAGMEIRVDGPTR >CDP01674 pep chromosome:AUK_PRJEB4211_v1:7:9633765:9634699:1 gene:GSCOC_T00036804001 transcript:CDP01674 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPNRSFPMDISTFTQIDTFHWILDMNTFVGEAYDSIREICIFLLNNFTLPPEKALAVYIQSPGSPFLYCGAVTITRPSAVLSLPWPEPGGQLQLTAPDSAPLSAKIGVSVEDLSSLPSLDVAAEKKIERLAMKVGENLFHFMQSFCGVDGSKLVVPMDILDRWFKKFQERAKRDPEYLKGFAL >CDP16725 pep chromosome:AUK_PRJEB4211_v1:7:734683:741323:-1 gene:GSCOC_T00019201001 transcript:CDP16725 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGLIDYFQVSEDLVLRSEADGGPPRWFCLLDCPCSSSHSASSSSKNHNPLLLYLPGVDGVGSGLLLQCKKLGEIFKVWCLHIPLSDRSSFSDLVKLVEETVRSENSRTPHTPVYLVGESFGGCLALAIASRNPDIDLVLVLANPATCFSKSQLLPLLPFSHLVPKEMNPSLLYMLCLASGIPSRMAMDALQKSIPLEQTVGELSQAARAVSSYISVLADLLGVETLNWKLKMLKSAEAFSNSRLHAIKARTLILSSGRDSMLPSEEEGERLRRLLPDCQIRTFSDRGHVPFLEDGFDLVTIIKCASFYQRGRCVDYVLDYLPPKPSEFEQIYEPHRWTEVACNPVMLSTLENGKIVQGLKGIPRQGPVLYVGYHMMLGFELIPLVARFWMERNIVLRGIAHPMAFKRLKDGKLPDLSTYDAFRFMGAVPASATTFYKLFSTKSHVLLYPGGMREALHRKGEEHKLIWPEQSEFVRMAARFGAKIIPFGAVGEDDFGQLLFDYDDLMKIPYFEAFIRELTDEAVNLRSEREGEIANQDIHLPVILPKVPGRLYFLFGKPIETEGRKQDLKRRDEAQQVYIQVKSEVESCIAFLKEKRENDPYRNVLARLTYQAVNGFDAEVPTFEL >CDP01734 pep chromosome:AUK_PRJEB4211_v1:7:9176239:9180480:-1 gene:GSCOC_T00036878001 transcript:CDP01734 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKMEWAARGNHLRGIPRKAIFMAVGAFAKAVANLLNTTTVHNAETLIHLVRSRPPGVPLLTVSNHMSTLDDPIMWGFKGFPITDARIGRWVLAAEDICFKNTVLSYFFRLGKCIPITRGGGIYQEHMNEALDRLRDGAWLHTFPEGKVCQEEAPIRRLKWGTASLIARAPVTPIVLPIVHHGLQKVMPENYMFGRRPLLPLWNRNINTVIGEPIEFDLPKLKQTALYRSKDSSHSGARWPILKPCGLDEAAQRWLYTSISERIRTVMERLQSLGKLKC >CDP02201 pep chromosome:AUK_PRJEB4211_v1:7:2077770:2081322:-1 gene:GSCOC_T00039520001 transcript:CDP02201 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:Projected from Arabidopsis thaliana (AT3G28730) UniProtKB/TrEMBL;Acc:A0A178VCP0] MADGHLFNNISLGGRGGTNPGQLRVHSGGILWKKQGGGKAVEVDKSDLVGLSWMKVPRSNQLGVRIKDGLFYKFTGFRDQDVTSLSAFFQTTCGITPEDKQLSVSGKNWGEVDLNGNNLTFLVGSKQAFEVSLADVAQTQLQGKNDVMLEFHVDDTTGANEKDSLMEISFHIPNSNMQFVGDENRPPAQVFRDKIMSMADVGAGGEESVVTFEGIAILTPRGRYNVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPFTFVVVTLDPPIRKGQTLYPHIVLQFETDYVVDSTLSMSEDLLTTKYKDKLEPTYKAIHSGLKMNFRLLIF >CDP02024 pep chromosome:AUK_PRJEB4211_v1:7:6976542:6978579:1 gene:GSCOC_T00037231001 transcript:CDP02024 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKKQSSSNREENSAEKDAKVTELRTAIGPQTGRSLKYCSDACLRRYLEARNWNVNKAQKMLKESLKWRATYKPEEIRWHEVAHEGETGKVSRANFHDRLGRTVLIMRPGMQNSASPEGNIRHLVYLIENAVLDLPEGQDQMSWLIDFNGWSLNTNIAISTARDIIYVLQNHYPERLGLIILYSPPRIFEAFWKVVRYFVDPKTFQKIHFVYPNNKSSMELMKTFFDAENLPSEFGGKATLKYDHEEFSRLMVEEDVRTAKYWGFDITPLNGINGNSEAVVIPEPGSLASAAS >CDP02726 pep chromosome:AUK_PRJEB4211_v1:7:6099394:6102468:1 gene:GSCOC_T00040201001 transcript:CDP02726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STABILIZED1 [Source:Projected from Arabidopsis thaliana (AT4G03430) UniProtKB/Swiss-Prot;Acc:Q9ZT71] MVFIKTPDNQTLTINLNPCATTLKTLTSEIQRQLHLPVALQRLYLSPRLISRPQDDGVLLSLLGVSPLSTLTLHVPLFGGVQPPAVPKNRLDFLNTKPPANYVAGLGRGATGFTTRSDIGPARAAPDLPDRSIGAGAGGAAGVGRGRGKGGPGEEEEEEENEEKGYDENQKFDEFEGNDVGLFASAEYDDEDKEADAIWEAIDKRMDSRRKDRREARLKEEIEKYRASNPKITEQFAGLKRKLHTLSAEEWDSIPEIGDYSLRNKRKKFESFVPVPDTLFEKARQEQEHVTALDPRTRAAGGTETPWGQTPVTDLTAVGEGRGTVLSLKLDRLSDSVTGQTVVDPKGYLTDLKSLKINSDADIADIKKARLLLSSVIHSNPKHPPGWIAAARLEEVAGKLLAARQLIKKGCEECPKSEDIWVEACRLSNPEDAKAVIARGVKANPNSVKLWLEAARLEHDNVNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLQRAVECCPLHVELWLALARLETYDSAKKVLNKAREKLSKEPAIWITAAKLEEANGNTSMVGKIIERGIRALQREGLEIDRELWMKEAEAAERANSVVTCQAIIRHTIGIGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVQYIPHAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNTEEERRLLDEGLKSFPSFFKLWLMLGQLEERLGNLEQAKETYESGLKHCPNCIPLWLSLANLEEKVNGLSKARAVLTMARKKNPQNPELWLAAVRAETRHGNKKEAEILMSKALQECPNSGILWSANIEMAPRPQKKSRSSDAYKKCEQNPHVLAAVAKLFWHERKVDKARSYLNRAVTLAPDIGDFWALYYKFELQHGNEETQKDVIKRCVAAEPKHGEKWQAISKAVENSHQPTEAILKKVVVSLGKEENSAENSKD >CDP02682 pep chromosome:AUK_PRJEB4211_v1:7:5813326:5817080:-1 gene:GSCOC_T00040149001 transcript:CDP02682 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLGLQTSLFTTFFSFAPELPLNPPKISPTSSSLLLLPWELSHIPKSKKFIPTNTSITRTLVSSVSSESVQEVVDVEVADGLTMTQFCDKIIELFLNEKPKSKDWRKYLVFREEWKKYRDRFHSRCLSRADAENDSEMKQKLITLSRKVKKIDDEMERHTQLLKEIRDSPLDLNAIVSKRRKDFTGEFFRYLTLLSETCDTLEDRDALARLGARCLSAVSAFDNTLENVETLDSAQAKFDDILGSVSVDAACEKIKSLAKAKELSPSLILLINSAWASAKESPTMKNEVKDIMYRLYKATQSSLRSIAPKEIKLLKYLLNIIDPEERFSALATAFSPGDEHDMKDPKAIYTTPKELHKWIKIMLDAYHLSKEETELREAQQMNQPVVIQRLFILKETIEEEYLSQEGKRERDDKSEEL >CDP02796 pep chromosome:AUK_PRJEB4211_v1:7:6599445:6602520:-1 gene:GSCOC_T00040294001 transcript:CDP02796 gene_biotype:protein_coding transcript_biotype:protein_coding MASATEPSLTTPIGSRRRDESEFNLNEWALKARISRENTSSRRFSASNIRSFREDARSFRSNITISSTASSPGYTLREEIDPSTYSFTNALKALQAKTVYSWEHLSPDGLALNSKWNEAEKYICNPLSGEVPLECLSAKTLSARSFRNLASRITMSAPLIYPTHSRQYQTKPAITIHEDEVQIQFQAEKKMRSMSTRDIGTQSTPPDFSSDSPSPAPTPSIEERSIKRSDSPVSSEKIKSDVVGEVKETSEEEEETKRNGEGNKTKGKQQVGCLSWSLWKRRRQREKHKAASSNKKKNVLVYCMNGCQKRANTTTITTVIVASARHQK >CDP02269 pep chromosome:AUK_PRJEB4211_v1:7:2620350:2621107:-1 gene:GSCOC_T00039619001 transcript:CDP02269 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRGGALWLLVAAATLTCASCRLIQVGGKPGWRPDVNYTEWAAQQQLCVGDWLMFRFDKRMYNVLEVNRTNYELCNDHGFIQNITRGGRDVFQLTEARPYYFLCGGGYCYGGMKVAINVVEASPPAPEPTPKNGSPMTTTSSNLLALTMVSAAAIVIWCHGSPPFPVHRR >CDP02684 pep chromosome:AUK_PRJEB4211_v1:7:5824545:5826519:1 gene:GSCOC_T00040151001 transcript:CDP02684 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIAAAPSGSESRYYIHVEAGVYQEIVCISKEKTNIALIGDGADVTKITMNRKVPDFETWETATFSVYGDGFMAQFIAFENPAGEGNQAVALLSEADQSSFYRCRFLGYHDTLYAKAGAQFYRECDIYGTVDFIFGHAAAVFQLCHLYALPAASEMITFTAQGNKNDGKKSGFVIHNCIKNEFNGAYLGRPWTSLSTVIVMQSFLDSFINPAGWLEWPGHSTSTVTYREYLNSGPGAATGGRVPWPGYKVLSQPSDAMPFTVGQFIVGDSWLPETGIPYTSGLF >CDP16742 pep chromosome:AUK_PRJEB4211_v1:7:860660:864976:1 gene:GSCOC_T00019223001 transcript:CDP16742 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFTNVAFAPSENFACKDKLSGYIFMCNGKTKADCYRYRVFGLPAARLNVVKKIRPCMTLFLFDFELKLLYGVYCATSNGGLGIEPTAFQGKFLAQVRFEIFKDCLPLPESAFKHVIKDNYNAGSKFKQELSNEQVTNLMSLFRPILTIPVPFSNILPEAEVSASYDRYVVKRILNRSGQPSNYHCSQPAHHPCSENDVSVIANHTYASPFDAISATDTSVPAYAQVPSHVSGPSYGNAYASSSSGAAAYWTTEASQSLYQVPASLYEAVYRPTSNEALASWMATTAAPNKLN >CDP02352 pep chromosome:AUK_PRJEB4211_v1:7:3239813:3242387:1 gene:GSCOC_T00039713001 transcript:CDP02352 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLILWMVIIPDHILFVKCDSIKGPNFAAPAVYVLGDSLVDSGNNNALPTLAKANFLPYGSNFPTGPTGRFTNGKTVVDFVAEFLGLPLIPPYLSLRGFAQANQPGLNYASGSCGILPETGKYIGQCLSFGEQVDLLQLTIELELPRLYETKEKLATYLSRSLFAVSIGSNDYINNYLQPIYNTRTRFSPQSFAKHLVDTLSLQLQRLYRLGARKIFVFEIGPIGCIPSIAKNIKHSGQCVEDINGLVLLFNDQLSSLLKNLSSQLPGSNFTLGRIHGLAYDIAKNPSAYGLRDTSNPCCTTWANGTSACILGLPPCPDPNKHYFWDGFHVTEAVYKVVAESCIWNSSICSPNSIKELVTV >CDP01648 pep chromosome:AUK_PRJEB4211_v1:7:9947895:9950441:-1 gene:GSCOC_T00036765001 transcript:CDP01648 gene_biotype:protein_coding transcript_biotype:protein_coding MFATSSTLLFQEKAKIQLSQFFQQFSRPKTGDVCKARGLVEEMTRKGLKPDKVTYTSLIDGLCKGSDLEAALEIRNVMVREAIQLDDIAYTALISGLCRERLIDDAEIMLREMLNVDLKPDDATFTMVMDGFCKKGDVKKGFKLLKVMQSDGHIPGIIIYNVLMNGLCKLGQMKNADMLLHAMLNLGVAPDDITFNILLDGHCKYGNPDNYEKLRSEKGLILDYGSYSSLIASLSKTSKRYNR >CDP16710 pep chromosome:AUK_PRJEB4211_v1:7:580974:582626:-1 gene:GSCOC_T00019183001 transcript:CDP16710 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQQHQHHHQGMHFLGSLVQTPALSSISPNNEILASSSYRLNIGDACSSRENGFPGLKAQIKGPTAQVKDIFESRTATVAAAENEVKSGTGSKRKGDQKKTRKPRFAFQTRSQVDILDDGYRWRKYGQKGVKNNKFPRSYYRCTHPGCNVKKQVQRLSVDEGIVVTTYEGMHIHPTEKNNDNFEQILNQMHIYPPLLM >CDP02076 pep chromosome:AUK_PRJEB4211_v1:7:1231363:1235577:1 gene:GSCOC_T00039357001 transcript:CDP02076 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDPEMQMGATSNVVSVITALRCLSYASRLPKLDWGAIIRRCMRYEDQVAKLCTPESSVKKGVLRQECLLFSLSHANQFHSLLVFLDELFDLSRFRTLDLNLQSCLLLHLADLIKIFSLSRVEKLFDDVTNYFSWLVSSEQYSTEEKSLLRASCWKGLYLCLDEEFLDAQHHMSNLENCMKMLFALLPAVAIGESCSGILKEWSEAVRCLRKANQGWLLDLLKAPEGTFMEDNGQFFEVVKKIQAKARLVRIGSIPLNELGRLKACMLNTRSQVIWNVLVEVAATLQHAEESIKRQWLLDTLQISCVTSYPSTALRFLGLLCGNYCKYMPVLVVDADTVLSDLPVTLASVLLDCSFGGVAEAVVLSLWTLTERLYAWALCRSKDNYTPSQRSIDRTEDEMAALLLKVTHHACVLLNNHLPVDKRLKLANMVVPDTLLFIET >CDP01706 pep chromosome:AUK_PRJEB4211_v1:7:9395192:9397566:1 gene:GSCOC_T00036844001 transcript:CDP01706 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADDDSSSSFPGYLLDGAFSPRIDRRQSSLPSVIVIGGGISGIAAARILQNASFKVLLLESRDRIGGRILTDYSFGCPIDMGASWLHGVCNENPLAPLIRRLGLTLYRTSGDNSVLYDHDLESYALFDIKGHQVPQQTVIEVGEVFKKILKETDKVRNEHTDDMSVSQAISIVLDRHPELRQEGLAHEVLQWYICRMEAWFAADADMISLRSWDEASSCLSLLMLFNFIWLC >CDP08390 pep chromosome:AUK_PRJEB4211_v1:7:26545584:26545931:-1 gene:GSCOC_T00027217001 transcript:CDP08390 gene_biotype:protein_coding transcript_biotype:protein_coding MKVATRLMCYLPIIIVLAADLCVGIRFSARISESSITDIANQQQLATAVFALGSFWRSEAVFGCLDGVVRTTVGYAEGSKANPEYRSLGDHAESIQVTSYVPSFCSLLIYILLLV >CDP01502 pep chromosome:AUK_PRJEB4211_v1:7:11392057:11392467:1 gene:GSCOC_T00036575001 transcript:CDP01502 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIFQVIYDCTQSHRIEHKIAFIYIFYPTLPSFVCQIDLSSELVKVLSNNRLKSATHRVYRMEGTERDSFAFFYSLKPGKWVEPLPQFTTEIGEPPKYRGFLYDDYMQLRRRDYTDNQPDKYEDIARITYYAINA >CDP08396 pep chromosome:AUK_PRJEB4211_v1:7:26470410:26472560:-1 gene:GSCOC_T00027223001 transcript:CDP08396 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDDLVVTLFQLLKRNRYLIILDDVWDIGAWNLLERSLPNDANGSRILFTSRIENLSLQFKSDSQPHHLCHLSGKECLELLLRKIFGKEDCPPTRIKLLMQVANKCKGLPHTVVIFAGILSRIEPDCWQEFADSLNSNTSNSTEPLELSYIHLPEYLKPCLLYIGAFREDQGIPVRKLSWLWISEGFVQKIEGKSLNDVAEDYLKDLIGRSLVMVTEQRTLAGAKICRLHDLVHEFVVAKAKKESFLQISYGSNDLLQISNWGDDLSTSTGPSPHRLCMHSMSGKELAMSRPFFLRLRCLLSFGYACMGSEKLHDGPIWFLKSKLLRVLDFRDMLISGNFPSELLLLVHLRYLAISLWYSASIPSAIDNLSRLHTFLVGGNAVARLPNTIWNIKTLRHLRRTESPQSSGSTLGHQRNKTFPPSGFTLPTRDIEDSPNLDHLDSFSLAINCFGEQLQKILKKLPSIRRLKCVHADAGNQTGIVKLDSLSRLESLQLHRFYGCKFEVPMNLKKLTLSRNKWPWSEISTIGKLPNLEVLKLEKKSFMGEKWEMQEGEFSKLRFLELSRLNLCNWTAYNSDNFSHLEKLVLYSCLRLEEVPLCLGESSTIEMIEVEFCRKSLVSYVEQIQQEQMEMGNQDLIGGTILFMLQN >CDP01404 pep chromosome:AUK_PRJEB4211_v1:7:12542813:12544471:-1 gene:GSCOC_T00036441001 transcript:CDP01404 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCDKVGLTRGPWSADEDMKLISFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEHEEKMVIDLHSQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLMNMGIDPVTHKPLPQSTSSTEQPQEEQPKNHPDSDQEPKKEPASSVNKSISIPQCQIDSSSVEFNNVFSIDEVPMIEPDEIFIPFAKASSTLSSTSSSSCSSFDRNSSNCNVFGYHQEFFPTINHSQSSFDHYPTDKMIVDFWDDDFISNLDMLTNDDSDRNNLAAVIGLEPSPAQYHVEMVLLDEDYSWKFDHF >CDP12801 pep chromosome:AUK_PRJEB4211_v1:7:14345780:14348361:-1 gene:GSCOC_T00037458001 transcript:CDP12801 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTQTSLFSSHYRSPYLMLCWSILAAASSTCAGHCITQEGLFNLALHLSEVGAFFLISI >CDP01531 pep chromosome:AUK_PRJEB4211_v1:7:11081421:11082797:-1 gene:GSCOC_T00036616001 transcript:CDP01531 gene_biotype:protein_coding transcript_biotype:protein_coding MGRITTLRDLIGVIKDKASASKAAFVSTPETISLRLAVLRVTTHMPSTPPNDNHISALLALGDSSRSTASALISSLMDRLHRTSNSTVALKCLLTLHHIIKRGPFILQDQLSIFPAAGGYNYLKLSSFRDGATAFTWVLSAWVRWYARYIESLLSTSRVLGYFLGSTSCSMERDKQEERISSFLSQDLVRDIDSLVGMIEEICKGPDPSFVEGNELLYEVISLLSNDYLSAVNEILSRLSELNLRLSGLSFAESVEFVCALKRLEDCKEKLSLLFPVKKPSTENLWVLVSELKEKTDKLKVYKEEKKLLTWGKCDKGSESARFVMKRDDWVQFSSGRLGGNKLPLLVVESGEATIF >CDP02719 pep chromosome:AUK_PRJEB4211_v1:7:6054169:6055861:-1 gene:GSCOC_T00040190001 transcript:CDP02719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 21 [Source:Projected from Arabidopsis thaliana (AT5G17450) UniProtKB/Swiss-Prot;Acc:Q9LF57] MGALDYLSNFCTVTSTRRSKRKPMQTVEIKVKMDCDGCERRVKNAVKDMKGLKTLEVDRKQSRVKVSGYVDPNKVLKRIKDTGKRAEFWPYVPHNLVFYPYVTGAYDKRAPAGFVRNVVQAAPPPNATEERITYLFSDDNPNACSIM >CDP12889 pep chromosome:AUK_PRJEB4211_v1:7:13304023:13305555:-1 gene:GSCOC_T00037570001 transcript:CDP12889 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSLGYFEIFLAIICFFYLRNLRSFKRLAWKLPILGTFPYLIMNIHRIHDKCAEVLEKTRGTYHLKGPSFANMDILGTVDPANVHYIMSSNFVNFPKGPEFKKIFEVLGDGIFNSDLDLWKCQRKLARALLNHQSFHKFLQGLMVDLQDVFQRLTFDTTCKFVTGYDPGCLSIDFPGVPFSKAMDEVEEAIFMRHILPEIVLKLERWLGIGQEKKLSKSWKILDEVICKYISVKQEELKKGTKSTNDEEGFDLLTSYINLGDNEITTGLKRDDKFLRDTIINFMIAGRDTTSSALTWFIWLVSTHPTVLNKIREELKSVIQVNEEAENFRLFKVDELKNLVYIHAALCESMRLYPPVPFQHKFPLQPDTLPSGHRVHPKIKVIFSLYAMGRMKFIWGKDSWEFKPERWISERGTIKHEPSYKFLAFNAGPRTCLGKEVAFTQMKAVAAAMIHNYNVEMVEGHPVVPNLSVILYMKHGLKVRVSKRWA >CDP01623 pep chromosome:AUK_PRJEB4211_v1:7:10140659:10142248:-1 gene:GSCOC_T00036727001 transcript:CDP01623 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVGKPPSLPSYPQMIFEAMSALQQKDGANKTAISKYIESRYGDLPAGHSNLLSAHLTRMKDTGELVFFKNNYLIPDPTAPPRRGRGRPPKPKDPLAPGAVVPSEPKSRGRPKKDPNAESAPKKPKPVAPSAQPAVSKTGRPRGRPRKVQPQSAGGGAEES >CDP02198 pep chromosome:AUK_PRJEB4211_v1:7:2059780:2061632:1 gene:GSCOC_T00039517001 transcript:CDP02198 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPVSKLVCVFLCAFTIVVVPTLITAQGSGSAEEEYWRIRAEEAWNHTLKAYEANPHTVIGAFNKQASENEMPGKTAAQDDKLTKLNLPPCCHTQQFLSEKNSTRRELRGKKWGGGCLATNPIDRCWRCQPNWADNRKRLADCVVGFGRGTTGGKNGAFYVVTDSSDDSILAPKPGTLRHAVIQKEPLWIIFERDMVIRLTQELIMQGDKTIDGRGVSVHIAYGAGITIQFVKNVIIHGLHIHDIHQGSGGLVRDSVDHFGIRTMSDGDGISIFGSSNVWIDHVSMWKCYDGLIDAVEASTAITISNSHFTDHNEVMLFGASDSQSKDAVMQITVAFNHFGKRLIQRMPRCRWGFIHVVNNDYTHWEMYAIGGSQHPIIISQGNRFIAPPDIFKKEVRRTVTKRDYAEEARWKEWTWRSEGDLFMNGAFFVQSGDPDFTKKHPEIFDGIAPADSSEVTWITRFAGALMCRPGSPC >CDP02821 pep chromosome:AUK_PRJEB4211_v1:7:6789743:6792362:1 gene:GSCOC_T00040323001 transcript:CDP02821 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLALHHGRHLSTSAAAAATAAVEAAGASTATSTKPSTISTSRAKSQLRYVYDPDKALEIYSSVSPNYTSPLSSRYTQEYTVRRLAKSHRFSDIENFLESHKNDPKITQEPFLSSLIRSYGLAGMFDHALKTFNEMDDLGTPRSTVSFNALLSACNSSKNFGRAPELFDEVPQRYGLSPDKFSYGNLIKAYCEMGSPESALERLKEMEEKGIEITAVTFTTIMHSFYKKGKNEEAERVWSEMVKRGCPIDVGAYNVRIMHIHGEDPDSVKGLIEEISSAGLKPDTISYNYLMTSYCKSGMMDEAFKVYEDLEGNGCKPNAATFRTLIFYLCKRQRFETGYKVFKESVAVHKIPDFNTLKHLLEGLVKRSKFKEAKGMIRAVKKKFPPNVVKAWERLQKELGLVSAEANEVDLEET >CDP12830 pep chromosome:AUK_PRJEB4211_v1:7:14006895:14008407:-1 gene:GSCOC_T00037494001 transcript:CDP12830 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIKIFDTSESVDPTVGFISLPLKKSNFQIQRPYDMPEDQRYSFIDGVHKLWVFKTDKPHSPESHTKPRTEIRILGYDYSSGIWQFEGYGFVPNGTSGVCIMQVFGAGHHATTLMLRVYDGTLSYYTTPLPAVPNIYDRWFRLNVIMMFMLGI >CDP02396 pep chromosome:AUK_PRJEB4211_v1:7:3505540:3511751:1 gene:GSCOC_T00039762001 transcript:CDP02396 gene_biotype:protein_coding transcript_biotype:protein_coding METEDIISLPANSPGDGSEDNDYRCEPGKVDCQSGKLEVEEVKEIGEILGHSGDTADGDDQLLLATENDKTMHDNPSSLEVSFELTETVAATCLSPVRHAGNGSLALKDESFLNNHNKDGFAVSSHEIVLSGAKRSRATVDEQQPSVQVTYKSLTRDSKRKLEELLQQWSQWHAQHCSSSKESSQVLESGEDTYFPALQVGVDKHSAVSFWMENETRCRQNKEVIPFDGNSVPVYDRGYSLALTAMDGSSNLGVLEVVEGSRCFNCGSYNHALKDCPKPRDNIAVNNARKQHKSRRNQNPSSRNPTRYYQNSPGGKYDGLKPGTLDSETRQLLGLGEFDPPPWLNRMREIGYPPGYLDPDNEDQPSGITIFGDEENGEDTEEGEILDSSHPEPARKMSIEFPGVNAPIPQKADEMRWAAGPSDLNMSRNRSYSRSNRTSDSGSRGHYHEQRLSRSFEDDEPPPPGCEVGSPSWSSYSHRYGGFEPGYSPRSPGESRVPRSSSFERSLSARARRSPVVHNDSLKHFPYGNLPYSSPR >CDP12782 pep chromosome:AUK_PRJEB4211_v1:7:14573927:14578407:1 gene:GSCOC_T00037432001 transcript:CDP12782 gene_biotype:protein_coding transcript_biotype:protein_coding MASNHGNTNSEPATNEQIITEFFAKSLHIILESRCPYVSSRNYSGEQVLSSPSSSSSSSSSFWPRDKWFNLALKDCSAALENIDFWRQSYLEPMVVDVVLVQRTSNWDPLNCSPKRALVRNLSSKERYYWNSENDEYRNEVMSEKIIERWVVEYESKKCSNIGGGGGGSGTGSKRWSSTSWHTLYKKSTLLLRSLYVTVRLLPAYKLFRDLISSAQIGMYNLGHRVSSFVEPFTRTEEAEMQRFVFTPVDTACGRLCLSVLYRSSISDVSSEPSTPMSPQFIPDYVGSPMADPLKTFPSGPLPQGSPSFSHLGRRHSWSYDLYKASPPSGIPSPSPSYSESHATFAKPHSYRLPPTGVTRHLPDETPQEHVKNTNYDEYSPSPNFSMSPSPSPPTYTPGIHISNALKRSESAPVSIPAIRASGIPPLFYNQMLPPSPPLRPSKSSLAKMDCRSTLLQAGSTVDKIFPFGKDEAGRLTGVKISSNSSPQKSISRSSSRLSFPDDYDESEFSGPFVVDEDDMTDPGSRPGSFDPVGNPCGQNDHVGVSAVKKSQDAAVGALVCMLKKAPPLQQDLQNSANLLHGSRPEILKSTTMDPNQISGQPVMQQSAAMSSAASLLAPKTTADALEELRGYAVMKNSLLKQGGDHKHT >CDP01749 pep chromosome:AUK_PRJEB4211_v1:7:9052637:9053570:1 gene:GSCOC_T00036901001 transcript:CDP01749 gene_biotype:protein_coding transcript_biotype:protein_coding MASFISASAAPSATFLCTVPKAKFAIRQTSFSSTCGPSASGLRLVPKIQISKAATKSSPISRSLKTRISCLAAQPETLEIVQNTIAKQLSIDEATVTPQTKFADLGADSLDTVEIMMALEEKFGVSIGESGAENVATVQDAADLIEKVKAASA >CDP02176 pep chromosome:AUK_PRJEB4211_v1:7:1888125:1891414:-1 gene:GSCOC_T00039488001 transcript:CDP02176 gene_biotype:protein_coding transcript_biotype:protein_coding MANKKHSRRRERFRWWFSRKKKKADPFDSGAGITEDDAVEEDAGFCGWSMEMDPRISTHELRVFVGTWNVAGRSPVGSLAADLDEWLNLKDIDHTADVYVLGFQEIVPLNPQSIIAGENATEATNWNMLIGKTLNDKYGCPWLTPMLNPINSDDYYYSGIPETGRRYISRSQITTQLRSQTRSQTGQSYRYSRYKLIASRKMVGVFISVWIRRELLKAYSISDVRVCSVACGIMGYLGNKGSVSVSMSIGGTSFCFIAAHLASGEKKGDEGKRNYQVSEIFRRTSFPRLPRDGNLMPPTILGHDRVFLFGDLNYRLYLEDNLARELIRKQDWKALQEFDQLQKELEDGGVFQGWKEGSLEFPPTYKYSSTNSNRYSGGLPSRAREKQRTPAWCDRVLWYGKGVKQISYFRSESKFSDHRPVSALFSTQIEADKRANCRLVVPALVPSGTPCSIGKQANGEATTTLTSLIKTDADACPTNR >CDP01784 pep chromosome:AUK_PRJEB4211_v1:7:8783978:8786281:1 gene:GSCOC_T00036943001 transcript:CDP01784 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSAAADAYENGRYKYIHDIHKGPPEAIDVHHIVLQRSSQKKLLIFCFLTLLLFASSPFFFFLQQLNLLTTLPWSLLLGGLFVRLLSQKLVKKESVIILPAFGVQLETQYGSGRTIRRFVPINKILKPVLNECVTPVTCYWSLALIIRGEEELMLVFKELYPPVKMLVPIWKAVCAAIDVEET >CDP02592 pep chromosome:AUK_PRJEB4211_v1:7:5136258:5142521:-1 gene:GSCOC_T00040028001 transcript:CDP02592 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDQFQTDGDNIRYVATELATDMVITVGEVKFYLHKFPLLSKSSRLQKLAANSNEENSDDINIDDIPGGPAAFEICAKFCYGMIVTLNAYNVVAARCAAQYLEMHETVEKGNLIYKIDVFLTSSIFRSWKDSIIVLQTTKSLNPWSEDLKIVSHCLDSIASKASIDASKVEWSYTYNRKKLPSENGNDTHWNGVRKQQLVPKDWWVEDLCELQIDMYKRVITTIRSKGRVSADVIGEALKAYILRRLPGFSKGLIQEADLVKCHYLVDTITWLVPREKNSVSCSFLLRLLQASIILECGENGRKELMKKIGQQLEEATVADLLIQAPSGETTPYNVELVRDLVEQFLIQEPDGHNDCPADNEFREISHGDVSDASRVKVARLVDCYLAEAARDCSLPLSKFVELAEMVSGFPRPTHDGIYRAIDVYLKEHPEITKSERKRICRLMDCRKLSVDASAHAVQNERLPLRVVVQVLFFEQVRSTTSSAGDSIPELAGSIKALLPGGSHASSRSATTNTEDDWDAVPTAEDLKALKGGLANIRLKEETEHGNDSNLAKINSEKVAMTKVKGLIMSKKIFSKLWSNKERDSENSSSDTSESPASSTAEETKSTPSRSRRH >CDP16673 pep chromosome:AUK_PRJEB4211_v1:7:367949:371724:-1 gene:GSCOC_T00019139001 transcript:CDP16673 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFGHSGGGGGFRGGRQVFPVADEAQVSQRLVQASLVDDLKSALECISDPLVDVNYVGAVCLKLRKTEVVLGEQVANQVRVELEELRTDVTALFVAVHNGNVALVRKLLSVGADVNLRVFKGFATTAAVREGNLEILEILLKAGASQSACEEALLEASCHGHSKLAELLMASDLIRPHIAVQALVTACCRGFMDVINSLMKCGVDVNASNRMLLQSCKPSMYTNADCTALVAAIVSRQVSAVRLLLEAGARTDFKVRLGAWSWDIASGEEYRVGAGLAEPYPVIWCAVEYFEVSGAILHMLLKHISPSTSHFGRTLLHHAILCGNTVAVKMLMKHGAHAEATIETTNKAEFRPIHIAARLGLSTVLQCLIDSGCDLNSKTKNGETALMMCSKYRREECLKVLVRAGADIGLVNLAGQSVVTVARSNQWYLTFQQAILEVIRKGKIPKSSNISLFSPLNFVAQAGDVQALQAVIAQGGINLDTRDDRGYSALMVTAMEGHVEAFQLLLYAGADVKLSNKRGETAILLSQLNQNREHFERVMLEFAIEKGNCNAGEFDALHFAARHGNSDAVKLLTNRGYNVNTPDVNGYTPLMLAAREGHAHVCELLISCGADSNIRNAKGETALSLARKSGGLKNNAENLILDDLARKLVLSGSHVLKHTKGGKGAPHVKFVKMIRAAGIFQWGKSSRRNVICREAVLGPSLSFQTIRWNKGDGEAHGIFRVTTTKNKEYHFMCEGGVEMAELWVRGINLVTREASFFK >CDP16622 pep chromosome:AUK_PRJEB4211_v1:7:52312:55828:-1 gene:GSCOC_T00019072001 transcript:CDP16622 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 724, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G14400) TAIR;Acc:AT5G14400] MTSPIFSWSIVLQLVIVGFALLLGLLALISIFSKYAPLQVNQADDTPPGSLGWPLVGKTLEYLKPHKSNSTGQFLQEHCSRYGRVFKSHLFGNPTIVSCDLELNTFILQNEGKLFASSYPKSVQSILGKLSMLLVSGEQHRKIRSTAVSHIGTSKSRPDFLRYIDKLSSSLTESWKERKRVPFFKEAKEFTLHVMLKNLLDMEPGDPIAPRILQDFLTFMEGFVSLPLYIPGSPYAKAVKARTRISSTLRVIINERKTRKEGYSRGDFLDEILQKGHLDDEEEVSIVLDLLLAGYETTSGLIALVVYFLAQAPKALQQLKDEHRKLRGNKADGEPLNFEDYKQMEFTMNVINEALRCGNLVKFVHRRALKDVKFREYVIPAGWKVLPVISAPHLDPALHQHPSVFNPWRWEDEATSKKVAPFGGGSRLCPGSDLAKLETAFFLHHFVLTYSWKIKEDECPVSHPYLEFKRGLLLEIEPL >CDP12849 pep chromosome:AUK_PRJEB4211_v1:7:13798695:13802291:-1 gene:GSCOC_T00037522001 transcript:CDP12849 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKDATSVPLSQSVDEEDPENPVKSSPNSSNSSTRKACCFFLQSWVSKKFMTGCVVLFPVAVTFFVTWWFVQFVDGFFSPLYEQLGIDIFGLGFVTSLVFVFLVGVFVSSWLGATVFWIGEWIIKRMPFVKHIYSASKQISAAISPGKDIFSYLNMFAL >CDP02516 pep chromosome:AUK_PRJEB4211_v1:7:4518002:4522141:1 gene:GSCOC_T00039917001 transcript:CDP02516 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G36910) UniProtKB/Swiss-Prot;Acc:O23193] MSSISPLDLLPLSSPLRTSIAINKSPAFHRQLPSLLLPQAGPKPSRTYLSSVHQLSSHKPFTVAAGSTLTANSVPARNGIYTVGDFMTRKEELQVVKPTTTVDEALEALVKYRITGFPVIDDDWKLVGLVSDYDLLALDSISGTAKSDVDIFPEVDSTWKTFNEIQKLLSKTNGQVVGDLMTPAPLVVRESTNLEDAARLLLETKYRRLPVVDADGRLVGIVTRGNVVRAALQIKREMENKA >CDP02527 pep chromosome:AUK_PRJEB4211_v1:7:4588065:4591640:-1 gene:GSCOC_T00039933001 transcript:CDP02527 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFICLISAVGEVIVVPSSWFNHQKCWNMERENTFSLTFPLLVFFVILHSCWFYQVVGNGFPINRETLEIVIGVGSPPYSPAYPPDNGECQDCPQLPPPPEPPCPPPPPPPFTLSEELKMAIQVIQRFKRRIKSDPFCVTKTWTGNRICYDKSKYKGFVCDRSTKDNKFRVVGVNFNGFNFDGGPGCPLVATDFVEDLKDLVIFHVNSNNFTGDIPFGISKLPNFFEFDLSNNKLVGAFPAAALGATNLTYLDVRFNQLTGTIPPQAFTLDLDVLFLNNNAFSGKIPGNLGQTPVLYLTFANNELTGPIPRSIGQTSRNLLEHKPIDRSHSTIIRVLEGYSAAELVVQPILRGGSRELVHPGRPGGTGPQVQLLHSSRTRMLEVNREESPERNHELHSRPSFAKKPQGMRGFLLKALDLPGPEMAQLRALRHLCF >CDP02691 pep chromosome:AUK_PRJEB4211_v1:7:5857072:5857816:1 gene:GSCOC_T00040158001 transcript:CDP02691 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDFIHQKPSYFSGCMSPSCVPVHEEYSRIDIGDRGSNGRSSRRLKRLIKKLMSESKSIYGSKPTRFHYDAVSYSQNFDEGCHKDEYPRCQQVFQEFRCHVQHK >CDP02751 pep chromosome:AUK_PRJEB4211_v1:7:6270771:6271769:1 gene:GSCOC_T00040234001 transcript:CDP02751 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQMRLCSVLLLVAVIVGEVEVSRAVTCSPTELSPCVGAIISNQPPSPACCSKLREQRPCLCGYLRDPNLRQYVNSPNARRVASSCGVPTPRC >CDP01486 pep chromosome:AUK_PRJEB4211_v1:7:11524083:11526147:1 gene:GSCOC_T00036558001 transcript:CDP01486 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFTLEAAQELGLPNVLFWTVSAFTVMCFLHFPHLRERGYTPLKDESYFTNGYMDNTIDWIPGIASIRLREMPTIIWTSDPKDEFVDYFIRLMPRTCQGSALILNTFDELEHSILKEFSSMMDHVYTLGPIHLLMNDMLKDDHSTESIQSNLWKEDHTCIEWLNSKGRGSVAYVNFGSITVMTEDQLIEFAWGLANSMQNFLWIIRPDLVNGGPVVLPPEFLTATKDRGMLATWCNQELVLNHPSIAVFLTHCGWNSILESLSAGVPMICWPFFGDQQPNCLCCCNYWDVGVEIDNNANRTQVEKVVKELMEAEKGKEIKKKTLDWKSKAQKAIKPGGSSYLNLDKMIEEVLLSPKI >CDP02195 pep chromosome:AUK_PRJEB4211_v1:7:2044093:2047307:1 gene:GSCOC_T00039514001 transcript:CDP02195 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCAQVGQSTVAIKETFGKFDDVLEPGCHFLPWCLGSQIAGYLSLRLQQLDVRCETKTKDNVFVTVVASIQYRALADKASDAFYRLSNTRTQIQAYVFDVIRASVPKLNLDDAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVLDMVLVTQYFDTMKEIGATSKSSAVFIPHGPGAVRDVAEQIRNGLLQASAHQQPNLL >CDP01773 pep chromosome:AUK_PRJEB4211_v1:7:8864617:8870139:1 gene:GSCOC_T00036931001 transcript:CDP01773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein-sorting-associated protein 11 homolog [Source:Projected from Arabidopsis thaliana (AT2G05170) UniProtKB/Swiss-Prot;Acc:Q9SJ40] MYQWRKFEFFEGKYGERITIPEEISGKIECCSSGRGKVVLGCDDGTVSLLDRGLKFNSQFRAHSSSVLFLQQLKQRNYLVTVGEDEQLSPQFSAVCMKIFDLDKVQQQHEEEGPSTFSPDCVQILRIFTNQFPESKITSFLVLEEAPPILLVAIGLDNGCIYCIQGDIARERIKRFKLQADASITGLGFRVDGQVLQLFAVTPSSVHLFNLHTQPPTGQMLDNIGSDVPSVAMSDRSELIIGRPEAVYFYEVDGRGPCWAFEGEKKFLGWFRGYLLCVIADQSTGKYSFNVYDLKNKLVAHSVVVKEVSHMLCEWGNIILIMADKSALCIGEKDMESKLDMLFKKSLYTVAINIVQTQQADAAATAEVLRKYGDHLYCKQEYDQAMHQYIDTIGYLEPSYVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDVEKLNLFIKSDDGGGETKFDVETAIKVCRAAGYHEHAMYVAKRAGKHELYLKILLEDLGRYGEALQYVNSLEPSQAGVTVKEYGKILIEHKPAETIDILMRLCTEEEPAKRGTSSSTYVSMLPSPVDFINIFVHHPQSLMEFLERYTSKMKDSPAQGEIHNTLLELYLSHDLDFPFISLTNTSENGAVISKGHSNGRTFINRPDVSEGKDRRERFQKGLNLLKGAWPPEQDQPLYDVGLAIILCEMNAFKNGLLFLYEKMKLYKEVIACYMQAQDHEGLIACCKRLGDLGKGGDPSLWADVLKYFGELGEDCSKEVKEVLTYIERDDILPPIVVLQTLSRNPCLTLSVIKDYIARKLDHESKLIEEDRRAIEKYQEETSAMRREIQDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHQRCLGDNERECPECAPEYRSVLETKRSLEQNSNNQDQFFQHVRNSKDGFSVIAEYFGKGIISKTSTRQAGA >CDP02234 pep chromosome:AUK_PRJEB4211_v1:7:2365243:2367853:-1 gene:GSCOC_T00039568001 transcript:CDP02234 gene_biotype:protein_coding transcript_biotype:protein_coding MENGQAKVNASSRKEEHGSVSTERQQISQMPLSSNLGRLYGECCPPGFIRKVTAEIIATYMLVFVTCGSAALSASDEHKVSKLGASVAGGLIVTVMIYAVGHISGAHMNPAVTLAFAAVRHFPWKQAPFYAGAQLTGAISAGFTLHVLLRPIEHVGTTSPSGTTIQALVMEIVVTFSMMFVTSAVATDTKAIGELAGIAVGSAVCISSILAGPISGGSMNPARSIGPAIASNYYEGIWVYVVGPVCGTLLGAWSYNFIRVTDKPVHAISNSLSFQLRRMRSNQESIADDDPLNSL >CDP02563 pep chromosome:AUK_PRJEB4211_v1:7:4877571:4879750:-1 gene:GSCOC_T00039985001 transcript:CDP02563 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKSCFGLALICIVVATVGGQTPAAAPAKSPSAATVPTPPAAAPTAKPITPAAPVTAPAAAPPTAVSNPPAAAPTAKPTTPAAPVTAPVAAPPTAVSTPPAAAPVSAPPTVATPVPASSPTAAAPGSAPPAPVPVSSPPAAAPVQSPPSPAPEAATPPAASTPPAATPAPASEPPAPAPSKKKGKKHHAPSPAPAPELHGPPAPPSEAPGPGLDSVSPGPSLPDNSGAEKLKVVGSLVLGWGVFGWLFF >CDP11585 pep chromosome:AUK_PRJEB4211_v1:7:20551392:20551580:1 gene:GSCOC_T00033922001 transcript:CDP11585 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSARATWTLMLKMLTYLPNTTTLSPAIFSDDIRQNKKKRKDFFARGDEKKEELWAIVAIC >CDP12814 pep chromosome:AUK_PRJEB4211_v1:7:14253410:14255245:-1 gene:GSCOC_T00037474001 transcript:CDP12814 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP04596 pep chromosome:AUK_PRJEB4211_v1:7:17934092:17940437:-1 gene:GSCOC_T00018590001 transcript:CDP04596 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRNKAIVSPKWIVVFCIFSFALGVLFSNRLWAPSEWDDPIVSLRRRQQELAIDSKREKDKEDVIRGYKEAQEAIKNNRSLDNSIAKLRLELPSVRDVDQMGKSENLPALGTDSNGKSKREKAFIVIGINTAFSSRKRRDSVRETWMPQGEKLVQLEREKGIFIRFMIGHSATSNSILDRAIDLEEQQHNDILRLEHVEGYHELSAKTKAFFSTAVAKWDADFYVKIDDDVHVNLGTLAATLARHRSKPRVYIGCMKSGPVLYQKDVKYHEPEHWKFGEEGNRYFRHATGQIYAISQELATYISVNRPILHKYANEDVSLGAWLIGLEVEHINDHNMCCRTPHECEWKAQSGSACVASFDWRCSGICSSVERIKEVHTRCGEDPATLWSVLL >CDP01411 pep chromosome:AUK_PRJEB4211_v1:7:12409859:12413343:1 gene:GSCOC_T00036453001 transcript:CDP01411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39710) UniProtKB/Swiss-Prot;Acc:Q9SCY3] MAVSTLTPAIVTPNPNYQAFRPKPTSATFRVTALASSNSLNSTTLISKKQICQVGLGLLAASVVSSTPLDANATRIEYYATTADPPCEFSYARSGLGYCDIAVGSGPEAPRGELINVHYTARFDDGIVFDSSYKRGRPLTMRIGVGKVIKGLDQGILGGEGVPPMQVGGKRKLQIPPRLAYGPEPAGCFSGDCNIPGNATLIYDVKFVDIYKGNRK >CDP02467 pep chromosome:AUK_PRJEB4211_v1:7:4019869:4025182:1 gene:GSCOC_T00039852001 transcript:CDP02467 gene_biotype:protein_coding transcript_biotype:protein_coding MVTETELVDRLREFLSTSDLNTTTTAIVRRKLEEDFGIDLSDRKAFIREQVDIYLQTQFQEQAEEYKEENGDAQAQVPAVIDAEEEEEEEEDEEEESEEPSNGKPTSKKGLKKKNKEVKRRGGGFTKLCSLSPQLQKFTGVPELARTEVVKQLWSYIRENNLQDPSNRRNILCDGTLRDLFGVDSINMFQMNKALAQHIWPLETNASVSSTPKEKQRKQERAEEMDEPKRKEKRHKGGTSGFLAPLPLSDALVKFLGTGESALPRSDVVKRIWDYIKQNNLQDPSDRRRILCDEKLKELFDVDNFQGFTVPKLLTGHFIKPEQ >CDP02699 pep chromosome:AUK_PRJEB4211_v1:7:5922537:5925984:1 gene:GSCOC_T00040166001 transcript:CDP02699 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIKKVPTVVSNYQEDGDDNNNAAGIRTEDATQSQARGCARNCLGKCCLPVSKLPLYAFKKNDYPSKNNAVFPSDDGVSPISFLNNLLLAQWEDRMRRGLFRYDVTSCETKVIPGRYGFIAQLNEGRHLKKRPTEFRIDQVLQPFDENKFNFTKVGQEEVLFRFESNDDDKSRFFSSYAVDICSISPSVVAINVSPIEYGHVLLIPRVLDCLPQRIDHESFLLALYFAKEAADPFLRVGYNSLGAFATINHLHFQAYYLSVTFPIEKAPTRRIMTRKGLQGADLVVSELLDYPVRGLVFEGGCVLRDLSDAVASSCIYLQQNNIPFNVLIADCGRKIFLLPQCYAEKQALGEVSLEVLETQVNPAVWEISGHMVLKRRKDYDDASEDYAWKLLSEVSLSGVRFESVKACVSEATGLQVEVDTYNIDQKECIYDSEAPQVASHMQRDCPAIH >CDP02025 pep chromosome:AUK_PRJEB4211_v1:7:6968783:6970417:-1 gene:GSCOC_T00037233001 transcript:CDP02025 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIMGSRSCRIRRLCSSSSSSSSWKRVERSKGLLLASELASSSDVARLTGYERLSQSTGLPNEYGGGCKPISITRKHTAAWRYLGKVFSFKRTGGRGEVAAEAAANPPEAVVKKKNRSSWLPDRDRRWPVQGW >CDP02536 pep chromosome:AUK_PRJEB4211_v1:7:4663922:4665894:1 gene:GSCOC_T00039944001 transcript:CDP02536 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSHSQQQPPQIQLQNLIFDGLYCEEERFDEVLGSGLGFEQDESDYYYYCDKKSLTLLENDLFWEDEELVSLLSKEKEAHLGNNRSSNLDSDGLLIVARKEGVGWMLRVIGHYGFTPMTAVLAVSYYDRFISSLCFQRDKPWMSQLAAVACLSIAAKVEEIQVPLLLDFQVESAKFVFEAKTIQRMELLVLSTLQWKMKLVTPLSFIDHIVRRFGLMTNRNLEFLKRCEDLLLSVIVDAKLVHYLPSVIASATMLIVVKDIDPCRLMEYQNQLMDALKVSKETINECYKLILEIMDDQGNKHYQNGKRKHQPIPGSPNGVVDAYFSCDSSNDSWDVVLSASPSKQMFKRSRVQDKLMML >CDP01429 pep chromosome:AUK_PRJEB4211_v1:7:12237253:12238350:1 gene:GSCOC_T00036474001 transcript:CDP01429 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQDSILKSMVKIMEVCNAQGFVYGIVPEKGKAMTGSSDSLREWWKGKVKFDQMAPVAIAEFLPKIIQEGGVDPNSLLYLLQGIPDTILGSLLSALMQHCAPPQRRFPLENGLPPPWWPTGKELWWGDQGMAQEQGAPPYKKPHDLKKAWKVSVLASVIKHMAPDFNRLRRLVRQSKCLQDKMTAGDTSTWSRIVDQEEALSKLTEKALKISPSEQDDQEKEKEEGLNLASVAASEKRKCMFECEVILDSLLACQNSDCPQSNLSSGFSDKNSRSEHEKTCIYRKSDGEKNDADIKSQESNSFPVGLSIHHQPFPEGQVLFTADQVMVNDDHHGVTSQHDWMDTYHDEIGQLPWIMMHMETWT >CDP04778 pep chromosome:AUK_PRJEB4211_v1:7:14746813:14748144:-1 gene:GSCOC_T00018878001 transcript:CDP04778 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIPNTLWENASYDTFKTSIRQECEQACLNDCNLLTNPKVALVKVGMPGSPVGGGSSYPQLHPKKKLRLDILIISISLLVFAPVILVISGVLIHIHQLGAHKNMSRYKNAEPMGDVAPRAFTYAELERATNDFKEELGQGAFGTVYKGMLMDFQKAVAVKRLEKVLAEGEAEREFHNEMAVIGKTHHRNLVRLLGYCADGPKKLLVYEYMSSGSLADVLYAKENQPNWDEGIKIACDIARGILYLHEECETQIIHCDIKPQNILMDEHRCAKISDFGLAKLLKHDQTKTFTAIRGTKGYVAPEWHKKLPVTVKADVYSYGIVLLEIICRRKNIDWSFPEDQAILEEYAYDCFEAQEMIKIAIWCIQGEPSLRPSMKKVLLMLEGTVDIPIPPNPTSFLSST >CDP01873 pep chromosome:AUK_PRJEB4211_v1:7:8095039:8097046:1 gene:GSCOC_T00037048001 transcript:CDP01873 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDMWNAPPGFRPTKSAPSSPAKPLGVSRTRSESFQVAHKVPVGDTPYVRAKNVQLVDKDPEKAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQEALDNILKLITVIKRCGRLDDQIGLLRHKLYLIQQGMAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQHNYIEAEDAYRRALLIAADNNKMCNLGICLMKQGRIAEAKENLRRVKPAVADGPRGIDSHLKAYERAQQMLRDLESEMMNKGGDRVEQSKLFDAFLGSSAVWQPQPCKEQSNVSTAASSNHHRDEYADENISSNLIQNQMVPPVQKNVKSSAVYGNSLNIDAPPFYFSKSSKDPIGTQFPETLKRTRSGNAANSARAKTMGELMMISSLEPEQKVRKQSISPEKDPDRLADLLPDSKDFEEAILAAVLGSGDESGKFLESRNEAGVAQQKVDKRLKVFQDITLSLSPRA >CDP12802 pep chromosome:AUK_PRJEB4211_v1:7:14295773:14298294:1 gene:GSCOC_T00037462001 transcript:CDP12802 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFLLQVLQERASDFQTDIGTVLQSFCLLIVRVLHRRTTKIKPQTAGARMDGLIAFLHYWPGTDPSTREVFLMLARFLNRIFQLDGSVTRRRLHQLLKLHALPD >CDP04600 pep chromosome:AUK_PRJEB4211_v1:7:17816981:17826454:1 gene:GSCOC_T00018595001 transcript:CDP04600 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVWFQCVFAAITVILLAGSVLGRMNIKAWMAFVPLWLTFSYTVGAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGITAAYWVGPRSKNDRERFPPNNVLLMLAGAGLLWMGWAGFNGGDPYSANIDSSMAVLNTNICAATSLLVWTWLDVIFFNKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSVPWFTMMIVHKRWTLLQKIDDTLAVFHTHAVAGFLGGILTGLFAEPTLCSLFLPVANSRGAVYRGVGGVQFLKQIVGGGFVIGWNIVATSIICFLISLVIPLRMSEEQLKIGDDAVHGEEAYALWGDGEKYDMTRHGFSSDDTMHGKTSSGATQVV >CDP01993 pep chromosome:AUK_PRJEB4211_v1:7:7169028:7171363:-1 gene:GSCOC_T00037195001 transcript:CDP01993 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDIATSAAAKGTVCVTGAAGFIGSWLVMRLLERDYVVRATVRDPGNMKKVKHLLDLPKASTHLTLWKADMTEEGSFDEATQGCEGVFHVATPMDFDSKDPENEIIKPTINGALNIIRSCVKAKTVKRLVYTSSAGTVNVQEHQQPVYDETNWSDLDFIYSTKMTGWMYFVSKLLAEKEAWEVSKQSNIDFISIIPTLVVGPFIMPTFPPSLITALSLITGNEAHYSIIRQGQFVHVDDLCEAHIFLYEDPTAEGRYICSSHDATIHDLAKLIAEKWPEYSIPELKGVDKDIPVVSFSSKKLVGKGFQYKYTLEDMFRAAIDTCREKGLLPYSTQGHENGKEKEPLPVANKDQASGQVNGSLPDSAEK >CDP04581 pep chromosome:AUK_PRJEB4211_v1:7:18641231:18643289:-1 gene:GSCOC_T00018555001 transcript:CDP04581 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFGDEQGTSSSSDEEDVLFAAGAALLFGPNAEPYGGPIQKVPCRTSALSGRHWVEEVLSGHHTRIMDATRLNVDSFMRLCQLLAECGFVPQNYQKRVTIEEALAMTLVMMSHNMRMRMIADRFNHSTETVHRNIHEVIRGLCTFAQFAITPRWQDEIHPKILNDTRFYPWFEDCVGAIDETHIPAYAPREQQVAYTNRHGVQSQNILVVCDHDMRFVYVYAGWERSAHDARVLDGALTGPNHFPMPPTGKYYLVDSAYRNLPGFLPPYRGR >CDP12819 pep chromosome:AUK_PRJEB4211_v1:7:14242065:14243530:-1 gene:GSCOC_T00037479001 transcript:CDP12819 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP16691 pep chromosome:AUK_PRJEB4211_v1:7:489079:493416:-1 gene:GSCOC_T00019158001 transcript:CDP16691 gene_biotype:protein_coding transcript_biotype:protein_coding MVHASEEPFNAEPPRLALISSYVTPVDYFYKRNHGPIPIVEDIDRYFVSISGLVQNPKDIFMKDIWSLPKYTVTATLQCAGNRRTAMSKTRKVKGVGWDVAAIGNAVWGGAKLTDVLELIGVPKLTSVTSLGGKHIEFVSIDKCKEEHGGPYKASIPLSQATNPVADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWENINWSTRNPQMDFPVQSAICSLEDINFVKHEKITIKGYAVSGGGRGIERVDVSTDGGKTWVEASRYQKPGIPYNAGDSCSDKWAWVLFEAEAEVPQSAEIVAKAVDVAANVQPENVEVIWNLRGILNTSWHRVHVRIGHSNM >CDP12783 pep chromosome:AUK_PRJEB4211_v1:7:14544439:14545371:-1 gene:GSCOC_T00037434001 transcript:CDP12783 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTSTSSPMLTQELLIQFHPRKLLLHTPLLQPPAAASATPPTIGNLSSHAPSEPAADNSFDANVVMVLSVLLCALICSLGLNSIIRCALRCSSFVSSDQSNDNSSARLANTGIKKKALKTFPTINYSTELKLPALDTECAICLSEFATGERVRVLPKCNHGFHVRCIDKWLNSHSSCPTCRHCLIETCQKIVGCSQQASSSRPAPSPAPPAPPAQETIVRIAPLEPEGLLHNYQT >CDP04657 pep chromosome:AUK_PRJEB4211_v1:7:16681864:16682166:1 gene:GSCOC_T00018690001 transcript:CDP04657 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGKTTLAQLVLKNESIMKYFDEKFWVCVSDNFRVERLLNHMLQSLGEKNAETTTREAFRMYKPKKLYVVDHLYCIFDKNKINIIQRIQITCMFIFLT >CDP02020 pep chromosome:AUK_PRJEB4211_v1:7:6988771:6992852:-1 gene:GSCOC_T00037227001 transcript:CDP02020 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNASFKIILGSSSVARRQILSEMGFEFTVMTADIDEKSVRKEKPEDLVMALAEAKADAIISRLKNTSYLGENEQPVLLITADTVAVFEGTVREKPSSKEEARKYLRDYSRGHTSVLGSVVVSNLTSGNRKGGWDRAEVYFHEIPDDVIDNLIEEGIILNVSGALMLEHPLTLPFVDTVIGTADHVMGLSKALTEKLIQEAL >CDP01889 pep chromosome:AUK_PRJEB4211_v1:7:7975893:7978122:-1 gene:GSCOC_T00037065001 transcript:CDP01889 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGSNSIRRMSTRSRKIAPKMAAALASSDNRTQAILARLDALENDNAATEAVQQIDDDDDEVSLDDDDDQVYQKKQSKSTKRKTRQAKALENAKKASRTFLELLHEANLESLPPHVPSYLRAAVGPPSSSSSRHFCTVCGFTANYTCVQCGMRFCSIRCQTIHNDTRCLKFVA >CDP02240 pep chromosome:AUK_PRJEB4211_v1:7:2392427:2393315:1 gene:GSCOC_T00039574001 transcript:CDP02240 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPTERVADQSSPPSLEELARRSARTILLPFIIGYSSSAAPSDRPREIAIIVNPRTGSLTVIEGSVDVESLLLGKEGRVPASKASIEAMPLVKVAEGGLDCAICLAELEVGEEAKQMPCKHHYHAGCIDKWLGIQGSCPVCRYRMPVEQDEKINNGEGGGDDSDGEADDDGEEEEEEEGGSGMNGRMPAFVFHIYFAPRRRSNQDSGGAIEADDDNSASTGDVDGSSTEDMEDDSRTSSPEMDIDQSTS >CDP02537 pep chromosome:AUK_PRJEB4211_v1:7:4672092:4674135:-1 gene:GSCOC_T00039946001 transcript:CDP02537 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAISRFAKMLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNASFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDKERISEARDELHRMLNEEELRDAILLVFANKQDLPNAMRVSEITDKLGLHSLRQRRWYIQSACATSGQGLYEGLDWLSSNISSKAS >CDP04574 pep chromosome:AUK_PRJEB4211_v1:7:18855109:18868974:1 gene:GSCOC_T00018537001 transcript:CDP04574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MSYSELCASISLSKAKSLSPGPPFSSNSSSPTTSVFLHSPFSFPLPTAISSHHHLHHRPFCRTGNGPNLFCRDSQVSGPKNFEEEDNKLSDESDVDDESFNVESMEVEAKFAVKEFSDALSRQLTIEDDPVDQKETSIKRRKHKSSAKNIPDHLLPRVTIVGRPNVGKSALFNRLVGGNKAIVVDEPGVTRDRLYGRSFWGDYEFLVIDTGGVVTISKLHDDVMEELAISTTIGMEGIPLASREAAVARMPSMIEKQATFAVEESSVIIFLVDGQAGLTAADVEIGDWLRKKFSHKHIILAVNKCESPRKGSMQASEFWSLGFSPLPISAISGTGTGELLDFICSGLEKIEDYADVEGKEDFVPAIAIVGRPNVGKSSILNALVREDRTIVSPVSGTTRDAIDMEFTGPDGQKFRLIDTAGIRRRVAVASSGSTTEALSVNRAFRAIRRSDVVAIVIEAMACITEQDYKIAERIEREGKGCLIVVNKWDTIPNKNQQTATYYEQDVRDKLRLLSWAPIVYCTAIAGHSVDKIIDAAGMVEKERKRRLTTATLNQLVQEAVVFKPPPRTRGGKRGRVYYCTQAAVRPPTFVFFVNDAKLFLETYRRYMEKQLRSSAGFSGTPVRLLWRSRRKMEKGDRRPAATNFAQENYISVDRRLAVA >CDP02656 pep chromosome:AUK_PRJEB4211_v1:7:5580912:5583171:-1 gene:GSCOC_T00040111001 transcript:CDP02656 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIVRSGLRSAIRGGASRTAPAPASKRTFSASAHHDEAAEASKWEKITYLGIATCSTLAVYNLSKGHHHHEEPPPYPYLHIRNKEFPWGPDGLFEEKHH >CDP12826 pep chromosome:AUK_PRJEB4211_v1:7:14142422:14144649:1 gene:GSCOC_T00037488001 transcript:CDP12826 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSPTWAAYALVWVATVVIAHLSKHFHQKKLNLPPGPRQWPIIGNLNLIGTLPHRSLHQLSLTYGPLMHLQFGSFPNSIVTPEEFRQMLDEVFLLTGVFNIGDFIPWIDFLDLQGYIKRMKILSKKFDRFLEHILDEHNAQRKDETDCVSKDMVDVLLGLADDPTLEVKLERHGVKALILDLLAGGTETSATTVEWAISELLKNPEILNKAAEELDRVIGQNRWVNEKDMPNLPYIEAIVKETMRMHPVVPLLVPRCAREDCKVAGYDIQKGTRVIVNVWSIVRDPESWEKPEVFWPDRFMGKDIDFKGQDCKFLPFGAGRRMCPGYSLGLKVIQSSLANLLHGYRWKLPNDMKPEDLDMEEIFGLTTPRKIPLVAIVEPRLPCNLYSL >CDP01482 pep chromosome:AUK_PRJEB4211_v1:7:11579148:11582047:-1 gene:GSCOC_T00036552001 transcript:CDP01482 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGLASFVTFAVTHFNDPRISSAELKDLLLQSISVLVQYKEFIAVFERNEAAIQRMPKALLSAFDNRSWVPVTNILVQLCKGSGFGSSKHVEVFFYFLQKLLLDACVQDEELFSAFLNCLFNTLSWSMTEFSVSIREMQENYQFLGKELNIWINLLSIFSFICILDILQRKCSVIFDLSCNPARVLEFCTREIPQAFLSGVDTNLRRLTELIVFIINQLTSSVDPEFLDLSIRRPSPEKVNGGMILGPLAGIILNLLDASQEADCGDHNDIVNIFASMDCAETVLHGFYLLEYNWAGLVKGVDDISKLKQLETLSSLLICQKESQEFEMMVCAEESNSDNNICCICYACEANAQFVPCSHSPCFGCKTRHVLNCQRYFFCNATVTEAVRTEAEAANIA >CDP08426 pep chromosome:AUK_PRJEB4211_v1:7:24732381:24732732:1 gene:GSCOC_T00027288001 transcript:CDP08426 gene_biotype:protein_coding transcript_biotype:protein_coding MHCCRHFLLVLMLLFRFALFHKDWLDELTANQRFIHQNR >CDP01687 pep chromosome:AUK_PRJEB4211_v1:7:9560738:9567827:-1 gene:GSCOC_T00036821001 transcript:CDP01687 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLFNLFPARTKAGVKTSLFFISAAGVAALVDNPDSLRLPSPPHATDFPFLYGAVRSSRALFTIASTVVDYKYSLHYLPEKSDEYRNVLSEVHLRSAKRILKLCEFNRGFYVKAGQFVAALRQVPKEYSSTLSSLQDQTAPCRFKAIKELLVSNFGRDLSEIFISFDEQPVAAASIAQVHHAVLNDNQEVAVKVQYPGLEKQMKYDLATMSLLSRLVTWFFPDYRFGWILSEFEKSISSELDFIQEAMNSERAAKNLRSNSFVRVPRVFWDLTTTKVLTMQYCKGQKVDDLESMKRMGINPAKVAKALSEVVAEMIFIHGFLHGDLHPGNILVSQGQNGFSLVLLDHGICKELDEAFRLNYCQLWKALIFMDSNKIEQIGEQMGVGKYSRYFPVIFTGRTLNSKSPLGRGMSDEEKRTLKRELKSLKMEDISSFMESLPADFLTVLRADGLLRSLISKLGAPQRVRLRAYAKNAFHGLYPKEKSESDISMRAAISKLEMGIKDIQLILLLDTLELLSFMAAFTHSFAKRSKDILVSAGRAIRKFFAQLMSISLI >CDP04713 pep chromosome:AUK_PRJEB4211_v1:7:15547160:15561596:-1 gene:GSCOC_T00018778001 transcript:CDP04713 gene_biotype:protein_coding transcript_biotype:protein_coding MKTATLNQIEVLPHFRKSFCYPSKTLKPHSLFPKNQVFFAPKLQFLKPQIKPFCFSPRKLRIKAFNASSSSSASQGSSSDENESAEQLFEKLKESERERVNKLEEFERKANVQLERQLVMASDWSRALLTMQGKVKGTEWDPVNSHRIDYSEFQRLLNSNNVQFMEYSNYGQTVSVILPYYKDGKREGSAGNMNKIVFRRHVVDRMPIDCWNDIWQKLHQQLINVDVYNVNTVPAEVYSTVATAVVWSMRLAFAIVLYLWIDNMMRPIYAKLIPCDLGEPPKKKRQPLKQRALGSLGKSRAKFISAEEKTGVTFDDFAGQEYIKRELQEIVSILKNEEEFQDKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFASARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKYFRSEEEKETLLKEIAELTDDFTGAELQNILNEAGILTTRKDMDYIGRDELLEALKRQKGTFETGQEDSTEVPEELKLRLAYREAAVAVLACSFPDPYRPFTETDINSIRSRPNMQYVETPGRVFKRKGDYVYSIVRACAPRVIEEEMFGVDNLCWISAKSTVEASRLSEFLILQTGMTAFGKAYYRYQNDLVPNLAAKLEALREEYMRFAVESCSSVLRENHFAVESITDILLEKGEIKADEIWRIYKSSPRIPQPTVRPVDEHGALIYAGRWGIHGVSLPGRVTFAPGNVGFSTFGAPRPMETQIISDETWKLIDGIWDRRVNEIRAEASMEIKEEEDKQEPQLLMASHFL >CDP17144 pep chromosome:AUK_PRJEB4211_v1:7:27577764:27588725:-1 gene:GSCOC_T00011169001 transcript:CDP17144 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKLPEILSVFESSLSQIKWKLRPSSKRRLETDVLALITEMRPVVMIDYGGKMPELQEHLCSFLDSCQKESPVFELLKVMVIEDMIYLIHARALAEFVKSSLNLETEVVLIDVEQDPPKMMAQNYKSSAAAEFLSIQKKFYSLFHSNPVKRDLLQCEGTETRTGTGTSNSTGVIDLSSWIQETEVTIPAINGWLLGYPVVYLFRKEHIEDAVCNLSTKSLNLYQIFVSRSGIPSRGAQCEELMSFTVPYDLSLEGRNEPWAKSFLLRMQAKLETCKQVWGSLKMEVSGCYPQAIVL >CDP01808 pep chromosome:AUK_PRJEB4211_v1:7:8598559:8599870:1 gene:GSCOC_T00036975001 transcript:CDP01808 gene_biotype:protein_coding transcript_biotype:protein_coding MFATAVRYFSKKPKPKMKPIELKTPPEQTQTITRAIFDILKEHGPLTVSDTWERVKEVGLRGLTSKRHMKIVLRWMRERQKLRIICNHVGPQKQFLYTTWFTKPSITKMRPTNESSRPKLP >CDP02128 pep chromosome:AUK_PRJEB4211_v1:7:1583812:1587342:-1 gene:GSCOC_T00039419001 transcript:CDP02128 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIISCHQYSFVATSPLKKSVHHKLSSTATHTNFHFAPSPNNAPIQPLKPLCCSQNPPPFRSPPKQASSPFLPYSILLRLENWFFPSLKGCAISIALAVGLLTGVPALANTTSNPALPDVSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKLAGVKALDSVERNVRQASRALKQGRTVIVSGLAKSKVDHGIEMLNKLEAGMEELEQIIADRNRDAVSPKQTELLNYVGGVEEDMVDGFPYEVPEEYQNMPLLKGRAAVDMKVKVKDNPSLDECIFRIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRADGFVVQTGDPEGPADGFIDPSTEKTRTIPLEIMVNGEKAPFYGATLEELGLYKAQTRLPFNAFGTMAMARDEFEDNSASSQVFWLLKESELTPSNANILDGRYAVFGYITENQDYLADLKVGDVIESIQVVSGLDNLVNPSYKIAG >CDP04734 pep chromosome:AUK_PRJEB4211_v1:7:15302575:15303553:-1 gene:GSCOC_T00018811001 transcript:CDP04734 gene_biotype:protein_coding transcript_biotype:protein_coding MLPILAHGHISPFMELTKKLIDRSIHISIHIYLCSTLINLKPISKKLISIKYTESIELVKFHLPELPELPSHYHTTNELLAHLLPILFYSLKLSNPEIHNIVESLKPDFVI >CDP02459 pep chromosome:AUK_PRJEB4211_v1:7:3960084:3963855:-1 gene:GSCOC_T00039842001 transcript:CDP02459 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVCQLKELEVEHIEVREVLRCILHTILFHRALGLVRPKDVDLELFDITYVQCGDVEHEKKINEKIDHFIDRVEKHPNKKNQICLSFYEVKNKQATWFTNKVERHYWEQWYINLNTVQHPKTHSGKSHHSKVVVDPGETASEERNARRTALESSLREVLFQIIKFVNEKKDHVPPIPNLDGVSFPYEITISSSSDSAFGMDMLKRMLQTGHPTMLS >CDP02793 pep chromosome:AUK_PRJEB4211_v1:7:6583161:6584734:1 gene:GSCOC_T00040291001 transcript:CDP02793 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPDYVNGDLSALCVWPDQVRHWHKYRWTSPLHFIDTPDNACNFDYERDCHDQHGVEDMCVAGAIQNFTTQLSHYRHGSSDRRHNMTEALLFLSHFMGDIHQPMHVGFTSDEGGNTINLRWFRHKSNLHHVWDREIILTAAADYYGKEVDLLEQDIESNFTDGIWSDDLDSWRDCSDLHTCVTKYAAESINIACKWGYKGVEAGETLSDDYFNSRLPLTWMKLMLRCEEYNMRLMMKMKWKMKMRKMEWKMMVQIMMVV >CDP02355 pep chromosome:AUK_PRJEB4211_v1:7:3254335:3257830:1 gene:GSCOC_T00039717001 transcript:CDP02355 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSTMAAKFAFFPPNPPSYGVAEDEGTGKLRMTGVAERDNVDVLRLATKRGARIVALYVKNPAASLTLLYSHGNAADLGQMYDLFSELSLHLRVNLMGYDYSGYGQSTGKPSEQNTYADIEAAYRCLLEKYGANEEDIILYGQSVGSGPTLDLASRLSRLRAVVLHSPIMSGLRVMYPVKRTYWFDIYKNIEKIPLVQCPVLVIHGTADDVVDCSHGKQLWELCKEKYEPLWVKGGNHCDLELYPEYIKHLKKFISAIEKSSHFRSGSMPPSDQMDAARNSTDCRPRSSTDQREKSRLSIDQREKPKAMSDLREKSRASVDRRERSRKSVDFSEKANNNSEQPERARNSIDRFGEMMRSAVLCNIDCFKPAGAKI >CDP02597 pep chromosome:AUK_PRJEB4211_v1:7:5179375:5185265:1 gene:GSCOC_T00040035001 transcript:CDP02597 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLRSSLKTSAEEFLSTAKKLGFAKSTKSTLKNLIYTLTPPSDLVSSLPPSLHRSISQSINKFKSFSSSSPQTSPQTPPTKRVRRSSRHLKSDDASHTTENEKKRQKESVVENLEIYTYIAFLCVTHPKGAFSAADLLPGVSELHDNLVIFESDSTLLSEIANLCEEWWKRNLPGKEALISQSLPFLLSRSLTLKKKVDVHRVYSLREAFILFDFEDEESIEDLKNLLMRCVVSPLYFKTEEGRKFIAFIFGLSGQLVTEALAMIKSQIPFGRKSMLEAYGEIVFRAWKSVEGESKDEIENDFLQGLIESAIHATSPAFAASIRTVLGAFIIQRTTHGVEKLLFRLAEPVIFRSLQVANSNVRHNALHLLLDLFPLEDPDATKEVEDTLLDKQFFLVNKLLTDECPDVRVVAVEGGCRILNLFWEVIPTPSITKILTKIFDDLTHDNCTEVRFSTVNGIMYLLGNPHSHEVLKVLLPRMGHLILDHALSVRVAIMDLLLLVRDMRNFQFHKVVQLELLLSSLANDHPLVAQKITKLLIPSYFPSQATQEEACNRFIALIRRCPVAGARFCEFCVSEGASLQSLKELFGVLIRLTLSSDRLDAQHMDGLLTAASRLCDNLVNDPSTKAAMKEEVNDEKLKRIFAAAPTTHAKSCVCKIVTAISPDAVDGLFKDCLGLIMNCSAICSDMNRQVELRSAHKMVLSCDWFDLMFDAMTKHLQKTAHDCHVGFGIEQTKFIDASAKRRKTKSTSRASSKLGDDEKKRSSKFVKSRFYEDYAIATGIAWQIKELLLSENMRTAILTSRNLEAAFDALKVISEVSILQCLQCDTMSASPVLAYATLTLHMSLQHVSTIGNTHSSSREGECLDSSDSPLEASEMLIQMYVSTSISRNTVLDSTFNHLFNCTYKVVMEDGCVKYTDLQTDMPRPTHDGSSFIKPKRISNMLKVLTAVLKLIVDAISIEIADDNEELCMKFAMTCIKFMMFNLKKYSNTQLQFTEEGLRETFVCLRSSFTYVAKFLSLLLKNSSKASLLLPAPCNLANELFNLFASVEECLGYGYAARLITAVKPWVPDLILALGSIHLLKQSSGGTASDCDAYIFSLWTTTLAKIEFYELQGVASDEEAVRGSKSERFSALRKLLGIMVQLLRTNPDVLDAVGAIFLNGLLVGLDRKEFDHVLGILHFVCMKLVRHDEEWEGLKLMLASVQSIYPRIED >CDP12866 pep chromosome:AUK_PRJEB4211_v1:7:13558670:13563891:-1 gene:GSCOC_T00037542001 transcript:CDP12866 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-rhamnose/UDP-galactose transporter 4 [Source:Projected from Arabidopsis thaliana (AT4G39390) UniProtKB/Swiss-Prot;Acc:Q8RWW7] MSLASKDENKKVIDVAAWTFNIVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTIILKSLGYIQNSHLPLHERIKFVLFANFSIVGMNVSLMWNSVGFYQIAKLTMIPVSCLLEVVLDNVRYSRDTKLSIMLVLLGVAICTVTDVSVNAKGFIAASIAVWSTSLQQYYVHSLQQKYSLGSFNLLGHTAPLQAASLLLCGPFVDYWLTGKRVDGFNYTLASLLFIALSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTVLVLVLGFLFFGKEGLNLHVVLGMIIAILGMIWYGNVSSLPGGKERVPPPAADNDPEELSSLVESGQLNEKE >CDP04678 pep chromosome:AUK_PRJEB4211_v1:7:16100303:16103040:1 gene:GSCOC_T00018730001 transcript:CDP04678 gene_biotype:protein_coding transcript_biotype:protein_coding MITVECINVGLSTIFKAATLKGLNFHVFMLYTYGIAAILLLPLCFFFHRKSDLPPLSFGILARFFSLGILGFVSQYLGYVGIEYSTPTLASAMTNLTPASTFVLAVLLRMEKLEMKSLSTQVKIIGTLVTIAGALLVVLYQGPVLIRSPTASASVSEQQPAIAMITAGAEQSDWVKGGALLAAQYVMVSLWYIFQAKAVARYPAELVVVFFYNLSCMIIAAPVCLIEVSNSSAWNIFKPDVRLYSVLYGGLMGSCFGVLVHTWGLHIKGPVYVALFKPLSIAIAAVMGFIFLGDDLYLGCLIGSLIISFGFYVLMWAKAQEVNGQTSQKRAEFVESCTENAPLLEAYHGSTSEEFQTATV >CDP02578 pep chromosome:AUK_PRJEB4211_v1:7:4984210:4990363:-1 gene:GSCOC_T00040008001 transcript:CDP02578 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRVYADINVLRPREYWDYESLTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNERCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNQDQLVKIAKVLGTDELNAYLHKYHLELDPQLETLVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAESSRLRPQ >CDP01730 pep chromosome:AUK_PRJEB4211_v1:7:9217018:9231347:1 gene:GSCOC_T00036874001 transcript:CDP01730 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRAGLQDKLFPYLCACYLKWSLMAGLIGVNVHVSEAFLQSPLQSRYKSSK >CDP04771 pep chromosome:AUK_PRJEB4211_v1:7:14853847:14854746:-1 gene:GSCOC_T00018867001 transcript:CDP04771 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAFTFTDLEQATNEFRDELGRGAFGAVYKGIFPNSEKVLAGKKSEKVLAEGEKREVVDMTKLERMIKIAVWCIQNEPALCPSMKKVLILEGTHGHSKSSLSSFFYQ >CDP01761 pep chromosome:AUK_PRJEB4211_v1:7:8935449:8936567:-1 gene:GSCOC_T00036917001 transcript:CDP01761 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGSNFLGANGRLSTIKHLLERLIQATTAEGVGSSKEVIVCKGKRKTRAVELHMIALAALRTLMMESGNKRRWNTFEANLSLADTAIRTILNSRILCSNG >CDP01636 pep chromosome:AUK_PRJEB4211_v1:7:10064526:10073581:-1 gene:GSCOC_T00036748001 transcript:CDP01636 gene_biotype:protein_coding transcript_biotype:protein_coding MGELRTSQVRDSGLWSEEHDRLPLKQRLKILLARRSFSDLFPDFDSEDDGTSNLPAVANDASVKEEDDNGQCGSESFSSASLAREGKVGSWSYEQNHIGDQGDCSDQVIEANKVCVEMVPAEILSGMESGSCQQSLLSSRDVSINVQCSGHSPSSPFKNPSNCANGGDLLEIKNDNPADFFDELDHVVLKERQRRLVLSCSQMLGLTKTPLEGNTALSSRSALDDLNKQGAGIVKEETDYVDKESPFGENSNCKMQTTSISSSSKDIIMALPNADHRNSQFLCNWQGNKSIKSGKLMTIQEQVKTCSLEKEFSTSGANGRQNLLSSKRIMRNLGVATQEHAMTCFLEKKFSMSGANSRQNPLPSKSAMHNSAVANVVNIKVEPLDNDEASQKSFPSGYLPVDNMDLVRSEPGLSADSNEDELDHLLLRERMKLLSAQVPHSDVVGMEWLSKMVPAGLDCHPIAQESAKPLKINRPRKRRKSATDSVETALEEDAPGLLQVLIQKGVSVNEIKLYGEKESDEALDDLSTEDNFSELEAIISKLFSQRSSLLKLAPLRCAKGDKATYCLACLFSLVEQARYLQFRKWPVEWGWCRDLQAFIFVFERHNRIVLERPEYGYATYFFELMDSLPIDWQIKRLVTAMKLTSCSRVALIENKALVVGEDLTEGEARVLMEYGWIPNSGLGSMLNYCDRVVHDRKSEDTSEWRSKIGKLLIDGYNGGCIVTTDVPKKVVEYNFAQAPQIKMEMN >CDP16739 pep chromosome:AUK_PRJEB4211_v1:7:824353:840117:-1 gene:GSCOC_T00019220001 transcript:CDP16739 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNIIIDKNNFFIFSLFECGCFSMATITTTTIIIIICLLPATQAKYMFYNTTMAIDPHKINVHLVPHSHDDVGWLKTIDQYYTGANNSIRGACVENVLDSVISALLDDPNRRFIYAFFQRWWRQQSDTLKAEVRDLVNSGRLEFINGGMCMHDEATPHYIDMIDQTTLGHRFIKHEFNQKPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRAKRKTDKSLEVVWQGSQSLGSSSQIFTGIFPVHYSPPDGFTFEVNDVSAPIQDDLTLFDYNVKERVDDFVIAALAQANVTRTNHIMWTMGEDFRYQYALSWFRQMDKFIHYVNMDGRVNALYSTPSIYTEAKHAANEKWPLKTGDFFPYADRENAYWTGYFTSRPALKGYVRMMSGYLLAARQLEFFKGRSNLGPNTDALADALAIAQHHDAITGTEREHVAADYAMRLSIGYTEAEKVVAASLALLAESKLSTRHNNPCPLLNISYCPPTEANLLNGKSLVVVAYNPIGWKRVEIIRIPVSSQELIVQDSDGREIESQLIPISSASLSTRNYHLKGNLGGSATDSDKYWLAFSASVPPLGFSTYIVSSSRKTGSRSVISKWSLSERDSNNTIEVGQGNLKLLYTADEGKLTSYINSRNQVTAFAGQSYGYYSGYNGTDRVNQASGAYIFRPNGTFPVKSDSQVPLTVVRGPLLDEIHQQLSSWLHQVTRVYKEKEHAEVEFTIGPIPVDDGVGKEVIARIATSLRSNRTFYTDSNGRDFIKRVRDYRSDWDLQVHQPIAGNYYPINLGIYLEDEDMELSVLVDRAVGATSLVDGQIEVMLHRRLLNDDARGVGEALNEEVCVHNTCKGLMIQGKFYLRIDPRGEGAKWRRAFGQEIFSPLLLAFAEQDGNNWMGTHVAAFSAIDPAYSLPENIAIITLQARLARSLLNFL >CDP04777 pep chromosome:AUK_PRJEB4211_v1:7:14778581:14779909:-1 gene:GSCOC_T00018875001 transcript:CDP04777 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKKQQKWVNTKPCFGHVPGVEIGDQFRFRVELALVGLHHEQMAGINHATIEGKEYANSVVDSGLRRYGNRAASPDILLYSGQGQTFEDQKLQRGNLALKNSMDAKYPVRVIRKTILGSVDATTTTKKMYIYDGLYKVRKFWPERSKSGKLSLMFELVRLSRQPDCNHQSITKPRRSLKYLEDNNAETGYDVSGGKEKVPIMVVNEISIEKPANFTYITKIMYPHWYQVSLPEGCDCVGGCSDSIRCPCAVKNGGELPFNEEGSVVRAKKMVYECGPNCKCPPSCQNRVSQHGPRLPLEIFRTKSTGWGVRSQVEIPSGSFICEYAGELLQDKEADLRDNDEYLFDLDDGEGFTIDAAKYGNVGRFINHSCSPNLYAQNVLYDHDDKRVPHVMLFATKKIPALKELSYDYNYKLNRVLDANGNIKMKKCFCGSRKCIGRLY >CDP02505 pep chromosome:AUK_PRJEB4211_v1:7:4403744:4407903:1 gene:GSCOC_T00039905001 transcript:CDP02505 gene_biotype:protein_coding transcript_biotype:protein_coding MQACKIFNLQNFFFRRITISINPYNSSSLQHIYCLNLFWSLQVLFSKLLFIALCLLLPLASCLQIGETCSNSSSSSNTCDAGLICGSCPVNGNTRPRCTRIQPLNPTSKVKGLPFNRYSWLTTHNSYALSGSNSATGSVILAPTNQEDTVTNQLQNGVRGLMLDMYDFNNDIWLCHSFGRKCYNFTAFQPAINVLKEIQVFLEENPTEIVTIFIEDYVQSPQGLTKVFNASGLSKYWFPVSRMPKGGQDWPTVDDMVQQNQRLVVFTSISSKEATEGIAYEWKYVVENQYGDGGMINGSCPSRSESSPMDTKTISLVLENFFPTNPNTNITEACANNSAPLVSMTRTCYKAAGNRWPNFIAVDFYQRSDGGGAPEAVDEANGHLTCGCDSIAYCKANATLGTCDVPVLSPPPPAQLTSTSTGPSESPGNNSHISRQVHLLWLIGQMITTVILLLRL >CDP02309 pep chromosome:AUK_PRJEB4211_v1:7:2870326:2871873:-1 gene:GSCOC_T00039665001 transcript:CDP02309 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLNENVKKAKEKKGIFEIGNPLGRSVAGFEGDDIQEIPHPKTKATQTSGNKGKRKAHGIESYMTEIVEIVGSSNVVHMVTDNASNYKAAGRLLSEKYPTISWSPCAAHCLNLIMKDIGEMTHVKDVITLASRVTVYVHNRKWILSWLRKRPGWREIIRPAETRFGTNFIALKNLHDLKQHLEALVTSSAYKRELKNEKGKEVKQIVVDGKFWNNCLIIVRIMGPIMRLLRICDSDEKPSLGYVYEGMWRVVNGIKELFKNKKKFYGPYIDIIDRRWDNMLKKDLHAAAFWLNPAFQYDKDSACHMPEIMKSVLEVMQKLKMDGLQYMMDEISMFRSKDQSFGFEFAQNTHKTARPGMPTLISHSLC >CDP12781 pep chromosome:AUK_PRJEB4211_v1:7:14596622:14598097:1 gene:GSCOC_T00037431001 transcript:CDP12781 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEKMYFDVEPSYIIDHHCSLETALTFPNNHLIPPYSFQDYVSNDIVSTENFLEMETDIKDFDFMAKNCALDHENVVHKRLLPCQEIQETMDILAAEQSHLKDIQDELMEESSLTDLLLMGAEAIEAGNVRFASLVALRLKDILSDQENGDNPLDRLALYFTQGLLDKSLTAPELPEDPVSPQTNAISAFQMLQELSPYVKFAHFTANQAILEATEGHQEIHVIDFDVMEGIQWPSLMVDLAAREDASLRITAIAGDKRSSCNIQQTGFRLQDFANSINLSFSFDQVLVTKEEDFEEIKVGHTLIANCMINQLHMPYRESSLVKTFFNGLRKLSPKILVLVQEELFSFSKAPSTSFAEFFCEALDHYTALYDSLRSGFCAGYKLALKIIEKEFLRMRILDSVKHFPCGIMGNESNMLPDYPSMNGFRPIPTSSCNVTQAKHLISLFNGGYWVQNEQCKLTLCWKSRPLTTASIWVPTASSNTSLARSTSF >CDP02319 pep chromosome:AUK_PRJEB4211_v1:7:2944626:2945788:-1 gene:GSCOC_T00039676001 transcript:CDP02319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39210) UniProtKB/Swiss-Prot;Acc:Q9FL87] MEFALQRHLFSNTTQRVIGKKAFQQHNQLILYPPASLGHVSLQAMHSTSIFHGVPSRNKQLRNAPKHLTCAMRRRRANIPTETYVLMEPGEDEEFVSEEELRSRLKGWLENWPGKSLPPDLARFETADDAVQFLVKSVCELEIDGDVGSIQWYEVRLE >CDP01887 pep chromosome:AUK_PRJEB4211_v1:7:7990769:7994612:-1 gene:GSCOC_T00037063001 transcript:CDP01887 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTTADKISEPNASSLRWGILRRALLRRHSSSPDEQSELDLNRVSRKASRGFNLIAYHVIDDPSEEKSDTSTSKNQFGSSRVACFSYKLPIHHNSLNILLYQRVDADGANLNDFEVCNRHNIDNTGLVCQWPSEDVLAYYCLSNADKFRCKKVIELGSGYGLAGLVIAAVTQATEVIISDGNPQVVDYIQRSIDANSGAFGDSIVKPMVLHWNHDKLVDFSNSFDIIVASDCTFFREFHKDLARTIRTLLRKEKPSEAILFSPRRGDSLDKFLLETEDSGLHYNIIEMYDSEVWRRHQKFVEGDDSWPNYEKDHCYPLLVNITQ >CDP12855 pep chromosome:AUK_PRJEB4211_v1:7:13722576:13728012:1 gene:GSCOC_T00037528001 transcript:CDP12855 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVYKVWKGRNKFLLNGRLVFGPDASSLIVTLLLILVPVVIFCAFVARNLIHEFPTENAGYAVFVAAVVFTVYVLLLLLLTSACDPGIVPRSPHPLEDLSGYDSSASVEVGGRQSLQLPRTKEVFVNGLPVRVKYCETCMIYRPPRCSHCSVCDNCVEKFDHHCPWVGQCIGKRNYRCFFLFVSSSALLCIFVFSMSALYMKFLMDGNGTAWEAVKQSPASVVLMAYCFVLFWFVGGLTSFHLYLIATNQTTYELFRYKAENRINVYDRGCANNYGQVFCAKNESSRINFRAYETEEASKPPSALIQGLLVGDTDEGRREKVEDNLEMGNDLLKISQCPDTEEATDVRSRATDSQPQQLSEVDYALGLESHVSSSKFDRHHSNMRRKNERR >CDP01984 pep chromosome:AUK_PRJEB4211_v1:7:7227952:7229766:-1 gene:GSCOC_T00037185001 transcript:CDP01984 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKIFRMKGGVGKTSYSKNSSLQKKASDKVKYITLETIEQVYLTAKPKSLGIADLGCSSGPNTLSNIREIVDAIEEISRRTLQPAPEFRVYLNDLPTNDFNAIFQALPDFYGGLKNGRSNQGAPPSIYIAGYPGTFYGRLFPDNCLHFIYSSYSLHWLSKVPQGLYDETCRSINKGSIYISDNSPPEVPKAYSKQFQEDFSLFLHSRSKELVSGGGMVLILLARKGPNHIDRGNSFFWEILYRSLASLVRKGDVEEEKLDSYDVHFYAPSKEELEDLIKKEGSLKLEGVEMFEIEKDVCDGSATSYGTRVAMTVRAIQESMIAHHFGDAIVDDLFDIYGRMVDEEMAKEDIRAVTSVVVLRKL >CDP02664 pep chromosome:AUK_PRJEB4211_v1:7:5666506:5674182:-1 gene:GSCOC_T00040128001 transcript:CDP02664 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPAAKRTTTLTVAVKCRPLTERDRGRNIIRVHNDKEVLVLDPDLSKDYLDRIQNRTKERRYNFDYVFGPISTNLDVYQRSIHSTIAGVIQGLNATVFAYGSTGSGKTYTMVGTQDDPGLMVLSLKTIFDLIKKDNSCDQFEVTCSYLEVYNEVIYDLLERSSGHLELREDPEQGIIVAGLRCIKVNSADKILELLNLGNSRRKTESTEANETSSRSHAVLGINVTRKQSKKYPNQVIRGKLSLVDLAGSERASETNSGGKKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSQTIMIATVSPADSQYHHTVNTLKYADRAKEIRTHIQKNIGTINTHVSDYQRMIDTLQNEVSQLRKELSEKESQLSAKPAEKSVDDEMSWLDGLSQETSENVQERINLQKALFELEETNLHNRTELQQLDDAIAKQQEIEKDGAVVQALRQRRQVILDNIRDNDELGVHYQMEIEANEKRRHQLQEMIEDAISNNGNKTYLRVLSQYRLLGIANTELQFEMAMRDQIICSQREAQRNMWNLLLSLGLDEKQLLELTEKQGITIEDYMPTPQVGVRYYQPSLDMGCGRYSPSSCSTNNKLHTRAPCGFPQHQNLSVRSLSKDNWTAPGTYCGEEHHSSYYYMSLNHSPAAYMRISSQHWFGNGPNSQYCTPDSSPLYLRNSFPQMRTMVSPYSNSRQEQEQDIWTNSISQQNQATLGVGMHTSQDTQGRTNMTCASDMYNVFRNRPFENIPAGPQLVSAKANSSNPPETSQLPSFVTSRKSSFSP >CDP01403 pep chromosome:AUK_PRJEB4211_v1:7:12553793:12554691:1 gene:GSCOC_T00036440001 transcript:CDP01403 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIYRLSIIWSIRVLDLIESELVEDEVDWISCFPQNGTCLESLIFDCVDSPINFEALERLVVKSPSLKKLRLNRHVTIVQLYRLMVRAPQLTHLRTGSFGPGEIVAQGEQEPDYVSAFAACKSLVCLSGFREINAHYLPAIVPVCANLTSLNLSYATISTEQLKSFIYHCHKLQTLWVLDSVCDEGLQAVAATCKDLHELVQVSFGRD >CDP11586 pep chromosome:AUK_PRJEB4211_v1:7:20676103:20677263:1 gene:GSCOC_T00033924001 transcript:CDP11586 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNFPEWLSSPPVKATENSLMCSDRVSLRKHTRRHIVCKVENLANRNSKNNSEKVCSRSPFKTPPSLSYCHDKLSSFAHCANLFYLWFRALIELLDEVEDVISIEESVCDKTKAWFDIGRSINELDFIVEQQLINSKELVTKEPSNNYFLVLEVSEKHGNIDSSGLRNSFKIMRVLNEQNGEEKAVHLWDEW >CDP16656 pep chromosome:AUK_PRJEB4211_v1:7:255175:258707:1 gene:GSCOC_T00019115001 transcript:CDP16656 gene_biotype:protein_coding transcript_biotype:protein_coding MWYYKELEELLRDRAKNGSYKSPPPPPPPPPPSAKVEAYIHFPDKGGLEDGSIPFGSVEENGRASVNLETPLDNDGDPLTITAADAVTANEVPPWNWRTSPDAGMCLIMVKFFVANALS >CDP02323 pep chromosome:AUK_PRJEB4211_v1:7:2969699:2971536:-1 gene:GSCOC_T00039681001 transcript:CDP02323 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSRSLVCFPLYLRLDPIVCRRCCHQPPRPAGRGQIVGDDCWIRSNKIGKNVVVESEFQSM >CDP16003 pep chromosome:AUK_PRJEB4211_v1:7:28404737:28405500:-1 gene:GSCOC_T00016950001 transcript:CDP16003 gene_biotype:protein_coding transcript_biotype:protein_coding MHVELCCRFIKKVAFAGYPCYSSTALMCFSGSILTGVLAIFTERELSSWMIGWDCRLLVVAYLVCCGLCVAAVFWSSMMKGPLFVSSFAHLGLVFTALAGSLFLKEELCLGSLIGSIIITIGLCLVIWGKGKEATASQDGETGSRRDEVTDGS >CDP02353 pep chromosome:AUK_PRJEB4211_v1:7:3243103:3244333:-1 gene:GSCOC_T00039714001 transcript:CDP02353 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRFAGLIIGFIIVSLALKYSTACAHEGSRRKGKWAAAGIAPQGHAPSKNDLSEETSAAEVVNIAGRKGLRGSEMQVDKLSNTERENKLGYDKRKISGNHASMDSEEAAQNYHLMKAQVNSKMSKQPRQKSGASSSHEDASKAFLEAADEVVNLMRKDYGVKDHPRHRPPINNHEPTD >CDP02412 pep chromosome:AUK_PRJEB4211_v1:7:3640961:3642706:1 gene:GSCOC_T00039781001 transcript:CDP02412 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDVAYLRGSMAVILSVLQHTSCPENVIFHFVASASADISHLNLTIAESFPYLSFTIYPFDDSAVAGLISTSIRAALDCPLNYARNYLADLLPSCVGRVVYLDSDLVLVDDIAKLVATPLGDRAVLAAPEYCNANFTSYFTPTFWSNPSLSLTFANRKPCYFNTGVMVIDVERWRAGDYTTKIIEWMELQKRMRIYELGSLPPFLLVFAGNIAPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDALWAPYDLLQTPFLLES >CDP02130 pep chromosome:AUK_PRJEB4211_v1:7:1604122:1608428:1 gene:GSCOC_T00039422001 transcript:CDP02130 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKALKDLNMLPESGRKNKNTSNGSLKPEIEQTGENLEDSQKKVSSPGVENPMNVNGVGNSVPEVGNSEVEYIESENLKDVEDLEMSLKTLLAGLDSKDWVLVCEALNDVRRLSIFHKEMMLDILGKVVTLIVKSLKNPRSAVCKTAIMTSSDIFKAYGDNIIDSLDPMLVQLLLKASQDKRFVCEAAERALVSMTTWVSPVSLLPKLQPYLKNRNPRIRAKAAMCFSRSVPRLDIEGIKAYGIDKLIEVAASQLSDQLPESREAARGLLVELQTMYEKSHILSANGVSDDREVTSWENFCQTKLSPLSAQAVLRVTNIVREGLVLGS >CDP12808 pep chromosome:AUK_PRJEB4211_v1:7:14267024:14268859:-1 gene:GSCOC_T00037468001 transcript:CDP12808 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP08389 pep chromosome:AUK_PRJEB4211_v1:7:26559641:26561007:-1 gene:GSCOC_T00027216001 transcript:CDP08389 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEQKENSNKYSIIIPTYNERLNIALLVYLIFKHLPNVNFEIIVVDDGSPDGTQDIVKQLQSVYGEDRILLRARPKKLGLGMSLASLGLSFGIIAETSPDFYSNSIDLPY >CDP01985 pep chromosome:AUK_PRJEB4211_v1:7:7220506:7221288:1 gene:GSCOC_T00037186001 transcript:CDP01985 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFDFDNVTPEKSSVILRCTHLRSIAKLFRIVEVFFVIILLSWTSTRLPFAVKISGEYCRHLVTIVISPLFIFLLGNLIVLTLLFKAGRILSGGQSPADINSEMETETDLYEAFVKTSDSCMNFTNLTSSPDEIEYQDKQTIFEVSRAAKIGKADGPEVSEVFSDKPSEMKAYSRSQSENFDSKRELPEEEEGNCGKLRRSETEKCRKVANPGEIPGETVYVFDELSNEEFQQTIEAFIAKQINFHREEKLAIVLPNHS >CDP16020 pep chromosome:AUK_PRJEB4211_v1:7:22283703:22284437:-1 gene:GSCOC_T00017001001 transcript:CDP16020 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAHTMKVAEDLYQTGFISYPRTETDRFSEKTDLQWVVVPLR >CDP04652 pep chromosome:AUK_PRJEB4211_v1:7:16758793:16767502:-1 gene:GSCOC_T00018684001 transcript:CDP04652 gene_biotype:protein_coding transcript_biotype:protein_coding METGGNSLLSGPDGVKRKVSYFYDPEIGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMHVLKPNPAREKDLCRFHADDYVSFLRCITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDLRDLGFGKGKYYSLNVPLDDGIDDDSYQSLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMELEDKMPQHEYFEYFGPDYTLHVAPSNMENKNSRQILEDIRAKLLDNLSKLQHAPSVQFLERPPDTELPEADEDQDEEDGKWDPHSDVNMDGDDCVPLSSGVKREYVEPESKETDDMDEDERPREADPMLTESAGLKEPNSVLAAVDEPNVKLEPGNSSKPFDPPVDKNP >CDP01480 pep chromosome:AUK_PRJEB4211_v1:7:11616381:11620207:1 gene:GSCOC_T00036550001 transcript:CDP01480 gene_biotype:protein_coding transcript_biotype:protein_coding MENRMKKPHAICIPYPVQSHISATLKLAKLLHLKGFHITFVHTEYNYNRILKARGPKSLIGAADFNFETIPDGLPPAENDDVTQDVFQLCLSTSKTCYVPFCNLLKKLNNRASMDDQFPPVSCVISDGFMSFTLEAAEELGIPNLLFWPFSALTVMCLLHYPHLRERGFTPLKDESYFTNGYMENTIDWIPGIDIIRLRDIPTIIWATDPKDEFLEWVVKIMPRTYKSSGIILNTFDTLEYDVLKQLSNMIDHVYSLGPIHLLLKDIQKSDLSAESIQSNLWKEDENCIEWLNSKKQGSVAYINFGSITVMTEDQLGEFAWGLANSMQNFLWIIRPDLVAGGQIVLPPEFAVATKDKGMLATWCNQELVLNHPSIGVFLTHCGWNSVLESLCAGVPMICWPFFADQLTNRLCCCTHWGVAVEIDSNVDRLEVEKVVRDLMEGKKGKELQQKVWEWKNKAEEAIRPSIGSSYLNLDKMIENVLQSPNTTSFG >CDP16001 pep chromosome:AUK_PRJEB4211_v1:7:28184012:28191858:1 gene:GSCOC_T00016945001 transcript:CDP16001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVLMIRHRWTGRFEAHLWDKTTWNAIQTKKGRQIYLGAYDNEEAAARTYDLAALKYWGPGTILNFPLEIYSGELEEMEKLTKEEYLTTLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYGTQEEAAMAYDLAALEYRGSSAVTNFDISRYADKLKTLQKMHTENPESSTEKQVDEQEDHEEQVQEEKLVAELNVPNIATTKSESQDNLGQHLQQKKPVAEPTVSNVAATEPEQIVMMDPADEHEDHWNLCLDTALNSLPVSDITFEKTAELPNLFTDAGFEASIDFIFDAPFDIDGFIEEDAAGSPAFEIDVDSFLRGEEKEPEATTSPSQSSSSTITSRSSIEDALLENGLLHRAVRRNCRSMVEVLLRYHPDADLIAARCDGAEQVLDALTDNLGLVQLFLVCILLLAEHYF >CDP04715 pep chromosome:AUK_PRJEB4211_v1:7:15529178:15532534:-1 gene:GSCOC_T00018780001 transcript:CDP04715 gene_biotype:protein_coding transcript_biotype:protein_coding MLALFETPAGFALFKVLDEGKLSKVEDLWKEFSTADSARKIVKLKAFSKFDNTSEALSAATLLIDSKPSKGLRKFLRAHCEGEALAVADSKLGNAIKEKLQIDCVHNNAVMELMRGVRSQLSELISGLAAQDLSPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDIDKELNTYAMRVREWYGWHFPELAKIVQDNILYAKAAKLMGDRSNAAKLDFSEILPEEVETELKEAALISMGTEISDLDLMNIKDLCSQVLSFAEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDNQDNTMGLENRLKLEARLRSLEGRELNRAAGSAKGKPKIEVYDKKAP >CDP02096 pep chromosome:AUK_PRJEB4211_v1:7:1388082:1391400:1 gene:GSCOC_T00039380001 transcript:CDP02096 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKPCNSQDAEVRKGPWTMEEDLILINYIANHGEGVWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNYWRTRIQKHIKQAETFAGQGSEHANDQASTSQISTTVDAAETYSPPSYSGNMETFQGPFPTESNENLWSMEDLWSMQLLNGD >CDP02473 pep chromosome:AUK_PRJEB4211_v1:7:4067190:4069022:1 gene:GSCOC_T00039859001 transcript:CDP02473 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILRLHFHDCFVNGCEGSVLLDDSSTIKGEKNAVPNKNSARGFEVIDAIKANVEKACPSTVSCADILALAAREAVYLAGGPYWPVLLGRRDGLTASEGAANTQLPSPFESLANITAKFTDKGLDMKDVVVLSGGHTIGFAQCFTFKPRLFNFDGAGNPDPTLDATLLTSLRGLCPNEASSDSNLAPLDAASVSKFDNSYYKNLVNNSGLLGSDQVLMSDNTTAAMVSYYSKFPFLFSKDFGVSMVKMGNIGVLTGQDGQIRKNCRVVN >CDP12890 pep chromosome:AUK_PRJEB4211_v1:7:13292336:13293649:1 gene:GSCOC_T00037571001 transcript:CDP12890 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGTVDPDDVYHVMIANFANYPKGPEMKRIFDVLGDGIFTSDFDPWKNQRKLARLLINHQRFQKFMVKTNWDKVEQGLIPVLEHFAENSQIVDMQDVFQRLTFDTTSKFITGYDPGCLAVDFPEVEFAKAMDEVEEAIVMRYFLPENVLKFLSFVGIGRMKTTSKAYATLDQAVAKYISMKKEELKKGNKSYEGDDNGEGFDLLTSYVKEGERMGMVFDDKFFRDTTLNLMIAGRDTTSSGLTWFLWLVSTHPEVEKKIREELKASISPSGEEDEKFRIFKFDEIKNLVYLHAALCETLRLYPPVPFQHKTPLKPDILPSGLHVTPKMKVMFPLYAMGRMESIWGKDCKEFKPERWISERGRVKHEPSYKFLAFNAGPRTCLGKEVAFTQMKAVAAAIIHNYNIQLVEGHPVIPNVSVILYIKNGLKVRVTKRWK >CDP02575 pep chromosome:AUK_PRJEB4211_v1:7:4968996:4972660:-1 gene:GSCOC_T00040005001 transcript:CDP02575 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEESDVWEPNKALYLFTLISCFASIYFCPHYSGRAAPTTVFDHAQSSSAFFRFQRSFLLLFSLSSVMEGLGTVFGEFEWEHYYGGVSKEQMLLYVCAGCAASLFIGTFLGVLSDLMGPRNVCLLFYTLHLLVSIFKSFLTHASLCFTSFCLSLASSIFSFSFEAWMVFEHDKLGQRQDTLNDLFWLMTFIESASFICSQFLGNWLIGGNRDKSILSPYNAAVVLAIICILHVARGFKENPRTASCSDYWISFQTHIFSDKRVWLLSWSQVCVHLSIAVFWVLWAPTIVADGREVQLGLIYPCLMGARMLGSTAVPWLYNGTISLRIEECLIYVLPVMGLLLSVVAYDYQDVGVLLVLFFLFHACVGVVLPSLARLRSMYVPNELRGGMITLSQAPVNMVFLSFLVQRGYYRTVANSTIIAFAALGLFSSAGCMYMLKRPAKQPYQNWHKL >CDP04718 pep chromosome:AUK_PRJEB4211_v1:7:15492553:15495301:-1 gene:GSCOC_T00018784001 transcript:CDP04718 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCTSTEGQVIRCKAAVAWGPGKPLVIEEVEVAPPQAMEVRLKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAAGIVESVGEGVTELKPGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGVMLNDGKSRFSINGKPIYHFVGTSTFSEYTVAHVGCVAKINPEAPLDKVCVLSCGISTGLGATLNVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNSNRFEEAKKFGVTEFVNPKDYNKPVQEVLAEITDGGVDRSIECTGSINAMISAFECVHDGWGVAVLVGVPNKDDAFRTHPINVLNEKTLKGTFFGNYKPRTDLPSVVEKYMNKELELEKFITHEVPFSEINKAFEYMLKGEGLRCIIHMGA >CDP15718 pep chromosome:AUK_PRJEB4211_v1:7:23651299:23655409:1 gene:GSCOC_T00015727001 transcript:CDP15718 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEVAHNYPLKSPYSSFNYPRPNELGCMDSFLENLKELVRCDEADDSIGFQQDRIEMIQKDLVILRSFLKNIKEQRHQNGKLQAFWSHVMEAAYKAELLIDLALDGDKCEDSLDAVSRDINLLKIDAPEIHNGQTQRVNKTSLHIPSQLAAAMHDEDLVGLDDEVKTITHRLTRGSKQLDVVPIVGMPGLGKTTLALKVYNAPSVRSHFHVHGWCRVSQTCSKHSLLVQLLCSVDSRSPDEYLKEDENNLANKLRQVLLRSRILFTSRYQNLSLHFKPNSEPHHLRHLTDEESWTLLQRKLFGMEDCPPALSEVGSQIAKLCQGLPLAVVLIAGILATTARDSWLEVAKSLSSIVLEDEYCMKAVELSYSHLPDYLKPCLLYFAAFKEDEVINVRRLLRLWISERFVQQAEGKRVEEAAHDYFVALVNRSLVKGVGQRTVGGAKACLLHDLVHEFCVQKTKEESFLYAVHTWNPLGLTGPSNPHRVCVHNTRELKIWELMLIFPNLRSLILFGHVHIEHEEEDLGILLPKLLRVLDFGSLGFRYNSFPMEVVLLVHLRYLALKGVTYIPSAIANLSRLETLIVEDPCLGIGLPSTIWNIKTLRHLRALNYYGVWPMGFIFPVENLEVSPDLDHLDTLDLAIDPSPQSLQKILRKLPSIRRLKCMECPGGSREATRNCNEILEFDSLSQLESLHLYGFWGCGIKFPLNLKKLTLFYNVQPWSEISTIGKLPNLEVLKLLNNCFVGEEWVMKEGEFPKLRVLKLSGLEFHNWTAFSDNFFRLEKLVLHRCRKLEKVPSCLGECETLEMIQVKWCRESVVDSVKQIQQEQMDMGNEVLKIEIDNYDDTIFSPEAESTPSEAEEISSERESISSHHAVDNCESECTVGFHSSVYDGKPFMSLFHLFIC >CDP04685 pep chromosome:AUK_PRJEB4211_v1:7:15905083:15909631:1 gene:GSCOC_T00018741001 transcript:CDP04685 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSAEAPSNTDHAVVALTAGGDDTVAGNQGNATSSISPLSRGRRRNVEWPTIDGPLGLSQEESIGQARKFFKFGFLLLPWLWAVNCFYFWPVLRKPTSHSHPQLRYYVVGSAIGFVVFTVLLTSWALTFAIGGEHLFGHAWDQLVMYNLADRYGLTGWI >CDP01769 pep chromosome:AUK_PRJEB4211_v1:7:8894835:8895500:1 gene:GSCOC_T00036926001 transcript:CDP01769 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLRILLSTVTTANSTATTSSPPAKHSSPAAEPPQSVAVESDFVVILAALLCALICVVGLIAVARCAWLRRGTPGNGRVPGQRSPNKGLKKKIVQSLPKYTYDSSSSATGAGDGIGAVTGDCAICLAEYADGDEIRVLPQCGHGFHVQCIDTWLGSHSSCPSCRQILVVARCQKCGEFPAMNVSGAAQVATPAETQVKQTRSDRRAAAAAVPSSSYFLP >CDP01872 pep chromosome:AUK_PRJEB4211_v1:7:8097392:8100728:-1 gene:GSCOC_T00037047001 transcript:CDP01872 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYRKEIERARRNLRALISSKNCAPIMLRLAWHDAGTYDAKTKTGGPNGSIRNEVELKHAANNGLKIAVDLCEEIKAKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSVVCPEEGRLPDAKEGVSHLRDVFYRMGLSDQDIVALSGGHTLGRAHLERSGFDGPWTYEPLKFDNSYFFELLKGDSEGLLKLPTDKALVEDPEFRRFVEIYAKDQEAFFRDYAASHKKLSELGFNPPSSRFKLAQTAFGVAVAATVVILSYFYEINRRAK >CDP04640 pep chromosome:AUK_PRJEB4211_v1:7:17024788:17025234:-1 gene:GSCOC_T00018658001 transcript:CDP04640 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLSVIVKNLFVLVIYLFGLFVKVLHHSSIWLIFAYVSNCYQYTCVIAN >CDP16663 pep chromosome:AUK_PRJEB4211_v1:7:293325:294380:-1 gene:GSCOC_T00019124001 transcript:CDP16663 gene_biotype:protein_coding transcript_biotype:protein_coding METLSTSALPMPNLPVFFAVYLLLYLIAYFVIFRNWSRNLRPEASSCVISLAHGTPAVFLASRAILIDPKRNFHSQNTPFQNLVLDYSIAYFLMDLCHYLIFYPRDVLFIGHHLATLFVFVTCRYSVYHGAYAILVLLILAEITSFCQNAWTLAGARRSDSEFAARLYDSLSPPFYALYTAARAFAGPVFVYQMLAFYLGGMADNVIPRWLWVSWIFVVVTAISVSILWISNLWLQLYKEITARHAEKIR >CDP02184 pep chromosome:AUK_PRJEB4211_v1:7:1975598:1982199:1 gene:GSCOC_T00039502001 transcript:CDP02184 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNNAKAVRLKSHLDKYLLADDDEQTVRQSRNGSSKKARWAVELVEGNPHLIRLQSCFGGYLIASDEPLLLGVTGKKVIQGIPESKKDPCIEWEPIKEGYKVKLRTKSGKFLRANGAAPPFRNTITHDIPHRTATQDWVLWEVDVVEISIVEFEHLQSCESSPLSSFAWSPDEFSDSFTPSVPSSAAVSPNYQAAISSDTHWPSVASNTSGFASCRQGGMGFFDKAKAVRLQSHLGKYLVADDDEETVRQSRNGSSSKARWTVEYVEGKSNVIRLKSCHGLYLTATEEAFLLGATGRKVRQTLPAKKMNSSIEWEPIKEGLYVKLRTSEGKFLRGNGGPPPWRNSVTHDIPHRTATQDWVLWGVDIVDITLSDTASLSSSLSASSTFSSLQDGYSPSPDRDSPMAYLSRMNGSAFIKQAENGNASVKPSPGMEFFRKAKSVRLRSHHQKYLIAERDEETVIQDRSGTSKHAKWTVELVEGVDNLVRLKSCCGKYLTAIDDQFLLGMTGQKVVQSLPRKLDSKVEWEPIREGFQVRLKTRYGNYLRANGGLPPWRNSVTHDIPHIHQDWILWEVDTIEIRPPSPKKVERSESLDEDLSSSSFQLRTPSQHESCDSFGGSPVKYEGRVIHYHVADDDGNVDDGIEGHSFNFKGQNVEELTQKLEEETELTNITVCSKNKINGKLYPLRLGLPPNNATMHVVVVPSTSRVELS >CDP02183 pep chromosome:AUK_PRJEB4211_v1:7:1974218:1974985:1 gene:GSCOC_T00039501001 transcript:CDP02183 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAGAKIIRLRTHKGKYLIAGDDEESVRQDRDGSMKQARWRVEFVDGNDGVRLKSCYGKYLTATNEPILGMEIILTGSIFSVHFVDVVTQKNALRLHVTKRLLFTSIKSI >CDP04669 pep chromosome:AUK_PRJEB4211_v1:7:16291508:16292983:1 gene:GSCOC_T00018716001 transcript:CDP04669 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTVLNVFLGIVANLGIEWMRSHSGLDAKMKTLERRIRVLTKKARDIHMTIRHEQDLHSGYKRKREVGDWLKNVKRKKMKYQGLKRDYQRRPFPSRISLARYVDAMIQEASDLLQQGSFCGGYVVAVHEESKPLVTEELIGQSVQQNLTDTRALLMDDKVSCIGIYGMPGVGKTAVASHIHNELLKEDKFLKHVYWFSDANSEVSKLQDDLATVLHLDLPNMDAGDDRRAAKLASALERKNKFVIILDGLLTPIDVGTIGIPLGKEGRKLIVVSRSLDVCHRTGCQKEIKVQPLSADEGRMLFMEKLRSRDQELPPDVQEISRSISEKYGGLPLGIIAKARSMIGANDIREWRDALAEMEERPDDAVFEVMKSSFYGLRNERWKTSFLHCSILLKDETVPRDEVVRGLISEGFLDRRCREAKLDQGHTILKALEKACLLDRVVNDGVDCLKMHPLMRDMATEIMKSDPTYMVKHHHYFPAFFFCRTRNEV >CDP16624 pep chromosome:AUK_PRJEB4211_v1:7:64444:69154:-1 gene:GSCOC_T00019074001 transcript:CDP16624 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSRGLGAPAENNMLGPDFFAFYMRELADLVSEEENFLAFFPESLDLVRNTPGVAGENSLTENRLDGYNFKQSSKPTDSAPLFSDAIGSQLSDFRKERLKSLARRSLLTFTKEVDEMLIPILRICRTQSLLRYKESILSLPDTSNVANEEFNPQKKQEVSASSLIDDDLRRLLEHDSTKVEEVMKRHADELFKTIGYMEQKLEEFLDLLMSNCRLMTLTEKQELRKLIQNLPPRNMERIVEIIRRSRPSAKCLRDEIHVDLEEESKETLWRLYYYVEAVANARKLCEAQ >CDP01699 pep chromosome:AUK_PRJEB4211_v1:7:9462154:9466777:-1 gene:GSCOC_T00036836001 transcript:CDP01699 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSHSSSTCSFWSTLLHSFLLLAWFCSSAFLQVSNAASPSVNVSQVEDAAYFHLYYGNSFKVIKNGLDGQSYLLIQKNTRMAARTKYCTPRIRSFIIPLSNYSVDTVLFPVSFFELLGVLGNLKGITSDLVASPCVLKLHSEGQVGVVNKTEPGQLSQFAAYFISDTDQTQSCNYATFVPLVEDAPLQRAEWIKYLGVFSNLETRANQVYDMIKENYICLSRAAANKTASFKPTVAWMEFNDGVWSFTKETYKLKYVEDAGGANIDDSINKVTYNISIPDDLEEFYAILCTVDVVIDETYVPDPDAYMLSTFLQNTNLEDKSCFAFLANQSLWRFDKRIQKSSVLVQDWYDGAVSQPQLVLADLIEALSPSGNYTTTYIRNVAKGEGVINISPDMCQRESSTAMDPVVIPC >CDP08398 pep chromosome:AUK_PRJEB4211_v1:7:26352068:26352416:-1 gene:GSCOC_T00027228001 transcript:CDP08398 gene_biotype:protein_coding transcript_biotype:protein_coding MWKASSSQNRCCLFEKTKGCGIVVGEKHILHIGFWEAIIWEVAKLVLVAGVLQSISSNRADYSAQ >CDP16655 pep chromosome:AUK_PRJEB4211_v1:7:249824:253209:1 gene:GSCOC_T00019114001 transcript:CDP16655 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNSGIHFHTNGSLVERCPGENSKGFDSYDYNNREGKGDKLSSDDEIVDLLPRDPFGMDISATFTAITGWIEDFGLENLGFESSSEDDEVEKVDDQFLAELNIVWNSATRLHPDLGDIKAYKESSVSSMELGLCNDPNKEDFMGFSFEKYCTPWDAANKHKSASPAKNVSSGVRGPPPDALFFSLGYLGARDLLSVEKVCKSLRDAVGNDPLLWLNLHVSYPLCDKITDDALLRLTSRAQGRLHSLSIVECLKITDGGLKKVLEMNPGLTKLSIAGCLRLRIEGTLSNLRFFKSVGRPGIKHLRIGGLFGVTSQHFEELKLLLGADNQNWVSVNKPRFFHAEQSYLSFDDERPIDIEICPICQQLRQVYDCPADSCRGKQHTAQVCRACTFCIARCINCGRCLNNCDYEETFCLDFLCLDCLKQVLNCQDRQKRVTISPKHTYLHQQASYHFFLYG >CDP02413 pep chromosome:AUK_PRJEB4211_v1:7:3644990:3647854:-1 gene:GSCOC_T00039783001 transcript:CDP02413 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLVQKSISYCVKEQKPCVGWVNRYFKDCLCNFRDDLSFALGLVSLVCWGVAEIPQIVTNFRTKSSHGISPLFLFTWVAGDIFNLFGCLLEPATLPTQFYTALLYSTTTVLLVLQSLYYDHIYGWWKSRRNSTSSQEVPYIQLSHEDLKKPLRPHKQRQRSTDSGIPIPTAATKTPQRRDYYYTSARSLAGSATPPIRSSIWPVRSGPSAIGLEDGSSSEDEASPTTSRPILPRSAGYGAFVATSMSMPPQTKAMMQASIGFSGRKLLQEHGMGSNAVGQWLGWMMAAIYMGGRLPQIWLNIKRGSVEGLNPLMFIFALIANAAYVGSILVRNTEWDKIKANMPWLLDAVACVLLDLFIILQYVYYKYLRNRDDRGHDYKS >CDP02301 pep chromosome:AUK_PRJEB4211_v1:7:2809700:2816578:1 gene:GSCOC_T00039656001 transcript:CDP02301 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHFSPSMRSITISSSSNGTANGGFGSGGGDLMKIKLAARHISYRTLFHTILILAFLLPFVFILTALITLEGVNKCSTIDCLGRRLGPKVFGRADDSGQRLVKEFVKILNQVNTEEVPERLKLPDSFRQLVSETKNNKYTAKEFALILKGMMERLEREIKAAKFAELTNKHFAASSVPKGLHCLSLRLTDEYSSNAHARKQLPSPELLPLLSDNLYHHFVVSTDNILAAAVVVNSTVQSYLNPEKIVFHVITDKKTYAGMHSWFALNPISPAIIEVKGVHQFDWLTRENVPVLEAVENHNVIRKYYHGNHVAGANLSDTTPRTFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDVVIQQDLSPLWDIDLHGKVNGAVETCKGEDEWVMSKRFRNYFNFSHPLIAKNLNPEECAWAYGMNIFDLRAWRQTNIRETYHAWLKENLMSNLTLWKLGTLPPALIAFKGHVHPIDPFWHMLGLGYQNKTNIENLRKAAVIHYNGQAKPWLEIGFEHLRPFWTKHVNYSNDFVRNCHILE >CDP01540 pep chromosome:AUK_PRJEB4211_v1:7:10995216:10995743:1 gene:GSCOC_T00036626001 transcript:CDP01540 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEELKIRGELEMDVERELEEEIKEGICHLAFRLQRLYQQQKERHAKELSKLGIKGHQQGNASSKILSEVNINIRMEGGTKIEIKEIKKQPGENRMSTLKTESTARGGFMPATNSAKKFDWAKSLRSAGPGSRVDCSNQSRVLGNKAKISASQQQHKLNMGVRTKMLLEQNLKK >CDP02276 pep chromosome:AUK_PRJEB4211_v1:7:2654127:2656313:1 gene:GSCOC_T00039627001 transcript:CDP02276 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEAGYHEKAAEKGSLVISACGFDSVPAELGLLFNSRQWVPPAVPNRVEAYLSLESSKRVVGNIGTYESAVLGVANADKLQELRRSRPKKPRPLIPGPPPPKGSLVEHQKKFDLWAVKLPSADSIVVRRTLVSLTQNPRGIAGVHEDAERIERRETFWSSVKPAHFGVKMGTKSLLGIFRVIAVGVFIGLFGKNSFGRRLLLKFPSFFSLGWFKKKGPTEDEVSSASFKMWFVGHGYSDSSLAGSGNAKPDLEIITRVIGPEIGYLTTPIILVQCGLILLHQRESLPKGGVFTPGIIFGPTDLQERLQKHGICFDVISKNSLPA >CDP02310 pep chromosome:AUK_PRJEB4211_v1:7:2875678:2884174:-1 gene:GSCOC_T00039667001 transcript:CDP02310 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRQILFKRLQEMQHQQQLRELSDARQHSFVSQLPFLTKQTSAGLHPPPMNGMPVHDTSQMFMVGDINLMQHGTSPIMQRVPNGLVFSQAQGQAIGSNGVLPEQFDASLCGSPIASLGNNRNPYFHIQEASHSSASMLNRGNNNQWEIPEMHSSTINNSLGNEQFNASQQKVCFSDKAFVSQNVTEDRNMFGRLLLHASADGVRPASFQQQHALQTHTGMNKSGLRQQEAGRCLPSAGKTSKTATSLDPLEEKILFNTDDSCWGSSLGQQSNVGLAGFDSNREHADFMGTYSSNQSGTWSALMQSAVAEASSSDTGQQEEWSSLTFQNPELSDDNQQTNYIGDGKQSSWVDKNLHSISSPTSKSEISFQNSNPNCSFPTLQQSHNVVRPKQGMHLDSPHDFVQQSSKNAHKWFEQNSQHKANVEGWQPMQARQLLQNAWHNQQSEDSQNDRHQPSIFPCMMSGQSGTNLIGNELNENVWLHESGSQPMVCGGQKLPDQKQAYVRKYVDNIANVGISSEKVLSADFETNSRASEELPPRNNADSIATLFDSSAAFSGQRISYNPSGNRLQLLNKADKSVEIRSGTHLCSRDLVPSSQLSQIENCNLFYTTSASQGFNLRLATPSERLPKSCSAFGSPSLASSSAINASGPLYLNSRLQNQWLAVTPVAGQMPQVAVPAFTGILQASAATPEASDLFQTSLQGEEFPRLESLPVTQLTSTSFVPQEIGHSMRLPNMSQNSPSQTEVLQSDVPNVFSVSLSSPYSTNINADTARGAQLQQYKQISFQDGVNIKETNACSPTRQGYDSKEDQMDQLNPSGVSTSFRHDTLDHVHEAVGKHMLGARATASVPMYTATHCQAFDRVEHRDNNAPNVSGNDNDVSLRSLSPPDLQQKFTLLHQVNGHARQQMLYGLKSGVTNLAVGDQNPNSQLYSDNEPMTCSSKVIGDQPGKPSSKVSIQGGILKFEENGIHSHPKAQSQVYLQMAPSWSEPSGSMKNGQMRSMYDLMHSKEVAKQLSIGQVNSSIASLPSSILPNSATEIVPAKELQPLFVMPLDDSQRNLAVSAPKKRKLASYDILPWHKEVTNVLQQLQTVSMAESEWALASNRLSEKVVDEAEMVEYVFPISRAKKRLLSTTQLTQKIFRPAPIVLSPDAFSNAETMIYFVARLALGDACSMTSSCERPPLTSDVSSENLRTSERVGQLEFFEAVEKFIDRARRLGDELFRLDKTVSFVDIKVDSQELERFSVINRFARFHSRGHIVSVNTSSSGANPGVHKTSPQRYVVAHPMPKVVPEGVNCISL >CDP02144 pep chromosome:AUK_PRJEB4211_v1:7:1690517:1692238:-1 gene:GSCOC_T00039444001 transcript:CDP02144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 [Source:Projected from Arabidopsis thaliana (AT5G40200) UniProtKB/Swiss-Prot;Acc:Q9FL12] MAGLKRKRGRKPKNSIAQNANTTTSTAAVYDGGIPPNPISEATSQEPPTLRRGRGRPKKQVEDPKNDGGASPERRGSRLPDHAHINGGGGEGEFLAFAAAPTGDPRHAAEVAHSAAKAVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGNECDIAMLTVSDDEFWEGVTPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRLEILSYVHGSTELLGLQIDAAINSGNSGGPAFSDKGKCVGIAFQSLKYEDVENIGYVIPTPVIMHFIQDYQKNGAYTGFPVLGVEWQKMENPDLRMAMGMTSNQKGVRIRRIEPTAPESHVLKPSDVIISFDGVNIANDGTGKP >CDP16670 pep chromosome:AUK_PRJEB4211_v1:7:349735:352572:-1 gene:GSCOC_T00019136001 transcript:CDP16670 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDAGKVFKALAGLRRINLEGLRWKVFDAKGQVLGRLASQISTVVQGKDKPTYTPNRDEGDMCIVINAKDVCVTGRKLTDKFYRWHTGYIGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFAGSEHPFGDRPVEPYIMPPRQVREMRPRARRAMIRAQKKAEQQKEASTYISKGRKREEADGKVGAQECL >CDP12929 pep chromosome:AUK_PRJEB4211_v1:7:12883156:12883527:1 gene:GSCOC_T00037627001 transcript:CDP12929 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCTVNGFHIQKNSRVIINVWTIGRDPIGQLDNPEKFIPERFIGSNVDVKGHDFQFLPFGSGRRGCPGMQLGLTVVSLLVAQLVHCFYWELPNGMLPSDLDMTEDFGLVVSKAKHLMAVPTY >CDP01933 pep chromosome:AUK_PRJEB4211_v1:7:7596973:7602559:-1 gene:GSCOC_T00037119001 transcript:CDP01933 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDSSSALHAPRAETTVSSQSFDQCGLVREMDDSQLVGVPLAVAGDAAVPVKAGEPQQVPPSAVQLNKTTLGAVGQVMEKRKRGRPPKGHAALAPAPAPPKPPPPKRNKEEEDVCFICFDGGSLVLCDRKGCPKAYHPACIKRDEAFFRSKAKWNCGWHICSVCQKAAHYMCYTCTYSLCKGCTKDAEYVCVRGNKGFCTTCMRTIMLIENKDLGNSEVVQVDFDDKTSWEYLFKVYWLYLKSNLSLTINELMQAKNPWRGLAMMDYKQQLPARNHFANGGMVPISDHSVHLESKVPKEQPELLNHDSFLNTEKINSDKVTNLAECKEWASKELLELVAHVRNGDTSMLSQFDVQALLLEYIKRNNLRDPRRKSQIICDLRLKNLFRKPRVGHIEMLKLLEYHFLIKEDDQNNAFIPAGIVGSVGGNVGVDQKNSLMVNQGKKRKTRRKGEEKTPQTNLDDYAAIDLHNINLIYLRRNLLENLLGDEEKFHDKVVGSVVRIRVSCNDQKQDIYRLVQVVGTSKASMAYKLGDKMTHVMLEVLNLNKKETTSIEAISNQEFSQEECRRLRQSIRCGLVKHWTVGEIQTKAFALQAVKLNDVLEAEILRLNHLRDRANEKGHKKQYPFLVDVF >CDP02566 pep chromosome:AUK_PRJEB4211_v1:7:4909956:4912106:-1 gene:GSCOC_T00039992001 transcript:CDP02566 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEEAHNAKVLGSGEQTIVLAHGFGTDQSVWKHLVPHLVEDYRVILFDKMGAGTTNPDYFDFERYATLEGYAYDVIAILEELRVDSCIYVGHSVSAMIGAVASIARPDLFTKLVMVSGSPRYLNDVDYYGGFEQEDLDQLFEAMKSNYRAWCDGFAPLAVGGDMDSVAVQEFSRTLFNMRPDIALSVARTIFCSDTRHLLAHVRVPCRIIQSMKDLAVPVVVSEYLHQNLGCESVVEVMSTDGHLPQLSSPDVVVPVLLRHIRDNIMV >CDP01694 pep chromosome:AUK_PRJEB4211_v1:7:9509333:9510430:1 gene:GSCOC_T00036830001 transcript:CDP01694 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVQFGVLAACIVLFVPMGMAGWHLSRNKMLFFSGALFITLAVGVHLTPYFPSFSAFIPSSSSLPSSPTVVASSVNRDSCISSLHEIEFHNQDSRNNDSTSEKKSWKWVDSNKGVVDCEFQKLSKSDASDLLNGSWVVVAGDSQARLMVVSLLELLMGLDEIERVRGDLFKRHSDYRTTVDVIGMKLDFIWAPYVSNLTDLMVEFREKRYHPDVFVIGSGLWDMLHMNNATDYGVSVSRLKDSLMPLLPVTPEFEFEDRPVVVRSPLMFWLRMPTLINSILNTEEKRAKMTDAMWYAYDEQIYKSKLVRNFGGPLFLLDIRYLSSRCGRTCTVDGMHYDGIVYEAAVHIMLNALLIESQQRLS >CDP02493 pep chromosome:AUK_PRJEB4211_v1:7:4279686:4280897:-1 gene:GSCOC_T00039890001 transcript:CDP02493 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNPTIPPSLSPPPTEFIPEPRRIQPVPTPSPSLYKQKSWSPDTYRDEAWQRRKGNHDIRCNRRSKSVTEEDLEELKACIELGFSFDSPKMDQRLSDTFPAYGLFYAVNKNYNDTVSKHITLASPFSSTVSDCDTPSPLDSPHNIFDPGENPQTVKTRLRQWAQVVACAVRQSSR >CDP02171 pep chromosome:AUK_PRJEB4211_v1:7:1843218:1845824:1 gene:GSCOC_T00039481001 transcript:CDP02171 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLPSDQFSVTVWFPKRPLDRLIVSSIITGYTLWNAEYRISLMRNFDITLDISKDYQHLEENGVSELKGKQSEGAEGIARVDGCAEVLDRKQGDSLGNLSPEVVEYIQQLESELSSVKQELHARKQENLQMEYVKRSNNDLLEYLRSLDSDMVAELSRPSSWEVEDFIHQLAQNILQRFFKDEITSNAGGDFSLASIENYDNGNECSDTIGTSRDYLAKLLFWCMLLGHHLRGLENRLHLSCAVGLL >CDP02611 pep chromosome:AUK_PRJEB4211_v1:7:5280889:5284465:1 gene:GSCOC_T00040053001 transcript:CDP02611 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRLARRSRQAYPSFLTPLSRPFASDALVETKPGEIGMVSGIPEEHLRRRVLIYSPARTASQQGSGKVGKWKINFVSTQKWENPLMGWTSTGDPYAHVGDSALCFDSEEAAIEFAQRHGWDYRVKKRHTPLLKPKAYADNFRWKGPPDTKN >CDP02669 pep chromosome:AUK_PRJEB4211_v1:7:5700250:5705323:1 gene:GSCOC_T00040134001 transcript:CDP02669 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRDKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIIDHKLLKCERRINDVLRCTDIVEKGTESVVFRTCPEERNKVVFQMGTSDAVRALKAAQLVCKDVAAVDINMGCPKSFSLSGGMGAALLTKPELIQDILTTLKRNLHMPVTCKIRLLKSSRDTVELAQRIEKTGVSALAVHGRRVADRPRDPAKWNEIADVVAALSIPVIANGDVFEFEDFERMKVATGASCVMVARGALWNASIFSPTGKLPWEDVKREYIRKSILWDNDIKSTKQTLKEMIMHYSCLEFPEGKAVTKAETLADLAQLYGQEQYYEYVKLNQLSSTRSR >CDP01660 pep chromosome:AUK_PRJEB4211_v1:7:9825090:9826418:1 gene:GSCOC_T00036782001 transcript:CDP01660 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSWRHKRANRRRQARKNEALEGNCDLELTIPKHFQCPISLDLMKDPVTLSTGITYDRENIERWIEAGNQTCPVTNQALKSFDQIPNHSIRRMIQDWCVDNKSYGVERIPTPRTPITPYEVSEVSSKIVAATTRKDGNKCQELVGKIRNLAKESERNKKRVVENGVGYVLSSSFETFAGDSMEKHEVLLKEILSALTWMFPLGIEGQSKLGSSASLRCIAWFLHGEDLSTRQHAVIVLKELLANSLDHQISDGLIEIEGISEALFKILEVPIGPTATKASLMVTYYMLQSEKSEKLASRFVEMGLVSLVLEILVDGEKRTSEKALGVLEAICNWEEGREKACSNALTMPLLVKKILRVSEMGTEFAVSTLSKLCKEVEDENPVIEALEVGAFQKLLVVLQVGCGENTKEKITELLKLMNLYKNRLDCFDSLSGFKYIQRPY >CDP01427 pep chromosome:AUK_PRJEB4211_v1:7:12244780:12249898:-1 gene:GSCOC_T00036472001 transcript:CDP01427 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVAFYQATNVRLVHGNGGESGGGRDFAGHRGCHSSCLRLMSKIIQNEEPFWRKEYWRTIKSQKVVSCASNSCSSAPYPILASSPTETNDSLKKENESVLILIRHGESMWNEKNLFTGCVDVPLTERGVEEAIEAGKRISKMPLDIVYTSALVRSQMTAMLALTEHHCMKVPIIIHNENEQAEMWSQIHSEETQNQTIPIIKAWQLNERMYGDLQGFNKQKTAEQYGKDQVQKWRRSYDVRPPNGESLEMCLKRAVTFFREHIEPQLLIGKHVMVVAHANSLRSMIMYLDKLTSQEVIDLELSTGVPMLYIYKEGKFTRRGSPLGSTEVGVYAYTESLALYRQQLDEM >CDP04695 pep chromosome:AUK_PRJEB4211_v1:7:15735732:15738713:1 gene:GSCOC_T00018755001 transcript:CDP04695 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPFQPLSLAFNHVNYYVDMPAVKRLQLLRDISGAFRPSILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQETFARVSGYCEQNDSHSPYVTVYESLLYSAWLRLASDMFVEEVMDLVELNPLRNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAVVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDEAIPGVPKIKEGYNLATWMLDVSTSAMEAQLEVDFAVIYANSELYRRNQELIKELSTPACFWKHNWSYWRNSQYNAIRFFMTVVFGLIFGVIFWGKGNVIFKQQDLINLLGAIYAAVLYLGATNASAVQSVVAIERTVFYRERAAGMYSELPYAFAQVAMETIYVAIQTLVYTLLLYSMIGFQWTGQQFFYFYYFIFMCYTYFSMYGMMVIALTPGQQIAAIVMSFFLNFWNLFSGFLIPHPLIPVWWSWYYWCSPVAWSIYGIFASQLGDRTKDIELSDKSTMPINKFLKDNLGYDHDFLIPVVFAHLGWVLLFFLVFAYGIKFLNFQRR >CDP02278 pep chromosome:AUK_PRJEB4211_v1:7:2662398:2669499:-1 gene:GSCOC_T00039630001 transcript:CDP02278 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKPQRTPEEIEDIILRKIFLVSLIDSMENDSRVVYLEMTAAEILSEGRDLRLSRDLMERILIDRLSGNYVAAEPPFQYLINCYRRAYEEGRKITSMKDKNVRSEMELVAKQAKKLAASYCRIHLGNPDMFPNWDTNKSSVSPLLPLIFSEVSTAVDGFDSSGGVSSPPGFLEEFLRDGDYDSMEPIMKQLYEDLRGSVLKVSALGNFQQPLRALLMLVNYPVGSKALVNHPWWIPKGMYLNGRVIEMTSILGPFFHVSALPDHTIFKSQPDVGQQCFSESSTRRPADLLSSFTTIKTVMNNLYDGLAEVLRCLLKNTSTRENVLEYLAEVINKNASRAHIQVDPLSSASSGMFVNLSAVMLLLCEPFLDASLSKRDKVDPRYVFSSPRLELRGLTALHASSEEVSEWISRSNPSRSTDGENRLLHSQEATSSGSNVGGPSSLNDDKPMSHCSKNAKFSFICECFFMTARVLNLGLLKAFSDFKHLVQDISRCEDTLSTMKAMQGQAPSPQLQQDIDRLEKEMELYSQEKLCYEAQILRDGGLLQRALSFYQLMVVWLVGLAGGFGMPLPSTCPMEFAAMPEHFVEDAMELLIFASRIPRALDGVVLDDFMNFIIMFMASPEFIRNPYLRAKMVEVLNCWMPRRSGSSATATLFEGHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWRQIAKEEEKGVYLNYLNFLINDSIYLLDESLNKILELKELEAEMSNTVEWERRPAQERQERTRQFHSQENIIRIDMKLANEDVSMLAFTSEQITAPFLLPEMVERVASMLNYFLLQLVGPQRKSLTLKDPEKYEFRPKQLLKQIVNIYVNLARGDKQKIFPAAITRDGRSYNEQLFSAAADVLRRIGEDARTIQEFIDLGAKAKAAAAEAMDAEAALGEIPDDFLDPIQYTLMRDPVILPSSKITVDRPVIQRHLLSDNTDPFNRSHLTADMLIPDTELKARIEEFVLSNKLKKSGEDLSLQNIKATIQTTDTTSLIE >CDP01431 pep chromosome:AUK_PRJEB4211_v1:7:12226058:12227689:-1 gene:GSCOC_T00036477001 transcript:CDP01431 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHCSFTRLAFLLFFIPTFSFIAQASVPDNETFQYVNEGEFGEYVVEYDADYRVLDVFNSPFQLCFYNTTPNAYTLALRMGTVRSESLMRWVWEANRGNPVRENATLTFGKDGNLVLADSDGRVAWQSGTANKGVVGFKLLPDGNMVLHDFKGNFIWQSFDTPTDTLLVGQALKLGGPTTLVSRASEKENINGPYSLVLDPKKFALYYQSENSPRSMLYYDLNTLLSVKGNLESVKFTSNPETDEAFAYEITFEYQIVNSSSGGNRILSRPKYNSTLTYLRLGIDGSLKAYTFYPNVDYGAWEETYTLFTRADGGECQLPSRCGNFGLCEDSQCVACPLPSGLLGWSKDCDSPKVKSCSAKDFKYYKLEGVNHFLSTYTRGDGPIKEDDCAKKCTSDCKCLGYFYHLDTSRCWIAYELKTLTRVANSTHVGYIKAPIDLKQMQVFYSVGA >CDP01741 pep chromosome:AUK_PRJEB4211_v1:7:9113151:9116065:-1 gene:GSCOC_T00036888001 transcript:CDP01741 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSASSSLCNSNSLIHSPKPWHPNSFPLSRSLSSPLFILKTGPKKKFSVNNARRLRVSALTEGSSKNDGEDDDPSVPSWAKPGSDEPPPWARDEPQQDSSSFEVPYFVYLLASAVTAIAAIGSVFEYANQRPAFGVLNSDNVFYAPLLGFFAFTGIPSSAFLWFKAVQVANKEAEEQDRRDGYL >CDP01962 pep chromosome:AUK_PRJEB4211_v1:7:7371709:7373500:-1 gene:GSCOC_T00037157001 transcript:CDP01962 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVIADVNHQRIKTNGIWMHIAEKGTGPLVLLLHGFPEIWYSWRHQINFLAKHGYRVVAPDLRGYGDTDSPLSPSSYTAFHIVGDLIGLLDHFGQEQAFVVGIDWGAAAAWQLSLLRPNRVRGIVALSVPFTPRFSTIKTTDSFKQMFGDNFYVCQFQEPGRAEKSFARYDYLTVMKKFYLISKTDQLIAPPGMEIIDYLETPSYLPRWITEDELQVIADKFLESGFTGAFNYYRAMDLNWELLAPWQGSKITVPAKLIVGNKDIGFDSGTREYIEGNVFKSLVPNHEVVILDGHHFIQQERAQEVSEQILSFLRKFPAK >CDP04730 pep chromosome:AUK_PRJEB4211_v1:7:15358824:15361767:1 gene:GSCOC_T00018807001 transcript:CDP04730 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFISVEYLDCKYYNGLIMQFNSVSDTLVECPEDQVFTYPEFQLREDVYKRKLQRIRVKDVEKSLQIPLQQQSIKYRHHLLKFIVLVILLGILLAIFISSTICPQQRISDAVSQLLWSKSDPRYISNLDISWNEISRVLEHVPGKNTVPGVGLLNFNSSEITHWKQLLPDSNHTILHLDHADQNVTWNSFYPEWIDQEQEYEVPSCPSLPQIEVPGSRLDLIAVNLPCRNEQNWNRDVARLHLQLAVAGLATFAKGNFPVYVLFITNCFPIPNLFTCKELIARQGNAWLFKPNLNQLREKLHLPKGSCELALPLGDAGKTEQDCSVKKNREAYATVLLSAHLYVCGAIAAAQSVRMAGSTRDLVILVDETISEYHKSGLQMAGWKVRTILRIRNPKAEKYAYNAWNYSKFRLWQLTDYDKIIFIDSDLLIRRNIDFLFSMPEISARGNNGVLFNSGVMVIEPSNCTFQLLMDHITDIESYNGGDQGYLNEVFTWWHRIPNHFNFLKNFLSYEGEEVNEKKIRLIAAEPPVLYVIHYLGYKPWMCSRDYDCNWNVGKLHEFASDDAHGSWWEVHDAMPEKLQDFCKLKSKQKAQLNYDRREAEKRNYTDGHWKIKIKDPRFMKCSDDLCDFDFESRLWRWGEIE >CDP12797 pep chromosome:AUK_PRJEB4211_v1:7:14393547:14397410:1 gene:GSCOC_T00037451001 transcript:CDP12797 gene_biotype:protein_coding transcript_biotype:protein_coding MKMASSSSRTSAFDSVLKHLAWLTKTCKILGSGNFDAEAEFKWYSQLDGLKDNVRVLIPFDLYVTNCRRKQEMCWEHDLEEKGNTKSDSLRLSIILWKIQDLARTIMPGPESAVSTYIQSGAPNFIDLKTKLTRFEENVRLFLETEIRPSCIISLLHHYSLGDPRLVTNLIDSVLKNLDWLTRLFNTYDNALSFVMITLEAKLLFLQSFICFATVQGVEGKGLIDLLIHAEVVAVKAARLISISWFDRKDKQMPKEMEFQISRLIRKEIDPVDPQVRETYIHVLTASKLPRSSHTSVLEKNKHLLANFIDCLLYNVMELLEHFPSFSVLVKDQMLILHGGVRLLCVLLRQQQEKFDGLHDQMKDLIGFVACDAGIVILSLSATEMKEGLAKETDLALFHLVKVLKFIMAEFAQIYPPSSFSFPWTDELGCFDFLLESLQDLSNSEADSIDFPKDHIHRVQEDLVFLRSFLENIVGQRNQNEKLQALWNRGMEMVYKAKLLVNSIVSGDKSECLDTLAGDIELMRTEAHGICDSLSHGTDAQRVTNNSAHTTSKLSRPALNEATVGLDEAVKTITCRLTRGSDQLDIISIVGMAGLGKTTLANTVYYGMQNSICPPFHIHAWCTVSQAYSKHNLLAQILSSIHCGSPDKYLNKDENDLADDLRKVLLRTRYLIVLDDLWDIEAWNLLEGSFPKQKKGSRILLTSRIHSLPLQLKHDSEPYHLRQLSDTESWALLQNKLFGKECCPPTLTGVGFQIAKNCKGLPLTIVLVAGILATTEQDCWKEVAETLSSSTIVETEQCKKTLELSYSNLPGHLRPCLLYFGAFPEDADVPVRKLIWLWTSEGFLQKTEGKSLEDAVDEYLTDLVQRSLVTVTQQRSIGGAKTCRIHDLIREFCVEKAKEESFLQISRWENDLFTLTGPHNLHRLGIYNARPMKLKKSKVFFPNLRSLLFFAVRKVVPYFPTGVLLFKLLRVLDLGTCNFNYKFPMEVVLLVHLRYLALSHISSVPSEIANLSRLETVWVIATWVDVVLPTTIWNIQTLRHLYVTNDQLHLFGGFVFPADNLAGSPDLKHLETLSLAIDPQSWQKILTKLPSIRRLKCTPLKSFGLIRTGWILLLDGLSRLESLKVSDFLAWVQFPLYLKKLTLSRTHMRWTLISRIGKLSSLEVLKLQHTAVVGEKWEMKAGEFLNLRFLGLTKLDLRSWTATSDNFSCLEKLVVEGCWELEEVPSCLGECSNLEVIELTGCRKSAVTSVKQIHQEQMGMGNEGLRVIIEDTWDDADLSDSSEAELN >CDP02538 pep chromosome:AUK_PRJEB4211_v1:7:4676411:4678912:-1 gene:GSCOC_T00039948001 transcript:CDP02538 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGMMDSAYFVGRSEILTWINSTLHLNLTKVEEACTGAVQCQLMDAVHPGTVPMHKVNFDAKTEYEMIQNYKVLQDVFNKLKITKHIEVNKLIKGRPLDNLEFMQWMKRYCDTVNGGSINSYNALERRREASKGGKDTSKKSVPSQPSTKSAAPAAAKHAPHNARRSDAPHVNIASQAVKSSRPSSSGGSSVYSEAERTAYEQQITELKLSVDSLEKERDFYFAKLRDIEILCQCPEIENLPVVEAIKRILYATDDDASVVAEAQAMISQNQQQIEPLDTIPEEEEDLLKLETQKRKSFINVDVDAAANSTLSPRQRISDASDVHCSGSPLVTY >CDP02476 pep chromosome:AUK_PRJEB4211_v1:7:4084463:4087769:1 gene:GSCOC_T00039863001 transcript:CDP02476 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVCAVKIFRFPRHANTLKSSSPSPSVSETLRFIISPPLIHHPGTSSISISLMAHSRPRILEQAEADCDGKFTDNIAGERKYAEIVVIRHGETEWNADGRIQGHLDVELNDVGREQATVVAERVSKEFKVSAVYSSDLKRAFETAQIIASSCGALQVIEEPDLRERHLGDLQGLVVREAAKSSSKAYRAFVSNRRDEEIPGGGESLDQLYQRCTSSLQRIANKHLGERVVAVTHGGVIRALHRRASSHGRSAGKIMNTSVNVFQLSDEDEWSIKVWGDVSHLNQTGFLESGFGGDKSSG >CDP01754 pep chromosome:AUK_PRJEB4211_v1:7:8989416:8997697:-1 gene:GSCOC_T00036910001 transcript:CDP01754 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYPGELRTPPVALAALVGCPELHSRITSHLHAEQPPINALALPDFSKITLFARTPKENAGPGRPVDGILKRDWLSKHRTKIPAVVAALFSSDHISGDPAQWLQVCTDLENLKGVTKGRNIKLIVVVVTQSSSRDEISEDRMIALRKRAEVDSKLRSTLGELANTYYRDEGRRVKTRVDRKSSISIELHIRYCFKVGVYAEFRRDWAEALRLYDEAYHSVREMVGASTRLSPILRLVEIKTVAEQLNFKISTLLMHSGKLAEAIIWFRRHTDTYRRLVGAPDANFLHWEWLSRQYLVFAELLESSSAAVQNISSPTSETADKLTEWEFYPAYYYQSAAQYLKQKSSCLELALSMSEIADEKNGSNESVIDSVYVGQFARVLEHGGEAFTMQPLTDEEFIRYSLAEGKRFQDSFEIIALLKRCFEAYNKNKTLRMASYCGVQMAREYFSINEFADAKQILDNVANLYRQEGWVALLWEGLGYLRECSRKTGSVKDFVEQSLEMAALPVSNTEDAQFFKDCGPAGPPSLLQREMIHKEVFGVIRGESEIALNEENNHLKVTDCHPLYLEIDLVSPLRVALLASVAFHEQIIKPGRSTMLTVSLLTRLPLKFEIDQLEIQFNQTECNFIIINGQRPQLAAISNVQPGRRVEMAPALEIATNKWLRLTYDIKSEQSGKLECMYVIARIGPHFTICCRAESPASMNDLPLWKFENRLETVPIKDPALASSGQKAIQVEEPDPQVDLKLSSSGPALVGENFVVPVTVTSKGHSVHSGELKINLVDTKGGGLLSPRDVEPFSTDNLHVELVGVSGQECEDQSDAGSDNIRKIQPSFGLISVPVLSEGKSWSCKLEIRWNRPKPVMLYVSLGYNPCSSETSSQKVHVHKNLEIEGKTALIINHRYMLPFRQDPLLPSMIKATGDFDLTPILPLKEKSILLVSAKNCSEVPLRLLSMSIESETDGSCTVRQKTEDHMEPAPIVPGEEFKKIFSVIPEVNPAKLKIGTVCLRWRRDSGDKEQSGSCTTEVLTKQRLPDVYVEQPPIIVSLECPAHAILGDPFTFPIRIHNRTQLLQEIKYSLTDSQSFVLSGSHNDTIFVLPKSEHILTFKLVPLASGSQQLPRVSVTSVRYSAGFQPSIASSFVFVFPSKPQFRLSDTTDTRLGSVAV >CDP02207 pep chromosome:AUK_PRJEB4211_v1:7:2127041:2128807:1 gene:GSCOC_T00039529001 transcript:CDP02207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 72 [Source:Projected from Arabidopsis thaliana (AT5G15130) UniProtKB/Swiss-Prot;Acc:Q9LXG8] MSEVREENARLKTLLEKIEMDYKSLQMRFVNICQPEANKKSDHDPPPSGNGQIEELELVSLCLGRSPSDPKKNNNDSSSSFKNRKEDENLKEGLKLGLDFNCFQDCKSDAIDLSTDPSPDNSALESKEEEAAGETWPPSKVLKAMRNEDDDLSQASIKRARVSVRARCDTPTMSDGCQWRKYGQKIAKGNPCPRAYYRCTVAPSCPVRKQVQRCAEDTSILITTYEGTHNHPLPVSATAMASATAAAASMLLSGSSSSEPGLGPAATTNLRSNLHGLNVNLSDNSKYHPYYLPNSSSSPFPSITLDLTTNPSTSSAQFNMFSSTSLQSRLPSTSFSFSPSQTNVPPALWSNNNLNYGAISYNKNQMISTLSSGRTSQEHLYQPFKQKNYQAPASQQALTETLTKAITSDPSFRSVIAAAITTMVGGGGATPANIKVENLGKKLKWDEQMTVTSSNGCTSSFLSQSSSSASQSVNLRKQRTQPAFSVSIGAPSATPVDDIDQTNSGEP >CDP02253 pep chromosome:AUK_PRJEB4211_v1:7:2493119:2495864:1 gene:GSCOC_T00039598001 transcript:CDP02253 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSIQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPKTDAILSNDGQSKHAANLSHMAQWESARLEAEARLVRQSKLRSSSFHNPLASPEFSSPSSPLNKPALVPPGPPRCLDILKAWNGVWTKPNDDQAGTSAGGAAASVTGLAGDLESPTSTLSYSENAPQMSSNGIGDSSTAYIEFVGNSSGSCEGGMMKEEGEEDWKGIDNSMSFTSGLQDMAITVDNTHNSAWTGEFTDLLLSSSGGGDRSFCEGCGESDNGDASGSAGSGDASHDYLEDNKNYWNSILNLVDSSPSDSPMF >CDP02435 pep chromosome:AUK_PRJEB4211_v1:7:3795417:3796975:1 gene:GSCOC_T00039811001 transcript:CDP02435 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVLNWWRISFSARRLVRGKAMASTSSIVARTLTLHCARHDHSVSHNSRVNRVAPVGIPGRRELLLLMTATTALKAGEMPSRAQDIGLFGLRKKLKKAEEAAEEIVREGFEAADKGVEVAGKGIEAAEKGLKTAEKDIVLAEKQIEGAVSFGGFAQAGVVAGAEFVGVLVATSVVNGILGPEAQKS >CDP01954 pep chromosome:AUK_PRJEB4211_v1:7:7425095:7432032:1 gene:GSCOC_T00037148001 transcript:CDP01954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 3 [Source:Projected from Arabidopsis thaliana (AT4G36360) UniProtKB/Swiss-Prot;Acc:Q9SCV9] METNKFAKWVLVLCMVVVLGAEFVQSSVSYDRKAVVINEQRRILISGSIHYPRSTPEMWEDLINKAKDGGLDVIETYVFWNVHEPSPGNYNFEGRYDLVRFIKTIQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKMYMQRFTEKIVRLMKSENLFESQGGPIILSQIENEYGPQVKVLGAPAHQYMTWAANMAVGLNTGVPWVMCKEEDAPDPVINTCNGFYCDSFTPNKPYKPTIWTEAWSGWFTEFGGPVHQRPVQDLAFAVARFIQKGGSFLNYYMYHGGTNFGRSAGGPFIATSYDYDAPLDEYGLIRQPKYGHLKELHRAIKLSERALVSADPTVTSLGNLQQAHVFSSEAGDCAAFLANYDTNSAARVMFNNMHYSLPPWSISILPDCRNVVFNTAKVGVQTSHMEMQPSNSEMFSWETFNEDLTSSDDSLTFTAPGLLEQINVTRDTTDYLWYITSVNIGSSESFLRGGELPTLIVESTGHTLHVFINGQPSGSAFGTRENRRFTFKEKVNLHAGTNKIALLSVAVGLPNVGGHFETWNTGILGPVALHGLDQGKWDLSWAKWTYQVGLKGESMNLVSPTGISSVEWMQGSLIAQKQQPLTWHKAYFNAPDGDEPLALDMSSMGKGQVWINGQSLGRYWTAYATGNCNECSYTGTFRPPKCQLGCGQPTQRWYHVPRSWLKPTQNLVVLFEELGGDPTRISLVKRTVSSVCADITEYHPTIKNWHIESYGRSEEFHKPKVHLHCAPGQSISSIKFASFGTAMGTCGSFQQGTCHAPTSYTTMERRCLGRQRCSVTISNSNFGQDPCPKVLKRLSVEAICSPMGSTLTYHN >CDP02100 pep chromosome:AUK_PRJEB4211_v1:7:1412547:1413243:1 gene:GSCOC_T00039385001 transcript:CDP02100 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRSIRLYEAASRLTQSIQFLLNPDPTSLRRERRRRESSKSTTTDVQHPPPLLATAAYLLLYYSLC >CDP01654 pep chromosome:AUK_PRJEB4211_v1:7:9870989:9873272:1 gene:GSCOC_T00036772001 transcript:CDP01654 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRLLFLISCFIARLSFSLSASGELNSFESVPDLEKSMYTIVDGYPCVRLLNLSGEIGCSNPGHGKVAAPVVKFKNVNQLVEPSAILVSLDELDSLLARHVFDDSIYPFQKNNRVTLPFD >CDP01463 pep chromosome:AUK_PRJEB4211_v1:7:11814112:11819300:1 gene:GSCOC_T00036517001 transcript:CDP01463 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLIDYYSNNKTLADAEENLLTCLADLFMQISSQKKKTGVIAPKRFVQRLKRQNEIFRSYMHQDAHEFLNYLLNELVDILEKESRAAKSDGETSSPSEKGANGPMSNHANGTQKEPLVTWVHKNFQGILTNETRCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDNNVPFNVCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADAEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYERITAAGTS >CDP02067 pep chromosome:AUK_PRJEB4211_v1:7:1166334:1168246:1 gene:GSCOC_T00039348001 transcript:CDP02067 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEDEIVERPPQVPTPEEVVSYSPDEEGKTETNVKETTNRDYLNPKADHKMEEDEISESTNSTSKTTEFELPENIEAIKGVNTQMPREDNIGERSIQVSIAKVVGSCSEEIKDKSVDNATGDESPTRIKLEGYHMNDTNQSLEKEITSKDKVAEQPFQVSTAKEQVNDIPGEGKEERNVTDAAIQDELQPEVAPAIKPDDASRTMMNASGSIKFQEPEPIDAAQSIEEQITSKDKDVEGLHHVSTMDEIVNYHHADEGEEENVKDKAIIDELKLKVESGVKADEVCETHYASESTKFKEAETVDATSSFQEQMPKEDKVVEGPARVSTTEAVVSYCQPEEKREERNVKNTTIRDELKGEVNSHVTVSEVPETIENASENAKFKGSEPINVTQSFNKEVPIEDKVVEGPPQVSIAEETVYYSHAEEGNAERNTNETAMKDEQKARVNPEIGVEEISLTTKSESESESTQVYNLKYLL >CDP04737 pep chromosome:AUK_PRJEB4211_v1:7:15290516:15291480:-1 gene:GSCOC_T00018815001 transcript:CDP04737 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMAFEHPITGRVLVENGVAIEVVRDENGRHQREEIAKVIKEVVFGGAGETMRQKIKDSRKKIKSEEKENLDGLLTLIIQLSKKNSSHDINIARA >CDP02590 pep chromosome:AUK_PRJEB4211_v1:7:5105308:5109509:1 gene:GSCOC_T00040025001 transcript:CDP02590 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKLISALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRLELDPQLATLVGRHSRKPWMKFVNSDNQHLAVPEAIDFVDKLLRYDHQERPTAKEAMAHPYFYPVRNAESSRTRT >CDP01532 pep chromosome:AUK_PRJEB4211_v1:7:11071543:11075984:1 gene:GSCOC_T00036617001 transcript:CDP01532 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNKEKFENLVNVEENQVPLLLHSESSKYEIDDDDQNLRRRVFVESKKLWHIVGPAIFSRITTYSMNVITQAFAGHLGDTELAAISIINNVVVGFDFGLLLGMASALETLCGQAFGAKKYHMLGIYMQRSWIVLFACCILLLPIYIFATPILKLLGQPANVAELSGSVALWMIPLHFSFAFQFPLQRFLQSQLKNSVIAWVSLVALLVHLCTSWLFVYGFQLGVVGTVVTLNFAWWVLVFGLFIYTACGGCPHTWPGFSMEAFSGLWEFLKLSASSGVMLCLENWYYRILILMTGNLKNAEIAVDALSVCMTINGWEMMIPLGFFAATGVRVANELGAGNGKGARFATIVSVATSSLIGLFFCLIIIIFHNEIGLIFSSSKPVLDAVNQLTVLLAVTILLNSIQPVLSGVAVGSGRQSQVAYVNVACYYLVGFPLGLAMEWVFHQGVMGIWGGMILGGTVLQTLILVIITTRCDWEKEAQKASNHTKKWAVLA >CDP04578 pep chromosome:AUK_PRJEB4211_v1:7:18726280:18738023:1 gene:GSCOC_T00018545001 transcript:CDP04578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) UniProtKB/Swiss-Prot;Acc:Q8L4Y5] MEAVVVDAGSKLLKAGFAIPDQAPSMIIPTQMKRMPIDASLDDPSLVEDITVDPIVRGFVKDWDAMEDLLHHVLYTGLGWEMGNEGQILFTDPLCTPKAVREQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVQHIASRRFELGGLDLTKLLVQELAKSNPQVKLSLSDAEKIKEQYACCAEDDVNYEKIQVSCPVEQHTLPDGQVIMIGKERYTIGEALFQPSVMGLEAHGIVEQLVRSISTVSSENHRQLLENTVLCGGTASMTGFEDRFQKEASLCSSAIRPSLVKPPEYMPENLITYSAWVGGAILAKVVFPQNQHITKADYDENGPAVVHRKCF >CDP01877 pep chromosome:AUK_PRJEB4211_v1:7:8062463:8063026:-1 gene:GSCOC_T00037053001 transcript:CDP01877 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSFLYPFKEFWARLSSTRTRRSKGIYVLYEDVKSCSCEDVHALWSILVDSDSNFAL >CDP02662 pep chromosome:AUK_PRJEB4211_v1:7:5652780:5656372:-1 gene:GSCOC_T00040122001 transcript:CDP02662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable hexokinase-like 2 protein [Source:Projected from Arabidopsis thaliana (AT4G37840) UniProtKB/Swiss-Prot;Acc:Q9T071] MRKEVVAAAVTVTTAAAAVAAMVLVRHWKRQNERSWRHAKRILRKFARESATPVTELWLVANDLAVDMQTGLISEQSKLGMFPFYSGSLPTGDEKGVYYGINLRGDNFLILRAQLGGKNEILSEFQREEVQIPFLHLFMQELFDLIALELSKFVSLHEDLTGKTKLEKLKLGFTISPPVDQAAASSCRGIDWRRLTDDTVRTQMMTEINEALLKHGVEMQVSAMVDEVIGCLAGARYYSRESVAALSLGMAVNAAYIESVQEVQKLQGQLSNSGEMAISVNWGNFSSIHLPMTEFDASLDSESTNPGSGIFEKLISGMYLGEIVRKVLLKMAQEAALFGDNLPIKLTIPYLLRSHDVAIMHQDTSEDYEMVDEKLKEIFEISSTTPVVREIVAEVCDIVAERGARLVGAGIMGIVKKLGRIANKKSVITVEGGLYEHYRIFRNYLHSSVWEMLGSDLSDNVIIEHSHGGSGAGALFIAASQTRDADS >CDP01508 pep chromosome:AUK_PRJEB4211_v1:7:11348780:11351005:1 gene:GSCOC_T00036583001 transcript:CDP01508 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLICINIYAVSRYGNADFGQKNHPSVLSRTLSASKFCRGDALASQIDSGALKPVGRRSVDRYPSKNRINRVSTMQEQMRQAEDELKTSKEQLDFAEDERSRAFNELREAKRLVYEANMKVNEVLSPRKTGELMTEVKNLKELLANSLKELKMKDEKIECLKLELQEAKQFEVKLAERDASLARWKEEFDKVKGSEAYALDLLSAGEKRIEELENEVQRGNVSEAKMLDSLASQTKQLEQIKIELEESKLEIAALNERIVSSQEPSKQNSSECNRPDRKGENEILIGDAGSTRSEQKLAKQNLSLGKKDANIALSNSKTSLDEMQLLKNELKLAIEAEEKSKKAMDDLALVLKEVATESNQAKEKLSVAYLQLEQVKGEAEQLKVIVRSTEERYEHLLDEAKKEAELHRNTADRLRVEAEETLLAWNGKEMGFVSCIKRAEEERAVAQHENAKLSESLKAAEHKMRAARDESYKLRDILKQAINESNAAKAAAGLARDENSHFKDILAEKDEALYFLTQENERLRINEVAAQENVKELKQLLSIATRDRKTQSKAEDGVLRKNFSFNLKELKFSNDTEDSDQTISHEDPEKAEALKGSIFDSSTDSPRSEPRSPRLVPHHRKESSSVFTDDGDTPMSEDLDHLDNSNFDDSDSDRNHRRRRTMFRRVGDLIMRKSFSQK >CDP02632 pep chromosome:AUK_PRJEB4211_v1:7:5424277:5425125:1 gene:GSCOC_T00040086001 transcript:CDP02632 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGKVVLVTGCAKGGIGYEYCKAFAEQNCRVFASDIPTRMHDMLELESVDKIGTLELDVSSEDSVSSAVNSVISKCGRIDVLINNAGIGSTGPLAELPLEVVRKAYEVNTLGQLRMVQHVVPHMASQRCGSIVNIGSVVGKVPTPWAGSYCASKAAVHAMSDALRVELRPFNVDVILVLPGAVRSNFGDTNIEKLGNYDWKLYKEFKEAIAERARASQGSKATDAALFARHVAKKVLSPRPPKQVVFGHMTGLFALLSWSPLWARDLFFSTRFKLNKKAFL >CDP16023 pep chromosome:AUK_PRJEB4211_v1:7:22343623:22345532:1 gene:GSCOC_T00017005001 transcript:CDP16023 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIRNIPRAAGLILNTFEALEGPFLSHIHSQAPNLYAIGPLQLHLKTKLAAQSRELPAAVSNSFWVEDASCLCWLDSQPLKTVVYISFGSLKNITKDEFLEFWHGIVNSGQRFLWVIRPGSINGQKLEQHDDFLKELNEDTKERGLILSWVPQEEVIGHPAIGGFLTHSGWNSTLESIIAGVPMICWPSYVDQQVTSRFVSETWTLGLDMKDTCNRSIIEKMVRDIIERRWEGGKGREIRKKKRGVVIACGEWWLQEVGVMVKKEMRVVFYFYLFTFV >CDP02707 pep chromosome:AUK_PRJEB4211_v1:7:5971488:5973011:1 gene:GSCOC_T00040175001 transcript:CDP02707 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEIKSFIKVWLLVIASLSYCYSVSRNVPKGPSRLLTTLPVVFLNLLLPLNLHTLHLGVSSAFFLAWLCNFKLLMFAFGKGPLSDSSLSLSHFLALACLPIKARHQNNPSTKTTQKGLKSLWNYGIKLLLIPLFLKAYDYEDHIHPKLTLVVYAIHIYVGLETLLAIVGGLARASLGIELEPQFDEPYLSTSLQDFWGRRWNIMVTRALRPSVYLPALNLSQRLTGRKWAPLPAILATFIASAVMHELIFFYMGRRWPTWEISCFFLLHGVCLVVEVALKKYRGLSGERGLPRIIAAPLTVGFVMVTGFWLFFPEFLRSNAMVRASREYEAMGAFFRDFYRAL >CDP02053 pep chromosome:AUK_PRJEB4211_v1:7:1086750:1088728:1 gene:GSCOC_T00039331001 transcript:CDP02053 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAVDEVTAKTEMVEIEPNTGLALKTATNEEKANDEPEAKISTDDAKSQKVGEEKEPECHKEQEADVPPVEVEPKTGVSFPAKLNDGKQLEAVGLRKKSMLGLGIKIYAFGIYADNHQLKALVRDKIGKAPAKPTKEMYHMVIDSDVGTTVRLVIVFSSLTMSMVRKNFDEGLGAAIKKLTGGKKEELTKMIMGEASDDIKLIPGSEIEISRLPGYILQTKVMGEVVSNVQSELLCRACMYLYLGDDPFDKEAKDKFGSSLLHLF >CDP01973 pep chromosome:AUK_PRJEB4211_v1:7:7315640:7316870:-1 gene:GSCOC_T00037170001 transcript:CDP01973 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRVEDPGEEEIFGLCGSWEFQKIRKRQRPNLTKLNAKRNEEGRKEGEFGHGGCGIYTHF >CDP01843 pep chromosome:AUK_PRJEB4211_v1:7:8302105:8304460:-1 gene:GSCOC_T00037016001 transcript:CDP01843 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFEDADRLRNDDGSTLLKYVQRVIKIDTSISMLLMFTLRGAVSFIFRQTMIKLKAFEVQFPTWFPASIRCPAFECLLWTGRLLTSNRTQMRCFVFEIFKMMQIETKSETHSSRLKMGSSPPPPPPVPPLPKFSCTANPAIKRPTVVSVTNQEIAMYWRQRSMVEEDHLLAAIKAAARIRARNLSEDDYRRFEESLKEDDDGKEKDTAAESFSQKDEKIKELRVGIKDWWTKSKYAYLNQPVIESMDTPKRRASAYIPQFCCYMSAPPPATRNLGIF >CDP01866 pep chromosome:AUK_PRJEB4211_v1:7:8129666:8130777:1 gene:GSCOC_T00037041001 transcript:CDP01866 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRILKELRDLQRDPPTSCSAGPVAQDMFHWQATIIGPNDSPYAGGVFQVTIHFPPDYPFKPPKVAFRTKVFHPNINNNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMHKTDRVKYESMARSWTQKYAMC >CDP01589 pep chromosome:AUK_PRJEB4211_v1:7:10429805:10439886:1 gene:GSCOC_T00036687001 transcript:CDP01589 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHLTYFLSSCTPTVLENGTFNEKQIPVYLASKNFFKVEGGQCASSLICVSAAACPCVFITVASELLLRNTADVLYSWKHFKCILFGLKLFMSNYVQVSILDLCPFKFAFVENSVSFAKSFLCVGLYWISALCIWMFLSFKDGKLLSLAYLPGYRASMMLAALAAEAGLPDGVLNVVHGGQEIINYMCDDDDVKAISLVGSNTACMHTYARAATRGKRVQSNMEAKNHAVVMPDANPDATLDAIIGAGFGAAGQRCMTLSTIIFVGGLAPWEQELVNRANALKVNAGTEVGADLGPVISKEAKDHICSLVQGGVESGARLLLDGRNIVVPRYEQGNFLGPTILCDVTTDMECYKEEMFGPVLLCMQADRLEEAIAIVNRNRHVNGASIFTTSGVAARKFQNDVESGLVGINVAVPIPLPFSSVNGSKASFSGDLNFCGKAGVQFYTQIKTVAQQWKDLPTRRVSLPHPPTSETEISSRGTFLSQPLSSESDIPSREVSPAMPLALENDLPTENLYLPLPPSEGDVSNAEVLPSTPPTREPELPKQEGALAMSSISERDSVNLKVSLLMPLAAEIDAAGQSESSSLCSVSERTYPCQTSQWGDPLPLISQSTETTPSTAKKVYMAPNSQRIDTLAPGIQRTDAVDASDSERLYFPVTCSSEINPIFLRNDSVSPMSLRHDIQMTDINVRPASEMVYMPVMSEHKESVGPTSQRTGVLHLKPDKMYMASHRRDGMGMMPLMAKASVPPASGSLYLSTSCSNVMASTSDKMSVPTEIQHDGISSKSERLFMPASSQGIYAENQIMSAHNYRGQITPQTHPSSQSL >CDP12792 pep chromosome:AUK_PRJEB4211_v1:7:14447009:14447705:-1 gene:GSCOC_T00037445001 transcript:CDP12792 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDPTAAAHHHNLIPKEAALQALNTIIQLHFEKTLEKKRAVDVQKKELWKLFQIFFLFLGLVFLAQSQSPRLQCRHCWVPIGLLSLAHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATDRLRQLRMKISSCAGGGGGGGVIDEYGDELEVPYQEPPESYFGKFKRNWALHFGFLILIYGFMVSSSVVLLCF >CDP01944 pep chromosome:AUK_PRJEB4211_v1:7:7511256:7512802:1 gene:GSCOC_T00037135001 transcript:CDP01944 gene_biotype:protein_coding transcript_biotype:protein_coding MRESPQSSILSGALKGCLGSLDGACIEKLLLHCASALESNDVTLAQQVMWVLNNVASPVGDPNQRLTSWFLKALISRVSRVCPTPMNLNGNSSPQRRLMTVTELAGYVDLIPWHRFGFCASNSAIFKAIQGYNKVHILDLSITHCMQWPTLIDTLAKRPEGPPSVRISVPSWRPPVPPLLNVSSEEVGQRLGNFAKFKDVPFEFNVIGEQPTVPSSPCISTLECSSLQYDFLLNQVLNPSTLKLEDDEALVINCQNWLRYLPDEQNNGASQEISCRDIFLNRIKDLNPCIITVVDEDSELDVSNLTSRITTCFNYLWIPFDALETFLSKDNSQRIEYEADIGHKIENIIAFEGTQRIERLESGTKFSQRMRNNGFFSVPFCEETISEVKFLLDEHASGWGMKKEDDMLVLTWKGHNSVYATAWVPCGFDD >CDP02535 pep chromosome:AUK_PRJEB4211_v1:7:4646434:4648080:-1 gene:GSCOC_T00039942001 transcript:CDP02535 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSASVHVYSPGGSTPTSSHCRCITANQPDAVSSTDVNAQLTLPIFGDEQDYTSEIPDECLALIFQSLSSGDRKSCSLVSKRWLLVEGQSRHRLSLNATADIYSQIPAIFSRFDSVTKLALRCDRKSSSINDDALALISLRCRNLTRLKLRGCRQVTDLGMAFIAKNCKVLKKFSCGSCTFGAEGMNALLDHCSSLEELSVKRLRGVNDGVVAAPIGPGAAASSLKSICLKELYNGLSFGPLIIGSKNLRTLKLLRCLGDWDRLLETVANREENNLVEIHLERLQLSDIGLTAISKCSHLEILHLVKALDCTNAGVVAVAEHCKLLRKLHIDGWRSNRIGDEGLIAIAKNSANLQEFVLIGLNPSSVSMSAIAANCKKLERLALCGSETIGDAEISYIAEKCVALRKLCIKGCHVSDQGIEAFAWGCPNLVKIKVKKCREVTSEVADWLRARRRSLTVSLDVEETEVETVEVSASDAGEQDDGAEYPSNVNRMTNAGGGVNSDALSSNNAGRSSAFKSRIGFFGGRSLVACTFGRWLNGNGSSNAVL >CDP01987 pep chromosome:AUK_PRJEB4211_v1:7:7204840:7209984:-1 gene:GSCOC_T00037188001 transcript:CDP01987 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSRFRPGDDPGDGGTLGALEEEAFVDGSSGPAASGLEAIVNNLSKWLTAVLFGIFLLVRHDAAALWAAWGSVMNMAMGIMLKRLLNQERPVSNLRSDPGMPSSHALSISYITTYIILSVIQYWGLNGITAAISGIFLSIGSYFSWLRVSQQLHTISQVVVGALLGTVFSTLWFWAWSSIVLKAYVSNLWVQIVVTLGGAAFCTVFILHVIRYWVMEPLTLYMSRKFSG >CDP01800 pep chromosome:AUK_PRJEB4211_v1:7:8661353:8670559:1 gene:GSCOC_T00036965001 transcript:CDP01800 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLWKFVYSRWSYLLVITERECVGSYLGHPIFKVSSMKVFPCDHSLKNSPAEQKKMETEFSGLLSVAEKTPGLYFSYDVNITLSAQRLHDLGDESKLLPLWRQADPRFLWNNYMLEVLIDHKLDPYLLPLVQGSFNHFQAAIGKDIIDVTLIARRCTRRTGTRMWRRGADSDGFVANFVESEQIVQLNGHTASFVQVRGSIPLMWDQIVDLTYKPKFEIVRLEEAPRVAERHILDLRKKYGNVLAVDLVNKHGGEGRLCEKFANAMQHVVSDDVRYLHFDFHQICGHVHFERLSILYDQIENFLIKNRYFLLNEKREKVEVQLGVVRTNCIDCLDRTNVTQSMLGRKMLEFQLRRLGIFDAEETISTHPNFDESFKILWANHGDDISIQYSGTPALKGDFVRYGQRTIQGILKDGWNALMRYYLNNFCDGTKQDAIDLLQGHFIVSVSRDMTPTSQKGGIEAIASFPLALSVIMTGFFFAFMSLRRVRSDFWQLLFSILWAGGSLAIAAFVKANGRVFCNRPRLHQPRR >CDP02370 pep chromosome:AUK_PRJEB4211_v1:7:3369665:3372676:-1 gene:GSCOC_T00039735001 transcript:CDP02370 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQEDTNGGGANTWARVCDTCRSAACTVYCRADSAYLCTGCDARIHAANKVASRHERVWVCEACERAPAAFLCKADAASLCAACDSDIHSANPLARRHHRVPILPIPGTLYGPPATDPGGSMIGQDTEDADDGFLTQDVDETIDENDEDEAASWLLLNPVKNNSHHHHHHQNNNSNNNMLFGGEVVDEYLDLVEYSSCQENQYTSHHQYSNQQQHYAVPQKSYGGDSVVPVRSGGAKDQLQLQHQHHQSFQLGLEYEASNTGYAYPVSSMSHSVSISSMDCGVVPESTMSDVSISHHRPPKGTIDLFASPPIQMPQQLTPMDREAKVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRTDVEVEVDQMLSTALMVESGYGIVPSF >CDP01487 pep chromosome:AUK_PRJEB4211_v1:7:11515893:11522659:1 gene:GSCOC_T00036559001 transcript:CDP01487 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSFTMLLSAPNPSLSPSSSRTTLSTLSTTFLPTLSSSPSPSPFSPTAINRRRGKSLLVSAAAAGALQALIFDCDGVILESEHLHRQAYNDAFSHFNVRCSSSATEPLNWGLEFYDELQNRIGGGKPKMRWYFGEYGWPTSRIFETPPDNKEDREKLVDIIQDWKTERYKEIIKSGTVKPRPGVLRLMDETKASGKKLAICSAATKSSVILCLENLIGIERFQGLDCFLAGDDVKEKKPNPSIYLTAAKKLGVSGGDCLVVEDSVIGLQAATGAGMPCIITYTSSTANQDFKEAIAKFPDLSDVRLKDLEILLQSFVPAN >CDP04680 pep chromosome:AUK_PRJEB4211_v1:7:15971930:15991789:1 gene:GSCOC_T00018734001 transcript:CDP04680 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLSLNFQAHLTLQKTQSYRYRRPLISASVALETGVQGLSSPPNSSVLWVDQSKERIRKLFNEVDYSVSAYDAAWVAMVPDPHSSQAPLFPKCLNWLLDSQLHDGSWSLPHHHPLLLKDVLSSTLACVLALKTWGIGEEHIKKGVRFIELNFALAYEECQFSPVGFDIIFPGMLDHARDLSLGFQLEPKLLNDLLHKRDMELGRIRESHSKKSEAFLAYVSEGLLKLQNWDMAMKFQRKNGPLFNSPSATAAAAINVRNPSCLNYLYSVINKFGPAVPAVYPLDIYARLCLVDNLEKMGISQYFTNEIQCVLDDTYRCWLQGEEDIFAETSHCALAFRLLRKHGYDISSDPLIGILEDESASSYCCNGSWNEVNALVEVYQASQMAVHENESALEKQNLLSKHLLRQHIFNGCDSKGFPNQIFQQVILLIFILPQPI >CDP02439 pep chromosome:AUK_PRJEB4211_v1:7:3847626:3849107:1 gene:GSCOC_T00039816001 transcript:CDP02439 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRGGQMVTESSKNQRRQKFGNILHQSDHADEEEYHTRISSTSDATPANHEQNSRLTSQIPTDLVSPLSGSPWSSHVEMASDSYSYTGLMGSLVREEGHIYSLAATRDMLYTGSDSKSIRVIFTGHQDGKIRMWKVSAKDPSIHKRIGTLPTMKARIKSSIKPSNYVEVRRNRNVVWIRHFDAISSLSLSEDKSLLYSASWDKTIKVWRISDSKCLESIQAHDDAVNTVVAGFDGLVFSGSADGSVKVWRRELQGKGTKHFFSQTLLKQECALSHCGILRGHKLAVLCLATAGNLVFTGSADTNICVWKREEGDHICLSVLNGHSGPVKCLAVEEEKVAMGADGYCILYSGSLDKSVKIWRVSPQPAHVQQQQQITQEAERCCAQPRNLPSIHSFSSQNSRVSQRRHF >CDP01606 pep chromosome:AUK_PRJEB4211_v1:7:10294215:10295220:1 gene:GSCOC_T00036707001 transcript:CDP01606 gene_biotype:protein_coding transcript_biotype:protein_coding MPALFFFWREDISHPALCWSHLERTPVCRWRAMEKDLWTCFVYLNSNSDSPRSITHWKDAKQQDNFFMLIRYLEQPPFPAEAAYVGLAPRGAPRSWQLDAKGYQFWT >CDP02362 pep chromosome:AUK_PRJEB4211_v1:7:3311766:3317032:1 gene:GSCOC_T00039724001 transcript:CDP02362 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 1 [Source:Projected from Arabidopsis thaliana (AT5G11390) UniProtKB/Swiss-Prot;Acc:Q8L7E5] MDSEVLNTASVSEEVHAGELEVESNNIDSLEVMSSSGDIMRELENVGELLTKVELDLACFSEKLLNLDILVMHVGARESDFEAFVSEKESTIGDSAKKALEFDLLSGILESEVKDLDNFIVTLRVEIDNAREMIISSKHYGEAFEYMQEKLMDCVKSLDQSLEQVSEMRGQSNNFQMILLTCSEGDKDIDSVGNGCSSDLNSKIKMQTAEQQRHILRMFEKSLARELDLEKKLTDLRQSEEILKLKLQQDIFYMEEENEVAWEKLFEAENSAEVLLWTSKDLMSQLQIVKFNLNVSVQREAGLRSQCQELSKQLTMNNVALRKSEGMNAELVAKLTSTEKQVKESELQLFNLKGCAEKQRESLSKIHELEELVCHLKEKVSEAEKRADGAEAESKLLRHTNMELKKDSNSSTLETVNLLERQLRETDVQLQHAVASAEASQEKQSMLYSTIKDMEDLIEDLKSKVSKAESRTESAEEKCIILSETNSDLHDEIKFLRNRMECLEASLHLAEETKRATAKDIGIRTKLITDLIMKLALERERLHKQISLLTKEKKFLLKRLQHMSKGHSINARKDMEDSNKSFPISRNDLSSGTSLKETNSDATGPSNTSHELDNANKDKPMGTAGVGVAKETSDLDSVRNIDASQLKSKYVLVAVFVMVIATLIAALFQHHSDCL >CDP01401 pep chromosome:AUK_PRJEB4211_v1:7:12647348:12647836:1 gene:GSCOC_T00036437001 transcript:CDP01401 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSQFLAHLYTIVTVLFTILILELVILVRSITGNIQESKKKAITTKQYLKLIEEKIPARRYKKTRFIMDDSIECAVCLSLFEEGEFVRKLNCKHIFHKDCLDRWLQQDWATCPLCRTTVLPEEIMMKYRQFLHRQEYEGSDEDLIFLISALHGNYLRRFL >CDP20159 pep chromosome:AUK_PRJEB4211_v1:7:29813156:29818504:-1 gene:GSCOC_T00001444001 transcript:CDP20159 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEIPSSSNANCFDYLQSHHASSSTSTSCFDLALDFLAERRVFKDVEKRVRLMKTCFLYVKKCRRRRNHEALLEHDREDRCNIMSELQDVAIRMIQELQPIYFEFICTDYLLDFDIIERTATRSKEKMKMLLETDLKKSCAAIFIDYYSPGDPRLVMDLIMCLIENLNCVLRAGELRDTLRNRLKLLRNLIGFVTMQGLECSQLTDLLTYTVVAAGSLISICLSDYDDEQAVNRMESEIYQLIHEKINLHDLQVRETFVHVLTASKKQPRSSYDLALQKNDDPVVGQFIGSLCYYLIDLLGSYASFQVPVKDQILKLHEGLRYLDILLKQEEKLGDEIKDLIGVAVSDVGILTFSLSVNEIKEGLPEETDLGVFHLHKVLKYMVAEVAQNYPLKSPYSSFNYPRPNELGCMDSFLENLKELARCDEADDSIGFQHHRIQVIQNDLVFLRSFLENIKEQRYQNGKLQAFWSHVMEAAYKAELLIDLALVGDKCEDSLDAVSRDINLLKIEAPEIHNAQTQRVNKTSLHIPSQLAAAMHDEDLVGLDDEVEIITHRLTRGSKQLDIVPIVGMPGLGKTTLAHKVYNAPSVRSHFHVHGWCRVSQTCSKHSLLVQLLCSVDSRSPDEYLKEDENNLANKLRQVLLRSRYLLFLDDLWDVEAWNLLEKSLPNDANGSRILFTSRYQNLSLHFKPNSEPHHLRHLTDEESWTLLQRKLFGMEDCPPALSEVGSQISKLCHGLPLAVVLIAGILATTAQDSWEEVAKSLSSIVLEDEYCMKALELSYSHLPDYLKPCLLYFAAFQEDEVINVRRLLRLWISERFVQQTEGKSLKEAAYDYLTTLINRSLVMAVRQRNVGGAKYCLLHDLVHEFCVKKAKEESFLYAIHSWNPLCLTGPSNPHRVCVSNARELKIWELTLIFPNLRSLILFGQDDFKHEEEDLGILLPKLLRVLDIRNLDFRYSFPMEVVLLVHLRYLALKRIPYIPSAIANLSRLETLIVEDPFGTELPSTIWNIKTLSHLRVLNYHGVWPMGFIFPLGNLEGSPDLDHLDTLHLAIDPSPQSLQKILRKLPSLRRLKCMERWNSSREATRNCNEILEFDGLSQLESLHLFRFHGCGFKFPLNLKKLVLSHNRQPWSEISTIGKLPNLEVLKLLEDCFVGEEWVMKEGEFPKLRVLKLSELEFRNWTAFSDNFSRLEKLVLHSCEELEKVPSCLGECETLEMIEVKECPESVVDSVKQIQQEQIDMGNEVLKIEIDEYDTSISSEE >CDP02312 pep chromosome:AUK_PRJEB4211_v1:7:2897020:2901759:-1 gene:GSCOC_T00039669001 transcript:CDP02312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein WDR12 homolog [Source:Projected from Arabidopsis thaliana (AT5G15550) UniProtKB/TrEMBL;Acc:A0A178UL95] MDIDGDAEEASRRIRVRFVTKLKPPYKAPPTSIAIPTNLTRFGLSALVNNLLKAGNADWKTEAFDFFIDGELVRMSLEEFLLAKGISAEKILEIEYIKAVAPRKKEDPSLHDDWVSAVDGSNPRFILTGCYDGFGRIWKTAGVCTHLLEGHTGAITSVCILDPLGSDGRRVVATASKDMTLRLFEVNTEEFQGQLKKIQSFKTLRGHNASVQCIAAKPSGAKICSGSWDCRINVWQANDSDSGGDFMSVKKRKVDIEDEEPKSEGEPISTLVGHTQCVSSVVWPEHETLYSASWDHSIRRWDVETGKDSMNMYCGKVINCLDVGGESSSLIAAGGSDPILRIWDPRKPGTLAPTFQFSSHTSWISGCKWHDNSWYHLVSASYDGKVMLWDLRTAWPLAVIDSHEDKVLCADWWKSNCVISGGADSKLCISSEISVM >CDP01929 pep chromosome:AUK_PRJEB4211_v1:7:7625284:7628519:1 gene:GSCOC_T00037115001 transcript:CDP01929 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASLLKSSPVLDKSEFLKGQALRQPSAVSVVRCQPVSSSSLTVRASSYADELVKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSTVDGKKIVDILVEQNIVPGIKVDKGLVPLAGSNNESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIERTFEVSLKVWAEVFFYLAENNVLFEGILLKPSMVTPGAECKDKATPEQVADYTLRLLKRRIPPAVPGIMASFDKLYWLTRRLLILRS >CDP02610 pep chromosome:AUK_PRJEB4211_v1:7:5273694:5278633:-1 gene:GSCOC_T00040052001 transcript:CDP02610 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGIAKYGVGKWSTILKDPEFSAVLRSRSNVDLKDKWRNINVMANGWGSRQRGRPGYKIAQQTAKLEDITRDLSPVVTNVPELVDAEPLSVISVKEPNSSSKTPISRLDDLILEAIAKLKEPRGSNRAAISLYIEERYLTPPNFERLLAANLKLLTEKGRLIKVKHQYRIAPTSATIDLGIDPPPLLLEVVQKDSSNGEKKGTKVLTKAQIDAELERLRSMTAAEAAAAAAQAVAEAEAAIAEAEVAAREAEEAEAEAEAAQCFAEAALKALKCRTVLVW >CDP02617 pep chromosome:AUK_PRJEB4211_v1:7:5320807:5322141:-1 gene:GSCOC_T00040061001 transcript:CDP02617 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKVHTKAPTFFRDCRSFILAVLVAILLFYALWSFTETAWTNLSVSTIFSNTTTDHCTSQPQSLNRTHDPPEPTFYDDPELCYTLDKPIDNWDDKRKSWLKLHPSFADNIQDRILLLTGSQPSPCKSPIGDHLLLRGFKNKADYCRIHGYDIFYSNACFDPKLCNVWAKVAVIRASMVAHPEAEWIWWMDSDAIITDMDFKIPLQRYKEHNLVVPGWPNLVYEKKSWVAVNTGSFLMRNCEWSLEFLDVWASMSPRSPDYKYWSETLMSTLSDKVIPGADEQSSLVYLLLREKKKWGDMIYLENQYYLHGYWVEIVGRLNDIIKKYLDTEAKVPVLRRRVAEVVSESLDGVWEKCLRDAGGFGNSGWRRPFITHFTGCQPCTGKRDPAYKGNACWVAMEKALNFADNQVLRRYGFMHPDLGNGSSVFPVPFDFPADEKEELV >CDP04706 pep chromosome:AUK_PRJEB4211_v1:7:15638914:15640783:1 gene:GSCOC_T00018769001 transcript:CDP04706 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCCSMLWCYYMLYGRLLKKKIVLRELRRQVLLGNMTCASNYKKDTSIGSTIVPAPCVHTFHSFRCLRLL >CDP12883 pep chromosome:AUK_PRJEB4211_v1:7:13349930:13355281:1 gene:GSCOC_T00037564001 transcript:CDP12883 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSAASAWTCDPICRLVTRTSSSNSSNPFPPQASIASISFPRSSSSLFVNRKMRFLSIKASASVEAPPTTGGLAPAITLTDNALKHLNRMRADRDEDLCLRIGVKQGGCSGMSYTMEFEKRENARPDDSIIEYNGFIIVCDPKSLLFVFGMQLDYSDALIGGGFSFQNPNATQTCGCGKSFNA >CDP01757 pep chromosome:AUK_PRJEB4211_v1:7:8961359:8968481:1 gene:GSCOC_T00036913001 transcript:CDP01757 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELAAEQARSYGDLKDDVNITDSELDKSVRNALKHALEGDYDSYNHLVGTMHHSERLHPDEVALLETCLKSLRGAVSCIDVVHHRSLLAAIFGMSLWNYDTHVMDALVGLVTSLAASSPQYVDLCLDMLVSNFLPPYSFLGLLKQPRGIIRKDQVLDRVHSTLKDIAHLVPLSPLRLEKVVRERMPNIYTKEPLIVMYVENMLRLESGVIGELVGSTMLMAVVDRLIDLDVEIAWDDILQDDFSKGIFQMELEGLEGPADDDGDELQRNDWIERFFGGNLVAEKLDSLLVLVFGHLKSSYENGRLEQVFDTLLQSFHKTVLTAYKSKFAQFVMFYACSLDPDNCGTNFAVTLVNIFEGSSYTEWRMSAVAYLASYLSRAKFVSASFVISVLERLVNWCSDYCKNHHGDSNPKAHQVFYSGCQAIMYVLCFRMRSIVAIPRLRSQLFLLRIDDILRHPLNPLKVCLPSIVEEFLRVAKSSHLFNVPQNFVSDGLLESELSMTFGGLERLDMFFPFDPCLLKKSDRFIRPNFVYWSMVRSTYEEEDDDDDDDDDDEEGNSDEDVAEVSNASNRVRMVDDVGRSIDEEDSDIDEDFEYSLNRMSITPKNPTAFWLGGKLRDSVQMPSRIRPSTSPESL >CDP04754 pep chromosome:AUK_PRJEB4211_v1:7:15079366:15082076:-1 gene:GSCOC_T00018840001 transcript:CDP04754 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQQKLAELIQTYQTVQKDLEFLRFFLEMTEDQRNQNEELQAIWSRVVEVVYRAELEIDWTLVGRDWTLVAGDINLLKSEAQVIYDSISCGDEIKRPNETVTLVPSQVTATTYNQDLVGFQEEVEAITCRLTSRLTKLDVVSIVGMPGLGKTTLANAVYTSPSVMSHFHIRGWCTVSQEYSNHNLLVQILSSINSGNPNQYLEMNEGDLAIKLKQDLLKNRYLLVLDDLWGIEAWNFLEKLLPDDAKGSRILITSRLQNLSLADSKAHSLRYLSEEESWELMQKKLVGKEGHLAKLSGVGFQIAKSCGGLPLTIVLVAGILAATAEDRLEKVAESLTSSSVLEDKSCMKTLDLSYSHLSGDLKPCFLYFSAFQEDENIPVRRLLWLWISERFVQKTEGKSLEDAANDFLKDLVDRSLVMVSKHRTMGGAKACRIHDLVHEFCVKKAKEENFLHIVRRGKDLSCLTDLSKPVRRVCDQNASNSKILEIMPPFPELRGLLLFKNSGLMPKKKDLGSKVLEVLDLGNLVFDAHFPMEVVALVDLRYLALHIGGIESVPSAIGNLERLQTFLVRGNSRNTLLPETIWNIKTLRHLCTTSSSYGFTFPVENLDQLDTLTVATDRLDQLDALTLAIDPNSQSLQKILAKVPSIRRLKCFSEEVARNCDTNLAFDCLSQLESLSLHRFGGCRFEFPLSLKKLTLSSNRQPWSEISTIGNLPNLEALKLLHDSFVGEKWEMKEKEFPKLQVLKLFGLDFRSWTATPDATSDDHFPLLKNLVVQYCEELEELPDCLGECTTFEMIQVSWCRKSVVASVKQIQNDLVDKGIQVLKIVTECFDDEEEVVVEEDDDDDDDDDDEEESIPTDAESIPSQSTLIHVP >CDP01514 pep chromosome:AUK_PRJEB4211_v1:7:11232166:11234516:1 gene:GSCOC_T00036596001 transcript:CDP01514 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLGSSDSLGALMSICPTSTDEHNQGNSHVYPREFQSMLEGLDEEGCVEESGHVPEKKRRLSVDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYDTLKHNYENLQHDNEALIKEIRELKSKLQDDKSEGKVLVKEEVMVSESDDDKAIDHQAKTSTDDVLAECDEDDDANELNFVESFNSSNGVMNGASIFTDFKDGSCDSDSSAILNEENLNNSSSSPNAAISSSGGGVVLQTVPHQFLISNGGGGGGVGGSSSTTISNGFQFGHDSKAAILGEAHKSSSAAAAAAYQPQFVKIEEHNFFSGDDSCSTFFSDDQPPTLHWYCPEEWN >CDP04623 pep chromosome:AUK_PRJEB4211_v1:7:17277220:17285058:-1 gene:GSCOC_T00018636001 transcript:CDP04623 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHKVEDKELEFRPEDSIHFEKYGVSAASVEAEPCVADIESSNNKRWSSYNFPWGKGLEEFSGRLFGNFSLEPEQREVINATMSGHDVFAVMSAVEGSEVTYQLSALLCPGMTLVVVPLPSFIAHLGEHPVLGKKSMVLSDLMKLTEQQRILGELVTERCEFKLLYVTADDTITDKLLLEHLETLYDRGLLARIFIEDAESVCKRYNIPDYQGLGIFKQKFPSVPLVALASIATDRIRDEVVDILGLRNCIRFKKRRIHSRYPEQLNAGSGCFGDVFKCKVKLDDTYCAVKKIRFPASILQRVINEVKILSLAQHPNVVRYSQAWIEDYREQNTDRGYYAGSASYGPREQMMYIHMELCKGSLESKLAEEEELHTDMAWSYFRQILEALQFIHGKDIIHRDLKPDNIFIDDSGTVKIGDFGLALREKVSSTTKDVSSTTNSSPVGALLYRAPEMKERDPITDNPTNKPTNKVDMYALGLIVFQLFCPRGRSEMKLLEHPAMRRQVCEICKKYKVDETAKPLILELLKEDPSERPSAADLLRRLDGLEGEKQDAQLQELS >CDP01634 pep chromosome:AUK_PRJEB4211_v1:7:10091772:10092848:1 gene:GSCOC_T00036741001 transcript:CDP01634 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQIPISTPLIFAFSPQDMNLEIGLNNMQGSETCL >CDP04727 pep chromosome:AUK_PRJEB4211_v1:7:15385976:15386748:-1 gene:GSCOC_T00018801001 transcript:CDP04727 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFEGTFVGLKEAPAIAFCSSRGPSLTSPRNLKPDIIDLGVSILAAWPSSVDNITKGSLHPDCLPAAVKSSIVTSADFLNHDGSLILDERMLPADLFAIGAGHVNPARAADPGLVYDIHPDNYVQYLCGLNYTDDHIMFITQARITCTYKRTVTNVDKAYSVYNSLITSIPGIDIRVYPTVLRFIRMNQKMTYQISFKRTDRFKNATYMQGPITWSSNQHSVRSPILIKLI >CDP02159 pep chromosome:AUK_PRJEB4211_v1:7:1784443:1786431:1 gene:GSCOC_T00039465001 transcript:CDP02159 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVIKIKSRTFLSEVINQAGRKNKLKEKQEPSSFLTPDYVVSYLNPISACPFAQVESININQRKINHKTKLKDETKVGNPNELLKRNLNRR >CDP02075 pep chromosome:AUK_PRJEB4211_v1:7:1219293:1231296:1 gene:GSCOC_T00039356001 transcript:CDP02075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MDAYNPLLERTRVPQPCLQKFAVISIFEKLRNAPPHLDPDSDPGREAITYCLHSTFAGVVDQSVREVCRLVKDSKMDLSRGLLELQSGLEASGPRFVNLFVKALGFLVSCGFQKNPSSFRFQSPETHPFVKILSCRVEVQCELVQQVGNFMVKNKHLGITKVCDFLRPLLNYSFIQVPVVASFRCFATSLVSSITSLSCSFPPEAIPVIKLLMGCLRFFPRRDAQDLAVLLQLLECTVDAYVVVLRQLVGMRLLVHEVQLCGLELVEAIFSLHKDLRMHLHGVENISEMSRHLLAVQKDLGLSYLPDFSSVILSLIIPLIQSELEQEHIAILKLLLFLLEWKLENVYNVTRAASNLNEELLFIFPVINIMSSPSIVVKKVAVAVISSLENIFIDLLASTRSGRATERKFPSISTPGCIIYRFLHHLWFQDPSSLSCAFYLKVSSTESYIDEGNDTPKSCTSLLRDYSLQIAEKKKSIQPLSMFQQIFISDTSFFLGAIASVLLMHQKLGNCSVDLLAVTGNMNPELGVSLMLVILFCIHAFSTKDKDIDFHSMLLKLLAKLPSLASHSAMLPLIVQTVTPMLHKDAKPVLYATATRLICKTWEINDRVFGNLQGVLGPQKFVEMATKKDVCISMAASVRDVCRKDPDRGVDLILSVEACIENEDPLVQSLGFQSLAHLCEADVIDFYTAWDVIAKHVLRYTSNAVVAYSLCLLLRWGAMDAEAYPEPASEILKILWVVGTSRNHGQGSLWATARATAFIALAHYEVVHIFRSIPDFRDVNLEFLFSETDPEVLRAVEGFESRIINHEYMNRRRYVNEQRVSKSNVEKLLDVFPQVVFPTGSKSRVRELPGAALFCLSFAPLDMINRGMLKDLEEVNAKFENALLEIASSLQLSRNILVALITLQSWKSFMQRWMKVQLMLLDAKAHSTVLDRTSKAANNILKIVRRISDECIPSSAENFALAIGALCSALPQSVHTVKSSASKFLLDWLFQYEHECRQWSAAISLGLVSSCLHVTDCKQKYENIKALLEVLSISKNTLVKGACGVGLGFSCQDLLTRVDSECNPQLEKEHKRQEVELLRNIIGVLARSLCQFAQSSADILQQLAACVPFVMDECDPDISVELVHENDDDLEEDIWGVAGLILGLGSSVSALYRSGANDAVINIKEWIFSCIPDLNPSLQKDMIFERREMVLSVGSCLALPIVVAFCQRVELIDDSEIEQLVSHFVELISELLSVENSGTFNQSLLMVSCVGAGNLLSTIMNGGVHSLKVDNIKEILSLLRKSYSSPHPPYVHLGAILGIVSALGADIGLLAQYAPYLQAGISFDQKLESSRIRGPLLSNPFFEPELTSLTTSKGEYF >CDP04661 pep chromosome:AUK_PRJEB4211_v1:7:16573933:16576173:-1 gene:GSCOC_T00018700001 transcript:CDP04661 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNSLHNSSSALLCGCCFSFMQLLAVFILVFPCYGTRTSQASCNQLDRDSLLSIYNGVSTSSPLNWSVSIDCCSWEGVSCAYNGRVSRLWLTSRGLEGKISISITNLSHLRQLMDLSSNNFEGIVHSLFLQPARNLISFNVSNNSFYGQLPSVICNFSASIILLDFSLNHFNGSIPFGFGTCSNLRVLRAGFNSIMGSLPGNFFDLSTLQEISFPGNKISGPLSNGIVNLTSLKVLELYANELTGPIPHEVGKLSNLEYLLLHMNYLNGTLPLSLMNCTRLTKLNLGVNSLSGELSGFDFSNFLRLRTIDLGTNLFNGSFPLSIASCPSLTAIRLSTNRLTGEIQPEIQSLQSLSFFSIANNSLTNITGNLVGSDGFPNLMVLALGRCQLNGTIPIWLAKLGKLEVLDLSENAFTGSIPNWLGVLPNLFYLDLSNNLLSVHYSYIELSLFVKPYNLSSRQYNKLSSLPPAIYLNGNHLSGNIPVEIGQLKFIHNLDLSDNQLSGIIPDSISYLTNLERLNLSENQFSGEIPSSLKNLHFLSSFSVANNNLQGPIPTGGQFDTFSDASFLGNPGLCGQPLGRPCTDLRKTAAALSPADKEILETKFIFWLTFGIGFGISFSVSFVTVGLSSRMFPPGSCCRRIFYQL >CDP02347 pep chromosome:AUK_PRJEB4211_v1:7:3192674:3211667:1 gene:GSCOC_T00039708001 transcript:CDP02347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein BIG [Source:Projected from Arabidopsis thaliana (AT3G02260) UniProtKB/Swiss-Prot;Acc:Q9SRU2] MADQEIAKLIDALSSLSSSFSDDNFSKRLGTDSSLKLGLQKLYSILKYSVSPIAAVADGRDKKRGLEFLEQSQIQAVASLATAVVIATRSLSVEHVEPVIVAVVQKSVEFALCFLDKSVSGNEDLSLQNNLVQLLEIALNDRVDKELDLPQPYASSILVDLIPFVAVEDDSIQVQDYVKCMLQGGRCSVEEKAVDHLLMTLASEELQSDDLNQLSIGLSFRHDFMKLNALSRHQALVHLECMPRLVFLCRGLLGLQDLLDEKIASADLRKRMSFCARIFKLLGYLAKGNPYVKVDVALLQSFASFADILPKVFGIGFEFVNNATGEGSFDSLTILLMEEFLQLVQAVFHSSNVLQNVQACITASILDHLDSSVWQYNKSTSNLKPPLVYFPRVVIHVIKLIMVVRKSSYSVFQLKDDGTKHDGHPDGFDMNSPACQVRSEKIYLLKKYTAEELLTRIFPPSGQWVDNLVNLVFFLHSEGVKLRPKLERSCSTSIKASNEPENAVCHEDDALFGDLFSESGRSVGSVDGLDQTAPAASSLSNFSNMPIQAAMELLSFLKNDVLSPNWSPGVYEDGCRKLTCEHMGILLSIMNHQGYSSEERTLGSCVTLDEQKKLEQMPELCFELFNSLLSQHSLSAVVEESLIEKILAIENGSFVYNDQTLALLAHALISQVDSVGCHLRDKIYQTFINFVLEKAKTICSSCPSLQEFLKTLPSVFHIEILLMAFHLSSTDEKAAQVNAIFSSLRTVDAPSSGCGSATLSCWALFVSRLVLVLRHMLYCPHGCPSSLLSVFRSKLREASHRRANSLSNTTNLSSWTPMILENVTGVWIKEAPVNKILLNQLIDIATLPASVFGDDAPPVDCLNMTWDELSASLSWILGFWKGKKPGNAEDLIVERYMFLLCWDVPIMESTSERVLLLRGLELPHVLEIEHFLYFSHSILSNAGALSKQVNIPHVVMGLLQHLHTLCISDDHGEGGWDFLRTGSWLTLMSSLVGAGIWRYSKKNSITSGGPSWEELTSKDVEFLALAESFLSSSLGDDQIATLVRLFSSFLKNYLRAYQKAFSLTFDNDSSSADRFSPLLLLKHTSFDKSKQVEVLDKMGHDPCQLDSVFELLPKLGETVDKMAVGYRSKVFWEVSLHGFPCHAQASSGILLSCILSIKGIIGVLDGLLKVKVAKGTVFTEVEELRQILESVLTIKCDRVFESIHGECEAIYKSLSGNLGPEYSSLFILKDMEEFLHNINNVGVNCTIHECLVTNFIDIINGLRKDPSKGLIFKYFLSVGDISEHFRELYSALHGDVLVLIESLDNCNSESINVKVLNFFVDLLSGDMCPDVKQKLQQKFLDMDLRCLSKWLEQRLLGSCLEPSSEVTCAKGTSVSLRESTINFITCILSPPFESKSEELHTHLFEAMLVPLDNAFLLFDFGIAKSYFNIMLQLSRGKMLIKPLLQHTAMLLGKLGGDERFLQGLKYIVGFLATVLSECGSVKNSNDKSSGKVLPGGSSVVGPVSPRPLGSRKNSDALVLSVSQGAAAAVDCDATSVDEDEDDGTSDGEVGSVDKDDEEDSNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCLCLKPRKFTRGHDSPRHGADGNLQSFLPFPENGDQLPESDSDVDEDAVVEQDYAVKLSIPKEVQDGMPEFLVELDLEDHVLELCSSLLPSIIGRRDSNLSRERRITLDEDKVLCCSAEILQLKKAYKSGSLDLKIKADYSNSKELKSHLSSGSLVKSLLSVSSRGRLAVGEGDKVAIFDVGQLIGQATVAPVTADKANVKPLSKNVVRFEIVHIVFNSVVDNYLAVAGYEDCQVLTVNHRGEVTDRLAIELALQGAYIRRVDWVPGSQVQLMVITNKFVKIFDLSQDNISPMHYVTLSDDMIVDATLVMASLGRLFLVVLSESGYLYRLELSLKGNVGVKVLKEIIQTEGREIHSKGLSLCYSSSHKLLFMSYQDGSTLIGRLNPDATSVIEVCALLDSEPDGKLRAAGLHHWKELQCGIGLFFCFSSLKSNAAFAVSICEHEIHAQNMRHAVGSTSALVGITAYKPLSKDKIHCLVLHDDGSLQIYWHVPMGVDNSATATSEKVKKLGSGILNNKAYGGVKPEFPLDFFEKTVVITPDVKLSGDAIRNGDSEGAKQTLASEDGFLEGPSPSAFKITVANSNPDTVVVGIRVHVGNTSANHIPSEITIFQRVIKLDEGMRSWYDIPFTVAESLLADEEFSISIGPTFNGSALPRIDSLEVYGRAKDEFGWKEKMDAILDMEARVLGSNSWISESRRKCRTTQSAPLPEQVVSDGLKLLSRIYSCKSQDSLKVQEANLELNKLKCKKLLETIFESDREPLLLASAGRVLQALFPKKEEYHQVKDTMRLSGVVKSTSILSSKLGIGGNTAGWIIEEFTAQMRAVSKIALHRRSNLAAFLEANGSEVVDGLMQVLWGILDMEHPDTQTMNNIVVSSVELIYCYAECLALHANDTGTHVVAPAVSLFKELLFSKNEAVQTSSSLAISSRLLQVPFPKQTMLGTEDVSDNAAAVPARVDGTSAATGNTHIMVEEDNSTSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPPPHSRDHPMTAIPIEVESLSGEGNEIHFGTDDLADPNLLPVSADMSIQGAAPSIHELEPSESTVFTGSVFDPVTISASKRIVNSLVLSELLEQLKGWMATTFGVRAIPIMQLFYRLSSAMGGPFDDGSEPENVDLEKLVKWFLDEINLNQPLVARSRSSFGEVVILVFMFFTLMLRNWNQPGSDGSALKLSSTGDGHDKNSVQIPPFSLVSGSPAVESHEKLDSVSHLVRACGLLRQQSFVNYLMDILQQLVHVFKSSSVSTDSSVGLNSGCGSLLTIRRELPAGNFSPFFSDAYAKSHRTDIFADYPRLLLENTFRLVYSLIRPEKHDKGGEKDKYFKISSCKDLKLEGYQDILCSYINNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQVSSEVKKLYKHVNKSGGFQSPISYERSVKIVKCLSTMAEVAAARPRNWQKYCMRHADVLPFLVQGIFYFGEECVVQTLKLLSLAFYTGKDVNQSLHKSEGGEGGTSSSKPGSQPLDSKKKKKGEEGNEPGLEKSYLDMEPVVDVFTENDGDTLRQFIDLFLLEWNSSSVRVEAKCVLNGIWHHGNHPFKEKFLTLLLQKVKFLPMYGQNIIEYTQLVTSLLGRTPDSNSKQQMNEIIDRCLTPEVIKCMFETLRSQNELLANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQSVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKTCHLGFNQTELKVDFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICNNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDEDMKRGLTAIESESENAHRRYQQLLGFKKPLLKIVSSIGENEMDSQQKDSVQQMMASLSGPSYKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHLKHSDDAVSASRFVVSRSANSCYGCATTFVAQCLEMLQVLSKHSNSKKQLVTARILTELFENNIHQGPKTARVQARAALCAFSEGDMNAVVELNSLIQKKVLYCLEHHRSMDIALATREELLLLSDVCSLGDEFWELRLRVAFQLLFSSIKLGAKHPAISEHVILPCLRIISLACTPPKPDAAEKEQVNGKPALASQVKDESRSNVPGYGGQVSGSKAVSESSEKNWDGAQKTQDMQLLSYSEWEKGASYLDFVRRQYKVSQAVKSGPRARPNRYDYLALKYALRWKRRACKARGGIASFELGSWVTELILSACSQSIRSEMCMLISLLCGQSSSRHYRLLILLMSLLPATLAAGENAAEYFELLFKMIDSEDARLFLTVRGGLATLCKLISKEVSNIESCERSLHIDISQGFILHKLIELLGKFLEVRNIRSRFMQEQLLSEVLEALIVIRGLIVQKTKLICDCNRLLKDLLDSLLLESDENKHQFIQACICGLQIHGEERKGRASLFILEQLCNLICPSKPEAVYLLILNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLVAGNIISLDLSISQVYEQVWKKSNNQSSNALAGTTMLSSGGTASSRDCPPMTVTYRLQGLDGEATEPMIKELDEDREESQDPEVEFAIAGAVRKCGGLEILLGMVQRLRDDLKSNQEQLIAVLDLLMLCCKKRENRRALLKLGALSLLLETARRAFFVDAMEPAEGILLIVESLTLEANESENISIAPGVATVSSEETGASEQAKKIVLMFLERLSHPTGLKKSSKQQRNTEMVARILPYLTYGEPAAMEALIDHFDPYLRNWSQFDRLQRQYEDNPRDESIAQQANKQKFALENFVRVSESLQTSSCGERLKDIILEKGITGAAVRHLKDTFAYTGQAGFKSSKEWVFGLKLPSVPVILSMLRGLSLGHLATQMCIYEGGILPLLHTLEGVAGENEIGARAENLLDTLSDKDGMGDGFLSEKVCHLRHATRDEMRRRALRKREELLKGLGMRQEVSSDGGERIVVSQPVLEGFEDVEEEEDGLACMVCREGYRLRPTDLLGVYTYSKRVNLGVGTSGNARGDCVYTTVSHFNIIHFQCHQEAKRADAALKNPKKEWDGAALRNNETLCNNLFPLRGPSVPMSQYMRYVDQYWDYLNALGRADGSRLRLLTYDIVLMLARFATGASFSADSRGGGKESNSLFLPFMIQMARHFLDHDSSQRQAMEKSISSYLASSSLELKSSSPGTQPSAGTEETVQYMMVSSLLSESYESWLEHRRTFLQRGIYHAYMQRIHGRPMNRSSPSLTSSLRPDLGSTSDIHSGDTGGPDDLLSIIQPMLVYTGLIEQLQHFFKVKKPATAGTAKTQISSEAVEPEEESKRLEMWEVLMKENLLNVKEMVGFSKELLSWLDGMMSATDLLEAFDIIGSLADVLSGGFTRPEEFVYAAIGRS >CDP12800 pep chromosome:AUK_PRJEB4211_v1:7:14350168:14353764:1 gene:GSCOC_T00037457001 transcript:CDP12800 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHQLVAKLSKLRKNKMFVDLDINKLRLRLLDQYLLELPLPDQDETIDCIVDNLGFHLSSCEDWRHLIKDIERKPKLSENLLHSAIMQGDIDEDNTIHSIVDNMWINHLSFRHDWRHLIKDLQRKLKFTQNLLRFATMQGVKQMQLIDLLAHCTFLAVHAECLRDFRLYVDFGLCNDVEFEISQVQQKIRLVDPQFCVTSIRVLKASKVVSRSSVTLTLGKNKHIVEGFLDSLQTGLRLLLECFVTFTAPMLKLYEGVRFLTILLSLKHEKFYELSDKMKDRIGVMIIDVGILICSISMDEMKDGLAKETDFVLFHLLEELQFVMEEVAQIYPPSSLQLSFPRTNELGFIGFLLENLKEVKAGSSTFPMAEIKTVQEDLVVIRSFLEKFVDQRNQNEKLQGFWSHVMEVAYKAEFIIESTVLGDEHEHCLGSVAREINLIRIEVLEIYDNIRHDGETPRVTKNLIQMPSHVTAPISSEDFVGLKDEVETIINRLTRGSMLLDIVPVVGMAGLGKTTLADTVYCDPSVIIHFPIRVWCTVSQAYIKRNLIAQILSCIASGSSDEYLKMTEDDLAEKLYKCLKRNRYLIILDDMWDIGVWNLLKTSLPDDANQSRILFTSRFQNLSMQIKPDSKPHHLRSLTDKESWELLQIKLFGNIGCPPSLCEVGIQIANNCKGLPLSVVLVAGILATTTQDCAMWEEVAKSLSSSIVLEAEHLLWLWISEGFMQRTEGKSLEDVAHKYLMDLIARSLVMATKQRARGGAKACRVHDLVHEFCVAKVKEESFLHTLQHGDIFTYTGPCNPYRLCIYSPTGSDQKSVELEQSRLYFPYLRSLLFFANGAWTFDVLDFCTGFWMFKLLRVLDLGEPFFVATCFPKEVTWLVHLRYLAIHGHINYIPSAIGNLSRLETFLVRGCIADVLLPNTIWNIKTLRHLYITRSEKGFSFPIDSKLEDRLDLKNLATLALAIDPSFQCLQKILTKLPSIRKIRCTPLGSRESTGNHNGILVLDYLSQLESLNVRGFVGYEFEFPLNLKKLTLSSNHQPWSEISVIGKLPNLEVLKLESSSFVGEKWEMKEGEFCRLRFLKLLLLDIRIWTASSDNFSCLEKLILHICPHLEEVPSCLGEIPTLEMIEAKSCPESALSYVKQIQMEMGNEDLKIVTERCW >CDP02381 pep chromosome:AUK_PRJEB4211_v1:7:3414281:3420203:1 gene:GSCOC_T00039747001 transcript:CDP02381 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFPIIPNNGLIISQERFKLDVGEPKFLGTYRSVASSFSKSYHSLVSLPLLGWNYGHWTSQHRLYSHSTRFKSLSNETRQAETRLGKKVNYGTRRKFSIRLRPRLRLYWRRLKRVSISSILNGLGTFIRKNVRRVTLSTSVAVVLGLCFLFLKLTATTPPKVVPYSDLIMSLQNGMVSKVLFEEGTRRIYYNTESWVMKDAQISEREALAPGNSIDDGQAGNDVLKTSQMGSNVLNKMVKSRASTPLWQFSTRKIDHDEGYLLSLMREKGTSYSSAPQSLLASIRNSLITMLSLWIPLTPIMWLLYRQLSAANSPARRRRPSNQLVTFDDVDGVDTAKVELMEIVSCLQGAINYSKLGAKLPKGVLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVELFVGRGAARIRDLFSVARKNAPSIVFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESGTNVVVIAATNRPEALDQALCRPGRFSRKVYVGEPDEAGRRKILAIHFRGVPLEEDMDLICNLVASLTQGFVGADLANIVNEAALLAARRGAECVSREDIMEAIERAKFGINDRDSSSSTIGRELGKLFPWMPSLMGRNETRQEGTGGPLGYQTLS >CDP02508 pep chromosome:AUK_PRJEB4211_v1:7:4432306:4435843:-1 gene:GSCOC_T00039908001 transcript:CDP02508 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYDTANCSSSSPDDPDDFSLFLHQIMLRSRTSSSTSFMAQKGNEVQSYPGNQGCGLHLVSGTERISIPEAPSAGLQHWSYGGGFTSPGLGSFCQESGVNVSSSSVSVATMDNDLNDYDCESEGLEVLEEAMVKPTAPRNASKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQLLTVRNGLSLYPMCLPGVLPSNQVPETRTEYEDNRSSDTSLASALPLKPVTPTNILFDLPDNFKKAKQASDVNQSKLSDSEDAFAPASIIHGNHRPIQFPLPGTSKGTCMGQKSPSQQANQDLSRRKSVAKHIGAEATGSTALNALPSGLEQNILEAWVLGRDQSGGRLLKNMECKNLLLSQVDGTQTGPSPLVDDSIKAERCDF >CDP01855 pep chromosome:AUK_PRJEB4211_v1:7:8214358:8218071:-1 gene:GSCOC_T00037029001 transcript:CDP01855 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAHYLMAAAPVEASHPNLRKSILTPPPLPSISNSLLSLPFSSFHPNHKVTKLSFFSKFRKFGHKVKVKAVKAEVPAVDSFSQFKHLLLPITDRNPYLSEGTRQAAATTATLAKKYGAEITVVVIDEKVKESYPEHETQLSSIRWHLSEGGFQEFKLLERLGEGKQPTAIIGEVADDMNLDLVVMSMEAIHSKHVDANLLAEFIPCPVLLLPL >CDP12788 pep chromosome:AUK_PRJEB4211_v1:7:14482862:14485161:1 gene:GSCOC_T00037440001 transcript:CDP12788 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLIHPPKSAPNLFHPIASSAVKIMRAHKALSATHSSHKNCSRFSEYPFGLRTIVSTKATEKSFGVEVQDEKQTIQQTKQSLYDALQGINRGIFGVSSEKKAEITRLIELLESQNPSPEPTMNIEKMGGTWKLVYSTITILGSKRTKLGLRDFISLGDFFQDIDTVEGKAVNVIEFSAKGLNLFRGQLKVEATFKIASKSRVDIRYENSTITPEQLMNLFEKNYDLLLSIFNPEGWLNITYVDENLRIGRDDKGNIFVLERS >CDP02050 pep chromosome:AUK_PRJEB4211_v1:7:1067226:1071696:-1 gene:GSCOC_T00039327001 transcript:CDP02050 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWVIDSRGLATKVKNATLPTAHQIKDCGANRECPKCHYIIDNSDICHEWPGLPAGVKFDPSDVELLEHLAAKCGVGNSESHKFIDEFIPTLEGHEGICYTHPENLPGAKKDGSSVHFFYRTTNAYATGQRKRRKIQIENSLIKEQVRWHKTGKTKTVMDNGIQKGCKKIMVLYRTSNRGSKPDKSNWVMHQYHLGSDEDEKEGQYVVSKIFYQQHKQQSDKHNAYDGSPSILDYDIGTSRTSPRTPKIVTPNPPRPGETPSFDDATDDYSMQPSFQEMGFAKEALNSSSSDAQVKDKTEHNTWLAGESQAADVNGVDELLLCNEIIDSSILSSDFGLDGGPFSGLTRNTNDVPQVVGSSACGIAELENLELDSPPDFQLGDLNFGSQDSVFSWLDKL >CDP16754 pep chromosome:AUK_PRJEB4211_v1:7:933305:940352:-1 gene:GSCOC_T00019238001 transcript:CDP16754 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWIIGAFINLFGSIAINFGTNLLKLGHDERERQSAQGIDGTNGKHIIYFQSWRVGILFFAFGNCLNFISFGYAAQSLLAALGSIQFVSNIAFAYFVLNKTVTVKVLIATAFIVLGNIFLVAFGNHQSPVFTPEQLADKYSNVTFLLYCLILVLVVALHHSIYRRGELLLAVPGQDLKPYWQMLLPFSYAIVSGAVGSCSVLFAKSLSNLLRLSLSSGYQLHSWFTYSMLLLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVLDALRTTMFVLGVISVFIGISLLAPDDLKGGEAKDTSLVSVTSSLSTDVDRLVIPYEDSQIKDISSFARAMKVKAANLVVKAKAACSLSLGLGEDSLHASSVLVMPMVSSKMTGFRGSGFGRTKLFSPRGSGWSKISVDEEDRESMLETTSMLPQSISIS >CDP02148 pep chromosome:AUK_PRJEB4211_v1:7:1721412:1726252:1 gene:GSCOC_T00039451001 transcript:CDP02148 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERDVFWVVRKGDMIGVYKSMSDLQSLLRSSVNDPSISLCKGYCLSKEAEEYLASRGLKNAIYSVDAADVQEDLFGHTVSCPFRQPAPTKEKAAVKNFPQKGLQEVAGSASFSANPQQKHPIVDNFLKVPPVSSYCCSCIVEFDGASKGNPGLAGAGAVVRAADGSMVFRLREGVGVATNNAAEYRGAILGLKFALEKGFKHIRVQGDSKLVCMQVQGLWKCKNQNMAELCKVAKELKDQFQTFDINHIDREFNTEADAQANLAIYLKSGEFQVDRDVK >CDP04775 pep chromosome:AUK_PRJEB4211_v1:7:14800804:14801603:-1 gene:GSCOC_T00018873001 transcript:CDP04775 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSLADILFKPENHPWWDERIRIASDVARGILYLHEECETQIIHCDIKPQNILMDDSRCAKISDFGLAKPLDHDRTRTYTAVRGTRGYVAPEWHRNLPVTVKADVYSYGIVLLEIICCRKNVDSRFPEEQSILEVWAYDCFMDGELHKLVGEEEAVDMTKLERMIRIALWCIQNEPTLRPSMKKVVLMLEGTVDVPVPPSPDSFFSAM >CDP01867 pep chromosome:AUK_PRJEB4211_v1:7:8124284:8127185:1 gene:GSCOC_T00037042001 transcript:CDP01867 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFIDHPGAVPITTAQGEELRKMIGAPAYIECSSKTQQNVKSVFDAAIKVVLQPPKQKKKKGKAQKACSIL >CDP11562 pep chromosome:AUK_PRJEB4211_v1:7:19676632:19678188:-1 gene:GSCOC_T00033882001 transcript:CDP11562 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLVTIAFTNCFSTPAIPLLQGCCSQLCHKFSTPELCFTLPNSSCLISHYDIQIESHMQNPCAEMLYAFNFSPAEGTRVKTSPPELASPNDSKKKLLIFYLNGVLLGSAFTRMTRNRDFNFRSRCFEFLQVCLSYFEVAVWSSKLRHNIQPVLDSLSKKMNERLEQRLLFVWDQSRCTMTQTSLRENPDKTVMFKDLKHVWGEYKSYNSSNTILVDDSPYKSFLNSPYNAIFPTSYTCYTVQDNYLDPEGDFVRHLKKLASADNVQDFIKRNRFGQSPVTEGGVEWNFYVNVVSKLGLQNTAKQVTRKREAPNRYYPEVSIAFMFRNMFTIVQHLVMIAKFASGHMHRPRKKGKFKSMRKISVHCTEASPNHWKERTSLLMGHTSKTFVL >CDP01437 pep chromosome:AUK_PRJEB4211_v1:7:12139654:12140685:-1 gene:GSCOC_T00036484001 transcript:CDP01437 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLGKGFKDISNISWLQFLILTSIFTISQCDDPDCVYSAYIRTGSIIKGGTDSIISLTLFDAAGYGILIKNIETWGGLMGEGYDYFERGNLDIFSGRGPCLGGPVCAMNLTSDGSGPHHGWYCNYVEVTTTGVHQACAQQQFTVEQWLATDRSPHTLTAIRDYCDYDGIYKSSSPLVAEM >CDP02372 pep chromosome:AUK_PRJEB4211_v1:7:3384094:3387770:-1 gene:GSCOC_T00039738001 transcript:CDP02372 gene_biotype:protein_coding transcript_biotype:protein_coding MRRESKDVSRVLVLFCCWSSALGLLSPKGVNFEVQALMAIKDALEDPHGVLDNWDGDSVDPCSWTMITCSAESLVIGLGTPSQNLSGTLSPSIGNLTNLQIILLQNNNITGSIPSEIGKLPNLQTLDLSDNRFTGQIPPSLGHLKSLQYMKLNNNTLSGEIPKSLANLTLLSLLDLSYNNLTGPVPIFPSKTLNVVGNPSICTSDSECERTMLIPMSMALNASRDGVPVRIQKSHRFALALSSSLGCLCLLVVGFGMLLWLRQRHKHLRQQTLFDGSDRTNDEISLGNLRRFQFKELQIATNNFSSKNILGKGGFGNVYKGILHDGTAVAVKRLKDGNAVGGERQFQTEVEMISLAVHRNLLRLYGFCMTSTEKLLVYPYMANGSVASRLKAKPVLDWGTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFNKAANQKGAMLDWVKRIHQEKKLDILVDKDLRNNYDCIEVEEMVQVALLCTQYLPSHRPKMSEVIQMLEGDGLAERWEVSQRLESSKYRTQELSASERYSDLTDDSSLLAQAMELSGPR >CDP01697 pep chromosome:AUK_PRJEB4211_v1:7:9481126:9489254:-1 gene:GSCOC_T00036834001 transcript:CDP01697 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEHVALCPSDRAVEQAIVALKKGAHLLKYGRRGKPKFCPFRLSMDEKSLIWYSGEMEKQLKLSSVTNIIRGQNTKQMKPERESQSFALIYANGERSLDLICKDKAQADSWFLGLKSIISRSHHRRLFGTLKNQRWAQSCVNSPASYMRRKQILGITEETAKSSQFQSIDGSPAQSFSERCFSDGLSCSSDSFYSESSLSSTQNSVDNFNTSSPCLGPDDLNKKEAVCANTRTHVHSLSQLGRPPRNFTQLGMDVLRDLLIWGGGVEGGCFAGGEEQCDALIPKVLDSTMMLDVQSVSLGGKHAALVTKQGEVFCWGEGKRGRLGHKLDMDSECPKIVDSLSGVFVKSVKCGEYQTCALADSGELYTWGDGGCSADSAGGDTKQSHWLPTRLCGLQDGVSIANVACGAWHTAIVSANGQLFTFGDGTFGVLGHGNVQSISRPKEVESLVGLWVKSVACGPWHTAAIVEVVTDCFKIKRKGGKLFTWGDGDKGKLGHSDQERKLLPTCVTELVDQDFVQVACGRVLTAGLTNMGKIYSMGSAEHGQLGNPHARDKSITIVQGKLKDEYVKEISVGSSHIAALTSRGNVFTWGKGANGQLGLGDRRDRNSPTLVEALRDRQVEHITCGSSSTAAICLHKSVATTDQSACKGCGTAFGFTRKKQNCYNCGLLFCRACCSKKASNASLAPSKVKSFRVCDPCFKKLQKIADSDGQYKLDSQSPRLLLTSLKAMSDEKEYRGDGSGAWSRMKLTTNYSEEKVQGDKQQPLDSTSSSLGGLHRWGQVPCPQAFRINSGDQRIPHLSSLSPLRTQLASSSPANFQALPLVVKSASFAAMNQEKDALQSDEFLLEEIRKLRIQSESLKKLCQTRDEEIQECRRKLEEVWALAKEEAAKSKAAKEVIKALTSRLQTMSEKLSAERDLKDQGSVEKFTSISSSQVVTTCEPPEVCRMEDRRVDSVCNSPMLFSNTLKYLRDKHRNGDAASVEKSCAEKVDTEQLGIKSLKLEWVEQYQPGIYITLTALPNGQKALRRVRFSRKKFSEREAERWWDENQLLVYQKFEIEEYTSSNQGMMVL >CDP02284 pep chromosome:AUK_PRJEB4211_v1:7:2700725:2703120:1 gene:GSCOC_T00039637001 transcript:CDP02284 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGINLTSPKSTLLPNHSSSGESNATAFLGGSPLNGLSFQLKPKVIKNVNLVVASGKAISSTSGSSGGRFYFNITGFPFPLGPFLNRRTIRTEAVKDSIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIELVKELGAPVEYIVLPTFAYEHKIFVGPFSRKFPQAQVWVAPRQWSWPLNLPLEFFGIFRAKTLKDDDTSTPWADEIEQKVLSCPEVGIGPYVEVAFYHKRSRTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKVLSKGKEVPNEPVVDDNKNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKASSKFSAELH >CDP02354 pep chromosome:AUK_PRJEB4211_v1:7:3248952:3250268:-1 gene:GSCOC_T00039715001 transcript:CDP02354 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGQSSESGFRLPYSRLVRNGVLREEDSFQRQATNFGGSGSRNTSPLGRIGSRNTSPSRQKVIKTKPRGLDEETVATFNKAVQPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCRRWNSILQDNSFLKFHSQVPSHGPCLLTFWRNPQTPQCSVFSLPLKQWFRIPFTFLPLWAFWLVGSSGGLLCFSGVDGLTFKTLVCNPLTQSWRILPSMHYNQQRQLIMVVDRMDRSFKVIATSDIYGDKSLPTEVYDSKLNKWSLHQTMPAVNLCSSKMAFCDSRLYLETLSPLGLMMYRLDTGQWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSNLQSMKIWELDHTKILWVEISRMPPRYFRALLRLSAERFECFGQDNLICFTSWTQGKSLLYDVDKKAWSWIAGCAFQSYNSQVCFYEPRFDASVC >CDP12820 pep chromosome:AUK_PRJEB4211_v1:7:14222515:14225748:-1 gene:GSCOC_T00037480001 transcript:CDP12820 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRARITKMQMSHCCSPYFLGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMEGETI >CDP02241 pep chromosome:AUK_PRJEB4211_v1:7:2396140:2396823:-1 gene:GSCOC_T00039575001 transcript:CDP02241 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFHSTISVDQHIAMAKSVNSTDPGLKLKQINQIHRKKNSSNPQNHLNIPACQQSRSAVVDVIIMIAVIGACGVLLYPCVKSLVHATAEFVEEAAYIFTEEIFRAPVVYGCFGLSILFALLALVAITVYTSRTCGNPGCRGLRKAAEFDIQLETEDRVKSSSGIAKLGVKKGLFQLPRDHHRELEAELKKMAPPNGRAVLVFRARCGCSVGRMEVPGPRKSRKVKK >CDP12881 pep chromosome:AUK_PRJEB4211_v1:7:13361697:13364638:-1 gene:GSCOC_T00037562001 transcript:CDP12881 gene_biotype:protein_coding transcript_biotype:protein_coding MEGISISIRLLSLLLLSLFCLFPVLEANGTNVTAGCIKEEREALVRVKQGLEDPSGRLSSWIGEDCCRWKGVSCNNQTGNVVKLDLRSYGCYLNGGQDDPSNLNPSCMSGKISSSLLDLKHLNYLDLSMNNFQNNPVPEFLGSLDELSYLDLSYSNFAGLVPPHLGNLSNLRYLDLSSNSLNSSQPGIWIADLSWITHLTSLEYLNLGFVNLSMVSDHWLKAFNKLPSLTKLYLPFCELQNLPHSLPHMNFTALTVIDISSNSFQPSIPEWLFNLTSLSFLDLSYNDIRGDIRPIPASVGSLLNLEGLDLSYNRLNGSIPQSMGKLTKLNALYLLQNFLEGVLSQNHFQGLRNLEYLQVSSSNKSIVFNMSSDWVPPFSLKFIKIESCNVGPTFPAWLRTQKNLSRMHINNAGISDTIPDWFWELSPQISWLDFSNNQLKGVLPNSLEFALNGNDALVDLSFNRLEGTVPFWHKVTYLNLAHNLLSGSIPTSIDSISEMKTLQRLDLSNNNLTGEIPEQWNHCQELLVLDLSINSLSGNIPSSIFSIPKLQWLKLNGNTFSGELSFPSVNCKDLIFLDIGENELTGKIPTWIGESLASLSELKLRSNMFSNNIPEQLCHLSHLHVLDLADNILSGPIPSCLGNLTSFRVKSSIEPVSTYQLYPFIPQMELVVKGREFNFSNILGLLNSIDLSSNNLVGTIPEEITDLLILGTLNLSNNHFTGKIPEKMGSLRRLETLDLSYNQLSGQIPPSMSSMTLLNHLNLSHNNLSGPIPSTNQFLTFNDPSIYQGNAGLCGNPLPTRCNASNSADTENQDSTGNGDSEDENEKHDEVISFSIGVGLGFVFGLLGIIGSLLLNKSWRNTYFHYIDGFLKRALAGVAKK >CDP02107 pep chromosome:AUK_PRJEB4211_v1:7:1453577:1456011:1 gene:GSCOC_T00039394001 transcript:CDP02107 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGPPFPVRQNKLTLEIKGNITDIIICSYEDHFFVLATQIGSMGTILHARKEEEVSTDPTFEVSVIFGKRDEPMMISCGRQLIEHISHSGSSKPLILSLGLKDHSMATLKGIVSAVTANCLW >CDP02764 pep chromosome:AUK_PRJEB4211_v1:7:6339391:6341327:-1 gene:GSCOC_T00040256001 transcript:CDP02764 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEELFWRASMVPQIKEFPHKFLPKVAFMFLTPGPLPLAPLWEKFFKGYQGLYSIYLHPHPSYNDSWPQGSVFYGRRIPSKPVSWGRINMIDAERRLLANALLDFSNQRFVLLSDSCIPLFNFTTVYDYLLSSNQSFLGSFDDPRKPGRGRYNRQMFPTITIEQWRKGSQWFEVHRDLAVGIVSDAKYYRVFHQYCLAPCYNDEHYLPTLVNILYPEMSSNRSITWVDWSKGGSHPRKYGRADVTDELLNGMRRRTCKYNGETTKNCFLFARKFLPNTIEPLLRVAPSVVGFDP >CDP01517 pep chromosome:AUK_PRJEB4211_v1:7:11205886:11206854:-1 gene:GSCOC_T00036599001 transcript:CDP01517 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYSAFLVLFLLVSFTFGIDARRCPGDYWKSVMNEEPIPEVLSDILHQDTTSEPCEKETVDTDRLARDFDMRSSVIIYHRDADSKRVKTFDAEGVKMRDNIDSAQSESRQKVLRAE >CDP12879 pep chromosome:AUK_PRJEB4211_v1:7:13387133:13391710:1 gene:GSCOC_T00037559001 transcript:CDP12879 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSSVDSQMASSSVTNFFPYIHSNFCRVPRIGYPTSQISPRPLLSLTPTHSRKSPCFRLKTGISPKPISNVPLKTLPLPIQKNASRIFTEKIVTVLLGSFIFMGSLRARPVVALPNVQESSKSETFEEKGEARIGEGEEDEEIYLKLLEQNPRDVEALKIVVNVKMKKGKTKGAVEYVERLIKVQPNEMEWRLLQALCYERMGQFSMARRLFKDILKQRPLLLRALHGLAMVMHKNHEGPAVFEMLDRALELARSEKKVNEERNIRILTAQMHVVKGELEEALEKFQALINENPRDFRPYLCQGIVYSLLDKEKEALEQFEIYQSLVPEEFPQKKFLDDVILLARTESKQQLEEELQS >CDP04728 pep chromosome:AUK_PRJEB4211_v1:7:15377219:15379808:1 gene:GSCOC_T00018803001 transcript:CDP04728 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFISCTFLSFLLFFSISSAKTTTSFLQPPPPPSSSPSSSPPENDLIHEACKASRDPPTCESTLSQSSHVLPPNSTLLDVIQSATWASSENLNLSILMVNDILNSSAENLNLSIAAWNCVEGLVYSAYRTGSTAENLPRGRMKDSRAWMSAALAYQAGCSSGLKTANGGFNSKVNETVAFLDGLIGITTNALGMMVNYDNFGNESGLWGPPKTERDGFWDRVNGSGAGIGSGFDFNGGVASGLKANVTVCKGGGCDYEMVQEAVNVAPDNDASQRFVIWIKAGLYEEIVRVPLEKKNVVFLGDGMGKSVITGSLNVGMPRMSTYNTATVGVIGDGFMASGVTFQNTAGPVEHQAVAFRSQSDLSVIENCEFISNQDTLYAHSLRQYYKSCRIQGNVDFIFGNAAAFFQDCVILVAPRQIEPEKGETNAVTAQSRTSPAQSTGFVFHNCVINGTEEYMALYYSNPSVHRNFLGRPWREYSRTIYINSTIEALISPEGWLPWNGDYALATLYYGEYANSGPGANVTGRVSWSSRIPDEHVQAYSVHNFIQGDGWIPASS >CDP02769 pep chromosome:AUK_PRJEB4211_v1:7:6362672:6368453:-1 gene:GSCOC_T00040262001 transcript:CDP02769 gene_biotype:protein_coding transcript_biotype:protein_coding MSEISGQTTLAIVEKRPQRPGGCAGILFQVFDWNRKFAKKKLFSKKLLPPVRLRKSSKKFGVDEKLPKLRLIADENSGGFPYMKKNEGCCNGDTAQKNEMRAPGLVARLMGLESMPDVKQDKSKKTLLSGSGSDKEEYVHSHGRFEREELIAEKGETKQEFRPQKLQKTGLSERKPVTKFGAEALQIKHMLSRSRKHHQKLVSPVKSPRNVSGRNASRFIGAATRILEPGLQRSKSKCALAYSNAIDHPPTADAFLVEANDVESFQDARCFQTSAKPLNGQSSSCTNCGHSLGGMSTAEQQPALSSGSQFVHPPCQMSERESGRLAIFCPELEKGKTEEGSLLYAAAAMEGRQPCANYMPEIKLLKKAGQRLWQAASPQGKLQKDVSPACLRHKMQGQDQMFQVRNRLPSRSKLIRVQSNRVSAAANATNETTNLVLQKQNISNHSHLRMSPKQDIYRLDTDQRFGDRGHDSLSPLQKRRSLNSSRQNEGSRFVSSTLVKPTNIRSSAISGKGRSSTSHSTIGPCTSIRLAHLQGSINADSSQNDSDVISFTFKSPMKRKTGIHADMEGKRNQSGPNSEVTLRKLSLNENEGKRNSLKSFPLSGDSLGVLLEQKLKELTCQEEDSAFGDTAPRKTTAVILQELISALTTERPSHWDQLVYGVNNRDSYLRSDNQQLDDKTFAAFQAKPKSTKISVGYLPNGEHLSPGSVLDASFSNDSFASSSLDDGSRCNLGMESTEYYEGQRQLETDADLLDSACSLSIGKFYRESVTNLLNNISVVFSAINLADGHLKGRKLTHAKEVILNAELVFVNAALPDAVVNGGFSISHFVLNELELLASVMRTNFSGFVAFDINNKEGNQLKGFVFDCVIEYLESRFARYSNSGFNAWTRLPLRMKTEMLICEIVEEVGRWAGLAGLMVDELIEHEMSRSFGKWTDFELEAFETGTEIDQQILQSLITEVAVDFMCSSASEGC >CDP04733 pep chromosome:AUK_PRJEB4211_v1:7:15310052:15310561:-1 gene:GSCOC_T00018810001 transcript:CDP04733 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLSEEEIEEIAFGLELSHENFIWALRSPPGEERKLEQILPEGFLERVQDRGRIVQGGVRQAMILGHPSLGGFLSHCGWNSLSKGIEFGVPIVAMPMAFEQPINARVLVENSVAIEITRDENGRLKREEIVKVINNVVTGCAGEPLRQKMKDLRKQIKSSEKENLDGF >CDP11582 pep chromosome:AUK_PRJEB4211_v1:7:20546567:20547022:-1 gene:GSCOC_T00033918001 transcript:CDP11582 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHTLGRKTWGAAKGEELEAAKKEFIEALKVLEGELGDKPYFGGEEFGYVDVALIPFDSWFHAYETCGNFKIGAQCPKFAAWAKRCMQRERARGKLCPSIARQYTQLQLGTHRKVFHCGSKLEFSCIWDSSLTTTDFSLNSLDLLPWIHT >CDP04612 pep chromosome:AUK_PRJEB4211_v1:7:17597070:17607371:1 gene:GSCOC_T00018616001 transcript:CDP04612 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSLEGKKVILVPYMKEHVEKYHEWMQDPALLEATASEPLTLDEEYDMQLSWTQDPFKQTFIVLDKELVVGEFVHGDPHVEAMVGDVNIYMNDLDDAEMAEIEIMIAETKSRGKGLGKESVLMMMAFAVENFGIHLFRAKIGESNRASISLFQKLGFEKTSHSEIFQEVTLELPITESKCEELHQLTGNMITHL >CDP12926 pep chromosome:AUK_PRJEB4211_v1:7:12931513:12931893:1 gene:GSCOC_T00037622001 transcript:CDP12926 gene_biotype:protein_coding transcript_biotype:protein_coding MNEISESETPFPHGKGNLHDIHLFSTWYIVDPDYFFKYEQSIPPLILDGHKAIE >CDP02291 pep chromosome:AUK_PRJEB4211_v1:7:2744619:2746611:1 gene:GSCOC_T00039646001 transcript:CDP02291 gene_biotype:protein_coding transcript_biotype:protein_coding MATARTVKDVSPHDFVKAYSAHLRRSGRMELPQWVDIVKTGTLKELAPYDPDWYYIRAASMARKIYLRQGLGVGAFRRIYGGSKRNGSRPPHFGKSSGSVARHILQQLQKMNIVDMDPKGGRRITSSGQRDLDQVAGRIVVAP >CDP16683 pep chromosome:AUK_PRJEB4211_v1:7:436391:439846:1 gene:GSCOC_T00019149001 transcript:CDP16683 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEATWLMIRGMHTLIRDRDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIDLLIQKGVPESHIIFLNLISAPEGIHCVSKRFPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >CDP02031 pep chromosome:AUK_PRJEB4211_v1:7:6912544:6915461:1 gene:GSCOC_T00037244001 transcript:CDP02031 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLRDYQIRSQHKCPALTVFSPKPLLTTWDDVMVAILWASLFSALVISSYVTLYFRHYWVSFVIMCFGIFLPVRLRISRQVLARKKERLLPLSF >CDP11552 pep chromosome:AUK_PRJEB4211_v1:7:19209363:19211331:-1 gene:GSCOC_T00033857001 transcript:CDP11552 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSYWIVLLVGKIGLHSVVADKGLKKPLFTASRLKKGEVLYLETHSRRFELCFAGEKMFKATSVCQAHGAETEKSLTHDLHASNDEKGLVDGESVVIDAFRWSRCKNILHETKMISIGIPLPLEHVEVLADNLEWEDINWTSTGVVIPGKEYHLARARFMSPN >CDP01455 pep chromosome:AUK_PRJEB4211_v1:7:11899120:11903572:1 gene:GSCOC_T00036507001 transcript:CDP01455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G10250) TAIR;Acc:AT5G10250] MMKSVQMEQQQSSSSDSDGIDQARGHSIIVPGNLIATTYGFEKKEHSWFALSPIPSDLSIQVEDITFCVHKYPLVARCGYLNRLELEPSNPDLGYDPKLENFPGGSETFELVLKFCYDLPIGLNPNNVAALRCASEFLEMTESLEDGNLISKTEAFFTFVVLSSWRDSVTVLKSCEKLSPWAENLQIVRRCCDSISWKVSRENSATVENISEEDWWFEDVVTLRIDHFIRIIVAIRAKGTKPESIGSCIMRYAEKWLPDIDAGKAIKRHGYSQNEVQWSVTTGKSLEGVIGQNKEHRMIIESLVSILPPQKEAVSCKFLLWILKMALVFSISPALISELEKRVGMVLEKANVNDLLIPTYTVGDQGKMVKMINDRAMHNIDVVQRILEYFLIYEQQQQLLLQQNPRTLTVGKLLDNYLAEVARDPNLSISKFQVLAESLPENARTCDDGLYRAIDTYLKTHTSLSEQDRRRLCKIMNCEKLSIDACMHAAQNDRLPLRTVIQVLFSEQVKMRDIIQGKDNCDDNPGQDGSWLCTKKEVNTLKAELEIVKAKMAELQTDYFELQQEYEKLNNKHKSLSSWTFKWMKIKKPSLAQAKIVEEEADDGQPRSHSGHKTKFHRRVSIS >CDP04572 pep chromosome:AUK_PRJEB4211_v1:7:18936304:18938052:1 gene:GSCOC_T00018532001 transcript:CDP04572 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVDRNFNDPCLTDYETLLKNIQDLKARKQVEVPIYDFKSNSRIGYRTLEVPNCRIVIIEGVCVYASSEKLRPLVETANSHRITPSHPESIRIFIIFTIFFNYFYLSYFFTIFRILNISKIRISPRPRPICRDRCKTVLAATMTLNHELGQEGPYAPCTMWMGHLRVRLISTLNARMDDFTSQVEEFSSKLTSRLSFPPSQNLVSQAETCNDFAPTSHFISGLENGSLTRGIMPSSSSSFLSGKDAPLLEAKSNTARGQLQIMHQLDNLSSLNHENLEERAHPGSINKSSEMAYVDPAGASLILTFAIGGLGILFFKGYAPPN >CDP01449 pep chromosome:AUK_PRJEB4211_v1:7:11943144:11948105:-1 gene:GSCOC_T00036501001 transcript:CDP01449 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLSAVTKTITPSPIQQLSLLAQRCNAINLSQGFPDFPAPPHIKEAAICAINSDFNQYRHVQGICEYVACKMKQTQGLDVDPLTDIVICCGQSEAFAATMFAIIDQGDEVILFDPSYETYDTCIRLAGGVPVYVPLDPPCWKLDPEKFIKSFTAKTKALVVNSPHNPTGKVFTKDDLEIIAGACRTWDILAVTDEVYEHITFDNEKHISLASLPEMQKRTIVTSSLSKTFSVTGWRIGWAIAPARIALAIRNIHVKVTDCAPAPFQEAALAALRSSPEYFDSLRRDYQSRRDFIHELLVKVGFPMQFRPMGSVFVFAELPESCTLSDVEFVEQLIKQAGVVAVPGSGFFHKSSCSSTFSYQSRYIRFAFCKSFDTLNIAAQKITGLVTETGRLELF >CDP02126 pep chromosome:AUK_PRJEB4211_v1:7:1570583:1575884:1 gene:GSCOC_T00039416001 transcript:CDP02126 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYCNDGVTSSPSYNFFPSQDMRFSKHVHDNVHGNIYLDPIVLKFIDTEQFQRQVCKYVSIVCFLLRDLKQLGMAHMVYPGAVHSRFEHSLGVYQLAGEAVHRLQSHQGSELDIDNFDLQTVKLAGLLHDIGHGPFSHLFEREFLPKVLNGSEWSHEQMSGDLIDYIVDTHHIEVDLEKIKRVKEMILASSKFALPKSAKEKQFLYDIVANGRNGIDVDKFDYMVRDSRACGLGCSFLYQRLMETMRVLGDEICYLAKDYLTIHKLFATRADLHRTVYSHAKVKAIELMVVDALLQANNYLDITSHIQDPSEYWKLDDTIIKTIETAPDQELKESRDLILRIRRRNLYQFCNEYAVPKDKLEHFKDVTALDIICSQKNGGVILREEDIAVSNVRIDLTRGRHNPLESIHFFKDYESEEKFSITDDRISHLLPTSYQDMIVRVYSKKPELVRAVSEAFENFQLKTYGTKAQVHETPEKKKRRI >CDP12823 pep chromosome:AUK_PRJEB4211_v1:7:14179498:14182514:1 gene:GSCOC_T00037484001 transcript:CDP12823 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKPYLAVVIIHILYTGMFSLSKDALNGGMSTCVRLLQTCCRNNLLSSHNFLPRMENCTITIINDIYQDFYALPIRGVFLMLLSNVFWSIWLIFPGLILKSYPSKLLCTALQCFLSTIQSFIIAIALVRDPNEWKLGWSVRLISVAYSGIVVAGVTFYLQAWVIEKKGPVFLAVTPPRLLVFTMCTQRSSCVGFFL >CDP01983 pep chromosome:AUK_PRJEB4211_v1:7:7237423:7243496:1 gene:GSCOC_T00037184001 transcript:CDP01983 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNEMNEAVQVFCVGTADTKLEELRFLSQSVQSNLNNFSTNSSTKVQVTVVDVSVGEKEVQSCGDFKFVSREDVFSASGEPSPVQLPDDRGKAVALISKALQNFLRKAHRDQVLAGVVGLGGSGGTSLLSSAFRSLPIGIPKLLVSTVASGQTEPYIGTSDLLLFPSVVDICGINNVSRVVLSNAGAAFAGMVTGRLEKSKETRNGSEKFTVGLTMFGVTTPCVNAVKERLLKEGYETLVFHATGVGGRAMEDLVRQGLIQGVLDITTTEVADYVVGGVMACDSSRFDALIEKNIPLVLSVGALDMVNFGGRDTIPSQFHHRKIHEHNQQVSLMRTTVDENKKFAEFIAEKLNKSNSKLCICLPEKGISALDAPGKAFYDPDVTGTLIKEVQKLIQTNEDRQVKVFPHHINDIEFANALADLFIEICHNSKDGGESVYESMKDIQEDHPVFEVKPTKGTISYCLSNFPNAKPETLQRTHAMLQNLKDQIRMGKPIIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAVVVEMANEVLPVVKKVPVLAGVCATDPFRRIDYFLKQLESIGFCGVQNFPTVGLFDGNFRQNLEETGMGYGLEVEMVAKAHEMGLLTTPYAFNIEEAVAMAKAGADIVVAHMGLTTSGSIGAKTALSLDESVVRVQAIAEAVHSVNSDVIVLCHGGPISSPEEAKYVLKNTKGVHGFYGASSLERLPVEQAITATVQEYKSISM >CDP02438 pep chromosome:AUK_PRJEB4211_v1:7:3812538:3841848:-1 gene:GSCOC_T00039815001 transcript:CDP02438 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNDFIRRRLASLLQPWLSDEPDLGLKLGFLRSTGTVKNLNFNTSVLNQLLDESTCYRFKEVAVDELSLGVSYWSFPAFILRVRGLRITLSVGEEEEEGGNERRKKKPRDTSVEDKKKVLAEIDTVGSALHDAIAQISQITVGSRKDNLLTAFLRHCQLQINEIHLLLLPPSCYDPVSCLLKIKEVGVQSKCNRQICFFGGLISSLFIPSRKCSFGLDLRDVELGLQSESSISCVISPTDMFISFKLKDLQITDSALCLPALKFSFSPADIYVISLFSSLSCKEPHYVRTGRQLWRIAASRISSLIPIPKLSWYRVVIFVKLWLYYLHIYEDMLLLIGYPVGDIVKKSSTMMLSDKTYSRSVKNHWDVISECEEKLPAEVIAQARHISRYRASESSQMVKQKCDKPQVKRLLWKIYQLLALIWAITSHVLHSVGRLLYILRVLSHLANQPKSDQRSKSVPDDSFPKTCFSLNVGKFSISVSPEKNVLSSVSGLPLSALRFSFDDLSSFLISSDAIILTYLKNISDQHLMFACGCVKVVAESLKEDQSGLSSTRSTEHRNNKIIEPQELLWCEPIEMINNHADDTEGASIPLLEFLVRKLWLNWKIACSSFEGDECKIPEAPCFLFENNCSLIDQSAKNPTYGSCEVLMVVGRSNFVLKTSSFLSSAVIYLQIQQALRRMSCRNGTDGDLHLKATCEHLPVVDLSPRYKSVLCQIETAMVKLVPQKKFQVGMMIAGPRIRLLFDTQGFLGENADLYSISKKDELTLVFDVDDIKLVVSPNLASNSKVSTGLTVIFDGAPSGLKLEEPLNIDIPNSTNQIYCCQEGVSHSAYLKVNGLKACFGELCNNRLQQIVTLSSTTVQASSSRKESCSLGSTIIALSTALHWIAPGITAVVFLDELSVLVKVVCSLYYDVSHALTFFGSSHHRSCQELLRPQVACASDENEETLVNRKDQIPSACMDTIFGFRSICELEFIDVVVYNSRKSHNTEDHIIESDEITRRMLTMASALDCGIHISIQLTCGKFSFEDGIPELVIDLSGFQCTIVRYPTEIAECSDLSEVRNLLLSVQCLSEASLSHCKFALCLRTSEKPFSAARQRYGLEASTSCVLENPIMEMNTKRSRDHSYHWLSANVSLGEINLADCTVKNMLLKTHKSDKLNASFSVGDQFQTIWCQSKGGSTFLQMEAAMMFVHCLTLYCHLIGEIWRYVPSPDRVIAAQYGEPMVASNDKPAQDSQQLQKTKWDKLEAFSISISDFTLALLARDEFGRFQELLFETNVHLSAEGPKTNKVSFEISKFSILSQFLHEAAEHQATEIQVPHFSAVACDDISSSIMLGDPLVIEEHENTGQSVTHDSSFMSTSAFQHEPYADNLPDLCDNTGSKNLHSSPQSYILKELCAVIAVEWPVKRDGTGPLYINQLWVGKGSISGFDMVLSLSQLRMILSVVESLSGVYSEEKSSNSTQRRWSLKQEAEGSFREKIPDGSIVAIEDVYQHTYIAVEEAESGYNLVGTIHYSLVGERALFRVKHQNPGRWKPRAQSFSLISLYAKNASGEPLRLNGRPRSDFVDVSGTTDSSWALWSMLPYGSQSDDGNFEWEHYSIPAKNTFYLVNNKNNRSVAFIDGVLEFVSKPGNPFKCKVFSDLLPFGNNLFQESCSVGAPGTVLEYGSKINDDRELKSAGKLQEITIVIDKATLTVVHELSDTVEKFPLLQGSLSPTEIIVQMSNTKVRFMSSLEIMLHHFDAQRNIWRELVNPLEICLFFRYRFLIQGSENVLSGVPGHLYIRIKELNISISELSLDVLLFVIGNLKLAGPFAVRSSMILANCCKVENKSGLTLLCQFFDNQNVLVAGRQSSTIFLRHLALANRPPEASFFSIQLADKGTFATSLMHLSLSEARAFAWRTRIVSSHESKTSPGPFIVVEVSQTTEDGLSIIVSPLLRIHNETDFSMELRFRRPKEEENEFASLILDAGDSVDDSMATFSGVSLSGGPKKALMSLTVGNFLFSFRPQVTDDLLNFKLSSVEWSNDLRGGKPVPLSGLFEKLSYQVRTAFAVESVKSTLGTARCAFRSEGGHVANIYFLIQSVARDVPIIQPDNLGYAPGNRNVPIALQEQKEIFLLPTVHVSNLLETEIHVHLTDADIRAKVDYDNICSQATIPCGSAVNLYANPANIFFTVTLTSFGSSCKPVNGNRWVKKLRKSNTNAHQLDVELDFGGGKYFAFLRLSRGQRGILEAAVYTSYTLGNDTQFSLYCFAGNLKPLSRDEVKQLGSGFPPELGAYLPPNSRRSWFMKHHKLRIKLDNEQASEALLNLDALSGLTEIDLEVEENSGIKNVTRLGISLNPSLNKIVPSQLVSMSPRHIVLNESQEFIHVRQCYLEDDMQGIITINSKHRAALTLQKRPRRKGETTIFENLLRKHSKTLDDSLLFIQFRPNDASFGWSGPVCVASLGQFFLKFRRFSEYPARNSDYMTSHEPSSFKFAAVHVVEEDSALVLHFHSPPNADLPYRIENCLHDTSITYYQKGSLELETLRSGCCVDYAWDDLSLPHKLVVQIDDVHLLKEISMDKVRAWKPFYRAKQQMRMGIQFLLDMNPGEKNRNNDGQLINTRTVKLGYEVYAEGLTRVLRICEFSDGHKGNNMFYSSSKMRLRISHFAFQLLEYTDKDKDLDESLSYSPIIVTRLENIDLHSMFTDQHKINCMTVQSITVDQMRVGAPFAAVLRKHQSQYNDMNSSMLQVVLLVLPSSSGVTYVKYLSIVLQPLDLNLDEETLIRIVPFWRTSLSDPNAPSRQYYFDHFEIHPVKIVASFLPDNSYSSYTSTQEMLRSLLHSVIKIPTIKNTTVELNGILVTHALITLRGLSIKCAQHYSWYALRAIYIAKGSPLLPPAFTSIFDDFASSSLDVFFDTSNGLVNLPGLTMGTFKLISKCIDKKGFSGTKRYFGDLGKTLKVAGSNILFTAVTEVSDSVLKGAETSGFNGMMRGFRQGILKLAMEPSLLGTAFMEGGPDRKIKLDRAPGVEELYIEGYLQALLDALYNQEYLRVRVTDNQVILKNLPPNSSLINEIVERVKGFLVSKGLLKGDSSTTSHSLRHIRGESEWRIGPTVLTLCEHLFVSFAIRFLRKQAGKVISRVNVKEKLESDTEKAIVPISTVVEQKVKLVWQWGIGKFVLSGIVAYIDGRLCRSIPNPIARRVVSGFLLSFLDKEEKE >CDP02767 pep chromosome:AUK_PRJEB4211_v1:7:6356288:6360208:1 gene:GSCOC_T00040260001 transcript:CDP02767 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAISAPKTCANISYPRRPCFHLRHLAPTRLRVSASLPESHGVKVEYTPWLIVGLGNPGNKYHGTRHNVGFEMIDCISQAEGIVMNTIQSKALVGIGSIGEVPVLLAKPQAYMNYSGESVGPLAAYYRVPLRHILLVYDEMSLPNGVLRLQPKGGHGHHNGVKSVMEHLDGRREFPRFCIGIGNPPGTMDMKAFLLQKFSTVEREQIDAALEQGVEAVRALIQEGFNSRITRFNLGQKYKYHKV >CDP01712 pep chromosome:AUK_PRJEB4211_v1:7:9343729:9346349:-1 gene:GSCOC_T00036850001 transcript:CDP01712 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLSKKSTCSSCTTPFPNPNPLHQQIKPQPHVENKKSEEETVKKEVFIIKHRKSHDIDRLLSEEEKDDVKQKAAPEIVLESGKIGSADKKNSCNNNASNNGGIFVAAAPVRTSSCTKEEVDAILIQCGRLSRSSSTGKAANLSGPCGSGDNNNGENPAPSQRGRKYSGSKRSYDFDNDNGSDGKDNVAAADKDDDDDIGVVGERSHRHRQRQRQSRTVGSPSKGRRRTPSREREQQQRSGSRERGSSGNGSGRRVSRSPGRRSESPAITTASTGVNSSQGNSNGGNGNRPGKMVSVPATVSSLVMDKSNSAGGGNETVSASAVKRIQVKRNAGGAGDAAVGGRTAASPRARSPARGNVKVLNESQNQNQQQPMSLSRSNSRKAEHSPYRRNPLSEIDTNVVTENMSLPGSKAPNSTNTQKPNSDYTTNNKVAVQGAENKISSSKGIADHSATNLNLKNKEQQHLISELAKAPQAITSNVAVNTVASGPECLKPQGVTRSRSSRLSRDFDINPETLSNPSPSYTALLLEDIQNFHQKSSTPAISLPPCLSKACSILEAVADLNSSTSSNLSNTNAPFVQSEVVVTDDLMQPTFHKYVTVSRGGTVGGEDMEEQESSGSNSFVGGQQYWVSPSSWEPNSADSTECWTSSRSNIRDDSVSPVGFQRHAISKSGHDAEEPRRRLNGKKSDSDQQQNGIGRGRIGSRGPQSVPAVTAAST >CDP02572 pep chromosome:AUK_PRJEB4211_v1:7:4958420:4961522:-1 gene:GSCOC_T00040001001 transcript:CDP02572 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSICFSPVPSLRQHKVGSSCRSWIIHYNRHQILSGRTIIRALKDETNGGKNGLPGQSWDPGLEIEVPYEQRPVNEYSSLKDSTLYSWAELGPGSFFLRLGGLWLVTFTVLGVPIAAASFNPAKDPLRFVLAASTGTLFLVSLIVLRIYLGWSYVGDRLLSAVIPYEETGWYDGQMWVKPPEILARDRLLGSYKVKPVIQLLKQTLVGTGVLLVAAVFLFIFATPVEDFIQNTLSAKENSTEVSVSKIDTKYNIRKEELFRLPVEVIDDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWEDLLK >CDP02215 pep chromosome:AUK_PRJEB4211_v1:7:2173712:2179045:-1 gene:GSCOC_T00039538001 transcript:CDP02215 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESFIHKSYKTTRTSWKGKHLDIKRGGKRADLSSHSTEGLVLRLSVRNSHRGFIGTMGLAPESINAGSRGLRKSIGKTRKDYCGDDDDDDDAMETRGCWMKLRFLGSCMSPSSKVDSSVSGSSTQLAEESKSSNDTSRDQPVAPIISSSSSTIAESTPSTPNILEELKIASQLRKFAFNELKSATRSFRPDNLLGEGGFGCVYKGWINENGPTPVKPGSGLTVAVKTLNHDGLQGHKEWLAEVNYLGDLLHPNLVKLIGYCIEGDQRLLVYEFMPRGSLENHLFRRSLPLPWSTRIKIALDAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKTRPNGEHNLVEWARPYLRERRRFYRLIDPRLEGQFSIRGAQKAVQLAAHCLTRDAKARPLMSEVVEVLKPLPDLKDMASSSSYFQALQAERASIAQAIKNGGRVQAALPEKNGQQNPTLSTPNRPQASPCHENNLHRSPKPKV >CDP01573 pep chromosome:AUK_PRJEB4211_v1:7:10700099:10705265:-1 gene:GSCOC_T00036666001 transcript:CDP01573 gene_biotype:protein_coding transcript_biotype:protein_coding MAPENNWLSFSLSSMEMLSSSASQPQMLQSTMKLAPFDADSQQHYYYSDHFYTNGWQNSKPEEVTEQSNMNVYTTFLDQNQAPPKLEDFLGGDSTETQDSSLTHISASAAAAAYFNDHHHQDLKNITGFQAFSTNSGSEVDDSASVARTQVMCAEFTGQSIESGTELAYPQCPTGALSLGVNAQNPPDQKAIVAVDSESCKKITDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVLMFLSFFGMEWPFDSPENNLPKYAGGYDKEEKAARAYDLAALKYWGPTATTNFPVSNYSKELEEMKHVTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGMNAVTNFEMNRYDVEAIMKSALPIGGAAKRLKLSLESEQKPSISNDQQTQCSSNGSNTINFAAFQPISSVPCGVPFDNTPPPYYHHNLFHQISSNNTGTPDTTGPISMITPVPLLPSPAEFFLWPHQSY >CDP12858 pep chromosome:AUK_PRJEB4211_v1:7:13705666:13705978:1 gene:GSCOC_T00037533001 transcript:CDP12858 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPKKHTLLMASFLLCFLLVTAQARSTPRESRGVEKADDKMLSTTKEVPTSEELEMMDYSPARKKTPIHN >CDP04601 pep chromosome:AUK_PRJEB4211_v1:7:17792137:17805630:1 gene:GSCOC_T00018597001 transcript:CDP04601 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVPGAYQANTSLAVTDWLNKGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMAFYAFAAVVICWVTWAYKMSFGEKLLPFWGKAGPALGQKFLIGQAALPATVHLFKNGTTETPMAAPYYPMASMVWFQCVFAAITVILLAGSVLGRMNIKAWMTFVPLWLTFSYTVGAFSLWGGGFLYQWGVIDYSGGFVIHVSSGIGGITTAYWVGPRSKNDRERFPPNNVLLMLAGAGLLWLGWAGFNGGDPYSANIDSSMAVLNTNICAATSLLVWTWLDVIFFNRPSVIGAVQGMMTGLVCITPGAGLVQGWAAIVMGILSGSVPWFTMMIVHKKWTLLQKIDDTLGVFHTHAVAGFLGCILTGLFAEPTLCALFLPVTNSRGGVYGGVGGVQFLKQIVGGSFIIGWNIVVTSIICFLISLVIPLRMSEEQLKIGDDAVHGEEAYALWGDGEKYDTTKHSEDTMHAKTSRGATQVV >CDP16750 pep chromosome:AUK_PRJEB4211_v1:7:898762:903603:-1 gene:GSCOC_T00019234001 transcript:CDP16750 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLAAILGGAAGAVALVGIVIVIIRLCLFHSRSISRTSENGSSDPSDQDGRTAGIELSLRDARRFEIEELSLATKSFSDKSLIGQGKFGEVFKGLLQDGMLVAIKKRPGAPSQEFIEEVRYLSSIQHRNLVSLLGYCQDNGQQILVYEYIPNGSVSIHLYGAGHVAQEKLEFKHRLSIALGAAKGLAHIHALSPRLIHKDFKTSNVLVDENFIAKVADAGLRNFLGRFDIAGPSSEMAADEMFLAPEVKEFRRFSEKSDVHSFGVFLLELVSGREALDLLSSDTNQNLVEWLQNYQESGAIAAIIDQRLGNSFTKEGMEEFIQLIVRCVNPSSERRPAMSYVVVELDRILENEMNLTTIMGEGTPVVTLGSQLFRSSK >CDP02703 pep chromosome:AUK_PRJEB4211_v1:7:5943399:5943653:1 gene:GSCOC_T00040170001 transcript:CDP02703 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAQDQADREKIFKRFDANGDGQISAAELGDALKALGCVTGDEVKRMMDEIDTDGDGFISKEEFTSFALANRGLIKDVAKIF >CDP12896 pep chromosome:AUK_PRJEB4211_v1:7:13238723:13244415:1 gene:GSCOC_T00037580001 transcript:CDP12896 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVCLVVAGLLVASYEFTTLTCIPGVITYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRRKDKGGINLTSTVTNTNLDLDTVKAICSEYRIHNADISLKYDATADDLIDVIEGSRVYMPCIYAVNKIDQITLEELEILDKLPHYCPVSAHLEWNLDGLLEKIWEYLDLTRVYTKPKGMNPDYEDPVILSSKRRTVEDFCNRIHKDMVKQFKYALVWGSSAKHKPQRVGKEHELEDEDVVQIIKKV >CDP04597 pep chromosome:AUK_PRJEB4211_v1:7:17926955:17932396:1 gene:GSCOC_T00018591001 transcript:CDP04597 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNase Z TRZ2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04530) UniProtKB/Swiss-Prot;Acc:Q8L633] MSSQSYLQKLPLTLNPSILSPSRSSPASLQINLLQSHQKPTQNKLNGCNNSCSSLLPAAVGGSSSGILSAIGRAIEEEEEYRKARAEVHKKSVDLEGYSVEGISIGGHETCVVVPQLKAAFDIGRCPSRAVHQNFLFITHAHLDHIGGLPMYVATRGLYSLKPPTIFVPPCIKEDVEKLFDIHRAMSNVELNLDLVALDIGETYEMRNDLVVRPFKTHHVIPSQGYVVYSVRKKLRKQYMHLKGKQIEKLKKSGVEITDTILCPEVAFTGDTKSDVFLDPRNADALRAKILITEATFLDESVTVEHARDHGHTHLFEIMEHAQWIRSKAVILTHFSPRYNIEDIRQAVSRLQSKVSAKVIALTEGFKSKYS >CDP01895 pep chromosome:AUK_PRJEB4211_v1:7:7952313:7954292:1 gene:GSCOC_T00037071001 transcript:CDP01895 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKQILAKPIQLADQVTKAADEATSFKQDCAELKGKAEKLATLLRQAARSSSELYERPTRRIIIDTEQALDRALALVLKCRGNGLVKRVFAIIPTAAVRKMSSQLENSIGDVSWLLRVSTPAEDRGDEYLGLPPIAANEPILCLIWEQIAILITGSLDDRSDAAASLVSLARDNDRYGKLIIEEGGVGPLLKLVKEGKLEGQENAARALGLLGRDPESVKDMIHAGVCSVFAKILKEGPMKVQAVVAWAVSELAAHHPDCQDLFAQNNIIRLLVSHLAFETVQEHSKYAIVSKATSIHQVVLASNNNSYAANNVAHKQVEDDEKSRLPHPLGDRQPYQMHSVVTTTMAMKDQLKQHQQKPSDGANQTNLAKSNGNGTVKQNHVNHHHHQSSVSLPGVNNKGRELEDPDTKAYMKAMAARALWQLAKRNSPICRSITESRALLCFAILLEKGSEEVQYNSAMALTEITAVAELDAELRRAAFKPNSPACKAVIDQLLIIIEKADSDLLIPCIKSIGNLARTFRATETRMISPLVKLLDESEADISREACIALRKFACTDNYLHLDHSKAIISAGGVKHLIQLVYFGEHVQIYALELLSYIALHVPDHEELAQAEVLTVLEWASKQAYLNQDDYIERVLQEAKGRLELYQSRGSRGFH >CDP02496 pep chromosome:AUK_PRJEB4211_v1:7:4308115:4315488:-1 gene:GSCOC_T00039893001 transcript:CDP02496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67030) UniProtKB/Swiss-Prot;Acc:Q9FGC7] MSSIVYNSMNPSTAILSKSQQFPLLVAKDSSFEFFQFLHQHRCNYFRNQDENRRFNKIKRVKTGLQSVVAKVDDENNSSKATQKKNLRILVAGAGIGGLVFALAAKKKGFEVVVFEKDMSAIRGEGQYRGPIQIQSNALAALEAIDMDVAEEIMNAGCITGDRINGLVDGISGKWYIKFDTFTPAAERGLPVTRVISRMTLQQILVHAVGEDVIMNESNVVNFEDDGNKVTVILENGQRYVGDLLVGADGIWSKVRRNLFGHTEPIYSDYTCYTGIADFVPVDIDTVGYRVFLGHKQYFVSSDVGGGKMQWYAFHKEPPGGVDSSNGKKERLLKIFDGWCDKVMELLLATDEDAILRRDIYDRTPSFSWGRGCMAIEDSYQLALELDKAWEQSIKSGSPMDVVSALKSYESARKLRVAIIHGLARLAAIMASTYKPYLGVGLGPLSFLTKFRIPHPGRVGGRIFIDIGMPLMLSWVLGGNGSKLEGRPLHCRLTDKASDQLQKWFQDDDSLERALNGEWFLFPIGQANPDPVAIFLGRDEKNICTIGSASHPDILGASIIINSPQVSKLHAQISYKDGLFFLTDLQSEHGTWITDNDGRRYRLPPNSPARFHPYDIIEFGSDKAAFRVKVTNQPPFSGKKRETKVLSAV >CDP02798 pep chromosome:AUK_PRJEB4211_v1:7:6607711:6611324:-1 gene:GSCOC_T00040296001 transcript:CDP02798 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVQACQLSLPNPSFSFLAQYSPPPHITSFLFDSNSNSLALHHSDTSLSLYPSLSPLSLSSFPSPSTLVPSPTSSAAFLHLLSNPNPRSIFITSSPFLGGTAVLFRFFIFHPTRKAFVKLKVRSNHRDLRFDDNKYGVVFAVSHGVSVKLAGGSNFFTMYSVSNSKIWVFAVKLEADFEEVKLMKCAVIDCVLPVFSMSVSSGHLILGEENGVRFFPLRPLVKGRVNREGRVSSSIIRRNLNGGMDNKDAGKLEVSKANKLQNGLVQGINGIDHAVDSSNSGRKNMGPEGAVSVVSNGQFEGKVDKQSESVKPRTMTLRQDTRDWGVCFIAFNGKQIESFRHVKMPVKSPKAISIHVLSLTKFLILDSDGDLHLMCLSNSVHGSECPFHTRQLTQTARVHKLAVLPGSQIIWISDGQYTVQMIVLTDEETSMSGTLGKESTQAIFVSEKIQEIIPLSANAILVLGQGSMFAYAIS >CDP02155 pep chromosome:AUK_PRJEB4211_v1:7:1764832:1766352:-1 gene:GSCOC_T00039459001 transcript:CDP02155 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 40 [Source:Projected from Arabidopsis thaliana (AT5G40140) UniProtKB/Swiss-Prot;Acc:Q9FL17] MGSGAKQKQLPKWKIHLEKSPKQKIQPPPEFVCPISGSLMVDPVIVSSGHSFERNCIDACKSLNFRPTLPDGSVPDFSTLIPNLALKSAILSWCHSTLFTLPPNPLDFCSAHNLVRTLLLASRSQQKPNHQLSVFSSQETELTRTPSQVSTSSEESVTAAAVISGPTTPLPLTTRPSCCCSSSSSSDIESSNHPSSLEEAEFVTKLRSSQVFEQEEALLSLRKLTRTQEESRVNLCTARLLSALRPLITSKHASVQVNSVAIVVNLSLENRNKVKIVRSGIVPPVIGVLRGGFPESQDHAAGALFSLALDDQNKTAIGVLGGLPPLLHALRSDSERTRHDSALALYHLSLVQSNRVKMVKMGSVQVLLGMARSGHMTGRILLVLCNLAASAEGRGAMLDGGAVGYFIEMLERENFESDSTRESCVGALYGLSHGGLRFKGLGKEANAEEVLKKLEEMGSERSKEKVRRILEVLKQKDEEEEAVDWEELLKSDEEDASSGRGRASIR >CDP02474 pep chromosome:AUK_PRJEB4211_v1:7:4071208:4076211:1 gene:GSCOC_T00039860001 transcript:CDP02474 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPRCYLDISIGGELEGRIVVELYSDVVPKTAENFRALCTGEKGIGPHTCVPLHFKGSCFHRVIRGFMIQGGDISAGNGTGGESIYGLKFEDENFKLKHERKGMLSMANSGPDTNGSQFFITTTQTSHLDGKHVVFGRVIKGLGVIRSIEHVTTTEAYYPTLDVMIADCGEIPEGADDGTSNFFKDGDCYPDWPADLSMKPDDISWWMTAVDSVKAFGNERFKKQDFKMAHRKYCKALRYLNTCWEMDDVDEEKSDSLKKTKSQIFTNCSACKLRLGDLKGALLDADFAIRDADDNVKAFYRQGQAYMALNDIDAAVESFKKALDLEPNDGGIKKELAAARKKASEFES >CDP17141 pep chromosome:AUK_PRJEB4211_v1:7:27375694:27379356:-1 gene:GSCOC_T00011165001 transcript:CDP17141 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLVSFIKVLGEKAISIASEEFCHLVGLKKGMQLLKESLSMIQAVLHDAERRQVGEEAVKLWLEKLENVAFDADDVLDEFNYDVLRQKVQMQNQMKHKVCFFFSILSPVPCKHVKLAKKIHDMNVKLKSINEDAKNFGFLSQIGANASASLPFPSRFIMLNRETDSTNSCSTFIGRDNDIANILEKLTAKSDEIVSVIPIVGMGGIGKTALAKRIFNHHKTQNQFDEKIWVCVSENFNVNRLFGLVLESLGQKTGKESREARTRKLQEKLEGKTYVMVLDDVWNENLGMWDDFMGSLKGINTTKGNFILVTTRKHQVASIMATSSSHCSLGELSDDECWLIFKEKAIGRHEVLEESRAIGLEIAQICQGLPLVACTVGGMLRNKRREEWISALKRGLQGLTENENNVMHILKLSFDNLPCPALKRCFAYSSIFSKDSVMERDQLIQLWMAEGFLQTHSRSMDMEEIGNMFFNILLQNSLFQDVEWDEYDRILSCKMHDLVHDLAQSMQNSQRDEDDIHPIRHLALENCGEETPSILNKRFRYIRSLFSVYDISEDVSYFISLRVLNLSSTDLTLLPKSISKLFRLRYLDLSDTPIEGLPNSICKLYNLQTLRARDCYGLREFPMKFKNLISLRHFDYFTDDSCSSRMPFELGKLACLRKLPFFNVGEIKGWKIEELRNLKNLTGRLEIRNLELVNCKKEAECANLLEKSNIHELTFAWCEDQIDTNRAIGVLEGLKPHPNLKGLILKNFMGNQLASWISDLHNLVKLEVRNCRRCKEIPALGHLPFLQYLELAGLDNITCIGPSFYGFDNSDASSSNHSSGQAVTRLFPALKYLILSDIENLKHWMELSVEIIPTDRVVVFPILEVLDIHRCPQLTTVPTQFPSLKNLAISYINHGLPVVTKICSKVITLTHLLLHGVQGLTCLPDWLLLNNANLTKLTLCDCLDLKHLVSDSQDCSIDHQTHNLNGIGSLETLYIFRCSQLKSVQIPSRLLGSLQNFVISECHGLINLSSEIMKSSASLDHFEVSNCSNLNSFPGDLELTPSLSYLWLSHCVQLTNMPNGICCLTSLRHLTIGAFSDTMEFSSFRDAFSGFKHLSSSLLRLHLYGQPHWESLPDQLQHLSALEELKLYDFGVKFLPDWFGKLLSLEKLHLRACSILQHFPPQIEMRRLTKLSELYIIDCPLLTQRCYPRSDSNPEWCKISHISGILIPGFRTDGKYS >CDP02188 pep chromosome:AUK_PRJEB4211_v1:7:1992690:1994882:1 gene:GSCOC_T00039506001 transcript:CDP02188 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTHWGLSGPVILRLSAWGARDLSISNYKGILEVDFAPDLHAEDVKSVLNQHKNRFPKQKLLSSWPSEFGLAKRFWKYIIDRKGLSGDILWSSISRGSLTSVAFLLKHCTFNVIGKGHFKDEFVTAGGVPLSEIYLNTMESRIQSNLFFAGEVLNVDGVTGGFNFQNAWSGGYIAGTSVGTLANGSNPRYREEDVA >CDP01665 pep chromosome:AUK_PRJEB4211_v1:7:9714207:9715383:1 gene:GSCOC_T00036795001 transcript:CDP01665 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNSDPKKERERERERERGKREGRDINYSKCEIVIHLHPELSDSDKSSSNRSGPKSSNTTRSSPLTSCFLLPLRLDILLILFTFLLMPNLGLFRENNAQLVLPSINFWYCCDVTAGPSY >CDP04569 pep chromosome:AUK_PRJEB4211_v1:7:18969520:18970686:-1 gene:GSCOC_T00018527001 transcript:CDP04569 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIDNGLRSIPINLPGTALNRAIKAARHIQKEIEETIRQRRIDLSGHDSSSVTDFMWHLILATDENGQSFFDKDIASNLASLLLGSYSTMQSTITSIMKYLAEFPDVYAAVHKGQNEIVDAKEPHDKLTWEDIRKMKYSWAVACEVLRISPGSGSFRETNTDFNYEGYLIPKGSKVNSFFHCTVDTHPNYHP >CDP02452 pep chromosome:AUK_PRJEB4211_v1:7:3924222:3926324:-1 gene:GSCOC_T00039833001 transcript:CDP02452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g50420 [Source:Projected from Arabidopsis thaliana (AT3G50420) UniProtKB/Swiss-Prot;Acc:Q9SCT2] MRSPNEAASIATLLIQKCSSTTSIRRARQLHALLLTSATAHLRCSYAFNNIVSMYARCGSLADSQLVFDNIPHRNIVSYNALVSSYSRTTRHASLAFRLFDQLLNENLRPNGSTFTSLLQASSTLKNVMLGSFLHAQCIKFGFMDNVRVQTSLLGLYSSCGVLELTQKVFHFMVDKDAMAWNTVIFGYLENGKMVEGLGIFSTMIRDGARPDQFTHSMVLNACGRLGDYDTGKRAHAHSLILGTCLDLPLHNALLDMYCSCGDTETAIRVFRRISNPDLVSWNTIIAGYSENGDGAKAMDMFVQLVHGFTRKPDEYTYAAVISATGAFPACNYGKPLHAQVQKAGLERSVYVGSTLISMYFSNAESESAQKIFSSVLEKDVVLWTDMVAGYSRIGDGETAVKFFHGMSQEGHEIDSYALSSGVSACADLATLRQGQMVHNLVVKKGYDTEMTVCGSLIDMYAKVGDVQAAEAIFSEVIMPDLKCWNSLLGGFSHHGKAEDAFQVFDCILKQGIKPDNVTFISVLSACSHCGLVERGKFYWNYMKVKGIKPGPKHYSCMITLFSRAGLLEEAEKLIIESPFANDYMVLWRTLLDSCVMNKNLKIGTHAAERVLSMDAEDAATNVLLAKLYAADGRWGGVAEMRRKIKIQMLEKDPGLSWTENLNDIHVFSSGDQSHPLNDEMRVEIQRLLKHSMYTEKSEI >CDP02070 pep chromosome:AUK_PRJEB4211_v1:7:1181687:1188171:-1 gene:GSCOC_T00039351001 transcript:CDP02070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pescadillo homolog [Source:Projected from Arabidopsis thaliana (AT5G14520) UniProtKB/Swiss-Prot;Acc:Q9LYK7] MPKHYRPPGKKKEGNAAKYVTRSQAVKYLQVSLSVFRKLCILKGVFPRDPKKKVKGSHHTYYHMKDILFLKHEPLLEKLREMRAYEKKVKKAQSKKNRDLAERLLSRKPSYTLDRLIGERYPKFIDALRDLDDCLSMVHLFAALPAIQRVERENIQVDRIHNCRRLSHEWQAYISRTHKLRETFISVKGIYYQAEVEGQKVTWLTPHALQQVLPDDVDFNVMLTFLEFYETLLAFVNFKLYHSINVKYPPILDSRLEALAADLYALSRYFDANSKASKLDSEDIGLSGSQQVEEKHEETKLEESELRLAQLQHQLPSNEPGALMHLIGDAEGNEEEDTNTRECRSLFKNMKFFLSREVPRESLLFIIPAFGGLVSWEGEGAPFNESDQSITHQIVDRPTQKHTFLSREYLQPQWVYDCVNARIVLPTDDYLVGRIPPPHLSPFVDNEAEGYVPEYAETIKRLQAAARKEVLPMPGVGTEDLADPQTLLVEGIIDRAEAIEAAEKKKKMSVLQKQYHDELKLELQGTQYPSIVDTVKQNDAVEESFSNLLQITEDADNMSKVVMPRKKRKLYEAMQIGKQRKRAHVHLLKERKEKIDAAKKS >CDP02292 pep chromosome:AUK_PRJEB4211_v1:7:2747741:2748423:-1 gene:GSCOC_T00039647001 transcript:CDP02292 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTYYSRYQVAEKLSLAEQYKLEKERLQKLEEEEELRRLRKELIPKAQPLPYFDRPFIPRRSTKHPTIPREPKFHLPQHKKIKSCMSWSDLYAELQ >CDP01518 pep chromosome:AUK_PRJEB4211_v1:7:11199436:11204687:1 gene:GSCOC_T00036600001 transcript:CDP01518 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHSPSHEGHHDNHHLPTTTPPPPSPPSSLKTKRCKFSTTISNWKGVKFIPFIISVSIGIIFNFAVPKPHQLSRKSWQLLSIFLTTISGLILSPLPVGAWAMFCLTLTVITKTLTFMQAFSAFTNEVIWLIVISFFFSKGFVKTGLGERVAMMFVKWLGKNTLGLSYGLVLSEAAISPAMPSTTARAGGIFLPIIKSLAEASDSFPKDESARKLGAYLIQSQLQSSSSSSALFLTAAAQNMLCFKLAEALVVEISNGWVTWLKGSCVPAIVNLLVTPVLVYKMFPPKIKRTPDAAVVAKQKLAQMGPLKREEWFMIATMLITVALWISGTALNISSVIAALLGLSLLLLLGVLDWNDCLSEKSAWDTLAWFGVLVGMAGQLTALGVIQWVSGRVAHFLKSQSIGWFGSFFILQLAYFFVHYLFASQTAHVAALYSAFLEMHLASKVPGQLAAMALAYNTNLFGALTHYSSGQSAVYYGAGYVRLNDVFKLGIVMALINLITWGLIGLGWWKALHFY >CDP01976 pep chromosome:AUK_PRJEB4211_v1:7:7295603:7298152:1 gene:GSCOC_T00037175001 transcript:CDP01976 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAVVSVFLEKLLNAFQEESQYLSKFREQFGRLKNELLLMESFLKDADRLKRKNQILRRIMMCLRDLIYEAEDILIDCQAISVRANGASKFASCFRPSKLPLQYRTGKRLCEINEKIRDIKQNISSYLGVPLLSETRSMETHDHLMSRWSSPVYDHSQVVGLKDDTEKMKNWILQSNDGIVSIGIVGMGGVGKTTIAQEVFNDREIEDRFERRIWVSVSQTFTEEQIMRSILRSLGDACVGDNESELLTKIKQYLSGKRYLIVMDDVWSLDNTWWLRIYGGLPQGNGNCVIITTRIEKVARKMGVRESRTHWPKCLNEDYSWLLFRKIAFAATGGECRHSELEDIGKEIVEKCKGLPLAIKAVGGVMLCKPPHYHEWRRISDHFREELAENDNSVMASLQLSYDELPPYLKSCFLCFSIFPEDCVVDKDQLVHWWIGESFMPVRNHRLSTEVGEDCFSELTNRCLIEVVDKAYNGRIQTCKIHDMVRDLVIRIAEDDAFSIPSDANCRHLGISSDMSARNLAADQRLRALLTTTKIGEVNKIGSKLAKSFCRCCHLQILDLSKSIFAASLSSLLDEVGTLQHLTCLSLSNTHPLIQLPISLSKLQKLKILDVSYCQNLNMLPSCIVAFEKLVVLDVSNCGSLEYLPKGLGRLSNLEVLLGFKPAMPVECGGCRIAELKSLSRLRRLDLRLTHGDEIGNDEVDALVNLQELQFLTLNFFDCHDDDLFLKINRLLPPRQLHELSFKFYPGKVSPEWLNPKSLPMLRYLSIVSGSLAKLNDSFWGLGNMVWRIEGLKFEALSDLNEEWSMMHQAMPSLKILNVSWCPELESFPIEDAGFRGGVWKKEEQRS >CDP11556 pep chromosome:AUK_PRJEB4211_v1:7:19423655:19427110:1 gene:GSCOC_T00033871001 transcript:CDP11556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 18 [Source:Projected from Arabidopsis thaliana (AT5G23530) UniProtKB/Swiss-Prot;Acc:Q9LT10] MHVLRKKIGPHVRPPYVRSMSASRTLIEQFQQTTLKHNFREANHRADALAKKVIGLVAIQPFFGGEQRTESEISLATIDPLVSIARTDWMWEAFLPPEEGMNRDHEVINVSGPRAVDLSRLDFPATIVFIGGFDSLKDWQKRYYEWLKRSGKEVYLVEYPNMVHAFYVFPELKESEDLIAHVKNFVHKQCSKVVES >CDP01630 pep chromosome:AUK_PRJEB4211_v1:7:10103225:10106413:1 gene:GSCOC_T00036736001 transcript:CDP01630 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCGVECFFLVGCLRWGWKRCTYIGSYDSTTWPIATAEEFEPVPRICRIVLAVYEPDLRNPKYPPPGGYRLNPDWVIKRVTYEQTQGNAPPYIIYLDHDHSEIVLAIRGLNLVKESDYKMLLDNRLGMQMFDGGYVHHGLLMSAIWLLNQESETLKRVWEENGKSYKLVFAGHSLGSGVAALLTVIVVNHRNRLGGIPRSLVSCYAVAPARCMSLNLAVKYADVIHSVVLQDDFLPRTPTPLEDIFRSIFCLPCLMFLICLRDTFIPEGRKLRDPRRLYAPGRMYHIVERKFCRCGRYPPEVRTAIPVEGRFEHIVLSCNATSDHAIVWIEREAEKALDRLKECTETVTTAPQIPKIERLQTLEQEHKDALERAVSLNVPHAVPRIEEGESSGEKSTEPCEDVGKEDRSASKARSKSSGARTNWDDLARMLFQKDESRQLLLKRDAARPI >CDP02680 pep chromosome:AUK_PRJEB4211_v1:7:5800921:5807571:-1 gene:GSCOC_T00040147001 transcript:CDP02680 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEHEMEWKKAQNIVINVDLVAAAKRQLEFLAAVDRNRWLYEGPALDMAIHRYNAYWLPLLAKHSVSPFFEGPLVVPLDCEWIWHCHRLNPVRYKSDCDELYGSVLDNHNVVSTVGGASKTKTEEIWKNLYLDVPFELNSAKALSDTFSDEISGLENCTKYDLLAAVKRQSPFFYQVARPHMSNEFYLEGAVARYKGFLHLIRRNRERSIKSFSVPTYDIDLMWHTHQLHPASYCKDLVEIMGKVLEHDDTDSDRTKGKKLDVGFSGTTEKWEEMYGVRYWRAGAMHKGNAPLPLRTTPYPSLDLKKDYPSKENQKIMHLSELKAVEVMLEFVDVKNLSEGRKGGLFVSFSKTQPDTIFNAKRSLTILSQSGEKQVACFQCQPTGHLFFELMCHSASNLPISKPAKTLGSCSISLEDSLSPLSNLTMEKWLELLPSSNYLSSKPIYLRVALSTTVPTNAPHIFHLIRSLPFSKNSCFFPLPGRVHLAKSWTRIIDDIGKEVISLQMRDFKKSKEKNDSTLRKEVIGIIKSGGTNRVAEFSGTEWLLIDSNWSVKLPGTVNEDGYLLELIGDRRVKLFPGRKLEYEPKHCEKQRNDRDFLTAVEFSAEDPYGKALALFDFKSGTVKINEEWLLFPGLVLAFILGEILRKEGYISINSSGKNLEENKFLDKEASKCPLDVSKTIPTTVQEDGKLDVEVSQGNAITMGKGGTSGGGCSGGRGSEVRSGACGGCGANCGNVLKSGGCGGCGGGCGANCGNVLKSGGCGGGCGGGCGGGCGGGCGNMIKSGGCGGGCGGGCGNKISGAPYVNASGCGGGCGGNCGNKIAGAPGVQAGACGGCGSRSGNELVDTHTHI >CDP16734 pep chromosome:AUK_PRJEB4211_v1:7:794313:800930:1 gene:GSCOC_T00019213001 transcript:CDP16734 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMHSVCGVKTPFQASPWRCSRFQPLLQSQQRFESFVSLLSLPGGFSSLKVSYQERDLRVRCQGGNLHILERGQTETHDFEESANELTCVMKFGGSSVASAERMREVADLICSFPEERPIIVLSAMGKTTNNLLQAGEKAVSCGVSNVNELEELDIIKELHLRTVCELGLESSIISKHLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAAYLNRIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLHDDWISDPAIPIVTGFLGKGWRTCAVTTFGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYSRAEPVPFLTFEEAAELAYFGAQVLHPQSMRPAREADIPVRVKNSYNPKAPGTLITRARDMSEAVLTSIVVKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKVAVVNLLQRRSIISLIGNVQRSSLILEKAFHVLRTNGVNVQMISQGASKVNISLIVNDSEAEQCVRALHSAFFESNLSDLYCGSRSGNGSVPTESNGY >CDP02547 pep chromosome:AUK_PRJEB4211_v1:7:4753221:4755232:-1 gene:GSCOC_T00039962001 transcript:CDP02547 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRAIEHSLRKHQTRRKIPKIASVVALTILLSVISIYSPSTQFHTKRASKLPSEFDSAEEPPCNHRETEVEDQKVTKADEEEPPRLKGEDEVEVTKSAEIEARGSQEEEYCDLFSGEWVPNPEAPYYTNSCYGIQEHQNCMKYGRPDIEFLKWRWKPDGCELPIFDPRQFLQLVRGKSIAFVGDSVARNHLQSLMCLLSSVLYPREISNSTDENRHWEYQGYNFRMSIFWAPYLVRSVERTGPKDRTRSFSLYLDEFDENWTTKIEEYDYFIISAGHWFFRPTMFYEKGNLVGCQYCPQENVTRLTAYFSYQRALRTAFRAINSLQNFKSVIFLRTFAPSHFENGTWDSGGNCPRTRPYKRNEAVLEGYNLELYKIELEELRIAQEEGRRKPGLRFRLFDATKAMLLRPDGHPSVYGHLAGQNLELPRDCVHWCLPGPIDSWNDFLLELLRRELPN >CDP04659 pep chromosome:AUK_PRJEB4211_v1:7:16603670:16606931:1 gene:GSCOC_T00018698001 transcript:CDP04659 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 5 [Source:Projected from Arabidopsis thaliana (AT2G13610) UniProtKB/Swiss-Prot;Acc:Q9SIT6] MKKQGCEIQALGINYTITKPKVENPFKIFSREPKQRHQTAAEELDELPKVGNPPEVRHVLKDINCEAKPWEILAIVGPSGAGKSSLLEILAGKLTPQDASIFVNQKPFDKSRFKKISGYVTQKDTLFPLLTVQETLMFTAKLRLRLPEAELKSRVKSLMEELGLSHVADARVGDDRVRGISGGEKRRVSIGVEVIHDPSVLLLDEPTSGLDSTSALQIIDMLKKMAETRGRTIILSIHQPGFRIVKLFNSILLLANGTVLHHGTVDKLSLRLRVMGLELPLHVNVVEFAIDSIEVIQEHQALQQEMQSRSFPMSFLGADAPREDSKGFSFEDNSDNESCSSHYNKILDWTRPSNCFSNILLCSSAKGGLNPYLVVPRFPLVTATNIIQASETQPQMGDLGGEYCLLQGCQMDQIICNKQFDIFCK >CDP01745 pep chromosome:AUK_PRJEB4211_v1:7:9082526:9086763:1 gene:GSCOC_T00036895001 transcript:CDP01745 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLFMVASVPVMKVLLICGLGSFLALDHIDILGESARKQINNVVFFVFNPALVSSNLAQTITLENIVSLWFMPVNILLTFILGLFFGWVLGKITKAPQHLKGLVIGSCAAGNLGNLPLILVPAICREKGSPFGAPDSCHMYGMAYVSLSMAIGAIYLWSIVYNIVRVSSTKSYEVINVKNKSSDEETSKSLLEQLIDELDLEGTSAMDDANVSLLSCAKTDEQGKVFIMDKIKKQIYSFFRNINLTAILAPSTTAAIVGFIVGLVPPIQRLMIGASAPLHVVQDSALLLGEAAIPIVTLIVGANLLRGLRGSGVQLTVILGIIAIRYVFLPLIGVLVIRGAIHLGFVHADPLYQFVLLLQYALPPAMNIGTITQLFGSGQSECSVILLWTYSVASVSLTLWSTYFMWLVAKS >CDP01570 pep chromosome:AUK_PRJEB4211_v1:7:10729373:10730739:-1 gene:GSCOC_T00036662001 transcript:CDP01570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SKIP34 [Source:Projected from Arabidopsis thaliana (AT5G65495) UniProtKB/Swiss-Prot;Acc:Q8GWU7] MCYGGERLPSKEDFDVDGPTRPTAAAARLAAVNENEAVVENLRDRLAETEARLERARAREAALSRQLEEMKRFVSVMEILETYLKRRYREQQDQLCRLFSPVSAKSL >CDP02078 pep chromosome:AUK_PRJEB4211_v1:7:1245213:1249289:-1 gene:GSCOC_T00039360001 transcript:CDP02078 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSQFMDKQIMDLSNSQSLNSHNDFIDLINPREDHRIGNSDKKEEILPSYDFQPLRPIGTEIDSGNLDAPPRAWNSADNKTHTGGIRTYSSFDSFEPGRVILEKDQNVVDPKLVSEIDRTMKKYADNLMHGLDSVSARLTQLESRTRHLENSLDELKVSVGTNHGSSDGRMRQLENILREVQNGVQFIRDKQEILDAQLHLAKLEVSKAEQHVDTQGTSHLDSSQPALSVSQQSHQHLPPVAQTPSVVPPNAPPPALQQNLPPQVQLPSQFSHNQLPSVPQQEPYFPPPPPPPPAGQTSENLGQQYQLPPPQQLQASSPPQLQQQYQALPQLQYSQPPAPPQPHNSLQAANPPQHQPALAHHPEEPPYVPSQSYSPANRQTPSLPASAAPPHQQFYGAPPHMYEPPSSRPGPGFSGTYGPASGSGEQYLYGGSSSQYGSGSPAKSQQLSSPVIGHGGGSGYPQLPTARILPQAIPTASGVSGGSGSSGSGNRVPVDDVVDKVTNMGFPRDQVRATVRKLTENGQSVDLNVVLDKLMNDGEVQPPRGWFGR >CDP02465 pep chromosome:AUK_PRJEB4211_v1:7:4004632:4008454:1 gene:GSCOC_T00039850001 transcript:CDP02465 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLTEGAALGSVCNLLVAAVLEVTQKVAAFKFSLDRLKTTLNSIKPIFDDIERLNEILNRPEDETESFLTQLRKAEKLVRKCLEIKSWNFYKKYTYSRKLNALDQSLLRFFKLDAQLHMFRDSRRIMVGMRGMDDKVDEILSFLSHRFPGWCDVPGFPEFVVGLDEPLEELKLMLLKDGVSVLVLSAPGGCGKTTLAKMLCHDAQIRDRFRDNIFFVNVARTPNLMLIVQKIFRSKNKHQVPEFQSDEDAINQFESLLKRLKPHPSLLVLDDVWRGSEFLIERFRISQPGFKVLVTSRSVFRSFETTFRLKLLNDENAKTLFCHSAFKDGIPDVQNDLVEKVVKGCGGFPLALKVIGQSLRGEPEAKWIHRTQKWSEGVSVFNPHCDVLNCLKSSLDALTEIPELPDLKECYLDLGSFPEDQRIPATALLDVWVELYNLDEEEVHTLVHLLELSDRNLINLVLQSEDGHFAMQHDLLRDLVVYQNALDPIEQRTRMIVEINENSFPDWWTKGDQPSLHPRLLSITTDELFASKWHDLRQPEAEVLVLNFQTRIYALPHFMERMSNLKVLIVTNYGFHQAELKDFDLIGHVLNLKRIRLERVSIPCIGISMLQLKNLRKISLVMCDIEKAFENCSFRAPSIWPNLVEMNIDYCSDLIAFPVGLCNLASLEKLSITYCQELTALPQEIGNLTNLKALRLYSCTKLPALPGSIGGLKKLKYLDLSDCLELAHLPDEIGKLEALGTIHMKGCGELRGLPPSVRDLGQLEKVICDEEISYFWRSHAERLKKMNITVIRREANLNWLHRFDP >CDP02282 pep chromosome:AUK_PRJEB4211_v1:7:2688044:2689415:1 gene:GSCOC_T00039634001 transcript:CDP02282 gene_biotype:protein_coding transcript_biotype:protein_coding MYADVCIYSRYSLTRRKNKRCSRCDKQQRRRQESLDIGKLPYAGSRQAIKSLSLSLSLSLSLFYLSGSYDKTIKSLSLSLSLSLSLSHALIDD >CDP01936 pep chromosome:AUK_PRJEB4211_v1:7:7581964:7586407:-1 gene:GSCOC_T00037122001 transcript:CDP01936 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEAGSGSRGDHSPLDNSKKNCHQNETDKEDAAPASSSSSPSSEDKISVNKGKSCKGCLYYSSTFKSNSRNPLCVGISRSLPHVPRYMVSQSEMEASKEGRSLTDFRYACVGYSVYPDRKDHPRDVKEGETELPVCVGIEVLVDRRVTNAGTTPAHVHNKEDGAGIPQPRPRKPAQPAGDEFLTRQALLIHS >CDP02710 pep chromosome:AUK_PRJEB4211_v1:7:5987439:6000919:1 gene:GSCOC_T00040178001 transcript:CDP02710 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSMERLGSEEAASLMKEELCMEIDPPFKENLATAEDWRKALNKVVPAVVVLRTNACRAFDTESAGASYATGFIVDKRRGIILTNRHVVKPGPVVAEAMFVNREEIPVHPIYRDPVHDFGFFQYDPGAIQFLSYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFFPLERVVRALKFLQKGRDSFSEKWEAVSIPRGTLQVTFSHKGFDETRRLGLQSETEQLVRSASPPGETGMLVVDSVVPGGPGHNFLEPGDVLVRMNGEVITQFLKMETLFDDSVNEKVELQIERGGKSVVVNLVVQDLHSITPDYFLEVSGAVIHPLSYQQARNFRFHCGIVYVAEPGYMLFRAGVPRHAIIKKFAGEDISRLEDFITILSKLSRGARVPLEYITYGDRHRRKSVLVTIDRHEWYAPPQLYKRDDSSGLWIAKSALHSDAPISSSVSNRVQEIEDHTLSPSTGEICPMEQIHQSVGLESTDGITSMETSYEQVAEERHSQDESDAGTKKRRVEEERSADGNVIVDYSLHEPSEERLEDSGNVDNAVSRGYRGSTAVAANASVAERVIEPTLVMFEVHVPSACMIDGVHSQHFFGTGVIIYHSETMGLVAVDKNTVAVSVSDVMLSFAAFPMEIPGEVVFLHPVHNFALVAYDPSALGAVGISMVRAAELHPEPALRRGDPVYLVGLSRSLQATSRKSFVTNPCAALNIGSADCPRYRATNMEVIELDTDFGSTFSGVLTDELGRVQAVWGSFSTQLKYGCNSSEDHQFVRGLPIYTISQVLDKIISGGDGPRLLINGVKRPMPLVRILEVELYPTLLSKARSFGLSDAWIQALVKKDPIRRQVLRVKGCLAGSRAESLLEQGDMVLAINKVPVTCFHDIEDACQALDQCDNSDGRLSMTIFRQGHELELLVGTDVRDGNGTARVINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKRTPDLDAFVDATKELEHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPENAIWRRKTIKSLDCCTTCVNGGQIG >CDP12916 pep chromosome:AUK_PRJEB4211_v1:7:13026366:13030981:-1 gene:GSCOC_T00037609001 transcript:CDP12916 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFGDEFVIESQSVPWLVWAQLLVTILLVVILFFGFSIFALDRFNNPSCSSASSSSQSHGKDALLNKSSSSHGSKVEESQSIQRETEASAYKATIGEEIDERDEASVKDIALLRLLGQGNHPCHYMNLAKQAILKCFGLGYTSERSRSCKHRKED >CDP01917 pep chromosome:AUK_PRJEB4211_v1:7:7723427:7726224:1 gene:GSCOC_T00037098001 transcript:CDP01917 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESSLSGSQRPGDEITTVSERSEIVDPVIEQLKSLKIATPILTSTPAETSLTDILVRKASSSSNSSTVNPNVLLELFSMYRDWQERKAQTISKRQEEIENKIEVADALAVKLLQRFNYSVSAMKTTSHHLSEVHALQVELGELKGRLTEVISNCDALCQRITAEGPESLRSSIKPFTASPMDMGTADVLNSNKN >CDP04664 pep chromosome:AUK_PRJEB4211_v1:7:16441025:16443513:-1 gene:GSCOC_T00018707001 transcript:CDP04664 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAYAMNGGNGPQSYAQNSSYQRGAVDVAKELIKEEIDKELDVKQLSSTSVHPFRIADFGCSTGPNTFVAMKAIREALEEKLRKEGLASEVPEFQVFFNDHISNDFNTLFASLPPERHYLAAGVPGDFHKVLLPKASLHFAHSSCTLNWLSDVPNEVTDNTSPAWNKGKIHHGGAKKELLEVYASQFAKDLESFLNARAHELVDGGLMALVIPTVPDAIRESQTTIVPEKEFEILGSCLMDLAKKGLVDELKVDMFNLPLYFPSLNEIKTLMKANEHLNVQRMEILSIPGKHEFSSNPCGIALHLRAVLEGLLEKQFGSDIMDELFELFTQKLAESSSLFNPENQDVVVLLKRKMRT >CDP02172 pep chromosome:AUK_PRJEB4211_v1:7:1846307:1847410:-1 gene:GSCOC_T00039483001 transcript:CDP02172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G28340) UniProtKB/TrEMBL;Acc:W8QNM3] MVRCRFISSFVLVSLLLLPTLASRSFPTKLDANPSPGYREAPVYRNGGQCQISAPRDSGEMGVCRPFLVHVAMTLDSVYFRGSVAAVNSILRHASCPENIFFHFVADPASSAAGSSPTPDEFATILRSIFPSLAFKVYPFNSSDRVKNLISSSIRQALDNPLNYARSYLAEIIDPCVSRVIYLDSDIIVVDDIQKLWSTALTGSRVIGAPQYCHANFTKYFTNQFWVRPDFSKTFRGKKPCYFNTGVMIMDLDKWRRGDFTGKIEKWMEIQRETRIYELGSLPPFLLVFGGEVHAIDDRWNQHGLGGDNVVNSCRSLHPGPVSLLHWSGKGKPWVRLDERRPCPVDHLWEPHDLYRQRGHNGRRARW >CDP02277 pep chromosome:AUK_PRJEB4211_v1:7:2658706:2661274:1 gene:GSCOC_T00039629001 transcript:CDP02277 gene_biotype:protein_coding transcript_biotype:protein_coding MTACRSLAGLRMPLSRLESNASSFCFSSRLSLPSSSPLHFFSSCSLNPPGFGNQSYRGRRRFYLSSCVDGVGAVQLQTSASTVAAAPVRQNVVLEIPQDSSKDTVEQLLSESKSHQHHDVSRLMKMERRLEATQSSFCEGGTDRWFPYLDNFKADNGVCLSSAQVLEALDPYIMVSRKERFRNVVNNRSYSVCLVVEGLADFGNVSAAFRSADALGFQSVHVVSCDGSKRYRDNRHVSMGAEKWLDIELWDSTKECFKVLKSRGYRIATTHVGIDTVSVYDMDWTYPTAIVVGNENKGISDEALELSDLRCSIPMNGMVDSFNVSVAAGIVMHLAICNRNSRMGCHGDLTSQESQILLAEFFLRHSKSAISIANEYSKRKLDQPISKL >CDP01391 pep chromosome:AUK_PRJEB4211_v1:7:12729143:12730449:-1 gene:GSCOC_T00036421001 transcript:CDP01391 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKTVGRKLLRSSKWQCVNTTNVKRRCFSAGIAAEASVSRPELPAFDYEPKPYNGPLADEVFQKRKKYLGPSLFHYYQKPLNIVEGKMQYLFDENGKRYLDAFAGIVTVSCGHCHPEVLNAIIEQSKLLQHATTIYLHHAIADFAEALASKMPGNLKASILMESVWNVAAVKVICLLSFDLSVKRKRPSMSLFCQQFLSCLVQYLYLFSTY >CDP01432 pep chromosome:AUK_PRJEB4211_v1:7:12210623:12218204:-1 gene:GSCOC_T00036478001 transcript:CDP01432 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTNSKEGRSEALRLCKERTRFIKRAIDSRYALAAAHVAYVESLRNIGNALRRYAEAEALIESSIPTSATELEKTPSHSSYLPLSPPNARISYMMSGGTSAVTVRMIPNLPRNMYVGDDEYVTPPPPPPPPPESGSSWDFFDPIDDSESFRFVGDNNLPLDFDDVKMHGVVGEKHVGFNSNNEMDEVFVTPKSKVQSNSKLVLYNGNSSIPQRTQSSPQPVVDTEVKDMNIESKRNGSVGTSVGKTGSEQLISRRDKSAVGKDVCTDREDPSEFITHRAKDFLPSIKDIEHWFSKASESGKGISRMLEANKIQVGYAEAKGKATVAVYLASFKTACCQGKNANVSDDPEQHATKIITWKRTTSSRSSSSRNPLTKDDNDGSSSDFMEEYCMAAGSHSSTLDRLYAWERKLYDEVKASESIQKEYDRKCNQLRHQSAKDLSAQVIDKTRAVVKHLHSRITVALHAVDSISKRIERLRDDELLPQLLELIQGLIWMWKWMLECHYTQYITISLAYHSKTSGGTAQGDAHKDIMLQLLHEVECFGLSFADWINSLTSYVEALNSWLQNCILQPQERYKGRRVFSPRPVLAPPIFVLCRELSAGIKCLPSQELSDAIRAFLSDLRHSIGHQADEPAQKENMPEAGNNGELECNNDEKIDDRSANLNCLQTSLAKVLDSLTKFSEASQKMYEDVKQKSLTAINAYYNYKPPPRSHTI >CDP08434 pep chromosome:AUK_PRJEB4211_v1:7:24408471:24412172:-1 gene:GSCOC_T00027314001 transcript:CDP08434 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGPKIPAELPNPKIWKSKEIKEPSELRSLRLPNSLPAVKIWTWIKDEHNLNGQATSAVPPRLWQPSKMIIDARTADLKEPVPCLALTRMDSCILSALGGKVSLFDLGTFDLGHFEVTELDIPQLAFDPIDNNRVAIGRDDFVLQIYDIRHDKVIRKIIFHQDKVTGIIFSGYLDIMVTAGADAQICVWKRDFTKLLVDKFLEIPPGRRRDPLTQTRLQLHRNQIFLLVVHWTFIAVYKLKRLDCVCQAFIWPEFLKQMCILRSRCLCFRDGSISVFTGAALELRCRINPLAYLPSNPSLELHPYGSGLLLYNLVLHPLVIVAHPSDPSQFAVGLTDGGVYAIEPPESESPDNDDPE >CDP02158 pep chromosome:AUK_PRJEB4211_v1:7:1777631:1780976:-1 gene:GSCOC_T00039463001 transcript:CDP02158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-thioredoxin reductase, catalytic chain [Source:Projected from Arabidopsis thaliana (AT2G04700) UniProtKB/TrEMBL;Acc:A0A178VTW5] MTTALQASTSYGVGFAVSSFPPPSRPSRHRTLVLAQAEPTEKSVEIMRKFSEQYARRSGTYFCMDKGVTSVVIKGLAEHRDTLGAPLCPCRHYDDKVAEAQQGFWNCPCVPMRERKECHCMLFLTAENDFAGNEQAISLEEIRETTANM >CDP02758 pep chromosome:AUK_PRJEB4211_v1:7:6299317:6301135:-1 gene:GSCOC_T00040245001 transcript:CDP02758 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLLAQTNSKAEIPDYLIESPSAASCPSEFLSDAVFAGDKFWDLEAAYGRVDGVVKTAAGFCGGRIRKPSYREVCEGKSGHTEAVKVTYDKRKIAYGFLCDMFWETHDPTNKDLLNFGLGTHYRSAIFHATDQERKEAQQSKIRRQMKLNRRIVTKIIPYSGEFYLAENYHQKYYLQKHCWLCESLSLRSTCNFVESQIACKLNGVLAMEGKFIIDELGRVAESYQLPKQSISACEEIIGSIKRNLDENIHKSTIVS >CDP01904 pep chromosome:AUK_PRJEB4211_v1:7:7861217:7861596:-1 gene:GSCOC_T00037084001 transcript:CDP01904 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQNVNFLVSQIWSVELHFINFTEESKLYHIMKSSSKGVSNGSFMIPEATKNAKSHKGSIH >CDP16638 pep chromosome:AUK_PRJEB4211_v1:7:150535:151044:1 gene:GSCOC_T00019092001 transcript:CDP16638 gene_biotype:protein_coding transcript_biotype:protein_coding MLEALGCITLEVLEKYLKVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGYRINGLEGVEEGNDLYGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWSMPPSLSPDRKVFLLCLD >CDP02349 pep chromosome:AUK_PRJEB4211_v1:7:3217706:3221488:-1 gene:GSCOC_T00039710001 transcript:CDP02349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor BIM3 [Source:Projected from Arabidopsis thaliana (AT5G38860) UniProtKB/Swiss-Prot;Acc:Q9FMB6] MVRTSKSRQEDDDFDDEEFASSTPENSSQKVKVEGKGNDQKRDKASFLLEVIQYIQFLQERIQMYEETYQGWNQEPSKLIPWRSNSGLIENFVDQPLLMRNGSGHEDNIIVSPTLLSNAQTAMDSELNGAPLCTPTDHPQQSGNEENSSNLLVQPNLFHVVSTQPPQGSFPDPEHLATHSPSEYWQGKLTASECAVPSYKTSQREQLHPDSGEASISNVYSQGLLNTLKHALQSSGVDLSQVNISVQLDVGKRTNEGTASTVIREVSSAFFTPLSISLKM >CDP01840 pep chromosome:AUK_PRJEB4211_v1:7:8309899:8316369:1 gene:GSCOC_T00037013001 transcript:CDP01840 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDLTHQLAMVIRHNENLKRQERNGAPAHIISEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDQHLELGYKARFCNILIRVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKIPAPAILKPRPLWTGKQVFNLIIPKQINLQRNAAWHQDDEPGPITPGDTQVRIEKGELLTGTLCKKALGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAQTMEKINETISHAKNDVKELIRKAQEKALEAEPGRTMMESFENKVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDFGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLESLKVKKGEFDSMYKYEIDDPNWNPDYMLPEAVEDLKTIREIRSVFEAEFQKLEADRLQLGTEIATTGDNTWPLPVNIRRLVSNAQKTFKIDFRRPSDMHPMEIVEAVDKLQERLKVVHGDDYLSAEAQKNATLFFNILLRSALASKRVLNDYRLTREAFDWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSIYLKHKASETKEKAKNVQCALEYTTLRSVTQATEVWYDPDPMSTIIPEDVEFVKSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDSDLTCIFNDDNADKLILRIRIMNDEAPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKQAKVNKFTQEEGFKTDSNEFMLDSEGVNLLAVMCHEDVDASRTTSNHLIEVIEILGIEAVRKALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAETDYLQGVTENIMLGQLAPIGTGDCALYLNEEMLKQAIEISLPSYMEGNLEFGMTPGRSPFGGTPYHEGMMSPGYLTSPNARLSPHADAQFSPFVQAMGLTFSPQSSPGYSPSSLGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPSARYSPSLAYSPTSPKLSPSSPYSPSSPSYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGGDPDYSPSSPQYSPSAGYSPTAPGYSPSSTSQYTSRTTERDDKSVKDDRGRR >CDP01999 pep chromosome:AUK_PRJEB4211_v1:7:7126273:7128475:1 gene:GSCOC_T00037202001 transcript:CDP01999 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSKILIIGSTGNIGKYIVEASAKAGHPTFALVRESTVSDPKKAAILESFKNLGVTFLYGDLYNHQLLVDAIKQVDVVISTVAGKLVGDQVKIIAAIKAAGNIKRFLPSEFGSDVDRAHAVEPAASHLRTKAGIRRAIEAEGIPYAFIVSHGFASYFLNNLGDSSSTTPPREKIAIPGDGNPKAIFVKEEDIAAYTIKAADDPRTLNKIVYLRPPANTLSYNEMVSLWEKKIGKTLEKIYIPEAQLLKNIQEAPMPLNLYMSLAHSTFVKGSHTNFEIEASFGVEATELYPDVKYTTTDEYLDQFV >CDP01526 pep chromosome:AUK_PRJEB4211_v1:7:11128927:11130817:1 gene:GSCOC_T00036610001 transcript:CDP01526 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELSSQGLRLLAVAVKRLQMITDSRSMVYDEHIESDMVFLGLITFFDPPKDSAKQALWNLAEKGVKAKVLTGDALSLAIRVCKEVGIRTTHVTTGPELELLDNDSFHENVKRATVLAKLTPTQKLRVVQSLQTGGNHIVGFLGDGVNDSLALDAANVGISVDSGASIAKESSDIILLEKDLNVLVAGVEQGRLTFGNTMKYIRISVIANLGSIVSILIATIFFGFEPLTPKQLLVQSFLYSLGQIVIPWDNIEDDYVKIPQRWSLGGLTMFTLWNGPVCSICDLVALLFLWFYYKKKSSVADNFIHSALFVEGLLMQTLIIHLIRTDKIPFIQEVASWPVLCSTVLISAVGIAIPYTPLGTVLGLVSLPLSYFGFLVVLFLGYFIFGQIVKQAYIMVYEKWL >CDP02497 pep chromosome:AUK_PRJEB4211_v1:7:4318962:4323850:1 gene:GSCOC_T00039894001 transcript:CDP02497 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSGSIGNSGAYTSPGTPEYGDNYGRGIPKGWSSERVPLPTNNSRRHVSAAALMPFNSGRTLPSKWDDAERWITSPVSGYGVCKTSNVQPQRRPKSKSGPLGPPGLMYMPNYSPTAPVLEGGSGSTFMAGSPLTTGVLVPDGLSIHYATGNGVKSNSVFAERAIAHAATAPGLSDFLNECSIPSSQDHELGYLEAERGVSRVISRRDVATQMSPEGSTYSSPKGNSSFSAFPLPIPAAVEQHNYNSAKVEIRDVQVDKGATITRQSKKLGARRMRRKSSDFKELASPWDISGAAKTLSKSRREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSASMDKILNKLRAAQTKAQDMRNSNTDKHAHQSRISTKSFFRKYMKTSPLIECFFCCT >CDP02440 pep chromosome:AUK_PRJEB4211_v1:7:3855205:3862326:1 gene:GSCOC_T00039817001 transcript:CDP02440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large guanine nucleotide-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G23460) UniProtKB/Swiss-Prot;Acc:O80462] MSPEVGNIVVEERLEYAFAMEYHGPPITSELPRAVPINVDRIPVAAVVSQMPLPDKLSLPVVQPILANNLTKKFSKELKFLGSESNVSPTSVIAFESPNGAVIRDESLSKELASGSEITVSPSSVYAFEERRPGNDGCDLSGELSSSLDTHYPCGQYESGELSGMVDRSNGLGSSSISHDHSRELLNGVGSSGPLGFSGSFEKSRELSESSRKMMISRDYKGSLDYNNDLNKPDWASNESVISVDYPSSRVSSLKVADGCNEPGCDVKRAPVVTFCDIESEDEDSNDRFSRAGPEVVRAKKEPAVKVRKGACYRCLKGNRFTEKEVCMVCDAKFCSNCVLRAMGSMPEGRKCLSCIGFQIDESKRVNLGKCSRMLKRLLNDLEIRQIMKAEKLCEVNQLPPEYVCVNGRPLCYEELILLQSCPAPPKKLKPGNYWYDKVSGLWGKEGQKPSQIISPHLNVGGPIKPDASNGNTQVYINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTKGYIWGKAGTKLVCAVLSLPVPSKSSCASGDQVSNIISHTAPDYLEQRALQKFLLIGYSGSGTSTIFKQAKILYKDVPFSEEERENIKLLIQSNVYRYLGILLEGRERFEEENLNDLRVNQPSSEDELIGRTDGGEEQTIYSICRRLKAFSDWLLKVMVSGNLEAVFPASIREYAPLIEEMWSSSAIQAAYKRRSELEMLPSVAGYFLERMVDILRFDYEPTDVDILYAEGVTSSNGVACVDFLFPEPAYEENFNSSDQHESLLRFQLIRVQSRGFGENCKWLEMFEDVRIVIFAVALSDYDQFAVDENRSLVNKMMLSRKFFESIVSHPTFDQMDFLLLLNKYDLFEEKIERISLTKCEWFDDFHPVTSRNRANSSNSSVNHAPSLGQLGFHYIAVKFKRLFSSLTDRKLYVSLVNGLEPNSVDQSLRLAREIVKWDDERPNFSASEYSVYSTEASSFSH >CDP02717 pep chromosome:AUK_PRJEB4211_v1:7:6036512:6044349:1 gene:GSCOC_T00040188001 transcript:CDP02717 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQTALELVKHGATLLLLDVPQYTLIGIDTQALLLELLEYVLQMFSSGPNFKGIKMIPPGVHFVYYSSSNREGNQFSPIIGFFVDAQPSQVIVRKWDKNEERLTKFSEEEEERYGDAVKRMEFDRQLGPYTLSAYGDWKRLSNYITKDTIERIEPIGGEITVACEVDMIGNNPKTAMEKALAEQLKTSKFSEPGENSQRKGCYYTPIPRLIKHRGICAEELTSLNLDKTQLLESLLVQDYGGTEDLLLAELQFAFIAFLMGQSLEAFMQWKLLVSLLLGCTEAPLRTRSQLFTKFIRIVYYQLKYGFQKDHQNTAFAEKGALALLDESWLSADSFLHHLCKDFFSLVLEAQVVDGELLSWTRKLKELLEDSLGWNFQQNSAVDGMYFEGDDEFAPVVEMLDESFNDGAPVS >CDP08436 pep chromosome:AUK_PRJEB4211_v1:7:24356347:24358216:-1 gene:GSCOC_T00027317001 transcript:CDP08436 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGFNDYKETNLPALKDLEEKVVTPPVQPGEFQSKEKLWSKQARIKKEVCVTAEELLAKKQEQSLEVVQKVFDMRGPQVRVLTSLENLNAEEKTRENDVPMPELQHNIKLIVDLAELDIQKMDRDLKTERETSYALPLFIRVFQGWESWNPLQNPTYGREMVSQWKSLLQEDSLNFSDGASPYEQLIMEVVFPAVRISGTNTWQARYPEPMLRFLDSWEKLLPTTIVQTILDTIVMPKLSAAVDYWDPRRETIPIHSWVHPWLPLLGHRLEFCYHTIRDRLESVLHAWHPNDMSTYYVLSPWKTVFDSASWEKLTVRHIIPKLLTVMHEFQIHPANQKLDQFYWVWTWVTAIPIHHMIHIMDIFFNKWQEVLYHWLCSSANFEEVRKWYSGWKDLLPPELLANQHIFHRLNVGLDMMNQAVEGMEVVQPGLRENISYLKVCEQTQFEKAAAQAQERASQLQGSSGLEMSLKEVIEVHAQQNCLLFKPKAGRMQDGYQIYGFGNINVVIDSLNRKVFAQIDDRWSLVSLEQLLELHNHSGVKQH >CDP01522 pep chromosome:AUK_PRJEB4211_v1:7:11157376:11161032:-1 gene:GSCOC_T00036606001 transcript:CDP01522 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLRTTSAIATALSIALLSYLLCKKTRSKASSKKTPKTLSVKKARNGLVAAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGALAQGGIITEGSAGSTAISLATVAPAFGCKCHVVIPDDAAIEKSQILEALGATVERVRPVSITHKDHFVNIARRRALEANQVALKHGKGNQNEYLDVKQINGYTSDSGKQDPIFYSDCKGGFFADQFENLANFRAHYEGTGPEIWDQTGGKLDAFIAAAGTGGTVAGVSCFLKEKNANVRCFLIDPPGSGLFNKVTRGVMYTREEAEGRRLKNPFDTITEGIGINRLTENFKLAELDGAFRGTDLEAVEMSRYLLKKDGLFLGSSSAMNCVGAVRVAQALGPGHTIVTILCDSGMRHLSKFYDVGYLSKYGLMPSAVGLEFLGLK >CDP02532 pep chromosome:AUK_PRJEB4211_v1:7:4624338:4626633:1 gene:GSCOC_T00039938001 transcript:CDP02532 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKELLSWYLITLKLNETVEAGLQKVQNPTTATRSIELSQEVQAQRPLQVQKERHQPLDSLQVAVKDGTSNVEEGPKSPEREWVISIREKLDHANQDDDAVTWSKLCIYRVPQYLREGDDKAYLPQIVSLGPYHHNKKRLRNMDPHKWRAVYHILKRTNQDIKLYLDAIKELEERARACYEGPICLSSNEFVEMMVLDCCFILELFRGAAEGFNQLGYSRNDPIFAMRGSMHSIQRDMIMLENQIPLFVLDRLFGLQMGQPDLKGIVAKLALRFFDPLTPTDEPLTNSDRTKLESSLGHTSAFDPLAELGGLHSLDVFRRSLLRIGPQPTPRIWVKRWSHSNRVADKRRQQLLHCVTELREAGINFKKRKTDRFWDVKFKNGVLKIPRLLIHDGTKSLFLNLIAFEQCHLDSTNYITSYVVFMDNLINSPEDVSVLHYYGIIEHWLGSDAEVADLFNRLCQEVVFDINDSYLSPLSQQVNRYCEHRWNTWRATLSHKYFNNPWAIISFVAAVVLLLLTLAQTFYGIYGYYEPHS >CDP02753 pep chromosome:AUK_PRJEB4211_v1:7:6277810:6279765:1 gene:GSCOC_T00040237001 transcript:CDP02753 gene_biotype:protein_coding transcript_biotype:protein_coding MATELSNILPRVLIVSRRTVRKNKFVDFVGEYHLDLIVSYGAVPVIVPRVTGVHMLLESFEPIHGVLLCEGEDIDPSLYEEEVSGLTPEELDEIRRVHASDTSVDKEKDNIEMRLAKLCLERNIPYLGICRGSQVLNVACGGTLYLDVGKELTNKVPEDLRVMHMDYDNYDGHRHAVKVVENTPLSRWFKDSLEERKMELWVNSYHHQGVKRLAERFVPMAFAPDGLIEGFYDPDAYNPEEGKFIMGLQFHPERMRQPDSDEFNYPGCPFAYQEFVKAVVAYQKKLNSATNVPRPVKLDQEMEKKRKSIVRSFSIARIIYKGGRGMHLSKESELEVGAEFLESNTALTLEQESRLKQMGATVRNSSSFLARLRLNEEREALARKVMGNMSVEQLSDLMSFYHMMGQICSEVLEKKLHGMVNDLAS >CDP01776 pep chromosome:AUK_PRJEB4211_v1:7:8837169:8841376:1 gene:GSCOC_T00036934001 transcript:CDP01776 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFMVMVLMLSGNGQFSKGFNTNVSGRPDVLNIGSILTLNSVIGKVAKVAIDAAVEDVNSSPAVLRGTKLNITTLDSNYSGFLGIVEAIRFMETQTMAIIGPQSSVIAHVISHIANELQVPMLSYAATDPTLSSLEYPFFVRTSPNDLFQMAAIAELVEYYGWREVIAIYIDDDFGRNGIIALADQLAARRCRISYKAPLTADATISDIRDVLVQAALTESRILVVHTYPNSGLEVFSVAQSLGMMDSGFVWFATTWLTTILDIKNHFASEAFNNIQGVITLRIHTPESQKKRDFISRWTNLTKKVSARSPIGMNTYALYAYDTVWLLAHAIDAFFEKGGNLSFSYYSRLGEMSGGSLHLNSMSIFNGGRLLLDRLLQINMTGVTGMYGFTSDRNLIRPACEVINVIGTGLRRIGFWSNYSGLSIAPPETLYTKPPNRSSSNQQLYNVVWPGQTTQQPRGWVFPHNGREMKIGVPNRASFREFVQEVPGTDTFKGYCIDVFTAALNLLPYGVPYKLVAYGDGRTNPSGTELVRLITAGVYDAAVGDIAITTNRTRMVDFTQPYIESGLVVVAPVRKLNSNAWAFLRPFTPQMWAVTAIFFLVVGAVVWILEHRMNDEFRGPPRKQVVTILWFSLSTLFFSHRENTVSTLGRVVLVFWLFVVLIINSSYTASLTSILTVQQLFSPIKGIESLISSEDRIGYQLGSFSRDYLIEEVGIHESRLVPLNMPEDYVKALKDGPRNGGVAAVIDERAYIELFLSTQCEFSIVGQEFTKNGWGFAFPRDSPLALDMSTAILKLSESGELQQIHDKWLQRSACSSQSTKLVVDRLQLGSFSGLFFVCGLACLLALLVYFILIVRQFLRYHSEPESESSGQSSRSARIQTFLSFVDEKEKSVRARSKRRHLEGGSDLSNYENTAENGSSKRYRTEMLSNRSVSFGDLD >CDP02809 pep chromosome:AUK_PRJEB4211_v1:7:6689435:6692864:-1 gene:GSCOC_T00040310001 transcript:CDP02809 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKQKHPKPSSTQDSHPNLKLFIVFYSMYGHVEGLAVRMKRGVDSVEGVEAVLYRVPETLSDDVLAQMRAPPKDDSIPEIASATHLTQADGFLFGFPTRYGCMAAQMKAFFDSTGQLWREQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLYVPIGYTFGAGMFKMDSLRGGSAYGAGVFAGDGTRQPTEIELALAEHQGKYMAAVLKKLAQV >CDP04724 pep chromosome:AUK_PRJEB4211_v1:7:15456186:15458281:1 gene:GSCOC_T00018795001 transcript:CDP04724 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGKTTLAKRLYNNPRVVYHFHVHGWTSGLQNLEVKNALHDILSLITDDKKSLHKMTRDEIGEKLYKRLKGKRYLVVIDDIWDFGSWSTMKWYFPDDMIGSKILITSQIKDAVLEISPRNSVHFLRFLTHDESWNLFESKVFTNETCPEELMELGSEIVAKCEGLPLAIVVLAGLAKKNKTQEWWNYINQNTTACLGGEQEKFMGILGLSYQHLPSSLKSCFLYLGSFPWNHEIPVKKLIQSWIAEGFVESNGEKKVEDVAEDYLKDLVDRSLVMVSKRRSNGGIKTFHVHDLLRDLCVQKPRMRSSCSRVADIHKFLLHHELDQSSITTSMLKNDVILHRSIFTDWRRSLVYKLLRVLDLGYMMLEDFPMEIVKLVHLKYLALLIYSIRKLPPLSSLWNLETFILDTEKGQRVILPQDIWRMIKLRHLHISGELDFQRTSLTSSTISVLCNLQSISHLCPSGSIQDVLARIPNKLTLIGSHIDWKGMSVIGMLPNLEILKIKDNFFNGPKWETGDEGFCHLKFLKLSHTDLQQWIASSSSFPCLEQLVLNGCLDLEEIPSSLEEIYTLEIIEVYHSCQSVADSARRLQEIQSYMGNDELKVLIHPNFEEE >CDP01661 pep chromosome:AUK_PRJEB4211_v1:7:9814183:9818649:1 gene:GSCOC_T00036783001 transcript:CDP01661 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKLGFKIAAFLFLGFSSLLFSADSQPTSNDAEVMLALKKSLNPPETLGWSDPDPCKWPHVGCSDDKRVIRIQIGNRNLKGTLPQSLSNLTELERLELHWNNISGPLPSLKGLSSLQVLMLSNNQFTSIPADFFAGMTSLISCEIDRNPFSAWEIPESIKNSSALQNFSANSANITGKIPGFLGPDAFPSLSLLHLALNDLSGELPSSFSGWQLESLWLNGQNLTGTIDVIQNVTFLKEVWLHSNGFSGPLPEFLGLKGLETLSIRDNAFTGPVPASLVNLESLKVVNLTNNLLQGPMPKFNDSVSVDMTKNTNSFCLPTPADCDPRVNTLLSVAKSMDYPRKFAENWKGNDPCADWFGVTCNNGNITIVNFENMGLSGTISPAFSSLKSLQRLVLANNNLTGTIPEELTTLSALSELDVSNNNLHGKVPAFRSNLDVKTKGNPDIGNDKSNATSPGTSSPGTSGSPSSGSDGSLLHHKKSRNWIGIVVFSVIGGIFVLCLIGISAFCLYRSKQQQFNRVQSPNAMVIHPHHSGSDNESVKITVAGSSVSVGAISDVHTVHTSEPSDIQMVEAGNMQISIQILKNVTNSFSEENILGQGGFGTVYKGALHDGTEIAVKRMESGVLAGKGLDEFKSEIAVLTKVRHRHLVALIGYCLDGNEKLLVYEYMPQGTLSRHLFNWANEGLKPLGWTKRLIIALDVARGVEYLHGLANQSFIHRDLKPSNILLGDDMRAKVGDFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDDSQPEESVHLVTWFRRAQLNKDMFRKAIDPSIDLDEETLASISTVAELAGHCCAREPYQRPEMGHAVNVLSSLVELWRPAAQNTEDIYGIDLEMSLPQALKKWQAEGRSHMDSSSSSYHPSLDNTQTSIPTRPYGFAESFTSADGR >CDP01549 pep chromosome:AUK_PRJEB4211_v1:7:10904106:10907030:-1 gene:GSCOC_T00036639001 transcript:CDP01549 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSNSITASDSNPNNNKKGGAFTTIKERVTFQNEIKKSKFIAIAGTISNERSAQAFLTEVRDPKATHNCWAYKVGDQFRSNDDGEPSGTAGKPILSAIESSGIDRVMVVVIRYFGGIKLGTGGLVRAYGGVAADCLRNAPTCLVKSKVPMGLEIPYDLLGVLYHQLQSFRAEGIKQDYDTGKDGVTMVTFKVDFDQMESLEEAIKVSCSRDIVFFKR >CDP02071 pep chromosome:AUK_PRJEB4211_v1:7:1190572:1194680:1 gene:GSCOC_T00039352001 transcript:CDP02071 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFIAQSFFRDINSRELNGFRVKKRPYIVDERPESSQLGAVSVEHNGKTSPPMALSFCKSNENAHILAVTDEAGYISLYNTRLKFPSSSTYQQNTEKSRILEWDAHDNAIFDICWIKDDNKILTASGDQSIKVWDAQKRKCVGALMGHTGSVKTISSHPTNHDILVSGSRDGSFALWDLRCSENSSHRLSISSVATVHEAHVSPCRRRLRRRKAASMSITSVLYLRDEVSIATAGAVDSVLKFWDTRNLKRSVIQTSPHPDTSDEKASLCMQQGWRLHGVSSLSQDLNGVYISASCMDSRIYLYSILQLENGPVKTFSGCQIDSFFVKSSISPDASHMLSGSSDGNAYVWQQVNKPHLDPMLLKSHDGEVTAVDWCPSEMGKVATSADDFTVRFWNIQGCCYSNSRSPSSIRRRVMAVPSIERKRLFIDEDLTSLKNNSEICPQGAVSNIHSPKPTIASETTTPESQRSRYSSNFRLKENLDKTPEAASRSPSSVLNPPSSLKRRTIRDYFLVA >CDP02585 pep chromosome:AUK_PRJEB4211_v1:7:5079609:5080816:-1 gene:GSCOC_T00040019001 transcript:CDP02585 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSENCILRPCLQWIESPEAQGHATVFVAKFFGRAGLMSFISAVPENQRPALFQSLLFEAAGRTVNPVNGAVGLLGTGNWQVCQAAVETVLRGGTLRAIPDHLVGGDSSDLDETVSECTTDISSNGSKMQKRRRKPDEQAVKVMQLADLDLSLTPGFEGGKYRCLLPEKRRLGSPSMNSEESGTTTCFESNTTDHQAGTGRGPKLLSLFN >CDP21501 pep chromosome:AUK_PRJEB4211_v1:7:21183477:21186245:-1 gene:GSCOC_T00010245001 transcript:CDP21501 gene_biotype:protein_coding transcript_biotype:protein_coding VNKAQHSQRGLNPSRSKDEKVIKKRRKDAFFSVLGQTPSQKTGSIEKIGASAGTGSADAPAWAPLCDNYMFTNPKLKDWDKMPDTAAVDDFGLRPDADSSSDDE >CDP01695 pep chromosome:AUK_PRJEB4211_v1:7:9498312:9506512:-1 gene:GSCOC_T00036832001 transcript:CDP01695 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTATEAQKYVWEGAIPLQIHLHESEVTTLPPPSPALILAPRIGYLPLLVPQIKPFFSSTLPPGSDTVWFEYQGLPLKWYIPTGVLYDLLCAEPERPWNLTVHFRGYPGNILTPCEGEDSVKWSFINSLKEAAYIVNGNCKNVMNMSQSDQSELWRSVLNGNLEAYIRVSSKLKLGLGGDDFSIKLNTSSLKYRQSAADAEASATIKAGRIPIRLYVRRVNEDFDDVEDAPLVDNWDKVSYINHPVEIHDNGKCFTLGDAVKALLPELFPDKSLPNDSMSLPQVEDGEGSALEEASDTGRARSPEDTGESVRECQDSCSLSETADVKLIRIQGIEPKLEIPFAWVVNNLMNPEHFLHISVYVRVQEPITV >CDP02679 pep chromosome:AUK_PRJEB4211_v1:7:5792668:5795655:1 gene:GSCOC_T00040145001 transcript:CDP02679 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLPSRNESYWAEREVCMRQFPNNRTNHPHFYPRLNPKPHPNPSSRQIIDLTAKTGLRQRNEPSPRPPFLPPSASINDPHSFNRSADGPLLSKDPFHREYVTFKASSYSKRELKELKNRLISDLERVRTLLTRIQTRELEFRPGFCRALFRPPAVETNHIPVNLTSDEKQNQKNRASGSVTRKGKGKKNQKLSGQKRALALGDARETKRPFVIPTSSEAEKASEMVMKKCKQILMTLMKQKHSWVFNKPVDVVRLRLHDYFKVIKHPMDLGTIKSNFNKRVYKSPLEFASDVRLTFNNAMRYNPKGQDVHAMAESMLSSFEEMFEPVYQEYETGHRKLVAEKMNEITNWVQLEPVIAMPQPILLSSPSCQNEQILLSPGLQSTSGKLPNPKAKDSNKRQMSNEEKSNLGLNLQHMPQEKMERVVQIVRKRNPHLVPDGDEIELDFEVLDDDTLWDLDRFVSNHKKALSKMKRQELVDGANLIEEEGPKSPVSEPCEVDVEQNNKEDAGEEDVDIGEDIPAFDFPPVVIEKDVEVEKGNAAASVLDVEIEDCAGANGGSRSSSGASSSSDDSSSDDSDSDSGSSSGSDDSDEDSVQSPYVEAKGVPAA >CDP01672 pep chromosome:AUK_PRJEB4211_v1:7:9638581:9641351:1 gene:GSCOC_T00036802001 transcript:CDP01672 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAENSKGVNVEVVGDSEDKKDFYAKRTSQQEEEIPLYIVFNRLIAAMFFPSSASAPLLRRTKAALSENVPQLRLATTNTARHVLLWTRRGSPLRALLVVSVGTIALLALTGLLVFMLFFVAATLNAIVISLLMSLAAAGGFLAIFFASLTAIYIGALSVAVFAISATTISAIIAVLVTAAWIGFFWTIWVATQKGVGLAKQSLNVTGSVVSAYSSARAARQHDSQSNKLAD >CDP02478 pep chromosome:AUK_PRJEB4211_v1:7:4101387:4103059:-1 gene:GSCOC_T00039865001 transcript:CDP02478 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMASSPSSKGIAAIVGVGPRLGLSIARKFAREGYTLAILSSDLGQLSRFADEIARDEKARVFAIRIDCSDTKSIREAFEGVLSLGFVEVLVYNAYHPMSWPPTNFADMRVQYFEKSIAVSSVGAFHCAQQVLPGMVDRGRGTILFTGCFASLNGIAGYSELCCGKFALRALSQCLAREFQAQGVHVAHVIIDGYIGVPRAATSSTSQQRSKGVGEQQEQQQQQQIGIRVGEEIMDPDVLAQTYWQLHIQDRSAWTQEIDLRPSNSSLF >CDP01428 pep chromosome:AUK_PRJEB4211_v1:7:12238752:12242936:-1 gene:GSCOC_T00036473001 transcript:CDP01428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 5 [Source:Projected from Arabidopsis thaliana (AT5G65090) UniProtKB/Swiss-Prot;Acc:Q66GQ6] MKVFLEASLPSVQKNFSERHSSSRIEGLNLSYFDQPMAPSTIVQDIRIFVGTWNVGGKTPDPGLNLEHFLQVEGSSDIYVLGFQEIVPLSAGNVLVSEDNEPAVKWLALISQALNKSYHEYMDSFSEGSNSKHSHNSKDSKSNVFHKPSLKVLSRNLKIDNSLLKICNCPLESSISNRLPRKLSDPCASPFSSGDSSMDEFLQLAEIPSPRMCYRLVASKQMVGIFLSVWARKELVQHIGHLRISCIGRGIMGYLGNKGCISVSLSLHQTSLCFVCSHLASGEKEGDELRRNADVAEILKSTQFPRICKNPIRQIPERIIDHDRIIWLGDLNYRVSLSYEETRLLLEDNDWDSLLERDQLNMEREAGRVFSGFHEGKIFFAPTYKYSHNSDSYAGETVKSKKKRRTPAWCDRILWRGDGIEQLSYIRGESRFSDHRPVCAVFAVEVEMKNKQAKFRKGFSCTGSRLGFEDCIPMRHSFYDSPQL >CDP01972 pep chromosome:AUK_PRJEB4211_v1:7:7317884:7324894:1 gene:GSCOC_T00037169001 transcript:CDP01972 gene_biotype:protein_coding transcript_biotype:protein_coding MHACQIEPIHLLNSTLLRVVLMSQFFYVESRIPLFKNRNYKFSKLPLHPSQNPSNWRFTWEAQSHIPTVRLYLFNPHIKPSAQCTNLEVDLSVDQSSLLVRFYQAEAEACTSFRVPLPRVLIDPESPVQFTAYDDHIHVKLALLLPIDHPLVSEFDSSSDEYRPLSTDSDLKNLSALEEVYFYCRSCSAKLTRSLSCFKEMPSVNWQEAADNWFGACCCSFGGISEKLVRSYAKSYTCAAGVCLLDTESVILCKDDLVGCEFPGFNWNQSLEFNLKLTTDDILVKASSDDASTHGQTVCSENDDFGREVSNGKEHHLGSEEENLKEKLRHEATGNAGDCRNLSCLSSRLQTAENMATCPDFHAVMKHDLGYGDNGCHSLDRLEISSEEKSGRDIELLEHQKVLLNGFLWNGFLARSSNLSKDVTWVEFLCPQCSSLLGAYPCFSDSSPLDSGIRLFKCHISTTLPVGGSGNTFRCYSLERMFAIQLLESAKDELSFRTVVRDMQTKYPMLQIVLLNPDSWCCSGCCLYSTESASRKSMHPTIKVLFSACCNDEENESRKLEEWITKNQADEVYMLPSQIGNLISYLKSANSMYPPSQVPLQNLSLSSMRR >CDP01389 pep chromosome:AUK_PRJEB4211_v1:7:12736527:12739690:-1 gene:GSCOC_T00036418001 transcript:CDP01389 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSARCTPWLASVVVLLLLLTPLSWSSSLETASTTKRKEHIRGKRGVVATDDGRCSKVGRDVLREGGHAVDAAVAAALCLGVVSPASSGIGGGGFLIVRSADGKSQAFDMRETAPKRASQNMYSGNETIKAAGALSVAVPGELVGLHEAWKHYGRLPWSRLVRPAAYFAYHGFRISPYLHVQMVATESEILADKGLREIFTWNGSLLQPGDRCYNRKLADTLIKVSKDELKSFYNGTIAYNLVKDVQDAGGILSLDDMQRYQVKIREPISTNILGVEILGMPPPSSGGAAMVLTLNILAQYGSSVNSSNPLLAHRTIEALKHAFALRMNLGDPDFVNIKDVLNDMLSIEFAQELQKTINDNMTFSPPHYGGRWNQIHDHGTSHVSIVDTDRNAVSMTNTINGYFGAKFLSPSTGIVLNNEMDDFSMPDNASTDEPPPAPANFVHPGKRPLSSMSPTIVLQGGKLKAVVGASGGSKIIAGTAEVFLNYFVNGMDPLASVMAPRFYHELIPNVVNYEDWKVVTGDHFEVPEETRSFLKKRGHVLKSVAGGTICQFVVQDLESNELVAVSDPRKGGFPAGF >CDP01756 pep chromosome:AUK_PRJEB4211_v1:7:8969003:8983313:-1 gene:GSCOC_T00036912001 transcript:CDP01756 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFCLKNELANEGDINCYVLLTKDHKPICRTQCFSPPSKMPTYWSISHIIRPEKAHIPHGLPCCSLYRASENSQQEIEWVRFLNFLQTYKKVAVGKLDSFEFYIIPPCEGSDLSHVRVLYKEERRNCLQDCLKQERLAGILSEQKNILLNAKPHRVVQSAKGCMQSRFESSLGEDAVSISNCIEDSISPEYKLSDADGCQAQYKVKVSSKPENVVDPHGSLGRNYVQVDPSYLKTLGQVHSSWIFGAIAEFVDNSRDAKATKLQISMEMVYYKPAGKDIPMLSIIDDGHGMTHQEIVRMISFGHKQPEADDPDHIGRFGIGFKTGAMRIGKDALVLTQAASSRSVAFLSQSLNDGKDNLEIPIVSYHRVGQFMEIDSNVQNEASAKNNLKAIREFSPFNKYLIGEKAGLFSERGTGTQIYIWNLDQWGSDYSLCLETGMIGGSSFHQGDILIHSKRVRSRPGQMSETVPLDYSLKSYLEVIFFDPRMKIYVQGALIRTRPLAKSLHKTVVNEGVIMGKPVRLILGRCQLEWEQANCGIFLYWHGRLIEAYKRVGSMIHNGDAGRGIIGVVDLTDLMKDDNGHIWVHNNKQGFQDCEAYAELEKWLAIKTDDYLDKYVNKLQVEKGGPLHKPDHEWVQCDKCRKWRRLSAGFNSKTLPPDWFCYMKPFNGSCSVPEEKVEDGVVTISTKRSGYNCTKDLENKDRSSKESPESGSDNSSQSWEGDYKSSVKRRKGLPRSCKKNPNHI >CDP02745 pep chromosome:AUK_PRJEB4211_v1:7:6234268:6234529:1 gene:GSCOC_T00040226001 transcript:CDP02745 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNNAENTKFKNLRHQKRETIAFNRCFEERVQQQQRRHPQ >CDP01521 pep chromosome:AUK_PRJEB4211_v1:7:11162685:11164472:1 gene:GSCOC_T00036605001 transcript:CDP01521 gene_biotype:protein_coding transcript_biotype:protein_coding MISSHSFSTLNVCGHNSITRYFHIPKSSRSSYFFSLRKHSFEQTKLKFCNLSAKYGVKRVMCSSSNDHQEPKAPTPQKSDMPDWKKWTVGIVFSIILPAVGHKMGPLMLLKSKVDMVIQKVDEVTEVVEDIAEAAVDVVETVEEKLPEDSKLREEVHTIKDLAEKAVEKAKQADELLDQIKDVEDKFMESLSGPERNDAEKEPSNKDVDRSVKA >CDP01447 pep chromosome:AUK_PRJEB4211_v1:7:11958437:11958688:-1 gene:GSCOC_T00036499001 transcript:CDP01447 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEFCPPRIYEERYTKTTRTLDCGQACAARCALASRQKLCKRACGTCCARCSCLPPGTFGSQKLFCPCYFSMTTHGGRRRCP >CDP01603 pep chromosome:AUK_PRJEB4211_v1:7:10308094:10310103:1 gene:GSCOC_T00036704001 transcript:CDP01603 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKAVGWAARDPSGVLSPFKFSRRATGEHDIEFKVLYCGICHADLHFCKNEWGFSQYPMVPGHEIVGVATEVGSKVEKVKVGDKVGVGCLVGSCRGCDMCSQDLENYCPKHILTYSAIDTDGTLTQGGYSNIMVADEHFVVRWPENLPMDIGAPLLCAGITTYSPLRYFGLDKPGVHVGIVGLGGLGHVGVKFAKAFGAKVTVISTSEGKRQEAIGKLGADGFLNSRDPEQLQAAAGTMDGIIDTVSAVHPIVPLINLLKPHGKIVMVGAPEKPLEFGTIPLIAGRKTISGSAVGGLKETQEMIDFAAKHNVLPDVEIVPVDYVNTAMERLLKADVKYRFVIDIGNTLKSD >CDP01956 pep chromosome:AUK_PRJEB4211_v1:7:7411089:7413122:-1 gene:GSCOC_T00037150001 transcript:CDP01956 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGALRSIIRPVSRTLLSTRTTFALAPQRIAAISSPFPASELHFRHHLGSFHRNLPFNPSSSAFHSLTDTRYPKRRPSDKPHRKRAQLKPPGPYAWVKYVPGEPIAGKQPNEGSVKRRNEKKRIKLHRAFILAEKKKRKAQLQEAKRKKMIKRVERKMAAVARERAWAQRLVELQQIEEEKKATMA >CDP02281 pep chromosome:AUK_PRJEB4211_v1:7:2681450:2686726:-1 gene:GSCOC_T00039633001 transcript:CDP02281 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPDLHYSIPRWFGIFRRRSVQPDNGEDADDYNNPFSNSGECYACTQVGVPVFHSTSCDKAHQPQWEASAGSSLIPIRNRPGQLSTQKRSIPISRGRVLDPRSKTVQSWNRAFLLARGMALAVDPLFFYALSVSTGGWPCLYMDGGLAVVVTVLRTGVDAVHLCHLWLQFRLAYVSRESLVVGCGKLVWDARAIAFHYLRSLKGFWFDAFVILPIPQAVFWLLVPRLIRDEQIKLIMTVLLLIFLFQFLPKVYHSISLMRRMQKVTGYVFGSIWWGFGLNLIAYFIASHVAGGCWYILAVQRVVSCLRQQCDGKSTCNFSLTCSNGVCYQFPLPAGTLGSPCGGNSTATVGKSLCLDVNGPFRYGIYKWALPVVSSKSVSVKLLYPIFWGLMSLSTFGNDLEPTSHWLEVIFSICIVLSGLMLFTLLIGNIQVFLHAVMARKRKMQLRCRDLEWWMKRRQLPFQLRQRVRHYERQRWRAMGGEDEMELIKDLPEGLRRDIKRFLCLDLIKKGPLFHSMDDLILDNICDRVHPLVFSRDEKITREGDPVQRIVFIVRGRVKSHQRLSKGMVATSILEPGGYLGDELLSWCLRRPFIERLPASSATFTCLEPTEAFALYAPDLRYITDHFRYKFNNERLKRTARYYSSNWRTWAAVNIQLAWRRHRTRTRPPLNHVMENGDADSRLRQYAAVFMSIRPHDHLE >CDP16642 pep chromosome:AUK_PRJEB4211_v1:7:163753:166953:-1 gene:GSCOC_T00019098001 transcript:CDP16642 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMVLRKTPKTLHYYSYALRTLPSELRQLSGVATAGKLQPQSQPEHADPSSSSSFTFSSDENANASRTKTNEEEDPIYVKGLKTTASSSSSQSDSVSMPMSFMTGSIVGKRFYQNVNTRKADDGNGWTVMLDYRTLKSPSKRPLKCPTPALAMAVAAEWDYQQTDGIRPFTMPLMKLACTALERVPLTRPKVIENLMKKFHQDLVFCRAPADNDLTKGVFERQVEKIDPLLKWLESEFGYKPVIYSSFFGGKQQEGLVTAIEGLLKRTNDCELAAIDAIAAAAHSLVIAIGIFRGRLNIEEAIELIRLEEDLQVDSWGLVEGGHDVDIADLKVQVASAAVFLGLCRRK >CDP11567 pep chromosome:AUK_PRJEB4211_v1:7:19953583:19960897:1 gene:GSCOC_T00033890001 transcript:CDP11567 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRGKTSGWTAFDLEHRRKQGLEPEAKGESFPEISSSMDQDQKALTNTANKTALDKPFASLLQGAVNFPIKQKCSDMDEQKFQGGSSSASADYLNAMNGVKDAFEANEMLKELHPWADQSLIEDVLAGVNYDVDKASSLLKMMVSYEQSYNDAKVIGTEELKFNHKESLVNENKLLAEKDTKLAQVSCFLEGHVYKNNQDLTDEAEKDINLAQMSCLLEGPVCKNDQDLTDEHTSSRKMLLHDVSATKMILNSIKYLPIEPEWEEDDVYLVKRKDARKMMSSASRNSKAANEAYQRGDHLSAQLYSRKAREEWLTAERLNAKAAKEILSIRNSKNDEWTLDLHGLHAAEAVQALQEHLQRVESQMPTKQLACASRVNATTGIVLSTVPEVAIFRDLDKCGSQIPSSRTRPTSVQVITGKGNHSRGEAALPAAIRSFLNENGYHYSEARPGVIEVQVKFRR >CDP16760 pep chromosome:AUK_PRJEB4211_v1:7:1001075:1002882:-1 gene:GSCOC_T00019246001 transcript:CDP16760 gene_biotype:protein_coding transcript_biotype:protein_coding MELASYSPLRRASPSVVFTSTRITGRLPAIGSGKTVSNCLGPTITGKINCRVTLRRSTALLNGLRSKKFRSFRCLSALTPELRTTLDKVVASQKVLLFMKGTAEFPQCGFSSTVVQILKSLNVPFETIDVLENEILRQGLKEYSNWPTFPQLYIDGEFFGGCDITAEAYKSGQLQELLEKALCS >CDP02546 pep chromosome:AUK_PRJEB4211_v1:7:4747326:4748968:-1 gene:GSCOC_T00039961001 transcript:CDP02546 gene_biotype:protein_coding transcript_biotype:protein_coding MLPACSNNPISSSSYSSDLHNLKKPKTPEHHLPMKNATTFNFLHTHISADPRFWLLAAFLSVQALILFITRSAPLSLSPKPPATNQPHFIQHRFPTESDVRNQRSNRPSIPDDPECQSGRVYIYDIPSMFTKDLVLSECNDLHPWRWQCGIGPNDGYGKDAVELAGILPDNFVPAWYRTNQFSLELIFHYRMLNYRCRTREPESATAFYMPFYAGLAVAKYLWINDTAKRDWHCNMFLKWVKNQTYWQKNKGSDHFITLGRITWDFRRLSDPGREWGSSFLNLPEMEKVMRFNLEKAPGIDLDISVPYPTGFHPHSKKQILQWQSFLRKQNRTSLFTFIGASHGGLDDDFRSLLLNYCYNESTACQVVDCALTPCNNGSSMVLKASLSSHFCLQPKGDSYTRRGVFDCMVAGSIPVFFWKRTAYDQYQWFLPGKPKSYSVYIDHEDVRKGKSIRKVLEGYSKEEVRKMREKVIETVPSLVYARPKDPSESIGDAFEIAVEGVLGKLRYEKIWNEEWKDDEDDENVLTR >CDP01450 pep chromosome:AUK_PRJEB4211_v1:7:11932824:11941153:-1 gene:GSCOC_T00036502001 transcript:CDP01450 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVTVAVDDGEATPLLQSASDDFPRRRGSSAIRGGGGGGGEITASVSAEEPDSNDGSTPPVATAPKQRLVSLDVFRGLTVALMILVDDAGKAFPSINHAPWFGVTLADFVMPFFLFGVGVSVTLVFKKVPSKPEAMKKVVIRSIRLFLLGLILQGGYFHGRDDLTYGVDLGKIRWMGVLQRISIGYFLASIMEIWLVNNVVVDSVVTFVRRYYFQLVLASLLGALYMVLLYFLYIPSWSFQLLNLKVESIPGYRSGNQTVQCGVRGSLEPACNAVGLIDRYVLGQQHLYQRPVYRRTKECSVNSPDYGPLPADAPGWCLAPFDPEGILSSLMAAITCFVGLHYGHILVHVQGQMERVKLWFLTSIPLLILGFGLEVLGIPFSKPLYTLSYMFITAGASGFLLTIIFYIVDVKCIRKPTVIFQWMGMNALIIYALAACDLFPAALQGFYWRSPENNLVDFTERLFQTIFHSKQWGTLGFVLLEILFWGVIAGFLHMKRVYIKL >CDP02755 pep chromosome:AUK_PRJEB4211_v1:7:6285336:6286841:1 gene:GSCOC_T00040240001 transcript:CDP02755 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHSPLQSFPTLLCFLASSILLQLHPVSSNPAEIYETCGNEFSCGRITGIGYPFRGSEDPAYCGYPGLELRCDQSSNVTRLNIKNMTFWVLDVHPSAQTLRVAREDVMQNNCPTDLVNMTLDYTLFDYSTSYINVTFLYDCLFNIPNVVFSCGNNSLSVLPGEVGAGLCKASVVYPALQTGDRGSFNFTGLDQVLRQGFDIRWIVDTGICNECTRSGGRCGYGGGTNQNENQTTCFCPNQPYVQSGACSSNSSPPPSPSRGTQASK >CDP15694 pep chromosome:AUK_PRJEB4211_v1:7:22607306:22608118:1 gene:GSCOC_T00015679001 transcript:CDP15694 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWKACLCQDLTLNALGKNFRPDFSHFGLNFPDSGRSIHRKLHTHRKLHIDLTYVPFILFLFVMV >CDP16718 pep chromosome:AUK_PRJEB4211_v1:7:662424:666331:1 gene:GSCOC_T00019191001 transcript:CDP16718 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKAGSGSGWRRRRLSLGSWFLIASAALTVLGLLILTLRSIEPSADINETTHLGIQEEEEGEEKAKVVNDSKGGGGCATVEEMGEMFGRGSGEESLRARQMIHSHFRLNGAPTVRALPPEHFCRHGFVLGKASEAGFGNEMYKILTAAAISVMLNRSLIIGQTRHIGYLSSLAVHVYSHFRGKYPFGDYILYSNLSFTLAEVKHLWRMNGCVAKYGRHLVMRIDDFQKPSRTNVLCGNWREWHQPIIWFQNTTDAVAAQFFLKSMHPEMRKAASDLFGEPENLQHRPNVFGELMRVLISPSEIVEHALNWALSGGADPDVAVHMRMLMNRSVRAVRAALDCVRKAAGKLSSRPKVVLVSDTPSLLEDIGPNLMEFAEVLHFDYEHFEGNITAPKDRLSNWNPRVRDWGPAPRWVAFVDFFLASRAKHAVVSGAHRRVGTTYAQLVAALAAAHRLGDNSTAGSSFTYLSSFQSNLLSEGLRNQIGWGHVWNRFAGPLSCSHQPNQCASTPILPPAWWDGLWQSPITRDVKRMEAYGIRLSGLGTVDEDYLHSFCNSRKISTVTVTLI >CDP02058 pep chromosome:AUK_PRJEB4211_v1:7:1105021:1109131:-1 gene:GSCOC_T00039337001 transcript:CDP02058 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVDGARSLPRAHNSVTELGYSLSSSVSGTGHPLCPPSAKKSGYEGSSSSSSYVRDVDIGMKATSTPTSSTISSKNLYKTYSDHPQKDSVPDSVRLHMKSDKEKKEFLGPDDGLDQTTRDTSGVGVLESESESCGKHPDHNARNCIPSDLMETLELPSQLRSKETLGVVNSQLPSQLGVHFCPSPQNSFYSATQYTEAKQSFTTEISECASSVGKSGDSGEPSNSCDFVESRKTSIYRGSTGSDISDESSSSSFSSAIYKPHKANDTRWEAIQAVRSRDGTLEMKHFRLLKKMGCGDIGTVYLSELAGTRSYFAMKVMDKAALASRKKLVRAQTEREILQSLDHPFLPTLYTHFDTEKFSCLVMEFCPGGDLHALRQRQPGKFFTEHAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSNSSLESKNSGYCVQPACIEPSCAIQPACIQPTCFGPRLLGKYRKEKKMKPRAEIHNQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGAGNRATLFNVVGQPLRFPESPTVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSVNWALIRCASPPDVPKPFPIDDILRVPKPDVPGVDVKPSGNYLEIDFF >CDP01524 pep chromosome:AUK_PRJEB4211_v1:7:11146297:11147914:1 gene:GSCOC_T00036608001 transcript:CDP01524 gene_biotype:protein_coding transcript_biotype:protein_coding MALETIVFQQDPFSYGCNQEICDLWGLGIHENQGKINSEIFEQGLISGAWESSINSSSDTSTVEGSIAGSNPAPVVMPGRKRRRRTKSFKNKEEMENQRMTHIAVERNRRRQMNDYLAVLRSMMPPSYAQRGDQASIVGGAINFVKELEQLLQFLQSHKQVKQQSSTIHSNLFDNFFTFPQYSTCPSRQNMDNSMAADDAMAEKHSTIADVEVTMAENHANIKLLTRRRPKQLLTIITGFHSLSLAILHLNITTRDHLVLYSFSVKVEDDCQLTSVNEIATAVHDLVGMIHEEAVSS >CDP02116 pep chromosome:AUK_PRJEB4211_v1:7:1516769:1520044:-1 gene:GSCOC_T00039404001 transcript:CDP02116 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLLHASTFQPLCNPTHSSYRRTKRWPLCCFLGGLIIRRKLYERDFSGVLMIHFVMEEVSSREFTGPYVPHKEIFAQTSPRSPLSTHSPETDSIDLAIEGAVDTSIEELYNNVCEMQSSDQSPSRLSYLSYGEESRIDSELRYLAGGDYGEAEITKEKNEAGENSSEELKGFKDDTTDQPFSSGQAKNSDTPKKAGLKSKSFNGIPRGGTGRRSLKSSKKPNAMSPAKIERNSPLAGVKKQNGAEDSSEAAYLGPYVLKQARGLIASGDNLHKALELALRAMNLFETSASGKPNLEFVMCLHVVATLYCRLGRYSDAVPLLEKSIEIPVMDLGQNHSLAKFAGCMQLGDTYAMTGQIENSILFYAAGLEIQRQVLGDKDPRFGETCRYVAEAHVQAMQFDEAEKLCQMALEIHRENISPASPEEAADRRLLGLICDSKRDHEAALEHYVLASMAMAANGQEADVAAIDCNIGDAYLSLVRYDEAIFSYQKALTIFKSTKGDNHPSVASVYVRLADLHNKIGKFSECRSYCENALRIFNKPIPGSSPEEIASGLVDVSAIYESMSETDQALELLQTAIKVYGNAPGQQSTIAGIEAQIGVLYYILGSYSDSYNSLKNAITKFRAVGEKKNALFGVALNQMGLACVQLYAINEAAELFEEARSILEAECGPYHADTLGVYSNLAGTYDAMGRTVDAIEILEYVVGLREERLGTANPDVIDEKRRLAELLREAGKVRNKESRSLETLLDKHGSIITKPEITVL >CDP02414 pep chromosome:AUK_PRJEB4211_v1:7:3650969:3653563:-1 gene:GSCOC_T00039785001 transcript:CDP02414 gene_biotype:protein_coding transcript_biotype:protein_coding MALARSPAISPSFAHAISTPTASSYLRLRCNLQDNSGSLIPNSQNLQMFILGMGFVGQFFAADLKNKGWVVSGTCTSIPKKTKLEQMGFDAYIFDANDPDPEVLDVIQHHSHLVISIPPVAGLGDPMLHHKGLLKSKLKQGNLRWLSYLSSTRVYGDSCGAWVDEDHPIIPTNELARARLAAEEGWLRFGRDLDVAVQIFRLGGIYGPGRSAIDTIIKQEPLSTSQRMRSSRRYTSRIHVADICQALNASIEKPSGGKIYNIVDDDPAPRVEVFTFAQNLVKSKWPDHGQPLINPLITDSLIPEGGYSEEKRVSNSRMKEELGVKLLHPTYRSGLQDIIRHVESP >CDP01578 pep chromosome:AUK_PRJEB4211_v1:7:10664371:10665285:1 gene:GSCOC_T00036673001 transcript:CDP01578 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGARVYQSWVLLQGTQPRSTLTCRSDAQPAQFGSSLRQSHQFPIKEWLNGQNRASVLYVALGSEVPPSQTDISELALELSGVPFFWVLRKPPDFSESESVQLPDKFEERVQVRGMVWKGWVPRLKILSHESIGGFLTHCGWGSTIEGLAFGHPLIMLPFLLDQGLNARTIRSIMVEEEGKIIRDKAKEMSGVAGNKELHDACINKFLELLEDTQHKPKN >CDP12925 pep chromosome:AUK_PRJEB4211_v1:7:12934088:12935059:-1 gene:GSCOC_T00037621001 transcript:CDP12925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF087 [Source:Projected from Arabidopsis thaliana (AT1G28160) UniProtKB/Swiss-Prot;Acc:Q9FZ90] MSSSSSGKKKQQAQVKMQQETGGDAVKFLGVRRRPWGRFAAEIRDPTTKERHWLGTFDTAEEAALAYDKAARSMRGARARTNFVYSDMPPGSSVTAIICPEETIAPNHRSIQNNLRAEGILHHFDSSHHYSNSTGDAGGSEQLPALPSAFSSEVWAEPSTRLCGTYTDEPTTEPASSYCQKHPGLGFDSTIFVHSPLFSQMPPVSDSESFEPLHLPSSYYFCFFFFFFLSRDGGIPI >CDP02090 pep chromosome:AUK_PRJEB4211_v1:7:1328037:1332481:-1 gene:GSCOC_T00039374001 transcript:CDP02090 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMIVFRSPFLSCNKKQLSEKSGIRKFSFHPPKGARILFPRKSNSVRFSLHLPCCSGSPLELQRPISSSKELIERLLARKDLTEEEAEASLNLLLNNGSDALISAFLVLLRAKGETFEEIAGFARAMIKCCKKVESLENSVDIVGTGGDGANTVNISTGAAILAAACGAKVAKQGNRSSSSACGSADVLEALGVAIDLGPEGVKKCMEEVGIGFMMSPNYHPAMKIVAPVRKKLKVKTVFNTLGPLLNPAQLPFAVVGVYKEDMVKKMANALQRYGLKRALVVHSEGLDEMSPLGPGLMYDVTHEKIEKFSFDPLEFGIPRCTVENLRGGSPQYNATVLRRVLSGEAGPVADAFVLNAAAALLVIGHVSNFGDGVALARKKHHSGEALCTLNRWINLSTVSCLMIFASQILNALFSSNFCSHFFFSNLNCCRISKNQTVLLPTRHAESQIVLFMCNCPRLSRS >CDP15701 pep chromosome:AUK_PRJEB4211_v1:7:22921326:22922306:-1 gene:GSCOC_T00015696001 transcript:CDP15701 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNYNFNPSFIKLLMEDDFTREIRIPCSFVRNFKEKLPSRCTIESEAKNSVRNSWPVRIRKKGKTTFRVKPYGADCCPKKFNVYNSSSSSNDGIRPSKVHPAKKKVYTKYQIDRDNFRSNKFKKLRKTRKSGVGKQVLEIESEEGEDVETNERDGYFHLNAENPYFILRLKRHHMRRLVSKQQIFPHNV >CDP01806 pep chromosome:AUK_PRJEB4211_v1:7:8603086:8606275:-1 gene:GSCOC_T00036973001 transcript:CDP01806 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSNENNPTLFKPTNTQGGGMEMMGGRKFGMETRQSRRALGVISHNVVGGGHPYPCVVNKRGLSEANGACGKINPINPAHRPITRKFAAQIASSKQSCLEVIAVPVFKLEDSDTKKPKLSAEEFSVWEDIPLADVEDHEAAAKDQPVPMSLEQSEAASHEKDQMEVDMEDIFEETIQDIDKGDGKNPLAVADYVEDLYAYYRKMENCSCIAPNYMAQQFDINEKMRAILMDWLIEVHYKFELREETLFLTINLIDRFLEKQSVVRKKLQLVGLVAMLLACKYEEVSVPVVDDLIFISDKAYTRKEVLEMESLMLNTLHFNMSIPTAYVFMKRFLKAAQCDQKLELLSFFLIELCLVEYEMLKFPPSFLAAAAIYTAQCTLYGVGQWSKTCEWHSSYSEDQLLECSRLIVSFHQKAATGKLTGAHRKYSTSRFGYAAKCQPAHFLVETQK >CDP01964 pep chromosome:AUK_PRJEB4211_v1:7:7360652:7362997:1 gene:GSCOC_T00037159001 transcript:CDP01964 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFYCRLISQSLRCLQFNYTKTHVPKPPILSPRFSNFTTVAEQPKPPPAPPANVSAIVDELSGLTLLEVSDLTEVLRQKLGIEEMPVMAVMMPGMGFSGAGVGGAKGKGGGPAAAAEEKKEKTAFDLKLEGGFDSGAKIKIIKEVRACTDLGLKEAKDLVEKAPTFRLGLKSRWSEDFSIFNASSITTSRGSALQPDSAAVDVLMKLLVDRQHVLV >CDP01762 pep chromosome:AUK_PRJEB4211_v1:7:8931164:8934063:-1 gene:GSCOC_T00036918001 transcript:CDP01762 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLQFENSCEVGVFSKLTNAYCLVAIGGSENFYSTFEAELADAIPVVKTAIGGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDSVVVQRTDERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGLTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDSYV >CDP16629 pep chromosome:AUK_PRJEB4211_v1:7:102588:103696:1 gene:GSCOC_T00019081001 transcript:CDP16629 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLDDKANHPHISQACPPTDKATIAAYQNRHTNILENGISVLPHIDLLGHVSLGFKFHPSFKS >CDP02808 pep chromosome:AUK_PRJEB4211_v1:7:6678874:6688232:-1 gene:GSCOC_T00040309001 transcript:CDP02808 gene_biotype:protein_coding transcript_biotype:protein_coding description:aminopeptidase P1 [Source:Projected from Arabidopsis thaliana (AT4G36760) TAIR;Acc:AT4G36760] MAEEDKLASLRSLMASHSPPLHALVVPSEDYHQSEYVSARDKRRAFISGFTGSAGLALVTMEEARLWTDGRYFLQAAQELNDQWKLMRMGEDPPVDIWMADNLPKDAAIGVDPWCVSVDTAQKWERAFSKKQQKLIQTPRNLVDEVWISRPPLELNPVAVHPPQFSGRSVADKLKDLRENLVQEKARGILITTLDEVAWLYNIRGTDVSYCPVVHAFAVVTLYSAFLYVDQRKLSSEVNIVMKENGIEVRDYGAVTSDVTLLASDQLKPISSTNATRASISESGHHNNNSSSTNFIWVDPGTCCFALYSKLNANEVFLQQSPLALAKALKNPVEMDGLKKAHIRDGAAVVQYLVWLDKQMQELYGASGYFLEAENIKKDVNTIRLTEVSASDKLEQFRASKEHFRGLSFPTISSVGPNAAIIHYSPDAKSCADLDPNSIYLFDSGAQYLDGTTDITRTVHFGKPTKHEKACYTAVLKGHIALGNAQFPSGTNGNTLDVLARIPLWKYGLDYRHGTGHGIGSYLNVHEGPHQISFRPAACNVPLQASMTVTDEPGYYEDGNFGIRLENVLIIKEADTEFNFDDKGYLNFEHITWAPYQTKLIDFRLLVPEEIEWLNNYHSKCSDILAPYLDESEKAWLKKATQPVCVC >CDP01564 pep chromosome:AUK_PRJEB4211_v1:7:10774462:10777731:-1 gene:GSCOC_T00036656001 transcript:CDP01564 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKKVKEEADQILGLHQKLPRLVVFDLDYTLWPFYCECCYESDSPNLYPHARGIIQALKGRGIDVAIASRSPARDIATTFLEKLGIRSIFVAEEIFSSWTHKTQHLQKIQRKTGIPFDAMLFFDDEDRNIEAVSRMGVTSILVYNGVTLEALRQGLVEFSQESSSYGTKKTK >CDP02081 pep chromosome:AUK_PRJEB4211_v1:7:1264360:1267706:-1 gene:GSCOC_T00039363001 transcript:CDP02081 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSNSQKFPLHHRWKRKLIILLLVGLCLGTVALMETQYSRIKKLAISSPPFVQKPKIAFLFIARNRLPLDIVWDAFFQGDKENKFSIYVHSRPGFLLNKATTRSAYFLGRQVNNSIQVEWGEASMIQAERVLLQNALEDLHNERFVFLSDSCIPLYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMHPVIPVENWRKGSQWVVLTRKHAEVVVKDDVVFPVFQWHCKASLSTCLLCDYFAIWKEYIPC >CDP04763 pep chromosome:AUK_PRJEB4211_v1:7:14913289:14915631:-1 gene:GSCOC_T00018858001 transcript:CDP04763 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLFFVLSSAFYSGIAAQKSPLNISLGSSLTPTGNSSSWLSPSGIFAFGFYQQRNGHAVGIFLAGIPEKTAVWTANRDNPIFSSNVSLILSTDGRLILQLPEGQDITVVDPSEPISSASMLDSGNFVLYDSVKRIIWQSFEHPTNSLLPRQQLIAGQELISSASETDDSRGIFRLVMQTDGNLVQYPVGAANKQETAYWASGTFGDGPNVTLNLEDDGHLYLTNSSVNLVKNLSDGGHPKNKMIYLMKIDVDGIFRLYSYSVDQGRNWSIIWESSTDRCDPKGLCGFNGFCTKIDNLVDCKCLPGFQFVNQGNWRLGCERSFVTDSCNSTDSNVNHTIEFLENTVWEDNTFSMVNTGTREDCAKICLEDCNCEAAFFKDGQCKKQRLPLTYGKRETDSNIALVKVHKHATIDEGVIPSNPLKCRKEEVRVYVLIIGISLAVLGVLISVIAGVYVRRNQVWAYKQISQFRNVEFVENVAPRAFTFAELEQATNEFREELGRGAFGAVYKGILPDSEKAVAVKKLEKVLAEGEKEFQNEIKVIGKTHHRNLVRLLGYCLDGAKRLLVYEYMSNGSLADVLLKPENHPSWDERTKIARDIAGGILYLHEECETQIIHCVIKPQNILMDENRCPKISDFGLAKLLKRDQTRTHTTFRGTKGYVAPEWYRKMPVTVKADVYSFGIVLLEIICCRKSLDWSFSEDQAVLEDWAYQCFKAGELHKLVGDQEVVDMRKLERMTKIALWCIQDEPALRPSMKKVLLMLEGTVDIPDPPSPTSFLSST >CDP11593 pep chromosome:AUK_PRJEB4211_v1:7:21005915:21019583:-1 gene:GSCOC_T00033940001 transcript:CDP11593 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRWRKPSKDVPEKEEEEKGASGGEFMGEAEKDQGETEEQNRGKRRRKTQRGGGGGGEGDRGGGPKPNRMLKDDNGILIESNMCHQCQRNDRGEVIRCTMCKTKRYCLPCIHSWYPGVLKEAFAESCPVCRKNCNCKACLRMEMPIKHKEKLELEFSAVEKMEYSKYILQLLLPFLKQVNEEQMMEKRIEAKLKDLPVLEIKVERANCQMNERIYCDNCKTSIVDFHRSCPNCAFELCLRCCQELRDGCLQGSDEGNTVEFIDPGPDYLHGVETCPVMGSTKSGMCARQSRTKIDTGMICNAEIENASVDDLALVSQWKSNKDGSIPCPPSELGGCSQGFLELKCLISENEVPELLVRAEKMKKELKLEDVPAISKKWCSCLQFADGPNVSCGNLRKAASRQDSRDNFLYCPKAVELQPEDQKHFQWHWMNGEPVIVRNVLDTTLGLSWEPMVMWRAFRQIKNVNHPVLLDVNAISCLDWCEVDISVHQFFRGYSMATFDSYGWPRILKSKDWPPSSLFEEQLPRHNAEFINCLPFKVYTHPHGGYLNLAGKLPKNFLKPDMGPKTYIAYGFAEELGRGDSVTKLHSHMSDVVNLLTHTKAVDLQPKELLKIEKLKQKHAAQEERELCRDGKTSTMRDEAEKGGMENGDNADNGEVNRKTRPINTSASGNDVKEGDIRKRGRSKGKNNKAENVERNNLIDAENVDQENQNSPISLEVQRSRDTELEFVDVQSTVESDETSRGGKLDEWKREEIVEVLRNNVADVDSGALWDIFRRQDVPKLEQYLMKHFKEFRHVCCRPLEQVVHPIHDQTIYLTMEHKRKLKEEYSIEPWTFIQKLGDAVYVPAGCPHQVRNLKSCIKVALDFVSPENVGEGFRMTEEFRVLPQNHRAKEDKLEVKKMTYYAMRQAVLDLENRYCLHHTLYCNLPHSNIIIGGIVFFSWTFCLGVSSFCDLWEIS >CDP11595 pep chromosome:AUK_PRJEB4211_v1:7:21157034:21158522:1 gene:GSCOC_T00033945001 transcript:CDP11595 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKPLVSFKLENSRFNKQYLKNHISLSTSPKLENLVLLESSFKVLKVLRRHFSTNVSERHSNFGSKLVT >CDP01997 pep chromosome:AUK_PRJEB4211_v1:7:7139030:7142922:1 gene:GSCOC_T00037199001 transcript:CDP01997 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRISAQVKQRRSGYEPSDTEAEWQDSPWNDADKKDEESNDAGPKILQDQTRNRSPFDRRNARRNDYDRSYPLRASAASPARRRHSKSPYAAPRDEGNTHSPVHHRKFPDSPFLKSELRRHVSPYKIALEDHQLDNGKIASSNRKFRQASDDVSKVNDTSTYSGRRAASVPKRTFKEKDLIKNESYWERSKPERTASPLRRNLSRKERDGSHKQAPSGGEINEMLANVKTAGAPTGMDPNFESTDSIGPGDIFFSRDYGAFTKQNSIFSTKNGTDIKFSEKPEFLIHTNPGFHKRNQANPWPNHNIREISATASNVSTQTTANSNFGVSRQSSNLSEFSGRTNGTIKNFLANRQKSQTDAWFSCIKRGSCRASEKSPEKPRGSYEALIIGKAFVVESLRQFWADKHRPSSLSEFTCHEHEALHLKQLATSEIPHILLKGPPGSGKKSLTMALLREIYGDTVRNISHDLHCFHIQETKPMEAIVPVSSSPHHVEFNVSSEPNVAYALMALVKKISTDYAVIREISNLTLIYVVYYSNDEVNILEHVKSRCKVINVEAPVTHEIIEVLIQIAGKEEFELPMSFAARIANKSKQNMRGAIMALEACKAQNYPFSEDQPIALGWEEVLVELAAGILADPSPKRISFIRGKFQKLLADFVHPKLILLKLVEQFLKGVDASSKREIYYWHSYYDKRLPTGTSALLKLEEFTAKFMSIQRRSLNHHQVS >CDP08402 pep chromosome:AUK_PRJEB4211_v1:7:25889173:25889538:1 gene:GSCOC_T00027239001 transcript:CDP08402 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVSKMIPASDKGRFFAFGRVFSEKIATGMKVRIMGPNYVPVEKKDLYVKNVQRTVIWMGKKHVLLFNLGFVMICKWKFLFADFELVKTFAGFFIPFCFWVPNILQSMVCIPTRIGKLAS >CDP17142 pep chromosome:AUK_PRJEB4211_v1:7:27387110:27390356:-1 gene:GSCOC_T00011166001 transcript:CDP17142 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSKFSLAIFFSFGKCDPLFCLIFHFTLTLYFSHLLLNSSGHCKNLAPESEKASTILSSNDPPVMLAKVDASDEANRGLATDYKIQGFPTIKILRNGGKTIQEYNGPRETDGIVAYLKKQVGPASVQIKSEEDAGILIDEQKIFIVSWVFPALSGEEYDNFTTLAQKLRSDYDFGHTTNAKFLPRGEIVSGPIVRLFKPFDELFVDFQDFQVNVLENFIEEASIPGVTILDNEPRNFEYVNKFFRRAKAKAMLFLNFTAELDTFKSKYNDVAVLYKGKEPSFLLGDINASRKAFEYFGLKEDQAPLIIIIQKPYGLKYLKSNILPDQIAPRLKDYKAGNLKPFINLEDMVLNSEKNGHIFVCLTVLLEFYAPWCGHSKNLAPTLDEVAILYEDDDDILIAKFHATANDITNEIFDVPSVPYLVLQSCKW >CDP01414 pep chromosome:AUK_PRJEB4211_v1:7:12386110:12388315:1 gene:GSCOC_T00036456001 transcript:CDP01414 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLQCRRLAKNLAGLSLLLCLAAIAVPSVEAKVRYHKWEVKYQYKSPDCYQKLVITINGRSPGPTINAQQGDTVIVEIRNSLLLEDVAIHWHGIRQHGTPWADGTEGVTQCPIIPGDTFTYKFVVDKPGTFLYHAHYGMQRGAGLYGLIKVSLPAGESEPFSYDYDRSIILTDWYHKSTYEQMTGLNSIPFVWVGEPQSILIQGRGKFNCSTPGTEADLCNATNPECSPFSLTVVPGKTYRLRIGSLTGLSALSFEIEGHNMTVVEADGHNVEPFVVQNLFIYSGETYSVLIKADQDPSRNYWASAHIVSRNSNTTNGLGIINYYPNHPKRNPPTSSPVGPRWNDTAPRIAQSQAIKALKGYIHTPPQTTDRVIVMLNTQNRIDGHVRWSVNNVSFGLPETPYLIALKQNFLHAFEQTPPPEGYDAANYDIYSVAKNVNATTSNSIYRLKFNSTVDVILQNANTMTVNNSETHPWHLHGHDFWVLGYGTGKFNISTDPKKYNLVNPIMKNTVPVHPYGWTALRFRADNPGVWLFHCHIEAHFFQGMGVVFEEGVEKVGRLPTSIMGCGETKRFFKP >CDP02139 pep chromosome:AUK_PRJEB4211_v1:7:1658158:1660516:-1 gene:GSCOC_T00039437001 transcript:CDP02139 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIFIWVFLFSFFLHFHSIKPDEEELRAALSNLITGLTESAPPNQASPWNLTSYPCRDHWDGVACDNRNSLRNITLDGLKLSGNLNASFLCDVQSIAASLTVMSLKDNNLQGESLAEIANCRQLTRLYLGGNRFNGSLPDSFLRLNNLKVLDISSNNFSGTLPDLSRISGLTEFSAQNNQLSGFLPSFEFSNFHTFNVSNNNFSGPIPSGGDRFPASSFTKNDQLCGPPLPNSCPSASSDSSDKPKGGYSKDEILTFLGYFLLGLTVLLATIVFLCRRCKKAEAKVEADSRVASVDDSISKQIYAPSNFKTGPVSKSDYSTASGESAVVPSSLIVLSSPEVSGIRFENLLKAPAELLGRGKHGSVYKVFSEEMGMILAVKRIKDWTISSYDFKQRMRRLDRVKHPNILPALAFYSSNQEKLLVYEYLQHGSLFNLLHGTQMGRTFDWSTRLDVAASIADGLAFMHQELREDGIAHGNLKSSDVLLTQNMEPYISEYGLVLDSQDPSLAGSDNSYQGNGEHLNRAIFRADVYAFGVILLELLTGKLVQSDGLDLASWVVSVVREEWTVEVFDRTLIREGASEVRMVNLLQIAIKCVNRSQEARPSMNEIAAMISTLKEEEDKSMDDASALISTSIYERSP >CDP02641 pep chromosome:AUK_PRJEB4211_v1:7:5476497:5476831:1 gene:GSCOC_T00040095001 transcript:CDP02641 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPSHPERDFCLSVYQGLAGKRLLELMHL >CDP01612 pep chromosome:AUK_PRJEB4211_v1:7:10247203:10252657:1 gene:GSCOC_T00036714001 transcript:CDP01612 gene_biotype:protein_coding transcript_biotype:protein_coding MSERRQLNNVVGWLGIFLQILLLTECAVTKKRFTVKDSKDLKKFPPVQLHRQKDHVVVDNGLVKVTVSVPGGTVTGIEYNGIPNLLETRNKETNRGYWDVVWKFPHHSAFVEKLEGTSFDVITEEDSGVELSFTITYDNSINSPQLPLNIDKRFILLRGHNGFYTYAIFERLEGWPALDVSQTRAVFKLEEKLFQYMAISDKRQRIMPTLEDRERGKTLAYPEAVLLTNPANHSLKVEVDDKYQYSSDVKDTRVHGWICTNPPTGFWMIIPSNEFRIGGPMKQELTSQAGATTISSFHTNHYAGEDMRQQFRDGEPWKKVYGPVFVYLNSVPESGDPDSLWEDAKQQMLQETENWPYDFPRSEDYLSADQRGSVSGRLIVRDTGKNQDLKNGSLAYVGLALPGNAGSWQMENKGYQFWTQADNNGNFSIENVLPGNYSLYAWVPGCIGDYKYDSYIIISPRTRITLDNVIYEPPRNGPTLWEIGIPDRTAIEFFVPDPSPRFTNPLYLAYEKFRQYGLWDRYSEIYPDHDLVFTVGDSNYETDWFYAQVNRNIGNHTYIPTTWQIAFDLQNIDESATYTLQLALASSTGAVLQVRINDPNARNPIFNKGLIGKDNAIARHGIHGLYRLYSIGVSGSQLVQGRNSIFLTQSRNSFPWEGIMYDYIRLEGPPQDN >CDP02177 pep chromosome:AUK_PRJEB4211_v1:7:1898705:1902490:-1 gene:GSCOC_T00039490001 transcript:CDP02177 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIPIAMEENEKMVALKKAYAEMILNTAKEAAARVMAAQRKAKMFEHDLNRTKEEALRMLLRFKQMLEDKNAEAEVASSNQQRRIQELELQLDEAEGLILDLRAKLDKVHEQLDNAKSKPVQSLRPNEMVDMSKASSWDPSTSVHQCPSPFIGTALSSSQFDNGLVDNPVFAYIVLEKKEPESHRNTWTQKIHAIEKGLVDEKMSQVEDSHSLAKSISIIQRNGGNRSLPSITEKLSGIENIMKEAPFLEDNATKHRPVKIQNLCRRKTIYGRTKASRCRYISNPCVKPQRMSSVIARCKSYTISGKDVDKSERTSSKNQNITEAENGSELEEKAPLGKYRLVRRSVRKRKVKYWDDFATSYRPVRTHPCQFEECCQKDKRGAQSGEYLLEVKHEGELEDAKGKAAFATVSSTKTGGASCFDGDAESETKLIHQPMLVKHTGVVPEMELENENSVPSEKRNAKASELTKNFLSSPDSSNPLLYTFSRKRKNGSLVNHAESCSLRDSSMKRRSREQKDIELVADSSTLENEPTSDGRGLVKVARQLISLSGKMWW >CDP01659 pep chromosome:AUK_PRJEB4211_v1:7:9831282:9831669:-1 gene:GSCOC_T00036780001 transcript:CDP01659 gene_biotype:protein_coding transcript_biotype:protein_coding MCCQRMVVFAKCLCTKHFIYGEVKAATDACSCPSMFLSTIKIKTVFTIIKTFLSMHSINETRVVLARICSPYNR >CDP02212 pep chromosome:AUK_PRJEB4211_v1:7:2160328:2162854:1 gene:GSCOC_T00039535001 transcript:CDP02212 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFLLGLIIAATTFRVVVNGSESGLEIYELKKGDFSLKVTNFGARIMSVVLPDKNGKPADIVLGYDSVKDYLNDTAYFGAVVGRVANRIGGAQFTLNGVHYKLDANEKQNMLHGGKKGFSQLIWKVEEYVKDDANPFIVFAYYSLDGEEGFPGNLLVRVSYALLEPYKLRVIMEAEALNKATPVNLAQHSYWNLGGHNSGDVLSDEVQIFASHFTPVNNQLIPTGKISPVKGTPYDFLKPHTIGSQINQLPSGFDINYALDGGGDEDNDMKVAVIAHSKKTGIGMKISTSAPGLQFYTANHLKDVTGKSGYVYQAHAAYCFETQGFPDSVNHPNFPSQIVNPGDVYTHYILYEFKTKHE >CDP01923 pep chromosome:AUK_PRJEB4211_v1:7:7676870:7680199:-1 gene:GSCOC_T00037106001 transcript:CDP01923 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKTKAYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >CDP11575 pep chromosome:AUK_PRJEB4211_v1:7:20286332:20287228:-1 gene:GSCOC_T00033906001 transcript:CDP11575 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAMSNTEFLKLGGSVKDRVAMKIIEEYHSMYSSSSGLEKWVVKIEQPIDIFLTIPIASVSLI >CDP01829 pep chromosome:AUK_PRJEB4211_v1:7:8377696:8381324:1 gene:GSCOC_T00037000001 transcript:CDP01829 gene_biotype:protein_coding transcript_biotype:protein_coding MVAINFRSLLLFSAFLRIFLIIYGEWQDNHMEVRYTDVDYLVFSDAASLMASGRSPYERSTYRYSPLIAFLLIPNSFIHPSWGKFLFSASDLLVGSFIHAILKLRGVPEKLCTYATMIWFFNPFTFTIGTRGNCEPIVCAMILWIIHCLMNGNICQAAVWYGLVVHLRIYPIIYALPIILVLDPLCFKFGKKPNLISWVPGGKQFSQSSFSTKVTDQNSIWLIVTSSFTWRRIVFGLLSGFMFFICTGFAFYLYGWQFLHEALLYHLTRTDPRHNFSIYFYHLYLHYEREFTTTEKLISFLPQIIVQLVLIFQFSQDFPFCFFVQTLAFVAFNKVMTAQYFVWFFCLLPLILPWSNMKLKWKGLGSTLLWMGAQTHWLMWGYLLEFEGKNVFIQLWLASVLFLAANTFVLISIIRHHRYSPVFRRVELAASENPGKSD >CDP12840 pep chromosome:AUK_PRJEB4211_v1:7:13945615:13946487:1 gene:GSCOC_T00037509001 transcript:CDP12840 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAGK [Source:Projected from Arabidopsis thaliana (AT3G57560) UniProtKB/TrEMBL;Acc:A0A178V7F4] MDDLSGMGATAVGLSGMDDLSGMGATAVGLSGMDDLSGMGATAVGLSGMDDLSGMGATAVGLSGMDDLSGMGATAVGLSGMDDLSGMGATAVGLSGMDDLSGMGATAVGLSGMDDLSGMGATAAGLSGMDDHLLTARPPSPNSGLLGFVGDIATGEPAVLRPLIDNGYIPVVASVAADKTGRLYIINADTVAGELAAALAAEKLILLTDVAGILEERDDPGSLMKEVDIEGARRMMNDEKIAGGMFQKVDCCVRSVAQGVRVASIIDGRVQHSLLLEFLTGGGAGTMITG >CDP01881 pep chromosome:AUK_PRJEB4211_v1:7:8034170:8040655:1 gene:GSCOC_T00037057001 transcript:CDP01881 gene_biotype:protein_coding transcript_biotype:protein_coding MEINEGRSLTETPTWAVATVISVLVGFGFFIHGCLRRLAEWLDETKRKPLLAALEKIKDELMLFGLLSLLMGHWIIFVAKICIKSSASSTRFYPCAPGDVVKGTAFLDHNVVFRAENLNTSFPSGEQNFCPEGQESFASRESLEQLHRLVFILGVTHVLYSFLALALAVIKIYSWRTWENHAKLVALQLSQDSGAASGRMARVSSFIFNHTSHPWSHHRVLVWLLCFGRQFWSSINQADYMALRLGFINTHQLPLNYDFHKYMIRSMEEEFRDIVGISVPLWIFTISCVILGFHGTNIYFWISFLPAILILLIGTKLHRVVVKLAVEITETIPQAGFHQFNLRDELFWFGKPRLLLRSIQFITFQNAFEMAIYLWSLWEIKGASCFTENHIFLVIRLAFGLVSQFWCSFITFPLYVIIAQMGSKYKKSIVSENVRRSLHGWRNKARTQQENPFFPLVTTESTMSLDSMAEDSGDDPSSSSGGGFQRIEGSTSSDDLGRPSTEQTQKPNNDISPSDEKHHTCGSQSGKRAEICDCERHESDDDDDVGR >CDP02182 pep chromosome:AUK_PRJEB4211_v1:7:1969960:1972267:1 gene:GSCOC_T00039499001 transcript:CDP02182 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLSSIGQTKNEHELYALMSPYRDRSLSIRFMVTLLSRESDWQRSLALLDWINEEALYTPSVFAYNVVLRNVLRAKQWQLAYGLFDEMRQRALSPDRYTYSTLITHFGKEGLFDDALSWLQKMENDRVSGDLVLYSNLIELSRKLCDYSKAISIFSKLKSSGITPDLVAYNSMINVFGKAKLFREARLLITEMKSVGVVPDTVSYSTLLSMYVDNQKFVEAISVFSEMNEVKCPLDLTTCNIMIDVYGQLDMAKEADRLFWSMRKLGIEQNVVSYNTLLKVYGEAELFGEAIHLFRLMQRKDIEQNVVTYNTMIKIYGKTLEHEKANNLIQEMQRRGIQPNAISYSTIISIWGKVGKLDRAAMLFQKLRSSGIEIDQVLYQTMIVAYERAGLVGHAKRLLHELKCPDNIPRETAITILARAGRIEEATWAFRQAFDAGEIKDIAVFDCMINLFSRNRRHANVIEVFERMRIAGYFPDSNMISLVLNAYGKLQEFEKADSLYREMQEEQCVFSDEVHFQMLSLYGGKKDFEMVESLFQKLDSNLNINKKELHLVVSSIYERAGRLNDASRIMRLLSERGILRS >CDP01650 pep chromosome:AUK_PRJEB4211_v1:7:9925341:9929308:-1 gene:GSCOC_T00036768001 transcript:CDP01650 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEMVIHNGGCHCKSVRWRVQAPASIVVWQCNCSDCSMRGNTHFIVPSIRFELLGDSKQFLTTYTFGTHTAKHTFCKICGITSFYVPRSNPDGIAVTFRCVDPGTLNHVEIKQIDGRNWEDSVSQTGIAACSKVLDKESK >CDP12895 pep chromosome:AUK_PRJEB4211_v1:7:13245981:13249549:-1 gene:GSCOC_T00037578001 transcript:CDP12895 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRKEKNTPWLSVPQFGDWDQKGVMPDYSMDFSKIRENRKQNKRDPSRASLGNEEELVSSATSNSIASHSDDHHHFHQNNSPTGRRSIFSYFNCCVRA >CDP02725 pep chromosome:AUK_PRJEB4211_v1:7:6095714:6097831:1 gene:GSCOC_T00040200001 transcript:CDP02725 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLDGESETKMRSKEQYIILSAVLPLLKLLCLTIFGLILAHPKTQFIPKATFKLLSKLVFALFLPCAIFIQLGESITFKNFTLWWFIPVNVLISTAIGCVLGFLVAIICRPPPEFFRFTVIVTAFGNTGNLPLAIVGSVCHSSSNPFGQECQRTGVAYVSLGQWVSALLVCTLVYHMMEPPVDYYEVVEDEQGEIQEHVSTNDLSRPLLVEAEWPGMEENETEHCKTPLIARVFTSVSSISESNLPNPDSLEEGEPRSPESIRCLAEPRMVRRIRIVAEQTPVRQILQPPIIATILAFIIGMVPPIKSVVYGDDAPLNFVTDSLEMMAAPLVPAVILGIGAMLAEGTDNNESRLGIRTTVGIIVARLLVLPLIGIGVVHLAGKANFVIHGDQMYIFVLLLQYTTPSAILFGAVASLRGYAVSEASAVLFWQHIFALFSISLYIILYFKLLLYNDEV >CDP01602 pep chromosome:AUK_PRJEB4211_v1:7:10327484:10332739:1 gene:GSCOC_T00036703001 transcript:CDP01602 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPETEHPVKAFGWAARDTSGVFSPFNFSRRATGEKDVQFKVLYCGVCHSDLHMSKNEWGVTQYPIVPGHEIVGVVTEVGSKVEKVKVGDKVGVGCLVGSCRSCDMCSQDLENYCSKQILTYSATYTDGTITYGGYSDVMVADEHFIVRWPENLPLDAGAPLLCAGITTYSPLRYFGLDKPGMHVGIVGLGGLGHVGVKFAKAFGAKVTVISTSENKRKEAIEKLGADAFINSRDPEQMQAAAGTMDGIIDTVSAVHPVVPLMNLVKPHGKVVMVGVPEKPLEFSAFSLIMGRKTLSGSGIGGLKETQEMVDFAAKHNILADVEVIPMDYINTAMERLLKTDVKYRFVIDIGTTLDRRMLVIYFTKSPEEQHPIKAFGWAARDVSGFLSPFNFSRRETGEKDVQINILYCGICHTDLHCAKNEWGSSKYPIVPGHEIMGVVTETGGKVTKFKVGDKAGVGCMVGSCLSCENCSNNLENYCKKIILTYNSVYHDGTPTYGGYSDILVANEHFVVRIPDNLPLDAGAPLLCAGITTYSPLKYFGLDKPGMHIGIVGLGGLGHIAVKFAKAFGVKITVISTSLHKKKEALEHLGADSFLVSTDKDQMKAAMDSMDGILDTVSAVHPLLPLISLLKSHGKLVMVGAPDRPLELPVFPMLAGRKILAGSGIGGMKETQEMLDFAAEHNIVADIEIIPMDYVNTAMERLSRADVKYRFVIDVANSLKAA >CDP02032 pep chromosome:AUK_PRJEB4211_v1:7:6909053:6911838:-1 gene:GSCOC_T00037245001 transcript:CDP02032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIKE COV 3 [Source:Projected from Arabidopsis thaliana (AT2G18460) UniProtKB/Swiss-Prot;Acc:F4IQJ6] MGSSSREKDRDLERLIPIGSLGISDNVNGLASKSSSPSESPLASTSLSHHAGKEAFSKVIRSWASKKFMSGCVILFPIAITFYITWWFIHFVDGFFSPIYAHLGISVFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKKMPIMSYIYSASKQISAAISPDQNSHAFKEVAIVRHPRIGEYALGFITSSVILRKSSGSEELCCVYIPTNHLYLGDIFLINSKDIMRPNLSVREGIEIVISGGMSIPKILTIVDVQSILSPRVGKFAVPQV >CDP04770 pep chromosome:AUK_PRJEB4211_v1:7:14857258:14858062:1 gene:GSCOC_T00018865001 transcript:CDP04770 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKEQLTGILLLARSSNPLKCRKEEVRVYVPVIRISLAVLGVLISVIAGVYVRRNQVWAYKQILLRSRNVEFLENVAPRAFTFADLEQATNEFREVLGRGTFGAVCKGIMPDSEKVVAVKKLEKVLAESEKEFQNEIKVIGKTHHRNLVRLLGYCLDGAKRLLVYEYMSNGSLADVFFSCMKNVRHKIIHCDIKPQNILMDKNRCPKISEFGLAKLLKHDQTRTYTNFRGTKGYVAQENACDS >CDP01685 pep chromosome:AUK_PRJEB4211_v1:7:9571191:9572786:1 gene:GSCOC_T00036818001 transcript:CDP01685 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLPMKFARSNDLSNRSCKLILKDPKKRSWHAELNSRGSRVCISFGLDEFFTANDLKEGDTCSFELVENGETPVINFLTHLTKDDQPPPQPATDNHSYFVSTIKPYNIKRCVLHLPVKFAKPNGLTKLKGEMIVKDDRQRLWKIKLKDRGDRVVLSSGWSHFSRANGLKVGDRYKFEIIKKGKRPVVNFHCEYFFPVYFMPC >CDP12882 pep chromosome:AUK_PRJEB4211_v1:7:13356352:13358906:-1 gene:GSCOC_T00037563001 transcript:CDP12882 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKQGSSSLLDLKYLNYFDLSMNNFQSNPVPDFLGSRDKMSYLDLSYSKFAGLVPPHLGNLSNLQYLEVLDLSSNFSNSSQPGIWIVDLSWITHLTSLEYLNLGFVNLSTVNNLQPSTPEWLFNLTSLSFLDLSYNDIRGNISVLLGGLGKCCNGSLEELYLVSNQLSGQLPSSLGFFKKLRYLYLFDNLISGPIPASVGSLLNLEGFDLSNNRMNGSFPQDIGNLTKISSCKAAKIPTWIGESLASLSELKLRSNMFNNNIPEQSCHLSHLHILDLADNILSGPILSCLGNLTSFRVKSSFETVSTYQLYPFIPLMELVVKGREFNLSNTLGLVNSIDLSSNNLVGTIPEEITNLLILGTLNLSNNHLTGKIPEKMGSLRRLETLDHSYNQLSGQIPPSMFSMTLLNHLNLSHNNLSGPIPSTNQFLTFNDPSIYQGNAELCGNPLPTRCNASNSTDTENQGYTRNGDSEDENEKDDEVISFSVGVGLGFVSGFLGVIGSLLLNKAWRNTDFHYIDGFLKKALAGVAKKRD >CDP02204 pep chromosome:AUK_PRJEB4211_v1:7:2093999:2099494:-1 gene:GSCOC_T00039524001 transcript:CDP02204 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNNTVDPLPSAEQRPTTIMDLNMDSLVHCTSHLNLQDISNMAMSCKYLRAVAYSDSVWQSLSRARWPSPIPYYNSQKSSVRDAYLARHTALEQLKFEDPVIDNFLFNLKSHDHLLFLDNRIILSEGPVIHTLDIGINSGGYDSVLTLNDHRARITCMRLFSLSDTTVCRSGELRNSTVLVTSSCDHTIRLWSKGSCYRCYRGHSGGVTTLSDKLLGDSNEKIFASGGVDGTVRLWSSYPKGKRGQQALKATLYGHEKPVILMVVAGHRSSLLVSMSKDSKVRVWDAFTSSAARSSCCVGMTSVYGVPVGMKCDGSLLYVATGSSVVVIDLRTMREVSTVMHKSQLYSFDVLPSRSLICTGATGSAKLWDLRRSSETFKAEPLAELDGHEGPVKHICMDAYKIVTGGPDDCYVKVWEVDTGAFTNSLNCAPDHPTPGFGCSAVAVRGCRIVTAGSNGGEGSLCYRDFSSATQPVSSDNSIPASKFWDSSSYSDTDESYD >CDP02400 pep chromosome:AUK_PRJEB4211_v1:7:3543784:3546639:1 gene:GSCOC_T00039766001 transcript:CDP02400 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVVRFPIYGVVRILGIAVTVTVLTWTVHYRGGLALVSTNKDLIFNVHPVLMVIGLVLLNGEAMLAYKTVPGTKSFKKLVHLLLQFLALSFSVIGLWAAWKFHNDKGIDNFYSLHSWLGLACLFLFAIQWAAGFVTFWYPGGSRNSRASLLPWHVFFGVYIYALAIATCTTGILEKATFLQTNQIMSRYSKEALLVNSLGILIVALGGFVILAVISPANGKSDVTKSTVE >CDP01588 pep chromosome:AUK_PRJEB4211_v1:7:10454514:10456068:1 gene:GSCOC_T00036686001 transcript:CDP01588 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLWFSSIPSQLYKPLDPEPDNPLKFNPKVQLLRSSKPCNAAKTDENENGQNSVKTLPLPSHVKPITSTSNPFVKHCLKLRQSSSYRHSHGSVILVGSTPIRELYRFQNKIEDGLAAIECLLVLDNTNVPEYLNNQSI >CDP01827 pep chromosome:AUK_PRJEB4211_v1:7:8387830:8389484:-1 gene:GSCOC_T00036998001 transcript:CDP01827 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTIWFLLLGLIIAADFGSAEVPAIFIFGDSTADVGTNNYLEGCKAGADNRFYGIDYPHSKPTGRFSNGFNTIDLVLRQLGDFEESPPAFLYLVQNMSCFKSNILHGVNFASGGSGICRETGSRPFTNVVPLGKQIEQFATVRGNMTELLGEAKTESLLANSLYIISVGSNDLLEYVRYDFKNKSSPSLLSNLTFAYHNHLQNLYNLGAGKFGIVSAPPIDRVLPAVRVYTGVKFSLGNAYVIATDIIGNPLSHGFKNVKEACCGTGAFNGEDKCTPKSKLCQKRKDFFFWSWNHPTQNVSYLAANKLVYGEADEYVTPINFNQLASILRSMFPLTTPSLPSQNPQKNPKK >CDP04708 pep chromosome:AUK_PRJEB4211_v1:7:15612984:15614149:1 gene:GSCOC_T00018772001 transcript:CDP04708 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIGATGVPVRFDALPIEEGIDFHFILGFAIDADPLGKPQNGTFSPYWASTLTPKSVATRAIKAKHPNVKVMAGLSGCSIGKKALNWYIPADTQHWISNAYSSLRSMVETYHLDGIDIDNKRFGRHNDSFAYCIGELLSLFKNQSIIFFASIALFYSIVIPYIKLYKDSGDVIDYVNHQLYTHRVRTPGGYFEAFKLRTAQFDKSKVLP >CDP12851 pep chromosome:AUK_PRJEB4211_v1:7:13789418:13795105:-1 gene:GSCOC_T00037524001 transcript:CDP12851 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQEAVHFDKITARLKKLSYGLSSDHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIAVSNLHKNTKKSFSETVKDMYGHVSERSGLKAPLIADDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVAVGIHKDDIDSALRTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLLCMKEDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMERVQKNEQWTLFCPNEAPGLADCWGTEFERLYTQYEKQGKAKKVVQAQNLWFEILKSQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVREKGFTSESQPSKLVGSRGSANRFFDFDKLAEVTAIVTSNLNKIIDINYYPVDTAKRSNFRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYYALKSSSELAAKDGPYETYDGSPVSKGILQPDMWGVTPSSRWDWDALRAMIATNGVRNSLLIAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWTPALKNRIIYEDGSVQKIPEIHEDLKAIYKTVWEIKQRTLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSKGLKTGMYYLRSRAAADAIKFTVDTAMLKDKPKTTADDDSKMAQMVCSLANREECMACGS >CDP01886 pep chromosome:AUK_PRJEB4211_v1:7:7996639:7998570:1 gene:GSCOC_T00037062001 transcript:CDP01886 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKIILVLMVIFIVTTGGETCHPNDLKALTDFKAGIRYDTSFRLEKWNGSTDCCKWEGILCDNSTGRVIELNLPGLLTLYDAPAQTVMDGQLSPSIALLSSLEVLDLGEVLELKGQIPPLIGNLTKLRKLLLDTNKLSGPIPDSIGKLPKLEELCLKENYFSGSLPFSLGSLRSLKSLDLSSNKFSGSIPDSMENLTDLESLTLQENFLTGHIPNNIGNLRALKELDLSNNFLTGGIPFSMNKLNSISSIFLGNNQLEGEIPFPSSPSQMSSLAFLRLNDNHLTGRLPLFFGHLKSLQRVIIDNNQIEGPIDSNFSDLKALTMLYLSRNRFSGKLPRTIGLLSQLQFLDLSYNMIQGPLPLEMSTLTNLQLLDLSFNHLNLSSIPTWLVELPSLFQLHLAGCGIQGEIPGYLERPASILQELDLSDNHITGSIPAWIGSFTSLYLLNLSQNSLASKIPDTVTKLGRLGVLDLHSNRLWGPLNLVFQMQSSGGLTYIDLSDNSFSNDIEQADMGTQQGIQYLNLSHNFLHGKVPTSVGRLQSLQTLDLSYNRFDSVLPESLANASILESLELQNNLFTGRIPDAFLKLTKLKELNLSDNLLSGQIPFGEPLINFPKSSYSGNKGLCGKPLATCSPHSVYPYIT >CDP11573 pep chromosome:AUK_PRJEB4211_v1:7:20165182:20166042:1 gene:GSCOC_T00033902001 transcript:CDP11573 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVKECQCAGVDATLKLGGEINTLPNKCEREDNWIAMDPSKIEEIKKFVEEDYKKRTGNNLMIEFVKTTSKSPSGVIYYGLTFTANDGKEYRAQVKEEVNEELAKQLVCFQPIEVDCY >CDP16745 pep chromosome:AUK_PRJEB4211_v1:7:872512:874554:-1 gene:GSCOC_T00019226001 transcript:CDP16745 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGRRLHSSAASAIQEYYRPNTRRFCHRSHGRRRPWLLHPPPPACRALLPVRSSLHETLSSSVVPVALAAHHLGLQHPRSPPLMTILLFLADSIVSGGGYSQASYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFTAVSDGEGNLMPNQVAGAILSFFARNNFVVLERGETITFEGMMVPSRSQAALLTFCTCISLASVALVLTITVPDAGNNWFWLTTLSPLAGAYYWTRASRKEQIKVKMIVAENGSLSEIIVQGDDQQVDRMRRELQLTEKGMIYVKGIFER >CDP02584 pep chromosome:AUK_PRJEB4211_v1:7:5066148:5067527:-1 gene:GSCOC_T00040016001 transcript:CDP02584 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFTGTLQSPPLHQISPFVVPSMNKNQIHRTRPWPGFPTSKSSLGGSFGDANCMEQLLIHCANAIESNDATLTQQILWVLNNIAPPDGDSNQRLTCGFLRALIARAAKSGNSKLLSAMANVNTNMGINTHKFSIIELASFVDLTPWHRFGFTAANTAILEAVEGYSVIHIVDLSLTHCMQIPTLIDAIATRLEGHPLVKLTVACITDGIPPVIDLSYEELGSKLINFARSRNVVLEFRVIPSTSADGFSSLFEQLRMQQIVRADNGEALVINCHMMLHYIPEETLHQLESSQNASLRTMFLKRLRSLEPTVLVLVDEDADLTSNNLVSRLRSAFNYLWIPYDTVDTFLPRGSKQRQWYEADICWKIENVIAHEGLQRIERLEPKNRWVQRMRSSSFKGVSFSEDAFSEVKSMLDEHAAGWGLKREEEDLVLTWKGHNVVFATAWVPT >CDP12915 pep chromosome:AUK_PRJEB4211_v1:7:13037561:13038586:1 gene:GSCOC_T00037608001 transcript:CDP12915 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEKKVVEDVSGWLRVFDDGSVDRTWTGPGEVKFMSESVPPHEEFIDGVATKDVVIDQESGLQVRIYLPQNTDDKNLNNHHKLPIILHFHGGGFCISQADWFMYYTVYTRLARSAGAIVVSVYLRRAPEHRLPAACDDGYAALLWLGSLAQGKVEPEPWLGEYADFSRVFLIGDSSGGNIVHQVAARSGNDDLKPVKVAGAIPIHPGFVRKERSKSEQEQPQSPFLTLDMVDKFLNLALPIGSTKDHPITCPMGDGVVPKIQNLNLPPYLFCVADCDLIKDTEMEFYEAMKKAGKDVELLLNSGVGHSFYLNKIAFDNDPTTAKETEKLFTGIIEFIKKH >CDP01717 pep chromosome:AUK_PRJEB4211_v1:7:9315606:9318150:1 gene:GSCOC_T00036856001 transcript:CDP01717 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNQKIGTSTCNNSLWAKEEDQAFENALAIYSYDSNLWEKIVAAVPGRTVEEIKIHYEVLVADVNAIELGLVPLPHYADSFMNYRGISMRSNRDHKKGRPWSKEEHRLFLQGLDKYGRGDWRSISRLCVRSRTPTQVASHAQKYFKRMVVVDKKMMSSTHDVTILDGGKIVTQQVQTTQLVAGASGGSFIDTGLYPSENNKVGDRYLHGSLIDSQCNIISHVPNTEDISAGINLLESLLDSLADTLTPQGPSSQKISESTGVHLCTLVNVNQHMPTYGEVVIEDTITPADLEIFNSLFIFQHNMSNSQGPIMGEVIGTVNMLNFEALPFPAHLDFDVHAPPTASQLTLELLPSVRIQGSFPYPGSLMFEMDSPNFVAFLCDEPDDMATPAY >CDP02443 pep chromosome:AUK_PRJEB4211_v1:7:3875494:3877345:-1 gene:GSCOC_T00039821001 transcript:CDP02443 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKAKASPSFFKVLVPGFYSKLSMPPLFWKTFEHLLAESALLQIKSGETWSVKIERIGEQYFFTDGWPKFVKDHGLKMGEFLVFWLVLGKNNSTTIFEVAMYGTTGCDKDLNPSAPIHDPRHFLPQLVDQPNPEINRNPLTQPSKSAVQGSRKRKSKKIRYDKGSLDAGKYYTKVLANYHRYRMNVKMPFAKKHGLVNKSEVMLQNTNGASWRVELRLYDNDMLYMCKGWTDFLENNALELGQEYAFEFIPETDSIRVQPFKKR >CDP02305 pep chromosome:AUK_PRJEB4211_v1:7:2846252:2849558:-1 gene:GSCOC_T00039661001 transcript:CDP02305 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSQKETKNGTPIERMWRWRKLNGDANAREGNENRLTGWAGKKNFVYFRFSRVQRLQRRRRRRRRRRVFGPSQIIIIPYEKAINSPISCSFTVSKLSFRETHSFAVRMPCDEILKAVFPLLEGADLAKCMVVNKQWREIAQDDYFWKCLCAKRWPAICKRPQPPTVTYHKLFKTFYKRQHRRALLPPRLSLNDLEFYVDIWTEDRLIFSEVVPGPTLQNGIWIPPPGICDVLKYHLEGSGYKMILPVEPRFTIPLSHSVSVSVLVVRKDSNKVACIIDKSVFDYIDRTAYRALAFDYLDISPSNPFVSEIRAWISLLFLDNGNNEGIIDVFGIELDFCDAANSEEEVLWLLDILDWK >CDP02426 pep chromosome:AUK_PRJEB4211_v1:7:3732167:3733125:1 gene:GSCOC_T00039801001 transcript:CDP02426 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFDLYSGVTCRPKEAIQVKDWQEKRKVATVMVMYLDTEDPFIISAVVGFVMGGFLFMRLTN >CDP08432 pep chromosome:AUK_PRJEB4211_v1:7:24438979:24440891:-1 gene:GSCOC_T00027312001 transcript:CDP08432 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLICILGEQLERESRLFFDIKYFQECVSNGDWEEMEKYLSRFTNAEDNPESFIIFFEIRRQNYYEAHDKNERNMMLDILKRDLEVLTHSQADLYRGLVRLFQLNNFRCILQQKLSYHGDENSARILLMAKLKQLIEANPLIGDKLQFPTLQKSRLDTLVKLSLYWQIQQCDTKVSNHKLPKNVLYEDPYCDQATDTIPCSSWSKFNYYLLVCASIG >CDP02328 pep chromosome:AUK_PRJEB4211_v1:7:3006123:3008284:-1 gene:GSCOC_T00039688001 transcript:CDP02328 gene_biotype:protein_coding transcript_biotype:protein_coding MDHADILSNLSLADACNQPTNGTINRIMTTDQGPGISMTKESVIPPLQCANNAEYLSNVANGGLNVLVVPRHIAARSPVCSRRKLLILDINGLLADIVMPPPLDCKADTHISGRAIFKRPFYYDFLKFCCERFDVGIWSSRSKRIIDRVVDYLLGDLKHKLLFCWDMYQCTDTGFRTLENRHKPLVCKELRKLWEKHYPDLPWEKGDYDESNTLLVDDSPYKALLNPIHTGIFPHSYCYRDTSDNSLGPGGDLRDYLEGLALTEHIPKHIEQHPFGQKAIDETSSLWGFYSRVLHRLSGQSIRDTDPLSFSYV >CDP02490 pep chromosome:AUK_PRJEB4211_v1:7:4251480:4253463:-1 gene:GSCOC_T00039884001 transcript:CDP02490 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETSAPLLVDYINGLPKSNESFPDHEHHGSRPTLPEVAEEIKKLYTIAFPMIITGLLVYGKSMISVLFMGRMGKDALAGGSLSSGIANITGYSIISGLAMGMEGISSQACGAKQWSVMGQTLQRTIVILLFASIPISLLWLNIQPILVFCGQDSNISSVAANHLAFCIPDLVFQSIIYPLKIFLRTQNVTLPLILSAVFSLALHAPVNYLLIHKLGLGIRGVAAASSITDFATLATLVLYIIFSGVYKRSWPGWSLQCFNQWKPILSLALPSCVSVCLEWWWYELMILLSGLLSNAAEVVATMGILLQATSLVYIFPSSLGLAVSTRVGNELGANHPSKARISCLVALACAVFTSIAAMSFVTICRNAWGRAFTQDKAILSLTALSMPVVGLCELGNCPQTAGCGVLKGCARPVLGATINLGSFYGVGLPLAIITGFGLGKGLLGLWLGLLVAQAVCSVLMLFVLRGTDWMDQANRASELIGITVDPDRESRGEIRNME >CDP01832 pep chromosome:AUK_PRJEB4211_v1:7:8369305:8369903:-1 gene:GSCOC_T00037003001 transcript:CDP01832 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQD20 [Source:Projected from Arabidopsis thaliana (AT3G51380) UniProtKB/TrEMBL;Acc:A0A384L5J3] MGMPGKKWFRVGRRQLFRSAPRETLIVLHNKNTSRSCFSEATTTRLSEDIRISVSKEELAAIQIQACFRGHLARRAFRALRSLVKLQAVVRGVCVRRQARIALHCMHALARLQVTIRARQLLLQ >CDP01807 pep chromosome:AUK_PRJEB4211_v1:7:8599986:8601817:-1 gene:GSCOC_T00036974001 transcript:CDP01807 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATPHSLLLKNPTNPLKPTSTQLAAFPTTTLSRLATTRKPISITCSAATTTTPLPTTPSTSASSDRVFNFAAGPATLPENVLLKAQSELYIWRDSGMSVMEMSHRGKDFLSIIQKAESDLRTLLNIPSDYAVLFLQGGATTQFAAIPLNLCQPDDTVDFIVTGSWGDKAYKEATKYSKPNVIWSGKSDKYTNIPSFDSLEQSPRAKYLHICANETIHGVEFKDYPTPKDPNTILVADMSSNFCSKPVDVSKFGLIYAGAQKNVGPSGVTIVIIRKDLIGNAQPITPVMLDYKIHAENNSLYNTPPCYGIYMCGLVFEDLLAQGGLVEVEKKNKKKAQILYDTIDASNGFYRCPVEKSVRSLMNVPFTLVKPELEADFIKEAAKEKMLQLKGHRSVGGMRASIYNAMPYAGVEKLVAFMKDFQARHD >CDP04627 pep chromosome:AUK_PRJEB4211_v1:7:17239851:17241732:-1 gene:GSCOC_T00018641001 transcript:CDP04627 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTQPFQKFSYHPDNLPQQLNAGQGSFGSVFECKVKLDDRPCAIKKIHSVKLEEEDQSLINEVRMLSLAQHPNVVGYHQAWTEDYREPSNLYSYNSGSSSYCRTEKMMYVHMELCKGYVLILTDSQVLFYFSLRVESCMSNTHKILEALQFIHGKDIIHRDLKPDNIFIDDSGTVKIGDFGLALQMDVSSTTNSLPVGAYLYRAPEMKKGVPTYKPTNKVDMYALGLILFQLFCPRQCSERKMLKLRDSPEPVCEKYKVDETAKHLILELLQTDPLKRPSAADLLRRLDGLEVDILDSCISI >CDP01459 pep chromosome:AUK_PRJEB4211_v1:7:11858808:11863192:-1 gene:GSCOC_T00036512001 transcript:CDP01459 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLEVWLKNLVANSLESKQVQYLAEAHDFSLHLNGFIGGGLFLEPSIPSSFVSLVNPNNSSNSLSLVGVSCSGDRRSRRRSRRRGIVACGGSGRGRFLSVTLSTVKGGEGGEGIGEVLLQDAGKSVECETPAFEEGEKKKEGESKVREVKGKALNTVKHLWAGAVAAMVSRTFVAPLERLKLEYIVRGEQKNLFELIKTIAATQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRNQLLRMSGNEETTHFERFVAGAAAGITATVLCIPMDTIRTVMVAPGGEALGGVIGAFRHMIQTEGFFSLYKGLLPSIISMAPSGAVFYGVYDILKSAYLHSPEGRKRLQHMKQQGEELNALEQLELGPVRTLIYGAIAGACSEAVTYPFEVVRRQLQMQVRATKMSALGTCMKIVEQGGIPALYAGLIPSLLQVLPSAAISYFVYEFMKIVLEVELT >CDP01828 pep chromosome:AUK_PRJEB4211_v1:7:8382703:8384288:1 gene:GSCOC_T00036999001 transcript:CDP01828 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEIDQAVEHIQHLDLFEIRGRDNDQRKILRIVGKYFPAKVVSVESVKKYLEKYIFPELEEEPFSIVYFNARVEKKDNFPGISALKSINDAIPVKVRNNLQAVYFVHPGLHSRLFLATFGRFIFTGGLYGKFKYISWLTYLRQHVRRNQIEIPEFVQDHDRHLELRPTIEYCLEIEHPRPRCSLIQSDSAFDVYSMRCIA >CDP01819 pep chromosome:AUK_PRJEB4211_v1:7:8501168:8502992:-1 gene:GSCOC_T00036989001 transcript:CDP01819 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWILILLTLAPLFTTSSLAEQCGRQAGNALCPNRLCCSQYGWCGSTPDYCSPSNNCQSQCSGSTPSPGGGGSTPGPGSGTGISSLISQALFDQMLKYRNDPRCPSNGFYSYNAFITAAQSFPGFATTGNDETQKREIAAFLGQTSHETTGGWPSAPDGPYAWGYCFITENGASGSFCDSPSWPCPAGKQYYGRGPIQLTHNYNYGLAGQAIGADLINNPDLVATDAVISFKTAIWFWMTPQSNKPSCHDVITGAWTPSGADTSAGRVPGYGVITNIINGGLECGMGTNDKVADRIGFYKRYCDLFGIGYGNNLDCYNQRPFA >CDP02142 pep chromosome:AUK_PRJEB4211_v1:7:1684714:1688159:1 gene:GSCOC_T00039442001 transcript:CDP02142 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMECTNVGLNTLFKAATVKGMSHHVFIVYSYALAAALLLLPSTFFFINRSNSRLDLPPLKFSILSKIFLLGLIGCTSQIMGYTGINYSSPTLASAISNLVPAFTFILAILFRMEKLAFRSSSSQAKVLGTVLSISGAFVVTLYKGPGIKLTNTIPIPQHLHTAQSNWELGGLFLTAEYILVPMWYIAQAQIMKEYPAEITVVFFYNLFVSILAAFAGIFMEPDLSRWKIRPNMALASIICSGIFGSFLNNTIHTWALRLKGPVYVAMFKPLSIVIAVAMGVIILGDALYLGSILGATVIALGFYTVMWGKTKEEMEECDDILETSANHKLPLLQNYNA >CDP02638 pep chromosome:AUK_PRJEB4211_v1:7:5464151:5466346:-1 gene:GSCOC_T00040092001 transcript:CDP02638 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGVGFLPTTISGNCAKKLIKLNRHLIKLTRSEQYAGALLLFSQINSSPHLRPDHYTLSAALTACANLRDRSTGNQLHANAIRAGLIFFPHVSNTLLSVYAKSQDLSSVKRVFNEIESPDDYSWTTLLSACAKLGDVEYACQMFDKMPQRNVAVWNAMITGCAENGSDEIAFRLFQKMHSLGVGRDNYSLASVLSLCSLELLDFGLQVHSLVIKSGLLAKTSVINALLTMYFACGRIGDAHGVFEEEKGIVHDQITYNAMIAGLVSMERKEDAFLMFKNMQSAGLWPTELSFVSIMSSSYCLTDAIQVHAQAIKMSFEDCTSVSNAAITMYSNCGNLNAARVVFERLIEKDNVSWNTMITSYANENLGREAILVYSHMQREGAKPDEFTIGSLLVSSESVVNVEMIHAAVVKNALLLKVEVSNALLSAFSRHGYINQAYRLFYTMNTKNLISWNTLISGYQLNGFPVQGLEQFSVLVLSGFIPNIFTLSIVLNICASISALRHGKQVHAYTLKVHYSLETSLNNAFITLYAKCGDLCSSLRIFHKMTSKDTVSWNSMISAYAQNGEGREGVRCFELMQDSDTKPDEATFTAVLSACSHSGLVNDGCRIFNSMVSTYGIEPDVDHFSCLIDILGRAGYLDETERLINSKHVDIDSSVWWTLFSSCAAYGNLSLGRVVAGFLLQTEKHDPAVYVLLSKIYADAGKWTESADVREWIKKLGVMKQPGRSWIRS >CDP08381 pep chromosome:AUK_PRJEB4211_v1:7:26663923:26664916:1 gene:GSCOC_T00027203001 transcript:CDP08381 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVYLILELSSIQELLCVINFEMPQSAVGYVHRIGHTRKAYNTGASVSLVSSEENDMFEDIKSIIGESDNMDSNFIAPFLLLTKNAMECLRYRSEVNIAFLYCIVSCNFLNLLCVKHLFMLTLLLWFFTLRLPQVIEAVLCCVAVLLCVF >CDP02298 pep chromosome:AUK_PRJEB4211_v1:7:2779163:2787612:-1 gene:GSCOC_T00039653001 transcript:CDP02298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MAASSYSATPTAMRAETLTRCCYSTTSTLIGFGSLHTSSKLLFTTSSDSRRAKRSLYVKSASSVPEQALQDTSTRLDEGASGDLVSFTPDAASIASSIKYHAEFTPAFSPEKFELPKAFFATAQSVRDALIINWNATYDYYEKKNVKQAYYLSMEFLQGRALLNAVGNLELTGAYGEALKKLGHDLENVASQEPDAALGNGGLGRLASCFLDSLATLDYPAWGYGLRYKYGLFKQCITKDGQEEVAEDWLEIGNPWEIVRNDVLYPVKFYGKVVTDSDGKRHWIGGEDIQAVAYDVPIPGYKTKTTINLRLWSTKVASELLDLHAFNSGEHTAACEAQSNAEKICYILYPGDESAEGKILRLKQQYTLCSASLQDIVAQFERRSQGQVKWDEFPEKVAVQMNDTHPTLCIPELMRILIDLKGMSWKEAWNITQRTVAYTNHTVLPEALEKWSYELMQKLLPRHVEIIEMIDEQLVDDILSKYGTSNPEILVQKLNTMRILENIDLPASVTDLLVKLQENKADDSSESLEVDDSSESLEADDSSESLEADDSSESLPIHDEAALVDEDNEHEEEEDIEKKKDAITEPSPPPPPPPPPPKMVRMANLCVVGGHAVNGVAEIHSDIVKEEVFNDFYELWPEKFQNKTNGVTPRRWIRFCNPNLSDIITKWIGTEDWVLKTEKLAELRKFVDIEDLQIEWRRAKRSNKTKVASFIKEKTGYSVNADAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMTAVERQANYVPRVCIFGGKAFATYVQAKRIVKFITDVGATINHDPEIGDLLKVVFIPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCVLIGTLDGANVEIREEVGEDNFFLFGAKAHEVAALRKERAEGKFVPDKRFVEVKEFVRSGAFGSYNYDELLGSLEGNEGFGRADYFLVGKDFPSYIECQEKVDEAYRDQKRWTGMSILNTAGSYKFSSDRTIHEYARDIWNVQHLEIP >CDP08397 pep chromosome:AUK_PRJEB4211_v1:7:26364924:26367351:1 gene:GSCOC_T00027226001 transcript:CDP08397 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSQTTMTNVKNTSLFSQVMTKDPPQIQSPVISTYALETLLLIVQMNVDYALVVIIFYLKACRNYQSNQHPYLRYLLQLHQIMLRHPIQLHKTMASRLKVKLEILHGIVRSNALGKKQLQRKVIQSYKALTHLIAYLTSQISYLRRKIVNIVMQKSSILKQLIFAALMVLLFSMTINCPVFLLNYSLLKQKKQFVSVHMLEHITICLLSLYLVFTTTRRSAKGTMGSTLSKFKDRLTILLIN >CDP02747 pep chromosome:AUK_PRJEB4211_v1:7:6244077:6246960:1 gene:GSCOC_T00040229001 transcript:CDP02747 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPPSSTATDPLPPLPTTPPKLRLMCSYGGHIVPRPQNKSLFYAGGETRIVALDRRTVCTSISALTAHLSRTLFGNRPFHLKYQLPNEDLDSLISVTTDDDLQIMLEEHDRISAVTSPTPSRIRLFLFPIKPESLGSALLDPKADSWFSDALNNTRIVQRGQSVDAAGLGQGLMGLDILGRSDCNAALENPAESMSNNGAEAKEISGAVPESLVLETSSSFGSTSSSISMSNAPAVGIHCEDGSVSLFERRLRVPSSASIESDNSVGSAGIQPKAGIHQEPYVQVASGVSSYSVETENYPPDPQSVIQMQKNVQLAGYQLSQQSEGKHHQYEVQYVQGDMRYMPQYQAGPSPLQSYYPLYQMPMLQQLHSSYAVNQPYPIYLVPVRPNQNYGVSVENTNVASSRPPLHPQAAMIPPVTYKEATTGQPVPESALKFYQTVPQATPAVSAPTTQGKQQFMELPELQHPSQTVVSAPVASSNYASEFDDDVAYTQIYKTQPSASALPLPYQTLMKGVNLMASESSSTQENMGIKHQTPSHPQ >CDP01433 pep chromosome:AUK_PRJEB4211_v1:7:12204811:12210136:1 gene:GSCOC_T00036479001 transcript:CDP01433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:Projected from Arabidopsis thaliana (AT5G65110) UniProtKB/TrEMBL;Acc:A0A178UA38] MASRTQNPGIQTPENDQENQQNPVTTLATTPWRRIERLSLHLNPFSSNHYDLSHQQMKMLICAARAKKIEVKVVELSSFMRGKHRDIQEQVFDYFKSRPELQTPLEISKDEHRELCMRQLVGLVREANIRPFKYVVEDPAKYFAIAEAVGSVDMSLAIKLGVQYSLWGGSVLNLGTKKHRDKYFDGIDNMEYPGCFAMTELHHGSNVQGLQTVATFDPLTDEFIINTPNDGAIKWWIGNAAVHGKFASVFARLMLPTHDTKGVSDMGVHAFIVPIRDLRTHKLLPGVEIHDCGHKVGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPSISKRFGATLGELVGGRVVLAYSSNGVLKIAATIAIRYSLLRQQFGPPKQPEITILDYQSHQHKLMPMLASTYAFHFATLHLVDKYCEMKKTGDEESVGDVHALSAGLKAYVTSYTAKSLNICREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAIDLLKQYKEKFRGGSLAVTWNYLKESMNTYLSQPNPVTSRWEGEDHLRDPKFQLDAFRYRTSRLLQSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILERFIEAVRSCPDEGSRSALKLVCDLYALDRIWNDIGTYRNVDYVAPNKAKAIHKLTEYVCFQVRNIARELVDAFDLPDYVIRAPIGLQTPGEAYSQYTQHVGF >CDP11576 pep chromosome:AUK_PRJEB4211_v1:7:20288094:20288769:-1 gene:GSCOC_T00033908001 transcript:CDP11576 gene_biotype:protein_coding transcript_biotype:protein_coding MVISQLHSHPESIFLYLNTLVEVHTTGNLKFSCLRKYGSLHFPSGRMAKHQSDRIKMFLEELNDFPKLLRSKPIQLTDEVTEQYLKVSISSTVDKVLERRDSCHHVILSSYRVENCLRLCQEYGIVDVASFLLDGSALMLILSGLNEKFIVLEASINSLVFQLLF >CDP16695 pep chromosome:AUK_PRJEB4211_v1:7:512940:517013:1 gene:GSCOC_T00019163001 transcript:CDP16695 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDFDRLLYFEGTRRQAEEKYAQNPYDADNLTKWGGALLELSQFQTVPESKKMILDAISKLEEALQVNPKNHDTLWVLGNAHTSQAFLTPDEDEARVYFDRATLYFQQAAAEDPSNELYRKSLEVAAKAPELHMEIHKHGSLQQAMGTAPTTSSGTKPSAKKKKSSDLKYDIFGWVILAVGIVAWVGFAKSHVPPPPPR >CDP02063 pep chromosome:AUK_PRJEB4211_v1:7:1141056:1143828:1 gene:GSCOC_T00039343001 transcript:CDP02063 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILCDELLQEIFHRLPPSASAAVSLVSKRWLRLLRSSTVALSLTFISTPLALPSLSSFLSHHPYLSSLSLSDSSSSSFSSSSHHLLQSIASSCPNLRHLRFLAEPVSGFSLFSLSNSCPHLSSLTITLSRPLCFQWLAPLRALKDLSVFITGSETELFSYNGFASVLDAELNLESLSLCGSRRVDYGLTFLWRNCKKLEKLKLKSCECVGDNASFSAFVKGLETLKEVELRTSRTVADGVLLKLAEGSVSLSSLLVYDGGSKEGLLQFISHSRADVQKLDLRLPLDLDNDHLIAVAENFRTLSSLRLQSCCLVTGEGLKTIGRALSEELEELALINCDVVERESGLLTTLGQNLKRLRKLDLSYNELLLDKELSAMLVSSSNLKELKLRGCNRLTNIAMVSMARRCKQLETVDIMYCCRIEMEAVELFLSNSSHLRQLQVEESKLSVVAKKLVSKNCIEIVA >CDP11557 pep chromosome:AUK_PRJEB4211_v1:7:19487183:19488753:1 gene:GSCOC_T00033873001 transcript:CDP11557 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIEVEGWSAFEHRAESEKISVYLVLLQHQILLLLLLLLQTLLLLLLLQNSLPPLVPMILSSFLALAEEYVY >CDP19913 pep chromosome:AUK_PRJEB4211_v1:7:14693204:14698090:-1 gene:GSCOC_T00008836001 transcript:CDP19913 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPETEHPQKAFGWAARDASGSLSPFNFSRRELGSEDVLIKILYCGVCHSDLHAAKNEWGFTNYPIVPGHEIVGLVTKTGSSVQKFQVGDQVGVGVIVGSCKTCDICQQDLENYCPKVISTYNSKDQDGTKTYGGYSDIVVVDQRFVLRFPDNLPADAGAPLLCAGITVYSPMKYYGMTEPGKHLGVAGLGGLGHVAVKLGKAFGLKVTVISTSPKKEVEAIDRLGADSFLLSSDPEKFKAAIGSMDYIIDTIAAVHPLAPLVSLLKINGKLITVGLPDKPLELPIFPLVLGRKLVGGSEIGGMKETQEMLEFCAKHNIAADIELIRMDQINSAMERLAKSDVRYRFVVDVANSLASP >CDP02529 pep chromosome:AUK_PRJEB4211_v1:7:4596991:4598894:-1 gene:GSCOC_T00039935001 transcript:CDP02529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 15 [Source:Projected from Arabidopsis thaliana (AT2G23320) UniProtKB/Swiss-Prot;Acc:O22176] MAVELMLGYRNTTDNFVTQLEESGAVQEAACSGLESVEKLIQLFSRKEQKGTRTISSEQQNSSMEIEMDCKVETDAAVTKFKKVISLLGRTRTGHARFRRGPVPVATNPVPLMDCSTEEVADTKVYCPTPIQQIPPPLHDFHLRNRNHHVISHQTDVNQVTSTFSQTPTGGVVVEKREPISRSISFSYSPAISHANSFISSLTGETDSKQLISSSSAFQLTNLSQVSSAGKPPLSSSSSFKRKCISENGISGKCSPSAGRCHCSKKRKLRLKRVIRVPAISMKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPAMLVVTYEGEHNHSLSVAETNAAALILESS >CDP02795 pep chromosome:AUK_PRJEB4211_v1:7:6593347:6596978:-1 gene:GSCOC_T00040293001 transcript:CDP02795 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSTAMAATPSLPTTAGGGGRTVPCSPRFLQCCNCSYLQYLNRATTHSRPDSSLYAIIQERTTHHHNLRFRPIFKAADSTQPTTSTTSADKSLVPDDEFSLAKVSFGVIGLGGGITLLSYGFGAYFNILPGSEWSALMLTYGFPLTIIGMALKYAELKPVPCLTHSDAQKLRESCATPTLKQVRSDVTRYRYGDEQHLDEALKRIFQYGQGGGIPRRSAPVLQMIREEVTEDGKYCLILVFEAKALQLSDFEQRQAKFASFFGPGITAEVVKGGKDLYEIQLISNTIL >CDP01604 pep chromosome:AUK_PRJEB4211_v1:7:10296380:10297334:1 gene:GSCOC_T00036705001 transcript:CDP01604 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPETEHPIKAFGWAARDHSGSLPPFKFSRRATGDNDVQFKVMYCGISHSDIHMMGHEQLPHDTRNLKVGDKVGVGCQVQSCRKCDSCNNNLENYCSGLVQTYGAEKTDGTITYGGYSNMMVCHEHFVVRWPENLPMDAGAPLLCAGITTYSPLRYYGLDKPGMHIGIVGLGGLGHVAVKMAKAFGTKATVISTNINKKKKPSRNLELIIFWFAATRARCR >CDP02110 pep chromosome:AUK_PRJEB4211_v1:7:1477701:1484018:1 gene:GSCOC_T00039397001 transcript:CDP02110 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MCSLQIPNCRGPYHSPFTTCFSYQQLHGTVIHSSFWGKGLCSGSNGSSSSISATESRFSDRKRPPFRVFAMSPSSSTSGSAFRMNLNEYMVTLEKPLGIRFALSVDGKIFVHALKKGGNAEKSRIIMVGDTLKKASESSSSSSGGGLIEIKDFGDTERMMKEKSGTCSLVLERPFSPFPVHRLFLMNDLDILFNRGRVPLATWNKKILTSNLRCSTDGGSNSGFVTFSSKYVNPRGWKILSDQNKTSYQQMLKNNGHAVPLSPLVTIFSDEESVAGEWAHGSFPLDEYVMALDRASAELYYNHSLGMRYSKITEQIYVGSCIQAEADVESLATTAGITAVLNFQSGTEAENWGISSKVINETCQRCNILMINYPIREVDSFDTRKKLPFCVGLLLRLLKKNHRVYVTCTTGFDRSPACVIAYLHWMTDTSLHAAYNFVTGLHSCKPDRAAIAWATWDLIARVESGRHDGPATHAVTFVWNGHEGEDVYLVGDFTGNWKEPIKAVHKGGPRFEVEVRLPQGKYYYKYVVSEQWRHSTSSPTERDERGNVNNVIVIGDIASVRPSAQQQKKDANIVKVIERPLTENERFMLAKAARCVAFSVCPIKLAPK >CDP17139 pep chromosome:AUK_PRJEB4211_v1:7:27214874:27216882:1 gene:GSCOC_T00011161001 transcript:CDP17139 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHRTVALFKTRREVITSRRVDGKGCNTQTVRFFDKTCSCGKWQNYRLPCSHALAVRRNRGDNPGLLVDQQFTKTRWAVQYSGKFNPLPHQDTWLHPGWELQADRSKFVARRARQVRASRIRNEMDERDPDEPRRCQNCHQTGHNRRNCPNYNFIYLSLHPLSIDLLTYLLKECSDGVLYVLMLLHMQDMTYTFHLPVGEATVTLQDVEVLWGLHIDGPLVIGIDTYRSIQEWGAICEELIGFSPAVEYFDGHRLKLGCLARALDTKLPLDALDVQCRQHARIYLLLILGGHLLSDKSGNKVPLLYLPLLRDLETVGQYSWGSACLATLYRSLCDATNPAKSAITGQLVLLQVHCTQ >CDP02191 pep chromosome:AUK_PRJEB4211_v1:7:2019902:2027656:1 gene:GSCOC_T00039509001 transcript:CDP02191 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDEAMEALKKEAVDLENIPLEEVFENLRCTKEGLSSEDAQRRLQIFGYNKLEEKEESKVLKFLGFMWNPLSWVMEAAAVMAIALANGGGKPPDWQDFVGITILLLINSSISFYEENNAGNAAAALMARLSPKAKVLRDGSWSEQDASILVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPVSKAPREGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQQGHFQKVLTAIGNFCICSIAVGMITEVIVMYPIQNRPYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSLQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDVDTVVLMAARAARLENQDAIDAAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDNRGKMHRVSKGAPEQILNLAHNKSDIEGKVHAVIDKFAERGLRSLAVAYQEVPEGRKESLGGPWQFIGLMPLFDPPRHDSAETIKRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESLITLPVDDLIEKADGFAGVFPEHKYEIVKRLQAKKHICGMTGDGVNDAPALKKADIGIAVADATDAARDASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDCWGLGEIFATGIVLGGYLAVMTVIFFWAAYDTDFFPHKFGVATLHKTAHDVGMATLHKTEHDGFRKLASAIYLQVSIISQALIFVTRARSWSFVERPGLFLGLAFVGAQLIATLIAVYCNWNFAAIEGIGWGWAGVVWLYNIVCYIPLDFLKFFVRYILSGKAWDLLIEQRVAFTKKKDFGKEERELKWVQAQRTLHGLHPPETHFGDHSRAADLNQIAEEARRRAEMARLRELRTLKGHVESVVKSKNLDIDTIQQSYTV >CDP02571 pep chromosome:AUK_PRJEB4211_v1:7:4953837:4958320:1 gene:GSCOC_T00040000001 transcript:CDP02571 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFTGFTANNNKLYRSCRPAHSICAHYYVINSFYPAMTGSRWLGSRTESTPSEFRGPNAYDLLGVSQTSSLAEIKASFHKLAKETHPDLADSHTYSSASNRFIQILAAYEILSDCERRAHYDQYLLSQRVLFQRLPGQGSDIFTYESHRTPAGKMEVVEWLKWYRYAVIEILSEKRVVIGSGYLDVLERDFYSAMHTAYYGPEIECSDLLPDCFEAEERSHCCTPEVLHLVFGRDLFGMVRITNQIPEISHAKRENLTSFASDLSESIQYADDLKNSSVTDGGTYQKQATESCYNTSDTYKNLELCIGGRLIAVARRDPPQSLEGKQNKEDSIHVYLTSHDDSVARSQVSGEFNDVDFSVGYRIPLGTITGLGTSPDEGSCYVYDKSGAKTHIIMKHRTLLVKHMHWYRVGDKASMCECRCTRARLPPSKFWLFEPRCGMHDIGGWYVETYGRDKKARTVPSQRHWDGLGSNELFDKRLHPAMYLLALAYRSLDIEDARRRKKTVKDIIETKMFRILSWCKKLA >CDP01464 pep chromosome:AUK_PRJEB4211_v1:7:11808351:11810510:1 gene:GSCOC_T00036518001 transcript:CDP01464 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSLRQLFSSSLYMWPCPRTQFLNHHKRRHPIRMIYPSHINSLFTIATTTFPLHSPLVYLKPSNFSMDPSGTIVFSTVGVTQYGFDIFSVKLPNLTQRRLTDGVSINFNGQFLDENEESLVFVSERSGSPRIYLSKPELSEPELLPSPPESLFHDRPVIKNQRAYFISAHEEPDKLFKSWSALYSTRLDDKKVRRLTPPGVADYSPSVSQSGKFIAVASYGSRDWSGEFHELQTDIVVFPESDQNARVTVCQHGGWPTWSGDSTIYFHRQSDDGWWSIYRVDLPQNSDLSDAPYLPVRVTPAGVHCFTPAAMHNAKKLAVATRRREKSYRQIEIFDAESKSFYPVTETLSPKFHCYNPFFSPDSTFLGYHRFRGESAQPGESIVPFLEKVSSPIKGLEMLRLNGNFPTFSPSGEFIAYTPDFEANSGLKVIKSDGSKRWVLFRNRVTFYLSWSPVEKNVIFTSIGPIFQSSRTTVQIARVTFDPANLTADRDAEIPVDIKILTNEDTGNNAFPSCSPDGKFIVFRSGRSGHKNLYIVDAVNGEFNGGSIRRLTDGPWIDTMPSWSPDGKWIAFSSNMHNPDDVEHFSIYVIGADGSGLRRIRIAGNEGSGRLDRERLNHVCWSADCEWLLFTANLGGVTAEPVSLPNQFQPYGDLYMVRVDGTGLRRLTWNGYENGTPAWYPTGGDVELDMGRLMNLKRSETVGDKLKGDFIEPLWLH >CDP02368 pep chromosome:AUK_PRJEB4211_v1:7:3345895:3348666:1 gene:GSCOC_T00039730001 transcript:CDP02368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G02350) UniProtKB/TrEMBL;Acc:A0A178VEE3] MAVAVRGGRGSSIGGGSGAGSTFRNFFSYRVFVSAMFTLLFLATLSVLFSSHPSHHDDDDSVILTSGNAYVQRTFLALNSDPLKTRVDMIYRQANDHIALVNAYAAYARNLKLEISKQLKMFDDLANNFAELQLKPNYRNALFESDGPIDEDVLRQFEKEVKDKIKVARSMIAESKESYDNQLKIQKLKDTIFAVNELLVKAKKNGAFTSLIAAKSTPKSLHCVAMRLMEERIAHPDNYVDEDPKPEFEDPTLYHYTIFSDNVIAVSVVVNSAVKNAKEPWKHVFHVVTDKMNVAAMKVWFKMRPVEGGVHVEIKSVEDFPFLNSSYVPVLRQLESANLQKFYFENSAENATKDVNNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQKDLTALWRIDMDGKVNGAVETCFGSFHRFAQYMNFSHPLIREKFNPKACAWAFGMNIFDLDAWRREKCTEEYHYWQNLNEDRTLWMLGTLPPGLMTFYSTTKSLDKSWHVLGLGFNPSISMDEINNAAVIHFNGNMKPWLDIAMNQYKHLWTKYVDSDMIFVQMCNFGM >CDP02759 pep chromosome:AUK_PRJEB4211_v1:7:6304082:6308496:1 gene:GSCOC_T00040247001 transcript:CDP02759 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPSPPSYTVVADNSCGGKLCIPEVPRRDDVDVLKLRTRRGNDIVAVHVKHPKASATMLYSHGNAADLGQMFELFVELSLRLRVNLMGYDYSGYGQSTGKPTEYNTYADIDAIYKCLKEQYGVKDEQLILYGQSVGSGPTVDLASRIPNLRGVVLHSPIMSGIRVLYPVKRTYWFDIYKNIDKIGMINCPVLVIHGTADEVVDCSHGKQLWEHCKQKYEPVWINGGGHCNLELYPEYIKLLKKFVLALGKSKPVTNGTEKVSVDSNNQNKATESTTKDTFELRADVPEISRNSLDSRLEKSKKPNKTEKSRMSTDRVDRFRRKKGLVW >CDP02345 pep chromosome:AUK_PRJEB4211_v1:7:3180260:3187419:1 gene:GSCOC_T00039706001 transcript:CDP02345 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G38880) UniProtKB/Swiss-Prot;Acc:Q9FMB4] MQASTSSAAQPEAILDWLQKEMGYRPLGPYSSSAKPASSTPTPDSLRKICRGNMIPVWNFLLKRVKSEKTVDNIRRNILVHGGTASSAGENDAVAGSGDLGRSSRGTTRRRKDKVGMPAGKDSSILGLEKESSRDVALQERELAEKEVERLRQIVRRQRKELKARMLEVSREEVERKRMLDERANYRHKQVMLEAYDQQCDEATKIFAEYHKRLRYYVNQARDVQRSSVDSVEVVTSFQSKSEKDADYSNVRGSKSVDDVILIETTWERNIRKACESLAKQVAERISNSFPAYEGSGIHLNPQFEAAKLCIDVDGEVADEVRVVIVDCLKNPPQLLQAITAYTQRLKSIISREIEKIDVRADAESLRYKYENDRVMETSSDVNSPFQYQFYGNGKLGVDAPSRGSQNQLLERQKAHVQQFVATEDALNKASEARNMSQQLLKRLHGTVDAVSSHSLTIGATSQNMSSLRQLELEVWAKERETAGSRASLNTLMSEVQRLNKLCAERKEAEDSLRKKWKKIEEFDARRSELESIYTALLKANMDAAAFWGQQPLAAREYASSTIIPACNVVLDISNNAKDLIESEVSAFYRTPDNSLYMLPSTQQALLESMSANGLTGPEAVAAAEKNAALLTARAGARDPSAIPSICRISAALQYPAGLEGSDASLASILESMEFCLKLRGSEASVLEELANAINLVHKRRDLVESGHSLLHHAHRVQQEYERTTNYCLNLASEQEKTITEKWLPELRNAVLNAQKCLDDCTYVRGLLDEWWEQPASTVVDWVTVDGQNVDVWHKHVKQLLAFYDKVYE >CDP01582 pep chromosome:AUK_PRJEB4211_v1:7:10581330:10582910:1 gene:GSCOC_T00036677001 transcript:CDP01582 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSGSLHVVMFPWLAFGHFIPFLELSKFIAQRGHKVSFISTPKNIDRLPRIPPEFASSITFVKIPLPPVDGLPENVEATVDLGGLDVAVLKKAYDGLEPELTRFLEYSAPDWIIYDFAPYWIPPIAAKLNISKSFFCIFSAASMAFFVRSVDAMIAGTDPRTKVEDFTVPPKWIPFESKLAFKLYESRWVVQGQNLEGSGVSDSYRVGSAIKDADVTLIRYCPEFEGQWLKLLEDLLKRHVIPLGLMPPPVEKSIVENNESWIAIKDWLDGQGKGSVVYVALGSEVSLNQLQLSELALGLELSGVPFFWALRNPSGLPEGFEDRVKGRGIVWKNWAPQLNVLSHDSVGGFLTHCGWSSSIEGLMFGHPLIMLPFVADTGLIARMLEEKQVGIEIPRNDVDGSYTSHSVANSVRLIMVENEGKIFKDKAKEISAIFGDQDLHDSYLHKCVDYLENKRHESK >CDP11571 pep chromosome:AUK_PRJEB4211_v1:7:20119806:20126050:1 gene:GSCOC_T00033899001 transcript:CDP11571 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSTWGSSRNPVKFRIPTSDNLLPIRLDIEIDGQRFRDAFTWNPSDPDSEVVVFAKRTVKDLKLPPAFITQIAQSIQSQLTEFRSYEGQDMYTGERVVPIKLDLRVNHTLIKDQFLWDLNNFESDPEEFARTFCKDMGIEDPEVGPAIAIAIREQLYEIAVQSVASAKESRMIKKGRKGFEHIPISKAGGIAVDLVKSFGNKSSVIRKRKEWDVFEPIVDLLSNEEVDALEATEERNAR >CDP02433 pep chromosome:AUK_PRJEB4211_v1:7:3787474:3787668:-1 gene:GSCOC_T00039809001 transcript:CDP02433 gene_biotype:protein_coding transcript_biotype:protein_coding MCYRVSCKECGNFSWGGCGRHLTTLYSSIEKGKHCMCRPWPGVAIPSDSKAEKVPASTAAPTGI >CDP01645 pep chromosome:AUK_PRJEB4211_v1:7:9965551:9969379:-1 gene:GSCOC_T00036762001 transcript:CDP01645 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSDLVNLNLSDSTEKVIVEYIWVGGSGMDLRSKARTISGPVDDPKKLPKWNYDGSSTGQAPGEDSEVIIYPQAIFKDPFRRGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSHPDVAAEEPWYGIEQEYTLLQKDVKWPIGWPVGGFPGPQGPYYCAIGADKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAADELWVARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSTRSEGGYGVIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINNFLWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYTVTSMIADTTILWKP >CDP02814 pep chromosome:AUK_PRJEB4211_v1:7:6744846:6747319:1 gene:GSCOC_T00040315001 transcript:CDP02814 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLFIHSTPPLPSLHFRHLAQKMSQKIFMISPFSFFICLILVLCFTCINVGAASDEESESYLGSLVKKKDERKLISSSEYGEISGVSVRERTDLSYHLQFITLKPFALFLPVVLHANMVFYAQTGSGKLSYTTEAGRMLTKTLRQGDTLELNPGTIFFMEAIHCVDELRVYAIFGNLREHFRGPATTAPYSSFRHLILGFDKMILQLTFKVPEDVIKEIMNKPNPPAIVSSVSGTMEKLWDLEARFIKELTRSTGPNLLNMLGLERDCGNHNGWRTTSNKKKLPDFKGFKGSNFGVSVTNLTRGSMVAPHWNQMATEIVIVLQGKGIVLVVCSSIFAKQNECKNMRFQVGEGDVFVVPRFHPTAQMSFSDETFVFMRFSTTRKKISHQYLVGKTSIFKTLGKRILAASLGVNETIADMVMASQRDSVVLDCNLCAEEELRMMEEEIEKAKQTEPETPEAEVEAGEGTEKPEEESGEGKDRPEKPEARAREYKNEVTIKEKR >CDP02371 pep chromosome:AUK_PRJEB4211_v1:7:3377964:3381220:-1 gene:GSCOC_T00039737001 transcript:CDP02371 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVHRERLRIHELCLKSCPNLGLVSSEVRLLCDLEQSEPTWTVRQVGGAMRGAGAEQISVLVRNTVESKISKDALRLFYALGYRLDHELLRVGFAFHFQRGAQITVTVSSINKMLKLHSTDEAVPVTPGIQLVEVTAPASSENYSEVVAAVSSFCEYLAPLLHLSKPGISTGVVPTAAAAAASLMSDGGGATL >CDP01657 pep chromosome:AUK_PRJEB4211_v1:7:9843558:9852736:-1 gene:GSCOC_T00036775001 transcript:CDP01657 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKSHREEGESRNGEGEYEVEDLRDRIKSSRGSRFELIENELGLDSTHRRFSRQSVINGLKDLSQGLVIHPDNRWYRAWEKFILIWAVYSSFFTPFEFGFFRGLPRKLHFMDIAGQAAFLVDIILQFLVAYRDSQTYRMVYKRTPIALRYIKSHFIIDLLGCMPWDFIYKAVGHKEVVRYFLWIRLSRVRKGNKFFQNMEKDIRINYLFTRIVKLIAVELYCTHTAACIFYYLATTLPPEKEGYTWIGSLKLGDYQYSSFRDIDLWKRYTTSMYFAIVTMATVGYGDIHAVNVREMIFIMIYVSFDMILGAYLIGNMTALIVKGSKTEKYRDKMTDLIKYMNRNRLGKDIRNQIRGHLRLQYESSYTDAAVLQDIPISIRAKISQSLYKSYIEEVILFKGCSAEFINQIVTRVHEEFFLPGEVIMEQGHAVDQLYFVCHGVLEEVGIAKDGSEETLSLLEPNSVFGIVSILCNIPQPYTVRVCELCRLLRIDKQSFSNILEVYFHDGRTVLTNLVEEKDSAFSLKQLESDITLHIVKQEAELALRVNSAAYYGDLQQLKNLIRAGADPNKNDYDGRSPLHLAASRGYEDIVQFLIQEGVDINVAVDNFGNTPLLEAIKSGHEHVASLLVKEGASLQIENAGNFLCTLVAKGDSDLLRRLLTRGVDPNSKDYDQRTPLHVAASQGLYFMAKLLLDAGASVFARDRLGNTPLDEGRISGNKNMMDLLEEAESAQSSELSDLSQESTDGILWTTTDKMLRRKCTVFPFHPWEPKDARKCGVVLWVPGSIEELIREASKQLNFSSCTSCILSEDAGKIIDVDMISNNQKLYMMNETD >CDP01908 pep chromosome:AUK_PRJEB4211_v1:7:7816577:7818186:1 gene:GSCOC_T00037088001 transcript:CDP01908 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQQQNHQQQQQQNQLLPNEDGGCSKNGFLCRQSSTRWTPTTEQIRILKDLYYNNGVRSPTADQIQKISAKLRQYGKIEGKNVFYWFQNHKARERQKKRLTTDISAMQRGVWRSDYQESICSTKYPNITSGAPSSSASVGGLHAAGQVGNYGYGSFAMEKSFRDCSISPSGKASGSMFQNFSWAGAGAGAEPYPSPYQFLEKKTCFVETLDDQEQEEEPVPELETLPLFPMHGEDVSGFCTNKQPEQNCYYTDWYQPNGNVGYSRTSLELSLNTYGGRSQNSP >CDP04710 pep chromosome:AUK_PRJEB4211_v1:7:15587071:15595330:-1 gene:GSCOC_T00018775001 transcript:CDP04710 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKTEINLRRLLAAAPQQQNQAKLIHYVATLREQLEQLAEEKTNEGLPSISKARLNEYSDQIEVIAARLATPEVESIVEVTEDHSLGTSVTDAAKARESVQSPRGLRRRFGPQSITEDGSHDTVESEQSTPVKLDASAQTHIQKHRKLQEDLTDEMVHLARQLKESSLLMNQSVKNTEKLLDSTERAVEHSLASTGHANTRAAGVFSQSSKTSCFTWLLMFAMTLIFVMVVLLIRVT >CDP02111 pep chromosome:AUK_PRJEB4211_v1:7:1485279:1489865:1 gene:GSCOC_T00039398001 transcript:CDP02111 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASINGWSFASVSANASAHQNSLKTSSTLRPSVFAKLESSSPSLPRLIRDEPVFAAPAPVFTPNLREGMSKEEYEKAIVGLQKLLSEKGGYGPVAAAKIEQITAELQTTDTKAFDAVEKLKTGFIRFKREKYETNPALFGQLAKGQSPKYMIVACADSRVNPSLILSLQPGDAFIVRSVANLVPPYDQLKHSEFGAAIEFAVLHLKVENIVVIGHSACGGIKGLMSIPEDGTTSTDFIEDWVKIGLPARAKVKTEHGSKPLPEQIVLAEKETVNVSLGNLLTYPFVRDGLVKRTLAVKGGYYDFIQGSFELWGLEFNFSPSVSV >CDP01396 pep chromosome:AUK_PRJEB4211_v1:7:12691568:12693155:1 gene:GSCOC_T00036428001 transcript:CDP01396 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCLSVQEVHDKMRRWTVLVQVVEKSHVLTSNGSPLIRFQRLVLTDSEGNMVLAVIYGNDIHYFANLLQPFKRYYITGGTVKKQDAKYKVSDYHFSWVIHNKTLVEEYVEPNPPMLPCTFEFTKFENLFRFADTENVQSKLYLCFTN >CDP02390 pep chromosome:AUK_PRJEB4211_v1:7:3474214:3475600:-1 gene:GSCOC_T00039756001 transcript:CDP02390 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGITAALATPCPDNPKPLILFISSSLLLTTLFCLYNYSFSPGQQGIIVYYSFLPSSGNVGNSTGTSSKSQCNFFSGDWVPCAEGPYYTNATNCEMDDRQNCMKFGRPDTEFMKWRWKPYECELPLFDATEFLELMRGKSMAFIGDSLARNQLQSLLCMLASVADPTKVAHAPFAKIRLWFYAEYNFTLAFYWSFHLVRSEDAEVNVNLTRMNVYLDEVDETWATQVENFNFLVISSGQWFLRPLMYYEKGKLVGCYLCKKENITDLDVFYGYKMAFRTSFRTLLNLEKFKGITFLRTFTPSHYENGYWNEGGTCSRTRPTPKHEMKLSDYFSELHSTQVAELTAAETEARKTRGPKFRMLEVTEMMTLRPDGHPSHYGHPASANIKNPDCAHWCLPGPIDTWNEALLQMLKTEG >CDP01764 pep chromosome:AUK_PRJEB4211_v1:7:8922281:8924054:1 gene:GSCOC_T00036921001 transcript:CDP01764 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSPEDDKLLENALAELDSSSPNSCEDGADKIPWKSVDESEELYQDHQALARDTNLTEADNYYPMPLHTNDHGVENPGALKSGEETGSSSRKKQATKNDQRQKTIRWTEEEHLMFLVGLKRFGRGDWKNISKHCVTTRKPSQVASHGQKYFRRQKSSTPEDKRRSSINDIIAIDPDFVVPHYTFPSLEQETPSYSTSTGQPEIALPSEPDRSYDVEELLNSTEASTFQLEEFPPVDEAFFEDPSFYFLPPDAAYPGARINKENHGQGPKNQ >CDP01667 pep chromosome:AUK_PRJEB4211_v1:7:9689778:9690691:-1 gene:GSCOC_T00036797001 transcript:CDP01667 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAQSADVYAPKTIQVWRALLNWLAFFFQIFVQIIRGTSSLTQVLSYVGLRHSSLLSSSSPQFKPLVMGEGSTSEGGGGRNAPTEH >CDP04649 pep chromosome:AUK_PRJEB4211_v1:7:16838175:16839927:-1 gene:GSCOC_T00018676001 transcript:CDP04649 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFFIKTPKESATISINAPCCWKTSSTWLASSPIHAISIKEKWSSDAASFWQQANIGLDYVPWLAWLNRFNGLDARVKRVVKQIDQFIEGVIEEHRNKAVADTTETTCSDSLDILLPIQREKLFAIEHDSIKAVILDMFEGGTDTTHAIMEWAMAELLRHPKILKQLHAPVPLLLARESTQDVKVMGYDSSAGTQVLVNAWQLEEIQTFLDNPEGFQPERFLNSDVDFRGFNFELIPFGAGRRGCPVISFCFGYQ >CDP01938 pep chromosome:AUK_PRJEB4211_v1:7:7567835:7571569:1 gene:GSCOC_T00037124001 transcript:CDP01938 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVQALDTETMLLLLIFPLAFLFLLSRFRSKPHPPGPRGLPLIGNMMMVNQLSHRGLAKLAHQYGGLCRLKMGFLNMVAVSSPEAARQVLQVQDNIFSNRPATIAISYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRAESWDSVRDEVDDMIKYAASNTGSAINLGELVFGLTRNIIYRAAFGSSCSEGQDEFIQILQEFSRLFGAFNLADFIPWLYWLDLQGLNKRLLKARASLDGFIDSIIDDHIEAKNKRNGLAVEGDSDMVDELLAFYSEEAKVSESEDLQNSIKLTRDHIKAIIMDVMFGGTETVASAIEWAMAELMKSPEDLKKVQQELKDVVGLHRKVEESDLDKLTYFKCCQKEVLRLHPPIPLLLHETAENAEVAGYQIPAKSRVLINAWAIGRDKDSWEDADKFKPSRFLKDGVPDYKGSNFEFIPFGSGRRSCPGMQLGLYALDMAVAHLLHCFTWELPDGMKPSELDMDDVFGLTAPRATRLVAVPTPRLLCPLY >CDP01989 pep chromosome:AUK_PRJEB4211_v1:7:7197796:7200534:1 gene:GSCOC_T00037190001 transcript:CDP01989 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFLVVLLLFLLMQEKGFLLQVKAGDGFVRTGGIHFMLNNGPYYANGFNAYWLMYVASDPSQRSKVSAAFREASSHGLTVARTWAFNDGGYRALQYAPGAYNEQTFKGLDFVVAEARRYGIKLILSLANNYESFGGKKQYVDWARSRGQYLSSDDDFFRNSVVKGYYKNHIRTVLNRYNTFTGILYMNDPTIMAWELMNEPRCTSDPSGRTIQAWIMEMASYVKSIDRNHLLEAGLEGFYGQSTPQRTHLNPGLNIGTDFVANNRVPGIDFATVHSYPDIWLSSSNDQSQVSFMNQWLDAHFQDAQYMLGKPVMVAEFGKSSKDSGFSNYERDALFNDVYFKVYSSAKHGGPAAGALFWQLLTEGLDSFRDGYEIVLSQSPSTANVIAQQSYKLRLIQKIFTRMLNIKRWKRARAARGQWIDRNKGRHIGN >CDP01444 pep chromosome:AUK_PRJEB4211_v1:7:12006790:12007374:-1 gene:GSCOC_T00036494001 transcript:CDP01444 gene_biotype:protein_coding transcript_biotype:protein_coding MDASFQCSSSLKCELSIIRAKNIEFKSSGHLFLRCYLSAGNNTRVQLNTKEISSKSDLFWDEFFSLQCQGTEDAINSLRQGNVAFELRWRSTKPILGRLTGGSKILGRAEIPWKNVFESPNMEIETWVRMNSKNPCLHQDVKPPSVQVKVKVGVPEMTNERRWDDSCGCKDGGCQSLDYVDYDYFALGFALEAL >CDP12918 pep chromosome:AUK_PRJEB4211_v1:7:13005639:13017266:1 gene:GSCOC_T00037612001 transcript:CDP12918 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGLRIGGLSPGLAVVLNGEDRREGSQRSRLVSYCDDFGDQSVERTLEHIFDLPYKTINQLTCPVNTGVVRSIIKNHFRKYHVDLGSGRDVNGDGVCTTADGCGSQVVGIEESSICGDIRITKPSLLLESHALFSSVRANACVWKGRWMYEVTLESSGVQQLGWATLSCPFSDHKGVGDADDSYAYDGKRVCKWNKGAEAYGQSWVVGDVIGCCIDSDHDEISFYRNGVSLGVAFRGIRKMVPGLGYHPAVSLSQGECCELNFGAYPFKYPVDGFLPIQSPPKVNSFAVHLLCCFSRLLELQHEARVGFGSVEKFRRLKRFASFKELYHPVSQGVSEELFSSLDIETGSAAYIARGPLLSFFLEAFKVHPPHDYTNLDRIIDCFLEIQDSRILFEHLILALSSACKTAVWVLSDFPYSGSYIYLALACHLLRREELMILWWKSADFEYIFEGFLSRKSPNKQDLQSLMPSVWWPGSCEDLSSDSSMVLTTTALSEAINMVEEKHRDLCCLVMQFIPPGDPPQLPGSVFKTFLQNLLLKNRGADRNLPPPGVSSNCVLVSLYTVILHLLSEASTLRGICGWMKSCGANSELDVGFLHRGGQQSFSVGLLLKNDPHRVDFSRLGGSYGHLAKSHPTNDEQEEEVIRWEEGCMDDEDSRVTHFGRLQPCCCSSYDSDFAKISKHPIRYMAKSARGHCSSIQERSAHVAAECSAENLNDEIEDKPGTSDQSESQFDFRPMQQMRIIPPRDINLSPATLKEEELLDAMLLLYHLGVAPNFKQASSYIARQSQSIALLEETDKQIREKANGEQAKRLKEARSVYREEVMDCVRHCAWYRISLFSRWKQRGMYAACMWIVQLLLILSKWDSIFIYVPEYYLETLVDSFHVLRKSDPPFVHPAIFMKQGLASFVTFAVTHFNDPRISSAELKDLLLQSISVLVQYKEFLAVFEHNEAAIQRMPKALLSAFDNRSWVPVTNILVRLCKGSSFGSSKRGESSSSVVFQKLLRDACVQDEELFSAFLNRLFNTLSWSMTEFSVSIREMQENYQVVEFQQRKCSVIFDLSCNLARVLEFCTHEIPQAFLSGADTNLRRLTELIVFILNQLTSSVDPEFLDLSIRRPGQSPEKVNGGMILGPLAGIILNLLDARQEADCGDHNDIVNIFASMDCAETVLRGFYLLEYNWAGLVKGVDDISKLKQLETLSSLLICQKESQEFEGMVCAGESNSDDNICCICYACEANAQFVPCSHSSCFGCITRHLLNCQRCFFCNATVTEVVRTEAEAANTA >CDP02799 pep chromosome:AUK_PRJEB4211_v1:7:6615041:6616683:-1 gene:GSCOC_T00040298001 transcript:CDP02799 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTSAAFRAASSSVKLLTSSSPTAQNILSFKPFSNPLISNNFRLTANFAATCRSFTCKSQSAPSDSTPAKVQELNVYEINERDRGSPAFLKLSQKDVNSLGDLIPFTNKIYSGCLQKRLGITAGICILIKHEPEKKGDRYEAIFSFYFGGYGHIAVQGPYLTYEDTYLAVTGGSGIFEGAYGQVKLQQLVFPFKLFYTFYLKGIPDLPSELLAPPVTPSPAVEPSAAAKACEAGATVSNFTN >CDP01996 pep chromosome:AUK_PRJEB4211_v1:7:7144313:7150640:1 gene:GSCOC_T00037198001 transcript:CDP01996 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDGQGAGKSSGNSGVVLNERILSSMSRRSAAAHPWHDLEIGPGAPSIFNCVVEIGKGSKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLVLMQEPVLPGTFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYKDIKDLPPHRLAEIRRFFEDYKKNENKSVAVEDFLPAAVAVEAIKYSMDLYASYIVESLRQ >CDP02010 pep chromosome:AUK_PRJEB4211_v1:7:7054292:7055804:-1 gene:GSCOC_T00037216001 transcript:CDP02010 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSLSSSSSWTAKENKLFEKALAKYDKDTADRWQNVARAVGKTEEEVKRHYQILVEDLKHIESGNVPFPNYRSGRNKR >CDP02631 pep chromosome:AUK_PRJEB4211_v1:7:5418893:5421780:1 gene:GSCOC_T00040085001 transcript:CDP02631 gene_biotype:protein_coding transcript_biotype:protein_coding MATTISPPPQTLILKRSSISTSVCFPSSQRLVSAPQTCSVSVNSVSSIHFPKSKRLNRSPARAQASSVASFSQNVGDLLGDVSIFTATGEPVKFKDLWDQKQGMAVVALLRHFGCPCCWELASVLKESKERFDSAGVKLIAVGVGAPSKARILAERLPFPIDCLYADPDRKAYDVLGLYYGVGRTFFNPASAKVFSRFETLRNAVKNYTIEATPDDRSGVLQQGGMFVFRGKELLYARKDEGTGDHAPLDDIINICCKVPIS >CDP01703 pep chromosome:AUK_PRJEB4211_v1:7:9410536:9412823:-1 gene:GSCOC_T00036841001 transcript:CDP01703 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPKCQANYSALTPLTFLKRAAMVYANRTSIVYGQVRFTWHQTYDRCRRLASSLKSLNIVKNNVVSVLAPNVPATYEMHFAVPMAGAVLNAINTRLDANTVATILKHSEAKVFFVDYQYVPLASEALRLLVAEFGKSDSKDTSMPLVIVIDDLDCPTGVRLGELEYEQLIYQGNPGYVPEKIQDEWDPIALNYTSGTTSAPKGVVYSHRGAYLSTLSLILGWEMGSAPVYLWSLPMFHCNGWTFTWGTAARGGTNVCIRNTTAQEMYSNIALHKVTHMCCAPIVFNILLEAKPHERRKFATRVEILTGGAPPPAALLEKIEGLGFHVTHAYGLTEATGPALVCEWQAKWNRLSMNEQAKLKARQGVSVLTLADVDVKDLATMQSVPRDGKTMGEIVLRGSSIMKGYLKDEEATAKAFHKGWFATGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLYRHPCVVEASVVAMPHPKWGESPCAFVTLKKNLTGDHEEINEADIIAHCRKNLPAFMVPKKVQFMEDLPKTATGKIQKFQLRAMAMTFVVSDNDNPSSQRSEQVLAISRL >CDP02499 pep chromosome:AUK_PRJEB4211_v1:7:4335984:4338577:-1 gene:GSCOC_T00039896001 transcript:CDP02499 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGEAAFASNYLVLDPKDATAFDLLRFLLSSRADNRRFIFAPRGTRLPFPKRVVIVGSVVLQLILFMLAGPLALLGYALEQWLNLLHVNGGFMGLIFRILRGRRPVQAPDRDSPKFLSLIGLSDNREELDASIPIDDTRYNSALAIMAAKVVYENPAHIEDVVSKIWKMEFMGFYDFWNAFQRKPTTQAMLFRQNKDSDSELICVAFRGTEPFAAEDWITDMDLSYFELPKVGRAHSGFMEALGLQRGSGWPKDLPQSTRQYAYYTIREILKDALKNNPKAKFIVTGHSLGGALAILFPSILAYHEEKDLLDRLDGVYTFGQPRVADSRFGAFVEQNLEGSTRKYFRIVYCNDLVPRVPWDNSWSDFQHFGKCIYFNSLYRGDIVDEVPNKNYFSIFMFIPKKMISAWELIRGFGMGMFMGPEYKELKVMRAVRLMGLFGLAGLPAHAPLDYVNSTRFASPNLYTSKPWLGQ >CDP01472 pep chromosome:AUK_PRJEB4211_v1:7:11719363:11725535:1 gene:GSCOC_T00036532001 transcript:CDP01472 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIQKLILSEPVYAKISHFILSKTVKTARWGYSTRPIYGWGNVDSKQKSTAGWLAAFPVFEPHWQMCMAGGLSTGWIEWDGERFEFENAPSYSEKNWGGGFPRRWFWVQCNVFEGASGDIALTAAGGLRQLPGLSDTFENAALIGVHYRGVFYEFVPWNGVVKWEVSQWGYWFMSAENKTHKVELVATTKDPGTTLRAPTSEAGFASACKDTCSAELRLQLWDRRSDGSKGNIILDVTSNMAAVEVGGGPWFSPWKGQTNAPEFVSRALSLPVDVEGLLDSVPLLKPPGL >CDP04711 pep chromosome:AUK_PRJEB4211_v1:7:15574283:15582551:-1 gene:GSCOC_T00018776001 transcript:CDP04711 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 38 [Source:Projected from Arabidopsis thaliana (AT5G64600) UniProtKB/Swiss-Prot;Acc:F4KF16] MVNYRGFSSHSKNSSIYFRRYSSPSSITYYIVILFALSIFIFTFYSKDVLEDEDRKPPSITSGEKNPKIEQLRDDVLWEAPFSHGLRPCVKPSSRYKATQGWDHYMTVRSNGGLNQMRAGIADMVAVAHIMNATLVIPQLDKRSFWQDTSIFSDIFDEVHFIMTLQTDVRIVKELPKELESAPRARKHFSSWSGVSYYEEMAHLWKDYQVIHVAKSDSRLANNDLPFDIQRLRCRALYHALRFSPAIENLGKKLVERLRSRAERYIALHLRYEKDMLSFTGCTHGLTEAEAEELRVMRHNTNHWKIKNINATEQRIGGLCPLTPKEVGIFLQALGYPPSTLIYIAAGEIYGGSVHLSELTSRFPNIVYKEALASKGELQAFSHHASQSAALDYIISIESDVFIPSHSGNMARAVEGHRRFLGHRKTITPDRKGLVAIYDMLESGVLKEGSSSLSHLVMKLHRSRQGAPRKREGAPSGIKGRGRFRLEEPFYQNPFPECMCGSNIQDDEDT >CDP01666 pep chromosome:AUK_PRJEB4211_v1:7:9700365:9703379:-1 gene:GSCOC_T00036796001 transcript:CDP01666 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLKFDLEKEASYENSSDISSQVASNISVQEHFMDPRKSILSESSQLTDVTTELGSEYLLLDLSLNFKSSTNELACQESKGFSVSSTSESSNEPANQTKVAATPRVFPCNFCQRKFYSSQALGGHQNAHKRERTLAKRAMRMGIFSDRYASLASLPLHGSSFRSLGIKAHSSVHHAFAIAGAGRPPDFGTSARFEQPYMAQPIYMEDDPELLWPGSFRQVADPGNSPQSFIVTGSSGLDFAELNPPLEEDHFAPDLTLRL >CDP04740 pep chromosome:AUK_PRJEB4211_v1:7:15265977:15272704:1 gene:GSCOC_T00018821001 transcript:CDP04740 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSGADRISRGAIGIVPEELTEDNYEEWKRCLKYYLVGHGLWGVVSGKEQDPLKESEDKKQEHEEWQKRNALALHAIQLSCGTRTYVKFKAAHNSAHVAWNHLAEKLKPHKLLTAHDLEDENSHGEDEGQKDYLHYEQLYKAIEKGHFHLTKHLLDQEQHAVKAIVSSHNETALHIAILGGHIRIAKELLHRMAPEDLEVVNEYGSTALTLAAISGETRLAKAIVEKNDRLLIKANDRDDGQLPVIEAALYGQKHIVKYLYRVTPKEHLSPDRSENGATLLNSLITAEVYDVAAMLLRRYPKLGVTPDHNGDYTLRLLAHKPSAFPSGTKLSFWKNWIYSCVMVHFQWGSTTDSHEGEVTNAQRASMTEDHNIDIHDPSDDEMPKTGNQGLTSSVLRILRGLGWLILRCLVPDIKQIHDRKLIHKEAIQLLTCIFKEIRTLSKKELEKMDIDKILYDAIEHGIIEFVDEIFKFTPEIIYKKDKRGRTLFSHAIVLRQEKIYSLIYALGRRKSILARRHDYFQNNFLHLAAKLSPQSQLDRVSGAALQMQRELQWFQEVESIVQPKMKEEKNGSNKTPSALFSDEHKVLAKEGERWMKNTAGSSMIVGTLISAVMFTTAFTVPGGNHGTTGLPVMLETQPKAFLFFMASNALAMFTSSTSILMFLGILTARYAEENFLKSLPTKLIFGITCLFISIVTMMTSFGTALYLMLIKQVAWIAYPIIVFSVIPIALYSLLQFPLLVEMISRTYGYGIFDKPRKKLFSFHT >CDP16615 pep chromosome:AUK_PRJEB4211_v1:7:15800:21212:1 gene:GSCOC_T00019065001 transcript:CDP16615 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDIGKILDNSKELDRLRKEQEEVLLEINKMHKKLQTTPEVVEKPGDDSLSRLKMLYTRAKDLSESEVNISNQLLGQLDALILTGPPGQQRRRIEGSEQKKKRMKADSDVSRLTPSMRNHLDALASLKGEQVAARVTLEDADKDEWFIVKVTHFDRETREFEVLDEEPGDDEEGGGQRKYKLPMSHIIPFPKRNDPSSAQEFPAGKHVLAVYPSTTALYKATVVQARKRKTDDYILEFDDDEEDGSLPQRSVPFHRVVALPEGHRQ >CDP02108 pep chromosome:AUK_PRJEB4211_v1:7:1456111:1465735:-1 gene:GSCOC_T00039395001 transcript:CDP02108 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMMEKKFSLDAKDYKLYEEVGEGVSASVYRALCVPFNEIVAIKVLDLEKCNNDLDGIRREVLTMSLIDHPNVLRAHCSFTTGHHLWVVMPYMAGGSCLHIMKSANPEGFEEPVIATLLREVLKALVYLHGQGHIHRDVKAGNILIDTNGAVKLADFGVAACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSLGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFREIVAACLVKDPKKRPSSEKLLKHPFFKHARSYEYLSRTILDGLPPLGDRFRMLKAKEADFLVQNKSLYEDKEQLSQQEYIRGISAWNFNLEDLKNQAALIPDTDITNAEDQCMSPKQGAGLHDAFSPTERPSLDRPVPNSVGHMEDRLNDIHDLENSLAAFPMKPLQALKGCFDVCEDDSGAGSPCWKHAVQPDTEQFSQVQSSRKCKSQEVGRDDGESPGQSSSLTRSAIPGHKKLLSGSIMQDNVSSSKKVTGDGDREYMQPKYTSERNYSGPLQYRQKKDIINPTSGDDMSEGAVVQRKGRFKVTSADLSPRGPTNSFFGASSGGSTIPSSSCLTAVSILPSLQCILHQNSIQREEIMKLIKYVEQSGPTDFVEGGINDLLQVPPTTSREKELQSHVIQLQQSIGSLVEELQRQKLKNAQLEKKASALLKDEKS >CDP04738 pep chromosome:AUK_PRJEB4211_v1:7:15289173:15290467:1 gene:GSCOC_T00018816001 transcript:CDP04738 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEEEKLFQMVHDFIEPESTSENPSYSSQNLSLNNHHAKQYILQEIVASRTAAEEGLLGCVLKHMRHKIEAEKTTSLKKWLVIRLRKDGYHGVCLCQTSWPTTLGCPAGDYEYIEVVIKDKKCSSLRLILDIDFKSQFELARPTSSYKELTDTLPAIFVGDAQKLNKIISILCSEAKNSLKERGLHVPPWRTITYMQSKWFSNCQRIIPLNPSREVGLGNKEAMVGEHISSNFDTLTASASNGKKENKAENFVARSGLSSQFAAMSTKCF >CDP01802 pep chromosome:AUK_PRJEB4211_v1:7:8644947:8648837:1 gene:GSCOC_T00036968001 transcript:CDP01802 gene_biotype:protein_coding transcript_biotype:protein_coding MVILVDRTCSKDSIHSGAEIISFVANPIRYKINGYFYCASAKGVFEVTNDITQLTCADFLRTPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHSLKPNPKSHIQENWRIVDFFSHHPESLHMFTFLFDDIGVPQDYRHMDGSGVNTYTLINKAGKAHYVKFHWKPTCGVKSLLEEEAIKVGGANHSHATQDLYDSIAAGNYPEWKLFIQTIDPDYEDKYDFDPLDVTKTWPEDILPLQPVGRLVLNRNIDNFFNENEQLAFCPAIVVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLQLPANAPKCAHHNNHHDGFMNFMHRDEEHCTFCPCVDYFPSRYDPVRHAEMHPIPSAVLTGRREKTIIPKENNFKQPGERYRSFAPDRQERFICRWVDALSDPRVTYEIRSIWISYWSQADKSLGQKLASRLNIRPSI >CDP01768 pep chromosome:AUK_PRJEB4211_v1:7:8895618:8896040:-1 gene:GSCOC_T00036925001 transcript:CDP01768 gene_biotype:protein_coding transcript_biotype:protein_coding MTWEGDTGHQPMGPFSSSAIQHVVPVRLRFALSSPSLCLRPKKVTCRSPFPSRAPNFFHCTF >CDP04595 pep chromosome:AUK_PRJEB4211_v1:7:17968093:17976039:-1 gene:GSCOC_T00018589001 transcript:CDP04595 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEMGYDQNEDRLLELGCACKNDLALVHYACALKWFVNHGSTVCEICGCVAKNIKASDVKKVINALKENEALRERTANGEPIPAQLQWNSGVDPDAVAAIQRQRLSEISLWFGPNINNTTTASQVVSEQPSAPNIVMEERVAGENTAAKWAVEGTGILLATGLLTVTLAWLIAPRVGKKTAKNGLHILLGGVCALTVVIFFRFFVLTRIKYGPARYWAILFVFWFLVFGIWASRTHGSHAT >CDP16657 pep chromosome:AUK_PRJEB4211_v1:7:258759:259417:1 gene:GSCOC_T00019116001 transcript:CDP16657 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGNYTLHLDEGISFKVCLYNESDRLAVHTEDKTLYTEDDFRDFLTRRGLIGLREIDGYRCFSNIDDLRPGAVYQGVRLLGD >CDP01407 pep chromosome:AUK_PRJEB4211_v1:7:12492203:12494700:-1 gene:GSCOC_T00036448001 transcript:CDP01407 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKNFRYHFPVAAVKYPCLKLLTVRIWYNTMGLKMQITFDALRGTLRRYSLIPLGGGGILAHSLAHVASWLKVREADQSGDGIESLMNRVDSFLAEGKLSEAADTLENGVKGTQAAEVVGDWVRRARNRAVAEQALTLLQSYATSISLT >CDP02484 pep chromosome:AUK_PRJEB4211_v1:7:4184805:4191513:-1 gene:GSCOC_T00039875001 transcript:CDP02484 gene_biotype:protein_coding transcript_biotype:protein_coding MESMPVNWEALDALVIDFAKSENLIEDSTPSSSPSSSLSSLSSYHSRLLIRQIRRSLEAGDIDVALDLLRLHAPFALDDHRLLFRLQKQKFVELLRKGTEEDRDAAMNCLRTALAPCALDAYPEAYEEFKHVLLAFIYDKDDQSSPVADEWSETRRFEIAGLLSSVLRANLNAYDPVFSMTLRYLISIHKGFCFRQGVVSPISNLTERLLLEERDPAPIPQESMYEASPFDEVDIQALAHAVELTRQGAVDSLKFAKGDLVQAFQNELCRMKLNESVLDELVHEYCVYRGIVDSANTSQPADVNKSGPWCCSVQNDSTEVNCDSSKVSDDEPSTDISHMDGSPEGPIDVMSTQTTDINERYPSETAGNYEDCSTSGAHQPDSLKVQLRNRNHGIGERNKRKRWRGRHEKIGFVSEVLSGSCREEAESSLIVDGVVYREDKYDLVLAMKELACRGMTAEVVEEINSMDPNFFVQNPDLLFQLKQVEFLKLVGSGDHSRALRVACSHLGPLAARDPVLLRPLKETLFALLRPNEEAFGERLPLHALATSVQVAIGRRFGIDEPQLMKIMKATLCTHNEWFKLQMCKDRFEGFLRISSLKEVGTSLLADAASRLSDTSDPGSSQATMSSSSRVHEDVGSPAQISSSEVACDENAILKVMEFLALPRADAIHLLGQYNGNAELVIQQIFA >CDP01649 pep chromosome:AUK_PRJEB4211_v1:7:9938084:9939481:1 gene:GSCOC_T00036766001 transcript:CDP01649 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRPLFFLSLIFFFSFHNLSSAAHKNHHSKLTKATVLDHSFSLSFPLTSAPLSKHASSETSFLSSLASSSTSTKKRNRNAGEKVEQPLPYDYKSSFKYSMALIVSLPIGTPPQSQQMVLDTGSQLSWIQCNNKSPSPRTAPPTTTFDPSLSSSFSVLPCTHPMCKPRIPDYSVPTSCDQKQLCHYSYFYADGTLAEGNLVREKFAFSSSQSTPPLVLGCAADSKEDKGILGMNQGRLSFASQTKLQKFSYCVPVRQGQGNLMPAGGFYLGQNPNSNTFQYIDLLTLPDGQRMPNFDPLAYTIGLVGIRIGTKKLNISESVFRPDAGGSGQTMIDSGTQYTFLVEEAYNKVREEVVRLAGPKLKKGYVYGGSLDMCFDGNPVEIGQLIGDMVLEFEKGVEIFVNKERIMDDMGNGVHCLGIGRSQSLGVASNIIGNFHQQNLWVEFDLANRRVGFGKADCSKSV >CDP01968 pep chromosome:AUK_PRJEB4211_v1:7:7347193:7352739:1 gene:GSCOC_T00037163001 transcript:CDP01968 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLIAVIGVVFHALEAVSVVVPSTNCYALDNSSRIYDFSSWIGYPFQYDGKEADLVVRFCKDVETRSQAGYVDFGRFDKLNQFVAASGNFKFVQQYYNGDLTSCEQSFDKLGRTAQVSIICGSCPNGQCKGGLGCICDVTYESNCSALVELAIPCEKPGARVFEGYTVGFHPRSWEIVYNGMTQLGFEKAHSEFSLSTEQTHVALYMTAVASQSHLVQKPTIKVFPEQGLEIKLSGSGATGGAPTTLSPTVLTIDWTCTEARDVPYEVEVTIPIENYDPILFTLAKTCEYGQSEGVDATSGWAIFGILSCIFIVLSTLFCVGGFVYKTRVENQHGLDALPGMTILAACLETVSGAGHSNIRRPADVNDPFISQASWENHPQGTTRSRDNRYGTI >CDP08383 pep chromosome:AUK_PRJEB4211_v1:7:26638179:26640906:-1 gene:GSCOC_T00027206001 transcript:CDP08383 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGQEKPKEDREGCPTESQQTVSDDDEIDYSIKPEFYDPPILMTKMSYGFKRKEKATLLMLSSAALLASPPFV >CDP02411 pep chromosome:AUK_PRJEB4211_v1:7:3632058:3634617:1 gene:GSCOC_T00039780001 transcript:CDP02411 gene_biotype:protein_coding transcript_biotype:protein_coding MASDIGKSATATMPAGTENLSSSGTGDGDASDFECNICFDIAQDPIVTLCGHLYCWPCLFRWLRHHSHSHECPVCKALIQEEKLVPLYGRGRTATDPRSKPVPGVEIPDRPAGQRPQTAPPPHPHPDSANFLNFGLGLAGGFLPVMTAGFGSFAMLAGFGGILPSMFSFQIHGFPTAPVYGTGSGYPHTYPGGYYHGANVPRAPMTPQGSQAEDNKLLILLLIILSLVVLSLMW >CDP01406 pep chromosome:AUK_PRJEB4211_v1:7:12494922:12501198:-1 gene:GSCOC_T00036447001 transcript:CDP01406 gene_biotype:protein_coding transcript_biotype:protein_coding MELCKILNASYFLVNIPSYLSSRRAMSAASQHNEPQGPGSADKAESRSSLPKILVGGVALGAVVMGAYHTGYLDRFFAKEPHGTAESAKVAAVSEEQLDLREDSKKSLVDEDKIETIANLKYEQTSIPTPKMEHEEKSMSSDSDPSPREDLSRRQEESHFHMKDKPDLKSDDINHIQEEELPSSLGSGTQSDDLSANLGKPSEGSLTMNSSDVKVGSDQQRAVEMTPPFTRDDTAAAENETNSVPVQFNSQDSPKENLASARRQTSSLLDDYNLKSDGNEPTLVSTSKSQGLPGTIEESYISEDGKLVIDFLQAIHAAEKRQAELDAHTFAEDKRMLKEKYEKELRDARARELMYAEREAILNKELNKERVKAAAALKSLQEKLEEKHKMELEIKKNEAELEMKKLQELAKAELASAIASEKAAQIEKMAEANVHINALCMAFYARSEEARQSHSVHKLALGALALEDALTKGLPIQKEMEALHTYLEGIDKDSLLDLVLSSIPEETRINGTDTVLQLNHKASFLKT >CDP04628 pep chromosome:AUK_PRJEB4211_v1:7:17220514:17220844:-1 gene:GSCOC_T00018642001 transcript:CDP04628 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHEVESSNNESWSGYNFPWTKRLEELGKEVYFNFSLKPEQREVIDATMSGRDVFAEMSPVVGTMVTYEVKEFILF >CDP01701 pep chromosome:AUK_PRJEB4211_v1:7:9455634:9460519:1 gene:GSCOC_T00036838001 transcript:CDP01701 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPALKRSDSVVDTMPEALRQSRYHMKRCFSKYVEKGRRLLKANQLMDELEQIIDDHTERSQVLEGLLGYILCTTKEAAVIPPYVAFAIRPNPGFWEFVKVNANDLSVDGITATDYLKFKETVVDENWANDENALELDFGAMDYSIPHLTLSSSIGNGVTYVSKFLASKLNGSPCSAQPLVDYLLSLNYQGEKLMLNETINTASKLQSALIVADAALASLPKDTPYQSFELRFKEWGFERGWGDTAERVKETMRSASEVLQAPDPFNTEKFLGRLPTVFNVVLFSVHGYFGQSDVLGLPDTGGQVVYVLDQVVSLEEELLLRIKQQGLNVKPQILVVTRLIPDAKGTKCNQELEPINKTKHSHILRVPFRTENGVLPQWISRFDVYPYLERYAQDASDKIIELMEGKPDLIIGNYTDGNLVASLMASKLGVTLGTIAHALEKTKYEDSDVKWKELDPKYHFSCQFTADLIAMNTADFVITSTYQEIAGSKDRPGQYESHAAFTLPGLYRVVSGTNVFDPKYNIASPGADQSVYFPHTEKHKRLTQFRQAIEELLFSKAENDEHIGYLEDKKKPIIFSMARLDIVKNLTGLTEWYGKNKRLRNLVNLVIVGAFFDPSKSKDREEAAEIQKMHTLIEKYQLRGQIRWIAAQTDRNRNSELYRTIADTRGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPNHGDESSNKIADFFQKCKEDPEYWNRISAQGLQRIYECYTWKIYANKVLNMGCVYNFWRQLNIDQKIAKQRYIQTFYNLQFRNLAKNVAARRDETPKPETKEKPKPQPLKRHECCRQLFHFLRSQSRLQRLFGA >CDP02469 pep chromosome:AUK_PRJEB4211_v1:7:4035778:4036737:-1 gene:GSCOC_T00039854001 transcript:CDP02469 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSPCAACKCLRRKCTQECVFAPYFPPDQPQKFINVHRVFGASNVAKLLNELGTGQREDAVNSLAYEAEYRLRDPVYGCVGLISILQQKLKQVQHDLNNAKKELATYIGPSAMLPIFQHPGFMQQHPNNPSASTVMPYAMQPMMGLPTGVAAPHGGPLIIRDAQQQQQMYEAQQYAAAVAARDQEMFRAYEQQQQQQPPPQELMRFNSGFEGAGPAAATAFNTMSCGAAMSPSLALGSYEAFQLESQLMLQQQQPQQLPLQSHHQPQAAQQQHPQRAKSEEGRSVGPSC >CDP02470 pep chromosome:AUK_PRJEB4211_v1:7:4046204:4049837:1 gene:GSCOC_T00039855001 transcript:CDP02470 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWWRAAAGSLKRAAETPKLRSYHTIQAIPREVTGSRGSARDRAQGRIPAVVFSQTKPGDPTSISPSSSVSRKRLLTTEKKQIKAILNSVQLPFFYSTTFPLQIRAGSGSSTLIESGRVLPIKASIRCIHRNEETGEIMNLVFAWAEDGKEIKVDVPLVFKGEDVCPGIQKGGYLHIVRRSLRYLCPAEHIPPKIEVDVSKLDIEDKILMHEIDVHPTLKLLSKNEAMPVCKIMASKMQDSESAQ >CDP02482 pep chromosome:AUK_PRJEB4211_v1:7:4170062:4173847:-1 gene:GSCOC_T00039873001 transcript:CDP02482 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEICLFIKDGLIIKAPKKSPVLLRTIVLVFVMVCGVYICSVCLKQTNFSTKTKFLNIEVLESYCHEYDIDRSETPYLHYPKPKTFRRAECSCNPVRLFAILSMQRSGSGWFETLLNSHVNASSNGEIFSVKGRRENASSITKTLDRVYNLDWFTSASKNQCSAAVGFKWMLNQGLPEHHKEIVQYFNDRGVSVIFLFRKNLLRRMVSVIANSYDRYAKLLNGTHKSHVHSIEEAETLSRYKPVINLTSLMTDLKQMEVTVMKTLEQFQSTRHIILFYEDLVKNRTKLVDVQEFLKLPLMELTSRQVKIHKGPLSEHIENWDDVNKTLQGTVYERFLHADY >CDP04732 pep chromosome:AUK_PRJEB4211_v1:7:15335158:15341570:1 gene:GSCOC_T00018809001 transcript:CDP04732 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFRKLNRPTGHRMSMLRTMVSQLVKHERIETTVAKAKEVRRLADNMVQLGKEGTLCAARRAAAFVRGDDVIHKLFTELAYRYKERAGGYTRVLRTRIRVGDAAPMAYIEFVDRENELRQAKPPTPQPPQRAPLDPWTKSRLSRQFAPPKEDKSSESDS >CDP02330 pep chromosome:AUK_PRJEB4211_v1:7:3027687:3030630:1 gene:GSCOC_T00039691001 transcript:CDP02330 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSAGGGQSSLGYLFGGGEAANTPPAAKTEVKASNGESSTKPAAVSQPVDAIKQVPAGIQSNNANNYMRADGQNCGNFLTERPSTKVHAAPGGGSSLGYLFGDGKK >CDP02021 pep chromosome:AUK_PRJEB4211_v1:7:6986177:6987875:1 gene:GSCOC_T00037228001 transcript:CDP02021 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRAGRGFTLEELKAAGIPKKLGPTIGVSVDHRRKNRSLEGFQANVQRLKTYKAKLVVFPRRARKFKAGDSAPEELATATQVQGAYLPIVCEKPCVELVKVTEEMKSFKAYDKLRLERTNERHIGARLKKAAEAEKEEKK >CDP04568 pep chromosome:AUK_PRJEB4211_v1:7:18989302:18994694:1 gene:GSCOC_T00018525001 transcript:CDP04568 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGIGAQNLGADAIQLSDQLERHCLAPDGSLISKSTHYDLQLAREEMCKERQRYLEALAIYIEAIAMVEEYQQAVSVANFGGIRDVQGLYSQLGLKNPPEVYEALEQRMVVAEAAQKLRLPLISKDGEIHEEEIEKWSIMSRSSLDSTCTSVTISSSSNSTNYTNISALGTSAPASTMISGVVADATEPEVGGAPNRYLGITPAYLWQTQLQQLPLPMDMAEYQMSLAREIDSRLDIKSDKLIHAMVTGDIDSPTSGPNSTARLPERIKLIIEEIEREETAWREDLYSSDRKFAEYYNVLEQILGVLIKLVKEIKLQHQHKYDELHKTWLCKRCETMNAKLRVLEHVLLYDTYTPETIGALHKIRKYLVDSTDEASLAYNKAVTRLREYQGVDPHFDSIARQYHEIKLENLRWTIHQVEMDLNRSI >CDP17145 pep chromosome:AUK_PRJEB4211_v1:7:27805725:27811545:-1 gene:GSCOC_T00011171001 transcript:CDP17145 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRIYPYSFWSHQDILIISWEKRITSSCSRPKPQEPDTDSFTAVRSQFNGYQLPSSWVYQPPQKDHKSLHPYYKKSLDILEKEARDQTKKQEWKPKLVQPIKCLNQKAEPSLNPVDKCFMFKEEDFPKLESFVKNGSRHIPKIQNAAPTVLPSGETVGPSPTEEVLNWQIENSLVQNSALTSIHKNVTEVRGKVDHIDTTVKTQNSQVSHMIKVLEERLEGLKYELPSNSSSLANFVLNKEKETQFIQNQIATLRTIGEVPKFDIGPSEPVTKVSPGFGATPIRNWPTPFYFGGVTTPNPSVFFPDQPQQSSKPFDIAQVLKRKAKEVVQEPDSSLMYTEKKANKATKIYDNPLSSMLKDFHYDLVPYISTYSQLSDSFESDQAKLSDSQVSDNEDLSVEIKTIEEEEGESSPNCEGESSPKSSYDQISSDEHIPVINMATKAEVVHPDDTYEEGERENSGASRTQRTNFPKAKGVQIFTIDNIPSEQWEAKFQEFHAWMIVQNITDESHFEILSVFTAHFARILKDWWTSLGKQDKLFFLTRQDFAENINILHLMFIGDVKESRETKRKEFFQMKCLSYDRKDLNKHFKKMTKLFIALGADINLKQAFISSFPKSLSDGAEMFIHNKYGSILNLSIGQIKQAVFLSLDDLCNKRKIIREYLKGDVCLDQACKKPELIIKGKSQACVPYKRRRKFKRFSKSYKDFPKRPFRKKLRYFKRKCKQFRGKKGNKCFIYGKPGHFAKNSPSWGSSQTQAIQRLKQELVNLPTLHIPIEDKKILQTDANEKYWGVVLFEENKQGTKHCCGFASGKFKISEQHYHSTFKEILALRNGIKKFSFFLISHHFLVKMDMGSFPKMLHFKQKSIPHPQLLRWSAWFSQYSFDVKHIMGKKNIVADFFSRKERIPQQVLSCLMFTSVQLVPPDIHEIPYPWEKEGIERIRNHYELQHFSSYDGSILSAFGTNPEYPFCQIFIANPTDFPKELLWYIWCMCHQCHILMKFQNPFFNQSLDQNLQVFLQWFKPLTYWSGLFSTNSNHTLFHFHIPCHLINNQIQSLPIPSAVIYKELTHTILDQDDEYGKAQRYIFQENRCIPPEIWPGPYGSWNFQNSHPYW >CDP01952 pep chromosome:AUK_PRJEB4211_v1:7:7436399:7438863:-1 gene:GSCOC_T00037146001 transcript:CDP01952 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNTHPQHTLMIEENASHSMEVDPKRARFPCCIVWTPLPLLSWFIPFVGHLGICREDGVILDFAGPNYVCVDNFAFGAPTCYFQIGKDQCGYTQYSAAYSNEDGYQHDEPGRGALTWDETLQKSTQEYQHQSYNILTCNCHSFVANCLNRLKFRAGGWNVVNLAIFMFLNGRWVNKSSILKSYLPFLIVLGLGIIFGGATFLTYLAVFVILLIVWFVVGTYCFKKLIYV >CDP04630 pep chromosome:AUK_PRJEB4211_v1:7:17200445:17207327:-1 gene:GSCOC_T00018644001 transcript:CDP04630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein (SRP19) [Source: Projected from Oryza sativa (Os06g0342100)] MDGNIQAIKKWIVLYPIYINSKKTIAEGRRISVSKACENPTCVEIHDCCIHLKLPCAIEVDKAYPRDFMQRGRVRVMLKREDGSLFNPAISSRKQLMLRVAELVPKHSNRTKKQEPATSSAAGPSKPAKGGKKKR >CDP12884 pep chromosome:AUK_PRJEB4211_v1:7:13345037:13348115:1 gene:GSCOC_T00037565001 transcript:CDP12884 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKRSLLKIIVIGDSGVGKTSLMNQYVHKKFTHQYKATIGADFSTKEIQIDDKLVTLQIWDTAGQERFHSLGAAFYRGADCCVLVFDVNLLRSFETLQNWHAEFLKQADPVNPESFPFVLIGNKVDVNYGRSQAVPEMTVKDWCASRGNIPYYETSAKEDYNVDHAFTSIARIALAYEHDHGQDIDLPRIPESLSGVEQQRGGCAC >CDP02302 pep chromosome:AUK_PRJEB4211_v1:7:2817816:2821561:1 gene:GSCOC_T00039657001 transcript:CDP02302 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVSEMVETSAAPDQLDIKFRLIDGSDIGPKSYPAAASVATLKESILAQWPKDKENGPRTVKDVKLISAGRILENSRTVGECMSPLCDVPGGVTTMHVVVQPPPQEKEKVPSDPKQNKCGCVIL >CDP02006 pep chromosome:AUK_PRJEB4211_v1:7:7096738:7100697:-1 gene:GSCOC_T00037209001 transcript:CDP02006 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSIVRELREMKEGIGNISRRGLEGKHWRHRTRSHIAPDVVVSELIQQGQWANLPPELLLDIIRRVEESETSWPDRAVVVFCAAVCKSWRDITKEIVKTPEECGRLTFPISLKQPGPRESPIQCFIKRDRAASVYRLHFGLTPSEDENDKLLLAAKKIRRATSTDFIISLVADDFSRASNTYVGKLRSNFLGTKFTIYDSQPPIVAPVHQNGRSSRRFHAKQVSPRVPACNYGVAAISYELNVLRTRGPRRMHCVMHSIPVSSVQEGGNAPTPKSFPQSFDDKSFPSLGSKGKEALKSLSSPSPSSSPAASQHSREALMLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVDPSHNVPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >CDP04744 pep chromosome:AUK_PRJEB4211_v1:7:15192104:15199645:1 gene:GSCOC_T00018828001 transcript:CDP04744 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVSSGDDRIGRAAIGIVPEELTEENYEEWKRCLEHYLVGHGLWGVVSGGEKDPINDERQEYGEEKKQEHEEWKKKNALALHAIQLSCGTGTYVKLKEAHTSAEVAWKHLVEKLKPYKIFAKGDREDESSRVEEKEGDPEDERSRVEEEGPKDLRYRPLYIAIATGDFDRTKSLLDQDPGAVRAIISSHRGTALQFAILNGHMKIAKELLRRMQPADLNMANDNGCTALTFAAIRGVTKLAKTIVEKNDGLLIKENDGLDGQLPVIVAALYGQKHMVDYLYSVTPRELFRPEEGKNGATLLNSLITAEMYDVALMLLRRYPKLGVTPDHNGDYALQLLAHKPSAFPSGTKLVSWKRWIHSCLMEHSPWGSPADSAKDDQTTKASMIEDHSIEITDDETNLTGNRGLQITSFVLKVLHGLGWRILSCLGLCHDRKLVHKDAIELLKLIFKEIWKLSRKDLEEIDIMKILYDAIEQGIIEFVEKSFKYKTTIIYKRDGKGRTIFSHAILLRQEKIYSFLNALETRKSILARRHDFFGNNLLHLAAKLSPLSQLDKISGAALQMQKEIQWFKEVESIVQPRMKEQRNAYDKTPSELFTEEHKVLAKEGERWMKNTAGSSMIVGTLIAAVMFTTAFTVPGGNDSKTGLPVMLETQSKAFLIFMASNALSLFTSSTSILMFLGILTARYAEGDFLKSLPTKLIFGITCLFVSIVTMMASFGTALYLMLITQVAWISYPIMVFSVIPIALYSLLQFPLLVEMISRTYGHGIFEKPKKKQLYSFETDTTTTI >CDP12901 pep chromosome:AUK_PRJEB4211_v1:7:13198237:13199354:-1 gene:GSCOC_T00037588001 transcript:CDP12901 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTTSGGQPPQPSAEPQLIPDLPDDVSINCLSRIPRYYYPKLSLVSRSWRSAITSSALFTTRSLLHTTQTSLYLNLRVNYSFHWYTLLSNCHSRKIPQKPIFPLPRMPCQPVGPAFAVLGPKIYVIGGSINDIPLNSMWVYDCRFNRWEQGPRMKVGREFAAAGVVDGKIYVLGGCVVDSWARSVNWAEVFDPDTGLWAPVPSPIEVREKWMHASAVVEGRVYAMADRGGVVYDVGLGKWGNVSKRLDLGWRGRAAVVRGVLYCYDYLGKIRGYDVEEDVWKELRGVGKGLPRFLCGATMVNFDGRLCVVWEGKGSGKEVEIMCADIEVWKDGDGMLSGRILWSDVILTVPNGAAIVHCMAAEF >CDP16733 pep chromosome:AUK_PRJEB4211_v1:7:784998:790497:-1 gene:GSCOC_T00019212001 transcript:CDP16733 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQSAQVPSEEEEEDEEEEEANEEDDDDHTHNMDNPNQIRQLGDGGGSNLAKKVLEQEPEMLPCHASASPLSPQLSSLGTPRLGPSIKVWDPYNVLAPPPPPPSLPPHFSRASPSDAVALVDDDRTLTEVYLICHGECHMNLRPDLIAGRCPEAALTPSGKRQARALAVFLKSQGVRFSAVYGSPLDRARATAVLVCQELNFPEEQIQSSDALMEMSQGHWEGCHRSEIYTPETLSFIERLQPDFSAPSGESLRQVEFRMVQFLNGTLLRLPEKFGSDLSPPDQCETQGFPHRSSHVYTNSIHDRDGLSLHPANWDLVHRHRQGLPRKKSGKSRLQIVTSTGDHEADDEMSPRQPTNQDLIRDINVRSTASCASSSVGVFTHSVPIKCLLTGILGCGSVMSHKLWIEDSSVTVLQHSWKMGWQIKRLNDTAHLRLL >CDP02169 pep chromosome:AUK_PRJEB4211_v1:7:1834836:1837172:1 gene:GSCOC_T00039479001 transcript:CDP02169 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKIETGHNDTVHDVSMDYYGKRVATASSDSTIKVIGVSNNNTSQHLATLSGHQGPVWQVAWAHPKFGSLLASCSYDGKVIIWKEGNQNEWSQAHVFSDHKSSVNSIAWAPHELGLCLACGSSDGNISVYTARSDGTWDITRIDQAHPVGVTSVSWAPSTAPGALVGTSILDPVQKLASGGCDNTVKVWKLYNGIWKMDCFPALQMHADWVRDVAWAPNLGLPKSTIASASQDGTVVIWTVAKEGDQWEGKVLRDFKTPVWRVSWSLTGNLLAVAAGDNNVSMWKEAVDGEWQQVTTADQ >CDP12910 pep chromosome:AUK_PRJEB4211_v1:7:13136790:13137098:-1 gene:GSCOC_T00037600001 transcript:CDP12910 gene_biotype:protein_coding transcript_biotype:protein_coding METVRRLGSEKPVVIFSKSNCCISHAIKMLIRSFGANPTVYELDHHPKGRDIENALLTLGCNPSVPAVFIGKLFVGGSTEVLSLNIRGKLKPLLIEANAIWM >CDP04576 pep chromosome:AUK_PRJEB4211_v1:7:18776588:18786282:-1 gene:GSCOC_T00018541001 transcript:CDP04576 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEDTEMVRMEVEESKEAILYVNGVRRVLPDGLAHLTLLEYLRGIGLTGTKLGCGEGGCGACTVMVSFFDQNKKKCVHQAINACLAPLYSVEGMHVITVEGVGNCRRGLHPVQESLARSHGSQCGFCTPGFIMSIYALLRSSQEPPTLEQIEESLAGNLCRCTGYRPIVDAFRVFAKTNDSLYVNGALEGHSGQFICPSTGKPCSCGLKPGNEDEKLKTDRCSVDDYSPVSYSDTDGTIFTNKELIFPPELLLRKLTYLCLTGLNGLNWYRPLKLQHVLDLKARHPDAKLVVGNTEVGIEMRLKRMEYRALIYIAHIPELNQLSLNDEGMEIGAAVKLSELMKVLQTVSGKRPLYETSSCRALIEQIKWFAGTQIRNAASIGGNICTASPISDLNPLWMAAGAKFRIIDGKGNIRTCLAEKFFLGYRKVDMASSEILHSVFLPWNKQYEFVKEFKQAHRRDDDIAIVNAGMRVLFEQRDTKWVISDASIVYGGVAPVPLFAYKTKLFLIGKTWSKELMQDALEVLQEDIVLKENAPGGMVEFRKSLTLSFFFKFFLWVCHHIDGQTSCPSGIPSSHLSAIQPFHRTSVTGSQDFDITKHGTAVGSPELYIVSATMVSGEAEYTDDTPVPPNSLCAALVLSKKPHARILSIDDSGAKSSPGFAGIFFAKDIPGNNNIGPVIADEELFASEFVTCVGQVIGVVVADTHENAKNAARRVHIEYDELPAILSIKDAIHCNSFHPNTEKCLRKGDVDLCFQSDQCDKIMEGEVQVGGQEHFYLEPNSSLVWTVDNGNEVHMVSSTQVQNSHLLYMILP >CDP01736 pep chromosome:AUK_PRJEB4211_v1:7:9157231:9169550:-1 gene:GSCOC_T00036880001 transcript:CDP01736 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDMQPVMSQSMRSSRSSFGSSNGFETPSHHSFGASNGDEYDSDGSNFAPPTPTALSAALPPELSAAIPLIDKFQVEVFLRAMNKQIQSAGKRGFFSKRSAGSQVREKFTFEDMLCFQKDPIPTSLLRINGDMVGRATKLFQIILKYMGVDSSDRVTPVSLDERIELVGKLYKQALKRSELRDELFAQISKQTRNTPDRQCLIKAWELMYLCASCMPPSKEIGGYLSECIHNVAHGATSDSEAQALALNTLNALNHSVKAGARHIIPGREEIEALLTGKKLTTIVFFLDETFEEITYDMGTTVADAVEELAAIIKLTSYSSFSLFECRKVVTGSKSPDPGNEEYIGLDDNKYIGDLLADFKASKDRSKGEILHCKLTFKKKLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLCALQILVEVGYLDSPESCTDWTSLLERFLPRQIAITRAKRDWELDVLARYRTMQNLTKDDARQQYLRILRTLPYGNSVFFAVRKIDDPIGLLPGKIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETRQGEEICVALQTHINDVMLRRYSKARSAANGSLNGDLSSNNQKPPTPDVNEKRVQDLSRALEESQKKANQLLEDLHEQKKQELAMQEEMENLKKISRSEKQNLTDIVSDRDKLRLLCDEKDSALQAALMEKRSIEMKFAKLSSQGLENNIRKELVEANNQILNKIQDELKARNLELHVAEESKRKLLSEKVLLEERISRLEMKKIDEVETLQRNTEQELKALRLRVSELEKKLEAVTEKLTIAQTAVSTKDVELTALQNNLKELEELRELKEDIDRKNEQTAAILKMQGTQLAEMEALYKEEQVLRKRYFNMIEDMKGKIRVYCRLRPLTEKEVSLKERNVLLSVDEFTVEHLWKEETKQHMYDRVFDDLATQDDVFEDTKAMQYLVQSAVDGYNVCIFAYGQTGSGKTYTIYGSDCNPGLTPRAICELFKIMKRESNKFSFSLKGYMVELYQDTLIDLLLPKQAKRLKLDIKKDSKGMVSVENVTIVSIATYDELKSIIERGSEQRHTTETLMNEQSSRSHLILSVVIESTNLQTQSVARGKLSFVDLAGSERVKKSGSSGSQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNISPAESNLDETYNSLVYASRVRSIINDPSKNVSSKEVARLKKLVAYWKEQAGRKGEDEDLEEIQEERFTKERPDGRHSM >CDP01822 pep chromosome:AUK_PRJEB4211_v1:7:8419595:8420521:-1 gene:GSCOC_T00036992001 transcript:CDP01822 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNPKSKNHYRVRSVSLPTRSHPSTIRIEQAVNKLKTWETSSSSFSKADKICLSLSGLKELHEGIEELLALPLTQQALSQHQQDHMVNELVEESVRYVDVCSNARDTVMQMKEGIKGLQSSLRRSKLRELRTETDVASFMCSRKILQKEISRSLAKLKHVDNKMVPLHLSNSEDDQLSIVLRALTEASLLNISTFSSLLLFLSVPVMKLKPTRWTLVSNFIYKGVLACEAQRKLEALETILESLENGLELLFRHLIRTRVSLLNILSAGTS >CDP04747 pep chromosome:AUK_PRJEB4211_v1:7:15163631:15164817:-1 gene:GSCOC_T00018831001 transcript:CDP04747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MKS1 [Source:Projected from Arabidopsis thaliana (AT3G18690) UniProtKB/Swiss-Prot;Acc:Q8LGD5] MDPQDFSTGGRPSPRRELQGPRPAPLKVSKDSHKIKKPPVAPQLHHLNQPAPPHLQPTVDSEHRQPVVIYAVSPKVIHTTVNDFMNLVQRLTGRSPRPGNTPTAAGDLSPAARLASVEKASPSEREKERQQRVGSDFAEDLMEILEGTSFEMGQNPGILSPAPGTLPPVSLPGLFSPAPDPFLNWNNMFLPSPSFLLSSPLISPSIPSYDLFNTFFDF >CDP01899 pep chromosome:AUK_PRJEB4211_v1:7:7913192:7920240:1 gene:GSCOC_T00037078001 transcript:CDP01899 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSTTKVSGSNSNTPTTTTTTTTKNTEQGKKPSSRRQGGVIPYGKRTDFGYDKDFDRRYTLGKLLGHGQFGYTYVGTDKSNGDRVAVKRIEKNKMVLPIAVEDVKREVRILKALAGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILSKKDSRYTEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSPKVDSSLKATDFGLSDFIRPGKKFQDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPSISNSARDFVKKLLVKDPRARLTAAQALSHPWVREGGDASEIPVDISVLSNMRQFVKYSRLKQFALRALASTLDEEELADLRDQFHAIDVDKNGSISLEEMRQALAKDLPWKMKDSRVLEILQAVDSNADGLVDFSEFVAATLHVHQLEEHNSEKWQQRSQAAFDKFDVDKDGYITPDELKMHTGLRGSIDPLLEEADIDKDGKISLAEFRRLLRTASMSSRVVSSPTVNKVPRKLIA >CDP02279 pep chromosome:AUK_PRJEB4211_v1:7:2671031:2675254:-1 gene:GSCOC_T00039631001 transcript:CDP02279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN1 [Source:Projected from Arabidopsis thaliana (AT5G39400) UniProtKB/Swiss-Prot;Acc:Q9FLZ5] MGLKFSRHGLPRTGDPGLVHVHNKLINFLSTSFYIRNLVSKQRRRMIVGGYDLDMTYITDRILAMSFPAERMRSVYRNPLWQVKSVLDMRHPEHYKVYNLCIEEAYDPSHFHGRVERFPFDDNHVPPLPMIKEFCEDVYSWLSSDPRNVAVIHCMAGKGRTGLMVSSYLVYMGMSAEKALQVYGEKRTTNNEGVSIPSQRRYVNYWEKLLCFPKSLQHGPPDVNLPKPVTRELIRIRLYDMQHVDSVFCVVSELQNVHGERYYRPVEVSKSCCRKIKDGFQRTNRPRYYYSFVDKDNEETDADKREAHLVAQMDTECSILYRKTCLGCYYDNPVRVTGDVRIIFYEKLIGGRLFYCCFNTAFIKSSLLQFTLSDLDKVGKKGRSISGPAFCLELFFGPANVSCCCIPGSNDADLCDYH >CDP02471 pep chromosome:AUK_PRJEB4211_v1:7:4055399:4057641:1 gene:GSCOC_T00039856001 transcript:CDP02471 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTASWLQNAFSFSSSSSTKSTSDDSIISGRYAAKPSLFSSRGGRSSRLLTRAKKLRHLTDCDVEFSRSTRASFDDSPARLSMGAFSPAAAAAAPPSPQPLPLPELQMLLRRDSKSASDRTTSKNVPLPSPGEAHQRESGAEEKEKEKSDCLNGVMNNDAATSSNAAGRLISQDAQKNVENTDNWSSRKSPPKVNGSERNPDNYRMSTPLSAPASPYASPALSPHRNAGDVLTPQYMTPPSLFQVWSAPEMPSLDSTLVSGFSYQSSSEIAAFPVDNSSLPSPKINLSKSPNGPASPLCNKLSSETSVTRHDCNAQASVSVHPLPLPPGSAMASQPTLIPQLTPKLDIAPIKSQWQKGKLIGRGTFGSVYVASNKLFFLTEKLELYVQ >CDP02046 pep chromosome:AUK_PRJEB4211_v1:7:1045027:1046339:1 gene:GSCOC_T00039322001 transcript:CDP02046 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKSPIVISQHKKSPIVISQQQKSRQPNDAATSSDQVTTTSPVPPEQSSDSASRHLADELLLQAEAQKSIIEDVARLCDVAEALCSAREENFTKTFTELPVWASKPHELMTSLYED >CDP02576 pep chromosome:AUK_PRJEB4211_v1:7:4972913:4975649:-1 gene:GSCOC_T00040006001 transcript:CDP02576 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGFFQDLAFSASLTVVVYFILSKLRSSSAEDVTNATCNSRNDVLIDKAGFLEHVGKKYPGVFDIGQERNLGNSGFQAVMSGEVHSVDGEGNELKQEEKDNNTNDEALGGVVENVLVQEAREEKDSVGVGGGEDIKEKEEVDECSESRPMPQGTEEELQSLVEDAYVALSLREEFEVREGKDEEICEVLEDKHSQETERDAAQSTERSEGNESNNRVGMEESEEGLFDDWEGIERSELEKHFDAAVAFVGSKGNADRIDGNTRMQLYGLHRVAMEGPCHGPQPTALKVSARAKWNAWQKLGDMSSERAMERYMALLSASIPEWEVTEISPNDCLSDVHLHVL >CDP02361 pep chromosome:AUK_PRJEB4211_v1:7:3300702:3305314:-1 gene:GSCOC_T00039723001 transcript:CDP02361 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGSIKPTKEKKSRKSKKSVVNEKSPLLPTKHEEDGGYDEFDGASFSGAVFNLSTTIIGAGIMSLPATMKVLGLILGIAVIIFMAFLTEASIELLLRFSRAAKSASYGGLMGDTFGKYGRILLQICILVNNVGVLIVYMIIIGDVLSGSTSAGIHHPGVLEGWFGAHWWNGRFFVLLVTTLAIFAPLASLKRIDSLKFTSALSVGLAVVFLVITVGITVFKLISGTISMPRLLPDVYDLTSFFKLFTVVPVFVTAYICHYNVHSIDNELEDNTRIKAVVRTSLTLCSSVYAMTSLFGFLLFGQATLDDVLSNFDSNLGIPYGSLLNDAVRISYAAHLMLVFPIVFYPLRLNLDGLLFPSATPLTSDNLRFALISMSLIGLTFVGANFIPSIWDAFQFTGATAAVCIGFIFPAAVTLRDRHGIATKKDKILCIFMIVVAVFSNLVAIYSDAYSLFKKNASPRG >CDP02016 pep chromosome:AUK_PRJEB4211_v1:7:7015815:7017962:1 gene:GSCOC_T00037223001 transcript:CDP02016 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVAIQKPMLHGLMKMAGVVPQTIEIESGTVMNFWVPTETVPKQKKSKKTTSSNNVDEINLNHNILKPKTAKPVVVLVHGFATEGIVTWQFQVGALTKKYSVYIPDLLFFGGSITDSSDRSPTFQAECLAKGLAKLGIEKCTVVGFSYGGMVAFKMAELYPDLVQAMVISGSILAMTDSISTTALNELGFSSSSELLLPTSVKGLKALLKVAVHKKLWFPDRLHKDFLEVMFNNRKERGELLDGVVVSNKDATIPTFTQQIHLLWGENDQIFKLELAQNMKQQLGDMATIQGIGKAGHLVHLERPCVYNRCLKKFLASLKADEAQKFI >CDP01813 pep chromosome:AUK_PRJEB4211_v1:7:8550915:8554827:1 gene:GSCOC_T00036981001 transcript:CDP01813 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTRIPSPSSSGGTSGERTKKSRWPFACLVSVLPVVVGVLIYQLDSFDPAPYPAHELTRKKPLTVPKSNPHVLKGAENIGVGKLLGPEDIAYDPKSGVIYTGCEDGWIKRVTVNDSAADSVVADWINTGGRPLGVVCGHHGEVIVADADKGLLNATADGGIQLLTDEAEGVKFRLTDGVDVAEDGIVYFTDASYKYSFWEFAWDYFEGRPFGRLLSYDPSTKETKVLVRDLYFANGVAVSPDQKFVIFCESPMARCKKYYINGERKGSVDIFVENLPGMPDNIRYDGQGHYWIALPTEITYAWDLAQRYPFIRKIMAILERYVGRPHVEKNGGGLAVDLDGKPAAHYCERDLSLITGVNKIGDHIYLGSIDKPYIIRLNIKQYPAVSDTEYMRSLILDSTDETL >CDP04674 pep chromosome:AUK_PRJEB4211_v1:7:16186145:16195168:1 gene:GSCOC_T00018724001 transcript:CDP04674 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAL1 phosphatase [Source:Projected from Arabidopsis thaliana (AT5G63980) UniProtKB/Swiss-Prot;Acc:Q42546] MSYDKELAAAKKACSLAARLCQKVQKALLQSDVHAKSDKSPVTVADYGSQAVVSFVLQKELSSSPFSLVAEEDSGDLRKEDGQETLQRITKLVNETLANGGTASPLSDQDVLAAIDSGKSEGGSLGQHWVLDPIDGTKGFLRGDQYAIALGLLDEGKVVLGVLACPNLPLQSLACHDQHTIQGKAGCLFFAQVGTGTYMQSLDGSEPRKVHVSSTENPEEASFFESYEAAHSMHDLTSLIAKKLGVKAPPVRIDSQAKYGALSRGDGAIYMRFPHRGYCEKIWDHAAGSVVVTEAGGVVTDAAGKPLDFSRGRYLDLDTGIIVTNQKLMPTLLNAVQESLKEKASSL >CDP02548 pep chromosome:AUK_PRJEB4211_v1:7:4758990:4760504:-1 gene:GSCOC_T00039963001 transcript:CDP02548 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLPGDMLQRKRVVPRRDLFVQEFKKFSSIALPLVVVTASQHFSRIASMIMAGHFGEDSFSGTSIATYLTDVTGFTLLFGMASALESLCGQACGAGLYHKLGMNTYGAIISLIMVCIPISLLWLLLDKLQIFTGQNHLISAEAGGYAFWLIPALFPYAVLQALIRYLQTQNLILPLVLSSVAALCFHLPVCWAFVFYLKLGNTGAALALGLSYWFNVILLVLYVKYSAACEKTRISFSTDAFLSMGEFIKVAIPSAVTVCLEWWKFEVLALPSGLLSNVPFEATVISIWYFSAS >CDP01397 pep chromosome:AUK_PRJEB4211_v1:7:12682509:12685384:-1 gene:GSCOC_T00036430001 transcript:CDP01397 gene_biotype:protein_coding transcript_biotype:protein_coding METLASSFDETMTFNVVNGGVLTCAGEAVVLLAAALVSALVKTSLVVLTQFSSFISAASILLQKRKEKEKDLLHVEIFF >CDP01771 pep chromosome:AUK_PRJEB4211_v1:7:8880946:8885122:-1 gene:GSCOC_T00036929001 transcript:CDP01771 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLANSIVSVKHPRTHFLTGTSLQTDHFLSSNNVLCIPASQSNLKPLKCGRSLTVQASGDGGRPGSASIFVGGFVLGGIVVGALGCIYAPQISKALAGADRKDLMRKLPKFIYDEEKALEKTRKVLTEKIAQLNSAIDEVSSQLRADDAPNGAAVTPDELEASI >CDP02257 pep chromosome:AUK_PRJEB4211_v1:7:2533229:2535968:-1 gene:GSCOC_T00039605001 transcript:CDP02257 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFRKDHSDDVEDFDEYDPTPYSGGYDMSLTYGRPLPPSEETCYRSSSSTSESFDYDRPQYSSYAEPSAYGDEALENEYKSYVRPKPRPGRPGPPPPSYGAPSGDVGGYGHDNPQPNYAFQPGVNRPGAGGYGGESEYGGGGFGSEGYGRTKPPSSEYGSGYQRPGSEYERPTSEYGSGYGRKPEYERPSSEYGSGGYQRPSSEYGSGGYQRPTSEYGSGGYERPTSGLGSGGYEKPTSEYGSGYGRKSGYEEPTSEYGSGYARKTSYGEEESGGYGGFGRKPERPSYESQESEGRYGGSSYERPSYGRSEEEEYRKPSYGRSEEGEYRKPSYGSRDDDDEGYGRKKYGGEGSDDDEEKKHRYKHHHHHHHRKDYDDE >CDP01850 pep chromosome:AUK_PRJEB4211_v1:7:8239091:8243632:-1 gene:GSCOC_T00037024001 transcript:CDP01850 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFIFLLTLLCFWLLLQCSNAHIFTLEMHHRFSEPIKKWSQKTGKNFMVQSWPNKGSVEYYQQLANHDRLLRGRRLSESDGLLTFSDGNSTFRISSLGFLHYTTVTVGTPGMKFMVALDTGSDLFWVPCECGRCASIVDPLHSPDFELSIYNLNGSLTSKTVTCNNHFCSHQADCLDTSSRCPYSVSYVSSETSTSGILVEDIIHLKSEDDHQGHVQAYIIFGCGQVQTGSFLDVAAPNGLFGLGLEKISVPSILSQNGYTADSFSMCFGHDGTGRINFGDKGSLDQEETPFNVNPLHPTYNISVVQIRVGTALIDSEFTALFDSGTSFTYLVDPAYSMILENFHLQAQDKRHLPDARIPFEYCYDMSPDANTSLIPTISLTLKGGGQYVVYDPIIVISTQHQLIYCLAVVKSQDFNIIGQNFMTGYRVVFDREKLVLGWKKFDCYDDVEESTAAIPRNSSSVPPAVAAGVGTKSKPSSEENRRNKPQTSFASSPDHRFHLMFLHLQLLFLLTLV >CDP08435 pep chromosome:AUK_PRJEB4211_v1:7:24407630:24408143:1 gene:GSCOC_T00027315001 transcript:CDP08435 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYQEMEKFGIVGNDFEDGQWIGGGVYYKKRREKHSHCIYSKA >CDP02544 pep chromosome:AUK_PRJEB4211_v1:7:4734382:4735906:1 gene:GSCOC_T00039959001 transcript:CDP02544 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g37300/C7A10_60 [Source:Projected from Arabidopsis thaliana (AT4G37300) UniProtKB/TrEMBL;Acc:O23157] MVGQPTVTKPSRSDEVVDADQQQQITNQVRAHFESLAPNRPTKPNRSESDSTSPPITSYVPDQHGFAVPELDKLRSLQSQSHATLFGTSPVVQEEFMETHYYEELDSVDKQHHETGSGFIKVASEINGNDYDLRLENNHGGIREVVFKTNPATNEWIPSLDNHQVACKSSKPDRSESS >CDP01394 pep chromosome:AUK_PRJEB4211_v1:7:12719983:12721588:1 gene:GSCOC_T00036424001 transcript:CDP01394 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPRLKNHHLVPLARYAVVTGANKRIGFAICNGLAFHGITVVLTARDEKRDSMLFTSTKGLVNNVAVLGNIHDVRRAALVSIYSSPSRLSPIRYLDEMIPRYSTHRDWNAWKQIGPKRMIEAFLPLLQSSQSPSIVSASSLVGKLELQRATASSPLIYLDEVLNEYLKDFIKAGAHGAKGWPLACAISKASLNAYTRILAKKLPSFKVICVYPGFVKTDMSHNIGLLTPEEGAESPVRLALLPDDGPSSLFFSQKEVSSFE >CDP04766 pep chromosome:AUK_PRJEB4211_v1:7:14893885:14896227:-1 gene:GSCOC_T00018861001 transcript:CDP04766 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLLFLLLSGFYSGIAAQRSPLNITLGSSLAPTGNSSSWLSQSGLFAFGFYQQRDGYAVGVFLAGIPQKTAVWTANRDSPIFSSNVSLILSTDGRLILQQPEGQNITVVYPSQPISSASMLDSGNFVLYNSDKEIIWQSFEHPTNSLLPRQQLIAGQELISSASETDDSRGNFRLKMQKDGNLVQYPVGTGDVAENSYWTSTTDGDGPNMTLNLEDDAHLYLINSSVNIVKNISDGGHPKNEMIYLMKIDVDGIFRLYSHSIDQGGNWSIIWESSTDNCVPKGLCGFNAFCTKIDDLVECKCLPGFQFVNQGNWSLGCKRGFLPESCSSTNSNVNYTIESLEHTAWDDGTFFRLETSTREDCAKACLEDCNCEAAFFKDGHCKKQKLPLTYGRRADDLNVALVKVGNPANNNEGVIQSSPQKNRKEEDRVYILKIGISLAVFGALISLFAGVYVHRNRAWACKQVLGNGNVEFDKNVAPRTFTFAELEQATNEFREELGRGAFGTVYKGILPNSNKVVAVKKLEKVLTEGEKEFQNEISVIGKTHHRNLVQLLGYCLDGAKRLLVYEYMSNGSLEKFLHKPENHPTWDERMKIACDIARGILYLHEECETQIIHCDIKPQNILMDESRCAKISDFGLAKLLKNDQTRTYTGVRGTRGYVAPEWFRKLPVTVKADVYSFGIVLLEIICCRKSVDCTSPESVAILEEWAYQCFEAGELYKLVGDQEVDDVRELERMVKIALWCVQDEPALRPSMKKVLLMLEGTVDIPDPPSLTSFLIAI >CDP16659 pep chromosome:AUK_PRJEB4211_v1:7:267739:268997:-1 gene:GSCOC_T00019119001 transcript:CDP16659 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQAAVSFLTNIARAAFGLGIGATVLNSSLYTVDGGQRAVLFDRFRGVIDDTVGEGTHFLIPWLQKPFIFDIRTRPHTFSSISGTKDLQMVNLTLRVLSRPEVPRLPIIFKTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDSLVRRAKDFNIVLDDVAITHLSYGAEFSKAVEQKQVAQQEAERSKFVVMKAEQERRAAIIRAEGESEAAKLISQATEAAGTGLIELRRIEASRENAATLSRSGNVWYLPKENNMLLGLNAGR >CDP01766 pep chromosome:AUK_PRJEB4211_v1:7:8906581:8911682:-1 gene:GSCOC_T00036923001 transcript:CDP01766 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVAIAAVVGNFVQGWDNATIAGSVLYIKREFNLQTQPTVEGLIVAMSLIGATVITTFSGSVADWLGRRPMLVISSVLYFLGGLVMLWAPNVYVLLLGRLLDGFGVGLAVTLVPLYISETAPTEIRGLLNTLPQFAGSAGMFLSYCMVFGMSLQDSPSWRLMLGILSIPSLAYFALAVFFLPESPRWLVSKGQMQEAKKVLQRLRGREDVTGEMALLVEGLGVGGETSIEEYIIGPDNELNDNQDHEVEKDQIKLYGAQEGLSWIAKPVRGQSTLGLSRHGSLANQNVPLMDPMVTLFGSVHEKLPETGSMRSMLFSNFGSMLSVAEHPGKNENWDEEAQDYGEHHISDASGAESDDNLRSPLLSRQGTNTDKDAVSRGANASFMHGHAGEEVGSMGIGGGWQLAYRKDEKAGGGLKRIYLREEGVPGSQRGSILSLPGADVPVDGECIHAAALVSQSVLRLEDVSGQNSIIEAVDKPSESAVKGPSWRDLLEPGVKHALIVGILIQILQQFSGINGVLYYTPQILEQAGVGVLLSNMGIGSDSSSFLISGVTTLLMLPSIGVAMKLMDVAGRRLLLLSTLPFLLLSLVILVLGNVINLGNTAHAVVSTISVVVYFCCFVMGFGPVPNILCAEIFPTRTRGLCIAICALVFWICDIIVTYSLPVMLSSIGLAGVFGIYAVVCAISWVFVFLKVPETKGMPLEVITEFFAVGAKQVAAKEQ >CDP01790 pep chromosome:AUK_PRJEB4211_v1:7:8738621:8739774:-1 gene:GSCOC_T00036949001 transcript:CDP01790 gene_biotype:protein_coding transcript_biotype:protein_coding MDPILQYAKDICHQIVEFAKGAWRSTSSHWPESQTNEYLFVPCGENRLTFQSFLYQTDFS >CDP02676 pep chromosome:AUK_PRJEB4211_v1:7:5760398:5762229:-1 gene:GSCOC_T00040141001 transcript:CDP02676 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTDQTQNGLLEEFLVAPKLDSYWTTFPGGGIEIFPNGWNCESFDRNDHNQDLLTSNPNSNSLLGLLSSPAALLAAGSHESGFAFPFGESTSYPFLDHVGDGFSTAVPELGSSYDRSNFDSLPPVPIQQDYGEGMVEHGGNLGLRGLQEGKGFCKVEVEQPTATSIGASRVGLCGDKRSKIKKAEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLDKIHKSREESTEENINQLTKIGNLKQLKPNEVVARNPPKFDVERRSVDTRIEICCTAKPGMLLSTVSTMEALGLDIQQCVISCFNDFSVQASCSEVAEHRRIVGSEDVKQALFRNAGYGGRCL >CDP02000 pep chromosome:AUK_PRJEB4211_v1:7:7121341:7125407:1 gene:GSCOC_T00037203001 transcript:CDP02000 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMSTSCATLPHYLRTKFLDPNSSYNSIAPVQLGLHHYKSKCELNRRGFSFKGIVAAGASAVAPSLVTESSQGLERLMYKPDGYNYWTWRGRKIHYVVEGEGLPVVLIHGFGASAFHWRYNIPELAKKYKVYALDLLGFGWSEKALIEYDALVWRDQVVDFLKEVVKEPAVLVGNSLGGFTALVAAAALPQQVKGVSLLNSAGQFGDSLTVKSESEETPIQTYILKPLKEAFQRIVLGFLFWQAKQPSRIKSVLSSVYINASNVDDYLIDSITRPAADPNAGEVYYRLMTRFMSSQRKYTLDNVLSELSCPLLLLWGDLDPWVGPAKALRIKEFYPNTSLVNLQAGHCPHDEVPDLVNKALTDWLSTLTSAPPETA >CDP02502 pep chromosome:AUK_PRJEB4211_v1:7:4387510:4388564:1 gene:GSCOC_T00039901001 transcript:CDP02502 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKCCYLWFWACSFLLILTSKSLVLHRVLAQAEEAATLQLKADSSDWPAAMMSLYDAADDVVGDNDDEMEMDDDAVSSSRRSLFWRRVRYYISYAALSANRIPCPPRSGRSYYTHHCYFASGPVHPYNRGCSAITRCRR >CDP01903 pep chromosome:AUK_PRJEB4211_v1:7:7861725:7889766:1 gene:GSCOC_T00037083001 transcript:CDP01903 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVQNFEQHSHHLVEPDLPIKTRLQMAMEVRDSLEITHTGEYLNFLKCYFRAFSVILYHITKPQFTDNPEHKLRNIVVEILNRLPHSEVLRPFVQELLKVAMHVLTTDNEENGLICIRIIFDLLRNFRPTLETEVQPFLDFVCKIYQNFRVTPMDVSDQVGPSGGHVTQGQLNPSTRSFKVVTESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPEKVAPHLKNHFTELKGAQVKTVSFLTYLLKSFADYIRPHEESICKSIVNLLVTCSDSVSIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRGDLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDQPTMDEARILLGRILDAFVGKFNTFKRTIPQLLEEGEDGKNRSTLRSKLELPVQAVLNLQVPVEHSKEVSDCKHLIKTLVMGMKTIIWSITHAHLPRSQVSPSTHGTSSQILVSATSGSSVPQSFKGMREDEVWKASGVLKSGVHCLALFKEKEEEREMIHLFSQILAIMEPRDLMDMFSLCMPELFECMISNTQLVHIFSTLLQAAKVFRPFADVLVNFLVTSKLDVLKQPDSPAAKLVLHLFRFLFGAVAKAPSDCERILQPHVPVIMESCMKNATEVEKPIGYLQLLRTMFHALAGGKFELLLRDLVPMLLPCLNMLLAMLEGPTGEDMRELLLELCLTLPARLSSLLPHLPRLMKPLVMCLKGSDDLVSLGLRTLEFWIDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGGKSLQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVMNKNGGVDPFYRKQALKFVRVCLSSQLNLPGIVTDEGSTSRQLSTLLVSSVDPSWRRSETVEMKADLGVKTKTQLMAEKSVFKILLMTIIAANAEADLHDANDDFVLHVCRHFAMIFHIDNSLTHTSIGASSLGGPLLAPSSSISSKSRNTGPSNLKELDPLIFLDALVDVLADENRLHAKAALDALNIFAETLLFLARSKHSEILISRGGPGTPMVVSSPSMSPVYSPPPSVRVPVFEQLLPRLLHCCYGSTWQSQIGGVIGLGAMVGKVTVEILCHFQVRIVRGLVYVLKRLPIYASKEQEETSQVLTQILRVVNNVDEANSEARKQSFQGVVEYLASELFNANSSINVRKIVQSCLALLASRTGSEVSELLEPLYQPLLQPLILRPLRSKTVDQQVGTVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADETVWVVKFMNPKVASSLNKLRTACIELLCTAMAWTDFKTQNHSELRAKIISMFFKSLTSRTPEIVAVAKEGLRQVILQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQTQKSWKAGEEPKIAAGNIYCCLSRSIIELFHLLPAAAGKFLDELVTLTIDLEGALPPGQFYSEINSPYRLPLTKFLNRYPAAAVDYFLSRLCQPKYFRRFMYIIRSDAGQPLREELAKSPEKIIASAFPEFLPKSDASTAQGSFNHPTTVGSDESLGNKPESLIPVSTSTSGLADAYFQGLALIKTLVKLMPSWLQSNRVVFDTLVLLWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYFRHDKNEVNVLFDILSIFLFRTRIDFTFLKEFYIIEVAEGYSPNLKKTLLLHFLNLFQSKQLALDHLVIVMQMLILPMLAHAFQNGQTWDVVDTTIVKTIVDKLLDPPEEVSADYDEPLRIELLQLATLLLKYLQTDLVQHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTSAENRRLAIELAGLVVNWEKQRQSEMKTAAASGGGQNNDVFNQITASGDPATAIDGSTFSEDPTKRIKVEPGLQSLGVMSPGGVSSIPNIETPGSSGQPDEEFKPNAAMEEMIINFLIRVALVIEPKEKEASLMYKQALELLSQALEVWPNANVKFNYLEKLLSSIPPSQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFRYKMLDAGKSLCSLLKMVSSAFPPEMPSTPQDVKMLYQKVEELVQKHLAAIAAPQTSGEDISASMISFVLYIIKTLTEVQKNFIDPSNLVRVLQRLARDMAAATGSYVRQGQKADADSAVTSSRQGADVGVVIANLTSVLKLISERVMLIPECKRSVTQVLNSLLYEKGTDPSVLLCILDVIKGWIEDDFNKPGMPTTSCNFLTAKEVVTFLQKLSQVDKQNFSVTAVEEWDKKYLELLYGLCADSNKYSLSLRQEVFQKVERQFLLGLRAKDPEMRMKFFSLYHESLGKTLFVRLQYIIQNQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSAKIPPLMTSSAVPDCSAVQPMVTDIPEGSDEASLTFDGLVLKQSRFLSQMSKLQVADLIIPLRELAHTDANVAYHLWVLVFPIVWVTLQKDEQVALAKPMINLLSKDYHKKQQGHRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFLNDTKCSESLAELYRLLNEEDMRCGLWKKRSVTAETRSGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLCCASQLSQWDVLVEFGKLIENYEILLDNLWKQPDWTYLKDSVFPKAQVEETPKLRIIQAYFALHEKNTNGVAEAENIVGKGVDLALEQWWQLPEMSIHARIPLLQQFQQLVEVQESARIIVDIANGNKLSGNSVGVHGGLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNAVIDAFKDFSTTNSQLHHLGYRDKAWNVNKLAHMARKQGLHDVCVSILDKMYGHSTMEVQEAFVKIREQAKAFLEMKGELTSGVNLINSTNLEYFPVKHKAEIFRIKGDFLLKLNDCEGANVAYSNAISLFKNLPKGWISWGNYCDMAYRETHEEMWLEYAVSCFLQGIKFGIPNSRSHLARVLYLLSFDTPNEPVGRALDKYLEQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKVATVFPQALYYWLRTYLLERRDVANKSEYGRITMAQQRMQQNASGPGQLASDNQLHQVNQSGGGVGSHEGSNTQVQEPERSAAVEGNMPGTDQSLHQNSSSNDGGQNALRRNGALSLVASAASAFDAAKDIMETLRSKHTNLASELEILLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVEFVREYKQEFECDLDPESTATFPATLSELTERLKHWKNILQSNVEDRFPAVLKLEDESRVLRDFHVVDVEVPGQYFTDQEVAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNRMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENYCARNDREADHPITYFKEQLNQAISGQISAEAVVDLRLQAYNDITKTHVPDSIFSQYMYKTLLNGNHLWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNLQAFFSHFGVEGLIVSAMSAAAQAVISPKQSQHLWHHLAMFFRDELLSWSWRKPLGMHLGPMVGGGGLNPVDLKQKIITNVENVIVRINGIAPQYISEEEENGVDPPQSVQRGVAELVEAALTPRNLCMMDPTWHPWF >CDP02573 pep chromosome:AUK_PRJEB4211_v1:7:4961573:4962122:1 gene:GSCOC_T00040002001 transcript:CDP02573 gene_biotype:protein_coding transcript_biotype:protein_coding METGISIRMCQLVRDPLVCCIICMHNFSIFICYRVQRLRLVIWRQRSCTLFHVPFSRLHDLGGWDSRRSRH >CDP16632 pep chromosome:AUK_PRJEB4211_v1:7:118375:122356:1 gene:GSCOC_T00019084001 transcript:CDP16632 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNSRKEKVRASSTRMRKQDTPCGVGGGGTGGRTRTTTTTTARTKTASSSSIIKKITRKSRRKTRRKTRKPKYLSLRLQFSCDEKAQDQSSDTPAGGHPQLNLFPLHPENLVEDKDAHDENVACWFSAADGGATTLTGLLGAAATPSSSGEDSKNRSISCTTTTTTTTNATLSPSSASLTYAYGGRQDSEEVALVRTAMRRNKEREPSEERWVRYSEVVERRDDQEVSSCSAAIAGADLGCWRNRGLSLKLNYQEILNAWSDKAPLYVHAAQDSPQTVPDIHDHDRFLPPQLSNGSSDGQGSCGGCVWRVPEMMMTKKTNEQGLASVRVKAEEQQEQVEVVGGKELKVGQREASVRRYKEKRQNRLFSKQIRYQVRKLNAEKRPRLKGRFVKRT >CDP01456 pep chromosome:AUK_PRJEB4211_v1:7:11882871:11885442:1 gene:GSCOC_T00036509001 transcript:CDP01456 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTSVGSRHVCLSYFSRQSLLRGKFVRLVEEERPPSVAAGELVQLRALRQGHSFWFEDNGRYQFTIHTSK >CDP16653 pep chromosome:AUK_PRJEB4211_v1:7:230912:236121:1 gene:GSCOC_T00019112001 transcript:CDP16653 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDSPPAVKRRRFTTIHSMDSESAKAKLAAAKERFGREIRVFETFTASSSPSDVLDNEEPDDFYEFTAEDYFRLMATKKEDNFLKTKKIREAEEAARRSRITKAVIRVRFPDNYTLEAVFHPTEQIQSLVDLLMKVIIHPEVPFYIYTTPPKKQIKDTSQDFYSAGFLPGAIVYFAYDEAQGDAAAAASGPFLQEEVMSLQGLDITDEQQESAQPPAEVVTSNHVDAAVPQGKPADKKSIKPKWLKM >CDP16666 pep chromosome:AUK_PRJEB4211_v1:7:324333:325226:-1 gene:GSCOC_T00019131001 transcript:CDP16666 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGKGWPRAAHDSTIINRIMLRFRPIAPKPVSAGAASDSASAASKTGPVTKRRAKRKYVRVKKNNKCKSPSNNYKEERVVEEVEKQKDLIRFDVSLQLQTPLPIICGTKLSPDIGPSNSNNGSFLLEQRQPILTNFDNRGIFNLCLADQSDRRAAVGLVESWVVVDGAAEALPDAGGLGSTDTEKMANLELDTCPGLISDAMYRVQWVNLAYKRMVDPDGGGREGAVGEPPAEVVVRLVLKQNIPAEWPMFACTVRVVYTWRKEKLTRTMPCDVWKMDFGGLAWRLDAAAALSLGR >CDP12888 pep chromosome:AUK_PRJEB4211_v1:7:13308749:13310363:-1 gene:GSCOC_T00037569001 transcript:CDP12888 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNLILYANWLHDNCTNVARLAGGTFLFKGPWFFNMDTLLTVDPANIHHIMSGNFPNFPKGPKFKEMFDVLGDGIFNADMDLWKSQRKTTRFLINHHRFHQFLVKTSRDKVEKGLIPVLDHLCKTGQVLDLQDLFQRFTFDTTCKLVTGYDPGCVSINFPDVPFARALDRAEEAIAARNIQPESTWKLQRLLNIGEEKKYSEAWKTLDRVIGEYISKKREELSSKSSSKSRTDDQDGIDLLTSYITEAKTMELPSDDDTFLRDTILNLMIAGRDTTSSALTWFFWLLSQNPIVETKIREELRSITPPVDTEKYQLFKVQDLNKLVYLHGALCESLRLYPPVPFQHKEPLEPDILPTGHQVYPKMKIMFSLYAMGRMASIWGKDCMEMKPERWISDRGTIKHEPSYKFLAFNAGPRTCLGKEVAFTQLKAVAATIIHNYHVRVVKGQKIVPNISVILYIKQGLKVKVSKRWDQEHGN >CDP01705 pep chromosome:AUK_PRJEB4211_v1:7:9397619:9399811:1 gene:GSCOC_T00036843001 transcript:CDP01705 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNLKYANTGTNSQVSLLELSSVDHTCFYIEQVLSGGHGLMVQGYYPLVKAMAKDIDIRLNHRVKRIANGYNKVMVTIEDGSNFVADAVIVTVPIGVLKANLIAFEPKLPEWKLAAISDLGVGNENKIALLFDKVFWPNVELLGIAAPTSYACGYFLNLHKATGNPVLVYMAAGRFAYDLEKLSDEAAADFVMLQLKRMFPDATKPVKYLVSRWGSDPDSLGCYSYDLVGNPADIYDKLRAPVGNIYFGGEAVSVDHQGSVHGAYSAGVMAAENCRKHLMERLGSGDKIQLVSCREEILEATVPLQISRM >CDP16756 pep chromosome:AUK_PRJEB4211_v1:7:971319:981324:-1 gene:GSCOC_T00019241001 transcript:CDP16756 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPVKQASRMLYRYNLPNETKKPRALSKLSDPSAWERRRVKGKVAVQKMVVDLMELYIHRLKQRRPPYPKMHAIAEFASHFPYKPTPDQMQAIIDVEKDLTEKENPMDRLICGDVGFGKTEVALRAIFCVVSAGKQAMVLAPTIVLAKQHYDVISDRFSRYPDIKVGLLSRFQSKSEKEEYLHMIKHGHLDITVGTHSLLGNRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIRTHLSSYSKVKVVSAIKYELDRDGQVFYVLPRIKGLEEVMEFLEESFPEVEIAIAHGKQYLKELEDTMARFALGEIKILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADKEAHAHLFYADKSLLSDQALERLTALEEYRDLGQGFQLAERDMAIRGFGNIFGEQQTGDVGNVGIDLFFEMLFESLSKVDEHRVISVPYQSVQLDLNINPYLPSEYINYLDNPMEVISEAEKAARQDIWSLMQFTESLRWQYGKEPYSMEILLKKLYVKRMAADLGITRIYSSGKIVGLKSNMSRKVFKLIIDSVASDIHCNSLVFENGLIKAELPLELPREQLLNWIFQCLAELYACLPALIKY >CDP01416 pep chromosome:AUK_PRJEB4211_v1:7:12365318:12366945:-1 gene:GSCOC_T00036458001 transcript:CDP01416 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTAADSATDPSTPDPQTPVTPPQNLVDPLNNPYNSLNSLCHDLTSLQDLACRGSWRTIIDKIARARSLSLLTKPHEHLIYLTFNLLSLVKLRRFTDANNELQSDLEDDENDGDHPLNSPQYQYETYPHHYPNQQGSMVPFALRWLHAHLPSTIGHKQQSLDRFYTLLDFVREKRRSADGNSGDLWRKREVFVVNTIVSYHLSGKEFKVCVDLMRGLANEKGFEVDAVMLSKLGYVQMQYGDLEGAKRTFGEVEKMVGSGEDDVGLRNLVSRNKALMYLVGKDYVSAVREYEVCIERDGLDAVAINNKALCLMYLRDLSDSIKVLENALERVPTVALNETLVVNLCSMYELAYVNHADIKKTLSNWIARVAPDDFDSSCTRT >CDP15714 pep chromosome:AUK_PRJEB4211_v1:7:23583273:23584456:1 gene:GSCOC_T00015722001 transcript:CDP15714 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFFRFYLSKSKIRITLKTCESVVHLWRISSFFFPSPSPCLPPSPSLPCKYIIYFLPFCLYIFLSWCQEISFSISSGEEK >CDP01581 pep chromosome:AUK_PRJEB4211_v1:7:10584479:10585680:-1 gene:GSCOC_T00036676001 transcript:CDP01581 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRTEDVEHLKKAYDGLQPELTRFLEDSVPDMIIYDFAPYWLPEAAAKLGISRVYFCIFNAWFFAFFGPTDMMVDGSDPRKKAEDFLVPPKWVPFKDKVAYKPFEVNWMLSSAERNASGVSDIHRAGKVVAGSDAILIRHCHEFEGAWLNLLEELHQKPFIPLGLMPPPTHVNGSDEKNETWDFISSWLEVQERGSVIYVALGSEVTLNQSHVTQLALGLESSGLPFFWASRKPAGSNEPFELPDGYEERVKGRGLVWKGWAPQMRILSHESVGGFLTHCGWSSCVEGILLGLPLVMLPFLVDQGLNARVLEDNGVGIEVPRDEETGLYTSDSVAESVRLIMVENDGKRRREKAKELSLIFGDRELHISYLENSIV >CDP16728 pep chromosome:AUK_PRJEB4211_v1:7:749623:753112:1 gene:GSCOC_T00019204001 transcript:CDP16728 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQKASPYPPPGTAYAAPPPPGYPSAPPPPSYEGYPPPGPPPPPPPGYPYPPPPRPEGYQQYFNHGYPPPPPPPPPPQPYGVYHSQHHHNDHDDHSGCFSCLRGCLAALCCCCLLEECCLLLRP >CDP02730 pep chromosome:AUK_PRJEB4211_v1:7:6110226:6112386:-1 gene:GSCOC_T00040205001 transcript:CDP02730 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTKQMLEALRLRFETRKLLVISPFLNLNYPILVLSLPKPANFAATFHTSKPLREARDFLRIAYSSIYALLISKFEVCQSKISFMSEEAVYYLGSIGLLGEYCPTSRKKSRDFFERVDCMHLWSFHTELYDCSRAQGSLVLEDQSWCVEKSLEDTYILKSCSSFL >CDP02792 pep chromosome:AUK_PRJEB4211_v1:7:6577153:6580523:-1 gene:GSCOC_T00040289001 transcript:CDP02792 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQNMLRTLISLGKQKEGGFLASSYCSSSHGGKLCRVFDSLSSSENQLRSLDSYFQKLHNDGMQSSSRLFSKRKQSFDTSYDLKAKKGLAALEEYLGKITEDANSTKYISSSSGDKSCETVPSSHVKRVYESSQLKNYRTRLQLTGVAQKISDDEVSNFYLIGLLASINIAVFLFELASPIRNSELQLFSIPMAYGAKINHLILDGEWWRLVTPMFLHSGVFHIALSCWMLMTYGPEVCRAYGSVTFFLIYVLGGLSGNLISFLHTPDPTVGGTGPVFAIIGAWLAYQIQNRDMVVDDGPKKMLQKVIIATCLSCTLSNFGPVDDWTNVGAAFTGLAYGFLTCPTIQVDDKASESGQEEGIRLATRYTDSCKSVVCFCLFILLWSSVFFVTDPPLYSLAGNDLNIGM >CDP02659 pep chromosome:AUK_PRJEB4211_v1:7:5602616:5608035:-1 gene:GSCOC_T00040115001 transcript:CDP02659 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCFNCRPLPLYLYLIKLLFCIALIIPGTSSSNRDVVVLLRVKANELQDPSGLLADWQQSAPNAPCNWTGIGYFPADFCRIQTLRFLDLSDNNFNGTLSPEALTLCSHLQFLNLSSNLFVGGLPELQTLFLNLTTFDLSVNNFSGEIPASYGSRLPNLQVLRLLSNLLNGSIPDFLSNLTELTRLEIAANNFGPSPLPADIGRLTKLENLWFPFSNIIGSIPESIGNLKSLKNLDLSGNNLTGKIPESIGGLIAVEQIELYANQLSGEIPDTFSNLTSLYNFDASSNNLTGRIPESLTGLALQSFNLNDNNLEGQIPENLALNPYLYQLKLFNNRLSGNLPVNLGRNSGLGDFDVSGNFLEGPLPPNLCFKKQLDRLVLFNNNFSGPIPESYGECSSLTYVRIFSNELSGVVPPSFWSFPGLTIFELRNNRLEGEIPPTVSNARNLTGFLISGNSFSGQLPEGICELEQLQIIDISSNRFSGKLPSCITKLNKLLKLDVQENSITGEIPTSVTTWTDLTELNLSGNQFSGTIPAELGELPDLTYLDLSENLLSGEIPSDLSKLKLNKFNLSNNRLQGRVPSAFDNEIFISSLVGNSDLCSLDLKPLPSCQKRRPISLVLVGILSALSGILVVSFLWLLIKSKKLNLFAGRGKKSWKMTAFQRLWFNEEDIVAFLSQDNLIATGGSGQVYRVMLKNGPTVAVKRLWDANRGPESEEVFQSEVETLGRIKHGCIVKLLFSCSGDNFRILVYDYMENGSLGDVLHGEKGGVLLDWHTRFKIAIGAAQGLAYLHHDCVPAIVHRDVKSNNILLDEEFRPKVADFGLAKTLHKNMEEGGQLMSQIAGSYGYIAPEYAYTLKITEKSDVYSFGVVLLELITGKRPIDPSFGENKDIVQWVMEVALSSAEQPIANDTAKICADYLDHLVDARLKPSTSELEEMRVVLDVALQCVSSLPMNRPSMRRVVELLKDKSRARSQ >CDP02673 pep chromosome:AUK_PRJEB4211_v1:7:5731340:5735965:-1 gene:GSCOC_T00040138001 transcript:CDP02673 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGIEGEGQGNVSVMASSKSVDCVSNNGLGLKERNYLGLSDSSEESTSMSTVSKEKKSSLNLKATDLRLGLPGSQSPERESELNLLSSDKFDEKPLFPLLPSKDGICSSIHKSVVSGNKRVFSDTIDEYSTVKASTFNERNWMFSAAGSNSETHQSIGQGKFSGKAGVSVLSSRSCGNEPSEVPSKPSVEGPHVANGSNQTNMNRPTNSSAPAAKAQVVGWPPIRSFRKNTLATTSKNNNEVDGKPSSGALFVKVSMAGAPYLRKVDLKTYSAYQELSSALEKMFSCFTLGQCGAEEVSGKEMLSETKLRDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCRKLKIMKGSDAIGLAPRSVEKCKNRD >CDP02701 pep chromosome:AUK_PRJEB4211_v1:7:5933165:5935757:-1 gene:GSCOC_T00040168001 transcript:CDP02701 gene_biotype:protein_coding transcript_biotype:protein_coding MKIATLRGNTEIFLLNALEFNGFQKETIQLVKPAKGTTTLAFMFRNGWGWGAKKRGNMKPPQSVGKIIEINPYMVDTMAGGDADCQFWHRNLGIKPANRRTISVAGALKLLVSCIPAMARDLIGCSLQGPGLYYADSECGWLTGTVVSVGSGSPCAYGVLDGGYRFDICLLKQQLGWPDPPFTMPHSEMAPVVMVSVVCLYLRSIFLLLSGEAMMLENFTAHIILSSKR >CDP01580 pep chromosome:AUK_PRJEB4211_v1:7:10592159:10601966:1 gene:GSCOC_T00036675001 transcript:CDP01580 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRKLHVAVFPWLAFGHLIPFFEVAKFIAQKGHKISFLSTPRNMNRLPTLPPGLVPCFDFVNLTLPRIENLPENAEATMDVPPEDIHFLKKAFDGLEDELTQFLESSTPDWIIYDFAPYWLPPIAARLRISRAHFFVINAWFLDFFGPTSWKRTLETSNKPGGSVTDAYRLGSAISGCDMIIIRHCFEFEPLWLNLLEELHHKPVIPLGLMPPALGSEVGLSQDELTELALGLELSGLSFFWALRRSDSLELPNGFLERVKDRGIVWETWAPQSKILSHDSVGSFLTHCGWSSIIEGLEFGRALIMLPIAVDQGLNARILVDSKVGVEIPRDENDGSFTRNSVAESVKKVTVCEDGQIFRDKAKELSYVFGDKDMHSRYMNSFIEYLENHRPLEIGLILQCSRSQHLLNPKYWAMANEPKLHVVMFPWSAFGHIIPFLELAKFKAQRGHGITFISPPRIIDRLPEIPPIFASSITFVKIPLPRVGLPENAEATMDIRNEDIPHLKKAYDGLEPELTRFLESSLPDWIIFDFAPYWLPTIAAKRGISKAFFSFINSWFLAFLGPSDVMINDADPRSTVEDFIVPPKWVPFETKVAYEPYEINWILGAGQENLTGVSDSSRSGMLMKGSDVIALRQSYDQHPLSAFPSKHSLTIVMLGKETNSLCNMISSCENSCFIQHILKTQKWQTIIVFGLLIYKDIMQYSAMANEPKLHVVMFPWSAFGHIIPFLELAKFIAQRGHEITFISTPRNIDRLPEIPPIFASSITFVKIPLPRVGLPENAEATMDIRNEDIPHLKKAYDGLEPELTRFLESSLPDWIIFDFAPYWLPIAAKLGISKAFFSIINSWFLAFLGPSDVMINDADPRSTNLTGVSDSSRSGMLMKGSDVIALRQSYEFEGQWLKLLEELHQRKVIPLGLMPPQVEKISAEARPGPGHVAAQTWPSWASASGPGQLSWAVSSLGLQKGQGTIPQRSEEIPFGAG >CDP02271 pep chromosome:AUK_PRJEB4211_v1:7:2631165:2635086:-1 gene:GSCOC_T00039621001 transcript:CDP02271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G29200) UniProtKB/Swiss-Prot;Acc:P42738] MEAQLFTVASASASLTLLLPPSDSSKPISLFPPPTHRWNSNGFFKIVTCAAPKHGIHSTEAIETTIGLPRKNRVDETKIYTLEGIRSNLIRQEDSIIFNLLERAQFCFNKQTYNADAFAMDGFRGSLVEYIVKETEKLHASVGRYKSPDEHPFFPDDLPNPLLPPLLYPQVLHPAANLININLKIWDMYFRNLLPRLVKEGDDSNYGSAAVCDTICLQALSKRIHYGKFVAEAKYRASPDVYNAAIKAQDSTRLMALLTYPEVEEVIKKRVEMKTRTYGQEVTLGGGENGTDPAYKINPSLVADLYEDWIMPLTKKVQIEYLLRRLD >CDP01858 pep chromosome:AUK_PRJEB4211_v1:7:8180834:8181265:1 gene:GSCOC_T00037032001 transcript:CDP01858 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKKFVFCICSILGLRGGWRRRVFATAITLDKIKEGSSPSRQTGSSEIRSRFGDDHEANCDQVEKICCVICLSRLKEGEEKRLLPCHHEFHRECVDKWLNTSRKTCPVCRFLMEDEQRNPQKREFLTEEMVIWFSSFHVAGF >CDP16646 pep chromosome:AUK_PRJEB4211_v1:7:176292:178265:-1 gene:GSCOC_T00019102001 transcript:CDP16646 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTACVMHPFSYASSAMSNELNQGNPMHALGESVSFGRFVSESLAWEKWSAFSHNRYVEEAERYAQPGSVAQKKAFFEAHYKRIAAKKAAALLEQANAAAEAESLVTSADNSVPHSQPLALNSTQQSPEPEDPKPESVIVILAADRQNSNQELMEPETPLETPMKKDSPNLREMASGSELSGTPQMEKPLLKSITSDQDVSSVKSKNKSAFSSFKSSVYRKTPKVPTAPAKPITPRIKKESNSSASATSAATPITIKSSSDFVEKKKGSTPKSLRTFINSTPAKVVLTPAANRKTENSRIVPDSCKASHLCLTTPLRTPDTAAKSNMSKHPAATTPCPANTRNETPVDGNKTTGPKWHILSAV >CDP12834 pep chromosome:AUK_PRJEB4211_v1:7:13980675:13982415:-1 gene:GSCOC_T00037501001 transcript:CDP12834 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFLFLATLFLTLLRALKADPTDGFSYVKLSEANFQVQKPYDVSVNQRYSYIGGEHRLWVYKTDKPYSQTSNTKPRTEIRITGYDYTSGVWQFEGYAYVPSGTSGVSIMQVFGASTQATTAMLRVYNPSLTYYTNPVLIPNVYNKWYRVNVIHDTGAARVRVYIDGVFKYETHDNGPATHYFKFGVYTQDNPSDYMESRWVGIKVLRKN >CDP04586 pep chromosome:AUK_PRJEB4211_v1:7:18356232:18360166:-1 gene:GSCOC_T00018565001 transcript:CDP04586 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLATIRRSHCRHAAPFLQLAYYQSLKPQSPSEPATSLTKSSWSIHENAMPIQDVNGLQIAKQTDRICQILSNHNGSGNVDSAMKSASVEIKITQLLVQEVLKKLSNAGLLALSFFRWAEKQKGIKHTSASYNTLIESLGKVKQFKMVWKLVEEMRQQGMLSKDSLALISRRYARARKVKEAIDAFESMEKFGLRPELQDYNRLIDTLCKSRHPEKAQQVFDEWKNKRFKPDIKSYTILLEGWGQEQNLLRLNEVCREMRDDGFAPDVVSYGIMIHTYCKAKNYHEAVEKYHEMERKGIKATPHIYCTLINALGSEKRLSEALKFFELAKTSGHALEAPTYNAVVGAYCWSMRIHDAYRIVDEMRICGVGPNSRTYDIILHHLIKSHRTQEAYAVFQKMNDEPGCEPTISTYEIMVRMFCNEERTDMALRVWNQMKAKGFLPGMHMFSSLINSLSHENKLEDACRYFQQMLDMGIRPPTPMFKNLKQALLDEGKEDTVLTLAKKLEKLRVTQIVS >CDP16637 pep chromosome:AUK_PRJEB4211_v1:7:147041:150001:-1 gene:GSCOC_T00019091001 transcript:CDP16637 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCLWEQMKSQPLWLLLLVALGSLNLAKSLYSILSWAYVNFLRPAKNLKKYGSWALVTGPTDGIGKSFAFQLARKGLNLVLVGRNPDKLRDVSHSVEAKYGQTQIKTVVVDFSGDLNEGVERIKEAIEGLDVGVLINNVGLSYPYARYFHEVDEKLLGDLIKVNVEGTTKVTQAVLPGMIKRKRGAIVNIGSGSAIVIPSDPLYAVYAATKAYIDQLSRCLYVEYKKSGIDVQCQVPLYVATKMASIRRSSFFVPSSDGYARAALRWIGYEPRCTPHWPHTLLWALAYSLPEWIVDAWRLQFCLRIRKRGQLKDSRKTE >CDP01793 pep chromosome:AUK_PRJEB4211_v1:7:8717063:8721607:-1 gene:GSCOC_T00036954001 transcript:CDP01793 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLEEHGKSLAAPLVFLIVVVFQLLSRYLELNKKKGIKTDEELQLREEINKLLKEANSYSGPSTFAQAAKLRRMAAAKEKELTKVQEMHSNVMKSSYDSHAKVLKMVKVFTYAVLIIWFWRIPVAAISERLVQPFGKILSWRAGGSVNDNVMVGIIPWLIVSSRVSKIISRKVFK >CDP04631 pep chromosome:AUK_PRJEB4211_v1:7:17198633:17198884:1 gene:GSCOC_T00018645001 transcript:CDP04631 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHLNCPYFLGKDLISIELMKLQVRAYVKGIWHYVHPKSTRVDDPLLNPRMEPNLLRLGFVVAKKVGYFHQQECCVDASLSG >CDP01820 pep chromosome:AUK_PRJEB4211_v1:7:8496489:8498996:-1 gene:GSCOC_T00036990001 transcript:CDP01820 gene_biotype:protein_coding transcript_biotype:protein_coding MKETRKQFIAASSLGMKFNFLHLQIAFVVAMFFLLMAAYVSREISRTSSSSRSSSSTEVYDQKKDMINEITSDTTGQTAFSSCDLFSGRWVFDNKSYPLYKGRQCSLMNEELACEMYGRKNLNYQYWRWQPHHCDLPRFDAIDLLEKLRNKRVVYVGDSLNRNQWMSMVCLIESSIPDRPKTLHYNGSLIAFKALEYNVSIDFYWEPMLLESNCDDPTNHRVSERIIRGQSIEKHARNWNDADVLVFNSYIWWRQLKLKILWGSFENVDADRIYEDVEMLKAYEMALTTWFEWLDNHVNRSKTRVFFVSSSPTHNRHANTYN >CDP08399 pep chromosome:AUK_PRJEB4211_v1:7:26319615:26334802:1 gene:GSCOC_T00027231001 transcript:CDP08399 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKANKAAQKTSSRAQQAQGGEDEGLDPTQYYENRLKALEAEKEAGKNPYPHKFFASMSIPEFVNKYGSLESGEHLEDVEVSLAGRIMNKRSSSAKLLFYDLHGGGAKVQVMADARKSDLGEDNFPTFHSGVKRGDIVGIVGFPGKTKRGELSIFPKRFVVLSHCLHMMPRQKLASGSDNTKKTDLWVPGSGRNLESYILKDQETRYRQRYLDLMLNLEIRQIFKIRAKIISYIRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMKLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTEKMLSGMVKELTGGYKIKYHANGQENDPIEIDFTPPFRRIDMIDELEKMANLNIPKDLSSVEANKYLLDACAKFDIKCPPPQTTARLLDKLVGHFLEETCVDPAFIINHPEIMSPLAKWHRSSPGLTERFELFINKRELCNAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLAMLLTDSQNIKEVLLFPAMKPQDEPSSKESQKKAQDDSIVAADGSAKA >CDP01655 pep chromosome:AUK_PRJEB4211_v1:7:9868421:9870694:1 gene:GSCOC_T00036773001 transcript:CDP01655 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSVNELMLVRWDQTKPASVSNLVLLKFSEADEHESRSLEDIQKEEPEFFARVTSVLKQAESDFGL >CDP02179 pep chromosome:AUK_PRJEB4211_v1:7:1914223:1915292:-1 gene:GSCOC_T00039493001 transcript:CDP02179 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGRALRATAGAVVFAAVLLLWFLLFSIVANNASDKAATRISSTRNLSILTLIASRSRYLPRRPPKYTSVSKRRVPDGPDPIHNRRARSSRLPPS >CDP11555 pep chromosome:AUK_PRJEB4211_v1:7:19317060:19318273:-1 gene:GSCOC_T00033868001 transcript:CDP11555 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPSKRSHEEVGNGSGGVSGSHGLSSAPKF >CDP04693 pep chromosome:AUK_PRJEB4211_v1:7:15755596:15770670:-1 gene:GSCOC_T00018753001 transcript:CDP04693 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTGQESATPTAGALTRRPSRSAAMTTFSMEVFDTEVVPSSLQSIAPILRVATEIEQERPRVAYLCRFYAFEKAHRLDQTSSGRGVRQFKTRLLQRLERDNASSLASRVKKTDAREIESFYKQYYEHYVLALNKGEQADRAQLGKAYQTAGVLFEVLCAVNKTEKVEEVSAEIMAAANDVQAKKEIYAPYNILPLDSAGASQSIMQLDEVKAAVSALRNTRNLNWPATFEQQRQKIGELDLLDWLRAMFGFQRDNVRNQREHLILLLADIHARLVPKPEPLNKLDDRAVDALMNKIFKNYKTWCKYLGRKHSLRLPQGQEEVQQRKLLYMGLYLLIWGEAANVRFMPECLCYIFHNMAYELQGLLAGNVSIVTGENIRPSYGGDDESFLRKVITPIYRVIEKEAKKSKNGKAPHSDWCNYDDLNEYFWSTDCFSLGWPMRDDGDFFKSIRTVGQGKHASRKKPGKTGKSYFVETRTFWHIFRSFDRLWTFHILALQAMVIIAWSDVSLINIFQRDILYKLSSIFMTAAFLRFLQSILDLILNFPGYHRWKFTDVARNILKIVISLAWSIVLPLCYLHQNNDSFLPLGNMKDVLSVLNEMKGIPPLYLMAVAIYLLPNLLAAVLFIFPMLRRWIENSDWLIIRFLLWWSQPRIYVGRGMHESQFALIKYTLFWVILLCFKFAVSYLIEIKPLIKPTKDVMNIRRVQYAWHEFFPYAKHNYGAVAALWAPVLLVYFLDTQIWYAIFSTLCGGVIGAFDRLGEIRTLGMLRSRFQSLPAAFNTYLVPSKGTKKRGFSLSKHFDEVTASRRSEAAKFAQLWNEYFYYFREMDLLLVPYSSDPSLKIIQWPPFLLASKIPIALDMAAQFRSKDADLWKRICADEYMKCAVIECYESFKLVLNALVVGETEKRLVIGIIIKEVESSISKGTFLSNFRAGSLPQLVNKFVELVEILKDADPSQGGSVVFKLQDMLEVVTRDMMVNEVRELVELGHTSKDSGRQLFEKSAIAYPPVVTAQWEEQISRLYLLLTVKESAIDVPTNLEARRRISFFANSLFMDMPRAPRVRKMLSFSVMTPYYSEETIFSKTDLEMENEDGVSIIYYLQKIYPDEWNNFLERLNCNEYEVWENEENILQLRYWASLRGQTLSRTVRGMMYYRRALKLQAYLDMASESEILKGYRAVTMPSEEDKKSQRSMYTQIEAIADMKFTYVATCQNYGNQKRSGDRRATDILNLMVNNPSLRVAYIDEVEEREGGKVQKVYYSVLVKAVDNLDQEIYRIKLPGAVKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAFKMRNLLEEFNEDHGVRPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKASTGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQSLSRDIYRLGHRFDFFRMLSCFYTTTGFYAGSMLVVLAVYAFLYGKLYLSLSGLEQSIIKFSRSRRDNALRTAMASQSIVQIGFLTMLPMVMEIGLERGFRTAAGDVIIMQLQLAPVFFTFSLGTKVHYFGRTIIHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFTKALEIMVLLIVYEIYGSAATGSAAFLFLTFSMWFLVVSWLFAPFLFNPSGFEWQKIVEDWDDWMKWIGNRGGIGVPANKSWESWWDEEQEHLQHTGFLGRFWEIFLSLRFFLYQYGIVYHLHVANNEKSIMVYGLSWLVIVAVMIILKIVSMGRKKFSADFQLMFRLLKMFLFIAFLVTIGIMFTFLSLTVGDIFSSLLAFLPTGWALLQIAQACRPIVKGLGMWGSVKALARGYEYLMGLVIFTPIAVLAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKHK >CDP12817 pep chromosome:AUK_PRJEB4211_v1:7:14246603:14248438:-1 gene:GSCOC_T00037477001 transcript:CDP12817 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP02738 pep chromosome:AUK_PRJEB4211_v1:7:6160680:6163969:-1 gene:GSCOC_T00040216001 transcript:CDP02738 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFYKLDHGDRDAPSESSSSSDSELEAEATAETESEEEEEERGDNDDRIAEVRGGQAVSSSSGYESEDSSANELNLDSSGLPTSEDDGGSRNDGENILESHPSGDGNLEHHNSVPEVSDIPSDFADRVVKCKSVFKCKLCPRIVCLTEDSLKAHLKSKRHARSEKLLSEGRLKLMLDDDGKANGEVDPENNSSAQISPKTPGRAKRRHIHSKNFKKKKGKGSSLDHARQSAKNPAKKRFRDGK >CDP01563 pep chromosome:AUK_PRJEB4211_v1:7:10778885:10786936:1 gene:GSCOC_T00036655001 transcript:CDP01563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G10490) UniProtKB/Swiss-Prot;Acc:Q56X46] MAAAGSLQLSHELGICRNHVCNKQSLNVTGGCKTYFLGSNFTSLILRRNSWTPQLSTRLRWQTNSLPYKYYQFKCYSSLSPGPSFDISTVKNVALTLTRSCNNLQGSPLVNKLFPAVGIVIFTLWGLGPLMRQSRNIFLHKSDNSWQKSSTCYVLTSYLQPFLLWIGALLVCRALDPVVLPTEASRIVKQRLLNFVRSLSTVLAFAYCLSSVIQQAQKFFMETNEPADTRNMGFQFAGKAVYTAVWVAAASLFMELLGFSTQKYLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLGISHLDVNKVNSIVADMRKVLAKNPQVEQQKLHRRVFLENINPENQALLIFVSCFVKTSHFEEYLCVKETIMLDLLKVIRHHRARLATPIRTVQKIYNDADMENIPYGDSFFDTGTAPKRPLLFIEPPYKIINGEERKKTDGRSVRGSGGEDGKATVRRIPDTKAEGRGGTNQGSDSKSKETASFKAKETSASDLQADTKFGEMSNPDSKEGVSKVEAKPSADPKVSDKFQVKTSSAGTKSEKPATTSSTKQLKQEDDRPPHSQPSSTKPVMEENIVLGVALQGSKRTLPIEEGMVPQPNSSELKELAKCHSGNGTPLVDKDKKEIVQSESAKSASDQLDQQE >CDP01849 pep chromosome:AUK_PRJEB4211_v1:7:8244737:8245321:-1 gene:GSCOC_T00037023001 transcript:CDP01849 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLSVKSNVVDTGAETRLGYGCNNPPLCPKPRRLGSSVPEFLRTLGCNSHSQMNSDGRSGTLSMITTEKVWLLMDEGESLCSNGCSLSFYSGSPPGRTSNPLVHDVQFVHQMEHYSPLTSTKLPDRFGFTSASPA >CDP01711 pep chromosome:AUK_PRJEB4211_v1:7:9358907:9364910:1 gene:GSCOC_T00036849001 transcript:CDP01711 gene_biotype:protein_coding transcript_biotype:protein_coding MRKANTRKKEESKGLGLVDFVFSWSIPDVLNKNLYSDKVKQVPETFPSTDHYLKSFIYPLIEETHADLFSSMTALSRAPMREVFDVKISKDYKPPKELYYSISLKQMGMNEKKEGIYEPEFGDLIALTDVRPKCIDDLNRPKRPYLLAIIQGMKDGDSQKLPILSSKPIEFEKHEDGKGKNRDKLFAVYLTNLTTNIRIWKALHPDPEVVNMKIIKAIMPVDPNVGRNCTLCSNGETKRDAGSHLIAAIRNFGLDESQGTAVLDCITTKECHHQNSVKLIWGPPGTGKTKTVASLLFLLLQMKCRTLTCAPTNVAVLGVTNRLMTLVRPSLECDTYGLGDILLFGNGERMKIDDYEELLDVFLDYRVTALSCCLAPLSGWKGSTESMIRLLEDPEKQYQLYLDKEREKDQSDDEEPPSDEKGELEGGLFENINVSDSQGKVDEIDSQSSKKNKPKFWKKVIVQTLKENKKKKSKDKAASKKSKHNMEGKNIAGSKTNKREPDNTSVTLLSFDEFFIKKFKLIGNRLIFCITSLYTHMPTSFIPMEVAKNMKRVSDMLQALGSLIHKVTSANEGLREVLYGTETAERRIRHFNELRRTRMGCLLNLKHLQEKISLPSFSEDYQIRNFCLQRAFLVFCTASSSAKLHVEGMAPLELLVIDEAAQLKECESTIPLQLPGIRHAILIGDEKQLPAMVQSQICEKANFGRSLFERLVMLGHQKHLLNVQYRMHPSISLFPNREFYGKLIMDGPNVKDVKYKKRFLEGSIFGSYSFIDINPGKEQFDDKHSRKNLVEVYVVAEIIANLHKRSLISKQKLRVGCISPYKAQVSAIQEKLGQKYSTDTDSDFSVNVRSVDGFQGGEEDVIIISTVRCNGSGSVGFLSNHQRTNVALTRARHCLWILGNSATLVNSHSVWKKLVLDAKARGCFYNARDNKILVQAISSALIELGQFDKLLSTDSVLFKTARWKVCFSDDFSKSLARIRDPEICKEVLSLLVKLASGWRQRLSDTSRIEINGTSLLLETYDVKGLKLMWTVGIQKFISIDMQVIKVWDILANPEIPNLAKQLDKIYGNYTLNTVNRCKFKRMEGNLVVPATWPSESKSVTGDDPSEHLASKFAGISLRDEPRPSTRSNRSAQTHGKHHNKWKKSQK >CDP01567 pep chromosome:AUK_PRJEB4211_v1:7:10745544:10750730:-1 gene:GSCOC_T00036659001 transcript:CDP01567 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWVASHIRTKQSKWLEQNLQDMEEKVQYILKLIEEDGDSFAKRAEMYYKKRPELITFVEESYRAFRALAERYDHLSTELQNANNTLATLFPDQIQLAMDDEDDFSSPKLPKGFPQVLNANAPTVPKVPKAPIRDWKGLINASKNLKAKKLSIADEAKRTDAKSGLTKSEAFAEIDKLQKDILGLQTVKEFVKSSYQSGLSKYWGIENQIMEKQQRVCSLQDEFGVDKVIEDNEARTLMAEAALKSCQETLVQLQEKQEKFNQEAREEYKRIEDARKKLKSIRREFLHDQTIDEEEKANEKEDKSATAGDKTERSNQEVGSLGSLTVSQLAEKIDDLVNKVINLETAVSSQTVLIDRMKTEADELHSQVRVLEEDKANLIHDTQNLNTRVKKLEDKLHGIQDLNQNVEKQNNHLQTNFAEARSSLGHLSEKLNSVKPDEEIEITTITTERSDPANAVDDAECRTSNSEDIKDIKEQDSGESVNDQGQEVDENNAAKKGDLSSAGSKVETEKKDDFNWQQMLLSGMEDKEKILLAEYTTILRNYKDTKKKLTDMEKARNHQFELALQIRGLRVTVAKRDEEIRCLRKRLDGQQGEGRDVKEDDRILKPEAGSTEDLASDVPLVDNEDEAIKSILMEQPMVMSQVEEKLRMEIDAILDENLDFWLRFSTTFHQVQKFRTTVQDLEQEISILKEKKKQEESASDLKSEVRPIYKHLKEIRAELTVWLERSVVLKAELERRFSSLCSIQERITSALKEGVEDEEIRFSSHQAAKFQGEILNMKQENNMVSAELQAGADHVSKLQTEIDKTLRMLDEEFELTVNNQPQLTHTASRSKIPLRSFIFGTKLKKQKHSIFSFNRKYQTLRAGLPL >CDP01640 pep chromosome:AUK_PRJEB4211_v1:7:10017529:10017924:1 gene:GSCOC_T00036756001 transcript:CDP01640 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTVPGFDPLRKGLLSVTRSLLRPFLSIIPFCLFLLMDIYWKYETRPTCESAESCTPSEHLRHQKSIMKSQRNALLIAAALIFYWLLYSVTGLVVRIEQLNKRIEKIKAQD >CDP01386 pep chromosome:AUK_PRJEB4211_v1:7:12762585:12762731:1 gene:GSCOC_T00036414001 transcript:CDP01386 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKFWDQLTHEQLTTPNYHTDCTHWCLPGVPDVWNEFLYAYLLYPLS >CDP04617 pep chromosome:AUK_PRJEB4211_v1:7:17374152:17375489:1 gene:GSCOC_T00018625001 transcript:CDP04617 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQQAFSSVVLVVLAVAILFVTPTFSTSRNAIMKDEKVQKATGFQVTLKHVDSGSNLTKFELLQRAMKRGRQRLNRLSAMALAESDHDVKSSVHPGNGEFLMQFSIGTPSTAYSGIMDTGSDLIWTQCKPCQQCFDQSTPIFDPRKSSSFSTLSCSSSLCEALPMSSCGTDGCEYLYTYGDYSTTQGVMAAETFTFGEVSVPKVAFGCGEDNEGSGFGQGAGLVGLGRGPLSLVSQLDEPKFSYCLTSIDDSSTSTLLMGSLANANNSDTASKTTPLVVNPSQPSFYYLSLEGITVGGTRLPIKKSTFALNSDGSGGVIIDSGTTLTYLEKSAFDLVKKEFTSQMKLPVDKSGSSGLDLCFTLPSDASDIEVPKLVFHFKGADVDLPGENYMIADSSVGLACLAMGSSSGMSIFGNVQQQNYLLLHDLQKETLSFIPTKCNQL >CDP02721 pep chromosome:AUK_PRJEB4211_v1:7:6070942:6074495:-1 gene:GSCOC_T00040195001 transcript:CDP02721 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSLGDEADERERSDPSSSSSRPTPKRQKLTVSPQPQPSQPAVDAPIQTNDLVQVVEGDAEEEEEEESEYEIEAEEEGSEYEDQEEEDDDEDDDDDQEEDDRILQPQPEVNGDGNRDGPISVTLTDPDVLDCPICIEPLSIPVFQCENGHIACSSCCLKIRNKCPSCSWPIGYNRCRAIEKVLESVKVSCRNIKYGCTQMVRYCNKHEHENTCILAPCSCPLLDCNFVGSAWQLYSHFKLRHPASGNTFLLGKPFSISLEKSQRSIILREGDGNIIFILNHFNERHGSAINIVRIAPLGSGTRFSYDLTVRDGDTSIRLQSSVESIRKWVDSAPAKKFLLVPCYYYTSNCGQLELDVCIRAEQSCSRSSGNNMKFLFTRAD >CDP01468 pep chromosome:AUK_PRJEB4211_v1:7:11781142:11781381:-1 gene:GSCOC_T00036523001 transcript:CDP01468 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYIPLHLITEILLKLPVKSLLKFKCTLKSWLSVISSRQFIKSHLENHAWLNNRRLLMLDDYETLKTLLSQLLSGRIG >CDP02199 pep chromosome:AUK_PRJEB4211_v1:7:2072464:2073966:1 gene:GSCOC_T00039518001 transcript:CDP02199 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVIDKCWRCDPNWANNRQRLADCAQGFGRAAKGGQGGPIYVVTDPSDDNVAEPRPGTLRHAVIQKGPLWIIFARSMTITLSQELIMQSHKTIDGRGVNVHIAYGAGFTIQFVRNIIIHNVHIHDVKTTSGGMIRDSVDHVGLRTANEGDGISIFGARDVWLDHLSMSKCSDGLIDAVQASTAITISNCHFTDHDKVLLFGASDVTEVDKNMQVTVAFNHFGKRLVQRMPRCRSGFFHLVNNDYTHWEMYAIGGSHDATIISQGNRFIAPPLSQFFKEVTHRDAPVALWSKWTWVSDGDVFLNGARFVPSGNPDGARQFAALELIKAAPGTEVFTLTRFSGFLSCVIGRAC >CDP02449 pep chromosome:AUK_PRJEB4211_v1:7:3908925:3910573:1 gene:GSCOC_T00039830001 transcript:CDP02449 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNCSHLVALLLLVVISLSSFGNCQYDEDTKQGASYIFGDSLVDAGNNNYIPTLSRANIQPNGIDFRASGGSPTGRYTNGRTIGDIIGEELGQPYYATPFLAPNCTGEAILHGVNYASGGGGIMNATGRIFVNRLSMDIQVDYFNITRKQIDKLLGPSKAKERISKNSIFSVTIGSNDFLNNYLLPVISMGARITQSPDAFIDDLLNHLRGQLTRLYKLDARKFVIGNVGPIGCIPYQKTINQLTADQCVALPNKLALQYNARLKDLLAELNDNLKGATFVHANVYDLVMELLTNYKSYGFTTASKSCCGNGGQFAGIIPCGPTSSLCSDRDKHVFWDPYHPSEAANILIAKQLVDGGPKYVSPVNLRELRDL >CDP01669 pep chromosome:AUK_PRJEB4211_v1:7:9674318:9679752:-1 gene:GSCOC_T00036799001 transcript:CDP01669 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALTISFFPFLNSTPSHHQLGNHGKLILKSADCKKISTTMGTTFWNLGVLIIVIVASILKPVISDPQTNLLNRGCSQYNATNFRDFHNNRNATFNDLRNQLSLQNKHFATAQQSRSPDPVYAMVQCRNYLSTADCVSCFDAAVAKAVKCKDANGGRVVYDGCFLRYESNTFYDQSTLPGNVEICSTQTGSRENEFGAQAQALLKDLQLATPRITGYFAASKREVSPGGRTVYGVAQCAETISQSGCEDCLTVAFNNIQGCLPNADGRAVDVACFLRYSETSFFPDNQTIDITPFLRGRGLSKKKVIIIGVAAAGGGLLIIIALAFILWCRLHRKPEAPQKGNIFGATELQGPVAYDFKVLKTATRDFSKECKLGGGGFGEVFKIAFTTMVASLDLFHISKYFPIFVFITLQGTLNNGNIVAVKKLKATASRPKEEVESEIRVIGNVHHRNLIRLLGCSTKGAELLLVYEYMANGSLDKFLYESPHHTSYRGDKRGYLNWKQRFDIVFGTARCLAYLHEQYHFSLIHRDVKSSNILLDNYFQPKISDFGMAALMPEDRSHLSSFSGNLGYIAPEYAIHGQLSEKVDVYSFGVVVLETISGRSSNYKTVEPGRDNLLEQAWKQYESDMHLAFVDETLDPNDCKTDDVKKLLEIALLCTQSQPSRRPTMSEVVASLSICISVSKGRKSNYKNLLPIDNSN >CDP02335 pep chromosome:AUK_PRJEB4211_v1:7:3055340:3057136:-1 gene:GSCOC_T00039696001 transcript:CDP02335 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRKGPPKHQNQFAWKPKAGVKINETELGGKFRPYSEVTGVCQRCKEQIEWKRKYGKYKPLTEPAKCQKCSKRAVRQAHHNLCNACAKENKVCAKCSCRVGHIVGRDLSEKETEQKTLEEAIKNARERERRTLLRTMNKGNPHSSVKMPTNNDSKAGELFNASSLEEEYSEFSRDEDHDNDDDEDGGLIFS >CDP01891 pep chromosome:AUK_PRJEB4211_v1:7:7963864:7965277:1 gene:GSCOC_T00037067001 transcript:CDP01891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold shock protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36020) UniProtKB/Swiss-Prot;Acc:O65639] MAEENTRSRGVVVKFRDDKGFGFIKPDDSSEHLFVHQSEIRSDGYRTLREGQVVEFSVILEANKTKAVNVTGPNGAPVDTSRGGRGGGGTRGGYGFSDGGYNRRNGNGYGFRGAAGGGGGGGECYNCGRAGHLARDCDRMPSSGGGGGECYTCGRIGHLARDCDRSAGNGGSGGGGGCFKCGDYGHLARDCSRSGGGRGGVGSGGGGGGGGSCFRCGEQGHMARDCTSGGGGSSGGGYSRFTIGGSKCYNCGETGHFARECTNTA >CDP02697 pep chromosome:AUK_PRJEB4211_v1:7:5905651:5907194:1 gene:GSCOC_T00040164001 transcript:CDP02697 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPDYVNGDLSALCVWPDQVRHWHKYRWTSPLHFIDTPDNACNFDYERDCHDQHGVEDMCVAGAIQNFTTQLSHYRHGSSDRRHNMTEALLFLSHFMGDIHQPMHVGFTSDEGGNTINLRWFRHKSNLHHVWDREIILTAAADYYGKEVDLLEQDIESNFTDGIWSDDLDSWRDCSDLHTCVTKYAAESINIACKWGYKGVEAGETLSDDYFNSRLPLTWMKLMLRCEEYNMRLMMKMKGIPIHRISIL >CDP02692 pep chromosome:AUK_PRJEB4211_v1:7:5858848:5866048:-1 gene:GSCOC_T00040159001 transcript:CDP02692 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAVLCDAEVGVVIFSSTSKLYEYANTSMKSVLERYSKAKEERHQLLSPPSEVKFWQREATILRQQLHNLQEIHRQLMGEELYGLSVKDLQGLENQLEMSLRGIRMKKEQILTDEIRELHRKGCLIHQENVELYKKAYSTTNSNATHGNTITPYGFAITEEQHAPIHLQLSQPESQNFVTSEGTSESR >CDP04760 pep chromosome:AUK_PRJEB4211_v1:7:14958938:14959728:-1 gene:GSCOC_T00018851001 transcript:CDP04760 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPNNVRISVDLEDMMISFFFFESKIRFFFLELRPDLEERKQGDKKQSALENTGKLMAFFFFFSEFNFCSNAA >CDP02517 pep chromosome:AUK_PRJEB4211_v1:7:4524754:4525248:-1 gene:GSCOC_T00039919001 transcript:CDP02517 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGIGAGAGKKKSERLYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVYYLRGPTARLNFPELLVGDTTAANGGDLSAAAIRKKAIEVGSRVDAVQSSIATHDHHDHGRSHHHRHHDHATPTQLKPCWFQEKPDLNEKPEPEDPDVDYW >CDP08413 pep chromosome:AUK_PRJEB4211_v1:7:25231132:25235262:1 gene:GSCOC_T00027261001 transcript:CDP08413 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTCLSEGKGYHFPPCHMLNIGGFQLLFDCPLDLSALSVFSPLPTNLSSLSDESVGECTCEASSISDCGWKRKQKVDKSLDASSLIHAEPWYKTVNSLKLWNISFIDVVLISSPVGMLGLPFLTRNRDFSAKIYATEATARLGQLMMEDLVKMHNEIRQFYGPEESTCPEWMKWDEVELLPSAIREILWGRDGDPCGWMPLYRLDISLVADVKGCMQNVQSLKYAEEACYNGTLLIRAFSSGLDIGTCNWSISSPKQRIAYLSSSIFASATATEFDYNPLRGSDVILFSDSTACDALDKLENEDDGFNPADKKASKFSSKDDDEESYTEFLQNEDKFAEELEKLAFLCSCSLDSVKAGGSVLIPIARLGVLLQLLECITLSLQSSDLKVPIYIISSVAEELVAFLNVIPEWLCKQRQDKFYSGQPLFAFMDLLNEKRLFLFPVLYSPELLSIWHEPCIVICPHWSLRIGPAVHLLQHWCGDKNSLLVMEEGFNANLAFLPFKSMEIKVLQCSFLSGMNFRKAEFLLKLLKPKYVLFPEKLKQGKSFVNQSFSVIYYLENETVKVPKLKDSSELDIGIDLACQLGYTKLEKEEMSIARLKGELLVEQGKNVLFSGKEFAGSSQSRPLLYLGGVNLENFLTTLQSMGINATVEEAMTTDGSDKTSLVHILGPKKALIEVTAARTIVSTDDEYLSALISKAICNILNIV >CDP02713 pep chromosome:AUK_PRJEB4211_v1:7:6018297:6019160:1 gene:GSCOC_T00040182001 transcript:CDP02713 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTIFPFHKPAFLPPSITFLIMPIAVLSILSVVALLCGSEARKSRWRRGEKTVRLGDNKKRVARLQSNLSSKALLLAKMISWRKVQDEGQEDEDGDDDEEAVWRKTIIKGEKCRPLDFSGKILYDSDGNLIPDSPQPSK >CDP11564 pep chromosome:AUK_PRJEB4211_v1:7:19771372:19774699:-1 gene:GSCOC_T00033885001 transcript:CDP11564 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGTADDRQGSAGSPISIPIEGSQCTSNCDKFSESLISSVPINNVEAGGKTISVSAVTLDPSPRLKDLMDDKMQNEGPAMVILDQECKGSETASVVSLFKRSPGASTPTEEHVRKLVKDPVVNDATPSCPLTTKTETNPVVNNAMPSCPQTADTETNKDPEQLFPEIEQNKPTQGQKVSAKSTAMNTVEPCLEQTRSICFVPAFPLDGKVMTPVVDHHPTMTQQSNNESFLRRELDSLRCDLVRTSTRGALLEDELKALKNKMEHDAFVTPSKISSMEKKLDLMFEHHAKQSGGAQVEPAQEKIISSNKSKMSADDVTRLLEPIKRAGWTEALEEVKAKHLPHLNLIEFPMYDPGSRLKAGLIAKRICESGIQIDHLVSLEATEKDQARETQCNIYLT >CDP08427 pep chromosome:AUK_PRJEB4211_v1:7:24709506:24714731:-1 gene:GSCOC_T00027294001 transcript:CDP08427 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLGKTTLASKVYSDLLVLFHFHIHAWCYVSHGYSKRSLLVKILCCFDGGNSIQYRHEMHVDRIVKHPNLTKWSSG >CDP02672 pep chromosome:AUK_PRJEB4211_v1:7:5718131:5721083:-1 gene:GSCOC_T00040137001 transcript:CDP02672 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGWRKAFCTSISRDRDSSIHSSSSTSNGGRQDKISHDAHQSNPTTPKLSSKLSFFSIPSTPRLQSQPVSSPRLRCRTTPTTRATSTPSTPPLQPPESPKRQCKTAKNSPRFFQLRSSTPSSPRSPSTLSLLKSTLRISSKTRCGICLHSIKSGQGMAIFTAECSHSFHFPCVAGHVRKQGTLACPVCNSTWTEMPLLSIHNVSGSSSSHHSRLDKQEKRMEFPSSPKTPPPPPPSSNSCKQPVLKVYNDDEPLMSPTCGARFNPIPESDENGEEDSDNITEEFQGFYPTTTNPFQKSTASALGNVETKISPEAAVISIGRSSQTFVVVLKIRAPPAPTNAARRAPIDLVMVLDVSRKLTNEKLQMMKRALRLVVSSLSPADRLSIVAFSSTSKRLLPLRRMTAAGRRSARRIVDSIVSLDGTAPASANDAIKKAAKVLEDRREKNPVASIILLSDAIEDRSANQRRQSSVVCTTRVTHYEVPVLSVGLRHCGAYGHGAPPDDSLAKCVGGLLSVVVQDLRVHLGFISGSGHGQVAGVYSFGGRAMALGSGLVRLGELFAEEERELLVELKVPSMSSAGAHHALSVRCSYKDPSTQVLIQGKEQALLVPRPQALRSSTPSGIERLRSLFVATRAVAESRRLVERNDLAGAHQLLTSARSLVLQASSSSEWGEEYVSGLEAELSELHWRRQNQVQQIPGRRNVERRHPTGASSGGSIRLDEKSSEPLTPTSAWRAAERLAKVAIMRKSMNRVSDLHGFENARF >CDP01507 pep chromosome:AUK_PRJEB4211_v1:7:11355958:11358363:1 gene:GSCOC_T00036582001 transcript:CDP01507 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLPSLSSSAMGIFVALMALSLLLPQHALGITRHYELNITMLNVTRLCHTKSLVAVNGQFPGPRIVAGEGDRLLIKVNNHVSNNITIHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNYTIVGQRGTLFWHAHISWLRATAYGPLIILPKHHVPYPFAKPYEEVPIIFGEWFNADTEAIISQALRTGGGPNVSDAYTINGLPGPLYNCSAKDTFRLKVKAGKTYLLRLINAALNDELFFSIANHTLTVVEADANYVKPFETDTILIAPGQTTNVLLKTKPQFPAATFLMLATPYFTGAGTFDNSTVAGIVEYEQSTSLNSTTAISVKKLPLFRPTLPALNDTSFAANFSNKLRSLASPQYPANVPWNIDKQVFFTVGLGTSPCDQPQGCQGPNGTKFAASVNNISFVQPTTALLQSHFSGQSSGVYSPDFPFNPLHWLNYTGNPPNNTMVGNATKVLVVPFNTSVELIMQDTSILGAESHPLHLHGFNFFVVGQGFGNYDPNKDPKNFNLVDPIERNTVGVPSGGWVAIRFLADNPGMWFMHCHLEVHTSWGLKMAWLVLDGKLPNQKLLPPPADLPKC >CDP01536 pep chromosome:AUK_PRJEB4211_v1:7:11036142:11042089:1 gene:GSCOC_T00036621001 transcript:CDP01536 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASNVILGFDFSFMLGMASALETLWGQAFGAKNYPMLGVYLQRSWVVLFLCSVLMLPVYIFATPILKLLGQPQQVAELSGIVCLSFIPLHFSFAFQFPLQRFLQSQLKNNVIAWVNIVALILRLALSWLVVFRLRLGLVAISLVLGFSWWIVVFGLLGYTLCGACPQSWTGFSFEAFSGLRQFLQLSASSGVMICLENWFYQILVVITGNLANSEFAFDALSICMSINGWENMIPTGFFIGKGVRVANELGAGNGRKAQFATIVAVTESILLGLFFWVIIMFVHNEIALVFTTSKPVLEQVSTLSILLAFTVLLNRVAVGSGWQSYVAYINLGCYYLIGVPLGVLMGWVFKQGVMGIWAGMIFGGTAVQTLILAIITIRCNGEKEVQMLPYF >CDP02141 pep chromosome:AUK_PRJEB4211_v1:7:1671078:1680148:1 gene:GSCOC_T00039441001 transcript:CDP02141 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWDLLAPAGRRVSVETLAGKRLAIDASIWMIQFMKAMRDEKGEMVRNAHLLGFFRRICKLLYLRTKPVFIFDGGTPALKRRTVIARRRQRENAQAKIRKTAEKLLLNQLKQIRLKELAAELEKQRRTNDAKGKKVATDEAQMAQEASGQSLLFTDGYNQEALDEMLAASLAAEEDEGFAAAESSSGVGIQTEEEEGAVEDEEMILPTIHGKIDPAILAALPPSMQLDLLVQMRERLMAENRQKYQRVKKAPSRFSELQIQAYLKTVAFRREIDEVQKSAAGRGVGGVQTSRIASEANREFIFSTNFSGDKQVLTSTGEGSKKSEQSQTAPVNPSTSAVNDVASKTKHTAVAGSTVDEPQRNFENDVETYLDERGRVRVSRVRAMGIRMTRDLQRNLDLMKEIDGESVCMTKSAIEESTNCSSVVDIPYNFSKGIPNLATCCQDSNGMTCVDENNEESILNAGTSMEISFDDNGQHELGGEDDDLFAHLVAGDPVMEFSINDSLSKKQSLDSTSEPEWEDGDIEVEAGTSNNKLKGKPLLPDGMRDENDLGCEDGSLGIQEEASFSGEYLDIVSKGALEEEADLKEAIRRSLQDLDDQRLVDTPDEDEKCGATAAVVSLSRNSGFIHKEVDGKMSQPPSTFNNQQHESPCHVQENTRSPDSNIVETNSSLDGHLTPYLEVNYGTKDLLPEKACGSYPFVDPLMQDVSGNNTSHQEVCGTPVQEKDVSPFEAQLASGTGDGIVADGLHNGSEAEAVVDGHLNRTTEIKGASLKDLMIDTAQQCREGEVHECGEEHLGKDGSSYGRKEEARLEEEVLLLGEEQRELGDKQKKLERNAESVSSEMFAECQELLQMFGLPFIIAPMEAEAQCAFMELVNLVDGVVTDDSDAFLFGARNVCKNIFDDRKYVETYFMKDIENELGLNREKLIHMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHRFREWIESPDPSILGKFDLQAGSSSKQIQSQVGETDMNCSDAKLGGVAARDADVSGSVDDTQKLKQIFMNKHRNVSKNWHIPSSFPSDAVISAYSSPQVDKSTEPFSWGKPDHFVLRKLCWEKFGWSTQKADELLLPVLKEYNKRETQLRLEAFYTFNERFAKIRSKRIKKAVRGITGKKSLDLMDESVQDGLRSKKKRRNNQDVAAGDKLEEASSGQEYADVGNEAKTTEKVNETELRNIGSLGRPLHPGGGSRNRLPSEENFQGRSRGVTVRKRGKARGRGGASDHPINKGGIKSHSSEYSSSSPDDEIYSDSAQDVQVNFGEQNQVRRSRRPRKEVNYSEFNLKSDDPDSGDESSREGSGARKVSSADMLEDLTAAVPSKINESENLDQELSWEFLEKGDGYGVGKAKEDMGADQLNSSPSNDIVSDTELSKEYLSVGGGFCLDEDTTDGDLQGSSKCPGKVTAFESDPSKCSGLGEDEISKSPTRAVNPVQAERLGNLDTSYTTATKNPDESANRDRSEVASFQEIISDDDYDKDSSRYFRAMPNLRRKRRKT >CDP01890 pep chromosome:AUK_PRJEB4211_v1:7:7968274:7971044:1 gene:GSCOC_T00037066001 transcript:CDP01890 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARLHASFSLLAFCFLCSVAGVFSATFTLVNQCSYTVWPGILSGAGTPQLSTTGFPLNPGQSTSVSVPAGWSGRLWGRTLCSQDPTTGKFTCITGDCGSGTVECAGGAAPPATLAEFTLNGAGGLDFYDVSLVDGYNLPMLVVPQGGSGGNCTTAGCVSDLNDGCPSELKVMSSSSSVACKSACDAFGDPEYCCSGAYGNPQTCQPTSYSEYFKSACPRAYSYAYDDGTSTFTCASADYIISFCPTPAASKKSAGSETAGAGAGDVSLFTSGSDSLILGRRWRLITSFIITLLLLLLRPSNPRRRPHHHAAVVDYLMQFGLKFAMYNYYNSAVATSSVPL >CDP01552 pep chromosome:AUK_PRJEB4211_v1:7:10890891:10894812:1 gene:GSCOC_T00036643001 transcript:CDP01552 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNHCLLFSFTVAFCFSSFQMSFAARHLLQAPLIPTMPTIPSLPKPAGLPPLPSIPSIPKATLPPLPSITLPKIPAAAAPLPKPTLPSTPPLPPLPKPTLPSFPSVPKFTLPPLPSIPKIPLLQTSQPTIPTLPTLPNLPTGAAALPPLPTTPLPTLPTNVPSLPKPALPTVPTIPQGALPPIPAATSLPNIPFTIPSIPTNLPTSIPSIPFFSPPPSN >CDP02640 pep chromosome:AUK_PRJEB4211_v1:7:5475276:5476357:1 gene:GSCOC_T00040094001 transcript:CDP02640 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPSNPARRSTATGISGASFRPKSRPSSLLSVVLGALGVILVVGYLFRGSGNSLFAEI >CDP01493 pep chromosome:AUK_PRJEB4211_v1:7:11460830:11461997:1 gene:GSCOC_T00036566001 transcript:CDP01493 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVYDNWERLVDATLRREELRMSALRTPTDPSSASPWPSPSPSPSPFPFSVLQGNHGYSTAAACRSEELYLRGDLGFPQFKDFLFFTAQACNEKLHDDRYVAWEQRLGKFTRRSASQNTCTRKLHSQKMQGFQVRLRQVICQLRWIWLPCGDSRR >CDP02325 pep chromosome:AUK_PRJEB4211_v1:7:2982768:2986013:1 gene:GSCOC_T00039684001 transcript:CDP02325 gene_biotype:protein_coding transcript_biotype:protein_coding MIAREIDAAADVTAKQEGNTATDEKTSNYKASISGTSAAAASSSSSSWSRLKDPRIVRVSRAFGGKDRHSKVCTVRGLRDRRVRLSVPTAVQLYDLQDRLGLSQPSKVVDWLLNAAKHEIDELPPLQMPPGSFNHSFQPVWATHHPVVGAHQVTKDQGLRIGASINWGDHDHHPSEPSGSKTWDSDAILRPKSKELIARSGDSSEDKETWTRSSEEGKQHSNNYESTSHGAAYFSSNNFFSRLGHSTSPSLGLLNSTVLPYNSLIRWDPSNLSLSQSGSPSGLTPVPQPEDFHNFSVVPLPSTMSVPSGGPQVLLYQPASSITQSYFPSHIAPPVTVDYAQKQIEFQMLNSTTENPLTSSLAPPVSDSTSQHAAGRPFRILSTTTNLLPSQNDRESKQDLDNDFSSR >CDP01942 pep chromosome:AUK_PRJEB4211_v1:7:7519028:7525789:1 gene:GSCOC_T00037133001 transcript:CDP01942 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPVSTAWIPEDDLLLKNAVEAGASLEALAKGAVQFSRRFTFQELRDRWHSLLYDPDVSAQASIRMFELELSGFNPLSRNNRFDHSKVSREVPEKRKIVSIRKQYYSMRKKFRSEFFNPTDLGFPDEPNIHDSSGNVADFRGFDRDPQDSNCVLGNSMQNHFELQESDIEILRSVFAKPTGNASVTSGAVSTRTSYLTGCSNTLEDKQPNGLFRTYGFPEDVSTPLRQDGTPFEANVKSRVMSSFIQNSSVNIGECSGIQEPGLPEIPSNSKLLESLEMQQLSAFDSRKENPRNVLRGSRQECNMNAPAGNSSFHTIGFSAADPNLSLWEPVQDFSASPLPVSLKQGDATQDAEKMLTDDGASNVKNEAVYNGVDSGPLLGVSEGEFGDLPDSLLNLSNEDDILFIDVDGKETRDKSYSDNVHSLLLSSPNDAQEDSFNDIEPQALVTAESCPTDPQGEDLDNSGAITSSTRAGDQNVQHPESAEPSASTFTSNSHALGDGNICCTLNTEDPEIPCNDDIFLLIHPSMSFAPPAAQATPVETMGLSSAASPEQTRQGNLLMDAKDLPRSSTWSQKIGPNILPETRPTCSLVGFGVKSELSDMNSKSFLPRDANKALGDLNQCKSSNANQSIPASRALEDSVSKVDLKAGECSVSFVEVHNTVAGSLKIDMSDSTAVNPSASDQEELGSDKDVPYFSDVEAMILEMDLDPHDQDLHSSKQAEVLRYQYEDAKRTIIRLEQCAQSCLQRSMTSQGALAVFYGRHLRHYIRKTEVVLGRSTDDFDVDIDLRKEGRANRISRRQAIVKMEANGSFYLKNIGKSLISVNGKLVASGQLICLGSSCLIEIRGMSFVFEINQKYARQYINSMSRDSKGKNSKFEWSPDEET >CDP12854 pep chromosome:AUK_PRJEB4211_v1:7:13753756:13762565:1 gene:GSCOC_T00037527001 transcript:CDP12854 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNGDVAGTRKAVTEILQLCFEARAWKTLNDQIVLLSKRRGQLKQAVQAMVQQAMQYIDQTPDLETKIELIKTLNNVSAGKIYVEIERARLIKRLAKIKEDQGLIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDRRDYVRAQILSRKISPRVFEADTSKEKKKPKEGENIVEEAPADIPSLLELKRIYYELMIRYHSHSNDYLEICRCYKAIYDIPSVKEDPTQWVPVLRKICWYLVLSPHDSMQSSLLNSTLEDKNLSEIPHFRLLLKQLITMEVIQWTALWNTFKEEFDNEQNMLGGSLADKAAEDLRLRVIEHNILVVSKYYSRVTLKRLADLLCLSIQEAEKHLSDMVVSTALVAKIDRPLGVVCFQTAKDSNDILNSWAMNLEKLLDLVEKSCHQIHKETMVHKAVLKA >CDP16714 pep chromosome:AUK_PRJEB4211_v1:7:628872:634247:-1 gene:GSCOC_T00019187001 transcript:CDP16714 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVELKFRLYDGSDIGPFRYSPASTVAMLKERIVAEWPKDKKIAPKAANDVKLISAGKILENNRTVGQCKMPFGELPKGVITMHAVVQPSLAKAKTAEKKVEEAPKKGICACSIM >CDP04584 pep chromosome:AUK_PRJEB4211_v1:7:18496539:18504096:-1 gene:GSCOC_T00018561001 transcript:CDP04584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 3 [Source:Projected from Arabidopsis thaliana (AT3G05270) UniProtKB/Swiss-Prot;Acc:Q9MA92] MDRRSWLWRRKSSEKSPTGETDSSGSISSYSERFSDDQALLNNNIQSPEVTSKATTPSIEELHDNMKALSDKLSEALVNLRAKEDLVKQHAKVAEEAVSGWEKAEAEVLVLKRRAEALTQENLALEERIGNLDSALKECLRQLRQAKEEQEQKINEAVAHKIVEWESAKTELENQLVNLQTKLQNAETEAVTSTFPDLCIKLEAAENKNAVLKLELLSKDKELKLRTSERDLIVHAAETASKQHLESIKKVVRLEAECRRLKMLNRKGATVNDHRSLASFTDSLSDCGERLSAVDNESCKMSGLELNDYEPGLSDLSASISISELDQFKNEKPLGRNFMVPSDELHLMNDFLEMERLAALPEAEEESCPDSGSDNRVNILKTELEAMINRTAELEEKLEKMEEEKVQLKLSLTECQHQLEASRYQLEETETKLTELRIQLVMANEGRKTVEAEVESTNKQLEKFMEEIAKAEVTILDLKSELSMANEAKSAAEMDVKATSEKLMKSTKLLEETEINLSEVSAQLANANKSNKKRDAELEATNIKKEVAESRVKALELELQMLRSSICNLEEDIQKERALSDEAFANCQKLNAEILQLKSKSQLWKAATTGEVKINQEKELALAASKFAECQKTIASLGQQLSSLAKFEDFFIDSGIPIEIN >CDP02395 pep chromosome:AUK_PRJEB4211_v1:7:3499157:3504881:1 gene:GSCOC_T00039761001 transcript:CDP02395 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQAQLPLMLVVVSIGSALVVSYEQFQKFPVSPQSFTAQEPLKKPARRLAKPLIGDDGRVYACSEKTFYSFHKNGSIAWTVTLNYSCNSHIAPEHGGSRKARFFAQHTTQLVLKINPLNNDPYSTVQEFFGPEPGEIIGLAVSVSSSCVIINVRNRGLFAYRLQGQLLWTAGPVLYQHGYRQGCRKNISDCYFSSNPVIDHCEANIYISNTEGELYALSVRSPHFKWIQDLSSFDTRFTVTPANNGLLYVTLPDRALLLALDVYTGSILWQGSTGPLSSEDYAPAVDSNGWISIGSLDGFLYSFSPTGSLKKFPEVANPSFIIQVSPIIDCSGYGVYVSQTEMEGKVDHTIGDYNFISASKPKSVLFTRIVPATGAVDWFESYPPGQFSHKLSQSDLQQFKLDESIILYFFAASSIGSSLPCRTTRQKFASSCSQASAKNISIYAGNEKTIMLLLLLETTIMVTLAALVRYCCVFWKKRKLQSQGLGKFLEKRHSLRLQKKAFDRSITELEQKAAEEAVPNEVLEQLSVLVKEREGIQRKLSTTYSLGRDGAGLQPKPVLPLSNGKARSYSFQGATKEASVTIFHTFSDTDTSSGGSTEFGADEDLPEEKDSTVKGKGKAPVEVQSSSDNEIYEEDHRISPSILASSSSGFPDRVFSEHSFNEIEEDGKTDEGQNKTAQIEIHNFTEVVIIFNSLSYLKSCIYQYVPKKRLYE >CDP02574 pep chromosome:AUK_PRJEB4211_v1:7:4964559:4968719:1 gene:GSCOC_T00040003001 transcript:CDP02574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase 13 [Source:Projected from Arabidopsis thaliana (AT2G23096) UniProtKB/Swiss-Prot;Acc:F4ILF8] MKNSRGGKTVGSSGGGTWRSLGLPLVFLLCLFFFLAGFFGSTLFSQPDEWSAQSRLRPRVLEAMGDQLEEENRGFDPLPHGHSGEGSVTSIPFQVLSWNPRALYFPNFATAEQCQTIVTRAKAGLQPSTLALRDGETEESTKGIRTSSGMFLSASEDKTGTLALIEEKIAKVTMIPRSHGEAFNVLRYGIGQRYHSHYDAFNPAEYGPQKSQRVASFLLYLSDVEEGGETMFPFENGVNMDASYDYRNCIGLKVKPHRGDGLLFYSLFPNGTIDPASLHGSCPVIRGEKWVATKWIRDQEQDD >CDP02242 pep chromosome:AUK_PRJEB4211_v1:7:2412602:2416140:1 gene:GSCOC_T00039578001 transcript:CDP02242 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKDPAIKLFGKTIQLPEAPAAAAASECGGDASALSNDISPDDTLVQDRPSSPNSLPEDSNLDRSGAEEESDKDFSGEKGNDSKTEDGAQSMMPEELIDPTLSSLTKESSKAPSVDSEAAPAKASKAEDEQNETSNSQDKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKNSVSQYRHISVSETLHNSQPDLPNGIHHPTLKPNGTVLTFGSDAPLCESMASVLNIAEKTMQNCTRNGFHRPEELRIPVPYGTGGNGDEHLSGSSVTTLSSKDEAAKDSLPDKQMPNCQSISPQVPCFPGAPWPPYPWNAVPWGSSVPPAAFCPPGFPMQFYPAAPYWGCTVPGTWTVPWLSPPPTSQNLNSRTSGPNSPTLGKHSRDDSIMKPVDSKKEEPQKESNPEKCLWVPKTLRIDDPGEAARSSIWATLGIKIDKVDSVGGAGLFKAFQPKGDEKTRVSETSTVLQANPAALSRSLSFHETS >CDP01690 pep chromosome:AUK_PRJEB4211_v1:7:9541645:9546137:-1 gene:GSCOC_T00036825001 transcript:CDP01690 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAPEGSGSADEHDDSSNSRRERERKQYHRHSAEQIQRLEEFFKDCPHPDENQRRQLSRELGLEPRQIKFWFQNKRTQTKSHNERADNDALRVENDRFYYENLVMREALRNLVCPKCEDASSGEEARQRNLERLRAENAWLKQELERASRVVSTFSRRSGVLESYLPPSFSPLSYLGENIPGTSTTTLLPQGLSEIQEMEKSVMVETAVNAMDELLELFRGNEPLWVKSPTNERYLIHRETYDKLYPKISHINSSSSWIESSRDSGLVPITARHLIDIFQDPEKWMDFFPTIVTKVRTIEVLDTGKRGGSLFVMHEKLHVLSPLVAPRELAFLRYVRQLDSTTWVIVNVSYDSLKELEDASSSQTWMFPSGCLIQDMPNGKANVAWVEHVQVDDRSLTHPLYKDMVCDSQAYGAKRWIVTLQRMCERFAFSLGPIPTPGHELEGVIDAPEGRKSLAKLSHKMVKNFCHILSMPERIDLPQLSELNRNGFRVSVHRSDTSGQPNNMIVCVAASLRLPTSFENLFDFFKDEHARDQWDVLSEGNPVHEIAHISTGTHPGNSISLMQPVNPKENMLILQESSIDLLGANLIYAPVPVSTITSAISGQDTTDTNVLPSGFIISSDGVDGGTRAGASSSSSMIGSNSSLLTVAFQIMVRPDTFSDRLITDSVATIHALISSTVQKIRLAVGSRFG >CDP04605 pep chromosome:AUK_PRJEB4211_v1:7:17728322:17743172:1 gene:GSCOC_T00018603001 transcript:CDP04605 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGEERDTGSSGGKNHGQGGEAAAEGGGGVTSPVRRVLIISAGASHSVALLSGNVICSWGRGEDGQLGHGDAEDRFSPTQLSALDGLEIVSVNCGADHTTAYSELRMEVYSWGWGDFGRLGHGNSSDLFTPQPIKALHGLKIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTEDSLVPQKIGAFQGVPVRMVAAGAEHTAAVTEDGELYGWGWGCYGNLGLGDRNDRLIPAKVSAVEGDKMILVACGWRHTISVSSSGDLYTYGWSKYGQLGHGDFEDHLVPHKLNALSKSFISQISGGWRHTMALTADGKLYGWGWNKFGQVGVGDNIDHCSPVQVRFPLDQALVQISCGWRHTLAVTERQNVFSWGRGTNGQLGHGESADRNVPKIIEALSVDGSSGQVIESSKVDPSSEKLSVSPTDRYAVVPDDNSQTSTLLSGNGSDVNVPETDVKRIKM >CDP16722 pep chromosome:AUK_PRJEB4211_v1:7:706161:714034:1 gene:GSCOC_T00019195001 transcript:CDP16722 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLGKQLKRFTLQKTHHAKDNKDHQSLAHSDALAQASQDMKDMRDCCDSFLSAAAATTNSAYEFSESLLEMGNCLLERTAFNSDGECVDAGRALSILGKVQLELQKLVDGYRSHVILTITNPSESLLSELRKVEEMKQQCDEKRNIYEHMVARHSEKGKSKGGKGESFTSEQVQAAREDYDEVARLCVFRVESLKQGQCRSLLTQAARHHAAQLIFFRRGLKSLEAVEPYMRNVAQKQHIDYQLSELEDAEYREDGVNSDDTNCDGELSFDNLEFNQEQENPGTSRNSMELEVVQADAPIAQASEFDDLQINFRRKKGEQGFSRKPRISSFSAPIYAGKFDPSEKFKDMQTSVQKLHAYALPTPADARSLNSRTSSYAVHTSTASVSGSANNVWHSSPLDAEKHRKLMEDNLAMRTASKTQAAIKESDQNSPSIPLPSPSAEGTSLPQSDTNYDAKKIERQALSGPLLSKPSSSKPLLSSSGPIGPSELPQVVSGLVSRVSTSQPPLAINVPQSVSPPLVSSPKISELHELPRPPGSLASKPASSSGVIGHSAPLINRNREVSPTNRSFVLASNAGSPLPPPPLTVPRSFSIPSSDHRVAVFHVSKLMESPEMKEKAGVSSPPLTPLSLSNVKSTAVVSELASNPGR >CDP02303 pep chromosome:AUK_PRJEB4211_v1:7:2822526:2829182:1 gene:GSCOC_T00039658001 transcript:CDP02303 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSFAGVHFHIRPSNSTCRPSLVSQPAPVSINFLAKPKALKSLNSLKLKRKDAFLTRRSEKLGRSSRSFVVRCETSSGRITQQEFTDMAWQAIVSSPEVAKENKHQIVETEHLMKALLEQKNGLARRIFSKVGVDNTRLLDATDKFIQRQPKVLGESSGSMLGRDLEALIQRARDYKKEYGDSFMSVEHLVLGFVQDNRFGKQMFKDFQISRKALKDAIEAIRGRQKVIDQDPEGKYEALEKYGKDLTTMARAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRRLISLDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQIILFIDEIHTVVGAGATSGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRAVLKLEMERLSLTNDTDKASKERLSRLEAELSLLKARQAELNEQWEHEKTVMTRIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLEAAEKELDEYMKSGKSMLREEVTGDDIAEIVSKWTGIPVSKLKQSEREKLLHLEEELHKRVVGQDPAVRSVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALASYMFNTEEALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYAVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILDTDDDALPKEMAYETIKQRVMEAARAVFRPEFMNRVDEYIVFQPLDRDQINSIVRLQLQRVRQRISDRKMKIHVTDAAIQLLGTLGYDPNYGARPVKRVIQQYVENELAKGILRGEFKDEDSVLIDTEVTAFANGQLPQQKLVFRKSESDSQAPAENRETFSQAL >CDP12842 pep chromosome:AUK_PRJEB4211_v1:7:13883635:13884379:1 gene:GSCOC_T00037511001 transcript:CDP12842 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNHRNFFLIFTVSFFLLSGICTCRHETHKFFHRLSFLPFGAFVYDKEKFKTCLLFSSSHGRHTNATQLVFGPKIEKVLQ >CDP02134 pep chromosome:AUK_PRJEB4211_v1:7:1633054:1637401:1 gene:GSCOC_T00039427001 transcript:CDP02134 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFWIILFLVLVFLISGCTSAAATPAAKIVGGVVTNVASTLFKWLWSLKSTSKTAISSRSMMKFESGYTIETVFDGSKLGIEPYSVEVSPSGEVLLLDSENSNIYKISTPLSRYSRPKLVAGSPEGYSGHIDGKPREARMNHPKGLAMDDRGNVYVADTMNMAIRKISDSGVVTIAGGKWSRGGGHVDGPCEDAKFSDDFDVVYVGSSCSLLVVDRGNQAIREIQLHDDDCSYHYDDNAHLGIAVLVAAGFFGYMLALLQRRIAAILCSNDDPRVHAKSMPPAPYQRPHKSIRPPLIPPEGNYEKPEEGLFSSLGRLFVNTGSSVIEIFGGLFSGFRKKPFHHVVQQHYNHPSQHPNSWPMQDSFIIPDEDEPPSEESRDPTPRKVYPFMTRDLEKKQSRSYYNGGWNADLHPQQQHQFQQQLQKHHQHHQKHQSSRAQTCYEQNCETNEIVFGAVQEQAGRREAMVIKAVDYGDPVYNSHNIRSRYNYMGYSYGY >CDP02596 pep chromosome:AUK_PRJEB4211_v1:7:5174661:5176102:1 gene:GSCOC_T00040034001 transcript:CDP02596 gene_biotype:protein_coding transcript_biotype:protein_coding METSQTTSSIPSLLCEEDESCFTLNNESDETLCQCCIFEYDDEYIEMLIHKESDLQSNTNKSLCNSSNDETDEQSWSKRARLHAVQWILDTRALFGLHFQTAYLSLIYFDGFFSKRSVEDGKLWAIRLLSIACLSLAAKMEEYKAPALSDYHIDECNFEGRLIQKMELLVLDALEWKMNVLTPFLYFRYFIAKFYGESRPKGLMSRAIELTLAMLKDISLVKYPPSMIAAVAVLAACDSQLTMKMLEFKLSIISSWGSSHKENVLLCYNLMREIQKAKSNTPNLQSPLSLSLKQSSSRDCRESSCIIGTKRRLAYPDSDQHQPLQRTRRSP >CDP12775 pep chromosome:AUK_PRJEB4211_v1:7:14652117:14654498:1 gene:GSCOC_T00037422001 transcript:CDP12775 gene_biotype:protein_coding transcript_biotype:protein_coding MANLILKFLTLLILIYAGTGYFEPVQGNNITLGSKLFPQNTSSWLSPSGTFAFGFYKKADGFAVSIWLQTKTPEPIVTWTANRDDPPIPSDAYLELSREGRLLLWTKQSVGDILYNLTKPATSVSLLDSGNLVFYNGSNVLWESFDYPTDTILGGQVVPLGYTFFSSASAADQSRGRFILVFQVDGNLVAYPVNVTTAPAYSYSSTGTSGWTNGGEQLVLTERGHLYLNLTSRINDSVHTIAQSSHNQSQQKTVYRATFDIDGNFRLYLHNFESSGNSTMRKLWSALPNHCEVRGYCGVNAYCSFDATTGNDCFCFPGFIYFDPKKKFLGCYRNFAYERFCGKEETSQPYNYTQLKNMSIGGYPYGKSAMAQEECCQSCMNDCNCWAALYENGDCNKYRPPLLYATQGKNQSGIAFIKQIHNSSQSAEENEFLKQRAKNAGLFTMLAVFSFLLYRKRAFKYQRLLDMENLGLNKDFTLRSFSYNELERATDGFIKEVGRISYGKIYEGTISEGNRKVSIKRLEKNVAQLLGFCLEGAKKLLVYEFMTNRSLVDVLYDVERRPFWKERMRLAVDIARGLRYLHEGCETHIVHCNIKPKNILVDDNWAAKISNFGSAKLLAPNQTENVATEREKRGYSAPEWHKDALISEKVDVYSYGVVLLEIICCTRDLMISVESPDESFPIKVYRHFKAKELRELIGDEDVSMESLERMVKVGLCCIQKDPDLRPPIKNVILMLEGTLDTPMPFPPTLSQA >CDP12885 pep chromosome:AUK_PRJEB4211_v1:7:13333768:13339280:-1 gene:GSCOC_T00037566001 transcript:CDP12885 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVAFRTKSKLDVMDDGYKWRKYGKKMVKNSPNPRNYFKCSSGGCHVKKRVERDRDDPSYVITTYEGVHNHESPCVVYHLPPMMPMGGFSLQESYTPSSSS >CDP02581 pep chromosome:AUK_PRJEB4211_v1:7:5004012:5007056:-1 gene:GSCOC_T00040011001 transcript:CDP02581 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLNPYDKEYMKTAMLKHEETFREQVYELHRLYQIQKLLMKKIASSQKHGQDSDTRNLKIVSISNQTNDHHADVEERPRLGLDLELPVGDCVQGIERNGTAGIDDESELELTLGIGPSSNCRTRKSLEMSIPSDSAASFSSSSTGSSNIKRTNSGLTNHQRTNAIREEFIGRKWGLELPGSNPSLLGSRKKSSDIEEQLRQDRHSNPPWLLQALSLNTS >CDP02562 pep chromosome:AUK_PRJEB4211_v1:7:4872839:4873503:1 gene:GSCOC_T00039984001 transcript:CDP02562 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSSYQITVTVTKRAEWFLPFISHWLGSLLKKKKISVLFPEILCFIRSATGLSITIMARRLDWLYVLYRNNNNINCS >CDP02773 pep chromosome:AUK_PRJEB4211_v1:7:6396745:6401464:1 gene:GSCOC_T00040267001 transcript:CDP02773 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHDSGPSYVAPETATAAAAEKELLSLKDLIEKNPRAFLGDKVYDRWGPNLPFLFKVLSIAKALSIQAHPNKELATILHKAQPNVYKDPNHKPEMALALTEFEALCGFISLEELKSVVHNVPEIVEVVGTKYVDELLCVHEEDVKKAKEVLQLIFTQLMAASKDVIAQALSRLISRLSIKNEARELTDKEHLVLRLEKQYPADIGLLAAFLLNYIKLKPGQALYLGADEPHAYIYGECIECMATSDNVVRAGLTPKSRDVQTLCSMLTYKQGLPEILDGVALNAYVHRFPPPFDEFEVDRCILPQGSSVVFPSVPGPAIYLVIGGQGTISSTSEELVGEGDVLFSPANTEITVTTTSGLSLYRAGVNSKFF >CDP01547 pep chromosome:AUK_PRJEB4211_v1:7:10926354:10936221:-1 gene:GSCOC_T00036634001 transcript:CDP01547 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQADHVVGLRNQSRGGRGFGLPSATRFQSGHLPSGIIPVSRGMPVKNGAGIGSESDMDTSSDSDSEAYGGRYSVETSPQDDKFVNGKHQSNFRSLGAQGIRSIDASVPDLRNGTSEVYYFNGNLQPNAKTPRQVLQGAGVQNYKLPDDVPSAPPLAGSVSETNQVSGQPRADFFPHPTKLDGSATADMPNTGNGTQLNATAKTACDASLRAAGVSLHSLPAKIPTFHASGLGSWNAFISYDACIRLCLHAWASECMEAPIFLENECAVLRDAFGLKHVLLQSEEELLRKRSAELISEGACVKPKKIIGKMKVQVRKVKMVLEPPTGCSLSSLKPPLKKLEPFRVRLSSIKSALSSEWETYKKVRVSPRMPSNGSLSRQSLAYVNAGTQYVKELPELVKIGITALRNHSTSYEMVQETYSCSLRLKNSSEEDTVKMQPASGETHVFLPDGLGDDLIIEVHDSKGKYCGRAMAQVAEIADNPADKLRWWSIYQEPEHELVGRIQLYINYSSQEENSHLKYGSVAETVAYDFVLETAMKAQQFQQRKLLLHGSWKWLVTQFASYYGVSDAYTKLRYLSYVMDVATPTADCLDLVHDLLFPVVMKGKSKEALSHQENRMLGDVSDQIEQTIAVVFENYKSLDESSPSGVADVFTPATGFAASALIPALKLYKLLHDILSSEAQLKLCRYFQTAVKKRSKRHLSETDEIVSNNNGNVLMDPVTISAAYQKIKSLCLNIRREIFTDIEIHDQHVLPSFIDLPNLSSSIYSTELNSRLQTFLVACPPPSPLPPVTELVVATADFQRDLASWNIKAVKGGVDAKQLFHSYITFWIQEKRLTLLELCKPDKVKWSSFQALDLTTPFVDDIYDQLKETLKEYDVIISHWPEYTIQLESAITDVEKTVIEAMEKHYADVLYALKENSIPIKLGLKYVQKFAKGTVSAYSVCRELGIFLNSLKRILDVLRPPIEAQIKVWGSCIPDGGSTIPGEHLSEVTVMLRAKLRTYLQGVTEKLVENTRLQPSTKLKKIIQDAKENVVESDVRSRMQPLKDLLEKMIDQLYNLLDPQVFIIVSRGIWDRMAQDVLRFLAERKENRSWYKASRVAVSVLDDIFASRMQQLLGNALQQKDAEPPGSIMEVRSMLS >CDP02629 pep chromosome:AUK_PRJEB4211_v1:7:5400063:5403399:-1 gene:GSCOC_T00040081001 transcript:CDP02629 gene_biotype:protein_coding transcript_biotype:protein_coding MCLILKFRSLTINANQAKLLPTESESWNVAINTEAYFTKGPQSWKHALRAAARSSSDAEGGKNVQQLAEPSRPRLSRKERNPKCLSSSAAQKVMLDHFQIVKYPLITDLTMREMIENNTLTFVVDKRADKNNIKAAAKKMFKIQTEKVNTLVMPSGDKKAYLTLTPDQKAAEVAKKIKII >CDP02524 pep chromosome:AUK_PRJEB4211_v1:7:4567141:4569770:-1 gene:GSCOC_T00039928001 transcript:CDP02524 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQNLTSSSSSNCNVAVNQDGGDDDDRLCSEPQGEPEGETAHLDGLPAPNPNGYLSRESRIERAWAHWKKLGQPKFIVAPMVDNSELPFRMLCRKYGAQAAYTPMLHSRIFTETEKYRSQEFTTCKEDRPLFVQFCANDPDILLEAARRVEPYCDYVDINLGCPQRIARRGNYGAFLMDNLPLVKSMVEKLANNLSVPVSCKIRLFANFEDTINYAKMLEDAGCALLAVHGRTRDEKDGKKFRANWSAIKAVRDVMRIPVLANGNIRYMEDVQSCLEETGVEGVLSAESLLENPALFAGYRTVKWVSGSDDICVDGKLDQADLLVEYLKFCESYPVPWRMIRSHVHKMLGDWFRVHPTVRDDLNAQSKLTFEFLYDVVNRLRDLGVRIPLYVKDSRVERVSANGFAT >CDP15705 pep chromosome:AUK_PRJEB4211_v1:7:23108380:23112288:1 gene:GSCOC_T00015704001 transcript:CDP15705 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSSSNTSCSDFALYDYLQSHHISSSTSASCFDLALDLLDELSINETISYLEHKVRLLKTFFLYVKMCRRRRNHEALLEHDQEHKDNTVSESLRRSSICFRIQDVVIRMVHDLHSAYLLYKDSGESDHDVLASAITRSQESIIVFLETDVKKSCRIIFFDCYSRADPRLVMDLIVSLSQTLEGLVFGKPMDIIRHKLMLLRNLIGFVTMRGVECMQLTDLFTQVAAVAARLFSICQLDIFDEQVLDQMESEFSQLIDEKINPLDPKVRETYIDVLTASKKQSRSSCALALVENGRPVVVQFVESLHQYLMDLPGYHASFQVPVKDYILKLHQGIRYMSTLLKKEEQLDDEIKDLLGLPVCDAGILVFSLSVNEIKEGLPRETYLRLFHLHKVLKYMMAELAHNYPVTSPYSSFNYPRSNELGCMDFFLEILQELARCDKADDSIVFILDRIQMVHKGLVFLRSILENIKEQRFQNGKLQAFWSYVMEVAYKAELLIDSTLVGDKCEDSLDAIARDINLLKIEALEIHNGQTQRVNKNSIHIPSQLAAAIHIEDLVGLDDKVKTIIDRLTRGLKHLDVVPIVGMPGLGKTTLANKVYTAFSVRSYFHVRGWCCVSQTYSKHGLFVQLLCSIHSKSPDEYLKMDENDLVQKLKQVLLRTRYLLILDDLWDVEAWNLLENSLPNDVNGSRILFTSRFQDLSLQFKPCSKPYHLCQLTDEESWALLQKKLFDKDGCPPELTEVGYQIAKFCRGLPLTVVLVAGILATIAQDSWEEVVKSLSSIVLHDEYCMKTLEVSYSHLPDYLKPCLLYFAAFQEDEVISVRRLLWLWISEGFVQQTAGKSLEEAAYNYLMGLINRSLVVATKKGAMNGAKTCQLHDLVHEFCVKKVKEESFIHIIHSWKDPFGLTGASNPHRVCVHNTRELKIWELMLIFPNLRCLLLFGNDYFEPQEEDWGILLPKLLRVLDLGVFKFGKSFPMEVVLLIHLRYLVLNGIRSIPSAIDNLSRLKILIVQKPLSVVELPDTIWNIKTLSYLRTKDRSHGFIFPDGNLEVSPDLDHLDTLSLAIDPSSQCLQKILKKLPSIRRLKCGRSDDFKAAARNCNKILVFDCLNHLQSLRLTFFDGYGFKFPLNLKKLTLKWNSQPWSEISTIGKLPHLEVLKLLQDSFVGEEWVVKEGEFPNLRVLQLSTLDICRWTASSGNFSHLEKLVVNWCEELEEVPSCLGECPTLEMIEVKWCHESILNSVEQIRQEQMDGGNEVIKMVIEDCFDASFSEEESNSREAWSTLSEAEDDFQKNRVLFHSQHW >CDP02595 pep chromosome:AUK_PRJEB4211_v1:7:5166163:5169377:-1 gene:GSCOC_T00040033001 transcript:CDP02595 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLTSERTAPVPLPLPPPLPYPAITSLHQKRLTPRASQNWGSCRASTAGNPALDRLFDGGYRADVLIHTDHGGVIYAHASILGVASPVLKMILKQTKGQNRGRHRSVSIRGVPPEAVRVFIRFMYSSRYEDDKLQEHVLHLLVLSHAYAVPQLKQLCEWWLERRLLTTENVIDIFQLAMLCDAPRLSLICHRFILKNFKPVSVTEGWKVMKESHPVLEREILESIIDEAAKQDYRVKKINERKIYEQLYEAMEAVVHIFRDGCRTIGPLDKVVPKDQSPCPYAACKGLELLVRHFAGCKRRVPGGCIHCKRLWKILELHSRICANPNICRVPLCRNFKHKRRRENKKDELKWRILVRKIVRSKSISGAPFFSLESS >CDP04696 pep chromosome:AUK_PRJEB4211_v1:7:15724953:15729212:1 gene:GSCOC_T00018756001 transcript:CDP04696 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSTSTSRHRHRREPPQPTHRRRSWCCSFAVPPQSPENHYDGGGKTRYCVSTRSQSSKHLRNPPTPKKTEMHPKSSHNSFPNSPQSQASTTSSSSKLGLRKILSPGRVSPIDEPAPVPTTTKGRTASSLTEVPKSPLGDDCSCGGAEADEEGSLGNFDVRLNLKARNGGGSLILELSSAVLAANSSVFAGLIADYRTNSNAKGLCRIEVPDVDNLGVFRETIELMFDEDIPKKLVKIGPFRAIDMLEVAAGIKFVRGVSSCLKFLEAVPWTEEEEEKLRVLFTKYKFDDGTSRDILARLYALESVDSQQTMARQLLWSIITCVDTNAGNELKSLVKGLLSKSSVYEKDCDDIERDDIFAACQSCLSSLISLFEEASGTAQSEKLAKQDKGKPMIERISKQVDNLNWLFEILLEHQMAEQMVDMWADQADLIRMHESSSPMIRYELSRVSAMLFIALGTRKLHCPSDSRLSLLSAWFRPMLLDFGWLQRCKKGLDMKALEEAMGQALLTLPLKEQYTLFMDWFCYFSKHGTECPNLSKAFQIWWRRSFLRGSETYAIESR >CDP02333 pep chromosome:AUK_PRJEB4211_v1:7:3038152:3045748:-1 gene:GSCOC_T00039694001 transcript:CDP02333 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 27 [Source:Projected from Arabidopsis thaliana (AT5G39040) UniProtKB/Swiss-Prot;Acc:Q0WML0] MTSLVHRLICADQRARLKENEDGSPDAPKTDLEHGALEAANVGFSRVFSLAKPDAGKLIVATIALLIASTSSILIPKYGGMIIDIVARDTRTPEQQAEALDAIKNTIIVIISIVVIGSVSTAIRSWLFASASERVVARLRKNLFSHLIQQEIAFFDVSRTGELLSRLSEDTQIIKSAATTNLSEALRNVTTAIIGIGFMFTSSWKLTLLALAVVPVISVGVRKFGRYLRELSHATQAAAAVAASIAEESFGAIRTVRSFAQEQYAIAGYSEKVDETLKLGLRQAKVVGLFFGGLNAASTLSVIVVVIYGAYLTITGSMTTGSLTSFILYSLTVGSSISSLSGLYTTAMKAAGASRRVFQILDRVSTMPKAGDKCPMGNPDGDVALEDVWFAYPSRPSHMVLKGITLKLKPGSKVALVGPSGGGKTTIANLIERFYDPIKGKILLNGVSLVEISHEYLHRKISIVSQEPVLFNCSIEENIAYGFDGKASSTDIENAAKMANAHDFVASFPDKYQTVVGERGLRLSGGQKQRIAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMRGRTVLVIAHRLSTVKSADTVAVISDGQIAESGTHEELLNRDGIYTALVRRQLQAPKSDTDLVTLE >CDP01853 pep chromosome:AUK_PRJEB4211_v1:7:8222473:8224102:-1 gene:GSCOC_T00037027001 transcript:CDP01853 gene_biotype:protein_coding transcript_biotype:protein_coding MACLVSLSISLFVCLVCSHGHALSLNYYAKTCPNAESIITQVVKDAAKKDNTVPAALLRMHFHDCFIRGCDASVLLNSKGKNNAEKDGPPNKSLHAFYVIDNAKKAVEALCPGVVSCADILASAARDAVVLSGGPYWDVPKGRKDGRISKASETTQMPAPLFNISQLQQSFSQRGLSMEDLVALSGGHTIGFSHCSSFQNRIHNFNATHDIDPTLHPSFAASLRSICPNKSGAKNAGTSMDPSSTTFDNTYYKLILQGKSLFSSDQALLTSPKTKDLVSKFATSKETFYEAFSSSMIKMSSITGGQEIRKDCRVVN >CDP19916 pep chromosome:AUK_PRJEB4211_v1:7:14720805:14726945:1 gene:GSCOC_T00008839001 transcript:CDP19916 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYKLYLAAVSVQVFHSIMHIVSKAAIDDGFKTYILVFYRQAIATVFLAPITIFLEWKSAPPLTLMTFVKMCILSLLGFTLTWNLNNMALRYTSAPLAAAINNTIPVITFFLAVLFRMENFNLKTIPGISKFAGIALCLGGSATIAFYHGAPYLKLLVHHHLLKIHSLENPGHAPSSTTWVKGVLLMFLAYILWSSWLVLQGYLSKSYPSTLISINLQNFFGGIFSFIIAIYLERDFDEWKLGWNVRLLSAAYCGIMVNGVGFNLIAWVLRKKGPLFQAIWVPLVLLFTTCFSAVFLGEIISLGSVVGAVLLVMGLHCVLWGKIKEQSKETENCSSTVHLAQISQTAPKDEIQVGSTPKHSLPQNACSYV >CDP02060 pep chromosome:AUK_PRJEB4211_v1:7:1116059:1125154:-1 gene:GSCOC_T00039340001 transcript:CDP02060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MLLRLATLWFCILFPALLVSDAVASSSVTSGPHLADVNILLPPKMTHPVEYRLQGTDGCFKWSCDHRDILAVLPEYNSTNRCSTSARLKSIAPYTGRKETAVYATDLNTGMVIRCKVYIDIFSRIQIFHNSIKLDLDGLATLRVRAFDSEENVFSSLVGLQFMWQLMPETDDLPHHIVHVPLKESPQSDCGGLCGDLDIQVKLEDGGVFSDLYVVKGIEIGHEIVSVHLVEPSFEHIQDKIILTVAEAMALDPPSPLYVLIGAVVKYSLNVIRGNIPQVVSLPSPWHQWSVLNTSVAQVDRMTGEAHALDLGVTTVIVEDARVAGHTQTSSLHVVLPDALLLHILPLSPSGSPVEGMRSIPSMARWYVVSGRQYLIYVKVFSQSPAGEEIYLTESDDIELYGDQSKFWNVLPVPDSVMVKGNTRILNAISYGLGKLMAVLRYSSRNDERKEVIKVVQEIMVCDQVKFSMSQGSIDHHRILLPWAPGISQELELKVNGGCAMASSDYKWFSSDMAIVSVSASGIVQSRNPGKATIRAVSIYDSFNYDEMVIEVLIPSSMVMRQNFPVEVVVGSHLQASVTLKMPDGAYFARCDAFSSSIKWKTESESFTIINATDESLTFGKHEMLELQTSTVGPPCAWADVYASSSGQTTLHATLTKEHQQLDHSVSGFIALKASLHIAAYKSLLVHQASDGNQFGGYWFNLAEAEAHNHLDSLFLAPGTHFDLKLHGGPERWDQGVEFIETVEALDDKNCYLKDGGLVHRVHADHVSQYRIKCEKLGSFKLVFRRGNLIGDNHPLPAVSEAQLQLVCSFPSSIVLIADEAVNLPEVIESATKADRIHGRIRATPITVANGRTVRLSAVSISDTGKAFGNSSSLQLNWELINCDGLAFWDDAYNLAITKSIWERFLVLQNSSGLCTVRATVLGFIDSLSYQNSITPFDSPEDALTDAARLQIVSSLRVNPVFSLLFFSHDAQLNLSIAGGSCFLETLVNNSHILEVIQLPVDLRCLQLMLAPKRLGTALVTVYDIGLAPPLAASSVVQVADIDWIKITSGEEISLMEGNLLSISFLAGTDAGHTFDSSQYLYMDIHVHFEHHIFELVDDGELQSPTHRSVRAPNFTIQGTHCGVTTLYLSARQHSGHEVLSQSIRVEVYAPPRIQPSEIFLVPGSSYVLKVRGGPRFGAFVKYASMDDETARFQKSLGRISAISPGNTTLVATFYGKGDISICQAYGKVRVGVPSAAILNVQSEQLAVGRKMQIFPSLSEGNLFSFYELCNNYKWNIEDKDILTFRVPDRMQGVKDGIPFPDANKSRYPEYLDKEDLGFLEVLEGLSPGKTGVAVTFSCSFTSSGSFSESRSYSANISLWVVPDLPLALGSPITWLLPSHYTSSDLLPLSSNPCGKGALCCKSTIIYSLLGKCGGETAEVEDEDVFIDGGKIKTKEAESLACIQANDRSTGRTEIASCVRVAEVAQVKIVTQEVLVLRLAVGAELDLPIRYYDVLGNPFHEAYNVAVFEAETNYPDVVTIEDSSDGEGKVHLSAKSPGKALVRVAFINNPQKSDYVMIFVGAHMYPLNPVLSLGSHLNFSVNGLTDQAFGYWFSANESILDIDKLSGKAKARNEGSTQVHYENSDLKLHTLVTVLKGGEVTVDSPTLMLTNASPFPIKGYFFLIKLNDAYNRKYETIGNGKALVDCVVDPQFVGFARPWTDPVTNKLYCIFFPYFPEQLVRTAPSSADMRRGLSISINASLLEDESISGSASVFFVGGFSVLEMDENSLKLNLTSGYNRSFITIVGNTDVIINWHDRDRLSVTLISGDDSRKGGHALYEVKSLKDESFKDKLIITLQATGQRKEVDVSYEAERTNGAVRNFGAIMVVVTVFLLFLVIFGTLLYCIRKPGGVESGFARVGGTRVAAAAPATPIRSSPLLRDEQSPRTPQPFIEYVRRTIDETPYYRQDFRRRVNPQNTL >CDP11580 pep chromosome:AUK_PRJEB4211_v1:7:20502661:20504285:1 gene:GSCOC_T00033916001 transcript:CDP11580 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTPVNIPSKMKAWVYGQHGKPEDVLKLEFEVDVPDVNDDQVLIKVLAASLNPIDFKRMGGSFKATDSPLPTVAGYDVAGVVVRVGSKVKEIKVGDEVYGDIHEQAHHPKDCGSLAEYTAVDEKSSRGIKAVKEGGRVVTIWPAGPVVPPIFVFVVTSTGFVLSKLNPYIEEGKLKPVIDPKGPFPFSNAIEAFSHLQSGRAIGKVAINPIP >CDP01752 pep chromosome:AUK_PRJEB4211_v1:7:9027732:9031333:1 gene:GSCOC_T00036907001 transcript:CDP01752 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRRPANPILREKADAGEQLIKPHKSHQHQQQQPPMKASDALPLPLHLTNGLFFTIFFSVMYFLLSRWREKIRNSIPLHVVTLSELVAVLSLIASVIYLLGFFGIGFVQTLISRPNPDGWDVEDENTEQFLLQEDSRSGTCTTLGCAVPPPPVPSAKGLPMISQPAAKAGMAVEKPAPLITPQDSEEDEEIIKSVVSGKIPSYSLESKLGDCKKAAGIRREALQRITGKSLEGLPLEGFDYESILGQCCEMPVGYVQLPVGIAGPLLLDGREYMVPMATTEGCLVASTNRGCKAIMASGGATSMLLRDGMTRAPVVRFATAKRAAELKFFVEEPMNFETLSVVFNKSSRFARLQSIHCAIAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQTDFPDMDVIGLSGNFCADKKPAAVNWIEGRGKSVVCEAIIKEEIVKKVLKTEVAALVELNMLKNLTGSAMAGSLGGFNAHASNIVSAVYIATGQDPAQNVESSHCITMMEAVNDGKDLHVSVSMPSIEVGTVGGGTQLASQSACLNLLGVKGANKEEAGANARLLATVVAGSVLAGELSLMSAISAGQLVSSHMKYNRSSKDVTKVAS >CDP02001 pep chromosome:AUK_PRJEB4211_v1:7:7117475:7120625:-1 gene:GSCOC_T00037204001 transcript:CDP02001 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVRILFNSSARHLPNSLFHLQKPLPLKPFSTLFPSPPPYYRHRPIPTPAVSFINPENHHSIIFSNAHIPHHPSTTPFGFSLTLNSPQFLLNSFFSTSAFFRIFSDQKANSFHWNYAPDGIHPRENGVVGDKGPIFAAVLLGWLGSKPKHLRRYVELYNSRGIHAVTFVASVKDVLSFDLGKNLEERISGLAVELASWLAQSEKDGRERFLIFHTFSNTGWLAYGAILDNLQSRPDLMEKIKGIIVDSGADANIDPKVWAAGFTAALLKKYSSSSYPSVEGVGRNQLESGVDTSKLREKEPLFVETLLLSAFEKLFSYLLNLNDVKQRLTKIISVLSKNQPSCPQLYLYSTADKVIPFTSVETFVDEQKRSGKNVWAFNFGASPHVDHYRTFPSVYTSELERFLNECLVTVKKL >CDP01621 pep chromosome:AUK_PRJEB4211_v1:7:10159096:10160038:-1 gene:GSCOC_T00036725001 transcript:CDP01621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 5 [Source:Projected from Arabidopsis thaliana (AT3G02885) UniProtKB/Swiss-Prot;Acc:Q84J95] MALGTRPCSNAGLFLIFSLLVFITFSSVAEGYNRLHPRDCSPRCTYRCSGTSHKKPCMFFCLKCCATCLCVPPGTYGNKQACPCYNNWKTKEGGPKCP >CDP02616 pep chromosome:AUK_PRJEB4211_v1:7:5312685:5314612:1 gene:GSCOC_T00040059001 transcript:CDP02616 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHNSLLRTKTSSFFSSCFLYAAGTSASFLLAWAFWSFFSSPAPSANPSFSRGLASEAALSCPAGKAGHNRSYDPPDPTFYDDPELSYTIEKTIKNWDEKRREWLEKHPSFAAGAADRILMVTGSQATPCKNPIGDHLLLRFFKNKADYCRIHGYDIFYNTVLLQPKMFSFWAKMPAVKAVMLAHPEAEWIWWVDSDAAFTDMDFTLPLDRYKAHNLVVHGWPHLIHREKSWTGLNAGVFLMRNCQWSMDFMEEWASMGPQAPEYDKWGVIQRTTFKDKTFPESDDQTGLAYLILKEREKWGNKIYMEDEYYFEGYWMEIVGTLENITDAYTGIEKRERRLRRRHAERVGESYGKVWEEHLKDAGYGRGSWRRPFMTHFTGCQPCSGDHNQMYSGQSCWDAMQIALNFADNQVLRRYGFVHRDLLDTSTVLPLPFDYPASDLVEGAS >CDP01878 pep chromosome:AUK_PRJEB4211_v1:7:8058166:8060907:-1 gene:GSCOC_T00037054001 transcript:CDP01878 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLARMYEVKDPNAIFVFKFRTHFGGGKSTGFGLIYDSVENAKKFEPKYRLIRNGLDTKVEKSRKQLKERKNRAKKIRGVKKTKAGDAAKGGKKK >CDP02765 pep chromosome:AUK_PRJEB4211_v1:7:6345331:6346580:1 gene:GSCOC_T00040258001 transcript:CDP02765 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGERREAAIASAASLQPNFTPKKSNITPSQLSKFHELHKRRLKIKAKSKTKTKIKGPEGNGKSHENGSNGKERIGKASGATTTVEGSSVPFPKGSTDENSSVQESVAAHPVSTPKRQKKLHWGLDTKERWEMKANM >CDP11569 pep chromosome:AUK_PRJEB4211_v1:7:20011280:20015257:-1 gene:GSCOC_T00033893001 transcript:CDP11569 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQDTVNREIPSPRAPNLAIFPAWAKEIEECEKYYETNRVSGLSSQDVIKKREIYGYNELEKPEGPSFWKLILDQFNDTLVRILLVAAVISFLLALNNGGGEGSDKSTAFVEPLVIFLILIINAIVGVWQENNAENALEALKEIQSENATVIRDNKKIHNLPAKELVPGDIVELKVGDKVPADMRVVELISSTLRVEQGSLTGESEAVNKTNKVVAVDSDIQGKRCMAFAGTTMVKGTCICLVTQTGTDTELGKVHTQIHVAAQFEEETPLKKKLNEFGEVLTEIIGLICVLVWIINIKYFFTWETVYGWPTNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVVKLVAMGATPDCLRAFKVDGTTYNPSDGGIEDWPLDRMDANLQMMAKIAAVCNDAGVARVENKYFATGMPTEAALKVLVEKMGFSDEVGDLLCMSPGDVLRCCKQWNQNARRIATLEFDRDRKSMGVIVKSEQGKRSLLVKGAVENVLERSTSIQLLDGTVVKLEQNTKALILQALHKMSSNALRCLGFAYKDKLPDFATYDGDEDHPAHQLLLEPSNYSSIEIGLTFVGFVGLRDPPREEVFQAMKDCKAAGIRVMVITGDNKNTAEAICREIGVFAPEEDITPRSLTGKEFMEISDQKSHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSSIVAAIGEGRSIYSNMKAFIRYMISSNIGEVASIFLTTALGVPEGLIPVQLLWVNLVTDGPPATALGFNPPDKKIMKKPPRHREDPLISTWTLVRYLVIGTYVGVATVGVMIIWYTHDSFLGIDLSGDGHKLVTYSQLAHWSQCSSWQNFTVSPFTSGSKVFRFDDNPCDYFKRGKVKASTLSLSVLVAIEMFNSLNALSEDTSLLVMPPWVNPWLILAMSISFSLHFIILYVPFLAHVFGIVPLSLNEWMLVLAVASPVILVDEALKFIGNCTRGVLARWPMKSFKLKLE >CDP02785 pep chromosome:AUK_PRJEB4211_v1:7:6520235:6548682:-1 gene:GSCOC_T00040282001 transcript:CDP02785 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MARNYVRENVPLSRFGVLVAQLESIVASAAHKPPDPLLCFDLLSDLIAAIDEEPKESILLWQRKCEDALYSLLVLGARRPVRHLASVAMANIILKGDGISIYSRVSSLQGFLSDGKKSEPQRLAGAAQCLGELYRYFGRRITSGLYETTIIVTKLLKFNEDFVRQEALHMLQNALEGSGGNAAASAYTEAFRVITRIALGDKSFIVRKAAARCLKAFANIGGPGLGFAELENSSSHCVKALEDSVSSVRDSFAEALGSLLALGMNPNAQLQPRGKGHCSPTKLEGGLQRHLSLPFIKASGPRMKELRVGIALSWVSFLQAIRLRYLQPDSELQSFTVQVIDMLQTDDNTVDAQALACVLYILRVGVTDQMSEPTQRSFLVFLGKQLQSSDATPSMRIAALRTLSYTLKTLGEVPQEFKEVLDDTVVAALSHYSPLVRVEAALALRSLVEVDPSCVGGLISYAVTMLSAARENVSFEKGMNLKFELESLHGEAAVLAALVSISPKLPLGYPARLPRSILEVSKKMLMESSRNHVAAAVEKEAGWTLVASLLASIPREELEDQIFDVLSFWASLFNKNLDISQREDLSSSICVWSAAIEALTAFIKCFVSSDGVNNGILLEPVLLYLSRALSYVSLLAAKELANVKAETDILIIRILIAYQSLADPTAYKNDHPHIIQICTTPFRDASRCEESSCLRMLLDKRDAWLGPWTPGRDSFEDELRSFQGGKDGVLPCLWENDPPSFPQPETVSKMLVNQMLLCFGTMFASQDSSGMLSLLGMMEQCLKAGKKQSWRTASITNICVGLLSGLKALLALRPEPLGLEILTAAQSIFQSILAEGDILATQRRASSEGLGLLARLGNDIFAARLTKLLLSEVNGAADVHYSGSIALALGSIHRSAGGMALSSLVPATVNCISSLSKSAVTSLRMWALHGLLLTIEAAGLSYVSHVQATLTLSLDILLSEESGLVDLQQGVGRLINAIVAVLGPELSPGSIFFSRCKSVVAEISSCEETATLLESVRFTQQLVLFAPQAVTVHSHVQALLPTLSSRQPTLRHLALSTLRHLVEKDPASIIGEAIEDALFLMLDEETDTEIANLARTTIMRLLLASCPSFPSHWLSICRHMILTSSRRDAGSNNMDSDSITGPSGEEGSNFGEDDENMVSSPRSMPSQGHALDYSGANSARDKHLRYRTRIFAAECLSHLPGAVGNNPAHFDLSLARTITANSIASGDWLVLQLQELISLAYQISTIHFENMRPIGVALLSTIVDKFEKIADPELSGHLLLEQYQAQLLSAVRTALDTLSGPILLEAGLRLATKILTSGVISQDQAAVKRIFSLISRPLNEFNDLYYPSFAEWVSCKIKIRLLTAHASLKCYIYGFLRREKDRMPGEYQALLPLFSKSSDTLGLYWLSVLKDYSYIRFRLPPRKNWKAFLEGVQSSLVSSKLQPCLEEAWPVILQAVVLDAAPVKPFANGSSAAEDKSESDFISEYRMVELRAEEFHFLWGFSLLVLFQGQDTSSDQVVIPVGSVKSKFSADLTVEDGSSVTSRIYETILPVLQFLSIERFFSAGYLTMDVCRELLQVFLYSIVAGNSWDSLAVSVMLKILQNCPKDFLEKENFAYLSSELCLAFLFKFFASCDAASPYQLNWENTVLVSLSAAATLLRRVDRKMRLKLLFGFLLSGCKSIGAASTELSLSRVNDFVLSIISIVKGHVDKSELDSDGVCQLRTINHACLNASVSLINECVDSIHQMEDKRSNQRKLLQMRLAFSLEHVVSFAKVAFELESFGEGKENDPSLSTDLCHCNQCIQAVLSDYNIQVQVISLQVLKSMLQKSSDTCNSFEIFFVGELAGDLLIVIQKFLEKPIRESVTIVGECLKILMLFQALSRDSECQKGILSLLLEAIFMVFSASEENLSRDLNELRSTAIKLVSQLAQSPKSGVYFKDVLLTMPITRRQQLQDIIRASMKQEQNIIEKKPMVPPLAIKIPAQTEETKQQSSPSSLARESDDKSEEEDDDDDWDTFQSFPASTKEAASTSSITSEEPDSTKNPSIPIHDFEGHLRPRDSDEAKEVIVANDADAEAEAGGILDSAMVRNQVEEIHDPECDRRSTEQLDGLQPDSSKVISSQTRSEAVTSPVEVEEYKKACEMEKITIAPSTEESLSSPDFQNVEEHSHPDNEHHVSTSAGSCDKNELDLE >CDP02661 pep chromosome:AUK_PRJEB4211_v1:7:5644946:5646243:1 gene:GSCOC_T00040120001 transcript:CDP02661 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKIANNRIKIKIKIKKGIAIDINNSTKPFSASNAASYTETIEVLLRCKFFVLSNFSLITICFFLLPLSHRRMCSLLLPKKKKKVGECANCC >CDP02218 pep chromosome:AUK_PRJEB4211_v1:7:2211283:2215354:-1 gene:GSCOC_T00039544001 transcript:CDP02218 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVGHTVEVTGLSPKVTEKEVHEFFSCCGEILHIEFVRHGEYTCTAYVTFKDAYAVETAILLSGASIGNQCVSISRLETYVDESFPQNNHSWKVDDGSSAREDNHADQFVYTPGEAVTAAQEVVTSMIAKGYILSKDAFAKAKAFDESYQVSSTAAAKVVELSNRIGLTDKINSGVEAVKSVDKKYHVSETTMTAASYTGRTAVAAANAVVSSSYFATGALWVSSVLDRASKAAADLANRGVRK >CDP01926 pep chromosome:AUK_PRJEB4211_v1:7:7646738:7648248:-1 gene:GSCOC_T00037111001 transcript:CDP01926 gene_biotype:protein_coding transcript_biotype:protein_coding MAILEIEEEVCSSQALPLLSLNHVSLVVKSVWASVRFYVNVLGFVLVKRPSSFDFHGAWLYNYGIGIHLIERDAMDCEYQTSIEPRRIDPKDNHISFQCTDVELVRRRLEDKGMKYVSAVVEDEGIKVDQVFFHDPDGYMIEVCNCENLPVLPISSPCPFKPNFSSVKYDKMGGITNSCALVLETIMMESLTTEMMNFSF >CDP12841 pep chromosome:AUK_PRJEB4211_v1:7:13887085:13887228:1 gene:GSCOC_T00037510001 transcript:CDP12841 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQNRVDILWEALPYIQKFHGKTIVVKYGGAAMTSEALYIYIYILA >CDP08421 pep chromosome:AUK_PRJEB4211_v1:7:25058854:25059456:1 gene:GSCOC_T00027275001 transcript:CDP08421 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDKDHDQSRSNDKKQKQKKKKKNRDREVDGEKRVVHFRGVRQRSEGKWVAEIRNPHLGRTDWLGTFTSAEEAARAFDRKCIQYKGDRAKTNFPSSDYANANDDQSSSLQQQQQQQHALPPPAAAPPLSVNAPVGHASPLLTNTAVAGATATNSTATAADPGGTSWEEDEDLWDVFFRGDQIFSDIDWMMFDSSPPLGP >CDP12806 pep chromosome:AUK_PRJEB4211_v1:7:14271562:14273397:-1 gene:GSCOC_T00037466001 transcript:CDP12806 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP11553 pep chromosome:AUK_PRJEB4211_v1:7:19243424:19248493:-1 gene:GSCOC_T00033860001 transcript:CDP11553 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPDLSTSVYKVGECVQELTKGWKEYEASQADKMSQSSSNGPTLDIWIPSEHVSAANRQVRGNQLWGTDIYTDDSDLVAVLMHTGYCHATASQPEATIQELRVAIRVLPPQECYVSTLRNNVRSRAWELPLTAVTVLIVVKGGSISDIQRCPTHSSFMEPTLAPVAVEHMTTRATASETLDVLKEHCWLAELYLTDCNCQTCISCLVY >CDP02285 pep chromosome:AUK_PRJEB4211_v1:7:2703333:2704177:1 gene:GSCOC_T00039638001 transcript:CDP02285 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRVLATNGSYPDRVRDWIDSIVRDWRFKRIIPAHFAAPINASRSDFLAAFAFLDDLLGEQYVTRPSLSLLFTSLLGKAASYFPPDDMRTLSSLDEFLVSVGVVKKTVSGRKRR >CDP02651 pep chromosome:AUK_PRJEB4211_v1:7:5555506:5557397:-1 gene:GSCOC_T00040106001 transcript:CDP02651 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLHLSSKRAAFFVGTIMYALAFSFVTVSGRPATFVQDFRVTWSDSHIRQIDGGTAIQLTLDRNSGCGFASKNQYLFGSFSVKIKLIPGDSAGTVTAFYMNSDTNYVRDELDFEFLGNRSGQPTTVQTNVYVNGKGSREQRINLWFDPSIGFHTYTIRWTRENIIYYVDDVPIRVFKNNEAIGIPYPKRQPMGIFSTLWDADDWATRGGLEKIDWSKAPFYTYYKDFDVDGCVVPGPAACAFNPNNWWEGPNYRGLTPLEARKYHWVRANHMIYDYCTDRSRFPVAPPECLAGI >CDP01787 pep chromosome:AUK_PRJEB4211_v1:7:8759907:8760041:-1 gene:GSCOC_T00036946001 transcript:CDP01787 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKKLVKKQRQNRPIPYWIRMRTDNTIRYSAKRRHWRRTKLGF >CDP02637 pep chromosome:AUK_PRJEB4211_v1:7:5458797:5463472:1 gene:GSCOC_T00040091001 transcript:CDP02637 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDECRTGWDSDTDVIIVGAGVVGAALSYTLGKEGRRVRVIERDLSEPDRIVGELLQPGGYLKLIELGLEDCVVNIDAQRVLGYALFKDGRSTRVSYPLENFHSDVAGRSFHHGRFIQRMREKAACLANVRLEQGTVTSLLEDDGTVKGVEYKTKSGKQLKAYAPLTIVCDGCFSNLRRSLCSSKVEIPSCFVGLILENCQLPFPNHGHVILADPSAILFYPITSTEIRCLVDIPGQKLPSIADGEMANYLKTRVAPQIPAELHDAFVSAIDKGDIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRDLLKPLQNMNDAESLCKYLQSFYTLRKPVASTINTLAAALYKVFCASSDQARKEMREACFDYLSLGGVCSDGPIALLSGLNPRPLSLVLHFFAVAAYAVGRLLTPFPSPKKLWIGARLISGASSIIFPIIKAEGARQMFFPASDPAYYRVYQA >CDP11579 pep chromosome:AUK_PRJEB4211_v1:7:20477757:20479132:-1 gene:GSCOC_T00033915001 transcript:CDP11579 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKVVVLGTYVSMFSMRVQVALAEKGIEYENKEEDLANKSPLLLEMNPVHKKIPVLIHNGKPVCESLIIVQYIDEVWHDKNPLLPSDPYQRAQARFWADFIDKKVYDCGRRIWATKGEEKEAAKKEFIGIMKTLEGELGNKPYFGGEDFGYVDVALIPFYCWFHAYENFGNFKTETECPKLVEWAKRCMQRESVSKSLADPHKIYEFVVSLKKKLGIE >CDP02102 pep chromosome:AUK_PRJEB4211_v1:7:1417417:1420937:-1 gene:GSCOC_T00039387001 transcript:CDP02102 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPTRIMMGVNESTIKGYPHASISSRGAFDWALKKIIRSNTSGFKILFLHVQVPDEDGFDDMDSIFASPEDFRRMNHRNKIRGLHLLEYFVNRCHEVGVACEAWIKKGDPKEVICHEVRRVQPDFLVVGSRGLGPFQRHVCFLIIVFISQNPYPVMHIMDLMELTYESCLSSMSPSLGILVADQKPFSFCRVFVGTVSEFCVKHAECPVITIKRSAAESPQDPVDD >CDP01651 pep chromosome:AUK_PRJEB4211_v1:7:9888422:9893102:-1 gene:GSCOC_T00036769001 transcript:CDP01651 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPARNLLYLYSSRDNFTKVRAFLFPNRFHRADSPNPSNSHPFLTPDSKFCIPPQFSPSHFTQSLNYRCLNFQRHPFSTLVENGTPNLNSAPALESEVTEVDSISDIGLDENGPGNDNLEPEKRLNFAQIDSRDPVEIYKELRDASKSDKQSRSDWDLLIEVFRGFAKSAAHKFRNFFFKKCKIDIVKYLVFLGPGIEAEKFLFPIFVEFCLEEFPDEIKRFRSMVESADLTKPHTWFPFARAMKRKIVYHCGPTNSGKTYNALQRFMEAKKGIYCSPLRLLAMEVFDKVNALGVYCSLLTGQEKKFVPFSNHVACTVEMVSVDELYEVAVIDEIQMMADPSRGYAWTRALLGLKADEIHLCGDPSVLNIVRKICSETGDELVQQRYDRFKPLVVEAKTLLGDLKNVKSGDCIVAFSRREIFEVKLAIEKYTKHRCCVIYGALPPETRRQQANLFNDDNNEYDILVASDAVGMGLNLHIRRVVFYSLSKYNGDKTVPVPASQVKQIAGRAGRRGSRYPEGLTTTLHLEDLDYLIECLKKPFDEVKKVGLFPFFEQVELFAGQFPDVTFAQLLEKFAENCRLDGSYFLCHHHHIKKIANMLEEVQGLSLEDRFNFCFAPVNIRDPKAMYHLLRFASSYAHKLPVNIAMGMPKCTARNDSELLDLETKHQVLSMYLWLSNHFEGEKFPYVKKVEAMAVDIAELLGESLTKANWKPESRNPGKPRQQENEGGYERPRSLIKLYEQKRQEGFSAGQKLEKVTA >CDP15698 pep chromosome:AUK_PRJEB4211_v1:7:22853096:22855220:1 gene:GSCOC_T00015690001 transcript:CDP15698 gene_biotype:protein_coding transcript_biotype:protein_coding MMENKMAMEVAMMAMAMSNAQGESGRSWDSWEGLYLESMSLVEDGGSPLYKAKRKIGKGSLEKVCKGRLFSCEKGSEPVEYFLLLVALKFEHKNKLVGHIKDDYPTDSLGGGGGTYGVPPVHYKGIQGNYFIMVRLEVLNGFIYFTSTPQYGTYQMLVFHCLFLFPFSLFLRVESCRCVNEKKLFLVDFGIATEWRKSCEGKHIEYTQHPWHTRGTLDFASVHA >CDP02472 pep chromosome:AUK_PRJEB4211_v1:7:4057847:4062112:1 gene:GSCOC_T00039857001 transcript:CDP02472 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLANTTFQFGNFENICVLSDKTLRKSVKTVSHPFMSTVILDIPKFWLQVPFSTFQATKLTVSLNVISLSQEIKVLSHLKHPNIVQYYGSEVVGDRFYIYLEYVHPGSINKFIHDHCGAITESVVRNFTRHILCGLAYLHSTKTIHRDIKGANLLVDAYGVVKLADFGMAKHLSGHAANLSLKGSPYWMAPELLQSVMQQDSNSDLALAIDIWSLGCTIIEMLNGKPPWSEYEGAAAMFRVLKETPPIPENLSAEGKDFLRCCFRRNPAERASASTLLEHRFVTTSHPPDILSCSLSLSGMKLRDDANSQRERSNSKLGQVPAYSDMHIAKGKLTDNYIHGSLAPVRLANDVATKL >CDP01912 pep chromosome:AUK_PRJEB4211_v1:7:7764447:7766094:-1 gene:GSCOC_T00037092001 transcript:CDP01912 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNRHSIISTLVLYIEIDSVQIEYDPKVITFKQLLEVFWTSHDSRQVFGQGPDVGNQYRSIVFTNGTEESRLASVSKEREQTRSKSGIVTTQIQQLGTFYPAEPDHQKFELKRNPFLLQLMGNLPEEELEKSSLAAKLNGYAAELCPPRLQKRIHAKINDILRKGWPILREV >CDP01722 pep chromosome:AUK_PRJEB4211_v1:7:9273804:9286608:-1 gene:GSCOC_T00036862001 transcript:CDP01722 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPSEEEAADFREAPDELRCRRSDGKTWRCHSWRIHGKPYCEKHYLQISSSTEEVLPKKKPRSKRMRVEAEEEEDSEETEEDERMNVVKITGRRSDEKGAKFESHRGNAVKRSKVGDKIDSLMKKKQVGWLEESDEERGDKVGDKIDSLMKKKQVGWLEESDEERGDVAKGAKGSARDNVVQSSKSGGKSELRRKHAKEIERNEESSDDEEYWFKEISSATESGGVKLENEKKAVRSYRSDDEEVGRRNMIKIGRRSGEFQDGVKDSDSSDMSDEEEGQKYKVEKIDKRHRELELDKKKEVESSEEDTEEEEEERSRELITAESSGGDKWGKKKRKVERNDQIVDEEGKGSRRKGGQRCGQLELRTKMKNDRRAMSNEDKEEDGENSKKKNGDSVVKMLKKGSRTKTLKVAMKGMKSEEDNFDDGGFEDIMKDGKDERGKKKSQLRVNAKEVGRVKAEGDGDDGIDVDGSKENWLQGKSNKVEKGEVAGCDNDGDNVDGSEEMDDACLIKFAKKDFMPATLLKRGQKKSREKTGGLSNMFKDEKEERSSEKDKIGDENGKSVFKAIKKVKKTLKTDSTEERRRRKGPKMEKVGGIEEEDDDRTESDDGAHLIRERSSREKKNCHKPKVDLRRKHFSTDDPEDDCQMCHQCMYSNKRVVRCRKERQLNGYNRRYCYLCIKRWQVYPQLSEEAIAEACPYCRGNCNCKACLRRDEIQNQTVYSGTPDNEAEVIHHFKYLVRMVAPILKQFDHDQMVEKETEAKIRGILSSEIGVERIRCFADERLYCDCCGTSIVDFHRSCPKCSYDLCLTCCREIREGCLQGGSKEVVIEYADPGKGYLHGELQVPPKQESFSGSCSESVPGMESTLPDWKAKETGEIPCPPKERGGCGYEQLQLRCIYAEQDVLDFRKKVENLIESHRLGNCSETSKQCTCFEYSDDSDIGDKQLKKAASRKNSGDNYLYCPSASDLQQGDLEHFQKHWIRGEPVIVGNVLELTSGLSWEPMVMWRAVREILVKKGSSDLLVTAIDCLDCCPLCVQVDINIHQFFKGYTDGRADGKHWPEMLKLKDWPSSSYFGERLPRHCVEFVSALPFKEYTHPNSGILNLAAKVPTTVLKPDMGPKTYIAYGFAEDLGRGDSVTKLHCDMSDAVNILMHTAEVAVEPEQLAKIKELKQKHDAQDQKELFGTSDPSDKEAVEKVPVTLEPSGSALTTEVSHASPKISDSLNLQSGSIDHLPTNAGKGSGGGASIAAETLQDGILTKPVTEFGLPSESSHIGGLLGTQKSVPGRRNDEASQSSDEQNSKLRSSLTENASELHIRSTDLNKENSSVGTKNKLNGFFEVEGGAIWDIFRRQDVPKLEEYLRKHHREFRHIYCRPVEKIVHPIHDQAFYLTEYHKKKLKEEFGVEPWTFVQKLGDAVFVPAGCPHQVRNLKSCIKVALDFVSPENISECIRLSEEFRTLPQKHQAKEDKLEVKKMALHALDNAISFFEQTKSRYLKVIKPEVT >CDP04598 pep chromosome:AUK_PRJEB4211_v1:7:17842159:17843282:-1 gene:GSCOC_T00018592001 transcript:CDP04598 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKSHRQQKIKKKNQTSTHHTKKKELLLNLIQENSSKVRDGGRWSLNLTAKLAVDKINAYEGEISIATILAHDIWRLETEFDKWCFSFTRGSNKLFY >CDP08380 pep chromosome:AUK_PRJEB4211_v1:7:26666073:26666403:1 gene:GSCOC_T00027202001 transcript:CDP08380 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLGFCWWPSNRKSNIAYSSDFENESMKLPSFKECSLDELKVATTGFSVEYIVSEHGDKAPNVVYKGQLEDDDS >CDP02819 pep chromosome:AUK_PRJEB4211_v1:7:6773058:6782471:1 gene:GSCOC_T00040321001 transcript:CDP02819 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDLDEPSQAPTRPSRFAPKGSKFKPRTEPVAATSNSIAKKEELDSKPVSPINSSTTAAAGKAEDAASASVSQSVAMDVDAKVEEEAEQPKDEPMESREEGEDEIVREIDVYLTPSIDPNTKLYVLQYPLRPLWRPYELDDRCEEVRVKSKSAEVEVDLAVDVDSKNYDSDADPRVSMTKQTLTSSWKPPVTAGYAVGVLVGNKLHLNPIHAVVQLRPSMQHYKPESSKRRTTASSNLEDNTKGEEVTTGSLKKQGKSSGPVIEQNKDTREDWIPLNYHGARSDISMSYVRKMVEHDSSHIQFSMNRHDYVNTLCPGTSNENNGSNGPSRRVLLSLPLEERFRTWLLQGPPIHRFDALKHLAPDASVEDILAVLSQHAQLVQGLWIPKSSLLYGKDQGIDALARDYVLLLFSRTPIINNSQLPQQQKLNRAMRDVLNTVAFERASLNDWKFKEPPDMTFIKLNSKIVREQEQTWERLEKLITEKIFGDKNRPATKNSSRPLTTNNPATSKSLSKHASNSLNAGVPRRPMSEETREALPKALQKLFQSHKVCSFQQICQRLREMAVSESSRPKGFAREAIAAAHGVEAPPEELQAIISQVAINIHGVYVLKSSPVHPEHDEFRKVVIDLFIAEGPNAKLKKASMIEAAKLQLKRDINSLEYQKVVQELCVSQGSAWVLKSGDGNPK >CDP01708 pep chromosome:AUK_PRJEB4211_v1:7:9374978:9378365:1 gene:GSCOC_T00036846001 transcript:CDP01708 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNAQKRVLLLCGDYMEDYEVMVPFQALLAYGVAVDAVCPGKKAGDFCRTSIHQLSGHQTYTESRGHNFTLNATFDEIETGTYDGLVIPGGRAPEYLAMDKSVLSLAKNFADSQKPIVSICHAQLILAAADSVKGRRCTAYPALKPVLIAAGAHWEEPETLASCIADGNLITGATYEGNPEFINLFIKGLGGSITGSAKRILFICGDFMEDYEVMVPFQSFQALECHVDAVCPNKGAGDKCATAVHDFEGDQTYSEKPGHDFTLTADFGAVDASSYDALVIPGGRAPEYLALNESVIKLVKHFMESGKPVASICHGQQILAAAGVLKGKKCTAYPAVKLNVVLSGASWLEPEPIDRCFTDGNLVTGAAWPGHPQFISQLMALLGIGVSF >CDP12810 pep chromosome:AUK_PRJEB4211_v1:7:14262486:14264321:-1 gene:GSCOC_T00037470001 transcript:CDP12810 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP12880 pep chromosome:AUK_PRJEB4211_v1:7:13372306:13385420:-1 gene:GSCOC_T00037560001 transcript:CDP12880 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVKTRHRSMFVIVGDKSRDQIVNLHYMLSKAVVKTRPTVLWCYKDKLELSSHKKKRAKQIKKLMQRGLLDPEKVDPFSLFVETGGITYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVEGGGLIVLLLRSLSSLTSLCTMVMDVHERFRTESHSQATGRFNERFLLSLASCKACVVMDDELNILPISSHMKSVTPVPVREDSEGLSEAERDLKNLKEQLTDDFPVGPLIKKCCTLDQGKAVITFLDSVLDKTLRSTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFEFVCKGFDILEYKEHLDYDVVRSTNPEFKKATVRINIYKQHRQTIQYVQPHEHEKLSQVELLVIDEAAAIPLPVVKSLLGPYLIFLSSTVNGYEGTGRSLSLKLLQQLEEQSQMSNKNVESTLSGRLFKKIELGESIRYTSGDPIESWLHALLCLDATNAVPNIIRLPSPSECDLYYVNRDTLFSYHRDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNHLPDILCVVQVSLEGQISRKSAIRSLSDGHQPFGDQIPWKFCQQFRDSVFPSLSGARIVRIATHPSAMKLGYGSTAVELLTRYFEGQLTSIAELDVEDTQESPQVRVTEAAEKVSLLEENIRPRTDLPPLLVHLRERKPEKLHYIGVSFGLTQDLFRFWRKHKFGPFYIGHIPSNVTGEHTCMVLKPLNNDDIEASESDEWGFFGPFYQDYRRRFTELLGFGFHSMEYKLAMSILDPKINFTEVDPVLHSSNEFLKSTGEIVTPDDMKRLEAYTNNLADFRMILDIVPKLARLYFLEKLPVTLSYTQASVLLCMGLQRKDISVIEGEMKLEGQQILSLFIKVMKKFYKYLYSVASNEIDSTMPRLKEISLNPHSISIDEDLDEAAKKVQDDMNAKMDGFLKPELLQQYAIVDREADFEHALQNGSGKVLPGGLISVKSHRDKAAKHGKKNDSQKGGKKRNKDDHGSKSNKKRKA >CDP15693 pep chromosome:AUK_PRJEB4211_v1:7:22550085:22551755:-1 gene:GSCOC_T00015677001 transcript:CDP15693 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRDTTMLNKILKTLLLIYIPFHLILTSSSSLVPQSFIQCLAQKVSSSNVSALSVMYVPKNSSYMSILDYTIHNLRFLTPNTPKPLAIITPLDYSHVQATVKCCKKSGLQIRIRSGGHDYEGMSYISEVPFVILDLNKLRSISIDTEDNSAWVESGATIGELYYWIAQKSPIHGFPAGLCPTIGIGGHVSGGGVGNLIRKYGLAADNVIDAHIVDVHGQILDRKSMGTDLFWAIRGGGGASFGVIVAWKIKLVRVPPIVTVFKLTKTMEEGAVDLIYKWQYVAHKLSEDLLFRITISSRIGMPGIEATFGSLFLGRTNQLQKIMQESFPEIGLRKEDCIEMSWIESVLQFAGYQRGESIDSIKNRINPLPDGYFKGKSDLVHKAVPFEALKEFWKRCSDANAPIIHIELHPYGGRMYEISESETPYPHRKDVLYEILYLVLWMKDKNGESTQKNINWIKELYEFMTPYVSKGPRGAIWNIRDLDLGENGASDTSYSKAKAWGSRYFKNNFKRLAVIKGEVDPKNFFYYEQSIPPLVLHAKRKCRRANFNFLDKCP >CDP02788 pep chromosome:AUK_PRJEB4211_v1:7:6564431:6567479:1 gene:GSCOC_T00040285001 transcript:CDP02788 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDYHRYLAEFKTGADRKEAAENTLVAYKSAQDIALAELPPTHPIRLGLALNFSVFYYEILSAPDRACNLAKQAFDEAISELDTLGEETYKDSTLIMQLLRDNLTLWTSDIQEDAGDEIKEPSRLDTGEGQQ >CDP02363 pep chromosome:AUK_PRJEB4211_v1:7:3317368:3320013:-1 gene:GSCOC_T00039725001 transcript:CDP02363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g02330, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02330) UniProtKB/Swiss-Prot;Acc:Q9FWA6] MASLICRTRRFLVPTKPSFSSYLALYTFPISTVSAVAPANYWKTFSHIFQECSKERALDPGMQSHARMLTTGFKPSIFVSNCLIQMYVRCSYLHYANKVFDNMSQRDTVSWNTMIFAYCSTDIAMAQSFFGLMPERDVISWNTLISGYLQNGDYRKAAEIFVGMQRESVASDGTTFAVVLKACSGLEDYELGIQVHSVVVKVGFDHDVVTGSALVDMYGKCKTLDECFQFFGELPNKNWVSWSAAIAGCVQNDELVGGLKLFRRMQREGVGVSQSTYASVFRSCAALSEVQLGSQLHGHAIKNNFGYDVIVGTATLDMYAKCGNLYYAKQIFDLLPNRSLQSHNAIIVGYARGDCGYEALNVLKLLLKSNLGFNEISLSGAFSACAVIKGLKEGTQIHALATKSPFSSDVCVANAILDMYGKCGALVEARCTFDEMEVRDAVSWNAVIAAYEQNRNEEETVLLFVSMLKHGMEPDEFTFGSVLKACAGQQALNHGMEIHSLIIKSGMGLESFIGSALVDMYCKCGKVGEAEKLHGTMDEQTIVSWNAIISGFSSHEQSEEAQKFFSMMLEMGAKPDNFTYATVLDACANLATVELGKQIHAQIIKQELQTDVFITSTLVDMYSKCGNLQDCRLVFEKATNCDFVTWNAMVCGYAQHGLGEEALEIFKKMQLKDVKPNHATFVAVLRACAHIGLVEEGLHYFKSMQVDYGLDPQLDHYSCMVDILGRSNRVTEALKLIQEMPFEADDVIWRTLLSICKMKGNVEVAEEAAASLLQLDPQDSSTCVLLSNIYADAGMWEGVSRLRKVMRHGHFKKEPGCSWIEVKSEVHMFLVGDKAHPRCAEIYNSLTALIDEMKWAGCVSDGDGMEDDYVACCHASTFSSL >CDP02504 pep chromosome:AUK_PRJEB4211_v1:7:4400096:4401777:-1 gene:GSCOC_T00039904001 transcript:CDP02504 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLATSSPNRPVYYVQSPSRDSHDGEKTTNSFHSTPAALSPVGSPGRHSRDSSSTRFSGSLKPGSQKSSNGPRRHHHRLKGEEKQWKEFDAIEEEGLLDEEGGRRGIPRRCYVLAFVVGFFVLFTFFSLILWGASRNQKPIVTMKSISFDQFIVQAGSDASGVATEMVTTNSTLKLIFRNKGTFFGVHVTSTPLDLSFTQLAVGTGTIKKFYQSRRSQRTVTAVLQGSNIPLYGGGADLSSKDGKPTSPVPLNLNFTVRARAYVLGKLVKPKFSRRIQCSMVMDPKKMGVAISLKTSCTYQ >CDP16671 pep chromosome:AUK_PRJEB4211_v1:7:354115:361636:-1 gene:GSCOC_T00019137001 transcript:CDP16671 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSQCLLSKKGPLGTIWIAAHCLKRLKKDQVKQTDISSSVDRILHNEVEVVAYRILAYLLLGVVRIFSKKVDFLFHDCHDVMRNLKDFAGGRSADESIEVMRTPCLSITLPERFELDAFDLGVLIDQEVSSANVRASEELMLPDTVKVGEHSNDKFCWSVDNSFEAYSSIYTPVKDVFSDHLMDIDFNITPLHDVHGLKSSLENLHGVRFSLEERLEPMIFHEAEKESGVEMPSNAGCQTDLEQMGNHDTGAMDDIVKSFGEEESRDKLCGTKKIISNSDDGAKGCQIDEGQKKNLDSGINVVGPEKFNDKQNVEPEKMKFPEMAPLTDQITTKHPVSITIESKFTGGSGDVAPEFIAVRTPAAKECARVVKKRKCLFDDTVVLSNKVVKHSIDDSSDVVCKRRKAPHTSYHAWRAHKISNLPQSFLEPLIPWNLAVFRSLVQHKRFATRELAENAEIHVNEVIDEVPINQVLAESPVKDRSAEQTPIAPATPVTSLRLQEVRGANGRDILEPASSFESMEKYMTRKEDQDLDASLMDEEINSSAGDTPGKNECSARTRKVGRYLYEKFQDQRRQKGEMRINLTQVLKGKSRKESARLFYEILVLKTGDYIDVRQEIPFGDILVLEAPELKNTVDTDAVS >CDP01960 pep chromosome:AUK_PRJEB4211_v1:7:7378922:7381778:-1 gene:GSCOC_T00037155001 transcript:CDP01960 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVLKHLDGVRNPLPPSIHNFYILIETTGSNETFDKENLEAFLLHSVESGLVANGVLAQDINQASSFWHIREGLPEALMKAGAVYKYDLSLPLEKMYDLVEEMRVQIGPAAKVVAYGHLGDGNLHLNISAPAYDDNILAKIEPFVYEWTSKNRGSISAEHGLGLMKAAKIHYSKSPETVQVMASIKKLLDPNGILNPYKVLPSSLLSQN >CDP01605 pep chromosome:AUK_PRJEB4211_v1:7:10295299:10295803:1 gene:GSCOC_T00036706001 transcript:CDP01605 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYKSDLDINLRPVWYANSIEFVFTPPRNGPKFWEIGIPDRTTAAGFYVPDGNPRLENPLFINHPEKSPLNPN >CDP02083 pep chromosome:AUK_PRJEB4211_v1:7:1273009:1274468:-1 gene:GSCOC_T00039365001 transcript:CDP02083 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVISFYQPKFGQIHCPFFSNMSIPSHRTPHKGKAAAARCCQRRMDATLGEHDRNYYTLLGVSIDSTSQQIKEAYRKLQKKYHPDIAGQKGHDRALLMNEAYKVLMSRDMRRQYNASIGWFQNSFGKDASGVDYSVWNGPLRPQALFVDQNACIGCRECVYHARNTFTMDESLGCARVKVQYGDDETEIQVSVDLCPVNCIYWVDAEELQVLEYLIQPHPKKGYGIYGQGWERPTNVFMAAEAFKKQLKQQAANRQI >CDP16682 pep chromosome:AUK_PRJEB4211_v1:7:432584:436139:1 gene:GSCOC_T00019148001 transcript:CDP16682 gene_biotype:protein_coding transcript_biotype:protein_coding MPEENKEIAIDYVMEKASGPHFSGLRLDGLLSPSSSPRAPTLSPSSSFALPADPTKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTPEELKRVHEYNFDHPDAFDTEQLLECIEKLKSGQSVQVPIYDFKTHQRCSDSFRQVNASDVIILEGILVFHDQRVRNLMNMKIFVDTDADVRLARRIRRDTVERGRDINSVLEQASFAFPS >CDP04613 pep chromosome:AUK_PRJEB4211_v1:7:17545251:17568737:1 gene:GSCOC_T00018617001 transcript:CDP04613 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGGGCGGGSGGRAIRVLNVAEKPSVAKAVSGFLSKNQQGGLRVRDGRSRYNKIFEFNHTVNGQPCHMSFTSVTGHLMELDFDDRFRRWHSCDPVDLYHASVRKFVPEDKSDIRRTLEEEARKCQWLILWLDCDREGENIAFEVIEVCTQANRNLNVWRARFSALIEREIQNSVQNLVRPNQLFADAVDLRQEIDLRIGASFTRFQTMFLRDAFMINLATDDRNLVISYGPCQFPTLGFVVERYWENQSHEAEEFWTINCTHNTDEGTANFKWMRGHFFDYTCAAIVYEMCIQEPTVTVTEIKEQEKLKYPPLPLSTLELEKRASRFFHMSPAHTMKVAEDLYQAGFISYPRTETDGFSEKTDLRAIVFEQQGHPLWGSYAQLLLNPEAGLWRNPSSGRHDDKAHPPIHPTRFSPGESGWSRDHHRLYELVVRHFLACVSQPAKAAETSVEIDIAGEAFSSSGRVIHAKNYLDVYTFESWGGSMIPTYTLGQQFMPTTLTLDSGVTRPPPLLSEADLLTCMDKAGIGTDATMHEHIQKLLDRHYAIKTPDIRFSPTNLGEALVMGYDDMGYELWKPYLRAMMESDMKAVSIGTKRKTEVLETCLQQMKACFIDARANKGKLFEAMAIFFERSNRSNDNQHNTGGDVVRKCSICHESDLVLKQKPDGHFMVGCLGFPQCRNVVWLPGSISQAAVTHDICGICTPGPVFKIQFKFRRLEIPPNYNVDHLGCIGGCDDTLRQLVEICGTGARTASSVSGRGQGATSSNSAQRSSSRGRMACVYCRQTGHSSDFCPSQPSRSHTARNQETNPPNGESSIHCNSCGEPCVLRTANTATNRGRKFFSCQSQGCNFFIWEDSMNGASSVSRANARSFASNPSRRGGRGSRTGGRASGAAFVSATGEPISGRCFNCGDPSHFANVCPNRGR >CDP01586 pep chromosome:AUK_PRJEB4211_v1:7:10542464:10546292:1 gene:GSCOC_T00036684001 transcript:CDP01586 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGAAIGLNKHMSPYLAAPRLHPCGRKQFFLKASASSGTSDGTEDGKMTIKKGKDGWKIDFSGEKPATPVLDTVNYPAHMKNLSIHDLEQLAAELRAEIVHTVAKIGGHLSASLGVVELTVALHHVFNTPEDRIIWDVGHQAYPHKILTGRRSKMHTIRQTSGLAGFPKRDESVYDAFGAGHSSTSISAGLGMAVGRDLLGKNNNVISVIGDGAMTAGQAYEALNNAGFLDSNLIVILNDNKQVSLPTATLDGPATPVGALSSCLSKLQASPKFRQLREAAKSITKQIGPQAHEVAAKMDEYARGMVNAPGSTLFEELGLYYIGPVDGHNVEDLVTIFQKVKRMPAPGPVLIHLVTEKGKGYPPAEAAADKMHGVVKFDPETGKQFKSKSPTLTYTQYFAESLIKEAELDKKIVAIHAAMGGGTGLNYFQKIFPDRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVAYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCLRFPRGNGVGAILPPNNKGTPIELGKGRILKEGDRVAILGYGSIVQECLGAAEILKSHNILPTVADARFCKPLDGDLIKRLAKEHEILVTVEEGSIGGFGSHVAHFLSLTGILDGPIKLRSMVLPDRYIDHGSPKDQLEEAGLSAKHICGTVLSLLGRPQEALQLQ >CDP02265 pep chromosome:AUK_PRJEB4211_v1:7:2587005:2588591:1 gene:GSCOC_T00039614001 transcript:CDP02265 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKYSKFAGEGYRLSRVASSGKLSMAVCLLDLIETTFVLSELPCLRTCGIGIGGGSPQPLLFSFLFILILFLPWRTR >CDP18157 pep chromosome:AUK_PRJEB4211_v1:7:29622982:29623486:-1 gene:GSCOC_T00006224001 transcript:CDP18157 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSHSSVMFLSFFDKYLFVLPLYSGFQYSSLVKLFLVVQLEVRFGDTKLPLLVYLHGGGFLIKSVFSLTYHAHFNVVVAEAGVVAVSINYQLVPEHPLPIAYKDS >CDP02787 pep chromosome:AUK_PRJEB4211_v1:7:6556326:6559220:-1 gene:GSCOC_T00040284001 transcript:CDP02787 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVQEARENHVKKKVEEALRSKMKQKALKECDLYTAKYAECASGRTLSVVWQCRKQAKELNECLHQFTNDLVLEEMKKDYTLREERKDH >CDP01398 pep chromosome:AUK_PRJEB4211_v1:7:12677236:12679958:1 gene:GSCOC_T00036432001 transcript:CDP01398 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTKVKKQKKVKPHQSSHLNPNPPDKMLKKKKKKLINQKSESKSKSKVKSPSSSSSDSESESEKVQKLLEPFSKDQLIDLLTDAALSSSTFFAKICEFADKDVSHRKIFIHGLSWDTTNETLISAFQNFGEIESSNVVLDKATGKAKGYAFVTFKTRKSALKALKQPKMVLNNRLVVWQLASLGPPSTSGQLEGNGNLSGRKIYVSNVQSDVSAERLRAFFAKFGEIESGPMGFDVQTGKFKGYALFVYRNVEGAKNALEEPYKVFEGRELHCQKAAEGKKIHGGAAGITTGYQPIPPMYAAMGPQNMALLGQSPNVNVDLGLLSAYPLYNSLLANPSPATSGLMNASPIAVLGQGGIGMGMGMGVGGYNGVMGNYGFDSLGSGGSTTTNLGAYGGASAGSSGPMIQGMHYAYPNTPIGQKSSAPPASGT >CDP04642 pep chromosome:AUK_PRJEB4211_v1:7:17005763:17008922:1 gene:GSCOC_T00018662001 transcript:CDP04642 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRNLILIRLVGEEQQHSRRLNFALHRGEALAPKMPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDSEKADKLKQSLPPGLSVQDL >CDP01994 pep chromosome:AUK_PRJEB4211_v1:7:7161730:7168662:1 gene:GSCOC_T00037196001 transcript:CDP01994 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSHSFSKKSYGGNGFGMASHGHKSVYDDVFGGPPKFGMPTLAPRLEDYSEIFGGFHSARGSSIPILDLPVVEEAELCCDVQSSRFDYSEVFGGFNGLDVSVSFEDLVRKAYGGDDPSDDDDDDTWTPAHSNSLSDESDPSVCSERSHSLANANICNEASGIRQFDISYHKANQRSNDEVSNVTTHRAELCAMPGHTYAIDEMHASQKVEGSKLQEASDLKSSVDFGGGAAEDEQFKNNVSVPKKCAVGTSESHAYRVEKSDGHFFSQSRPFVTVNDINLRTKPSRLPPPSRPPPVFASDKPNSKLKASKTCGLEQEDDSWPSFYDVEVDGNSSMMASATLRDAMQKAQAKIRSAKESMEKKEVLQSSLKLHSQTDIKEEKLSKTFDSSKDDRVQEKSAKQDSGIKLLAEEERYKIKMNQELSDLVEREGSTDLAEKPAKRRYGKHSSSSNPVLYNSEGNFAWRQGTEYFEVFEAHIPLAFEHNRDDNVLFQMDLDEFKHSVATETTEQLGGGKEFKAAEIASKLEDQNITFEVVAETFGERLRSETTAGSSCQTQSGEKAHVGVSYCESEVSKGKMKMAKQHEDSRKIGNVTNNSGQMNTKADLHVSEVEAKSHLRGVSEGPDTDKIAMDVHARKPNDRRSRENFEMNYCAGLDKVGKQDGNEKGETNQDEKKKQQEEYHESQKDERKQKEVCGRKENQKLHKEVVKSEDAEKRLKGAAEHAENEKDFKKSFEKSENKKSQELDCLVEKDNGNLREASNLVDSDDFELTPSYDHEDGQSDACEPGKGEVKVEEVEEQEDNNNGSNMVFVEDVEDVSMVANASEKSIHVSEEAGKHEEFCGHAKDAEQSMRDDKDKQGNLDQVTNLPMERENIKAFGETGKLTDEMNAPFLPGKLDKNCGELETIQESFSYEENDFPTGAKDGELGLHQGAANLLVENKSHSFGKIQNELRCQKLEKGTVDVNNCPYLHEHGIDSNEAGTGIENSSLQEKEVSRRACDPEITAVASHEQGWRVKMNNGVQITINKESLKDNVRPCQPCMWADNVQVIGAGLSTVREDRENGCNAGQRCGQNVERKEKNLNETVAQEDDKIAERLQREREELLRKMEEEREREREREKDRMAVDKATLEARDRSYAEARGRAERAAVERPTAEVRQRAMSVAREKLEKASFEARERSVPDKASVEARIRAERAAVERATLEARQRAFEKAMADKVSFEARERVERSVSDKYSASSKTVEMRQSSSFHDLPDFQSQSAGTSNVLRYSYSTAHAGLEGESPQRCKARLERYRRTAERAAKALAEKNMRDLLAQREQAERNRLAESLDAEVKRWSSGKEGNLRALLSTLQYILGPDCGWQPIPLTEVITSAAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWNTFNSEEW >CDP02462 pep chromosome:AUK_PRJEB4211_v1:7:3988014:3992318:-1 gene:GSCOC_T00039845001 transcript:CDP02462 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVMLYLVCAILAFWSSGSLVTAEDAYRYYTWTVTYGTASPLGFSQQVILINGQFPGPKLDLVTNDNVILNLINKLDQPFLLTWNGIKQRKNSWQDGVLGTNCPVPPNSNYTYKFQAKDQIGSYTYFPSTLLHKTAGAFGALNIWQRSVISIPYPKPVGDFTLLIGDWYKYNHKVLQQRLDAGKNLPFPDGVLINGQAHPSFSGDQGKTYMFRISNVGFKTSLNFRIQNHKLKLVEVEGSHVIQNTYDSLDVHVGQSATVLVTFDQPPKDYYIVASTRFTEKVLTATAVLHYTNSRTAVSGPLPAGPAPKDVQWSLNQAKTLRWNLTANAARPNPQGSFHYGKISVTKRFVLANSAPLINGKQRFAVNGVSYVNPDTPLKLADHFNIPGVFGLNSIESSPSGVSPHYGVSVLSTSLHDFMEIVFQNNERSLQSWHLDGYDFWVVGYGAGQWTQASRATYNLEDALTRHTAQVYPNSWTAILVSLDNQGMWNLRSAIWEKQYLGQQVYLRVYTPTPSLANEYDIPTNVLLCGKAVGKHP >CDP02789 pep chromosome:AUK_PRJEB4211_v1:7:6568059:6572850:1 gene:GSCOC_T00040286001 transcript:CDP02789 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKTAVIAWGSGEDGQLGMGNNEEKDWVCSIKALEPFDVCSIVAGSRNSLAICNDGKLFTWGWNQRGTLGHPPETKTESIPSQVKALSKVKIVQAAIDGWHCLAVDDQGRAYAWGGNEYGQCGEEPERKADTGKPVRRDIVIPQRCVPKLTVRQVAAGGTHSVVLTREGHVWTWGQPWPPGDIKQISTPVRVQGLESVRLIAVGAFHNLALLDDGILMAWGNNEYGQLGTGDTQPRSQPVPVQGLSGLTLVDIAAGGWHSTALTDEGEVYGWGRGEHGRLGFGDDKSSKMVPQRVQLLICEDIVQVACGGTHSVALTRDGRMFSFGRGDHGRLGYGRKVTTGHPSEVPINIPPPRDLSEGEAEGRWCAKSVACGGRHTLAIVEWRSRESEQLPHAPTNV >CDP11563 pep chromosome:AUK_PRJEB4211_v1:7:19758448:19761430:1 gene:GSCOC_T00033883001 transcript:CDP11563 gene_biotype:protein_coding transcript_biotype:protein_coding MENLARKFLFCCCFILVTFACNLARAQEEDDQHGFSYDENSPRGPAHWGELKEEWCTCSHGRMQSPIDLPDAEVQVDPSLGKLHRHYRPSNATLINRGHDIMLRWVDDAGYIRIDGTLYQLQQCHWHSPTEHAINGERYDLEAHLVHQSPDGKIAVIGILYKIGRPDPFLSMLEHYLEALADTLEEEEVVGFVDPNQITFGSKGYYRYSGSLTTPPCTEDVLWTIVDKVGTVSRHQVKLIRNAVHDGSKMNARPLQPINDRPIRLYTLPVDED >CDP02531 pep chromosome:AUK_PRJEB4211_v1:7:4621820:4622733:1 gene:GSCOC_T00039937001 transcript:CDP02531 gene_biotype:protein_coding transcript_biotype:protein_coding MREISINWPCFIRDHAFDFFIANGEDDYPYEGLNGICDPSRVRNKNARVVRIDGYEDVPPYSEKALQKAMAHQPVSVAIEASGRAFQLYVSGIFTGACIKPLVGYGSENGKDHCVVRNSCGEKDGYFRLVRNVIDWELHEQVWNYNAVSIPD >CDP02403 pep chromosome:AUK_PRJEB4211_v1:7:3562375:3563898:-1 gene:GSCOC_T00039770001 transcript:CDP02403 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSGSEITHFAQLSPYLCPPSSTTSSSTSSTLGLNGNNEAFLLLHHQDLLSHYLAVAPMIETANTMTAPTSTKKTTTASTTNPQISSATPLHTRYRRKQPAKRDRHSKICTAQGPRDRRVRLSMDIARKFFGLQDLLGYDKASQTLDWLLTKSKAAIKELLDMKDTDHDANAKCLCFWSEAGNVVAAGTNTKEDESKKTASKRKRKKTNQAKNPKMVALSKLVKESRAKARARARERTREKISLRKLSIDQKSPDLIPLSPDHTKPAAWSQIGTCKTKDSSMLGTISSLKLNASIQEDDGFFCFNPRAGAIGAEEISQDTPRIIRKPKPSSILGFQRNLILSKEASPNFDSWSLVTNGNWGISSSMTSSSLCAVTNTSSTKVLQICGKPSEDKSNNNQVPCGRQIHRST >CDP04620 pep chromosome:AUK_PRJEB4211_v1:7:17359065:17363999:1 gene:GSCOC_T00018631001 transcript:CDP04620 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEKLKSSKGKEKVVKEEEDPMKAEQAAEEEEDDEGDEEESSSSGEIFSDIELLNENPSEDSLTDTQSESVELTDSEGNDVSEDDDYGESMAESDSSEDEVAAHNTVGNVPFEWYKDEEHIGYDIAGKKIKKKVREDKLDSFLASADNSENWRKIYDEYNDEIVELTKEETRMIRRVLKGMAPHPEFDPYPTAVDWVTIDKAEHPFPDAPEPKRRFVPSKWESKMIVRLVRGIRKGLIKVDDKPKEENRVYLLWGDDRNLTERHHIPPPKPKLPGHEESYNPSLEYIPTAEEVKKYELMYEEDRPKFIPKRFTSMRSVPAYDKAVRESFDRCLDLYLCPRTQKKRINIDPESLKPKLPSRKDLKPYPTTCYLEYKGHKGPVVSISTDSTGQWIASGSNDGTVRVWEVESGRCFRVWELGEAVQHVAWNPSPELPVLAVSVGQDVFLLNTGLGNDEENRRVDDLLHVESHMAHNEPGASEAIISETIVKWSRDDNHGAIRLNHYKTVSSVEWHRKGDYFSTLMPYDILSPIVC >CDP01763 pep chromosome:AUK_PRJEB4211_v1:7:8926701:8930479:1 gene:GSCOC_T00036919001 transcript:CDP01763 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVGDGKRICEEFSNGYYGVCAVGGMLSAGTTHLAITPLDVLKVNMQVHPIKYQSISTSFTTLLREQGPSAFWRGWAGKFFGYGMQGACRFGLYEYFNKVYSNVLVDQNKGFIFLLSSMSAEVIANVALCPFEAIKVRVQAQPHYAKGLADGFPKLYMSEGLHGLFRGLIPLWGRNLPFSVVMFSTFEHSVDFLYRKVMQMKKEDCTKAQQLGVTCLAGYAAGSVGSIVSNPADNIVASVNNKKANSLKQAVKRIGFVNLFTRSLPIRIMLVGPVVTLQWFFYDTIKVLNGL >CDP01883 pep chromosome:AUK_PRJEB4211_v1:7:8025156:8029623:-1 gene:GSCOC_T00037059001 transcript:CDP01883 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQASGPDDKILPLPDEFIEFLNENGLDPSIYVANDSTPRYIRFKPGFEAHIEEFESEINCKLERLSWLPNFYCLPPDIRIASSKAYQEGKIYGIDAASGAAVSALDITSGDHVLDLCAAPGAKLCMILDLLGGSGSVTGVDIAQHRLAACRTMLQKYALGDRCRLFVADGTTFSLLPLRVRLNSKSFEPTESMLEGKSEIYKEWTSRRPWKERKKASKARENGAYKLFSQTHDPELIYYGRDSGVVGLSKNKVYEHLNDYKVSKYGYDKVLVDAECTHDGSIRHIQKFEQWGWETLHRRVLDAERTDELTALQLQLLSNGFRLLKVGGSLVYSTCSLTVSQNEGVVEKFLSQNKSAGLLEIDCARTWPCKSGQIPKTLRFDPNTSCTSGLFVAKFTKLEI >CDP12790 pep chromosome:AUK_PRJEB4211_v1:7:14471190:14474416:-1 gene:GSCOC_T00037442001 transcript:CDP12790 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAENGGGGGGGGGGGEEEERGKGEGGNRWPREETLALLKIRADMDLAFRDSTVKAPLWDEVTRKLGELGYHRSARKCKEKFENIFKYHKRTKDCRSGRQNGKNYRFFEQLERFDNQPSLPSPPLSQIQTHVAETTQTTTIAAPTIIKVTSGSLDSMVPHPSENPNMEFVTPSTSTTSSSGRESEGSVKKKRKLSDYFEKLMKEILEKQENLQNQLLAALEKCERDRIAREEAWRLQQMDRIRKEQEYLANERAISAARDATVMAFLQKISEQAIPGQFAEAATPISEKHPDKQQVQTPGPFTPGTIENQELGTSIGRQEDAFDVDKRGNGFGESSIQATTSRWPKAEVEALVRLRTNLGMQFQDNGLKGPLWEEISSAMKKLGYDRSAKRCKEKWENINKYYKRVRESHKRRPESSKTCPYFHLLESIYEKKSKGVEQNAEWSGNNLEPEHILMQMMGQQEQQPQHQQLTEDEENDNGDGYELVANHPSSVASME >CDP02338 pep chromosome:AUK_PRJEB4211_v1:7:3129112:3130592:1 gene:GSCOC_T00039699001 transcript:CDP02338 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGMMGWNVHEQGWWRKGPWTPEEDKLLIEYVSLHGEGRWSSVAKSAGLHRTGKSCRLRWVNYLRPGLKRGHLTPQEEGIIIELHALWGNKWSTIARYLPGRTDNEIKNYWRTHFKKKGKPSEKKDRRRLLKQKMEQDETGTGAKASPLSEVTSEQTLSPELQAQQEFPTVPLHPVMENPQLPVLYQEADSWSDTNILMDGLSGWLWNFDDQNGKGVQANNDCSKMAKQNQASFAPYSFGFDNVDVQNGGYIY >CDP16005 pep chromosome:AUK_PRJEB4211_v1:7:28487425:28490439:1 gene:GSCOC_T00016957001 transcript:CDP16005 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLGVKPDNFTYPFVFISCGNLLDFGHGRLAHCEVWKNGLWMDFHVCHSLITMYSRFGELSFARKVFDEIPVRDLVSWNSMISGYSRAGFAGEAVELFYKMRNEEVAPDEMTLVSVLGACGDLGNLDLGSSIEKYVVEKSMEMNSYMGSALIDMYGKCGDLGSARRIFDNMGKKDVVTWNAMITGQVLIIQTEQFLFRLLLFFTSDRYAQNGLSDKAMCLFNAMKAAGVDVDKITVIGVLSACASVGALDFGKSIYKYASQSGLTDDIYVGTALIDMFAKCGNLDLAFQVFGDMPIKNEVSWNAMISALAFHGQAQEALSLFERMLREGGSTSCPNDITFVGLLSACVHAGLVDEGCHLIDLMSSSFGLVPKIEHYSCMVDLFSRAGRVYEAWNLIEKMPEKPDEVLLGALLGACNKIKNVDVGERVMQLLLEMEPSNSGNYVIASKIYANQDRWVDSARIRLLMRQKGISKTPGCSWIEMDNKLLEFHAGETLHSAQKVYQVLNMLYKEMMMEGGMSDSYVHLVEE >CDP02324 pep chromosome:AUK_PRJEB4211_v1:7:2972658:2973211:1 gene:GSCOC_T00039682001 transcript:CDP02324 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSFLQSLRRYITRPWEITGPCASPEYRGAVPKATEYRVHSPATAPVPAIVPTSNPETVYDIKYFSRDQRRNRPPIKRTILKKPDVEKMMKETTFDVNSFPRPYLAATIVEDDNAIGGGYQK >CDP02495 pep chromosome:AUK_PRJEB4211_v1:7:4298599:4299825:1 gene:GSCOC_T00039892001 transcript:CDP02495 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMTGLNPAHVAGLRRLSARAAAAASASTPSTPLPPRNSLLSFTSLADKVLTHLKNSGVQVQPGLSESEFARAEAEFGFGFPPDLKAILSAGLPLGPGFPDWRSAGPSRLQLRASINLPIAAISFHIARNSLWSKSWGPRPSDPEKALKIARNALKRAPLLIPIFNHCYIPCNPCLAGNPIFYVDEHRIFCCGFDLSDFFDRESSLFQSSDPQILSRQRSVSERSAGSSSTNFSRRSLDALAAGGGRTPRWVEFWSDAAVDRRRRNSNSSSSSSSSPERYFEMPRSEIPKWVEEYVEQIGSVLREGGWDESDVSEIVQVSASGFFEGGEMVLLDNQAVMDALLVKADRFSDSLRKAGWSSEEVSEALGFDFRSEKERKPAKKLSPELVEKIGKLAESVSRSSS >CDP01739 pep chromosome:AUK_PRJEB4211_v1:7:9131713:9133887:1 gene:GSCOC_T00036885001 transcript:CDP01739 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNVTRSGHGLVPPSQPTPSAEVLDLSVIDRQPVLRCNARTLHVFSHGSAATAAAASPAQVIRQAFAKALVPYYPLAGRLKLGSTDHPGLQIECSGEGIWLVEANADCSLAELDYFENVMSISIDTIDRLLPPPPPPADGLHPLVLVQITQFSCNGFVMGLTFCHAICDGLGAAQFVKAVGEMARGAEKPSIAPSWNRDLIHSPSLETHLRSFPSILPPLPPPIPPYQLEHVTLDMPSDEVNRQKQKFQNQTDGQKCSAFDIVAANFWRHRTRAISDSLKENDELKLVFFANCRHHLSQPLPRGFYGNCFFPVTVTASCGMLKRASMVRVVKSIQEAKAGLASEFAKWMNGGVQDVDPFLPPPLYTTLFLSEWGRLGFNEVDYGWGPPVQVVPIQGSGVIPVGIVGSLPSSQGPGIRLMTWCVQKAHVQPLASWSTGLDD >CDP04759 pep chromosome:AUK_PRJEB4211_v1:7:14974030:14987843:1 gene:GSCOC_T00018849001 transcript:CDP04759 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKSEDDHSSIRNVKMYFKLAMKLAEDIKKSPTDSKSSIVREYIDAHNNLGMLEIDLDNLEEAKRILNRGLTICDEEEVDEDDDARSRLHHNLGNVYMDLREWDKAREHIEKDITICKKIGHCQGEAKGFINLGELHYRVQKYNEAIICYQKALQRAKSMEDEDALVSQIEQNIKTVEAAIEVTEELKHESQNLKRLERKLPSARGTGCERKNLLQQIVSLGHLIEKSSMTLAWRKHLKYAKMKKRIANEVCDKEHLADSFLAIGESYQKLREFKKALKWYTKSWESYKLIGNLEGQALSKIEIGNVLDSDGDWMGALDAFEEGYRHVLFSHRRIALGAKIPSVQLSALENIHYCHMIRFDNAEKARSLKLSIDKLRHSGSKGIEAKDTAGHCCSETDTEIDDHSAKRSEVSISPERSSSNFTRSKSHSCEEDLNEDAPLISFLHPGKKAAKLRTRCEAAVHTSIKPPETSPRSTSMSVGSQAVGRKRTRAVISDDEYENNEEYTSRRTIYSGHAEKVATSDGCKSITIAFSFMIATAKMRALTCFCQLQWRIQRIRIDISPLASKCAMNACTPVNLEESSCSYKSRTSILVAQDGKDFRQSSTNEFANATGKLPFKHNVPNICSSPDESCQHIPIKVDDYLVQMKLDSFMNRDKLSIEQIKAEVACLYYLQLPSERRVKGLVPVVQDIKYDGRSLETLEAYILKNCELGSGQIEVSVGVWVHKRVMKLYIDCCEELSEQPNLNVLKKLYNLEVSEDEIVVSDCELQDISVAPLLDALHAHKTVAIINLSHNLLGNGTMERLKQVFASSGQNYGALVLDLHGNRLGPTALFQICECPVLYSRLEVLNISGNRLTDACASYLSCILKNCQALYSLNVENCSITSRTVQKIADSLHSGSVLTNLSLGYNNPVSGNAITNLLLKIADLKRFQELNLSGIKLSKPVVGSLCQSVVNLRLSALMLAGCSIGMDGALQLTKTLSNDTQELVKLDLSSCGITSEYFSMRNTGICLINGILELNLGGNPIMQEGGTALASVLADPRCCLKTLVLSKCQLGLIGILRTLEALSSNCYLEELNLAENILPAELEYSLLSVKGSPNSTQTKLILPNSLHKASAHKEFETSTQEPCAVNTDFNQIEVADSDDDIFGVNVAASGLSNEHISLSQKSLLNSESAYIQEVLAAIAMAKQLQILDLSKNGFSQHAVESLFTAWSSSRAGLAQSHIQDSVIHMSVEENKCCGIRPCCQKV >CDP02488 pep chromosome:AUK_PRJEB4211_v1:7:4233648:4238908:1 gene:GSCOC_T00039881001 transcript:CDP02488 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQGRHKDTGPSHQAPRKNSRGLCCLTHAPRHPHLPGFRFYLSSALPFPHSFLLCTDMRLKIKPVQPSPSPEVQYQENLRVTADQEVLKTEAVEEEGQGCGWLIPDGNNPMISNSENNKDNPPELSPTTTPVPQYLGKRKRKPKELIDEISPIIVRRKKKQPSVSKSEQEITDGGGKHVSKQEKSKVDGSRNPVQVKPATMIRAEEVQSSLGNEYPSFVKLLVRSHVGSCFWMGLPVPFCKTHLPRKDTTVVLEDESGEQFEIKYISEKTGLSAGWRKFVAAHKLVEGDVLIFQLIGPTTFKVLVIRANDLTEVDGALSLLNLDTLAKQSDAIVEGNVDLQNKKKKRPKSLPLTVVQKKKQKAGLSRSILPHLGHLEEQSGNDSDEVASEVLEGSKFSADAVRFRDIKGFEEFRIMVNGVCIDSELPEHVRRKYYELCCSKNVFLHERFLPGLHCKLAAGMIFEAVNTAEAIRACKVTTSRKEFEKWEKSLRSFELLGMNVGFLRARMRRLLSMAFEGEGASEAKRYWEAKNERACTEDEIRNLEAKLVELKATSEKYDAEIEALKSKAESYEILFQEEVNAPW >CDP01911 pep chromosome:AUK_PRJEB4211_v1:7:7800320:7803538:1 gene:GSCOC_T00037091001 transcript:CDP01911 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDGGSGGREGDWDCSGCGNRNYAFRCFCNRCKQPRLLVDNKTPADSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEIAAMPAMAIPGASVPTYPNYFARAQGGLDQRINNGLLGNGSLQQSLPLSSNWPFAGADKFGLQPAATWPVNGMNTSGVLHASQANQLVSAPKSWRSGDWICSCGFHNYSSRAQCKKCNTPMPEAARSSFVSTAITAHGTKRLASEELVHEWDSKRLNAGQPAYSGPESVVGPSGSQPSLIYSIFPSASSALGPNLQFNLQVPHVPAAPTLLGKGAKQWRDGDWMCNNCNNHNYASRSECNRCKKQREVLLQQVGVA >CDP02013 pep chromosome:AUK_PRJEB4211_v1:7:7041831:7042901:1 gene:GSCOC_T00037219001 transcript:CDP02013 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGQEKDIGSLPYSVGYSPPSFTNESSTKPPSAPSLDRRRIDAGRGSIIPITPSPNQTLDQQQNPPQQQLYAHKDSNPDPDPVQVTNATPISGASNSKTATVAAQQPPPAPAASGANTTAPGSSVRYRECLKNHAASMGGHIVDGCGEFMPSGEEGTPEALRCAACDCHRNFHRKEGDGEPQAGTSGYYTQNHTPRLHNSAHQATLFRTQPQTSPIRMPPQHHHHQQQHKFAHSYSHGLTASPTGPMAPIMMTFGGNSGGAAAESSSEDLNMFQYNAGGQVVQQAFSVSRKRFRTKFTQQQKDRMNEFAEKLGWKIQKQDEQEVQQFCSEVGVKRQVFKVWMHNNKQAMKKKQM >CDP12860 pep chromosome:AUK_PRJEB4211_v1:7:13659340:13660205:-1 gene:GSCOC_T00037536001 transcript:CDP12860 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKSFPYYSASYAEARFDFEDRSKSYSFNGPGDNPEVKRRKRVAAYNMYTMEGKLKSSLRNSFKWIKSKFTDTYYDS >CDP04687 pep chromosome:AUK_PRJEB4211_v1:7:15889923:15892898:-1 gene:GSCOC_T00018744001 transcript:CDP04687 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLGTSASSSSTVAMLSSRSLSSYSTTSSLPSFSPIPGFSQGRKFYGGIGVKVRKRRSQFQVSVSNVATETGPAQEQAKRLVSTESQRPVYPFSAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVAGDPYNSDPNDPDLMGIEVREKISNGEELSITLTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVSTVKDAELRVKIVEERARFDRNPKEFRESYKAEQEKLQQQISSARSSLSAVTIDHDLRVKISKVCAELNVDGLRGDIVTNRGARALAALKGREKVTAEEIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >CDP02287 pep chromosome:AUK_PRJEB4211_v1:7:2715041:2716958:1 gene:GSCOC_T00039641001 transcript:CDP02287 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKQYRCIHSASCLCTKGHLTEEVIFLLFQHLNWNPKHIATLSSVCKWFDDLAKRVLWKEFCKTRAPKMMLDLQTGGSHSVDGNWRALGKLLIYCSGCTKGGLFNSIHIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGEEGDVGFFRGVFKSFATSKVRKLLIKREAPLHPTEVCPYCKAKLWSMQQARLIPSSASCRLGAYEDCIEYYVCLNGHVLGICTLLPLSDSEEASELE >CDP02427 pep chromosome:AUK_PRJEB4211_v1:7:3742752:3748628:1 gene:GSCOC_T00039802001 transcript:CDP02427 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGIVVGNLHGLGIATSTHKRSKSFPDNKGLGDENLDHSVEASHSLKSDLGHLDDCVTSKKKQSSNAEVQISLRREIMQLEKRLQDQMAVRCALEKALGYKCSSHSVTTDVSMPKPATELIKEIAVLEVEVGHLEQYLLSLYRKAFDQQISSLSPSAKDDRVKSPLTTPRRRRLEFSTSDITSEKANLSAQENQFVSNPRKETSCSGEENPSDSNVYRCYSMLSQSSTLSTKTSPAEPLGKALRACHSQPLSMTEYAQNASSNVISLAEHLGTRISDHISETPNKISEDMIKCMSTIFSKLADPPLTNHGLSSPTSSLSSISAFSPKDQCDMWSPGFRNDSSFDVRLDNPFHVEGLKEFSGPYSTMVEVQCIYRDNEKLGDIEHLLQNYRSLISRLEEIEPGKMTNEEKLAFWINIHNALVMHAFLAYGIPQNNMKRIFLLLKAAYNVGGHIVSADVIQNTILGCRMSRPGQWLRMLLPSRTKFKAGDERQAYAIERTEPLLHFALCSGSHSDPAVRVYTPNRVIPELEEAKEEYIRATFGVRKDQKIVLPKLVEAFAKDSGLCPAAVLEMIQKSLPESLRKSIKKCQLGKSRKCIEWVAHNFAFRYLIMKELVK >CDP01469 pep chromosome:AUK_PRJEB4211_v1:7:11762858:11764088:-1 gene:GSCOC_T00036526001 transcript:CDP01469 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDETGLKKGPWTAEEDEKLTKYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSPEEEQTILNLHSVLGNKWSTIATHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDIFSSLPHLIALANLKELMEQNSWEKQAVRLQTEAAQIAKLQYLQYLLQPPAASMPSLSNSLSPTIPDMEAYNLLNSLSAVKDSQVLSTNPMETPIPSSIMATNTLQAVHESIPFSHLPDLESPCSFQTSMNKDHMVQASTLTILCSQGENSPTSPWLPHSSLSPSPPPPPVIPPMTEVSITNGGDACSTSSYEGGPSSIWPELLLDDPLFHEIA >CDP01833 pep chromosome:AUK_PRJEB4211_v1:7:8360651:8369025:1 gene:GSCOC_T00037004001 transcript:CDP01833 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDNESCGSRTVETASSKQSRHERQRFEVYTEVLSRLQDLDRQEVKLPGFEDQLWHHFNRLPARYAMDVNVERAEDVLTHKRLLLLAEDPDNRPAFDVRLVQVHPSGAENDLDSAHSDSLMREDAQSHTFESNRRGIHPPPTFGSSSNLEALGVQSSGAHVDDVERDINSIFQTSRPMHEITFSTVDKPKLLSQLTSLLSDIGLNIEEAHAFSTTDGFSLDVFVVAGWPYEETELLKSKLQKEIKMAKEQPSSEQHVPPMTENYERGNPSISEYVKIPTDEIDVWEIDPRQLRFGNRIASGAFGDLYKGTYCSQEVAIKVLKPERVNIDMLKEFSQEVFIMRKIRHKNVVQFLGACTKPPNLCIVTEFMCKGSVHSFLHKQKSTFKLSTIIRVAMDVSKGMNYLHQNNIIHRDLKTANLLMDEHEVVKVADFGVSRVVSQTGVMTAETGTYRWMAPEVIEHKLYDHKADVFSFGIVLWELLTREIPYSDLTPLQAAIGVVQQGLRPAIPKHTHPKLVELLEKCWQQDPTHRPNFSQILENLQRIAKEAVDEVEDRQKDKSIGGFFSSLRKGHH >CDP02118 pep chromosome:AUK_PRJEB4211_v1:7:1534615:1535848:-1 gene:GSCOC_T00039406001 transcript:CDP02118 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFEATDSPSPTVAGYDVADVVVGVFFFLKNKYEDLPEKFDTVGESGRGIEAVKEGGGKGGDNPAGGASGSLPPASHNVFVVTSTVSVLKKLKPFVEEEKLKPVIGPKGPFPIEAFSHIQSGRATGTAIIYPMP >CDP02084 pep chromosome:AUK_PRJEB4211_v1:7:1277434:1285899:1 gene:GSCOC_T00039367001 transcript:CDP02084 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MDNYTLKSVLDLPAPFHSIYGFRYFNSLQSECFSACYLSDINMVISAPTGSGKTVLFELCILRVFSRFISAEEKLIHLKGTMKTVYVAPSKALVQEKLHEWNQKFGSWGINCLELTGDNEHYNMRNIQDADIILTTPEKFDAVTRYRIKDGGLGFFGDIALVLIDEVHLLNDPRGAALEAIVSRIKMLARKTEMKSSPLAHVRFLAISATIPNIDDLAEWLMVPNQGIKRFGEEMRPVKLTTKVLGYTRAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLAQIAMTYGHSNPFTKSREQEERLRDASLSCSDKQMQSYILYGVGYHNGGLSMKDRNLIEGLFLNGDLQILCTTNTLALGVNLPAHTVVIKSTQHFNKEKGKYMEYDRSMILQMCGRAGRPPFDDTGTVIIMTRKETVHLYENLLNGCEMVESQMHPCMTEHLTAEIVQLTITDITKAIEWMKCSYLYVRMKKNPEIYSVKKGLPANRIEKHMQEICVQKINELSRYQMIWTDEDGFLLKPLEPGKLMTKYYLKFDTMKHIMLAPANCSIEDALHIICRAEEFAWIQLRRNEKRLLNDINIDKDGRLHFHILDDKGKVKKRVQTREEKIFILANDCLTGDPLVHDLSMSQDMNSICANGCRIAKCMKDYFIHEKNYKGALNSSLLAKSLHQKLWDDSPYLLKQLPGIGLVTAKALHSMGVNSFETFSGADPRKIEMVTGRKFPFGNHIKESLLSLPPKVEMKFEETTCQKQGKSQLVVTLTRLSESAQLTKRYYADMIVSIEDDNIILFHEKIRADFFFLVFNSPYSAIILLPSHHQGKLTVNADLMFEEFLGIDIHQSIIIRKENDFNAAHKHASKYAYTPQHKDVCIIKDGNDCPSQALAGVPNSNESKEPADNMPTFRLLDEDSDEGASRVDTEDDECKIITEKTVFDHIREKAKTFPALEISNNACSPSLETLALIRKRTREKQLELDNCFEILEEKGTSKDSHEILVIPTAASGDLEPFVDKDATPGNFLVSNTGNCRPVREDFEPETLDEGSIFDHIRKKAKTFPLLGNLKTTEFKNRSNHFNSGNEGTEVRGLYEALQDPVIISHPDAMRRIPTAKGVTQQEDFLFSGNSRDIGDKIAIDSKATVNISKEARSNEELAPGIPLVRRLVKPVACTESYQPLPSSQALLHRQCSLFVKSGEVKKENSFLGFESVFSFL >CDP02341 pep chromosome:AUK_PRJEB4211_v1:7:3163943:3168677:-1 gene:GSCOC_T00039702001 transcript:CDP02341 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDEIFGESRPVSLPTKSAVYVWGYNQSGQTGRKGRERNMRIPRQLPPDLFGCPAGGNSRWLDIACGREHTAAVASDGSLFTWGANDFGQLGDGTETGRKHPQKVRQLQSEFVVSVSCGAHCTAAIAEPRQNDGTMSVRRLWVWGQNQGSNYPRLYWGDFAPNTIIRQVSCGAVHVVALSEEGLLQAWGYNEYGQLGRGVTCEGLQGARVIKGYAKFLDDAPELVKIVQVSCGEYHTAAISEDGEVYTWGLGSMGQLGHSSLQSGDKELLPRRVVALDGIFIKDIACGGVHTCALTANGALYAWGGGQAGQLGLGPQTEFFSCVPNESEVMLRNLPVLVIPTGVQLVACGYSHTLVSTRDGRIHGWGYNSYGQAANEKSTYAWFPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLADCMTLSNASKIEDVASRTGSDALARLCERLRAHRCGAGECNYDNDDDEFGG >CDP02772 pep chromosome:AUK_PRJEB4211_v1:7:6387435:6391866:-1 gene:GSCOC_T00040266001 transcript:CDP02772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAAEAISRALRDGALEGEHAPALTIKDSIHAPLGAFVFDHILAQLSSNISSSKSQAQGIVLVAFARSPQFCAELLKSKANDAASLKKWLRIVDCYTDPLGWKIQLAESGIVSNRSTQNATTASLCKNVRDLDMLFSSIVELGKEVIRETKGRFAVAIDSVSEILRHASISSAASLLSNLRSHDQVACLFWLFHSDLHEMKASSALEYISSMVACVEPISNTANGKGMNSENLPLIEQNLGRGEFSVRFKRRNGRIRLMSEELHTEQMGINFVPAFEDHVTSQSLVPKVQFNLQLSEKERNDRAKVVLPFEHQGDGKPIQIYDGRRSVSESKTENKETNAEKMHVNEDSGRGEIIYIRDSDDEMPDSDEDPDDDLDI >CDP02448 pep chromosome:AUK_PRJEB4211_v1:7:3901945:3905341:-1 gene:GSCOC_T00039829001 transcript:CDP02448 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPISHTPAKLNSQDMKEDGQAKKMLLSEYEQSREERIKQNHERMQKLGIFDLSLKLKSLKPAPPNPNPSSTRRNLRHFSPLPPPGPIRRSSRLRNGTPVSYSEVHLTKEDKPFENGLDLLREEGSRPEVYTEEHEKLLGSTEMSWTLFVDGFGKDGKRIYDPVNGKTCHQCRQKTLGHRTHCSKCNKVQGQFCGDCLYMRYGEHVLEANQNPNWICPVCRAICNCSLCRQSKGWPPTGSLYRKISSLGFKSVAHYLVQTRRNLATNSGLECPQSEGKMSDDCLDDGKQEESTDSLNNKNHGHTNELPDSIAGRLRQRRKAVKEHEEAMSTGKKNGTADIKQKLNDENSPPCKAEATVNHSNSTAADVTPVAVTDSIAGRLRQRRNQGNQYEEQRSAGAKDGIPDFKAEASLNHTNSATPPDVAPITRSNSNKRRVSEPSPDSIAGRLRQRRAEV >CDP16700 pep chromosome:AUK_PRJEB4211_v1:7:534814:535408:-1 gene:GSCOC_T00019170001 transcript:CDP16700 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDSVFDPLRDFAKDSVRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSA >CDP16621 pep chromosome:AUK_PRJEB4211_v1:7:45907:51842:1 gene:GSCOC_T00019071001 transcript:CDP16621 gene_biotype:protein_coding transcript_biotype:protein_coding MGASNSRDNNGRQSSSSSSWDQYNQYPPSVGYSQDSYPQSYQQDSYSYPSRPTVPAYGPPPPQQQQQHHYQGTSAQSYGYGGQAPAAERKLDRRYSRIADNYNSLEEVTEALARAGLESSNLIVGIDFTKSNEWTGRRSFHGRSLHHIGNTLNPYEQAISIIGKTLAAFDEDNQIPCYGFGDASTHDQDVFSFYPDDEVCNGFEEVLSRYREIVPQLKLAGPTSFAPVIEMATTIVEQSGGQYHVLLIIADGQVTRSVDTGRGQLSPQEKKTVEAIVQASKFPLSIILVGVGDGPWDMMKEFDDNIPARDFDNFQFVNFTEIMAKNVPQSRKETEFALSALMEIPAQYKATMELGLLGGRKGYSPGRVSLPPPMYGTASFSDKKPLRATSFQKSTSSYYDHSSSFDAAPSAPSSTYESQLCPICLSNSKDMAFGCGHQTCCDCGQDLHVCPICRSPIQTRIKLY >CDP04723 pep chromosome:AUK_PRJEB4211_v1:7:15459551:15460090:-1 gene:GSCOC_T00018794001 transcript:CDP04723 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYSSFAIVLALCISFQSAIHVQGDSSLIESTCKVTNYYDLCISSLKSDLTSLNADANGLAIIMVRVAMANATATNTYLSSQVLSATNDTLMKKLIKDCAKNYSYAIEALQASLQDLDAEFYDYAYINVMAAADYPNVCHSSFRRSPGVAYPHELAAREDGLKHICEVALGIIDSLGR >CDP04636 pep chromosome:AUK_PRJEB4211_v1:7:17048371:17053113:-1 gene:GSCOC_T00018652001 transcript:CDP04636 gene_biotype:protein_coding transcript_biotype:protein_coding MARMRPLPSVIDFTQLLDRIVKMEKHYSSVVSLFRDMCVQGIPVDEATLNIMINCCCVVGRVDLAFSTLSGFFKRGFVPNVVTFSTLLKGLFRDHKVPEAQELFKKIIKEKLCKPNETMLGIVIDGLCKAGNTQTAIDLLRAMEKRGRPCKPTAIIYNTVIDSLCKDKMVDEGLALLQDIPPNVVTYSCLIHGLCNLSRWEDVDKLFYEMKVYKIVPDVISFNIVVDALCKEGHIEDAEEVVRIMIQQGQNPNLVTYTSLMDGYCLQRRIDDTRRVFDTMMQASGMKPDFHTYCVVLDGLCKTGHVDEALELFHATEADGTDLHIEMYNIMLDGLCKCRRVDSARDLFKNLSLKRLDPDVITYNTMIAGLLSEGLLIEGKELVEKMEEKGCLANSVTYNVILQGLLKGGHYDDGIVYHEEMVHRGFLLDASTFSILLDLSAENQNNPSVLMLMLKIDPDSKNKIILCSYSLILKQIHIHA >CDP02231 pep chromosome:AUK_PRJEB4211_v1:7:2332767:2333465:1 gene:GSCOC_T00039563001 transcript:CDP02231 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRQVVVKRDESSKNATNSSFTVRNVRYGECQKNHAAGVGGYAVDGCREFMASGEEGTNAALSCAACGCHRNFHRREVETEAVCEGSSPSSLGRT >CDP01961 pep chromosome:AUK_PRJEB4211_v1:7:7373857:7376790:-1 gene:GSCOC_T00037156001 transcript:CDP01961 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSAASRKALSKIATNRLQKELVEWQVNPPAGFKHKPTDNLQRWVIEVHGAPGTLYANETFQLQVDFPEHYPMEAPQVIFLSPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTVKQPPEDNDRYVRNCKNGRSPKETRWWFHDDKV >CDP02636 pep chromosome:AUK_PRJEB4211_v1:7:5443787:5451211:1 gene:GSCOC_T00040090001 transcript:CDP02636 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 16 [Source:Projected from Arabidopsis thaliana (AT4G34910) UniProtKB/Swiss-Prot;Acc:Q9SW44] MAKKKQKSSQNKDKNQELKEQPVVVEDEAEAAAAAKQEKEDEEKEEEEERSFEDLGLDPRLIRALIKKNIDKPTPIQRVAIPLILEGKDVVARAKTGSGKTFAYLLPLLQKLFSDSPSKHNQAPTAFILAPTRELCQQVYAEVMSLIELCRVQLKVVQLTSSMSNSDLRTALAGPPDILVSTPACVHTCLSDGVLQQKAVQDSLSIIVLDEADLLLSYGYEDDLKALTAHVPRRCQCLLMSATSSADVEKLKRLILHNPYILTLPEVGDLKDDIIPKNVQQFYISCTARDKLVHILALLKLELVQKKVLIFTNAIDTSYRLKLFFEQFGIKSAVLNAELPQNSRLHILEEFNAGLFDYLIATDDSQPQEKKKFDSGSNAEQKKARKHAKQKLDAEFGVVRGIDFKNVHTVLNFEMPQSAAGYVHRIGRTGRAYNTGASVSLVSPEENDMFEDIKSIIGESDNMDSNFIAPFPLLTKNAVEGLRYRAEDVARSVTKVAVRESRAQDLRNEILNSEKLKAHFQDNPRDLDLLKHDKVLSKKDPAPHLRDVPEYLLDPTTQEARKIVKLVRAAMGNNNTARRKGFKGKFRKSRDPLKTFSAEASRRPSKGGMRRRGPGCDASHKRKRKETVD >CDP16617 pep chromosome:AUK_PRJEB4211_v1:7:24033:26666:-1 gene:GSCOC_T00019067001 transcript:CDP16617 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGGTVFSVMLLPLYALLIAEGQKMTDSTSSSCDYPAIYNFGDSNSDTGGIAAAFFPMAAPCGETYFHRPAGRASDGRLIIDFIADHLGLPFLSPYLDSVGSNFQHGANFATGGATIRRQNESWFETGVSPFPLDIQVEHYTQFKERSAYFYNQDKVASDKSRLPKPEDFSKALYTFDAGQNDLAAAFRKMSWEELRAALPDIVNQFAKQIRGMYERGARAVWIHNTGPIGCLPASTVKVKNPPPGYLDEHGCIKSQNDAAMEFNTQLKETVVQLRAELSGAAITYVDVYSAKYGLISNAKKHGFEEASKICCGIHGLENDVWCGNKGIVNGSEVYGGSCAEPGGIISWDGVHYTEAANKWIANLVLNGSLSDPPLPIARACHPQI >CDP01467 pep chromosome:AUK_PRJEB4211_v1:7:11793943:11794689:-1 gene:GSCOC_T00036521001 transcript:CDP01467 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYIPFHLIPEILSKLPVKSLLKFKCVSKSWLALISTPQFIKAHLNYQTSLNNQSLLVLENNCSFKYRSVNSLLYGQASPHVLIPQDEGALTTTNDRHLWRYFEIVGCCEGLICICVFRPRQSYFLLWNPSIRKYKNLPELILPSTASLSVISGFGYDASSDDYKVVVLVMNAKYSRHGTLVYSSKAGAWRRIADLPGDTSYLSSGPGVLVEGKLHFLAKETARGAYIVSLDLATEMYRELEAPNPN >CDP02003 pep chromosome:AUK_PRJEB4211_v1:7:7112162:7113707:-1 gene:GSCOC_T00037206001 transcript:CDP02003 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP112 [Source:Projected from Arabidopsis thaliana (AT2G18260) UniProtKB/TrEMBL;Acc:A0A178VPA2] MNDLMTKSFLSYVELKKQAQMDIEAENDIDIENGQVRRIDADNTNLSQFFQEVQVIKGDMEEITNLLIDLQGLNEDSKITHIEYAEGTAVDRIRMATTNGLRVKLRDIMCEFQDLRDTILSDYKDSLRRRYYNATGEVPSEEVIEKMVSGNGKIEIFEGKTELNLENKERHEAVMDIQRSLNKLHQVFLDMAVLVEAQGEQVDDIEHNVAVAGSFVSGGTNSLFYAKQMKKKGNKWVLWVLAVGLIILLVCLIALLTS >CDP02451 pep chromosome:AUK_PRJEB4211_v1:7:3919335:3923922:1 gene:GSCOC_T00039832001 transcript:CDP02451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP58 [Source:Projected from Arabidopsis thaliana (AT4G37130) UniProtKB/Swiss-Prot;Acc:Q8RWH9] MSFSFTPQQQQQQQPSPLFQTQQLPASTFSLFSQTPQQQPQLQPQPQPQSSPFQFQPQPQPQPQPQQQQQPQPQQQQQLFLFTNDKTPATYSTKWADLHPDSQKFLLQIEERILEYRDESQRLDQCSRLYDSSVFIGGFELDASRIFQELGGICTAMERERAICQELMSVAKDMLHNTEVAVRSFMMLRPRFLHSNVGAATSATAPSQATAVAQASSNQAAATSAVPVFDFYSGLPRKPSPFLQQTVARFEKYIAECRQWIEELEQLLLLDADRNSLDSSSSLLQSLPKVMANVHAFFVHVAAKAESIHQYIESMKTAYLADQRRRGDGNDPFLEADRRETAKLEAAARRVHPTLHLPAVSQPSTQVAGAFSSSTMPGALTALPTSAAISSASSGSGLSLLGTPSGATSSSSLFSTPMTSVPVSLFGSSGASPESSPFGSLSASTPVVSGAASLFGSTPSSSVSAFTTPFPSGGLTGSGQSFNTASRTRAKSRTGRR >CDP02794 pep chromosome:AUK_PRJEB4211_v1:7:6586257:6592026:1 gene:GSCOC_T00040292001 transcript:CDP02794 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSTSSPQPDRSVSAKKNKIKSPNSKVDYENKSHKKLSKKGLSSPAKRNNNPGIRLIHGRIYDSHNGKTCHQCRQKTRDFAAECKNMKKDKLCPIKFCHKCLLNRYGEKAEEVGVLQDWSCPKCRGICNCSQCMKKRGHLPTGILVRAAKQNGFSSVSAMLQLKGPMTCYEEKSVKGIDALPRKRAAPNKMEVMTSSPRKQGKENAFDGKINSNSCASPLASNQVEKKSKKVKVEPSNEMNNGSAHGHVEANDLATKPMKFQGKREEKYGLDDGSLQKEASCMGGEKKPKKMAVEGSNGKQDGNILKDGTIKEPINPEEKKLKKLKQDKLKEMHNGNKNDNAFVRRTSPRSHQISNGTSKKVAKSKNDSDSPEMMQCDAKVSVQGFAESTNKKERKAEDVIGSEVLASDDRKNNNHHGTHAGTVLETLHIDTKDQRFQNSDMDSGIVLPHGTELTSVHDIQIPPEDVGKALQFLEFCAVFGKVLGVKKEQPECVLRDLIYGRSSRRGKYSVTVQFLIKLLSVIRKDRGQTCLPLSPTYGQNSWINALTECISESGSISKSLDLNGLDKGANGYENLNSSKKLIILNLLCDEVLGTLKIRNWMENQVSKAAEIAKEDKERVLAAKDKEKRLKQKIQDEIAKAIIEKNGDLLSVSEHDAVISKIKREAARAHSELLESIGLQSKNNQSSDAVRTEPVYLGTNGHAYWRLKCLSNKSDILLQDVGTGDTSASDEKWFGFEEEQKEVLERRINSLRGKRLRAKRVPLQQQSQSNGNFP >CDP02675 pep chromosome:AUK_PRJEB4211_v1:7:5756480:5759347:1 gene:GSCOC_T00040140001 transcript:CDP02675 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGWTAEQELALERAYFAAMPTPHFWKKVAKMVPGKSAQECFDKIHSELLTPAQQQPRSRTKGVNASLSLSASKLLNASESDTKKLRYSKQKSCVTRRTVRQLLHKQYAADQHYEADLFNVLESTLDPSTQCVSTPELNRERLGLDKRCQEISSSAHGKLLSLSNDSRGSTVISPAVLKKIKNKALHEKYIDQLHLREARRKAMSLRGKKSTQDKSGGNKEDNLQKRKIVKDAKNALVFCARDAIKHFHHLQSREANKFDDSVRDFVDSSEDEFEDQS >CDP01894 pep chromosome:AUK_PRJEB4211_v1:7:7954462:7955744:-1 gene:GSCOC_T00037070001 transcript:CDP01894 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGISHPFIPQSSARTFVACPRFLLKPAMQWPKWSLLRPPAPAGPGLPAEEPSVWCLSLIRCFK >CDP01876 pep chromosome:AUK_PRJEB4211_v1:7:8071739:8075403:1 gene:GSCOC_T00037052001 transcript:CDP01876 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKNNPTRSPMYPQVIDPNYETTLPPSSSSPSSRPNLYPTIDMKDLVENLFPDVNDETPPANISSAPSAPPESIEETLLTVPGAILHLIDKQYSVELATGDLVILRLRQGDNTVAVLARVADEIQWPLTKDLAAVKLDPSRYFFSFRAPKEGEESENIESLDVLNYGLTIASKGQEDLLKQLDGILEISSSFSVQQVEEKVVAQLGGAVAREVSPADLVSEKKKEVLEERCAAYWTTLAPNVEEYSGTAAKLIAAGSGQLVKGILWCGDVTVDRLKWGNEVLKTRMTTGSKAEVSPDTLRRIKRVKRVTKMTEKVALGVLSGVVKVSGFFTSSVANSKAGKKFFGLLPGEMVLASLDGFSKICDAVEVAGKNVMSTSSTVTTGLVSHRYGEEAAKAASEGLDAAGHAVGTAWAVFKIRKALNPKGVLSPRSLAKSAAKSVAAEKKAKSSK >CDP01440 pep chromosome:AUK_PRJEB4211_v1:7:12109898:12114196:1 gene:GSCOC_T00036487001 transcript:CDP01440 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MSRVIFPSLRNRSAASFNTLKRFIPCKSFHSGRCLQSAKSANSPPLLPPKTTTSHSWRPYIVPGAVLAGVGGLAFFLHYNDERRAIPKGQGEKFERSSIEGPIIGGPFSLIDTEGRVVTEKKLLGNWVLLYFGYTSSPDVGPAEVQKMAKAIDILDSKQNLRVLPIFVTLDPQRDTPSQLHAYLREFDQRIVGLTGPVAAIRQMAQEYRVYFRKVDEEADDYLVESSHNMYLLNPKMQVVKCCGVEYNAEELSEAIVKELKKTIT >CDP02526 pep chromosome:AUK_PRJEB4211_v1:7:4587482:4588008:1 gene:GSCOC_T00039932001 transcript:CDP02526 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIIYTCKGARPPHDAGLAPHRWGLRAKAQLGHSWVATCLGPEWGLCSLYESTLHRVTNNSPIYRVCVAYFYEPNYDAVIEPLDACVKQTGGTRRFEGAVYGKHLVSKVLTNFIY >CDP02091 pep chromosome:AUK_PRJEB4211_v1:7:1336002:1341661:-1 gene:GSCOC_T00039375001 transcript:CDP02091 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMVTDGTTTLSYWLNWWFLLCAILVLTPMAVAFYLIWKYERPTKDSCSDREALHDERSWILWNDEAWRPCLKEIPPSFLMVYRVIAFCLLLVALSFDVAVHGGELFYYYTQWTFTLVTIYFGLGSVLSLYGFCKDNRMNNKVNDRIVEDTEKGLYMPLACEGTSNGKVGGNLDYKGKSNTPCSAALWCNLFQILFQMTAGAVMLTDSVYWVVIFPFLSIKDYEMSLLTVLAHSLNLILLLGDTALNSLHFPWFRISYFILWTGIYVIFEWTIHACLPLWWPYPFLDLSARYAPIWYLLAAVLHVPCYSIFALVVRLKEYALSRWYSQSYWCQR >CDP02185 pep chromosome:AUK_PRJEB4211_v1:7:1982461:1984968:1 gene:GSCOC_T00039503001 transcript:CDP02185 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLINAHRHCRTIYTTSTQRSIRAWKWCMCIIGRCTSMQELKAIHSIFITHGLHRNNYAVSKLLDFCALADCGDLSYASLLFTQLPSPSCYLYNTLIKAYSTSPQPHLALQCFNLMLRSLLPPDGYTFPFVLIACSNGCFTSEGEQLHCWVTKNGLASANPHVQTALIRFYAASKSLVAARQLFGEITDSDVVQCNVLISGYVKCGMASEALDVFRGMLLRGVEPDEFCLTTGLTACAKLGALEQGKWIHEYIRRRNLMGSDTFIGTQLVDMYAKCGCIDMAVEVFESIPKRNKFSWASMIGGFAAHGYARKAIDCLERMQAEDGIKPDGIVILGVLAACTHAGLPREGQFLLNNMETLYGVPPEHEHYSCIVDLLCRAGQLCEALELIRSMPMKALASVWGAFLSGCRMNNNVILAELAVKELLQLEGGNEAEESSAYVQLSNIYLAAQKCEDARRFRRMIGDRGLKKAPGCSAIEIDGEVNEFVSGDVSHHCLANICAILDLVSTDLSIGRSWQMEDLAYMQ >CDP01534 pep chromosome:AUK_PRJEB4211_v1:7:11061280:11065242:1 gene:GSCOC_T00036619001 transcript:CDP01534 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVNIREMSIIKTTADDETKVPLLLDRSSSLIQTRSRVEEEVHQDQSLARRTWIESKKLWRIVGPAILTRIANFSMFFFSQAFAGHLGDLELAALSIAGTVISGFVFGLMLGMASALETLCGQAYGAKKYNMLGVYLQRSWIVLFLFCILTLPVYILATPVLKLLGQPADIAELAGDLAISLIPVHFSFAFQFPLQRFLQSQLKNNVNAWVNLGAFVIHMTLTWLFVSKLQMGLIAISVILNISWWLVVFGLLAYTLFGGCPETWTGFTFEAFSGLWEFLKLSMSSGVMLCLENWYYKILVVMTGNLPNAKIAVDALSICMNINAWELMIPLAFFAGTGVRVANELGAGNGKGAKFATIVSVIQSTVIGLFFWLLILFFHNEISYIFTTSQPVLEAVHHLSILLAFTILLNSVQPILSGVAVGSGWQSYVAYINLGCYYLLGVPMGIVMGWVFHFGVLGLWAGMIFGGTAVQTVVLAVITARCDWDQEAEKAGMHVDKWSKGTRI >CDP12923 pep chromosome:AUK_PRJEB4211_v1:7:12950477:12950947:1 gene:GSCOC_T00037618001 transcript:CDP12923 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSVIFFLILATFLCLLFLTLGKEESNQMVIGGSASSTNTVKAECEKENSVHECKQGKINGSEDSNTILENEDYIYTQSLP >CDP02677 pep chromosome:AUK_PRJEB4211_v1:7:5777781:5781938:1 gene:GSCOC_T00040142001 transcript:CDP02677 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSFRFLFLSIITCFYVLGPSCSQLQYPCKPPYDKYQFCDTSLPIKTRAKSLISLLTLDEKIQQLSDNATQISRLGIPAYEWWSESLHGIATNGPGISFNGTIQSATGFPQVILTAAAFNRTLWREIAKAVAVEARAMYNLGQAGLTFFAPNINIFRDPRWGRGQETPGEDPMVTSAYAIEYVTGFQGQNQRGSKHPYRERRFLNDDDQRSGSLMLSACCKHYTAYDLEKWGGHTRYDFDAKVTKQDMEDTYQPPFKSCIVQGRASCLMCSYNRVNGIPACADRDLLHKARNDWGFKGYITSDCDAVATIFENNNFTKTKEEAVAVALKAGTDINCGTYMLRHMKSAIDQGKVLEEDIDRALFNLFSVLLQLGLFDGNPARRQFGNLGSQNVCSSEHKTLALEAAMQGIVLLKNNQKFLPWNKNDISSVAIIGPMANTMNIGGDYTGFSCNPESILQGLKNYVEDTFYAAGCQDVPCNSTAGIPEALSIAKEAEYVIVVTGLDLSQETEDLDRYSLLLPGHQMALIRAVASVSKKPVVLVLTGGGPVDVSFAEGDQQIASIIWIGYPGETGGKALSQILFGEYNPGGRLPMTWYPESFTSIPMTDMSMRADPSRGYPGRTYRFYTGERVYGFGHGLSYTNFNYKILSAPARLSLSGQVKGKSRRYIRQGGGNGLDYVLVDDVAYCDSLRFHVQISIINHGDIDGSQVVLLFSQVPKSFTGAPERQTVGFDRVHALAYRSVETSILVDPCEHLSIVNEQGSKILPLGDHTLMVEDLKHTLSIEA >CDP04768 pep chromosome:AUK_PRJEB4211_v1:7:14874086:14876434:-1 gene:GSCOC_T00018863001 transcript:CDP04768 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLFFVLSSAFYSGIAAQQSPLNISLGSSLTPTGNSSSWLSPSGIFAFGFYQQRNGYAVGIFLAGIPEKTAVWTANRDNPIFSSNVSLILSTDGRLILQLLEGQYISIANPLEPISSASMLDSGNFVLYNSEKEIIWQSFENPTNSLLPGQRLAADHELTSSASETDDSNGIFRLKMQTDGHLVQYPVGTTDVAENSYWASGTNGDGPNITLNLQDDGHLYLINSSVNIVKNLSDGGHPKNKMIYLMKIDVDGIFRLYSYSLDQGRNWSIIWESSADKCDPKGLCGFNGFCTKLDNLVECKCLPGFDFVNQGNWSSGCERSFIAGSCNSTDPMVNYTIEYLENTVWEDNTFFILETNTREDCAKICLEDCNCEAAFFKDGQCKKQRLPLTYGRRAIDPNVALVKVGKPATKDEGVSEIHNNPPKKRKEEGRLYILIIGISLAVSGVLISVTAGVYVCRIKVSAYKQISQIGNVEFVQNVAPTALSFAELDQATKGFREELGRGASGAVYKGILPNSKKVVAVKKLEKVLAEGEKEFQNELTVIGKTHHRNLVRLLGYCLDGTKRLLVYEYMSNGSLENILYKPENRLSWDERIRIVRDIARGILYLHEECETQIIHCDIKPQNVLMNENRCAKISDFGLAKILKHDQTRTYTAVRGTRGYVAPEWFRNLPVSVKADVYSFGIMLLEIICYRKNADWTYSGDEAILEEWAYQCFEARELYKLVGDREVLDVRELERMIKIALWCIQDEPALRPSMKKVVLMLEGTVDIPVPPSPTSFLSAI >CDP02774 pep chromosome:AUK_PRJEB4211_v1:7:6401981:6405911:-1 gene:GSCOC_T00040268001 transcript:CDP02774 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLTTSMIPRKSRTAIMPRAALNQEYKMRVPYELKQGQSRRFHKLPSGLNMEVIFQEGLKHKSSDERKEESQIPPLVFVHGSFHAAWCWAEHWLPYFSQNGYDCYALSLLGQGESDDPAGPVAGTLQTHAGDVADFIQKELKLRPVLIGHSFGGLIVQYYVANMRSNKIQESGRLHPYLAGAVLVCSVPPSGNSQAVWRYLFSKPIAAFKVTLSLAAKAFQTSLPLCKETFFSVSLEDQLVLRYQKLMTESSRMPLFDLRKLNASLPVPSVNNSCTKILVLGANDDFIVDMEGLNETGRFCGASPICLEGVAHDMMLDCSWEKGAEALLLWLSGSDKEVANTEKGRPMAV >CDP01803 pep chromosome:AUK_PRJEB4211_v1:7:8640175:8644868:1 gene:GSCOC_T00036969001 transcript:CDP01803 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKFRPSSAYNSTFFTTNSGAPVWNNNSSLTVGSRGPVLLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISQLTCADFLRTPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHSLKPNPKSHIQENWRIVDFFSHHPESLHMFTFLFDDIGVPQDYRHMDGSGVNTYTLINKAGKAHYVKFHWKPTCGVKSLLEEEAIKVGGANHSHATQDLYDSIAAGNYPEWKLFIQTIDPDYEDKYDFDPLDVTKTWPEDILPLQPVGRLVLNRNIDNFFNENEQLAFCPAIVVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLQLPANAPKCAHHNNHHDGFMNFMHRDEEVDYFPSRYDPVRHAEMHPIPSAVLTGRREKTIIPKENNFKQPGERYRSFAPDRQERFICRWVDALSDPRVTYEIRSIWISYWSQADKSLGQKLASRLNIRPSI >CDP11548 pep chromosome:AUK_PRJEB4211_v1:7:19098851:19102966:1 gene:GSCOC_T00033852001 transcript:CDP11548 gene_biotype:protein_coding transcript_biotype:protein_coding LPKEIDLAVFHLHKVLKYMVAEVAHNYPLKSPYSSFNYPRPNELGCMDSFLENLKELARCDEADESIGFQQDRIQMIQKDLVFLRSFLENIKEQRYQNGKLQAFWSHVMEAAYKAELLIDSALVGDKCEDSLDAVSRDINLLKIDAPEIHNGQTQRVNKTSLHIPSQLAAAMHDEDLVGLDDEVETITHRLTRGSKQLDVVPIVGMPGLGKTTLAHKVYNAPSVRSHFHVHGWCRVSQTCSKHSLLVQLLCSVDSRSPDEYLKEDENNLANKLRQVLLRSRYLLFLDDLWDVEAWNLLKKSLPNDANGSRILFTSRYQDLSLHFEPNSEPHHLRHLTDEESWTLLQRKLFGTEDCPPALSEVGSQIAKLCKGLPLAVVLVAGILATTAQDRWEEVAKSLSSIVLGDEYCMKTLELSYNHLPDYLKPCLLYFAAFKEDEVINVPRLLRLWVSERFVQQAEGKRVEEAAYDYFMALVNKSLVMGVGQRTVGGAKACLLHDLVHEFCVKKAIEESFLYDVHTWNPLGITGPSNPHRVCVSNARELKIWELTLIFPNLRSLILFGQDDFKHEEEDLGILLPKLLRVLDFGYLDFGYSFPMEVVLLIHLRYLALKGIKYIPSAIANLSRLETLVVEDPRFDTELPSTIWNIKTLSHLRVLDSFWPKGFVFPLGNLEGSRDLDRLDTLDLIVDPSPQSLRKILRKLPSIRRLKCAERWKSSREATRNCNEILEFDGLSQLESLHLFGFHGCGFKFPLNLKKLTLSSNGQPWSEISTIGKLPNLEVLKLLYHSFVGEEWVMKEGEFPKLRVLKLSNLEFRNWTAFSDNFSHLQKLVLHWCRKLEKVPSCLGECETLEMIEVEECLESVVDSVEQIQQEQIDMGNEVLRIKIYNYDDRSISSEEESTEAESKPSEAEEVSSERESISSHHAVGNCESECTVGFHSSEQVSSEGED >CDP15715 pep chromosome:AUK_PRJEB4211_v1:7:23586360:23586419:-1 gene:GSCOC_T00015723001 transcript:CDP15715 gene_biotype:protein_coding transcript_biotype:protein_coding MNPARSFGLALVSGNWTDH >CDP04624 pep chromosome:AUK_PRJEB4211_v1:7:17267637:17268398:-1 gene:GSCOC_T00018638001 transcript:CDP04624 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVQILFNSSARHLPSSLFPLQKLLPLKPFSTLFPSPPPYYRHYHHRPIPTCHSIIFSNAHIPHHPSTTPFGFSHSLNSPQFLLSSFFSTSTFFRIFSDQKAKSFHWNYAPDGIHPRENGVIGDKGPIFAAVLLGWLGSKPKHLRRHVELYNSSGIHAVTFVASVKDVLSFDLGKNLEERISGLAVELASWLAQSEKDGRERFLIFHTFSNTGWLA >CDP02145 pep chromosome:AUK_PRJEB4211_v1:7:1698099:1703845:1 gene:GSCOC_T00039446001 transcript:CDP02145 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVDKLLFLIIHFVDKLGIWHRLPVFLGLIYLSIRRHLHQEYNLFNVGKTPAGVRFNPADFPFRTADGEFNDPFNEGAGSEDSFFGRNVLPVDQRNKLLKPNPMVVATKLLARRNLKDTGKQFNMIAASWIQFMIHDWIDHLEDTQQIELTAPAEVASQCPLKSFKFYKTKEVPTGFYEIKTGHLNRRTPWWDGSAIYGSDEKSLKKVRTFKDGKLKISKDGLLLHEDDDGRAISGDVRNSWAGVSLLQALFVMEHNSVCDALKKEYPELGDEELYRHARLVTAAVIAKIHTIDWTVELLKTDTLHAAMRANWYGLLGKKFKDTFGHVGGAILSGLVGLKKPENHGVPYSLTEEFVSVYRMHSLLPDDLLLRDINAATGPNKSPPLSKQIAMPNLIGINGEKMLSGIGFTRQMVSMGHQACAALELWNYPLWLRDIIPQNPDGTERPDHVDLPALEIFRDRERSVARYNAFRRALLLIPISKWEDLTDDAESIKTLREVYGNDVEELDLLVGLMAEKKIKGFAISETAFIIFVIMATRRLEADRFFTSNFNEETYTKKGLERVNTTESLKDVLDRHYPEMTKKWMNSSSAFSVWDSAPNARNPIPLYLRVPQ >CDP12838 pep chromosome:AUK_PRJEB4211_v1:7:13949122:13954415:-1 gene:GSCOC_T00037507001 transcript:CDP12838 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAGAESLPTKEVNVLKGHEGAVLAARFNSNGEYCLSCGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTPDNSKLCSCGGDRQVFYWDVASGRVIRKFRGHDSEVNAVKFNEYATVVVSAGNDHSLRAWDCRSHNTEPIQIIDTFRDTVMSVCLTKTEIIAGSVDGTVRTFDIRIGREISDDLGQPVNCISLSNDGNCILASCLDSTIRLLDRYTGELLQEYKGHTCKSFKMDCCLTNSDAHVTGGSEDGFIYFWDLVDASAISSFRAHSSVVTSVSYHPKDSCMVTSSVDGTIRVWKT >CDP01632 pep chromosome:AUK_PRJEB4211_v1:7:10094481:10096223:-1 gene:GSCOC_T00036739001 transcript:CDP01632 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQGQVCIVLMFTVLAAVPAAASLVTFVFGDSLTDVGNNNFFQLSLAKANYPFYGIDFSGGKATGRFTNGRTIGDIISAKLGIPSPPAYLSISPTDDAILKGVNYASGGAGILNDTGLYFIERLTFDDQINCFNKTKVAIKDKIGAEAANKLCNEAMYFIGLGSNDYVNNFLQPFLADGEQYTHDEFVELLVSTLGEQLTRLYQLGARKMVFNGLAPLGCIPSQRAKSKQRICLKQVNQWVQEFNSKAQGLVTDLNGQLPSAQIAFADTYQHVLDLIENPTAYGFNVSNTSCCNVDTKLGGLCLPNSKVCTNRADYVFWDAFHPTDAANEVLGDRFFNSLFANKAPAPAPAPAPQPSH >CDP02357 pep chromosome:AUK_PRJEB4211_v1:7:3263127:3273925:1 gene:GSCOC_T00039719001 transcript:CDP02357 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKSPISLNINIGRLTPNPVYKPRIFLLKPPEISTVMWKNIAKLVSSRTLRTQEFGSIFNPHSLPRTYSFLGFSQDSIFPEKFKFSTLDFNPCSGNGFLEQGFRKVGEFSTRDEFLARPSLNFARNQISINGLSQKSYASLAEAIAVSSTDVEEDTSVVAADEVHELLSEMKKEDRRQMSFRWRKHHRAVYGMGSKKYHALKRRQVKIETEAWEQAANEYKELLNDMCEHKLAPNLPYMKSLFLGWFEPLCSKIAEEQELCRLRKSKAAYAKYFHQLPADMMSVITMHKLMGLLMTGGEHGSARVVPAACLIGDAIEQEIRIHKFLEKTKKKKVNEEMKMEGDMTTSVIQEQEKLRRTVTNLMKKQKLHVVQQIVKGQDDSKPWSTEVKAKVGSRLIELLLQTAYIQSPADQLADCPPDIRPAFIHTFRTVTNNTKSPSRRYGIIQCDPLVLKGLERTARHMVIPYMPMLVPPVKWTGYDKGGHLFLPSYVMRTHGARQQREAVKRAPINQLRPVYEALDTLGNTKWRVNKRVLSVVDRIWASGGRLGNLVDRNDIALPEEPETEDEAILRKWKWKVKSIKKENSERHSQRCDIELKLAVARRMKDEGGFFYPHNLDFRGRAYPMHPHLNHLGSDVCRGMLEFAEGRPLGKSGLHWLKIHLANLFANGVDKLSHEARIAFTENHLEDIFDSADRPLEGRRWWLNAEDPFQCLAVCINLSEALRSQSPETTISHIPVHQDGSCNGLQHYAALGRDKLGASAVNLVAGEKPADVYSGIAARVLDIMKRDAQKDPAVFPDALRARVLVNQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGAIADDAELFGAACYAAKVTLTALEEMFEAARSIMSWLGECAKVIASENQTVRWMTPLGLPVVQPYRQLGRHLIKTSLQVLTLQRETEKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACRKAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYETPILENLLEDFQKTFPSLSFPALPERGDFDLKDVLDSTYFFN >CDP01473 pep chromosome:AUK_PRJEB4211_v1:7:11689716:11696935:1 gene:GSCOC_T00036541001 transcript:CDP01473 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFVILFLASLCSFVLPDEQGEALYALRISLNASSSQLADWNQNQVNPCTWSKVICDNNYKVTTVSLSNMGFPGTLSPKIGVLKALTTLTLQGNGITGNIPEEFGNLTSLTMLDLENNHLSGEIPASLGNLKRLNFLILNQNNLTGAIPPSLSILQSLVSVQLASNGLTGQIPDQFFEVSKYNFTGNSLNCGLNFPHPCESNNGGSSSKPKVGIIVGIVGGILGILLVGGLLLFVWRGRHRGYKREVFVDVAGEVDRRIAFGQLKRFAWRELQLATDNFSEKNVLGQGGFGKVYKGELADGTKVAVKRLTDYESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELKPGETVLDWPTRKSVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREKKLDAIVDRNLSRNYNIQEVEMMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFDWGEDSIYNQDAIELSGGR >CDP04742 pep chromosome:AUK_PRJEB4211_v1:7:15251138:15255077:1 gene:GSCOC_T00018823001 transcript:CDP04742 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVSGDDSIGRAAIGIVPEELTEENYEEWKRCLEHYLVGHGLWGVVSGEEKDPINDERQEYGEEKNQEHEEWEKKNALALHAIQLSCGPGTYVKLKEAHTSAEVAWKHLVERLKPHRIWAEGDPEDESSRVEEEGPKEYIRYRPLYIAIATGDFDRTKSLLDQDPDAVRAIITSHGETPLPFAILNGQMKIAKELLRRMEQADLEMINDYGCTALTFATISGEKKLARAIVEKNGSLLSKENKLDDGQIPVIVAALYGQKHMVNYLYSVTPKELFSPEVGKDGATLINSLIRAEMYDVASMLLQQYPKLGVTPDKNGYYALQLLAHKPSAFPSGTKLVFWKRWIHSCLMGHSLWRSLTDSAKDDQTTKASMIGDHSIEIHHSSDGETNLTGNRGLQITSFGMSNIKFRLPIFLLLQNYYFSFFSQFLL >CDP01814 pep chromosome:AUK_PRJEB4211_v1:7:8539056:8543984:1 gene:GSCOC_T00036983001 transcript:CDP01814 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLNGGVAPGISGTKCERRVIKKAFRVGILSGISSNHHFLGVSQRKGFRFNNAVKNCAYVSDQGALEYEIGTSGAQETFTSTREKLINGSNEGAIALTGISSEQQQTPSVQTELIMLSVPAIAGQAIEPLAQLMETAYIGKLGALDLASAGISICIFNIISKVFNIPLLSVATSFVAEDISRQDNEESSSDERMTLPSVSTALVLSMGIGLFEAAAMFFGSGVFLSMMGISMASPMRIPAEHFLKLRALGAPAVVLSLAIQGILRGFKDTRTPVLCLGLGNFAAVFFFPVAMYVFQLGVTGAAISTVASQYIVTVLMLWHLNKRTALLLPSLKSLHFGDYLKSGGFLLGRTLAAVLTVTLSTSMAARQGALSMAAHQICLQVWLSASLLVDAQAAAGQALIASSLAKRDYGRVKEITYMAIKTGLFTGISIAVILGLSFPSIAKLFTNDVQVLDIVKSGLLFVSASQPLNALAYIFDGLHYGVSDFPFAACSMMVVGAISSAFLLYAPSIVGLSGVWSGLTIFMGLRTVAGYMRLLAKDGPWWFLQENKKTEVGDMKLYS >CDP02157 pep chromosome:AUK_PRJEB4211_v1:7:1772957:1777164:-1 gene:GSCOC_T00039462001 transcript:CDP02157 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQVTITLGRSGQKVVRRTSDNSEGFLSDAPALSGTKRSRADGSAQDSLFYTNKRLQEDIVSRNSGYTGSADVSLSGNDLRLKLLHKRMSRRIEEQKKKELLEKMSWNTQSSEGPERSLSRNIAPLRRAGESLRMESLQSSYSSYTVDGLRIKAPTRNPQMSGGISSSRAIGEVLQSPMPVLASRAGRMVSSDLLDPSQKGPTSMRVMAATTRTSLDPSKPFKDLPPASSSMLRNTYADEHLTVTSLLHSLGLGKYAILFQAEEVDMAALKQMGDRDLKELGIPMGPRKKILIAMLPRAKRPSAGLPSAA >CDP01637 pep chromosome:AUK_PRJEB4211_v1:7:10044984:10046905:1 gene:GSCOC_T00036751001 transcript:CDP01637 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTADEDKKLISFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPVTHKPLPPSTTITADQPPQEEQPENNLDSDQQAKKDPPPFSNSVSDTIPEIAQQNREAETSMQSTLTEAKEEDDKSNNHSQSPIDSSTMEVNNCFCIDEVPLIEPDAILVPYPNSSSTPSSSSSSSSSCSYDRSSNNYNAFDHHQELLPTMDCCWQLSSSSASSCDYYYDTNNIIMGFWDDDFISNWDMLINDNSDTNNAAAALGVEPSLVQYPPEMVQLDEDSWNFYHL >CDP08411 pep chromosome:AUK_PRJEB4211_v1:7:25313343:25318848:1 gene:GSCOC_T00027258001 transcript:CDP08411 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFPEGPVGTIGLRLSFGILSYLHFSHNVLFILPENQINDGDSSSGRSLDGSFRRPRSGLLFRLFFYVNSSSKFIPTSKRVYKVLKDYAAKLVDLNLFTQCVEDWVLENLHTDSYNHEQYSNTPFQIDELCTLDFALEGVLFQQLFRMPCSPHISEDHIEDELLALEDFLHTVADGLWHTFWHKNKPLPFFVSFPRYPGSKFYSIEKAISRGRLKELSALFYAIHILLARSLSRSNAVSSYSVYVLVLDSKFASVVKLGGDLGNLEVDLNNPYQSVAEWIKFHAEVSFSPVEQIWNKLGNVNWRDMGTLQLLLATFNCIAQWIGPPRKSIASLAADHSLRLQKRRVECRLIENENALIPYQATRHDHGEIDEILVVEDHLLEQRSFQIHECLLEGNCCSYTAVALAHPTELLMLHIVQRQTKVLNILKEQGITSKSLPEIIASGKILHSGPCEKQSPKGCCDHPWCGTPILVTCPVGETLSSILAHHGPFSAEEATRCCRDCLAALRSAKMANIQHGNICPENIICVSDSTRGSCLYVLVSWGRAILEDRDSPAINLQFSSAHALQNAKLCPSSDAESLIYLIYFICGGNMQQQDSIESALQWRQRCWAKRLIQQRLGEVSALLKAFADYVDSLCGTPYPVDYDTWLKRLSRAVDNSIDRGKTIDEVLRIKDTGETSGTSGGGNSSTC >CDP02054 pep chromosome:AUK_PRJEB4211_v1:7:1088998:1092445:-1 gene:GSCOC_T00039332001 transcript:CDP02054 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNMINDADGSPRVILSEPTGSTAEVLLYGGQVVSWKNERREELLFVTKKALRKPYKAVRGGIPIYFPQFANFGSLERHGFARNRLWSLDPSPSPLSPANNQSTVDLILRCTEDDLKAWPHRFELRLRISLSAGKLTLIPRVRNTDNKAFSFTFALRNYFSVSDISEVRIEGLETLDYFDNLMQRQRYTEQADAITFDGEIDRVYLSTPTKIAIIDHEKKRTFVLRKEGMGDSAVWNPWDKKAKALPDMGDEDFKIMLCVDSAAIETPVTLKPLEEWKGRQELSAVSSSYCSGQLDPRKVLHGFS >CDP01466 pep chromosome:AUK_PRJEB4211_v1:7:11798595:11800044:-1 gene:GSCOC_T00036520001 transcript:CDP01466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor C [Source:Projected from Arabidopsis thaliana (AT4G39920) UniProtKB/Swiss-Prot;Acc:Q9SMR2] MAEDNHPPADSTTNAASAFAFSSTTTAATIPESQDAALQRKHAAMVERLNNLHHSRISQKPNSDLTSNAESIQSFLARFSESKLSIESNLARISHTASSDPDSLKSELQNVSVSISTLEKLVAESSYYLPSYEVRTCLKTISDLKLSLDDATSHVIPKKKFSFRNKKKPSADPSPSPAQNSIVNESEKPTLGIEIVGLSGVFQSSQGFRGKENEVLVKEFDREGEIGEFSVSNLKGCEVRLKGCLRALFVNKLRGCRVYVGPVFGSVLIEDVEECVFVLASHQIRIHNAKSCDFYLRVRSRPIIEDSSEVRFAPYCLQYAGIEKDLGEANLSEETGNWANVDDFRWLRAVQSPNWSVLPENERIEMVDISNRNENGG >CDP01982 pep chromosome:AUK_PRJEB4211_v1:7:7243989:7247485:-1 gene:GSCOC_T00037182001 transcript:CDP01982 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEYLVLLLGNYHYILLASVLALGVTVLLSKGTKRGKSGIPGSLGIPFLGETLSFLSANNSNKGCYEFVRLRRSWYGKWFKTRIFGKIHVYVPSVDGAKTIFANDFALFNKGYVKSMADAVGKNSLLCVPHESHRRIRRLLSEPFSMNSLSKFVQKIDCMLSKRLEKLERDGQSFVVLDFNMKMTFDAMCDMLMSVTDASLLEQIERHCTAVSDAMLSFPVMTPGTRYYKGIKARRRLMETFKGMIACRRTGSRHPEDFLQSMLQRDQYPDNEKLSDEEIMDNLLTLIIAGQTTTAAAMMWSVKFLDEHQGVQDRLREEQLSILRNKPNGALLTLEDLNNMSYASKVVKETLRMSNILLWFPRVALDNCTIEGFEIKKGWHVNVDATCIHQDPEIYKDPMLFNPSRFDEMQKPYSYIPFGSGPRTCLGINMAKLTMLVFLHRLTTGYMWTVDDLDPHLEGKAHIPRLRSGCPITLMALKNEHN >CDP02004 pep chromosome:AUK_PRJEB4211_v1:7:7107374:7111920:1 gene:GSCOC_T00037207001 transcript:CDP02004 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASSLLNTWSVVNPSLTSPNKPRRVKRRAGMALASVGSSENPCLGIKVTHGQGNLPKVILTTSHGSEAELYLFGGCVTSWKVANKDFLFVRPDAVFNGQKPISGGIPHCFPQFGPGPMQQHGFARNMNWSIVNSENVEGNPIITLELKDGPYSRSMWDHTFQVLYKVTLDKRTLSTELKVTNTDQKPFSFTTALHTYFSASVTGASVRGLKGCKTLNKDPDPKNPIEGQEERDVVTFPGFVDCIYLNAPSKLHLDNGLGDTILIENTNWSDTVLWNPHLTMEASYKDFVCVENAKIGQVQLEPEQSWTAIQLLSVA >CDP01626 pep chromosome:AUK_PRJEB4211_v1:7:10128733:10130368:1 gene:GSCOC_T00036732001 transcript:CDP01626 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGLPSLILSIGLQLFSFFFFLGNIYSSYELMIIDLCFNFTLLKEILALSSLFMIMIVNF >CDP16687 pep chromosome:AUK_PRJEB4211_v1:7:471287:474784:1 gene:GSCOC_T00019154001 transcript:CDP16687 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDKRKETEQENEGLDEYCYCLTEKDTFDLSYDDGNLFRLHGTSPPKKVRVCETGNGLDHKTDPKPSEDGGAITSVGEEPQDADYSYLPSLDYEVENLILARLPRSEHWKLCFVNKRCLTLLTSGEIFKIRREIGFKEPSVFMLASGETSWWAFDRDFKSRMRLPLVPSDPCFHSGDKESLCAGTHLLVSGREIDGIVIWRYELATNQWFKGPSMISPRCLFASTTCGTSAFVAGGVGVGANSEVYDTAEKYNPEPGFWDPLPRMKRRRKLCSGCFMDNRFYVIGGRNENGELTCGEYFDESKNRWELIPDMFKDDPVRTCHSPPLVAVVNNELYSLEASSNQLKVYLKKTNTWKHLGPVPVRADFNRGWGVAFKSLGDELLVIGASSYSCAGNCMSIYTCCPDPEASEQPWKPLDGGRNRLSHFILNCSIMVA >CDP02742 pep chromosome:AUK_PRJEB4211_v1:7:6203857:6207835:1 gene:GSCOC_T00040221001 transcript:CDP02742 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALLGDKEERKRVVATWDLFLQELKSVSCIALPMVVVTISQHFSRVASMMMVGHLGEFSLSGTSIATSLTNVTGFSLLVITSSYSFLIVSVDFGMASALETLSGQAYGAGQYHKLGIYTYGAILSLIMVCVPILVLWLFLDRLLIFMGQDHLISAEAGRYAFWLIPALFPHAVLQALIRYLQTQINSDLEWWTFEIVILLSGLLPNPQLEASVLSICLLIASLHYFVPYSIGAAASTRVSNELGAGNPEAAQLTPRVCLLVVMDGIQAVLSGLCCLVSLRVARGGGWQHIGAYVNLGVYYLVGIPVAGVLGFVLHLKGIGLWIGLNVGSVLQSSLLSLITSSTNWEKEVDNTLNASTLASHFFTEIVCK >CDP01476 pep chromosome:AUK_PRJEB4211_v1:7:11670997:11674926:-1 gene:GSCOC_T00036546001 transcript:CDP01476 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFSFSSSRNSCFKFSFSLAGLESSTKDLGDGTIMHFWIPKTHKDKKPNLLLIHGLGTNAMWQWDEFISPLSSKFNIYLPDLLFFGDSYTTRPERSEAFQAQCVMRTMEALGVKKMSVVGISYGGFVGYNLAVQFPEAVEKLVLGCAGVCLEEKDMEEGMFLVKSVEDAVSILLPQTPEKLRELMKISFHKPQKSVPSCFLNDFIHTMCAENRQERIELIQALYKGRKFADLPKITHPTLIIWGEHDQIFPLELGHRFKRHLGENAELLIIKNAGHAFNIEASKELCKHLKSFLVNPLTPSKKEINGSSHKKD >CDP01475 pep chromosome:AUK_PRJEB4211_v1:7:11679196:11681622:-1 gene:GSCOC_T00036544001 transcript:CDP01475 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHAQMISSTVQFQTTQFFALVLSFRLQWRHQMPKFKFSSLYNRFYSSSTSGIVSNYLNCRINSLLSSQFLDLKSLLKFHSYIITTGQRNNLFIASKLMSIYAALNHLESCTKIFSSTKCKDPFLWNSIIKAHFSNGNYLPALEFFHKMRFSGFSPDQFSIPMVVSACAELGLVQNGMKAHALVSKLNLFNGNSAVGSSFIYMYAKCGYMDDASLVFDEMLNKDVVAWTALVVGYVQNGESVKGLECVCDMLKIGGDDERPNFRTLEGGFQACGNLSALVEGRCLHGLSVKLGTDCSHAVQSSFLSMYCKCGSLEEAHRAFSEIVNLDLLSWTLMIGFYAKMESLDVCLHMFLEMLASGIYPDGILISCVLLAFSSSMRISQGKAFHGFILRRNYDTGQVVYHGLLSMYCKFGLSHLAEKLLERVHGRDTESWNLIVAGFCKSRLESKCIEMFRKMQHLEIEYNLNCLMSVISSCSRLEATLLGRSVHCHAIKSLACESVSVANSLIDMYGKSGKLNSARRIFSRTQKDTVTWNVLISSYAHNGYSSEALALFNQMVLEGTKPNTATLVTLLSACSQLASLEKGEQIHNYIKEVGFESSLSLDTALVDMYAKCGQLIKSREVFDLMNTKDVISYNVMISGYGVHGDVKSAIEIFEQMEQSNNRPNELTFLAIISACTHAGLVEEGKYLFNRMKEYSLRPTLKHYACLVDLLGRAGSLLEAEEVILSMPIPPDAGMWGALLSACKSHNDTEMGIRIAKHAIESDPDNDGYYVIISDLYSSIGLWEKVERVRDTMKERQVRKRVGWSAL >CDP16641 pep chromosome:AUK_PRJEB4211_v1:7:160420:162140:-1 gene:GSCOC_T00019095001 transcript:CDP16641 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSYLPRLGTRRKLPGPQPVATKDKIWTMHLGQQNQRISSPPPPRTNGFVASDGSMLLLKL >CDP16654 pep chromosome:AUK_PRJEB4211_v1:7:237971:245304:1 gene:GSCOC_T00019113001 transcript:CDP16654 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAWSIEKRASFKTESSVGDDDNVPDTGCLSIIVLGASGDLAKKKTFPALFNLYRQGFLPPDEVHIFGYARTKLSDDDLRDRIRGYLSHGKDNGEELSKFLQLIKYVSGAYDAEEGFRALDKAIFEHEVSTKSSEGSARRLFYLALPPSVYPSVCKMIKLYCTNKSDLGGWTRIVVEKPFGKDLASAEQLSSQIGELFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVFCLIAMEKPISIRPEHIRDEKVKVLQSVEPIKEEEVVLGQYEGYTADPTVPDNSNTPTFATVILRIHNERWEGVPFILKAGKALNSRKAEVRVQFKDVPGDIFRCQKQGRNEFVIRLQPSEAIYMKLTVKQPGLEMSTVQSELDLSYRQRYSGVTIPEAYERLILDTIRGDQQHFVRRDELKEAWEIFTPLLHRIDRGEKKTVLYKPGSRGPTEADELLQRAGYVQTHGYIWIPPTL >CDP02244 pep chromosome:AUK_PRJEB4211_v1:7:2434864:2435990:-1 gene:GSCOC_T00039583001 transcript:CDP02244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:Projected from Arabidopsis thaliana (AT3G29030) UniProtKB/TrEMBL;Acc:Q1ECM3] MQLLGGLLFIVGLLSVVSSAHGYYGGWMNAHATFYGGGDASGTMGGACGYGNLYSQGYGTATTALSTALFNNGLSCGSCYQIVCANAPRWCLRGSIMVTATNFCPPGGWCDPPNHHFDLSEPAFLRIAQYRAGIVPVVYRRVPCRRRGGIRFTINGHSYFNLVLVTNVGGAGDVQAVYIKGSRTRWQQMSRNWGQNWQSNSYLNGQSLSFKVTTSDGRSVVSYNVAPPSWSFGQTYSGRQFY >CDP02582 pep chromosome:AUK_PRJEB4211_v1:7:5014119:5016354:1 gene:GSCOC_T00040013001 transcript:CDP02582 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHRLSNVVITVFSLSLVACLSPDLVSAQLKRNYYANICPNVESIVRNAVTQKFQQTFVTVPGTLRLFFHDCFVNGCDASVIIASTPNNKAEKDHPDNLSLAGDGFDTVIKAKAAVDAVASCRNKVSCADILAMAARDVIALAGGPSYAVELGRLDGLSSTASSVNGKLPQPSFNLNQLNAIFAAHGLSQTDMIALSGAHTVGFSHCNRFANRIYNFSPQNPIDPTLNRAYASQLQAMCPRVVNPTIAINMDPTTPRTFDNVYFQNLVTGKGLFTSDQVLFTDSRSKPTVNAWASNPQAFQNAFVAAMTKLGRVGVKTGRNGNIRRDCGAFN >CDP02600 pep chromosome:AUK_PRJEB4211_v1:7:5201932:5202372:-1 gene:GSCOC_T00040039001 transcript:CDP02600 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSTDLRTKYNVRSMPVRKDDEVQVVRGTYRGREGKVVQVYRKKWVIHIERITREKVNGSTVNVGIHPSNVVITKLRLDKDRKSLLDRKAKGRAAADKDKGTKFTAEDIMQSVD >CDP04638 pep chromosome:AUK_PRJEB4211_v1:7:17032867:17038538:-1 gene:GSCOC_T00018656001 transcript:CDP04638 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMRPLPSVIQFNQLLTCIVKMKNQYSSVISLFRDMCVKGIPVGEYTLTIVINCYCVVGRVDLAFSTLAGFFKRGFVPNVVTFGTLLKGLFREQKVPEAQELFTKIIKEKLCKPNETMLGIVIDGLCKAGNTQTAIEFLRAMEKRGSPCKPTAIIYNTIIDSLGKDKMVDEALALLQEMIEKDIPPNVVTYSCLIQVLCSLSRWKDVDKLFAEMKVYKIVPDVITFSIVVDALCKEGHIEDAEEVVQIMIQQGQNPDLVTYSSLMDGYCLQSRIDDASRVFNTMIASGLTPDLHCYGILINAYYKTKKVKAAMKLFREIPHKGLTPNIVIYNTVLHGLFSSGRYLSARDIFNEMQAYGMKPDFPTYCVVLDGLCKTGHIDEALQLFHEMETDGTNLRIKMYNIIIDGLCKSRRLDSARDLFNNLSLKGLDPDVITYNTMISGLLSEGLLSEAKELIGKMEEKGCLANSVTYNVILQGLLKGGHYDDAVVYYEEMVHKGFLLDASTFSILLDSSAGNQSNPSLLMLMLKTDPDSKKFKDGGQSGPSHYRFAWGSSIDKCSRSKKSAAINWICTTSYERPLVNLSMGNLSVAYHLSGNLYRAGEQWNLYSRV >CDP02752 pep chromosome:AUK_PRJEB4211_v1:7:6274364:6275351:1 gene:GSCOC_T00040236001 transcript:CDP02752 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSVLLLVVMIVGEVQVSRAIICSPTELSPCVAAFISQQPPSGACCSKLREQRPCFCGYLRDPYLRQYVNTPNGRREASFCGVPSPRC >CDP08410 pep chromosome:AUK_PRJEB4211_v1:7:25328406:25329910:-1 gene:GSCOC_T00027256001 transcript:CDP08410 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSWNTWGSSSNNVDDDDDDWELIQWAMVILFNPVSERLAGYILPLPCKNSMLSGRAYVQEVIDGHPARVLENCRITVDSFMRLCDILVSGGYVPQNLQKRVLIEEVVCMTLVMLSHNHRMRCLAERFQHSPETICRNIHEVLRGLCELGKILIKRRGQNEIHPKIYTDRRFAQWFTNAVGALDGTHIPAHPPPGQQAAYTNRHGQATQNVLAICDFDMRFSYIYAGWEGSAHDARVLDGALTGPTHFPMPPPGKYYLVDSAYRNIPRFLAPYRGTPRQNAQGRRGSSSPKQLFNTRHSSLRNVIERCFGVLKRRFTILRGPVPNFYMSTQKNVVIACCTLHNFIRDELPDDDIFNDHDQEMDIEGEGGVPPMPEIQPLSASQQEVNEWHEMRDEMANGMWNAYRSARRR >CDP04582 pep chromosome:AUK_PRJEB4211_v1:7:18622697:18628879:1 gene:GSCOC_T00018557001 transcript:CDP04582 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNPPTTHAFSSKDDRKCATVRNLCIRASVASSAPPQSLQVAENKAHNKSDKFRIGVLGASGYTGSEIVRLLANHPHFKITLMTADRKAGQPIGSVFPHLVTQACIFMISQSDLPDMVAVKDADFSSVDAVFCCLPHGTTQEIIKGLPISLKVVDLSADFRLRDIGEYEEWYGQPHQAPELQKNAVYGLTEISRKEIQGARLVANPGCYPTSIQLPLIPLLKAGLIEVKNIIIDAKSGVSGAGRGAKEANLYTEVAEGVHSYGITRHRHVPEIEQGLSDASNSKVTVSFTPHLMPMSRGMQSTMYVEMAPGVSTMDLYQHLKSFYEDEEFVILLKKNEVPHTRHVRGSNYCLLNVFPDRIPGRAIIVSVIDNLVKGASGQALQNLNLMMGIPENTGLLYQPLFP >CDP02273 pep chromosome:AUK_PRJEB4211_v1:7:2640752:2642345:1 gene:GSCOC_T00039624001 transcript:CDP02273 gene_biotype:protein_coding transcript_biotype:protein_coding METCLFSSKPFSKTTEIIPFNRIRVPTLTGRKFCRHQSKKVGIRYSISCCQLGRKSQSPEDEERPSVDVDWRSFRARLVAGEKASTTGGGPFSAVIDPDRVADQPPTITIGDKWAHTIHEPEKGCLLIATEKLDGVHIFERTVILLLSTGPIGPTGIILNRPSLMSIKEMRSSSALDVVDTFSDRPLFFGGPLVEGIFLVSPEDGKDGVGRSGVLEEVMKGLYYGTKETVGCAAEMVKRNAVEIGDFRFFDGYCAWEREQLRDEIRSGYWTVAACSPSVIGLARVGSVGLWEEILGLMGPRKAASGVNGLFYFQYCKKCILIFDILVVPTHNLGGTLILV >CDP01533 pep chromosome:AUK_PRJEB4211_v1:7:11067149:11067507:1 gene:GSCOC_T00036618001 transcript:CDP01533 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIFFHNQIAFIFTSSKVILEAINRLSILLAFTILLNSVQPILSGVAIGSGWQAYAANINLSCYTIFLGLFFHFRVMVWDLQMFLCILLGKLF >CDP02286 pep chromosome:AUK_PRJEB4211_v1:7:2707027:2710904:1 gene:GSCOC_T00039639001 transcript:CDP02286 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIVNSLVASEISESDDISSHKSSISKPSSPDNAGSILPSINRTSPDSCPDFNDSRRNSTGKRSNTKVVPHYLRASTGSCHDFCKYGHKHAFEEKEMHPFRKGIIRTPIEKQNSALTASLVEKKKVTVMRRMVSPGMKFHSSRHGSSPGPINLADRPTMIKQLPAKKVEVSPKCDPLPQHKESKSEKRMNNFSSKHPPLQPPPTKQLSSFRTLKSAKQAVKDEKPMSNFSLQSSPSVGPRPSAKNAEKAEKLRNNLSEKSSPSVGRQQPVSKSSSCFHPPESVKGRDKRKDDMKASRNLMVTKVSAKKVFAAPTALLSPKPSMNINVAMKAKKNRTLKVVCLKDQNRVQGEEMEKNNGEIASEKTLHVIVEEKKNHMAEFTDNSVVPSFALQSVPSPKSLSRSPSPSLSSHERRGGVDGGCSGDGDGNGDSESLSSHGRRGENDDGDGDSVEEEEGGGGGGGGEFGTYDDDDDEGEDAEEGNGYACETTGEFCNKNDMAKHNGGEAGDGNLRKTLRKGVVFSESKDPRPVKLKFKRGKVVDLQSENNGGPRRLRFRRPRVMEEKHDLKGELRRRNFKKKEPDGDGNGSKPRNENVVLKHQDMQGRKDAQGLFNNVIEETANKLVESRKSKVKALVGAFETVISLQDTKPSPQTVS >CDP02801 pep chromosome:AUK_PRJEB4211_v1:7:6632363:6633523:-1 gene:GSCOC_T00040300001 transcript:CDP02801 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNSSATWVQTPSIYNNLTSSRHNSANSYLYHPLKNKLPFSIFLPNPIIKTAIPFSSFSIAAILTKEKTSAPQEESSKSKEEQIFPTPPSFDFKAYVLQKADSVNKALEEAVLLRDPLKIHESMRYSLLAGGKRVRPMLCIAACELFGGQESVAMPSACAAEMIHTMSLMHDDLPCMDNDDLRRGKPTNHKAFGEDVAVLAGDALLAFSFEYIATATKGVPSERVVRVIGELARSIGCEGLVAGQMVDICSEGMSDVGLEHLEFIHVHKTAALLEGSVVMGAILGGANAEQVAKLRKFARCIGLLFQVVDDILDVTKSSQELGKTAGKDLVADKTTYPKLLGIEKSREFAEKLNREAQDQLAEFDPEKAAPLIALANYIAYRDN >CDP01565 pep chromosome:AUK_PRJEB4211_v1:7:10770868:10774182:1 gene:GSCOC_T00036657001 transcript:CDP01565 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVYEELDDAKAEIEKLKANYQIKLEFSESLKRAHNEQLVKFQEVNLKVGKLSQELNEKAEEISVANQMYEELKSKLKEKEAVIEHLTCANDKLRADYKEKLQSSEEENRGLALALDEVNAKNMDQEQLIRSLQVEVEGLKGIVSASQNKCSEADRKHKALQEVRRGEDVLLKLEDEKSKYENQLKWKKEQFGHLEEAHKKLRHEFQVCQKEWEKERVSLLDEISRLQTNLDSQTRISESLKSRLEMCNQALAHEESKRKYLEVQLSESRTNFDNVFADYEEAKSTIESLTGQRDKEIASLRNSLCTKESIYKEMEHQVRVLDQDKQELMISLRELQEAQIREGGSTSSLTKLRNKLRGVEQVHKDCSMRLMSKEAEWNSQLEILMQKLSSCSSELESKNTLIDQLKMEAEAQDSMIAQLASHNEEVTLMVLLLKSGLLEAQMKLADAYVDLDHERKKSEQSLSLFRGLERVHKYCSERLRSKEAEWNSKVECLMEKLNGCSSELESKSTLIDRLKMEAEAHDSTIEQLTLQNEAAGVVLLLLKSGFLEAQMKLAESYVDLEETKKKSEDRQALLLEQLELKNIALAKAHSDTEKERKKVAHLSEKVESVTCIEEQQLLQHKELERLEKLLSESYTCQHGLKKQVLSMTIELKEVCNALNAAYQELDDKTSRGMEFEFELQIWKPFAQGLRDNLEENHQLHREAEASFHAQVMSLKSDLKKVRKTLARANEELAARFCEGNQAEFELQIWKSIAEQLKANLEDNHHMRREVEASLLAEISIQVNLRQERDGLLNQLDEKDKRLEELGERITFLNQEIETRAKKDATPDSTKNAKFYSSRTSLQSPDGSSDYSQEEEEWVKRQLEGAIFAQVDAEQNHEHERESLHHLVEERDQRIEHLQQLVKSLEQEFESSTSSFSSMLSEMQVEIKMFHDFWHKMATTLFLKEMEIQEKDLINAELENDLSYLKTGSLANKSEVQILRDELEKEQSRSDALIQKLNEEKGKIIEDVVKLSSDRENLLDTLEGLYERMQRLSMDDLQLMEGLRNILHNFNSTELGIDFRGEDEYFDPVKENKIHYASPRTTKAEVILDERLPLRAINSGLLL >CDP02017 pep chromosome:AUK_PRJEB4211_v1:7:7003788:7005800:-1 gene:GSCOC_T00037224001 transcript:CDP02017 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 18 [Source:Projected from Arabidopsis thaliana (AT3G50870) UniProtKB/Swiss-Prot;Acc:Q8LC79] MMHGNRCNGGSHGNMAGPCSCGMFHTHQANSFSMLFSMPNHHNKPFDETAEMYSFASSPPSSSVDCTLSLGTPSTRLTNNDTEKRRSSYMSNFCWDILQSKHSSNSPHHSSHKSSRANSHTNSNTGGGDGLLARRCANCDTTSTPLWRNGPKGPKSLCNACGIRFKKEERRASAAAATSGTNGVPGGADSQHMLNSSWVHHSQTQKMPYLSSAYGNEFRFIDDDDRDSDNGVPFLSWRLNVTDRPSLVHDFTR >CDP01418 pep chromosome:AUK_PRJEB4211_v1:7:12356594:12362198:-1 gene:GSCOC_T00036460001 transcript:CDP01418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartate aminotransferase and glutamate/aspartate-prephenate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) UniProtKB/Swiss-Prot;Acc:Q9SIE1] MPAASSYSLQTCSSSARSIASKTTQPLALTDRHQRCRSLSFSSQLHRLDLSIKIADSRRELDSNRLNAVVRAQSSLGTMEVDISLSPRVNSVKPSKTVAITDQATALVQAGVPVIRLAAGEPDFDTPAVIAEAGINAIREGYTRYTPNAGTSELRSAICRKLKEENGLSYTPDQILVSNGAKQSILQAVIAVCSPGDEVLIPAPFWVSYPEMARLADATPVILPTQISDNFLLDPKLLESKLTEKSRLLILCSPSNPTGSVYPRKLLEEIAGIVARHPRLLVLSDEIYEHIIYSPATHTSFAALHGMWERTLTVNGFSKAFAMTGWRLGYLAGPKHFVSACNKIQSQFTSGASSISQKAAVAALEMGYAGGEAVATMVKAFRERRDFLVKGFRELDGVKISEPQGAFYLFLDFSSYYGAEIDGFGKIDGSESLCRYLLDKAQVALVPGEAFGDDTCIRISYAASLSTLQAAFERIKKALVTLRPPVPV >CDP01715 pep chromosome:AUK_PRJEB4211_v1:7:9333832:9334590:1 gene:GSCOC_T00036854001 transcript:CDP01715 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQRIHPVRDPEAGAPQRTTVPLVSGGAPQSEKGDPEGNYYQPHQRTIPYSYSKPPKRNCCKKCLCWTLALIILLILLIAISAGIIYLVFRPKLPKYSVDSLTITHLNLNNDNSLFATFNVNVTARNPNKKIGIYYEGGSDLRVYFTGTQLCEGSLPKFYQGHRNTTVMNVTLTGQTQDANGLLQSLQAQEQTGNIPLYLRGKVPVRLKLGGLKLMKWKFLVRCWLNVDSLTTDNAIRIRDSRCKFRFRF >CDP01421 pep chromosome:AUK_PRJEB4211_v1:7:12298066:12301412:-1 gene:GSCOC_T00036464001 transcript:CDP01421 gene_biotype:protein_coding transcript_biotype:protein_coding MFIENFKVDSPNVKYSESEIHSVYNYETTELVHENRDGTYQWIVKPKSVQYEFRTDIHVPKLGVMLVGWGGNNGSTLTGGVIANREGISWATKDKVQQANYFGSLTQASSIRVGSFNGEEIYAPFKSLLPMVNPDDIVFGGWDISNLNLADAMARAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNLIKGTKKEQVEQVIKDIREFKQKNKVDKIVVLWTANTERYSNVAVGLNDTTENLLAALDRNEAEISPSTLYAIACMYENVPFINGSPQNTFVPGLIDLAIKRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVASNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFLGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEGKFHSFHPVATILSYLSKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >CDP12924 pep chromosome:AUK_PRJEB4211_v1:7:12938102:12943711:-1 gene:GSCOC_T00037620001 transcript:CDP12924 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTLSLLLTFILLLHHLQLRHCSAVVDDEIRSLLEFKKGIKIDPLNKIFTTWNETLLDPSIRSRNNVTCPSSFYGVLCDPSSNSITAINLSGLGLSGELKFSTLLPLKSLQNLTLSGNSFTGRLVPAVGTMTTLQHLDLSNNQFVGPIPDRINDLWGLNYLNLSRNNLTGWYPGSTYNLNQLKVMDLHQNFLSGSVEFLFSVLRNVEYVDLSGNSFVGSLALSAQNVSSLANTVQYLNLSGNNLAGGFFTADVMQLFRNLRTLDLGDNGISAELPSMATLPILQVLKLGSNQFYGSIPVELLQGPVPLLELDLSSNQFSNSIQEVNSTTLRTLNLSSNVLSGSLPPSLGNCVLADLSRNMLSDDIRVMDNWGASLEVLDLSSNNLTGSISNWTLLQRLSLLSFRNNSLVGSVPSELGDSPRLATLDLSSNKLDGSLPGSLFKSQTLTSLNMSGNHLNGRIPIGASGASELLALPSSFPIELLDLSDNSLTGFLPSDVGNLGRLRLLNLARNQMSGDLPSELNKINGLEYLDLSNNNFKGKIPDELSSRLEVFNVSYNDLEGTVPENLIHFPDSSFHPGNTLLILPPGGSSPHHKVPDEIDVRGKHHSSKSSIRIAIIVASVGAVVMIAFVLLAYYRAQHHDFRGQGGFSGQTAGRDDRLGRFSRPSLFKFHTEEPPPTSLSFSNDHLLPSNSRSLSGPLDSSTEIVERVLPEGSATGSTYVNPNVQDNRPATSGRKSSPGSPIASSPRFIDTFEQPVILDVYSPDRLAGELFFLDASLAFTAEELSRAPAEVLGRSSHGTLYKATLDNGHMLTVKWLRVGLVKNKKEFAKEVRKIGSIRHPNVVSLRAYYWGPREQERLVLADYIQGDSLALHLYETTPRRYSPLSFSQRVKVAVDVARCLMYLHERGLPHGNLKPTNVILEGPNYDARLTDYCLHRLMTPAGIAEQILNLGTLGYRAPELANATKPMPSFKADVYALGVILMELLTRRSAGDIISGESGAVDLTDWVRLCDQEGRGMDCIDRDIAGGEEHSKVMNDLLAISLRCILPVNERPNIRQVCGDLCSIDL >CDP01609 pep chromosome:AUK_PRJEB4211_v1:7:10270916:10271791:1 gene:GSCOC_T00036711001 transcript:CDP01609 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFLCTLKKIIIIVFTPPRNGPTIWEIGIPDRTAAEFHVPDGNPRLENPLFMNHPENCCIYHFCTSLFVLSKALSTIHLKKSVTFEVVMVNDPSASSPQFSTGLIGKDKAIARHGIHGLYQLFSICLPGHRLISGSNTIYLRQSSGEYIFNGVMYDYLRLEGPPVQTN >CDP02366 pep chromosome:AUK_PRJEB4211_v1:7:3335487:3336700:1 gene:GSCOC_T00039728001 transcript:CDP02366 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGDATELYYLLTSNPTQYPSHFGLNNNNMPSFNLSRISNPLCHLQINPQIQDFNPQMASFSCNSTSDEADDQQLSIINERKQRRMISNRESARRSRMRKQKHLDELWSQVVWLRNENHQLIDKLNHASECHDRVLQENTQLKEEASELRQMLTEMQLNSPYYNLRDLEDDPCKGLSQD >CDP01415 pep chromosome:AUK_PRJEB4211_v1:7:12376057:12378819:1 gene:GSCOC_T00036457001 transcript:CDP01415 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMVKPFLQGRRLLETFLVLCLLVYVMDLPSAAKAAGKVNKHVWDVRYNYVSPDCYKKLAITINGLVPGPTIHAVEGETVVVQVTNSLVTENVAIHWHGIRQIGTPWSDGTESVTQCAIQPGDTFVYQFVVDKAGTYMYHAHYGMQLGDGIYGMIRVKAAHKEPFSYSSEHEILLSDWYHKNAYEHAIDLSSEPFVWVGEPNSVLIQGRAFACNEPGTEAGQCKEPNRKCSPFSLNVRPGRTIRLRIGSLTALSTLSFEIEDHEMTVVEADGNYVEPFKVKHLYLYSGETYSVLIKTSPKKDRSRNYWMVAKVVSRESSTPSGLAILNYNFNPPLALPPTDPPAGPHWNDTSDRIDQSVAVKAHHAYLNPPPKKSDRTIILLNTQNKIEGKVRWSLNNVSLNLPHTPYLIALKENLSYNTEAHPSTGGLYRLKFNSIVDVILQNANTMTPNVSETHPWHLHGHDFWVLGYGRGKFNMATDTASYNLTNPIMKNSVPLHPYGWTALRFRADNPGVWAFHCHIEFHFFLGMAVVFEEGIDQVGELPDSIMGCGETKPFVKP >CDP01731 pep chromosome:AUK_PRJEB4211_v1:7:9210954:9211957:-1 gene:GSCOC_T00036875001 transcript:CDP01731 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASSLSLFLLSLSLLSLTATARPCKTLFFYTTTTSYYPSTTTTAASFRQNPNFEILPKFHSYSPKFLTFFFTTVNSREETRPYVSYLSLRRTRANPFPLYFEEVPAAEEEKQQRSSVESSVMPLGIYSSVSTSSIRDRTKDIMSVVGALLFGVGCGALTAATMFLIWSLFSPHRFDFDDSDDDDDGYDNGDDVASPKKIGYIAIPGDVDLVKNKDFNSVPQKEVA >CDP16701 pep chromosome:AUK_PRJEB4211_v1:7:536387:538594:-1 gene:GSCOC_T00019171001 transcript:CDP16701 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVEVSREDLLKFPYWSPIRRRFDPESSFFAAGNVERELLAKQVALDLTEEAKLQFRNMEDEESSKLYCPLVGCGAHLRSLDEFEDHYTSQHSAACSVCSRVYPTSRLLSIHVSEVHDSFFQAKVARGFAMYECLVEGCGLKLKSYKGRQQHLVDKHKFPTSFEFFKKAHPSKKQRKKDQHKQAFGKKEAASRATQMEEDTMDNLVSAVSKLSASDSPSSISFGRRHNRGLTFVPRAVRQAKEPESSARRS >CDP01809 pep chromosome:AUK_PRJEB4211_v1:7:8588417:8597875:1 gene:GSCOC_T00036976001 transcript:CDP01809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MSSEEAQTAESVETLEKDATTPEKQLVDINNGREGELVIANGSHIRDQEIGDSHDKLVQMVVELNFQNEYLKSQLMGLKNLRLDSDGITPHKETVVAQEKSDGVKELEEQIESLRRELLEERQTRDAAEEALKHLRAVYSEADAKAQELAAQLAEAQQKMDQEIKQRDERYSELDSKLNRLHKRAKQRIQEVQKEKDDLEAQFRDANEKAEQSSSQLSAVQQELERTRQQANEALKAIDVERQQLRSANNKLRDNIEELRHSLEPKENVLEAMQQSLLEKEQMLENMRGSLQAAEEKRLAAMAELSSKHQMQIESLEAQIADALAERSKATETISSLRALVAEKESKIAEMDAASSGELARLKAAVETVKGELIHLKSEHEKEKESLEMVSQSLRMKLETSESNYIRAEVELAKMRSQLESELSVQAQLINQKDSELLAAKEEISRLESEFSSYKVRAHALLQRKDSELAAARENEQLKALEEAYKEAEKEILLLSAERDKALQDLQNALASHAKDLSARDEALSIAKQQVKSMEIKLSSALSSHRSEREAWEVNLQNVEETWRLRCEVLKAENEVSSGQTLQKELQDIKLQNKKLKDDYHSFRELADRMMEEKDKEISRLLDDNKSLRQLLDSRPSADRVDIDDSGPGKNDAPNSSTSAAEQQILILARQQAQREEELAQSQRHIFALQEEIEELERENRLHSQQVAMLKEELRNMDRKQKREGVDLTYLKNVILKLLETGEVEALLPVVAMLLQFSPDEVQKCQNAYRSSTAAPPSPASDGVGSGLSLFSRFSFS >CDP02236 pep chromosome:AUK_PRJEB4211_v1:7:2373722:2374858:1 gene:GSCOC_T00039570001 transcript:CDP02236 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGSALICSYTNNHRLLESALADLKKKEDCLLCPAGFAANMALITAVGSVGLLLAEGGKPKRDERVAIFSDALNHASIIDGIRLAEKQGSLVNIERLYWSLYRRLRLTITFLASMLLASANSKCSSEIQMSPWSSTRKNTCIHTPVPYIPCCFNFRNQRHRNHVSMASYISPLIY >CDP16686 pep chromosome:AUK_PRJEB4211_v1:7:463724:467256:-1 gene:GSCOC_T00019153001 transcript:CDP16686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein [Source:Projected from Arabidopsis thaliana (AT3G27160) UniProtKB/TrEMBL;Acc:A0A1I9LRJ3] MAAAASSIANLLSFFAPSSKPPAQLKLPQPSRLFIPACSTKDGLALAAATRLENQQYLPLSSSTDNDLNNELMSVVCPSLAYANTLFFRSAYNVQVLVDENEPEEKLLNRFRREVMRAGVIQECKRRRFFENKQDEKKRKSREAAKRNRRRRPQSRGSFQDRQETSKNSKEDEDEDNWELPDGDLPY >CDP02258 pep chromosome:AUK_PRJEB4211_v1:7:2548595:2551066:1 gene:GSCOC_T00039606001 transcript:CDP02258 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFVAPSSCKSLLVSGKPWNHKAVVLPPRLQCGFTFSCQTKPKPLMIIAQMANPSTYSSRISTDVPLYELPGATFDQYLEDKPRVFRAIFPDKQRSQQLSEEEWRINMLPIDFLFQTVKPVIDMRVRCKSQGIEYPPEVPNDITKVVELEIIRWELQGLDDVLKPSQFSLGVKGILYPDRRGPRTRLTGTLQINMSFILPAAVSLIPEEVRREVAESVLRRLMENMKSKVNGSLLADYSSFKREKSIR >CDP12787 pep chromosome:AUK_PRJEB4211_v1:7:14488243:14490211:-1 gene:GSCOC_T00037438001 transcript:CDP12787 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFIAMKADEKKKPKERRPFLASECRDLAEADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKGHWERRIIELGGPNYIRNSAKMTDLDGNIVDVPNPGGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDDEDGILEKLEGPAEEKMRAAALADWNADLEKKKEARRGVKSGEVAEAGLASKILYEEVEDVIEEERRMEREREEKEKEKEFVVHVPLPDDKMIERMVLEKKKMELLSKYASDDLVEEQSEAKAMLNIQR >CDP11592 pep chromosome:AUK_PRJEB4211_v1:7:20976165:20977518:-1 gene:GSCOC_T00033937001 transcript:CDP11592 gene_biotype:protein_coding transcript_biotype:protein_coding METNQRCNKRSYHQTLNHQAKKSPHFFKVVFSPVDQGIKIPTAFMRKYGDSLEKVVWLKVPNGASWPVDLLQTDAGTWLDKGWKDFAEYYSIEQCYFVVFRYDEKSLFNVIIFDLTASEIEYPLEATQDSGVIHGNEGRLPRRRRPSPMRNSDEMQKASDDDSIEILEEIPAAACHAKQGGKSARIGEEKASACIKKDNVEKLDANVNPSQRATTKESFKTTLSTQSSNPKIKTVLDKDKFSSYQRAEAFTSENPFFIRFMQPSYVTSRCALSLRLSFALKHLTKDKYCNLDLRVSEGTKTWPVMCFIYANNAKITQGWEKFVLDNNLVVGDVCVFELIRGSRTFIITIYRRN >CDP01587 pep chromosome:AUK_PRJEB4211_v1:7:10456726:10460961:1 gene:GSCOC_T00036685001 transcript:CDP01587 gene_biotype:protein_coding transcript_biotype:protein_coding MVCESQADDDCSLLDPANLDMLLRSAMAFRWDGVFLLSDCCDPFNDKALRASGGASFQLPVVSGGWTCLDALRRVFHMKIVPIPRYEQGNFLGPTILCDVATNMECYKEEMFRPVLCMQADRFEEAIAILPSLGVAARKFQNDVESGLVGINVAVPIPLPFSSVNGSKASFSGDLNFCGKAGLQFYTQIKAVAQQWKDLPTRRQEGALAMPSISERDSVNLKVSLLMPLAAQIDAAGQGESSPLCSVSERTYPCQTSQWGDPLPLISQSTETAPSTAKKVYMAPNSQRIDTLAPGIQRTDAVDASDSERLYFPVTCSSEINPIFLRNDSVSPMSLRHDIQMTDINVHPASEMVYMPVMSKLKKNVGPTSQRTGVLHLKPDKMYMTSHRRDGMGMMPLMAKASVPPASGSLYMSTSRSNVMASTSDEMSVPTEIQHDGISSKSERLFMPASSQGINAENQLMSAHNYRGQITPQTHPSSQSLLDATFPYNSNAERYNFSLAWL >CDP01653 pep chromosome:AUK_PRJEB4211_v1:7:9873664:9881547:1 gene:GSCOC_T00036771001 transcript:CDP01653 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLLVLDLVMSHTLGQLYVSFCSYQKHMQQLMPHEKSNKTGKILSAYQVSKNTNFASLVAGILVESGTPGGNNFKGFSPELKFPEAEFAPYQNKNFEWNPSGSGIMWKAYNFPVFLLSENSTLALLEAATKNEKSKESYTSDVAEFGLVMQTTKSGTRDSESCLREETCLPLGGYSVWSALPPINTSTSQKSKPIILAVTSMDSASFFRDKSLGADSPISGLIALLGAVDALSHLNGLHLSKQLVFVVFTGEAWGFLGSRRFLLELDQHSDAVSGLDFTLIETVLEIGSVGKSFDQGVKKFFVHTTEAASISNQTLDALKRAQDSLKTENVKISVASKSNPGIPPSSLMMFLRKNPDSSGIVLEDFDTAFTNKFYHSHLDNLSNINASAIAAASSLVARSLYILASEKNEINDSVLTAISVNISFIDELLGCLLDCEPGLSCDLVNQYISPSAACPSHYVGVIQGEPSSSPYPGYVGDVSRFLWNFLADKTSVPTENRSATCPKHCSGTGELCIRGETDGKGVCVVSSTRYVPAYSTRLKFESDMWKLLPTNSSDIMGAADPVWTESNWDTITLRVYSVQHASYDRLILLLGIAVTVAAYIAIVITRSVIRKALKRD >CDP16699 pep chromosome:AUK_PRJEB4211_v1:7:530932:532914:1 gene:GSCOC_T00019168001 transcript:CDP16699 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASMSLDLVVGFRGLSLGSSSSSSSTFLRGDFGTIHVPPKVTVSFPLNAPLTIESAHKKGAGSTKNGRDSPGQRLGVKIYGDQLAKPGAIIVRQRGTKFHPGKNVGIGKDHTLFSLIDGLVKFEKYGPDRKKVSVYPRELQPENANSYRARKKESFRLQRERRKARKEAREANLMEPELVLASIGEASETNPVC >CDP02251 pep chromosome:AUK_PRJEB4211_v1:7:2469436:2470454:1 gene:GSCOC_T00039595001 transcript:CDP02251 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKKKTKVSPEPEVDEESNESAPKAGANRGISKLDLAMRMVAAIGTLGSALAVGTANGAGPFFAGFFRFGAGYDDLPTFTFLVVTNAIVCGYLVLSLLLSIFHILKSSARVTRVILIILDTVMVAYLTGGASSAAAMVHLAHKGNGGAICQQHNSFCDRVAGALVGSFIGVVVLLLLISMSAVALSRH >CDP01847 pep chromosome:AUK_PRJEB4211_v1:7:8257480:8263732:1 gene:GSCOC_T00037021001 transcript:CDP01847 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLIEFLKACCRPSSDRCAHSGSDTAGRQDGLLWYKDTGQHSIGDFSMAVVQANNLLEDQSQIESGSLSLLDSGPYGTFVGVYDGHGGPETSRYINDHLFQHLKRFASEQSSMSVDVIRKAFQATEEGFLSVVSKNWPTKPQMAAVGSCCLVAVICGGTLYVANLGDSRAVLGRVVRATGEVLAIQLSNEHNASIESVRQELHAMHPDDSHIVVLKHNVWRVKGLIQVSRSIGDVYLKRAEFNREPLYAKFRLREPFKRPILSSEPAITVHELQPHDQFLIFASDGLWEHLSNQEAVDIVQNHPRSGIARKLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASTLRGPTLSLRGGGINVPAKALAPTQLGSA >CDP04691 pep chromosome:AUK_PRJEB4211_v1:7:15860963:15862483:-1 gene:GSCOC_T00018751001 transcript:CDP04691 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSWRDIFEFASYIFTWLASSLYHASRGKLRKYLRWADYTMIATTTVCLSSALHTENLKLLMAASAVFLPIQPLMVSAMRTRMMEVIGVYAYL >CDP02161 pep chromosome:AUK_PRJEB4211_v1:7:1797720:1800542:-1 gene:GSCOC_T00039468001 transcript:CDP02161 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVQRGVNAHGVVLLLVVVVLLQKAVLGRPLQLQQLTAVTRPSPKDTAAFARWLVCQSSWGVLSTIASDLGGAPFGNVVSFSDGQPDKGSGIPYFYLTTLDPTARYALKDQRSSLTISEHPIGTCGTKDPENPSCAKITLVGKLTLLDGNSKEAELAQTALFTKHPEMTGKLVFSL >CDP02351 pep chromosome:AUK_PRJEB4211_v1:7:3231123:3237632:1 gene:GSCOC_T00039712001 transcript:CDP02351 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 27 [Source:Projected from Arabidopsis thaliana (AT3G30300) UniProtKB/Swiss-Prot;Acc:Q8GZ81] MKWVALKGDGKIAFKIKSRLKWVGLVGLVLSTFSILTHFLLARYTDGGVSEYQSSITIFSWRPIFENVDLSNNNPLYQRLWGPVRLLESLHPYANPRENYAAPIHKTSGFIFVRIRGGFHEIRNSICDAVVVSRLLNATLVIPELQSTTSSKGISTQFKSFAYLYNEDQFMAALAKDVKIVKTLPKHLKGARRKKEIPSFKVSNSASPHFYEHHVLPVLSRHSVVELVISEGGGLQAFVLMFAEIWLIFYLNFSAILPPHLEEYQRLRCRVAYHALSFREEVEELATKVLDRLRASGRPFIAYDPGMTREALAYYGCAELFQDVHTELIQHKRSWMIKRGIIKGNLSVDSAKQRRNGLCPLMPEEVGILLRAYGYSWDTIIYVSGGEVFGGQKKLIPLHAMFENVVDRTSLSTSWELNKVYGREANLDDKISRAPPVKEEIKFEAWKTSGPRPRPLPPPPARPKYYNIEGWWGWVAESDREPESTVMELRTNAHKLLWEAVDYRVCVEADVFIPGFDRDGKGKPNFASLVMGHRLYQFAASKTFRLDRKAVGKLLDEIREHLYQANHAWIKSVRRHLKRKLIDGLAKEFTGSKELSFLSFPVPECSCTRQISPEKISNVSSPSSSSKLPAFVGDTHSCPSWMNGYTLAQSKDKESEEELEEDDSTSAGLFFRQSNTNQEAGDGETVNKEDTQMEDNEDLEGGDR >CDP02543 pep chromosome:AUK_PRJEB4211_v1:7:4732783:4733274:-1 gene:GSCOC_T00039958001 transcript:CDP02543 gene_biotype:protein_coding transcript_biotype:protein_coding MPSINSAAYSFVVVLLEVLCARPAIDNSLPRQQVNLAGWGMSCLMKGELHKIVDPLLAFIERRYGETVEKCLKECAVNRPNMVEVLWDLECALQLQHSAIPEQSHEDGNTNISYNLPLPVIRGLPSHSIAISEDEMGSGTHSTKVAQILDSEVLSQLWMDDAK >CDP01387 pep chromosome:AUK_PRJEB4211_v1:7:12756717:12758819:1 gene:GSCOC_T00036416001 transcript:CDP01387 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHWLWTALALAAVWFFLQDLFLMKKRKRFPPGPKGLPIIGNLHLLGKNPHQDLAKLAKKHGPLMYMRFGYVPAIIVSSPEAAEKFLKTYDQVFASRPYHESSWHVSYEQRNLSFAQYGPYWRNMRKLCILQLLSSHKINSFLPMRREEVGTLVKSLKQAASDGAAVDLSAAISSLGANMSCLMIFGKKYMDKDFDDRGFRDVIQEALRLGATPNLGDYFPLLGVLDLQGLTRRFKDLAKVFDKFFEKIIDEHLQSQEHKQTKDVVDIMMGIMQSGEAEFEFDRRHVKAVLLDLLVASMDTSVTAVEWAISELLRRPEAMRKLQKELEDKVGLERTVEESDVEGLEYLDMVIKETMRLHPVAPLLLPHESMEDCAVDDFHIQKKSRIIINVYAIGHDPNVWPDPETFIPERFKDSNIDLRGQDFQLIPFGSGRRGCPGLQLGILLVRFVLAQLVHCFNWEPADNIKPTDLDMSETFGLVLARAKHLKVVPTYRLQE >CDP02749 pep chromosome:AUK_PRJEB4211_v1:7:6252855:6261740:1 gene:GSCOC_T00040231001 transcript:CDP02749 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKSSLCNCVVNFLLEENYLLTAFELLHELLDDGRDDHAIRLKEFFSDPSRFPPDQISRLNSLRVADPQSLLDEKEALEEKLALSQYELRLAQEDVLKLKTELENRSKGTLGERSETNLDASADVQRQRNDASFLDLGSLKDNERQDLNCAVKEYLLFAGYRLTAMTFYEEVTDQNLDVWQNSSACVPDALRHYYYQYLSSSTEAAQEKITMLRENESLQKENDKLKKEKQSLLKSKDIADTQVVALMKSLEAFQKDIKDKEVLVQEMKQSMESQRKELNDCRAEITTLKMHIARSGQNLVSSDSKHVELRSSESYMEEIKLLENEIARLKGTNAMNIEPTEAFEQSKGGDAESEVRDSVKANRLESPGHISREDLRSEDSNSQSVPTVDGTTNILDKVSEGKHLSLSDDNGVFVNNEKFLKGVHETPIETNELILRTENIPVDAETTGLATIQVLSDALPKIVPYVLINHREELLPLMMCAIERHPDSMTRDSLTHTLFNLIKRPDEQQRRIIMDACVTLAKNVGEMRTETELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPAIVVREAASHNLALLLPLFPNMDKYFKVEEMMFQLVCDPSGVVVETTIKELVPALVKWGNKLDHVLQTLLSHILGSVQHCPPLSGVEGSVESHLRVLGERERWNIDVLLRLLTELLPFLHQKAVQTCPFASVSNGVGTFFSVSLLELYSRGNVEWPSFDWLHIDCFPDLIQLASLLPQKEDNLRNRITKFLLRVSECYGEAYLTHIVLPVFLLAVGDDGDLTYFPQNTHEKIIGLRPKTTVSGRLATMGVLPLLLAGVLGSWSKHDYLTEYLRKKLFQSSEEEIRPAKPELVNSVRFLCMYKDNHNMIFDILWEMVVNSNIDTKICAANLLKSIVPYLDAKIASTHVLPALVTLGSDQNLNVKYASIDAFGAVAQHYKNDMIIDKIRVQMDAFLEDGSHEATIAVVRALVVAVPHTTDRLRDYILYHSALSSLFLCFKCLSSFAIFQFTAVPLPSNDLIRRRERANAFCEAIRALDATDLPASSVRDFLLPGIQNLLKDTDALDPAHKEALEIIMKERSGGTLDTISKVMGAHLGLPSSVSSFFGESGLLGKRETVDQALPSPEPVPSPPAVEDTRLRRIMRGSFTDMLRGKAKGSDDTPHSQ >CDP02708 pep chromosome:AUK_PRJEB4211_v1:7:5977578:5980848:1 gene:GSCOC_T00040176001 transcript:CDP02708 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPNHHVVAATPPTEAAVATTTAGASPDTDVPLRSPSPSKVSEILHLIQSDDLQQKVEAAREIRRLAKTSQRYRRHFSDAVKPLVQMLLYANSVEANEAALLALLNLAVKDETNKISIIDAGALGPIVGFLQSDHPLQEHATAALLTLSASSVNKPIISAAGTIPLLVEILRDGCMQMKALKRAELH >CDP02557 pep chromosome:AUK_PRJEB4211_v1:7:4830104:4832618:1 gene:GSCOC_T00039979001 transcript:CDP02557 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRFPTTPASELHMMTNSRAILITTHDDEQSEWSIALAFASRIVIYVAILALLMMIVALIIKLLGQCDGDASSSSSSIHRQATAARAATETNTLLAKEVVPFTYGTCEEDLESAKCSSSPSDDDLYDGKICVICYDERRNCFFIPCGHCATCSICARRITEGETKTCPVCRRYIHKVRKLSIS >CDP02666 pep chromosome:AUK_PRJEB4211_v1:7:5681059:5685391:-1 gene:GSCOC_T00040131001 transcript:CDP02666 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLNAPLSKLPPLPKIRATKRKPLGARLPSCLGVERHDDMDVHKDLPQSMDHEPKEKQSDIWQLFTEAQQNILYLNKQRLTALEELDELKRENTALLDRIEQLEAKMLLNTEKEMDTLSISSELLLRIDSMVLSGMIDNAEASDLRRLVMNSRMSIAANLFQNTHKKDSELLVELRHFSSKSKKKGFHIVHISAEMAPVVSVGSLASYVTGLSCALQRKGHVVEVILPKYACLNLDEVQGLREVEAEVYSFFNGQLHKNRIWTGVVFGIGVTFIQPVYYSAFFSHERVYGYGNDFERFTYFSRASLDYLVKSGKKPDIVHIHNWETSIVGPLFWDVFVNQGLEGTRILLTCQGFDSQACIYA >CDP04726 pep chromosome:AUK_PRJEB4211_v1:7:15430705:15435392:1 gene:GSCOC_T00018797001 transcript:CDP04726 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRTASLKQRTQIVGSPRAVASPRISRKSWNSEPVLIGIVIGLVVSFFLAVGLRYLYVLPSLTQAFHDDSVSHLNDSGNSCDVFDGNWVLDHRYPLYNASECPFVEQGFNCLENGRMDKEYLKWRWKPENCDIPRFNVMSILEMFRNRRIVFVGDSMSRTQWESLICMLMTGVEDKRSVYEVHGSKITKQIRFLGVRFSSFNFTIEFYRSVFLVQHSWAPKHGPKRVRSTLKLDELDDISDEWINTDVLIFNSGQWWVPGKLFGTGCYFQIGNSLKLGMSIGTAFRTALGTWSSWVDTRINPNRTRVFFRTFEPSHWSGDETLRLCNMTSQPLSETEGKDSSPFSDTVFDVVKNMAVPVTVLHITPLSAFRRDAHVGIWSDKPNMSDCSHWCLPGVPDIWNEILFHLLPGFKDASSE >CDP01457 pep chromosome:AUK_PRJEB4211_v1:7:11878729:11882096:-1 gene:GSCOC_T00036510001 transcript:CDP01457 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVANRQSFLNTSKWIEEVRTERGNDVIIVLVGNKTDLVDKRQVSIEEGDGKAREFGVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKPTANSSQTEQQGGGCAC >CDP02404 pep chromosome:AUK_PRJEB4211_v1:7:3575798:3576710:1 gene:GSCOC_T00039771001 transcript:CDP02404 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTTLLSSPLFTKRKTHWKEKVKTKKKIKIKTTKLETYRKIGTKIETFFFLIISYIQSVVTK >CDP01451 pep chromosome:AUK_PRJEB4211_v1:7:11921305:11929841:1 gene:GSCOC_T00036503001 transcript:CDP01451 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVFGCIDNSQAKRSRIIELSRRLRHRGPDWSGLHCHQDCYLAHQRLAIIDPTSGDQPLYNEDKTIIVTVNGEIYNHKALREKLKSHQFRTGSDCEVIAHLYEEYGEDFVDMLDGMFSFVLLDTRDKSFIAARDAIGITPLYMGWGLDGSVWFASEMKALSDDCERFMSFPPGHVYSSKRGGLQRWYNPAWYSEQIPTTPYDSLVLRKAFEKAVVKRLMTDVPFGVLLSGGLDSSLVAAVACRYLSESEAACQWGSQLHTFCIGLKGSPDLKAAREVADYLGTRHHEFHFTVQEGIDALEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKEFINVAMGIDPEWKMVRPDLGRIEKWVLRCAFDDDQNPYLPKHILYRQKEQFSDGVGYSWIDSLRDHANQQVTDTMLANASFIYPENTPTTKEGYYYRAIFEKFFPKNAARSTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHLAAYEDAKESKMTASETSLQKLQAEKTTVAV >CDP01677 pep chromosome:AUK_PRJEB4211_v1:7:9613531:9615709:-1 gene:GSCOC_T00036807001 transcript:CDP01677 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNSKNAQKVDSLIITTTFCCSLLVERFSNYLFCFVLCIIPFFFPLKLFKFVKSRSQSPVSSTGKGVVRHISPLQALRNNSGPRDELKERQVDKNKVTKENTKPQDFKLHTKERAVKRALFNYSVATKIYIEERQKRQLEKLQKMIEEEEVKLLRKEMIPRAQLMPYFDRPFFPQRSTRPLTIPKEPRLKLVSG >CDP02525 pep chromosome:AUK_PRJEB4211_v1:7:4576721:4577313:1 gene:GSCOC_T00039930001 transcript:CDP02525 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNDFGCGAHTDYGLLTLVNQDDGITALQVKNLSGEWISAPPIPGTFVRNISDMLKVCISIIDSPVVFMLSPDNCS >CDP01970 pep chromosome:AUK_PRJEB4211_v1:7:7334819:7337539:-1 gene:GSCOC_T00037165001 transcript:CDP01970 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSKKLIKTLRRSAILIPQFYEPKAPALDLHEPFIPVSKLQETKLLEAQLVSILDSCISLTEIKRVHARIIRKGLDQCSFVVTKLVRVLCKLNTPIDTYARLIFSQVYCPNPFLYTAIIRGYSVQGPLEKAVFLYGQMRRDDILPVSFTFTALLKACTGVLHVDLGRQIHGQSLKIGGFVQDLFVGNTLIDMYVKCGCLDFARRVFDELPTRDVISFTALIVAYAKSGDMVAASDLFDRLPAKDMVAWTAMVTGFAQNAQPKEALEYFEKMQNSGVNTDEVTLSSVISACAQLGAIKYANWVRDFAERSGFGPTDNVLVGSALIDMYSKCGSVEDAFKVFESMKDRNVFSYSSMIGGFSMHGCAREAIELFEKMMKVEVKPNKVTFVGVLAACSHAGLVEQGQHFFGMMENGCGIKPSVDHYTCMIDLLGRAGRLEEALELIQTMPIEPNGSIWGALLGACRIHRNPKVAEIAAKQLFQLEPDGIGNYVLLSHIYASAGRWEDVSRVRKLIRAKRLIKTPSLSMVEDENGGLHNFYSDDTIHPESKLIKQTLQDLLKRLKVHGYQPILSSAPYDVSDEEKERILLTHSEKLALAYSLLTTSANCVIRIIKNLRICEDCHSVMSRASQLTSREIVVRDNLRFHHFRKGICSCGDFW >CDP04719 pep chromosome:AUK_PRJEB4211_v1:7:15489192:15490100:1 gene:GSCOC_T00018785001 transcript:CDP04719 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAYGKAGNVNMALTLYGRARTEKWRIDAATFSTLIRIYGTAGNFDGCLNVYEEMKALGVRPNLVVYNSLLDAMGRAKRPWQAKNIYRDMINDGFEPSYGTYAALLRAYAKARYGEDALDVYREMKHKGLELSVVLYNTLLSMSADVGFIDEAVEIFEAMKGSESCKPDSWTYSSMITIYSCSSKVFEAESTLNEMLEAGFEPNIYVLTSLIQCYGKANRIDDVVRTFDRLLGLGITPDERFCGCLLNVMTQAPTQELDKLTRCIQKADAKLGHVVKLLVGEENIEGDILRKEAGELFLWC >CDP01836 pep chromosome:AUK_PRJEB4211_v1:7:8337247:8338581:1 gene:GSCOC_T00037008001 transcript:CDP01836 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYCPTLNYTTSANTFNSQLINGHYQHSNQALCPGGNGLGLQHLVIILGLKAVGAMVFVVTVVGIAEDLAMMTMGIGGLPSDHLIAADVITPPSDHHMVEGQEGIGQGPILLTVVLKGTMLVALDETWYQVLVSVYGENCFIDFFRLFSW >CDP02039 pep chromosome:AUK_PRJEB4211_v1:7:6864220:6864992:-1 gene:GSCOC_T00037255001 transcript:CDP02039 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTTDKSRMTPLTHEPPPHPRPTPRIQTPGELGADSCSLQHRNLTSTQLLGPRRGGLTVKRFIPYGPTPRQEDAPAPAPAPAPPWMNH >CDP01443 pep chromosome:AUK_PRJEB4211_v1:7:12009779:12028848:-1 gene:GSCOC_T00036492001 transcript:CDP01443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal ABC transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G39850) UniProtKB/TrEMBL;Acc:F4JJ27] MPSLQLLQLTEHGRGLLASRRKSLLVAAGIVAAGGTAAAYMHLRRTTKQHSSLGHYDVLTNSEVQSEKKDGKSSVVKKSRQKKGGLRSLHVLARILLSSMGQAGARDLFALVTTVVLRTAASNRLARVQGFLFRAAFLRRVPTFFRLIFENVLLCFLQSTLHSTSKYITGTLSLRFRKILTKLIHDQYFQDMVYYKISHVDGRISNPEQRIASDVPRFCSELSDLVQEDLIAVTDGLLYAWRLCSYASPKYIFWILAYVLGAGAMIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGENREDSHIQQKFKNLVRHMSVVLHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGKLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGYADRIYELMAISRELGPRDVSSPQTNGTKNYVSEANYIEFDNVKVVTPTGNVLVEDLSLRVETGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTRDGMVDLLKNVDLEYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVQDMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHYKRADSPALAESEFNKEKHSETDRQSDAMTVQRAFANKRKDSAFSDSKSQSYFPELLAASPIEDKCPLPLFPQLQIVPTALPRRVAAMSKVLVPTLLDKQGIQLLAVAVLVVSRTWISDRIASLNGTTVKYVLEQDKASFIRLIGVSILQSAASSFIAPSLRHLTSMLALGWRIRLTKHLLKNYLRKNAYYKVFHMSCKNIDADQRLTQDLEKLTTDLSGLVTGMVKPTVDILWFTWRMKLLTGRRGVAILYAYMLLGLGFLRIVTPDFGDLASKEQQLEGTFRFMHERLRTHAESVAFFGGGAREKEMVESRFRELLYHSALLLRKKWLFGVLDDFITKQLPHNVTWGLSLLYALEHKGDRALTATQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGGINRIFELEKLLDTAQDEQSFSSSSLPSLETEPLSDDIISFSGVDIITPAQKVMARQLNCDIVAGKSLLVTGPNGSGKSSVFRVLRGLWPVVSGKLVKPTQQVNSRSGCSIFYVPQRPYTCLGTLRDQIIYPLSQEEAERRVLYSIDKGQKLVGTAKILDEHLKSILENIKLVYLLEREGGWDANQNWEDILSLGEQQRLGMARLFFHKPRFGILDECTNATSVDVEEHLYRLANEMGITVVTSSQRPALIQFHSRELRLIDGEGKWELRSIEQ >CDP01625 pep chromosome:AUK_PRJEB4211_v1:7:10131074:10131844:1 gene:GSCOC_T00036731001 transcript:CDP01625 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPKSPSAPPPATPNGEIAPERSPSPSRPQIALQQSSHQNKKGSFISTKLFRRVRSVFKSFPIVSTPCKMPIPINGGRPHDGHHIHGGKQMTGTLFGYRKARVNLAIQENPRCLPLLVLELSINTGKLLHEMGLGLVRIALECEKHHPSEKIKLIDEPIWTMYCNGKKVGYAVKREPTEDDLNVIQMLHAASMGAGVLPIDHTSAGESSSDEGELTYMRACFERVVGSRDSETYYMMNPDGRNSGPELSIFFVRV >CDP01390 pep chromosome:AUK_PRJEB4211_v1:7:12733423:12735561:-1 gene:GSCOC_T00036419001 transcript:CDP01390 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRNTSSWNTLLSAYAKRGMIREAISLFNEMPEYDSVSWTTMIVGYNQLGRSEDAVRVFCKMVLSRILPTQYTFTNVLAACGAIKGLHIGSKVHSFVLKLGFGGNVAVANSLLNMYSKSGDVGTAKVAFDRIELKNVSSWNWMISLHMQHGEVDLALAQFDQMKERDIVSWNSMITGYNQHGFDNEALDMFSNMVKGTDLLPDKYTLASVLSACANTQNVTSGKQIHGHIVRTSFGTSGAVGNALISMYSKCGCVEIAQKVVRQSGISNLDIVAFTALLDGYIKIGDINPARVIFDSLEERDVVAWTAMIVGYVQNGFYDEGMALFRLMIREGPKPNNFTLAAMLSICSSLASLNHGKQIHAVALKSLEASSVSVSNALITMYSKAGSIGSAKRLFNLINWKRDHVSWTSMIIALAQHGVADEAIQLFEKMLGLSIKPDHITYVGVLSACTHVGKVEQGRRYYKMMQDVHRIVPTTSHYACMIDLFGRAGLLQEAQCFIQNMPIQPDVIAWGSLLASCKIHKNAELATVAAEKLLSIEPDHSGAFTALANAYSACGRWQEAALVRKSMKDRQVKKEQGFSWIQIKSEVHVFGVEDALHPQRDAIYRVMDKIWKEIKKMGFVPDMESVLHDLDNEVKEQILRHHSEKLAITFGLINTPEKSTLRIMKNLRVCNDCHSAIKFISKLVEREIILRDATRFHHFKGGLCSCRDYW >CDP01854 pep chromosome:AUK_PRJEB4211_v1:7:8220285:8221822:1 gene:GSCOC_T00037028001 transcript:CDP01854 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEYGLFVWPCSIILAEYVWQQRSRFSGANVIELGAGTSLPGIVAAKVGADVTLTDDSNRPEVLANMRRECELNSVTCKVLGLTWGVWDEPIFTLCPNIILGADVLYETSAFDDLFATVAFLLQNSPSSVFITAYHNRSGHHLIEFLMVKWGLKCMKLLDGFSFMPADKASGLSGNIQLVEIILDNDKLS >CDP16757 pep chromosome:AUK_PRJEB4211_v1:7:985325:989660:1 gene:GSCOC_T00019243001 transcript:CDP16757 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPAVRRRKRKLPEKEIPHKAASSLPESALYAQLLELESRIDALLARKKIEIADTLKNPMRIQKMLRIYVFNTFANQEGAHTDSKNADPPSWSLKICGRILEDGADPAALGSLNVSSSPYPKFSSFIRKMTVYLDQNLYPDNHVILWENSRSPALHEGFEVKRKGDKEFTAIVRLEMDFVPEKFRLSPALQEVLGVEVETRPRTIAALWHYIKTRKLQIPGDTSSFVCDPPLRKVFGEENLKFAVVSQKIIQHLTSLKPIHLEHKIKLSGNCPAGNTCYDVPVDVPILLEREMSSFLTDLERNKEVDAFDEAISAAIRKIHEHYQRQAFFLGFSHSPAEFINGLLASQARDLKLLGADTSRSAENERRSEFYNQTWVEDAVIRYLNRKPSFSAEPRNK >CDP02564 pep chromosome:AUK_PRJEB4211_v1:7:4883529:4885220:1 gene:GSCOC_T00039986001 transcript:CDP02564 gene_biotype:protein_coding transcript_biotype:protein_coding MNELDPFVRRSGLAKCFGQLHLIEDEDLVITLNGLWNVALSQPDDAEFPSLGIFKCMAKLIDRSISDGNWPSRGKNACVPYYAAHIIGSYTMNKAQLADIAIKSGVIGPLMELLRGKASWIEQRVAVRALGHLASHRRAFRAITIHEEEIISLSKNIASTCFGTVYNEFVRLKSRKRVEYHRDLMTRGCGGLEVENKKAEEWASQLQCWSLYLLNCFATKKRSLNLICEKEFLEDLTGMWGGLQNQSSFSGVCLIRSLCLTKDGRRSIANSKQVIVTLCNLSRSSDERQYKAIESILSLLQDQETRFAVIEFVVPFLVDLVELKAIRGGGSTTVGDRITRVLLQDYGRIKCGQLALKNKKSQNALDEVWNLKVERRKKDSMTSEQDVSERKVMVGMLKREGNQKFLSGDTEEAVAVYTKALELCLLNMIKERIVLYSNRAQCHLLLREAKLAISDTTRALCLSGALGPHSNSLWRRSQAYDMLGMARQSMVDCLMLINQWTKFKAKGHVKIPYYAVRMLNKQISATSPFHLGVGTSTPNLKIIDNGARESMASGDQVDQHRRR >CDP02681 pep chromosome:AUK_PRJEB4211_v1:7:5809124:5813003:-1 gene:GSCOC_T00040148001 transcript:CDP02681 gene_biotype:protein_coding transcript_biotype:protein_coding MATSILLRSALRRPELHTSALAASRSLVGNVRASPATSPLSRMLTSLRYFSSRPLADDVIGIDLGTTNSCVALMEGKTARVIENAEGTRTTPSVVAFSQKGDLLVGATAKRQAVTNPQNTLFATKRYIGRRFDDPQTQKELKMVPYKIVRAPNGDAWVEANGQTYSPSQVGAFILTKMKETAESYLNKSVNRAVITVPAYFNDAQRQATKDAGRIAGLTVERIINEPTAAALSYGVNNKEGTIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTMLEFLVSEFKKAERIDLSKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHFNVTLTRSKFETLVYHLIERTKAPCISCLKDAGVSTTDIDEILLVGGMTRVPKVQQVVAEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKDLLLLDVTPLSLGLETMGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVDIKVLQGEREFAADNKLLGEFNLEGIPPAPRGNPQIEVTFDIDANGIVTVSAKDKTTGKEQQITIRSSGGLSEDEIQKMVKEAELHSQKDLEKKELIDQRNSAETTIYSIEKSLTEFRDKVPAEVVTQIQSAVSDLRKAMDGENVDEIKAKMEAANKAVSKIGEHMAGGSGGNTSGGSDPQGGDEAQETEYQEVRK >CDP04729 pep chromosome:AUK_PRJEB4211_v1:7:15369069:15372816:-1 gene:GSCOC_T00018805001 transcript:CDP04729 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSLSLLSLLLFFSFSTALRHHNHRHYSPAPSHSISKPPPSSASPSVSSPNGLIHEACKASRDPPTCESSLSSSSTGSPNPTVLDTIQLAIRVSKENLRTAQSMVNDILGASAGNLNRTNAAKNCVEVLNYSDFRSDQTASALARGKIKDARAWMSAALVYQYDCWSALKYVNGTSKVNETMAFLNGLLGLSSNALGMMVNYDNLGEDTGSWGPPKTERDGVWEGVGGSGSGSGLEFHGGVPTGLKPDVTVCKEGGCNYGSVQAAVNAGPDNAVDRRFVIWIKAGVYEETVRVPLEKKNVVFLGDGMGKTVITGSMNVGQPGVTTYDSATVGVVGDGFMASGLTIQNTAGPNAHQAVAFRSDSDLSVIENCEFLGNQDTLYAHSLRQYYKSCRIQGNVDFVFGNSAAFFQGCLVLVAPRQVKPEKGENNAVTAHGRIDPAQSAGFVFLGCIVNGTEEYMSLYYSKPSVHRNYLGRPWKEYSRTVFIQCTLEALITPDGWMPWSGDFALKTLYYGEFGNTGAGADTSKRVPWSSQIPAKHVSSYSVPNFIQGDQWIPTSS >CDP02530 pep chromosome:AUK_PRJEB4211_v1:7:4614786:4620012:1 gene:GSCOC_T00039936001 transcript:CDP02530 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTALQQQSRRSNSFRGSSPLDSSMDGAIKSPVTIFWLVLHGLCCLISLVLGFRFSRLVFFLLFSNTSNTMTSTNLYSTSSFGNPSEPLAFQSTLGAVTSTSTSLPAMNFTTTAASGTSSSRVVVGRHGILIRPWPHPNPAEVMMAHRLIERVQREQRMQYGVKNPRAVIAITPTYVRTFQTLHLTGVMHSLMNVPYDLVWIVVEAGAVTNETASLLAKSGLTTIHVEYGKKMPILWEDRHKLEAKMRLHALRLVREKKLDGIVIFADDSNMHSMELFDEIQNVNQFGAVSVGILAHSGGDGEDETAVAQKEEEKNSGLPVQGPACNSSNHLVGWQTFNSATFMERSARYVGDRAIVLPRKMEWAGFVLNSRLVWRDAEDKPEWVRDLDEVAADEEDVESPLSFVKDASVVEPLGSCGRKVMIWWLRVEARADSKFPAGWVINPPLDVTVPAKRTPWPDAPPELPLPAGEKAVVLQEIAEKHATKTRSPRKRRSKRKHAIRTIDERVSTRHAGEN >CDP02613 pep chromosome:AUK_PRJEB4211_v1:7:5291830:5295961:-1 gene:GSCOC_T00040056001 transcript:CDP02613 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATSTLRVIFPVVWVLFTSISILPSIVSANDHTLVVTENTTLQLIPSLIVDKSPGVKPGTKVLCERVKVQGLSRLKGLKKFPNTIRVKVAYVGSSGRPPIIEVCFHRNLTVGIGMCNQSQWQKLTKGSWMSSMSPFDHKLLDIRLTGSPTEPIEVSLDEEIFFYRVIFLVLGVIMMMLASFLSNSLVFYYSGAMAVGILLVILMVLYQGMKLLPTGRKNSLAIVLYSSMVGLGTFLLRYLPRLLRSILTEIGISEDMYNPLGIFLLVFLVIAGAWLGFWVVHKLVLTEDGNIDVGVSHFVAWSIRILASVMILQSSIDPLLAAEALLCGIFVASILRRFTPLEFLQGVYRRFHRLRKSKRKIFQDKYASPVKESHDSMPFTEAPSSTLQGSTSMSPRQLTDSEAFYSTFHTTPYRRKISKDEWDKFTRDSTKKALENLVSSPDFNIWAAAHADRLTLAPNKEVTRPNYLQRLFHWL >CDP02170 pep chromosome:AUK_PRJEB4211_v1:7:1839293:1841765:-1 gene:GSCOC_T00039480001 transcript:CDP02170 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVNRWLRPEVYPLFAAVGVAVGICGAQLIRNICINPEVRVTKENRAAGVLENHAEGEKYAEHALRKFVRNRAPQIMPSVNNFFSKPQI >CDP01479 pep chromosome:AUK_PRJEB4211_v1:7:11622518:11630835:1 gene:GSCOC_T00036549001 transcript:CDP01479 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVETTKKPHAVLVPYPSQGHVTPLMRLAKLLHAKGFHVTFVNTEFNHKRLIRSKGPESVKGFDDFRFETIPDGMPPSDKDATQDVPRLCDSVRKNCLVPFKELLIKLISSSEVPPVSCVISDGVMSFSIKAAEDLGIPEVQFWTASACSFIGYLHYRELIRRGIFPFKNDDYLTDGTLDKPVDWICGMSNVKFKDLPSFLRTTDPNHIMFDFMGEEAQNCLKAPAIIFNAFDEFEKEALEAVISKFNFPNIYTIGPLKLLARHIIPESQVNSLNSSLWKPDSKVFEWLDQKAPNSVVYQQFLWIVRPDVVQGGESAMLPEDFLEEIQDRGFLISWCAQEKVLEHSAVGVFLTHCGWNSMMETICAGVPVIYWPFFADQQTNCHYSCEKWGIGMEINHDVKRDEVAELVRKMIVGEEGEKMRFNAKEWKKKAEEATEVGGSSYINFDKFINGALHYQG >CDP02023 pep chromosome:AUK_PRJEB4211_v1:7:6979026:6981762:-1 gene:GSCOC_T00037230001 transcript:CDP02023 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGLINANPVVHAKKKRVARPLDDPHADDAFGLHYVRDIRDPEHPYSLEQLSVLSEESITVDEKLGRILITFTPTIQHCSMATVIGLCLREKLKDCFPAHFKVDIKVAPGSHADEEAVNKQLNDKERVAAALENPNLRQLVTECLYSSEL >CDP01388 pep chromosome:AUK_PRJEB4211_v1:7:12747474:12751240:-1 gene:GSCOC_T00036417001 transcript:CDP01388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39620, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39620) UniProtKB/Swiss-Prot;Acc:Q9SV96] MVARLTPDQKVACSIHVGFKTPIQYGSFIFAPHSLLTAWAFSSFQTTSSSTKLSRIPLHSPLPPAKVNMTPSNFPATLQFSPSKPAFLLPKFYPNSSQNFISVSQPCIARKKPIYCIISNVPTRPKKKRTKTKRAISEAEELVGLLMRNFDEKKQPLVATLNKYVKLVRTEHCFLLFEELGKTDKWLQCLEVFRWMQKQRWYIADNGVYSKLISVMGKKGQTRMAMWLFSEMRNSGCKPDTSVYNALITAHLHSRDKAKALAKALGYFGKMKGMERCPPNVVTYNILLRAFAQARDVDQVNALFKDLDESIVTPDIFTFNGVMDAYGKNGMIREMESVLSRMKSNQLRPDLITFNLLIDSYGRKQEFEKMEQVFKSLLHSKEKPTLPTFNSMITNYGKARLREKAELIFQKITDMGYSPNFITYECVIMMYGYCDCVFKAREIFDKVRESGKEKKVSTLNAMLDVYCMNGLPLEADTLFESIHASRAFSIDASTYKLLYKAYTKANMKELVKKLMLYMDKDGIIPNKSFFLDALGAFGSSPASHKMASNTDDSRKQVTAAKPIVERPLTMSSSKLIGLQSISIYFLLSPCLLLHTSHL >CDP16628 pep chromosome:AUK_PRJEB4211_v1:7:98997:101493:1 gene:GSCOC_T00019080001 transcript:CDP16628 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGPNLQAAGSLSSTTHGGGLTEKECEDMIQRSLRTPMVKFLKEQMEKSGCRFRDNFIRAVHCDKQIAGGYVRGEGIMVCSNHMNIQDEVNQVVIHELIHAYDDCRAANLDWTNCAHHACSEIRAGHLSGDCHYKREFLRGFMKVRGHEQDCVRRRVMKSVMANPYCSEAAAKDAMEAVWDVCYNDTKPFDRAP >CDP02106 pep chromosome:AUK_PRJEB4211_v1:7:1443325:1446858:-1 gene:GSCOC_T00039392001 transcript:CDP02106 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRIDESQRLEIQEFRRMLLSCAGLHPRKGEDNNDMRHVTTTTAGENDDAHPKLVCVTSGVSFLGIAIVNQLLLRGYSVRLIVHNQEDVEKVREIKASEEMRGSNNMVEAVMANLSQVESLIEAFEGCGGVFHTAAFVDPAGLSGYSKTMAQIEVKATKNIVEACAAASSVRQCVLTSSLLACVWQDKSINNTSLIIDQKCWSDESVCINKKLWYALGKLRAEKAAWELAKERGLKLATICPGLVTGPEFHYRGLTSTIAYLKGAGEMYADGVLATVDVSRLAKAHICVYEEMKKTSVGRYICFDRRLESQEETEKLARETGIGIDITRDVSVNCPMRFQLSNLKLSMLMSRISRCNEP >CDP12825 pep chromosome:AUK_PRJEB4211_v1:7:14156588:14161641:1 gene:GSCOC_T00037487001 transcript:CDP12825 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYKPCLVAVLISAFYAGMHMVSKAAINDGMKTYILVFYRQAIAAVFLAPITIFLEWKTAPPLTVTAFIKIFMLSLFGITLSWNLNNLALGYTSAPLAAAIGNTIPVITFFLAVLLRMESFNLKTIPGISKVAGIALCLGGAATIAFFHGPNLRLLVHHHLLNSHSLENPGHAPASTTWIKGVFLMFLAYILWSSWIVFQGDLLKSYPSKLISTTLQNFMSTIDSFVVAISLERDPNEWKLGWNVRLLSVAYCGIVISGITFYLQVWVIEQKGPVFVAIWTPLVLVFTICVSAVLFGEIISLGTVLGALLLIMGLYCVLSGKSKEQSKVQGNCSSTTIHTQKSDSARQDEIPVGRTTKQSLTENSCSSV >CDP01545 pep chromosome:AUK_PRJEB4211_v1:7:10944264:10946085:1 gene:GSCOC_T00036632001 transcript:CDP01545 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQILTQKQNLYMAKLAHESERYNDMMNYMKNLACTEVSPSSDLTLEERRLLFLACTKVIGSLRSAWRSVASVEEKELVEQYRVSIEAEISKFCDGILELLDKSLIPSASSSESQVFYLTKKGDYERYSAEIKDGDDFNLASEKAMESYNAAERTALAGIRPSHPVRLGLAINLSVFYYDVLESSQAALSTASLALRDALADINSLPEELYQESTHMMQQLQDNLDLWKEP >CDP12912 pep chromosome:AUK_PRJEB4211_v1:7:13062595:13072053:1 gene:GSCOC_T00037603001 transcript:CDP12912 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKDYIQLVHSSFHENHQVIAPLENYKMPSKTIWERTTQYCYETLWSPFVPPNLLLIHSLLFHSTQKRRLVTIIYFALLGVFYKIWSKWKSVKDNAHKSSYTKKSSSNKKKRAMEQNLLSTISLLFLSLILPLLLLHKRRQKKSLPPSPPSLPVIGHLHLVKPPLHRTLKRLSDKYGPIFSLRFGNQLAVITSSPVIVEECLTKNGIVFANRPSGLATKHLNYNGTTMTSAPYGPLWKRLRRISTMELFSGTRLNMFSANRQEETKLLVKSLYKKSSQNFARVEIRSQMMEMIINNIMTMFSGKRYYGYEVEDNKEALQFRDIVRELFELFIFSPVDYFPILRWFGYQNLENRMIAFQKKSDEFLQRLLDKQRTSPTGDGRRTIMEVLQSMQELEPEFFSDDIIKGFVLILLAAGSDTSSSTIEWAMSLLLNHPKELERARAELDKNIGQNRLVEEEDLPKLPYLQSIIYESQRLHPAAPILLPRASSSDCTIGNYTIPSKTTLMVNAWAIHRDPQLWDDPESFKPERFLGLENDAYKHKFIPFGLGRRKCPGAGLANRMVGLTLGSLIQCFEWERISNELVDLSEGTGITMPKASPLEAICKPRESMMLFFPITYTRFNYIYKIRRLVEYTKEKNSATKSSFLANSRHLHLAKQPPHKTLKHLFDKYGPIFSLRFVNQLVVVISSPAAVVECFKKNNIIFANRPQSLAGKYLNYEYTTINYHALWFSMRFYHVPNFNKI >CDP01436 pep chromosome:AUK_PRJEB4211_v1:7:12153248:12156808:-1 gene:GSCOC_T00036482001 transcript:CDP01436 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQNVVVSGTKSGISMAIAVAVTNSALFTTASQKPPAVPGTYFSISKKKLLQNLEINTGAKINSWVDSMRASSPTHLKSTPPLSSDDQSSSTLHHPSALDMFEQITNASKGKQIVMFLDYDGTLSPIVEDPDRAFMSDAMRAAVRKLAGCFPTAIVSGRCRDKVYSFVRLAELYYAGSHGMDIKGPSKGSKHKKGAQAVLFQPDTANEFLPMIDEVYEALLEKTKSTEGAKVENNKFCVSVHFRCVDEKKWVELAQQVKSVLMDYPKLRLTQGRMVLEIRPTIKWDKGKALEFLLESLGYANRKDVFPVYIGDDRTDEDAFKVLKERRQGFGILVSKTPKETSASYSLQEPGEVMNFLQRLVQWKRRCLGEFEDSLKT >CDP01585 pep chromosome:AUK_PRJEB4211_v1:7:10547435:10554204:-1 gene:GSCOC_T00036683001 transcript:CDP01585 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQPSRPTAPETNNHHQHQHQRQRHQNYNRSGTIRGGDGKVTLEPGSVVFRMLCHVNTAGGVIGNSGSLVKQLENQTGCKIRFEESLPKCHERVINITGEAAIDKKITLKFEELGSEEELDYEVEVSAAQEGLMRVFERVLEVEGNGNGVVGCRLLAANGQIGGVMGRGGSIVDGIRRNSGARIRVLKREQIPACATPEEELIQIMGDVMAVKKALVAVSHCLQACITGERSNSSPQGALQDAHSDIPPKDNHTPPSFPGSDNNSVGLSLSEDIDKIMNLHEENAERRVVFRLLCSHGSAGGIIGKGAIIVKALEKETGASIKFSSPVAGSRERVVTISSFESQNPLYSSAQLAIARVFARSVEVGVDQGFIAGWGEGQTVTAKILVAPDQMNCLIDSEGRIASDISATSGVEIQLLGTNCVPNSGAENDEVVQVAGEYENVERALFQITGRLRDHFFFSTTPEEADPRNSSNPYSADEFNRPGFRRKVGGPHKPSLQGKQFKDMRKTRTLGDSEWGLSGGAPGQQSLDAEAVTYKTIEVLVPEKDFGSVYGENGSNLARLKEISGATILLEDPAPGKCDGKVIISGTPERIQIAQSLLQAFMLA >CDP02545 pep chromosome:AUK_PRJEB4211_v1:7:4737494:4747264:1 gene:GSCOC_T00039960001 transcript:CDP02545 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSVELNYLIFRYLQESGFTHSAFAFGYEACINKCQIDGSLVPPGALVTFVQKGLQYLEMEANLSNADSDVDEDFSFLQPLDLITKDVYELRRTIKERRKNELKKTKEKELDKQYEEAHGLVKDREKQVRKREREKETERTEEKPREKEHSYHNDKEVDTNHEDQKNVRNEENGVSGEPMEISTTSTSQIVELTSCDVTILEGHTSEVCACAWSPSGSLLASGSGDSTARIWRIGDGTNQSSSQNGPAGEIVLLHVKAKTNEKSKDVTAIDWNIDGTLLATGSYDGQARIWSTDGELKSTLTRHKGPIFSLKWNKKCDYIVTGSCDKTAVVWDVKTEGFKQQFEFHSGPILDVDWRNNVSFATSSTDHMIYVCKIGETSPIKTFSDHQGEVNCLKWDPTGTLLASCSDDVTAKIWSLKQDKCVHDFKEHDKEIYTIRWSPTGPGTNNPNLPLLLASASFDSTVKLWDVEQGRLLCSLTGHREPVYSVAFSPNGEYLASGSLDKSVLIWSLKESKIIKRYTGNGGIFEVCWNKEGDKIAACFANNVVCVLDFRR >CDP01765 pep chromosome:AUK_PRJEB4211_v1:7:8916378:8917443:1 gene:GSCOC_T00036922001 transcript:CDP01765 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNLSCYPFNEDAILSFTCSPARSKDSEWTFEEEKLFENALAVYDLNSWDLFEKIAIKVPGKTIEQIKQHFQLLVEDVNFIETWSGPLPNCRTTNNVEPESTPNSTLTSVENRQKKKGTRWTKEEHENFLLGLKKYGKGDWRSISRNSVLTKTPTQVASHAQKYFIRLAKLSTTASNPLFSISFSRQI >CDP01865 pep chromosome:AUK_PRJEB4211_v1:7:8130980:8139925:-1 gene:GSCOC_T00037040001 transcript:CDP01865 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNGVEDEEKWLAAGITGLQQNAFFMHRALDSNNLKDALKYSAQMLSELRTSRLSPQKYYELYMRAFDELRKLEIFFKEETKRGCSIVELYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDILKDLVEMCRGIQHPLRGLFLRSYLSQVSRDKLPDLGSEYEGDAETVSDAVEFVLQNFTEMNKLWVRMQHQGPAREKEKREKERSELRDLVGKNLHVLSQIEGVDLDMYRETVLTRVLEQVVNCKDEIAQCYLMDCIIQVFPDEYHLQTLETLLGAFPQLQPSVDIKTVLSRLMDRLSNYAASSAEVLPEFFQVEAFVKLSNAIGKVIEAQDDMPVIGVVTLYSSLLTFTLQVHPDRLDFVDQILGACVTKLSGKGKLEDSKSRKQVVALLSAPLQKYNDIDIALKLSNYPHVMEYLDNGTKKEMASVIIQSIMKNKTLISNSEKVEALFELIKGLIKDLEGNLQSELDEEDFHEEQNSVARLIQMLHNDDPEEMLKIICTVKKHILAGGPKRLPFTVPPLIFNALKLIRRLQNRDENVAEEDTPATPKKIFQILNQIIEALSSVPVPEVAMRLYLQCAEAADDSDLEPVAYEFFTQAYLLYEEEISDSKAQVTAIHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDSIKDGERVLLCLKRALRIANAAQQMANATRGSGGSVTLFIEILNKYLYFFEKGVTQITVASVQSLIELITNEMQGENATPDPTADAFFASTLRFIQFQKDKGGAVGEKYEAIKV >CDP02210 pep chromosome:AUK_PRJEB4211_v1:7:2145053:2150879:1 gene:GSCOC_T00039533001 transcript:CDP02210 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKPSKSNLSKGAKIQKKAAPDLPEANLDVSPPVISDTSYQSTDGVGDRRDPEKGVPGGLQGQVASSFPPQLGVDLLDSTGLDPADNVERERQEQAATKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQSVATLRCMQSIVKIQALARGRRVRLSNPELQQLKKCRLHEILGSRRVDLLGVDRASRSEKLATNAFVNKLLASLPTAMPLSLQYDLDEPNAAWNWLERWSLLRFWEPLPRPKKILDAKPQGKQGSRQGFEAEPGKSRRTFRKVATAGNGDNNMPSSLEVEKPRRNPRKPISHQGESVQEQPQNELERVKRSLRKVSTTTTVVSENSEAETEKPQQLVRKTPSLPVSDVTEPVIADLSEKPSEPAVVVDKADKTEASSEPLMVDEPVELPHVACPGVEQQSPQDDMKVEINATADEELSAKEDQSGKENQKNRRRRSFPTKHEYPENVSQNAPSLPSYMAATESAKAKLRAQGSAKLNEDAVENGFVRRHSLPSSTNGKLSSLSPRVQKPAQANGRGGSKTNKSLSASRDERVLQPGWRR >CDP01529 pep chromosome:AUK_PRJEB4211_v1:7:11100831:11102476:1 gene:GSCOC_T00036613001 transcript:CDP01529 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQLALSIKNRKGKKIKKEDDGGNLGSYTRVCFPKGFGRTGCLDARVILLSMIHLSPLITCL >CDP01871 pep chromosome:AUK_PRJEB4211_v1:7:8102818:8107811:1 gene:GSCOC_T00037046001 transcript:CDP01871 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSRIKQEEMVSRCKARKRYMKQLVKARQAFSAAHSMYLRSLRNTGSALLQFATNETNLHCQNHHLPPPLQPPLRLPQLPATPPPPPVPMSPVSDRWTTSTTTTTHTTSSALLPPPPPPPPPPPAPASSTWDFWDPFMPSSSRSGTEEEWEETTVASEVAATTTVGAASVAAPPSVISGFSKDTAATSITSELAMVVSTKGKDLVEIIKELDEYFLKAANAGGPLSLLLEVPTCTFSDLRSSGRVYGSGRNLNPLLWTWNSSPKWNGGFGRCIEDSIGNVDVGGGVGVSTTATSHCSTVERLYAWEKKLYQEVKNAESLKLEHERKAAQLRKLEVKRADYVKTEKAKKEVEKLESRMMVASQAIETTSAEIIKLRESELYPQLVDLVKGLMGMWRSMYECHQVQTHIVQQLKYVNAIPSTNPTSEIHRQSTLQLELEAQQWHISFCNLVKAQRDYIQSLTGWLRLSLFQLGNKPAVRTGLDSAIYSFCEEWQHTVNNAPDKVASEGVKSFLTVIRAVVVQQTEEQKQKKKSESAFKELEKKAIELRSLESKYGPYSMADNYSSTRSKDPVGEKRAKVENLRARAEDEKAKYEKSVSVTRAMTLNNLQMGLPHVFQSVTGFANVCTHAFESVYNQAKSTDEMQMQMHDVKRLLP >CDP02233 pep chromosome:AUK_PRJEB4211_v1:7:2356147:2365136:1 gene:GSCOC_T00039567001 transcript:CDP02233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:Projected from Arabidopsis thaliana (AT5G48600) UniProtKB/TrEMBL;Acc:F4K1S1] MESAAPDDEVAGTGSHSTQMGSRTPRLFIKEMVMRDFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNHQNLDSAGVSVHFQEIIDLDDGTYEAVPGSDFVITRVAFRDNSSKYYINDRGSNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTNKYVEKIDESLKQLEALNERRSGVVQMVKLAEKERDSLEGVKNEAEAYMLKELSLLKWQEKATNLACADNTKKIEELQTNVTSLEDNLKTEREKIQGNHTMLKELEALHLNYMKKQEELDSGLRHCKDEFKEFERQDVKYREDLKHLKEKIKKVVDKLAKDTRKVDDTRKDCEESTNLIPQLEADIPKLQQTLMEEEKLLDEIMENSKVETEVFHKELAEVRSELQPWENELIEHKGKLEVACTESKLLSEKHDAGRAAYEDAQEQIREIHRRIDAKVSSITSIQSELQKNKLEALEARGVEKNCLEEQEKLVLLEQAARQKVAELMSVMNSEKSQGSVLKAVLRAKESNAIPGIYGRMGDLGAIDAKYDVAISTACPGLDYIVVETTAAAQACVELLRRQNLGVATFMILDKQANFLPRLKEKVSTPEGVPRLFDLITVQDERMKLAFFAALGNTVVAKDIDQATRIAYGRNREFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTAIRATSVSAEVIADAEKELSMHVEGLNHLRQTIADAAKHYLASEKSVSHLEIELAKSQQEVDSLKSQLSDLEKQLESLKLASFPRKDEVDRLGELKKIISAEENEIDRLTQGSKKLKEKAMELQKKIENAGGERLKIQKSKVDRIQSDINKNRTEINRRKVQIETGEKTIKKLSKGIEESEKEKERLNGQKESLKTTFKEIEQKAFIVQENYNKTQKLIDQHKDVLDKAKSDYEKLKKTVDELRASEVDAEYKLQDMKKIYKELEMKGKGYKKRLDDLHISLTKHMEQIQKDLVDPEKLQATLTDEVLGETGDLNRALEMVALLEAQLKEMNPNLDSISEYRHKTSLYNQRVEDLNQVTQQRDDKRKQYDEWRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSIRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFVIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFVVCGKAA >CDP01958 pep chromosome:AUK_PRJEB4211_v1:7:7387756:7391569:1 gene:GSCOC_T00037153001 transcript:CDP01958 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAAAASISSSVSSVFNQPHHHPSLIIRLPRRCSAAFSRRLLGSPNPLKTSSSLSRSSYHVLSRSPLLKARRCLNFSLGFVRNSASHIPLSQKQEIPSLHHFMPKATPVPSVSEPQFDLIAPTEVLPRGRIYQETYGCQMNVNDMEIVLSIMKKAGYSEVVDVPESAEIIFVNTCAIRDNAEQKVWQRLNYFWFLKRHWKSNVAIGRSQSLHPPKVVVLGCMAERLKEKILDADKMVDVVCGPDAYRDLPRLLEEVDSGQKGINTLLSLEETYADINPVRISKNSISAFVSIMRGCNNMCSFCIVPFTRGRERSRPVESIVREVGELWKEGVKEVTLLGQNVNSYNDTSGLEKEIEPGVNWKLSEGFSSMCKVKKMGLRFADLLDRLAVEFPEMRFRFTSPHPKDFPDELLYVMRDRHNICKSIHLPAQSGNSNMLKKMRRGYTREAYLELVSKIRSIIPDMGISSDFICGFCGETEEEHQDTLTLMKAVSYDMAYMFAYSMREKTHAHRNYMDDVLEDVKQRRLTELIEAFRESTGQCFDSQLGSVQLVLVEGPNKRAPDTELIGKSDRGHRVSFRNLPVPDRVENDGKRNPRVGDFVEVHISKTSRASLFGEALAITKLSSFYDNLHEHAFACASRI >CDP02122 pep chromosome:AUK_PRJEB4211_v1:7:1555969:1559861:1 gene:GSCOC_T00039411001 transcript:CDP02122 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRVAASALRAFTSSGNSTSSLLTRRLHASPGSKKIVGVFYDAKEYAAKNPNFLGCTENALGIRQWLESQGHQYIVTSDKEGPHCELEKHIPDLHVLITTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHVDLKAAADAGLTVAEVTGSNVVSVAEDELMRVLILVRNFVPGHHQVISGDWNVAGIAYRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRIKMDPELENQTGAKFEEDLDKMLPKCDIIVINMPLTEKTRGMFDKDRIARLKKGVLIVNNARGAIMDTQAVVDGCSSGQIGGYSGDVWNPQPAPKDHPWRYMPNQAMTPHISGTTIDAQIRYAAGVKDMLDRYFKGEDFPPQHYIVKDGELASQYR >CDP01815 pep chromosome:AUK_PRJEB4211_v1:7:8533217:8537541:1 gene:GSCOC_T00036985001 transcript:CDP01815 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPAIAGQAIEPLAQLMGTAYVGRLGVLELAAGGVSLSIFNVISKVFNIPLLSLSTSFVAEDISQHSHEDTTPDRRRALASVSTALALSFAIGLFEAAAMTLGSGLFLNIMGVSTASPMRSPAEKFLRLRAIGAPAVVVSLAIQGIFRGFKDTRTTVLCLGKTNLLLKLLNGIGNLAAVFFFPVSMYTFRLGITGAAISTVASQYIVTILMLWHLNKRTILQFCNMKNLQFGDYLRSGGFVLGKAVAVATTVTLSTSMVAHLGALSVAAHQICLQVWLAAPLLVEAQASAAQALIASSFAKAEFSRVKEITYAVLKTGLLTGVALAVILGLSLPLFAKLFTTDTQVQNIIGSVILFVSASQPLSALAYVFDGLHYGVSDFPYAGCSMMVIGAISSAFLIQASSMLGLSGIWSGLALFMGLRSISGFWRLSQKSGPWWFLQDRNELETKVAVIPERR >CDP01441 pep chromosome:AUK_PRJEB4211_v1:7:12042806:12048820:-1 gene:GSCOC_T00036490001 transcript:CDP01441 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSADCPYPGCFFCVMKEGNPSKRRASILKFFQELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLTHDQNIYIPYYAAHIIGSYTMNMEEFAERAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFAAVASHGEILELSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLIKLPGMWGGLVNENSPAGIGLLRTICHHKHGRGPVASCPGIVEALCNIARSSDDWQYMAIDCLLWLLQDPSTSHKVMDKAVPALVDLAEISSLGDHKKLGESIVNALQECVQSQGTGRNSISSRIQEEVEELLSSRQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALALCPMRSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHNPVNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSTDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAASKHGGVHCEGADGELCGQESDDSEWETASESEMGNDGRDEMGEDDFEWKNDFERKDKYDKAPVKDMKHGYNVQLTEDEE >CDP08403 pep chromosome:AUK_PRJEB4211_v1:7:25694678:25699830:-1 gene:GSCOC_T00027240001 transcript:CDP08403 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVSSGLPCDGDGLCMVCKTKPPPHDTLTCKTCITPWHLPCLSSDRRPESMASAALWDCPDCDQQEQQQPVSSLVPPPPADASSADLIAAIRAIQADPSLSDHEKAKRRQQLLSGESSDVVPDCNDDGERSKSNDVLDLLDQKLYCSICMQLPDRPVTTPCGHNFCLKCFQKWVSQGKHTCANCRNAIPAKMAREPRINSALVFAIRTAKMFNSSAPGGLPKVAHFIHNQSRPDKAFVTERAKKSGKANACSGKIFVTIPHDHFGPIPPENDPERNQGVMVGETWEDRMECRQWGAHFPHVAGIAGQSDYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKTQSFDQKFDKLNEALRVSCRKGYPVRVVRSHKEKRSSYAPEKGVRYDGVYRIEQCWRKVGKQGFLMCRYLFVRCDNEPAPWTSESHGDRPRPLPSIKELKDASDITTRKGTPAWDYNGGKCCWMWKKPPPDSRVQVDDDCDEGGNKTRKVRRQKKLSVKERLLKEFGCLICHKVMVDPLTTPCAHNFCKACLEGAFAGQSFIRQRTCEGRRTLRAQKNIMKCPSCKNDISEFLLNPQVNRELMDVIESLKHETEEDNVECGEQIDDCKEDTEAALSEDADVRVTSLDLIEEAEGTDAKLDMLAGDKENCDVNSGGLEVVKDKTEGIVKSLNDSKSQEKLKKASNAEISLNKFIDKSEAAKSKLAKSKLSEGKTRNKRKTGDGNVSASLDGGVKTRSKRSKAGPDEDNCA >CDP02696 pep chromosome:AUK_PRJEB4211_v1:7:5902331:5904273:1 gene:GSCOC_T00040163001 transcript:CDP02696 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLGLLRIHVIRGVNLAIRDVRSSDPYIIVRMGKQQKLKTRVVKKNVNPEWNEDLTLSIADPSIPIKLQVFDKDTFSFDDKMGDAEFDIGPFIEAVRMRLENIPSGTVITNVKPSRQNCLAEDSNIVWENGKVKQHMFLRLRNVERGEVELRLEWIDIPGSMGP >CDP02786 pep chromosome:AUK_PRJEB4211_v1:7:6548909:6555545:1 gene:GSCOC_T00040283001 transcript:CDP02786 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDIAGDGTTSTVLFIGELMKQSERHIDDGTHPRILVDSIEIAKRATLQFLEKFKTPVVVGDEPDKEILKMVARTTLRTKLYEALADQLTDIVVNAVLCIRKPEEAIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEVNAGFFYSNAEQREAMVAAERRSVDERVQKIIDLKNKVCADNEHNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAVNSVDGLTPDCLGWAGLVYEHILGEEKYTFIEKVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVVLGAGAFEVAARQYLVNEVMKTAQGRAKLGVEAFADALLVVPKTLAENSGLDTQDVIIALTGEHDKGNIVGLNHHTGEPIDPQMEGIFDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPN >CDP01646 pep chromosome:AUK_PRJEB4211_v1:7:9953762:9964830:-1 gene:GSCOC_T00036763001 transcript:CDP01646 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGQAAVNLLRKLWNSPYARSTFPFSRKPTNYLPVPGRRKYFAENGFGDYGRSGQHKETFQWILLSGQAAIFLGINGTPALADDSSIQLSSENTSGPEIADLRQVEDGSVVSNIHTSKWRVFTDNARDFFLQGKLDEAEKLFLSALQEAKEGFGKRDPHVASACNNLAELYRVKKELNKAEPLYLEAISILEESFGPDDIRVGAALHNLGQFYLVQRKLEKARACYERALKIKRRVLGEGHPDHADTMYHLGTVMFLEGKEKDAEALVRDSVRILEEAGLGESFTCLRRLQYLAQIYTMSNQIAEAENIQRKILHRMELSKGWTSLDTVIAAERLALTLQSVVNLKEAEELLERCLDARKTLLPEDHIETAANMLYIARVKKLKSNQLRKKNVPQAMEELERAKGLLSNSIRVARKVLVQLRKQNRNQQPHGVVRNIAKNEHSAMLILLQSLNALASLEITKLELQESMDEDRPVFEAEKILHECMSTFKEFGTETSLSSSPEVKTEYLSCLKHLLALINDNSTASVKQSRKAALEELKDEIKHVEVEISATRKRRS >CDP08424 pep chromosome:AUK_PRJEB4211_v1:7:24745515:24746049:1 gene:GSCOC_T00027285001 transcript:CDP08424 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTKKQKFIFIDAEGSKVEGIIFNNDIPRMSSILQVYKKYNISNAEVKPI >CDP11566 pep chromosome:AUK_PRJEB4211_v1:7:19879874:19885755:-1 gene:GSCOC_T00033888001 transcript:CDP11566 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRAAACLDFKEKPLQTSKKSDIIEMKKDQTVDEEAVAIGLTAGQDDGRPCRRLVDYIFHNSDGIPQPFEMLEVDDVFISGLILPLEDCIDKEKAKGVRCEGFGRIEEWAISGYEDGSPVIWVSTDIADYDCLKPSGVYKKHYDQFFAKASACIEVYKKLSKSSGGNPDLSLDELLAGVVRAMSGMKCFSGVASIRDFIVSQGEFVHNQLIGLDETSKKADQSFLELPVLAALRDECSKLANLAQVKAGSSGGSLRIGCEEKDGDHMNPQSGSSNCPLEEDEDLKLARLLHEEELWRSMKPKKSQGSSSLSGKYYIKINEDEIANDYPLPAYYNTSNQETDEYVVFDSGADTYYMDDLPRSMLHNWALYNSDSRMISLELLPMKPCAEIDVSIYGSGVMASDDGSGYQLDTDPSQSSTSSSGTSEIDGIPIFLSAIKEWMIEFGSSMIFISIRTDMAWYRLGKPLKQYAPWYQPVLKTARLAISIITLLKEQTRVARLSFSDVIKRVSEYEKDHPAYISSKVDEVERYVVVHGQIILQQFSEFPDEKIKKSAFVVGLTQKMEERHHTKWLVKKKKVVQRNESNLNPRAAMAPIISKRKAMQATTTRLINRIWGEYYSNYSPEELNDGVNSDMKEDEEVEEVEENEEDNNLEEEKVFPMKTHTTSSSSRRTKFCSTMEIKWVGESVGRTSSAEALYKSAIVLEDEIAVGSVILVEGDESDEDSDMYFVEYMYEKLDGSKMFHGRMMKRGSQTMLGNAATERELFLTNDCSDFKLEDTKQIVKLEIRKRSWGHQHRKENAIADKTDRTRAEERKKKGLPPEYYCKSLYCPEKGAFFSVPINEMGLGSGVCHSCELKKTDSEKAIFEIDTSKTSFVYLGTEYSVYDYVYVEPHQFAARKSESETYKGGRNVGLKAYVVCQLLEILTSNASRKAELDSTQLKLRRFFRPEDISEEKAYCSDVREIYYSQETHTLPVETIEGKCEVRKKQDLPSEEVPAIFDHVFFCEHLYDPSKGSLKQMPSHIKLRYSPRNYNDDDACRKKKGKCKEGEYDVGVERVKQTSQENYLATLDIFAGCGGLSEGLQQSGNYCVSITKWAIEYEEAAGDAFKLNHPESLVFINNCNVILRAVMQKCGDADDCISTPEAGELAMKLDEKEVENLPLPGQVDFINGGPPCQGFSGMNRFNHSTWSKVQCEMILAFLSFADYYRPKYFLLENVRNFVSFNQGQTFRLTVASLLEMGYQVRFGILEAGAYGVPQSRKRAFIWAASPEEVLPDWPEPMHVFAAPELKIALSANSQYAAVRSTANGAAFRSITVRDTIGDLPPVVNGASKTNMEYKGDPVSWFQQRIRGDSVVLSDHISKEMNELNLIRCQRIPRRPGADWRDLPDEKVKLSTGQVVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIVTVRECARSQVSPVTKYLFNFLHLSSKIFQHYQILIIWHANRICIFILT >CDP02722 pep chromosome:AUK_PRJEB4211_v1:7:6075287:6079309:1 gene:GSCOC_T00040196001 transcript:CDP02722 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G66670) UniProtKB/Swiss-Prot;Acc:Q9SXJ6] MERGCLGFTTAPAHSMPTTFPHPNNSFSKQFHQLSVSSRRIRNGFSVRASKQAFSAASSGNNLSSNWDISNYSAAPSLLPRFEELDTTNMLLRQRIIFLGSQIDDATADFVISQLLFLDAEDNKKDIKLFINSPGGSVTAGMGIYDAMKLCKADVSTICMGLAASMGAFLLACGSKGKRFCMPNARVMIHQPLGTAGGKATEMSIRIREMAYHKIKLNKILSRVTGKPEEQIEVDTDRDYFMNPWEAKEYGLVDAVIDDGKPGLVAPLIDATPPPKTRVWDLWKIEGSRKARKNLPSEEKIFRNGYVGGENEEGRGTEQKEEAPPTQS >CDP02065 pep chromosome:AUK_PRJEB4211_v1:7:1155508:1161165:1 gene:GSCOC_T00039346001 transcript:CDP02065 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNKDSDNVEQLEGKSSTKTEGIQDTNILSQDMEEITHNQLEILGTGCFECSISERGSSPYDRPESVRAVSDMLSMSEKESQTKENHGDDTEETVQEKDEASSGEHNSTSQGSHSMESKSGEDLEVKNVISMCPKEAANCMNDQKIRGNNVATDLEEGNRRMTESVKDNSSVQEFQLISIDNNAVDEANNPVLLPVENNGKEASETGGKEHVSEVDDSAPIEYEDRRGLSIGKKTEDENSHNSDDTSKDREQLAISQSLGKENQPNKEDHQYEEATMPFMSPDEPLQSKADIENPLVFTIAEETEINEDKLQGEPILIEQSNEMQDILLNDHSLEKIGNKHRSREDSSCCSPLEVINQKSKQEDDLKLEVNPGVKADGTFVGTNNTKSSTKFEEPETSDATESFKIEMPTEEKFVEGPSQVLAADETAKFGTGEGKEIGSENNKTTCDDFKQEVEPEAKPTESTNNASQTSKTEEPETIYSTQSLEKQISDEEKVIEGHHQTAEERLNDHLPEDKREKNDIDTETEDELKPEVKTGLSTDEVSDTVDEASNSKKFKEAGMIEAMQSLNNHLLSNKKVGEGPLEIAERLENYSPAEVGKEKRNVKVTSEAVESKPEIYTGQKFEEPEVIEATQRINTPMSSEEQVVEGPMQVSVTENVVNYCTAEERKEKRKGIDTTGDDLNPEVDPEVKFEETEMYDAAQSFKKQCPPEEKGLEGAYPVSTAEEKVNYSPAEKEEEGRDVKDTAMQEELNPELATGLKLDELSESIRNASTSTKFQEPEPIDVTQTVNKQISHEEKVIEQADQVSTPEEIVNYHLVEEEENVKDTTTADDLQPEVDPGVRANEMFDTKDNASESTKSLNQKRREDKVVDRPPKVSTAEAANYCSPDVKDEDNVKDATLSHELNPDVDQGENDTKASETTENASENTKVNKPTHFIFLFCHKPTW >CDP02138 pep chromosome:AUK_PRJEB4211_v1:7:1654738:1655847:-1 gene:GSCOC_T00039434001 transcript:CDP02138 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL46 [Source:Projected from Arabidopsis thaliana (AT5G40250) UniProtKB/Swiss-Prot;Acc:Q9FL07] MSWIQHQLNQKDGILTYPPPFPLSASSPPYVTGDNFHKESSHPTSSSSGTRISPAVLFIIVILAVLFFISGLLHLLVRFLIKHPSSSASSQSSRYPEVSTSDALQRQLQQLFHLHDSGLDQSFIDALPVFVYKEIVGAKEPFDCAVCLCEFSEKDQLRLLPMCSHAFHINCIDTWLLSNSTCPLCRGTLFNPGFSIENPVYDFDDLREDDGYPVSTENGISTRQKAVEIEEVAVEKGVFPVRLGKFRKLSGEEGEAGGETSSSNLDARRCYSLGSYQYVVGDSHLRVALSHDHIGRDVSLLKDRGQSNPPVSEDGAGKKIDIGAKTDSYSVSKIWLWPRKGKFASSADTPSEDVSFAADLPWMRRAEGM >CDP02688 pep chromosome:AUK_PRJEB4211_v1:7:5838434:5845399:-1 gene:GSCOC_T00040155001 transcript:CDP02688 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCTVQARNLTRDDGRRRVIEAGRKKRDPAPAASETKAMTVSKSKPISQNGTRIPKPVLYASSSGPSRALYGSILLIIALTILLMATRFTLSCSLQYPALAVAARHFRSQPFCLKASSLSLPKYYPTQLPKSPPCPLWSSSFLLCLHSLHWSAKTSTRSTTTVRSFAAASPSKSTVSQPPSGMTSVGDKNPLLTDFNFPPFDSIEAEHVRPGIRALLKELEGELEELEKTVEPTWPKLVEPLEKIIDRITVVWGAINHLKSVKDSSELRAAIEEVQPEKVAFDLKLSQSKPIYNAYKAIRGSPDWDSLSDARKRIVETSIEEAILSGIALEDDKREQFNKIEQELAKLSRKFEENVLDATKKYENVITDKKDIEGLPATALGLAAQTAVTKGHENATAENGPWIITLDAPSLMSVMQHARNRTLREEVYRAYVTRASSGDLDNTPIIDQILQLRLEKAKLLGYNNHGEVSMATKMATVDKALELLEKIRAASWDPAVKDMEDLKQFSKSQGAPEADNLNHWDLSFWSERLRESKYEINEEELRPYFSLPKVMDGLFNLAKMLFGINIEAADGLAPVWNNDVRFYCVNDSSGSAIAYFYFDPYSRPSEKRGGAWMDEVVSRSRVLSRDGVSPRLPVAHMVCNQMPPVGNKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRKIEWDAVELPSQFMENWCYHRDTLMGIAKHYETGESLPEDIYKKLLAARTFRAGSLSLRQLRFATVDLELHTKYVPGGSESIYDVDRRVSERTQVLPPLPDDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLHDEKAVKETGNRFRETILALGGGKAPLEVFVEFRGREPSPEALLRHNGLLPVTASA >CDP01940 pep chromosome:AUK_PRJEB4211_v1:7:7531963:7533837:1 gene:GSCOC_T00037129001 transcript:CDP01940 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLNPICTWCQRRALLLIFSFFLFSASLLTSDACHPADKAALLDFKNKITSDPSKLLHTWAPTTDCCKSWQGIACDTSGRVVNVSRSGLTGDDFILDTSMSGTLSPLLANISYLQLLDLSNLKDLQGHIPPEFGKLSRLTHLFLDSNKITGSIPVALRYLYKLKKLYLSDNQLSGTVPSPIFGSLTLLSELGLSGNGLSGPIPSSIGKLVSLTKLDIFQNSFSGSIPESLGNLKDLEYVDFSYNQLTGKIPESIGGLSNLVLLYANHNQLSGSIPPSISGLYSLQFCRLSDNTLTGSIPASIGNLRNIQRLIFENNRLTGKLPATIGHLAGLTDIFFSNNIFTGKIPSSFGNLRNLQTLDLSRNQLSGPIPPQVAQLQRLQSLDLSFNPLGLVSIPNWFQELKVFRLLLAKTGLQGQLPQWLASSSLSTLDLSDNALTGKLPNWIGNMTNLSYLNLSKNAFHSSIPEEFKNLSLLMDLDLHSNKFSGGLKTILSKHFQDPLGRYNSIDLSDNLFSGSMEEAIGDEPSLASLVILILSHNRLEGSIPKSLGKLSGLMTLLLSHNYLSGEIPEEVLNLKSLQEFDVAGNKLSGRIPAHNATIPKTAFLGNPGLCGAPLPPCKHS >CDP02015 pep chromosome:AUK_PRJEB4211_v1:7:7018253:7023953:-1 gene:GSCOC_T00037222001 transcript:CDP02015 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDDSALEDGIRKPLLHTGSWYRMASRQSSIMGSSAQMIRESISVFLCVSIVALGPIQFGFTCGYSSPTQADIINDLGLTISEFSVFGSLANVGAMVGAIASGQIAEYVGRKGSLMIAAIPNILGWLAISFAKDSSFLFLGRLLEGFGVGIISYTVPVYIAEIAPQNLRGSLGSVNQLSVTIGIMLAYLLGMFVPWRVLAVLGILPCTLLIPGLFFIPESPRWLAKMGLNEDFETSLQVLRGFETDISVEVNEIKRSVGSSTKRTTIRFAELQRRRYWYPLMLGIGLLVLQQLSGINGVLFYSSNIFKSAGISSSKAATFGLGAIQVVATGISVSLIDKAGRRVLLLVSSSVTTASCLLVAIAFYLEASRDFIPENSRSVLGILSLVGLVILVIGFSLGLGAVPWVIMSEILPVNIKSLGGSVATLFNWLTASAVTMTANLLLTWSSAGTFAIYALVAAFTVVFVRLWVPETKGRTLEEIQWSFR >CDP02693 pep chromosome:AUK_PRJEB4211_v1:7:5877592:5879529:1 gene:GSCOC_T00040160001 transcript:CDP02693 gene_biotype:protein_coding transcript_biotype:protein_coding MATEFNSFAFLIPCFGLLCLANAISFQITRFSPDLSTILYRGDAIASVGAIEFNNVDYLYQVGQAIYSQPVPIWDSHSRKVADFTTHFSFTIDTQNRTLYGHGLAFFLAPIVFQIPPNSGGGFFGLFNTSTSDSSQNQIVSIEFDSFANPEWDPPFEHVGINKNSIASSITTPWNASLHSGDTADAWIVYNSSTQNLSVFWSYGSSPNSSLSLQIDLKEILPQWAIIGFSAATGLHVERHTLESWEFSSNLDVTKTSGRKAKEIGLIVGLTAAGAILIAGGVIAIVVLRRKIQSAKWNPEMAANLTSSMNADFERGTGPKRFSYKDLASATNNFSNERKLGEGGFGKFSRGSKQGKKQYVTEVKIISSLRHRNLVQLIGWCHDQNEFLLVYEFMPNGSLDTHLFGRKNLLSWSARYKIAIGLASALLYLHEEWEQCVIHRDIKSSNVMLDSNFNAKLGDFGLARLMDHELGPQTTGVAGTFGYLAPEYVSTGRPSKESDVYSFGVVALEIASGRKSTDPMPTHGKSETGLLEWVWDLYGSGKLSSAMDGRLNNDFDLKQFECLLTVGLWCAHPDRSLRPSIKQAIQALNFEAALPSLPTKMPVPIYDVPNISSSAEPLITCTSIDVGR >CDP12850 pep chromosome:AUK_PRJEB4211_v1:7:13796344:13798640:-1 gene:GSCOC_T00037523001 transcript:CDP12850 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLKNQSFIRNQNTTAFKEVAIIRHPRVGEYAFGFITSTVLLQRDDGDEELCSVFVPTNHLYLGDILLVNSKDVIRPNLSIREGIEIIVSGGMTMPQRISPADRVARQNERIPLNRIV >CDP01892 pep chromosome:AUK_PRJEB4211_v1:7:7959697:7962607:1 gene:GSCOC_T00037068001 transcript:CDP01892 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVTAAVSLPSSKTSASLSSKTSIISPERINFVKVPLYYRNVSIGRKLVSIRAQVTTEAPAKVQKVSKKQEEGVVTNKYRPKDPYIGRCLLNTKITGDDAPGETWHMVFTTEGELPYREGQSIGVIADGVDKNGKPHKLRLYSIGSSALGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATVVMLATGTGIAPFRGFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKHPDNFRLDFAVSREQTNERGEKMYIQTRMAQYAEELWELLKKDNTFVYMCGLKGMEKGIDDIMASLAAKDGIDWAEYKKQLKKGEQWNVEVY >CDP02263 pep chromosome:AUK_PRJEB4211_v1:7:2578340:2584082:-1 gene:GSCOC_T00039612001 transcript:CDP02263 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAVHGSGSADSFLRNYKLGKTLGIGSFGKVKIAEHALTGHKVAVKILNRKKIKNMEMEEKVRREIMILRLFMHPHIIRLYEVIETPTDIFVVMEYVKSGELFDHIVEKGRLQEDEARRFFQQIISGVEYCHRNMVAHRDLKPENLLLDSNKNVKIADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYSGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLIVDPIKRITIPDVRLHPWFQAHLPRYLAVPPPDTSQQAKKIDEEILLEVVKKGFDRESLIDSLCKRVQNEGTVTYYLLLDNRFRASSGYLGAEFEESMETGFNQMQSNEAVASPRMTGIMDYQQIGLRQCLYDRKWALGLQSRAHPREVMTEVLRALQELDVCWKRIGHYNMKCRWSPGIPGQHEVMINNSMHSNHYFGDDSCVRENEGVARVPAVVKFEIQIYKTREDKYLLDLQRVQGPQLLFLDLCAAFLTQLRVL >CDP02580 pep chromosome:AUK_PRJEB4211_v1:7:4998988:5003255:1 gene:GSCOC_T00040010001 transcript:CDP02580 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEFQAAGQSTSPNMSSKKKELLSTAMKRTSEWIFSQEIPSDVIVHAGGTPFSLHKFPLVSKCGYIRKLVSESNDADLSEIEVPDIPGGAEAFELAAKFCYGINFEISTENIAMLRCGAEYLGMTEECAIGNLVGRTEAYLNEVALKSLASAVSILHSTESFLPVAEEVKLVSRCIDTIAYIACKDNQFATLGRADSGNHGINSSMVFQPKAIVDWWAEDLTVLRIDMFQRVLIAMMARGFKQYSLGPILMLYVQKSLRGLEIFGKGRKKIELRQEHEKRVVLETIVSLLPREKHSLSVSFLSMLLRAAIYLETTFACRLDLEKRIALQLGQAVLDDLLIPSYSFTGDTLFDVETVQRIMMNYLDHEMEGHRSGLNADEDLLSPSTDMERVGRLMENYLAEIASDRNLSVSKFVGLAELLPERSRITEDGMYRAIDIYLKAHPALSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLREAVDGTEGETPNLALKVNQHPAGSHTAPQELSSLRKENQDLKLELVKMRTRLRELEKSSDKSYAASPLVITLPSAEKPPLPRKSLISSVSRKLGKINPFLRADALFPSNAKVRSKPSKDRRHSIS >CDP12907 pep chromosome:AUK_PRJEB4211_v1:7:13160395:13160703:-1 gene:GSCOC_T00037596001 transcript:CDP12907 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRRLGSEKPVVIFSKSNCCISHAIKMLLSSFGANPTVYELDQHPKGQEIENALLALGCHPSVPAVFIGKLFVGGSDEVMSLNVQGKLKPLLIKANAIWM >CDP16711 pep chromosome:AUK_PRJEB4211_v1:7:587675:592268:-1 gene:GSCOC_T00019184001 transcript:CDP16711 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPGKRVLLTSNGDDISLNLARHLARRGCRLVLMGNETQLKLAAHKIKYSLPDVVTPEVVGLDLEDDCEAVFDAAVAKASAILGFFDALVHCYSYEGEMQNPLDITEGEFKKTVKVNFIAGWYLLKAVGKRMRDHKSGGSIIFLTSIVGAERGLYQGAAAYGACLAGVQQLVRTSAMEIGKYQIRVNAIARGLHLQDEFPVSVGKERAEKLVKEAAPLHRWLDVENDLASSVIYLISDGSRYMTGTTIFVDGAQSLVRPRMRSYM >CDP02229 pep chromosome:AUK_PRJEB4211_v1:7:2303055:2307414:-1 gene:GSCOC_T00039561001 transcript:CDP02229 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTQFLYKKLVLLVGSSLFSVSRLLLPLFSFISPFLFRLHGNGSPLRQKIEMNSAEIIDQKDFKHVPSEPTLEPQTEPEAELNDVGFKELETGSHFEPEKKAKTDEVGFTESEADCEGESFDTSFTFRFPTFEEYISNSKKESAELFNSDQVSSTSSSKSDFFMSSESFNVEEIDAASHKHFTVEAAETFEVQSKKKPVDDGPRDEEKSEEHEATSCIPQETGDYDFRNEIRFDYTERDCVIVSDGHSESINSEPDRVSVTSDSYNDGFLSDEDFGQEYSSVVDLEASMDVSGEEVKTTRGETADFGDKDGESDDFGEEDSDILGELSKLEGELLEQDLERNPDAVASRFLSEDDFREDSMGAEADQDDKPLNGSYDSEKATSKDTCDFEDPNKLETLWEHQDLIEQLKMELRKVRATGLPTILEDSEFPKITEDLKPWKIDEKFQREDCMGELHKFYKSYRERMRKLDIFNYQKMYAIGFLQLKDPLEPISSPKTSGPILKSLLSQNFWQLKHKSSENEPMIKFVKDLQSDLEVVYVGQMCLSWEFLHWQYGKALDLWDSDPRGIHRYNEVAGEFQQFQVLLQRFIEDEHFQGPRVRYYVKRRCLLRNLLQVPVIREDNLRKGRRGERAEYVITSDMMVEIIEESIRIFWRFVRADKHCNSAMSKGHKGAPPALQNPGDLELLMEIKNILQKKERKLKDVVRGGNCILRRLRKSREDESDHVLYFFSQVDLKLVARVLNMSRLTTEQLVWCHSKLSRISIESRKIQVEPSFLLFPC >CDP16639 pep chromosome:AUK_PRJEB4211_v1:7:153552:154240:1 gene:GSCOC_T00019093001 transcript:CDP16639 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENODL21 [Source:Projected from Arabidopsis thaliana (AT5G14345) UniProtKB/TrEMBL;Acc:A0A178UD68] MASHSSFSSTRTHTILVIFISSLQLSSVSSFEYQVGDEIGWVVPHANQTKFYNDWASEKRFKVGDTIRFKYRKDSVMEVSETDYKKCTSRRPNFFSNTGNTVFTLDRSGYFYFISGASGHCDKGQQMVVKVMSLDDHNSSPTSSSSASASVALICHRHHHLLFTIPTFHFLLHLASRIGIF >CDP04755 pep chromosome:AUK_PRJEB4211_v1:7:15062109:15064148:-1 gene:GSCOC_T00018841001 transcript:CDP04755 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGKTTLASRVYSSSPVISHFHIRAWCTVSQIYSRYNLLVQILCGICSRHPVKYLEVDEDDLALELKRVLIKTKYLLVLDDLWDVEAWNFLKNSLPNDANRSRILITSRLQNLSLGFSEAHHLRHLTDEESWQLLQKKLFGKDGCPPSLSGVGFQMAKSCRGLPLTVIHVAGILATTAQNCWEGVASRLSSSVVLDDEYFMKTLDLSYSHLPDDLKPCLLYFGAFQVDEYVFVRRLLWLWISEGFVRATEGTSLEDVAEGYLKDLIDRSLVMVSKQGTTGGAKACRIHALVHKFCVIKAKEENFLHIIHSGKDLSSLTGLRKPYRVCDQNVRNSKISELPNLRSLLLFKKSGLMPKREYLGSKLLRVLDLGNLVFDAHFLMEVVLLVHLRYLALHIGGIESIPSAIANLSRLQTFLVRGSSWKYLLPEAIWNIKTLRHLCTTSSSCGFTFPVENLEVSPDLVHLDSLNLAIDPSSQSLENILRKLPSIRRLKCRRVRPSEEPTRTSDGTLVFDNLSRLKSLSLHFFDGYGFKFPSNLKKLTLSYNQQPWSEISTIGKLPNLEVLKLLHDSFVGEEWEMKEEGFLALRVLKLIDLHFRSWTASSESFRRLEKLVVHECQKLEEVPSYLGECATLEMIEVKGCGESVVSSVKQIQQEQMDMGIADLKIIIENCGDAWST >CDP01837 pep chromosome:AUK_PRJEB4211_v1:7:8335017:8337184:1 gene:GSCOC_T00037009001 transcript:CDP01837 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDRDYLLAQQKPFFNTLFFRGCTTNTSSIPRYKRHDLVGKISFLLESRDFRVLPPTPFVEMADSPRKRYSRSPSPWEEKSRSPVRSRSRSRSRSRSWPRPKATSRSRSRSTSRSRGRTENAINSGNTLYVTGLSTRVTERDLEDHFSKEGKVKSVFLVVEPRSRVSRGFAFITMDSAEDANRCIKHLNQSILEGRYITVERKTLRQLCWQQSKMMSSSIPTI >CDP01782 pep chromosome:AUK_PRJEB4211_v1:7:8800372:8802621:1 gene:GSCOC_T00036941001 transcript:CDP01782 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTCDINHLDADVLLPPRKRLLAGLKRQNSDVNPQTPTSASNGGSEFDSRVNNLMKSHLSNPNLSNEEIVEASRLAAVEAAKAAQAARATAEEKAAKAAKAVAAAKSALELVATVSEEIDNGEKYLKKNKMKKHVQVQTLYNKPRGSQGWKTDEELARKLHRAINSSPRILKNTSTSDSKSHKHKKLKRSSSFEKTAVSNGGTVLHVNQALASNGNGIAGGMDHDGSVQDGYRAKVDLNIPNFNKADGQKMESREMLKHSKPDLLIMENGEPDAVQSSMKVGVDDDSGLGKRKGKIKQKKLPLSICSIRDLENPKEDLKSKSSSTTDNNRAKVIGNSKSIFSVGPSSEGVMPVERTSMWKCQAFKAPACVKQNKVMQS >CDP12822 pep chromosome:AUK_PRJEB4211_v1:7:14197153:14201306:1 gene:GSCOC_T00037483001 transcript:CDP12822 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKPYLAVVIIHILYTGMFSLSKDALNGAPITFFLEWKTAPSLSLMTFIKIFMPFLFGGEGRNVNALALKYTSASLAAVATNTLPVITFFLALLFSVKLQTIPGIVKVAGVAICFGGAATVAFFKGLYLRLLGVFLMLLSNVFWSIWLIFPGLILKSYPSKLLCTALQCFLSTIQSFIIAIALVRDPNEWKLGWSVRLISVAYSGIVVAGVTFYLQAWVIEKKGPVFLAVTPPRLLVFTMCTQRSSCQNLHYSGVRGGFLRVVGLYYVLKVKGGNQGKGGLGFRFPKKNHTPQKRMKPTGVHPNQLLAESER >CDP01551 pep chromosome:AUK_PRJEB4211_v1:7:10900448:10900810:1 gene:GSCOC_T00036641001 transcript:CDP01551 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKVCFVLSLILALSFSSIKVSNAARYLLQTTPGIPTMPSLPKLPPLPTMPSFPTATLPPMPATPLPTLPTNLPSLPKPTFPPLPSTQLPTLPTIPSIPSIPTTIPTLPFPSPPPSS >CDP16721 pep chromosome:AUK_PRJEB4211_v1:7:696160:698879:1 gene:GSCOC_T00019194001 transcript:CDP16721 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAVHVSDVPSLDHVPGNAASLSPYSPSRFIKGGLETGRSAFKAPAFLVIGHRGNGMNLLQSSDGRMKAIKENSILSFNTASNYPIDYVEFDVQVTGDGFPIIFHDNFILSEQNGMIYERRVTDLSLSEFLGYGPQREDGKVGRSLLRKTKDGRIVSWSVETDDSLCTLEEAFHKVNPRSGFNVELKFDDHVVYQEDQLVQVLQAILRVVFEHARDRPIIFSSFQPDAALLIRKLQSTYPVFFLTNAGTEIYYDVRRNSLEEAVKLCSEGGLQGIVSEVKGIFRNPGAVNKIKDSKLSLLTYGKLNNVPEAVYLQYLMGIEGVIVDVVEKITEAVSNLMRPSKERAEEEEEEAAPEFSQKELSFLLKLIPELIQQ >CDP04645 pep chromosome:AUK_PRJEB4211_v1:7:16963050:16964874:1 gene:GSCOC_T00018667001 transcript:CDP04645 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFREIPHKGLTPDIVIYSTVLQGLFSSGRYLSAREIFNEMQASGMKPHFHTYCVMLDGLCKTGYIDEALHKRLDSARDLFNNLSLNGLDPNVITYNTMIAGLLSEGLLIEGKELILKMEEKGCLANSVTYNVILQGLLKGGHYDDAMVYHEEMVHRGFLLDASTFSILLDLSAANQNNPFVLLLMLKIDPDSKKEHRSGGEAAFLREVQLLGVSVHRKLVQLIGFVRLLLKTSSLSFHGKLQCGMSLKWWSRRGVESELQSMKI >CDP12872 pep chromosome:AUK_PRJEB4211_v1:7:13443158:13444970:-1 gene:GSCOC_T00037549001 transcript:CDP12872 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFNLLICAISFLSLETLYVEGYLGMNWGRLATQRMIPSMVVDLLMQNKINYVKLFSASDNVLEAFSTTDIGLEITMPNQSTQKMKTQADVNEWVVQIIVRHPNVHFMYVCIGTQPLSTRFFNQTYPEAIQVLDWMQQALNLMNKSEIKATMSHFTDVLIPAKKPSEGAFRKDLEPNITRSCQILQSYNAPIGVVIFPLVNVNDLFNGDTEFAFLENNSTSVFKDGDKTYSNVIEVMYDMFDVALEKVGCPNMTIIIDAIGWPTDGIKDGNIPNAQRFYQGLAKFVASKKGTPRRPGPIDVYIHNLSDENKINKNAGSFMRHWGIYKFDGQPKFNFDLQGLGRDVKLVPAIGITHMPKRWCIFNDRYTSDIGKIAYNVFDDEVKYACDTADCTALDPGGSCSNLTYIQRLSYAFNMGFQKAAQSVYNKSCYYNGYGKIVSNDPSTPSCTFPVEILAAEIPNFTGYAQSLAHVLKPSSISLMTAVVQMLYICFTLMCTC >CDP12836 pep chromosome:AUK_PRJEB4211_v1:7:13961529:13964325:-1 gene:GSCOC_T00037503001 transcript:CDP12836 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHLHLAYQRYIHSRALDRRRIIQIELNRSRENIKLFLETDIKKSCILTLLDYHSLGDPRLVMDLIDSLVEYLDDLRGRKWEKLIETLQEKLMLLRNLIGLATVQGVEHMQLIDLLIHVEYKISQLIQEKINPVDPQVQETYIHVLTASKQSRSSYASALEENEYAVANFVDSFLDYLIDLLGSYNSFQVQVKEQMLKLHQKIRFMSIVLKKKFNELRDELKDLIGVVACDAGILMFTLSPNEMKEGLTEETDLALFHLLKVLKYIMAEVAYMYPLTSSSSFSFPRTNELGSVDLFLENLKELASCDETDHSLAFPVDSIHIVQKNLTFLRSFLENIKEKRNQNDKLKTCWNRVMEIPYKAELVIDSIVVSDKGHYFLDAVAEDINLMKIEAQEICDSINDANGSRIMFASRCQNLSSLFKGDCKPHHLRQLTDKECWALLQTKIFGKEGCPPILSEVGFRIATNCKGLPLIVILVSGILATTDQDCWEETAETLNASIILDTGNCMKTLEQSYSHLPDYLKPCLLYFSVFPDERDISVQRLLQLYISEGFVQKTERKSLEEVANDCLMDLIARSLVMVTRERTLGGAKACQVHDLVHEFCVEKAKLEGFLHIIDRYKDPSCPTGPCNYNPHRMCIYNTMVEVVKQSRQIFPNLRCLLFFPSYEQNATDLDLGFLMSKLLRVLDLGNFDFCESFPLEVLCLVHLRYWGINLKIDFVPSAIANLSRLHTLVVYGSITHVELPKTIWNIKTLRHLWV >CDP04769 pep chromosome:AUK_PRJEB4211_v1:7:14858247:14858387:1 gene:GSCOC_T00018864001 transcript:CDP04769 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIALWCIQDKPALRPSMKKVLLMLEGTVDIPDPPSPTSFLSTST >CDP16636 pep chromosome:AUK_PRJEB4211_v1:7:142173:145147:1 gene:GSCOC_T00019089001 transcript:CDP16636 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASRISSPFVGYSASNTEAVAANHWILAVISSISRLQLRLVSVSCLLSTQVLLLYVPGALIAGVLGFMVDMIVISIIAICKSPYMLFKGWHRLFHDCVGREGPFLETMCVPFAGLAILLWPLAVAGALLGSMVSSIILGFYAAVIVYQESSFWLGLCYIAASLSIYDEYSNDVLDMSEGSCFPRPKYRKNAASVTSSRAASFSRLQSFKRTTSFSGRLVELRSLELVDALLKECQRYGEIMVSEGIITLKDIEDAKSYKDSGKIISIGLPAYCIFQGLLRSAKANSAGILLNDNVTEITSTNRPKDAVFDWFFNPLLIIKEQIKAENLSEAEELYLGKLVLLSGDPERLKKSDIGAPPESELRRAELDGLARRLQGITRSISRYPTFRRRFDASMKMVVEELAKKNGESSISSGPQTVPRSKSMFVRMFSQKSSRTRTSKHGSDLEAGSVAERDVEII >CDP01675 pep chromosome:AUK_PRJEB4211_v1:7:9626977:9631250:-1 gene:GSCOC_T00036805001 transcript:CDP01675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSLSRLSGRTIFFPVLASFSRNCTGAIGESYNFVGISHASYRQSFGINNVSCRPSLNCLLPRYEVRRLLFSKCSGVSPFGNQNIGRPYSVLNSRRSYATHATTERKSQKTLMYLTGLVFAMVGLSYAAVPLYRRFCQATGYGGTVQRRETVEEKIARHAKDGTVTTREIVVQFNADVADGMPWKFVPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNLILSYTFFKVPDE >CDP01742 pep chromosome:AUK_PRJEB4211_v1:7:9104515:9113049:-1 gene:GSCOC_T00036889001 transcript:CDP01742 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEPGSGNKLSVTAAQEKVLQGLQRAQWLGAAILGANDGLLSTASMMLGIGAAKDDQESMIISGIAGALAGACSMAVGEFVSVTTQRDIEKSTIHKTCSDEPNRSPFVNLASESESSQGKLSPSRQKQVDGKEEALPNPYKAAAASALAFLCGSVVPLVAAVAISQHRTRIVIIMVVTTIALVLFGGLGALLGGSPIRLSAMRILIGGWISMSREREREEGRSGMGETQSTALEFKGTRGSLFHTVLALIFWLGSVHFNALIVFASFIFLPFSKALAVIGLLVIFMVLPIDERSNWGRKLARYICKHACGYFPVCLYVEDYKAFDPNQAYVFGYEPHSVWPVGVVALADLTGFMPLPKIKVLASTAVFYTPFLRHIWTWLGLAPATRRNFLSLLASGYSCIIVPGGVQEAFRMEHGSEIAFIKSRRGFVRIAMESGKPLVPVFCFGQTEVYRWLKPSGKLFLEFSRAIKFTPIVFWGVLGSPMPLRHPLHVVVGKPIEVKKNPQPTAEEVAAVHGQFVEALRDLFERHKERVGYADLQLQIL >CDP17140 pep chromosome:AUK_PRJEB4211_v1:7:27242863:27366703:-1 gene:GSCOC_T00011162001 transcript:CDP17140 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSDSPFRFIEPARCRTRVFSNSLLKGWIFSGQQLQILMEFEVDVDVNGEVVGSSVDIDGRTDDENATAGSPVDGVLQHCPDVKVDQHVSRREIVELEETQAVNILVSNDEPYVGQEFESEAAAHAFYNSYATRVGFIIRVSKLSRSRRDGSAIGRALVCNKEGFRMPDKREKIVRQRAETRVGCRAMILVRKISSGRWVVTKFVKEHTHPLNPGKGRKDLIYDQYPNEHDKIRELSQQLAVEKKRAATYKRHLEMIFEHIEEHNLSLSKKIQDVVNNVREIESKEHQSHR >CDP12930 pep chromosome:AUK_PRJEB4211_v1:7:12882269:12883102:1 gene:GSCOC_T00037628001 transcript:CDP12930 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKLSATPNLADYYPYLRELDLQGLTRRMKAVSKIFDRFLEKIVDEHEQSANQDRQADDFVYTMLAFPDFPNLIHFYFCEGKKCSFQDMLGGSMDTSATVVEWTLAELLKTPRVMKKAQQELEKVVGLDRMVEESDLDSLNYLTWL >CDP01614 pep chromosome:AUK_PRJEB4211_v1:7:10237367:10238591:1 gene:GSCOC_T00036716001 transcript:CDP01614 gene_biotype:protein_coding transcript_biotype:protein_coding MYISFNMMRIASLIHECRSAKGTVMGTNLPSAPGVKLLVEDHQVALQNGIVNVTLSAPDGLVTKISYNGLDNLLEVGNEDDDRGYWDVVWTKSKTLDYLKGTSYKVEVENENQVEISFTKKWDASLNGKVVPLNVDKSRFVMLRGSAGFYTYAVLEHAPGMPDLDIPEARVVFKLQHDKYSP >CDP04604 pep chromosome:AUK_PRJEB4211_v1:7:17751066:17751907:-1 gene:GSCOC_T00018601001 transcript:CDP04604 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNYFLVLFVRAAHEAGAATAIVNLGETRADKFVPLKINARLGEILPRLLNTGSLSVPVPYS >CDP16712 pep chromosome:AUK_PRJEB4211_v1:7:597638:599238:1 gene:GSCOC_T00019185001 transcript:CDP16712 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNHNNSPTPPPPAEIKDFRICIADKQENTTTSAKKPHQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAAAAAGAGGSVSMSAGLHPPKISAELGAHHPPHMDIAGSGQGAGSTGASRTNWPMVGGSLLRAPHMGMPTTTAGIWPPTSASGAVSGFGFQSSSSPAPAATSLGTESSNYLHKLGFPGFDLPAATNNLGPMSFTSILGAATDQQQQLPGLELGLSQDGHVGVLNPQTLSQIYQHMGQARAHQQQQQHQDQQQQQPSPNDNSQGSGQ >CDP16620 pep chromosome:AUK_PRJEB4211_v1:7:35487:41038:-1 gene:GSCOC_T00019070001 transcript:CDP16620 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKNEVINTSKLFKYLLMGLTVFLGLVCLYNGSSFAPRLPRADDPLEDGADPVTGRFLPKRYYSDELPEDQERNPEVPRSIPVCDLNHSELIPCLDRNLIYQLKLKPNLTLMEHYERHCPPPHRRYNCLIPPPIGYKIPIKWPASRDQVWKANIPHTHLAQEKSDQNWMVVDGEKIRFPGGGTHFHYGADKYIAAIAGMLKFPDEKLNNGGNIRNVLDVGCGVASFGAYLLPLDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYSSPEAYAHDADNRRIWNAMHDLLRRMCWRVVSRRDQTVIWAKPFSNSCYRKREPGTNPPLCSSDEDPDSTWNVLMKACITPYSARMHKEKGSGLKPWPPRLTAAPTRLEDIGVSPQEFLKDTSIWHDRVVDYWKQMRSLMQRNSFRNVMDMNSNLGGFAAALRDKDLWVMNVAPVNASSKLKIVYDRGLIGTVHDWCESFSTYPRTYDLLHAWALFSEIEERGCSTEDLLIEMDRILRPEGIVIIRDKLSVINYARKFLTALKWDGWISEVEPRADALSLNEERVLIAKKRLWQVELTML >CDP04772 pep chromosome:AUK_PRJEB4211_v1:7:14850631:14853198:1 gene:GSCOC_T00018869001 transcript:CDP04772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 159, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02510) UniProtKB/Swiss-Prot;Acc:O81283] MIFGSSEAAKQFIEELERGSGGNSFSGADTSLDQPQRVDGQIVTDSDDEVDTDEEGENKELFDSAALAALLKAATGADPDGGSITITSQDGSRLFSVERPAGLGSSLRSLRPTPRPNRPNIFTPSTFSNSGDSESNLTDEEKKKLERLQQIQVKFLRLLHRLGLSTDESVAAQVIYRLTLAAGRQIGQLFSTEAAKAMALQLEAEEKDNLDFSLNILVLGKSGVGKSATLNSIFGEEKAQIDAFQPATTTVKEISGFVDGVKLRVFDTPGLKCSAMEQSFNRSILSSVKKLTKKNPPDIVLYVDRLDAQTRDLNDLPLLRTISGSLGSSTWRSAIVTLTHAASAPPDGPSGSPMSYEAFVTQRSHVVQHSIGQAVGDLRMMSPSLMNPVSLVENHPSCRRNREGQKVLPNGQTWRPQLLLLCYSMKILSEAGSLSKPQDPFDHRKLFGFRVRSPPLPYMLSSMLQSRAHPKLSTEQGGEIGDSDIDLDDLSDIDNDDEDEYDQLPPFRPLRKAQIAKLSKEQRKAYFDEYDYRVKLLQKKQWKEELKRMKEMKTKGKDAEIVNGFTEEDADSAAAAPVAVPLPDMVLPPSFDGDNPAYRYRFLEPTSQFLARPVLDTHSWDHDCGYDGVNVENSLAILNRFPAAVTVQVTKDKKDFSISLDSSIAAKHGENVSSMAGFDIQSIGKQLAYVVRGETKFKNLKKNRTAGGVSITFLGENVVPGIKIEDQITLGKQYALVGSAGVVRAQQDNAYGANFELQRREQDFPIGQVQSTFSMSVIKWRGDLALGFNSLAQFSVGRGSKVAVRAGINNKLSGQVTVRASSSEHLSLALAAVIPTVISIYKKLCPGVGEKHLMY >CDP19915 pep chromosome:AUK_PRJEB4211_v1:7:14708900:14714981:-1 gene:GSCOC_T00008838001 transcript:CDP19915 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSKFPAKPISNFILLATSTNENSAKTPKFLVQISHFSTYSPNISSNTPSYQSRRQEDESRSVRVSVWWDFENCNVPAGTNVFKVAPAITAAIRANGIKGPIQITAFGDVMQLSRVNQEAFSSTGMNLTHIPRGGKNSADRSLLVDLMYWVSQNPPPAHLFLISGDRDFAGILHRLRMNNYNILLASSHGASSVLCSAASIMWHWNSLLKGEDLSGKHFNQPPDGPYGSWYGHYKAPLEDPFAVTEQTAFPQPPASEQSACPPAEVLPDSNSENKTQPIPKAVIKQIRSILHQNPKGISITELRAELSKSSITIDKDFYGYRKFSRFLLALPHILRLQPRNDGQFFVFAIATKVSEQADLSPSPSITTRPIHKGEVDSVDAGKLSAGKGPCNDQLVGKQSIPASSEAPMKNGGQPQEPLTEFQKPIRTLPVPPLNEPELKTTESQLHLVVHRPESELKNKLGFFRRICRIWYGPSYDSPDEKLSSTSDGILDEKMEAKGEHVQSRVESVESVSPDSLATTEMIPDDNISSSSPPTNDKSRKSSGFFGQLLSMLRIWENSEQSDDSGGKSSEKMNDTKLACNKNGIFAKESFWDDLKTFLGTVNASAIVLQSKTRVQMGQNLQREGPSLLKSLNESDLLHLVDLLISDKKWIEESPSQNYPFKLVNSDEKDLSSQSTNASRQSNGLSSIFSDTQPSSLSQRLREIDGQKRHQNPPFTGVSQPAIEGMLSGKSRTEILADCEKLLDEIVKKYPEGFNIGSFRKVFFERYSYPLDVQKLGYQKLATLLQIMPGVRIESTYILPTTEVLKSLSPDNMDPFVQRSNFGSREGHSETELSDSSGKEDDTDSSWDELGPVANLGSKRNESGPALSRKVKDETVEKIRHDYESLSDDDFSDSEEEPSSSMKSESQKKQRINEEDSSLLQILESWYSSKEENTKRGTLENPEAGADSSGNSSWVSTKAASTAKNEPSVVKNGKKQRSLKGYSFVQDQAVENKDKLVDGILVSLKKSGEKSAETRI >CDP04650 pep chromosome:AUK_PRJEB4211_v1:7:16820889:16823914:-1 gene:GSCOC_T00018679001 transcript:CDP04650 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPHPPKTVYVLFNLCCYLLLFNGINTSAYSVPDHYFINCGSSDSTTVYRRNFVGDVNPDSFTLSASHSSIAKEDQSSDSAASALYQTARIFRQISSYDLDLVQNGIYVVRFHFYAFTSPDNLANARFDVSTSKFSLLSNFSIQNRSTSKSPVIKEFLIRIDVGKFQIYFTPSGSSSFAFVNAIEAFLAPDNFIQDSPPPPYITPAVSKGVYNGLLSNALQVVHRINVGGLTVTPDTDTLGRNWIPDDDYLVSRNAAKNTSFRSASPNYQPGHATKFDAPDPVYNTAKELNITPSQEGIIVPKVNFNVSWRFNVNGNAKFLVRVHFCDLISTAGSEPLKFNLYIYSNFNKKIDPQESTTAAMTAVPFYIDFVVDSDDSGFMNVSIGPRQDSDNQQAFLNGVEIMQLVNVPGPISNGSGSGNKHLPVIIGSVAGGVVLIIITVVLFWFSLKLRKGKPVDALDWQLMDLNAGSSYSKSTDRNTNGSPLPDLNLGLKMPFGEILYATKNFDEKLIVGEGGFGKVYRGTLRDGTKVAVKRSEPGRTQGLPEFQTEIMVLSKIRHRHLVSLIGYCYERSEMVLVFEFMEKGTLRDHLYTPKEESGKSTAVSGLSWNRRLQLCIDAAKGLHYLHTGLGGSIIHRDVKSTNILLDEHYVAKVADFGISRLGPLDQSHVSTEVKGSFGYLDPEYFRCLQLTQKSDVYSFGVVLLEVLCARPAIDNSLPRQQVNLADWGMSCLMEGELHKIIDPFLVGKINSNSLSRYGETVEKCLKECGVDRPNMADVLWDLEYALQLQHTAVPEQSHEGSNTDVSYNLPLPVIRRLPSHSIAMSEDEIGSDANIERSSTNPSEVFSQLRMEDAR >CDP01435 pep chromosome:AUK_PRJEB4211_v1:7:12184743:12186871:-1 gene:GSCOC_T00036481001 transcript:CDP01435 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIDIYSYSNPVFSLSSDPLREELMKALEPFMKGASSLPSSPTTTSSPFSSSSSSLSPPSTSSSSSCSSYYPFDSSSFSSEPNMYSSFTSYVPTTAHMFSQGLSSFDEMGFDEQSGGGSIGLNHLTPYQILQVEAQIKLQNQQQQYLAAQSLQNYSSTRQLQHQNHSPLSFLGPKPVQMKQVGTPPKPAKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGEFARLNFPNLRHQLSQDFGDFKPLHSSVDAKLEAICQSLADSQKQGNTGEQHQKKVGVQTIPKVENTFDDSLNSEYVYPGNEDVKVEETSSSLSPSRSDETSSLEMSSPESEITFLDFAEPTFDESENFLLQKFPSVEIDWAAL >CDP02735 pep chromosome:AUK_PRJEB4211_v1:7:6139761:6142842:-1 gene:GSCOC_T00040213001 transcript:CDP02735 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKILSSLIRLPTRHSYSLQPKLYNPPPAGHILHRVANYAASSAAATKASTQPPGKGIGKITENFTGAGAVGQVCQVIGAVVDVRFEDGLPPIMTALEVKDHSMRLVLEVAQHLGENTVRTIAMDGTEGLVRGQSVLNTGSPITVPVGRATLGRIVNVIGEPIDDRGDIKTDHFLPIHREAPTFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGALQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGDEHYNTARGVQQVLQNYKNLQDIIAILGMDELSEEDKLTVARARKVQRFLSQPFHVAEVFTGAPGKYVELKESINSFQGVLDGKYDDLPEQSFYMVGGIDEVLAKAEKIAKESP >CDP02407 pep chromosome:AUK_PRJEB4211_v1:7:3588354:3591166:1 gene:GSCOC_T00039774001 transcript:CDP02407 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSHGSSKFLRKFQCFVVVRLDRPNGGYCKITTECMYSYPKHQHPEPVRQNSPKTKKSSRLINKRDAEPKTYMRDTITKISNILRYSTWDSAKDQLEGLAIKWDSFTVNQVLKTHPPMEKTWLLFNWAAGLKGFKHDRFTYTTMLDIFGEAGRISSMKYVFQQMQEKGIKIDVVTYTSLLHWLSNDGDVDGAINLWLEMRAKGCHPTVVSYTAYMKILFDHNRATEGVEVYKEMLQAGCKPNCHTYTVLMEHLANAGRFKEVMEIFSNMQEAGVPPDKATCNILVGKCCSAKNIETMQQILQYMREKALVLRIGVYHEALETLMAAGENDILLKQVNNHLSVENIENVENNKFVGFTGDNVSSLGRALVLHLSSKQNLVAIDCLLADLRGKSIKLDSGVISLIIEVNCSHCRQVGALTALEYSVELDVEVERTAYLVLLGLLIRTNSLANVVDVVYVMARTGVSLGTHLSALVIYRLGCARELGCAVKVFGLLPDEQRSTTTYTALIAAYFSAGDVDKGLKAFETMKRLQINVALGTYRVLITGLEKSGQVHKLDTYRKEKKILQGRSCPQDVSSEEIICNLLFAGDFLV >CDP04700 pep chromosome:AUK_PRJEB4211_v1:7:15708900:15710581:-1 gene:GSCOC_T00018761001 transcript:CDP04700 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTMVSLDRKSSIENEPRTLNIQQFQLAREAALYVMSTRSMEEALGIFTKGLEPVVNCGRENEATMRDYDYEDLEISHNQLRDIESAPFLFVLSVKQFLDQSLSQYIVKNLVI >CDP16753 pep chromosome:AUK_PRJEB4211_v1:7:925254:927640:-1 gene:GSCOC_T00019237001 transcript:CDP16753 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSDPTQDGSDEQQRRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLMEQYPNRLEIVQLDDSNGEIRSDPNLCFEHPYPPTKVIFIPDKECQKPDLLATSSDYLRVWRVADDGTRVDMKSLLNNNRNSEFSGPLTSFDWNEAEPKRIGTSSIDTTCTIWDIDRETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGQPIEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSNKLQILRV >CDP12877 pep chromosome:AUK_PRJEB4211_v1:7:13396288:13401804:-1 gene:GSCOC_T00037556001 transcript:CDP12877 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAWDITASEFLHGSCRQKLILPRHPPRWTNRLLWGTVQGKSHLRKNYDKGIRLRSHLPVKVRAVVSGNVGSSVDEKRSEVEGIGHEKVIHFYRVPLIQESATCELLKTIQTKISNQIIGLKTEHCFNVGLNSRLSSEKLSALQWVLGETYEPENLGAQSFLDKEVKNSSNAILVEVGPRLSFTTAWSANAVSICRACGLTEINRMERSRRYLLYIKPGSGSLPDSQINEFAAMVHDRMTECVYAQKLTSFETNVVPEEVRHIPVMEKGREALEEINEQMGLAFDEQDLQYYTKLFRHDIKRNPTNVELFDIAQSNSEHSRHWFFTGKIVIDGKPMDRTLMQIVKSTLRANPNNSVIGFKDNSSAIRGFPVKHLRPVQPGSTCSLNMSEHDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNIEGSYAPWEDPSFTYPLNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSGGIGQIDHFHVTKGEPEVGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACIEMGEKNPIISIHDQGAGGNCNVVKEIIYPKGAKIDIRAIVVGDNTMSVLEIWGAEYQEQDAILVKPESRSLLQAICERERVSMAVIGTISGEGCVVLIDSLAVERCISKGLPPPPPAEDLELEKVLGDMPQKTFEFHHTVNVREPIDIAPGITLKDSLKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQITLSDVAVIAQSYTDLTGGACSIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKMDGEGAAMYDAALALSEAMIELGIAIDGGKDSLSMAAHASGEVVKAPGNLVISTYVTCPDITKTVTPDLKLGDNGILLHIDLAKGKRRLGGSALAQVFDQVGNECPDLDDVPYLKTVFNRVQELLSEDLISAGHDISDGGLIVSALEMAFAGNCGISLDLTSVEGSPFKTLFAEELGLLLEVSKKHLDMVMAKLSDVGISAGIIGSVTVSPVVDVKIDGVTFLNEETSALRDIWEETSFQLEKFQRLASCVESEKDGLKYRQVPSWDLSFTPTYTDEKYMTAISKPKVAVIREEGSNGDREMAAAFYAAGFEPWDITMSDLLSGTISLHPFRGIVFVGGFSYADVLDSAKGWAASIRFNRPLLDQFQEFYERPDTFSLGVCNGCQLMALLGWVPGPRVGGVMGVNGDPSQPRFIHNESGRFECRFTSVRIEESPAIMFKGMEGSTLGVWAAHGEGRAFFPDEGVWNSVLNSKLAPVRYCDDDGKPTEVWEASRHDASSRALFFDVAVPLVSKALEYGQEGAQPMVANVPECQRMVFMRLLFRSMHEKGGV >CDP02782 pep chromosome:AUK_PRJEB4211_v1:7:6504232:6505143:-1 gene:GSCOC_T00040279001 transcript:CDP02782 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTTIQPTVSCFSNLKPSSPSSFPSQKLWISLKIPKPSSLNSCQLNKGRTFSISASSAAVAQDTPTPESISSNLPQKQKLKVVVKPMEKPRLVLKFVWMQKNIGISIDQVIPGYGTIPLSPYYFWPRKDAWEELRLMLESKPWISQKQMVILLNQATDIINLWQQSGGDLA >CDP01906 pep chromosome:AUK_PRJEB4211_v1:7:7828727:7829338:-1 gene:GSCOC_T00037086001 transcript:CDP01906 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPFCTPRISGSRWTRKNNRLSPMSLLDRFREAVLKLILLSALTKTANNGRKYSANAAQRSSSSNYNPYEPHQSEAVADCIEFIKKSVTTEAPPQAAPWTAPLT >CDP01909 pep chromosome:AUK_PRJEB4211_v1:7:7807119:7811651:1 gene:GSCOC_T00037089001 transcript:CDP01909 gene_biotype:protein_coding transcript_biotype:protein_coding MANHHNHQQQQPRTRKDDPFLLKYNADDLRIASEFLSNWLPFLSRGLCRRCTQTLSDLVRSLDPGAEENPVVFTLKNMDISGCDKNNCDTTSLGNWKDDVDVTEIADTNSLGSWKDGVDGSSEPVAEMESEASTIETSSSYPALFEAPREKMSWADMAQEDELEAEEENEVTSRQVGHANGVAEENLTVQEVKQKTQLSREQREYIRFTNVKRKKDFMCLERVKGKPVNILDGLELHTGVFSAAEQKRIVDYVEVLQEMGKKGELKARTFTAPQKWMRGKGRVTMQFGCCYNYAVDKNGNPPGILKNEVVDPLPDLFKVMIKRLIRWHVLPPSCVPDSCIVNIYDEGDCIPPHIDNHDFVRPFCTVSFLSECSIVFGSDLKIIGPGEFSGSAAIPLPVGSVFVLNGNGADIAKHCVPAVPSKRISITFRKMDELKRPIGYVPEPDLQGLQPLTYGVDRPKKLTSPKPRGFGKKLVVRRDEGDENSSEAKGSIERGSNARQSNRTRQGFVNRRNVKLYLEN >CDP01716 pep chromosome:AUK_PRJEB4211_v1:7:9326678:9328431:1 gene:GSCOC_T00036855001 transcript:CDP01716 gene_biotype:protein_coding transcript_biotype:protein_coding MREEDKAFKNALAIYLDDSNLWEKIATAVPGRIVEEIKIRYEVLVAEVNAIELGLIPFSHYADSFKESRKLDSHVAIDRRKNNLKKFRMNLVVEKYHYDLIQIAKELLGRKRSTDYFSKVWTNMGETIGETYPSSVSILERLHKWPTMHKSTLNEW >CDP12906 pep chromosome:AUK_PRJEB4211_v1:7:13167880:13168266:-1 gene:GSCOC_T00037595001 transcript:CDP12906 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRRLGSEKPVVIFSKSNCCISHAIKMLICSFGANPTVYELDYHPKGREIENALLALGSHTSVPAVFIGKLFVGGSNEVNYEPQCPGQVKAINAYQGQGYMGCDNHLQPFPSKQFSFGFFLPKRGC >CDP04667 pep chromosome:AUK_PRJEB4211_v1:7:16402294:16402679:-1 gene:GSCOC_T00018712001 transcript:CDP04667 gene_biotype:protein_coding transcript_biotype:protein_coding MDFENYYEISLVADFINAHNFSRVALQFPDELLKDSRKVVSALRQELHRLLKNSDESRATAKLYVMADTTYGGCCVDEVGAAHVKADCVIHYGHSCLSP >CDP16672 pep chromosome:AUK_PRJEB4211_v1:7:364856:367525:1 gene:GSCOC_T00019138001 transcript:CDP16672 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFVRRSLRSYRTLSLLLRTSTSTSIQSRHHSYLPTFSIYLSRLAPPSPVNPCSSALNFLQETRRGYAKGRRQTKFDDDDDDDEEVEKEAGNIAQIVNIGPMVKATAVSQMEAAIDSLSRELLKLRTGRASAGMLDHIIVETAGVKMPLNRMAVVSVIDSKTLSVTPYDPNTLKELEKAIVSSPLGLNPKADNQRLIASIPPLTKEHMQAVCKVVAKSSEDVKQSIRRARQKALDSIKKSLPRKISDSKKKGKDKGASESKKDKDKAGPSFSEDDAKRLEKEIEDLTKKFIKSAEDICKAKEKEITGG >CDP02173 pep chromosome:AUK_PRJEB4211_v1:7:1849773:1859291:-1 gene:GSCOC_T00039484001 transcript:CDP02173 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRDDAFVNPQFKRPFGSSRGESYGQPQVPGGSGGGGGSGSGGGGGGGGTSQKLTTNDALTYLKEVKDMFQDQREKYDMFLDVMKDFKAQRIDTAGVIARVKELFKGHPNLILGFNTFLPKGYEITLNDEEEAPPKRTVEFEEAISFVNKIKKRFQSDDHVYKSFLDILNMYRKEHKGINEVYQEVAALFEDHPDLLDEFTRFLPDSSAAASAPHTSFGRHSFHRYDERSSAVATLRQSQMEKQRFRRDRIVSPHGERDLSVERPDTDDDKTMVRLHKEQKKRAERENRERRNRDQDYREPDNENNGDISMHRLTEKRKSARKVEDFGGNTVSASYDDKDSLKTMYSQEFSFCEKVKERLRNPDDYQAFLKCLHIYSTEIITRKELQSLVADLLGKYPDLMEGFNEFLERCEKIDGFLGVMSKKSLWNEGHGSKTLKLEERDKEHKRDMDMGKEKDRYKEKYWGKSIQELDLSNCQRCTPSYRLLPEDYPIPSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTSKRAEELLNGINDNSIGADSPIRIEDYFTALNLRCIERLYGDHGLDVMDILRKNPSLALPVILTRLKQKQEEWTKCRSDFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTKSLVAEIKEVKEKKQKEDDVLLSIAAGSRHPIVPNLEFEYTDTEIHEDLYKLIKYSCEEVCSTKEQLSKVMRLWTSFLEVMLGVPSRPRALEVTEDDVLSKRRATKGTGTSVVESDGSPSADATTMNSKQSKPTCNGDADTSPARINSGRTSFTNADTMAKEDGLTVASGERLTSSDAAVATGADVAHGRINLEITSGRGATSSRPINGGGVEDSHGAKSNVGDILSSEGGDASRLLALANGGFAEGSRHNSFNKDSVDPSKNEKEEGELSPNGDFEEDNFVAYRESSSQVIPNANHGDENMQYPNGVGEEISCQDAAGENDADADDEDSENVSEAGEDVSGSESAADECSREENEEEEDGEHDEIDGKGESEGEAEGVGEAHFVGGDGASMPMSERFLLNSKPLTKHVPSALSDTGKANSRIFYGNDTFYVLFRLHQVLYERLLSAKQNSTSSELKWRNSKDTDTDPYARFMSALYSLLDGSADNAKFEDDCRSIIGNQSYVLFTLDKLIYKLVKQLQTVSSDELDNKLLQLYEYEKSRKPEKFVDSVYYENSHVLLYEENIYRFECTSSPTRLSIQLMDDGNEKSEVVAVSIDPNFAAYLYNDYLSVGQGKKESSAVMLKRNKRKFAEIDEDSAFCKAMETVRVWNGLECKMSSNSSKISYVLDTEDFFFRLGRKRRNVSGSKSDEPRVKRFNQFLAASL >CDP08386 pep chromosome:AUK_PRJEB4211_v1:7:26621322:26621537:1 gene:GSCOC_T00027212001 transcript:CDP08386 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEQKENSNKYSIIIPTYNERLNIGLLVYLIFKHLPYPPPLSLSLSLSLSLSLSCYQVIHDDACSPFPFL >CDP02047 pep chromosome:AUK_PRJEB4211_v1:7:1057717:1060728:1 gene:GSCOC_T00039324001 transcript:CDP02047 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYYTSKKSDDICEDVCGSQTSNSPLSMSRLRCFCQGLDVKTLIVLFLFVPTCIVGAYFHGQKVTYFLRPLWQSPPKPFIEMTHYYHENITMENLCKLHGWGVREFPRRVYDAVLFNNEVDMLAIRWKELYPYVTQYVLLESNSTFTALSKPYFFELSRDKFKFVEQRLTYGTIGGRFRRGENPFIEEAYQRVALDQLLQIAGIEDDDLLIMSDVDEIPSRHTINLLRWCDDIPQILHLQLRNYLYSFEFYFDSKSWRASVHRYRRGKTRYAHYRQTDYLLSDAGWHCSFCFRHISDFIFKMKAYSHNDRVRFSHYLDSKRIQDIICRGADLYDMLPEEYTFKDIIRRLGPIPHSYSGKRRLTWLE >CDP02820 pep chromosome:AUK_PRJEB4211_v1:7:6783991:6789308:1 gene:GSCOC_T00040322001 transcript:CDP02820 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYEGNGGDDLENYGGSSPQPRINSHGGADDFSDSRSQQHSRDGDNRERGSSRSRDRDRERERGRDRDRDRDREGERSRDKDRDRDRDRERDRDKDRDRHHRDRDRHRDRSERREREERTRDRDDDGDYHRSREYDRRRDYDRDREERQRHRSRSREGRAEHRSRSRSRSRSKSKRISGFDMAPPTNPLMPGATSLPGNIGLLAPLKKQSGQVTGAAPAVPGVFPNMFSLPTGQLGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSHVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEGQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGVTQPKPEQESVLLHAQQQIALQKLMLQPGTLATKVLCLTQVVSADELRDDEDYADILEDMRLECGKFGTLVNLVIPRPSPTGDPTPGVGKVFLEYADVESANKARQGLHGRRFGGNQVVAVFYPENRFSQGDYDG >CDP02579 pep chromosome:AUK_PRJEB4211_v1:7:4991433:4996237:-1 gene:GSCOC_T00040009001 transcript:CDP02579 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELYTPFKPLSNPHSPHHTADLSFSSSFSSPFPIQIHLKIPRITIFNSPNFKVLAVVADSKELPQNSPQRLLKELAERKKVVSPKKKLPPKRFILKPPLDDKRLAERFLNSPQLSLKSFPLLSSCLPSSRLNNADKTWMDEYLLEAKQALGYPLEPSDSFGDDNPARHFDTLLYLAFQYPQCERSKARHVRSGHSRLGFLGQYVLELAMCEFFLQRYPRESPGPMRERVYSLIGKRFLPKWIRAASLQNLIFPYDNMDKLKRKEREPPVKSVFWALFGAIYLCFGMPEVYRVLFEVFGMDPEAEDCQPKLRRTLEDVDYVSVEFEGRKLSWQDVAAYKPPEDALFAHPRLFRACVPPGMHRFRGNIWDYDSRPQVMQTLGYPLTMPDRIPEITEARNIELGLGLQLAFLHPSKHKFEHPRFCFERLEYVGQKIQDLVMAEKLLMKHLDAPGGWIQEKHRRLLMNKFCGRYLREKHLHRFIIYSEQVQDAYEHNRRLRNPATTSVQQAIHGLSYAVYGKPDVRRLMFEVFDFEQIQPKPVGNL >CDP01682 pep chromosome:AUK_PRJEB4211_v1:7:9583737:9585664:-1 gene:GSCOC_T00036814001 transcript:CDP01682 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARTVGAGMDYSQTSKLALKWAADNLVEEGDSLIIIHGVSPKVETTNKQLFEDTGSPLIPLAEFREINVSKHYGLNPDPEVLDLLDMVAKTKGVKVVAKVYWGDAREKLCEAVDHLKLDSLVVGSRGLGAIKRVLLGSVSNYVVQNALCPVTVVKGPPK >CDP01619 pep chromosome:AUK_PRJEB4211_v1:7:10187800:10189162:-1 gene:GSCOC_T00036722001 transcript:CDP01619 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLAPAPPIKASASKLPIKRKTPDPNPSSHSDPDPDPDPKYSSPVPDGDPRPPPFKFHRIWTEPDEIRFLQGLLHCASDNLSFPRDLNIFYARFSDTMSQPYTKSQLSEKLRRLRKKFRVIASRISKGLDQSFLSPHDRALFEISKRLWHPDYADTSPFGGVSGGKATKSDLVGVEVSFLPEILSGLHPNEVENFELKWEKSDNVVEGVDFGDMGFDGGVKLSEVNVEFEKEEEEADEMGWRNGGEMGAEEVAVAKAVIDAFDKSLEEVRMGVVKDGGSLNGCEQEEEGKRWDFDRRWKVQRVAELDVLTRRMRLVFEQSLLRRQ >CDP02216 pep chromosome:AUK_PRJEB4211_v1:7:2191709:2193255:-1 gene:GSCOC_T00039542001 transcript:CDP02216 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGVDMSEEALRLEDDMSDDGAAPLGEKKKRLNLEQVKVLEKSFELGSKLEPERKMQLARALGLQPRQVAIWFQNRRARWKTKQMEKDYEVLKRQVEILKADNELLRAQNKRFHSELVALQSVELSAAVEPINLNNRENEASWSSGSRENSIDVNLGTTSVETGLIIPNSHPTSNHMPFPSTMEQPAVGPTHHLLQASLGPDLQGPRIDQTTSDEALCNAFNNIEEQPSFSPWSTWPNQQNFR >CDP01520 pep chromosome:AUK_PRJEB4211_v1:7:11172389:11181108:-1 gene:GSCOC_T00036602001 transcript:CDP01520 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLWEIRLNNGHSLTPRLIQNVVVSSDKDELKDQLKTLFLSRLRALMEDESVKKWEKKLEVVLNERKEVNLNLKKRKQLREFHELKKKRDGLEKEGDLIAKRIEEFKRGIQCMVDYLEGKGVDEVEGVGVKVGLFMFGREFDWGKLHCLMMRECRRLDEGLPLFAFRGEIFQQIHCQQITVLIGETGSGKSTQLVQFLADSGVAGKGSIVCTQPRKLAAVSLAQRVKEESRGCYEDHSVICYPSYSSSQNYNSKVIFTTDHSLLQHYMRDKNLSRISCIIIDEAHERSLNTDLLLAMIKKLLHQRLDLRLVIMSATADAEQLANYFFGCGTFRVAGRNFPVDIRYVPCESEGKSDSSMVAPYVSDVVKMVYEIHKMDKEGTVLAFLTSQMEVEWACENFRSPSAIALPLHGKLTFEEQNQVFANYPGKRKVIFATNVAETSLTIPGVKYVVDSGMVKESKFEPGTGTNVLRVCRVSQSSANQRAGRAGRTEPGTCYRLYSESDFEIMPPHQEPEIRRVHLGVAVLRILALGIKNVQDFDFVDAPSPKAIEMALRNLIQLGAVTQRNNLYELTSEGYDLVRLGIEPRLGKIILKCFRNRLGREGIVLAAVMANSSSIFCRVGSEESKLKSDRLKVQFCHQSGDLFTLLAVYKDWDAVPPVRKNIWCWENSINAKSMRRCQEAVQELESCLQNELSIIIPSYWRWNPQIHTEHDETLKSIILSAFVENVAMYSGYDHLGYEVALTRKHIPLHPSCSLLVFDQRPSWVVFGEILSASYQYLVCVTAFDFKSLAAVCPPPSFDFSKMESEKLHIRVLTGFGSLLLKRFCGKANSCLHRLVSCIRTECVDERIGVEVKVNENEVWLHASSKDMDKVSGFVNDALQYEVRLLQNECLEKRLYSGGPAVSPSVALFGAGGEIKHLELEKSCLTIDIFHSDMNCVNDKELLMFLEKSTSGTICAVHKFSAVGQESEEQEKWGRITFLSPDTAKRATQLNLVELCGGLLKVIPSRSTHGSDKKLPFPDLRAKVCWPRRYSKGIAIVKCEQEDIEALVNDFSDIIIGGRYARCEPSAKYMDSVVITGLDREISEDEIFEVLYTVTNRKIRDIFLLRGNTVEGPSPAACEEALLREISVFMPKTNPLGSCVRVQVSQPEPKDTYMRATIMFNGSLHLEAARALDEIDGKALPGCFSWQKMKCQHLFHSSIWCPASVYLVIRSQLDHLVKSFRCRKGVECNMEVNENGSCRVKISATATKTVAELRRPLEGLMKGNSIDDAAITPTVLQLLFSRDGLNVLNTIQRETGTYILFDKQALSLRVFGTTAKIEVAKKRLVKSLLRLHENKQLEVHLRGAVLPPDLMKRVVQKFGPDLHSLKEMFPGAEFSLNTKRHCICLGGTKDLKQKVEERIYEIARTSGSPNKNGNEEATCPICLCEVEDSYKLELCRHEFCRSCLVEQCDSAIKSQDSFPIRCARKGCGASVLLTDLRSLLLGEKFEELFRASLAAFVVGSGGVYRFCPSPDCPSVYRATEAGAPFVCDACYVETCTRCHLEYHPFLSCEKYKEFKVDPDSSLKEWCAGKENVKKCPVCRFTIEKVDGCNHIECRCGKHVCWVCLEFFGSADDCYNHLRSIHLAII >CDP02213 pep chromosome:AUK_PRJEB4211_v1:7:2163318:2167202:1 gene:GSCOC_T00039536001 transcript:CDP02213 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVRSNSSRSADLSSTWGKKLVWIWTENKQVMTTAVERGWNTFIFPSTCRDLATEWSWEEVFDSESKRIAAFSDITSPQQLEKLQSWDDLAQIVVVNLLDWQVIPAENIVAAFQGSQRTVLAISKTPSEAQVFLEALEHGLDGVVLKVDDAKAILELKDYFNRRNEADTRLNLTKGTVMNVQMAGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYISSRPFRVNAGPVHAYVAIPGSKTSYLSELKTGKEVIVVDQSGVQRTAIVGRVKIESRPLVLVEAKGDSENNTYSILLQNAETVALVSPVGGEGCGETAIPVTSLKVGDEILLSVQGDARHTGIEIEEFVVEK >CDP02318 pep chromosome:AUK_PRJEB4211_v1:7:2943643:2944335:1 gene:GSCOC_T00039675001 transcript:CDP02318 gene_biotype:protein_coding transcript_biotype:protein_coding MENMLETALNQMESTTLDTMSPPPHNLQKPIKSPVSQNTAAAPCKSVTPSRLKVPKAFKYPERYTSPTDLMMSPVSRGLLARSRKPGALLPPSKNQPHLQGLQRQEVGLFGI >CDP01525 pep chromosome:AUK_PRJEB4211_v1:7:11130986:11136403:-1 gene:GSCOC_T00036609001 transcript:CDP01525 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAFCKRTIAFLLSCWMLFCVACSVEGLHEDSKVRGVNLGGWLVIEGWIKPSLFDGIPNGEMLDGTEVQLKSVMLQKYVSADNGGGMNVTVDRDTPSSWETFRLWRVSESIFQFRTSQGQFLTCNGSGSVVTASAESASATETFSLERNKENRIHIKLKSGTYLQASNSNQLTADYPGTPGWDDNAATFEMTITANNLHGDYQLANGYGYNKGKAVLKKHRNTFITIEDFDFLYRNGINTVRIPVGWWIAFDPNPPAPFIAGSLEALDNAFSWALAYSIKCIIDLHAAPGSQNGMEHSASRDGTTGWPSPDFILQTLDVIEFLASRYAQHPSLLGIELLNEPWAATVPLDVLVSFYEQGYQIVRKYSSSTYVIFCQRIGDADPFELYQANLGSINTVVDLHYYNMFDPSFSNMSTLANIEFIYKSRESQIQALNSANGPLVFVGEWVNEWNQTTGTQTDYQNFGTAQLEVYNAASFGWAYWTLKNDRMHWDFEWNIRNNYLQLQLGCTSSRQISNIILLLVLVCCCFFQHHLL >CDP02232 pep chromosome:AUK_PRJEB4211_v1:7:2340459:2341547:-1 gene:GSCOC_T00039565001 transcript:CDP02232 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVTNTTITTTASVKTPEAETETPTRIQPSKPSSFSNGVLKRHPAVHHHFHHHHASFNNNSNHHHHHPHAALLVTYKECLKNHAASLGGHAVDGCGEFMPSPTATPTDPTSLKCAACGCHRNFHRREPEEPLPPPNTTAALEYQPHHRHHPPPPVPPAQRSSGGHSSPSSPSPPPISSSYYPSAPHMLLALSAGLSGPPQDSNIPITPTANLGAAVTAGNSNGRKRFRTKFTPNQKEKMLDFAEKIGWKIQKRDEDLIAEFCNEIGVDKGVLKVWMHNNKNTFGKRDQANISSGGANSGAAGAAATTDNGNGMEFTIHYHHQNHENNNDNKNGSHNHELMHHSDSVSAHVVATNGSSSSS >CDP16661 pep chromosome:AUK_PRJEB4211_v1:7:276984:282265:1 gene:GSCOC_T00019121001 transcript:CDP16661 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTYLPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRLDGNHLITLTSLRRIRRLETVWDDEKQFDDVARCRSLVAKKLLVECENKKGKNSLVRAGYGGWLLYTASSAGDLGFVRELLDRDPLLVFGEGEYGVTDILYAAARSKNSDVFRILFDFAVSPRFPSSNGRELEEPIAEIPAAYKFEMLNRAIHAAARGGNLTVLKELLGDCSDVLSYRDIQGATILHAAAGRGQVEVVKDLIASFDVINSVDNQGNTALHVAASRGQLAVVESLILASPSSIYSKNNAGETFLHVAVTGFQTPGFRRLDRQIELMKQLVCGRIFDIEEIINAKNNDGRTALHLAIIGNIHSDLVELLMTARFINVNIRDMEGMTPLDILRQRPHSVSSDILAKQLISAGGIFSCQDYSTRKAIASHLRMRSIGGSPGTSFRTSDMEIFLYTGIENVSDAGGSVGLSPCSTETNHHDSSLETSSTTKRKKLHTVSYAARRLKQLLHWPKMKDKRADRCKKSVGEHSICPSEGPPIPLRQRFSRPSSLPNNKRTLSVRSNLPSPSAKKKLASGLVHGVMQGIPSVNVSRRSRSSSFSKSSFSSHSSLDKQKGIQIENDIAGASCSRLIGDGDGSPSAVPKLGPINKKLMNQLLCFGGPGQCAKAPTSSGLQPYEIYERARTQGWPKCFGRAILVTGNWLTMTCFALYVEEFGR >CDP01610 pep chromosome:AUK_PRJEB4211_v1:7:10267277:10270520:1 gene:GSCOC_T00036712001 transcript:CDP01610 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGQPGFYSYAIFERSNGWPGVDISELRIALKLDHRRFNYMAVADNIQRVMPTASDRQNGQPLAYPEAVFLTNPTNLTFKGQVDDKYEYSKDNKDNHVHGWITSDSQVGFWMIIPSYEFKTGGPIKRELTSHVGPTTLAAFHSRHYAGATLKGLKFVDGEPWKKVFGPVFVYLNSNSDNPTSFSLWEDAKHQSVKEARKWPYDFPASDDYPHATQRATVRGHLKVHDRYLERSPFPAKSAYVGLAPPGAPGSWQLNAKGYQFWTQTDGCGHFTIRGIRPGKYNLYAWVPGIMGDYKSDLDINLRPGEYKLGEFVFTPPRNGPTIWEIGIPDRTAAEFYVPDGNPRLENPLFINHPEKYRQYGLWERYTDLYPDHDLVFRVGVSDYRKDWFFAHVTRRSEDNSRLPTTWQISFDLRQVLPKAIYTLQLALASSAGAEVQVRVNDPNASSPQFSTGLIGKDNAIARHGIHGLYQLFSVNIPGNRLVSGPNTIFLRQSRGGYIFSGVMYDYLRLEGPAV >CDP01516 pep chromosome:AUK_PRJEB4211_v1:7:11211377:11212834:1 gene:GSCOC_T00036598001 transcript:CDP01516 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGFGSIRFLLFLALLVLQYFCCISSREIVRQSPFNKVQNHQSYADHTDGHGDHLRMLKMKQDVDAKDPELQIFFTINDLKVGRRMPIYFSIKDPSRSPPLLSREEADSIPFSSSQLPKLLKLFSFSDDSPQAKAIEDTLHHCEYKPIKGESKFCATSLESLLDSTRAIFGPKVQFKVLTTNHLTNFTASQLKNYTILEIPKEISARRIVGCHPLPYPYAVFYCHSQESDNKLFKVSLMGDDGGRVEAIAMCHMDTSQWNPDHVAFLVLKTEPGKSSVCHFFPADNLVWIPSPSLSN >CDP08417 pep chromosome:AUK_PRJEB4211_v1:7:25166360:25166831:1 gene:GSCOC_T00027266001 transcript:CDP08417 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFFGCKQLEMSFSLQHLNESKQLVVESSGMYMLEELSG >CDP02422 pep chromosome:AUK_PRJEB4211_v1:7:3697768:3699072:1 gene:GSCOC_T00039794001 transcript:CDP02422 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDYHHQGIFSFSNGFERSQQEHQQQQHQQHIAQQIQSSGLPGYETAGMLSEIGIVTDKEEEWWWVVWDRWENPKIKTLWASVTL >CDP11560 pep chromosome:AUK_PRJEB4211_v1:7:19649415:19653133:1 gene:GSCOC_T00033880001 transcript:CDP11560 gene_biotype:protein_coding transcript_biotype:protein_coding METIMWWRGLVQFWGLVRIGDKTYLTTCDQPFALCSYFDPDVKPLCEYRKLCLEYLIPVDDDDDDELIIGPPGRVFRSGFPEHAEDVGDYTSREYPQRDYAVDRVRFYWALPIYHPTRHLPIGVLEIVTPYVYFPRHWILEKLQNLLRKVNLTTTCARLAEASSCQDGEIAKIHKALRNTKSIFKRPKRGLYYPETWTTSGEILSSREGVDFIRKGQGVVGRAFSSKSACFCRDIIQLSITEYPLAPIARRFKYPCFAVCLQSSCSNNCIYVLEFFLPSNEKDSGDSRILLNSIMEKLKKHLGSSFKIALGQELGQKLTVEVIKVSPEDEFDSFEICGTAGIESTPRLGEVQGGEGMMQVDFFSSQQVDSANAYINGVHGQQSGIVGCPPRPEHMQGFVDKSYLELNLAGVDVTHNSMNGTYDQQNGIVRPSTGQVLMQNMVSIVDHEPIVEDPERDDASTEQSGNEVTNLKMQEPSCTLKSDLGITREVLEQNSTRKLEDAAKNIGVSRSTLKRICREYGIRRWPPRKERKVSQVFVKQKVVHPPTENIEEHHRLYATRLEDDSSMWVIKAKYQEDKIKFELPSSARKIDLEKSIAQRFNISLGSFKIKYQDELNDWILITCDTDLSFCLKILHKLGGTTIEMLVS >CDP02556 pep chromosome:AUK_PRJEB4211_v1:7:4821777:4824526:1 gene:GSCOC_T00039978001 transcript:CDP02556 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSTPLAPPACENDAKALQFIEDMTRNCDSVQEKVLAEILSRNAQTEYLRDFKLGGATDRDSFKSKIPVVTYEDLHPYIQRIANGDRSPILSSHPISEFLTSSGTSAGERKLMPTIHEEWDRRQKLYSLLMPVMNLYVPDLDKGKGLYFLFVKAEAKTPSGLVARPVLTGYYKSDKFKNRPYDPYLVYTSPDEAILCADSFQSMYTQMLCGLLMREEVLRMGAVFASGLLRAIRFLQLNWKQLSEDIKTGVLNPKVTDPSVRKRMAEILKPNSDLADFIVKECEGQNWDRIITRIWPNTKYLDVIVTGAMAQYIPTLDYYSVGREYELVITTYAGLCRYRVGDILRVTGFHNSAPQFKFIRRKNVLLSIDADKTDEAELQKGIENASALLREFDTRVVEYTSYADTKIIPGHYVIYWELLVKDPANPPTHEVLNQCCLAIEEALNSVYRQGRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVSFAPIMELLDSRVVSVHYSPAAPHWAPERRR >CDP01430 pep chromosome:AUK_PRJEB4211_v1:7:12230374:12232755:-1 gene:GSCOC_T00036476001 transcript:CDP01430 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVQGCRKYQVDCECLNAFFTGNCALKRKLVEILTSRTMQEMELIRQTYSAVYNQDILHALSNVRRNDAFANMVYLRINEPQERDAELMRDSLFGGNKVNLNVVIESLCTRSSSQLSSIKQAYCNRYGSNIEQDVSQKISGNFKEILLAVLKSSNKSASRVDISMAMCDAKTLYEAVESGSSVDWKTIMSTFSSRNTEQIKAILLSYKELYGHEFSKFLKSNKCGNFGKDLRFVVRGIQSPAKFFSRQIRGAMQRGDTKEVMARIVVTRLDDDIKEINNVFAAKTGWSLGNLVKREFKDSGSQRNSNVLMAEFLLALLKYS >CDP16002 pep chromosome:AUK_PRJEB4211_v1:7:28391045:28396032:-1 gene:GSCOC_T00016948001 transcript:CDP16002 gene_biotype:protein_coding transcript_biotype:protein_coding MHVELCCRFIKKVAFAVYPCYSSIALMCFSGSILISVFAIFTERELSSWMIGWDCRLLDVAYLGIVSCGLCVAAVFWSSMMKGPLFVSSFTHLGLVFAALAGSLFLKEELCLGSLIGSIIITIGLCLVIWGKGKKATASQDGETGSRRMK >CDP12870 pep chromosome:AUK_PRJEB4211_v1:7:13476778:13483262:1 gene:GSCOC_T00037547001 transcript:CDP12870 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAMT1 [Source:Projected from Arabidopsis thaliana (AT4G39460) UniProtKB/TrEMBL;Acc:A0A178UZZ4] MGPLTLALDAKNCSPSSDRSNGKIQNVQFNPKRFFASISTQEQKPFDFFRTLVDGVIAGGTAGVVVETALYPIDTIKTRLQAEMFGFFFSPSFFCIIQSVVADESSSAAQGGGKINLRGLYSGLTGNLAGVLPASAVFVGVYEPTKQKLLKLFPEKFSAVAHLTAGAIGGIAASLIRVPTEVVKQRMQTRQFASPPDAVRLIISKEGFRGLYAGYKSFLLRDLPFDAIQFCIYEQLRIGYKMAAKRDLNDPENAAIGAFAGALTGAITTPLDVIKTRLMVQGSTNQYRGVFDCVQAIVREEGPPALLKGFGPRVLWIGIGGSIFFGVLESAKRFLSQRHNTSDKSLDKKQE >CDP04602 pep chromosome:AUK_PRJEB4211_v1:7:17782270:17783615:-1 gene:GSCOC_T00018598001 transcript:CDP04602 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMGGLAFLFPFTCAMMLIGNLSLIGFQHFLLSSVSLSLHSLHVAVRMSPEFLFLLYSFLVLFCSFLEFQPLLLSFLKCSH >CDP02115 pep chromosome:AUK_PRJEB4211_v1:7:1514017:1516657:1 gene:GSCOC_T00039403001 transcript:CDP02115 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRKLILMLNILGLVANGEIGGARDVDRVDRREIKSCKFPALYNFGDSNSDTGGRSASLDMVAPPNGETFFKAPSGRFCDGRLIIDFMAEELGLPYLSAYLDSIGSNFRHGANFATGGSSIRPGGYSPFHLQLQIGQFLQFKSRTTLLYKELNHSAKSPPLQSRLPRPQDFSDALYTFDIGQNDLAYGFEHTSEAETRESIPSMLDKLGEAIHQLYKAGARNFWVHNTGPIGCLPYSVIYYLPKPRNLDGNGCVEPQNKVAQEFNEQLRRKVLQLRAQLPAAAFTYVDMYSAKYSLISNAKKLGFEDPSQFCCGSYYGYHIGCGEKAIVNGTVYGNPCPNPSEHISWDGIHYSEAANALLAKTVLDGSLADPKVSVGEACHSSMT >CDP02466 pep chromosome:AUK_PRJEB4211_v1:7:4008619:4014084:-1 gene:GSCOC_T00039851001 transcript:CDP02466 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSDRYDLVRDIGSGNFGIARLMRDKQTKELVAVKYIERGDKIDENVKREIINHRSLKHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSQPKSTVGTPAYIAPEVLLRQEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPRDFRKTINKILKVQYTIPDHVQISEDCRHLISRIFVADPAQRITIPEIKNHVWFLKNLPADLMDENTMGNQFVEPDQPMQSLDVIMQIISEATIPPVGLCNLDIMDDDMDDLDSDDLDLDLDPDLDIDSSGEVIYAI >CDP02734 pep chromosome:AUK_PRJEB4211_v1:7:6138149:6139402:1 gene:GSCOC_T00040212001 transcript:CDP02734 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDGPYSYTQNSEYQKQSVDSAKQLTNELIDQLLDVGNHPYSFSNSYRVADFGCSVGPNTFCAVHNIVEAVENKYKSQKMESRMPEFHVFFNDHVGNDFNTLFRNIPATGRYFAAGVPGSFHGRLLPSSTLHFAHCSTALHWLSKIPKEVTDKNSPAWNKGRIHYAGAAKEVKDAYSTQFAKDFDSFLSARAQELVPGGLMVLVSLGFPDGVQVCESSMGENFNILGSCFLDIAKTGIITQEMVDSFNLPFYYPWPSELKSLIEVNGLFEIKKIEKLVSPTRQVKPDDLAVCVLHLRAILGELIKEHFGEGITDILFKRHTNKYVESPVVSDGRYFKETSYFVFLKRKMNCAS >CDP02089 pep chromosome:AUK_PRJEB4211_v1:7:1325943:1327728:1 gene:GSCOC_T00039372001 transcript:CDP02089 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKAKEIVSANPVVVFSKSYCPFCVNVKKLLGQVGANFKAVELDVESDGSEIQAALAELTGQKTVPNVFIGGKHIGGCDATTALHQNGKLVPLLTEAGAVASVSA >CDP01438 pep chromosome:AUK_PRJEB4211_v1:7:12134392:12135340:-1 gene:GSCOC_T00036485001 transcript:CDP01438 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEVNRLWFPLFILLSIFTISRSDDPDCVYSVYIRTGSILKGGTDSIISLTLYDADGYGIRINDLEAWGGLMGPGYNYFERGNLDIFSGRGPCLTGPVCAMNLTSDGSGSHHGWYVNYVEVTTTGVHKACFQQQFTVEQWLATDTSPYELTAIRNYCGGENHVVIGEKSKSKGSSSVVAEI >CDP12831 pep chromosome:AUK_PRJEB4211_v1:7:14002000:14006759:-1 gene:GSCOC_T00037495001 transcript:CDP12831 gene_biotype:protein_coding transcript_biotype:protein_coding MWFQRKWNMEKSIEPLGVRSSGFGVAVEVPRSRVRPLLTLGCSWGTGYFRYRMINSAKNWDTPSLKVVDPTDGFVSLPLNQSNFEIQRPYNVPVDQRYSYVDGVHKMWVFDTDKPHFPASNTRPRTELRIEGYDYSSGVWQFEGYGYVPSGTSGVCIMQVFGAQLHATTLMLRVYNGNLSYYKNPVIVPNIDNRWFKLNVIHDVEASKVTVFIDGVQMFETDGRGGSDHFFKCGVYTQDDASHRMESRWKGIKILKKD >CDP16679 pep chromosome:AUK_PRJEB4211_v1:7:418140:423320:1 gene:GSCOC_T00019145001 transcript:CDP16679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g27210 [Source:Projected from Arabidopsis thaliana (AT3G27210) UniProtKB/Swiss-Prot;Acc:Q9LK32] MGSCVSVHKHSESALKLRLGFGSKTDKLVTPSPPVKHKPMLADHLLKPQSSPPPRPLDTASRDFGSKEETFFDSHAWLESDCEDDFFSVNGDFTPSRGTTPVHYSFSGGNPQSNRHPFAEKSTPVQPTSDKKKKLSELFKDTGGDQEENQNAAGKYNGAARESDSKASVLEAPQKPGNDMPHVSGANSTGSSEGSPIGDVKLPRQKLGKSAECCLPRLRSFSERKKRTSPARGLG >CDP02598 pep chromosome:AUK_PRJEB4211_v1:7:5185982:5191234:-1 gene:GSCOC_T00040036001 transcript:CDP02598 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFEVKPKNSSEEVLQRWRDLCGVVKNPKRRFRFTANLSKRFEAAAMRRTNQEKLRIAVLVSKAAFQFIQGVAPSDYTVPKEVQEAGFQICGDELGSIVEGHDLKKLKFHGGVSGVADKLATSTTNGIPTDAAALNRREEVYGINKFTESVARSFWVFVWEALQDMTLMILGVCALVSLIVGVATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKISIQVTRNGYRQKMSIYDLLPGDIVHLAIGDQVPADGLFLSGFSVLIDESSLTGESEPAMVSAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQKMFGRKLQHGTHWSWSGDDALEILEYFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKSCICMNVREVGKPADGGSSLSSELPTSVVKVLLQSIFNNTGGEVVVNKNGKREILGTPTETAILEFGLSLGGDFQAERQASKLVKVEPFNSTKKRMGVILELPEGGGVRAHCKGASEIVLAACDKVINSDGDVVPLDEESVKHLNATIDQFASEALRTLCLAYMELENGFSADDAIPVSGYTCIGIVGIKDPVRPGVRESVALCRSAGVTVRMVTGDNINTAKAIARECGILTDDGIAIEGPVFREKTQEELLELIPKIQVMARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRPPVGRTGNFITNVMWRNILGQSLYQFLLIWFLQAFGKTIFFIRGPDADLVLNTLIFNTFVFCQVFNEVNSREMDKIDVLEGILDNQVFVAVITATVFFQIIIIEYLGTFANTTPLSIVQWFFSILFGFLGMPIAAYLKQIPV >CDP01692 pep chromosome:AUK_PRJEB4211_v1:7:9525861:9530270:1 gene:GSCOC_T00036828001 transcript:CDP01692 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGNKMPLDMEVSSVRSIQLTGQFRPGDDDYAQKVRKPYTITKQRERWTEDEHKKFLEALKLYGRAWRRIEEHVGTKTAVQIRSHAQKFFSKVVRESNNGDSGSVKPIEIPPPRPKRKPLHPYPRKLSASVKSGALALEKQSAAANICSPAEANQSPTSVLSAFGSDAPGVTDSSTPEGSLSPVSSVIGGSSGFVLSEPPNLSPPKPNASPSSSQVNNCSNQDEKLPLKLELFPEDNCFVKEGSEEVSSTQCLKLFGKTVMVTDSCRPSSPTHLTCKMQPPSHSDGKFAQALPWNFVPIKYSQGDLERSWGALPFGTHGTLFCLPLGGERSKPSETTPPSSLQWWTYQGGASFPFVRIHSPIPIKASCFGDQRDVQDMEIQKDGSSSDSNAECECAEAESSRNLEAQSCQFLFAKEERAQASSRPCETSFLELKAGSGKCTKGFVPYKRCLAERDARSVVNSEEREEQRIRLCL >CDP04606 pep chromosome:AUK_PRJEB4211_v1:7:17697008:17697514:1 gene:GSCOC_T00018605001 transcript:CDP04606 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIECFSFLNRALENDMAPILVVATNRGITTIRGTNYKSPHGIPIDFLDRLLKISTQPYTEEDIRTILDIRCQEEDVEMSEDAKVLLTKIGVDTSLRYAIHLITATALACQKRNGKIVEMEDISQVYELFYDVKRSTQYLMEFQSQYMFNEVPVAEGDEDEANAMVS >CDP04570 pep chromosome:AUK_PRJEB4211_v1:7:18964478:18966176:-1 gene:GSCOC_T00018528001 transcript:CDP04570 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYCFPIIVDLLGFSCRKCFLGDLMKLLEIAQVPDEHVNEFKLIEKFKIFNPNNLWVNLKAIKRLVQKSALKMDIIPNPKEVDGVKVLQLETATGATIRVRSKIIYFQPPPPISSLIMLLNSVGNFFSRIKSIPSIVELNSLKMSGDGGLDLVSP >CDP01574 pep chromosome:AUK_PRJEB4211_v1:7:10698504:10699148:1 gene:GSCOC_T00036667001 transcript:CDP01574 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDVTLQLAVLIFTLCIFYLLHHLPKQALSKLRSKARPNVQAHRHFISGAQFLARARSVQFKNNKSTAFNLAKSAATEADKALAIQPKDPAAHILKAVALDIMGHKGPALKSLDVALSPAVVKGLSEKDRGDALFKRAELQIAINRRRRVDSAVSDLVEAVKLSCDNADAFGLLGRCYEMKGLMEEAKNAFLEALKIDRGLDEAREGLGRLGF >CDP12816 pep chromosome:AUK_PRJEB4211_v1:7:14248872:14250707:-1 gene:GSCOC_T00037476001 transcript:CDP12816 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP17958 pep chromosome:AUK_PRJEB4211_v1:7:24205735:24206664:1 gene:GSCOC_T00007212001 transcript:CDP17958 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRSNKPSSHFKEVKELRAMMASLGVSGYWVITNIIDSGLKCGMGPNDKVADQIEFYAMYCDIIGFNYENNLGCYNQRQNKSENFVWSETGN >CDP08422 pep chromosome:AUK_PRJEB4211_v1:7:25036652:25039285:-1 gene:GSCOC_T00027279001 transcript:CDP08422 gene_biotype:protein_coding transcript_biotype:protein_coding MESQIYQLIHKIDPVHPQVRETYIHVLQASKLSRSSCVLALEENKNPVADFVDYLLYTIMELEQSYTSFPVPVKDQVLKLHEGVRFLGILSQQQEKFNELNDEMKDLARVAVSDAGIMIFSLSMNEMKEGLSKETDLALSHLLGVLELIIAEVGHNYPLPSSSSLSFPRTNELGSLDLLLETLKELASSTADSIGFPNEQIRTILEDLVFLRSFLGNIVQRCNQNGKLQALWSRVMKVAYSVELKIDSALLGDIHEHCLDVVIGDVKLLKIEAEEIYDNIRYNGETRIVTKTTIDMPSQITAPIFNEALVGLNDEVENIIDRLTRGSSQFDVVAVVGMPGLGKTTLANNVYNDPLIKFHFHIRAWCTVSQVYCKHNLLLQIFCVINSLSSNHYHKMNEDDLAEKLYQHLKGKRYVIVLDDVWDIDWWNLLKHSLPDDCNGSRILLTSRFQNLSLQIKSNSKPHHLRPLTDEESLELLQKKLFAKEDCPPTLSEFVLHAAKYCKGLPLAVVLVAGILATTPQDCWEEVARHLSSTIFVDNKHCMETLEHSYNYLPDYLKPCLLYLGAFQEDQDIPIRKLLWLWISEGFVQKIEGKSLEDVADNYLMDLIGRSLVMVTRRRSLGGIKVCRIHDLVHEFCVAKAKEENFLQIFHGDELLTFTGLCKPPSPRLSIFPTTSGGPIKSRLFYPNLRCLLFSDCYYGRLDGSSLKFLLSKLLRVLDLGKVVFWYFPREVLFLVHLRYLRIQLESKEIPSTIANLSRLETFAVGGRICSFLLPYSIWYIKTLKHLVVFPSYGRGFEFPMFNLKGSPDLEHLETLSLAMDPSSQSQSLQKILSKLPSIRRLTCVNGNHYWKSEYDASAGNHNGILVLEYLSHLESL >CDP02304 pep chromosome:AUK_PRJEB4211_v1:7:2832186:2845033:1 gene:GSCOC_T00039660001 transcript:CDP02304 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFAEHHVKGSEVFVGGLPHTVTESTIHEIFSHCGEIVEIRLIKDQKGSIKDFCFVRFATKEAAEKAVREKSGFVLDGKKIGVLPSKEQDTLFFGNLNKGWSTYEFEGIIRQVFPDVISVDLASPVGETKSIKQRNRGFAFVKFSSHAAAARAFRVGSKADFMLGGNLHPAVQWVEDQPEIDPAALAKIKIAFIRNLPFSADENYLKKLFEPFGEIEKVVLWRKGSSPVGFVHFTKRADLENAIRGMNGKTVQWPRGGPTSMLSIEVARPIDRSKKRLRDDSHEKKANKVPSHTPSANLGIPLSSDCTSGSQKVAQKEVEYEDPYEVAVLLLPLSVRERLLRILRLGIATRFDIDIQNLTSLKKLPESAAISVLDQFMLSGADKENRGVYLAALISRHQVDQLLLGRSPGGLSRVGDLIKSDVAISSFSSRVHLPVDDSFIPHVGSTRSSFISQVHFPVVDSLASPAYLSVPRSGNYVPRYSALVSDYPIMTRESVKLTKETSSSPLQPCGRLTLDPQITEMADRPPHRPQIRFDPLTGEPYKFDPFTGERILPDNPQRRF >CDP12824 pep chromosome:AUK_PRJEB4211_v1:7:14170700:14175267:1 gene:GSCOC_T00037486001 transcript:CDP12824 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKPYLAVVIIHILYTGMFLLSKAALDGGISTYVFVFYRQAAATIFLAPIAFFLEWKTAPPLSFGTFIKIFMLSLFGITMSLDVNTLALKYTSASLAAATTNTLPVITFFLALLCRMESVKLKTIPGIVKVAGIAICLGGAATIAFFKGPYLRLLVHHHLFNSHSQENQEQVPSSTTWIKGVFLMVLSNVFWSMWLVFQGLILKSYPSKLLCTALQCFLSTIQSFIIAIALVRDPNEWKLGWNVRLISVAYCGIVVTGVTFYLQAWVIEKKGPVFLAVTTPLVLVFTICSSAVLLGEIISLGSVLGGLLLVLGLYCVLWGKTKEQRKENETWASDSTQKPYTAAKDERPQGTTPNQLYAENPCSWV >CDP01662 pep chromosome:AUK_PRJEB4211_v1:7:9810155:9812641:1 gene:GSCOC_T00036784001 transcript:CDP01662 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTFGILCEMIRDTGGLKATRNMSIEEIVAMFVYVLAHHKKSRTICGLFWRSRETVSQLLRCLRWDINRCDTPTEQKSRYRTRKGSIATNVLGVCCYYLVDAGYCNADGFLAPYRGQRYHLNEFNVMKKMENDEIVRGRGKNKCFWTGEEVKVLIESLQELACDPMWKSDGGFKNNYMSELLKIILRKQPTFTKQVSPHIESKVNWNDAEKKISYEKQWYDDWCKTHKDAKGLWDVKFPYLGDLEIVYGRDRATGNVAEDFTQTVQDMEAVQNLEEGDEGLDAMSNSDNDKVEEDEVNSMEQSTQPSSTSTRNSKKQKKQSPPIANVSKKMKSASTTRGDLDASLQLLTSKFGDFVEGIQANFTTIAAAMSNEDKREQLVSDRRDQVVAELMKLALPSGDVMNAADILSEQISKLHVFYNLPAEMKRQYVINLLYPPSTR >CDP01920 pep chromosome:AUK_PRJEB4211_v1:7:7708523:7710018:-1 gene:GSCOC_T00037102001 transcript:CDP01920 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS1-3 [Source:Projected from Arabidopsis thaliana (AT2G18050) UniProtKB/TrEMBL;Acc:A0A178VX64] MSATGDAEKTAVEQPPADAPPAEQPAEKPAKEKKKPKAPKEKKPKAPKAAAHPPYFEMIKEALVALNEKSGSSPYAIAKFVEEKHKAVLPANFRKILGLQLKNSAARGKLTKIKASYKLSEAGKKVPAVKKPAKANAEKKRAGKPAAQSNAKTTRATAAAKKKTEAVKAKPEKKVTQAKKTKKAAAPAKAKQPKSIKSPAAKRARKAAAA >CDP04635 pep chromosome:AUK_PRJEB4211_v1:7:17064929:17068206:-1 gene:GSCOC_T00018651001 transcript:CDP04635 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSVAYHLSGNLGGFPILDGKTNLKRISFDTAHGLEYLHEHCYGKIVHPDLKPGNIHLDDNLEAFFGGYKIIRLQLKSRELWDTLPLNNCMFLLWSYRCINEMGKYLD >CDP01934 pep chromosome:AUK_PRJEB4211_v1:7:7592697:7595933:-1 gene:GSCOC_T00037120001 transcript:CDP01934 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLFSTFPIYRLKIKLPQCNSLTSFTLRECIEKLQLLKTPEERQRRLTEIPKVHADPKMNPNYKSEEDIAGELLKSKISSFNKNESQSISPKSRAKEDGMNRSHQSRQKRDARGLSGPAKNEMQVPVSGSESGSGNQQVVRFGSETSTATLPTGSSTPANTSETEKIWHYRDPNGRIQGPFAMVQLRKWSTTGYFPADMRIWTINELDESVLLIDALNEFFHKDYRSLHNVSRPQENGPASSDNGTLHSFNPDQTFVAPLHQERGYGIEELKSVLDHENQSPHETPTDQATGVQCNEKSSSSQSYVGQSSGQNCRSVPLNLDLNRKDCNSSLASVTTPSDSAEQQGDIDILDLPSPTPKTSKSNVEGQDVEKKESGFDGHVQGSEKSDLPSPSPKPIDDDVPDPTPEPMDEDLAGITYPPKNEVLPSPSPIPTGGDVREQIDKTNQPPLPKVSVPDSGPGWNSTSGLRVGEAQLQKIADEWDGYSPTDPKPSVQEWDTSLAPVASLKPPEVLGDHVTPGSINNTTQLVHASPCQPASNISSWQAIVNEPIEFSTLAEESVSDLLAEVDAMESQSGLASPTSGMKCSDEMMDSCRNDCFSSIEELSPTADAGKSDAVSSNREVQFPPSVTDDPGGTSQADGFDRLKASGGHSTSSSEGETKSADAPVDPRETGLDVHPPPACTMSQGMVGSTGFPNMGWGTGMVPPWGNPSYNLGGYNGSLPWDSPRRYNGERFAGPRDWGFQGGDSGFGRGRPMWSRQSHGGGGGGYSRPPHKGQRVCKFYESGHCKKGASCDYLHP >CDP01568 pep chromosome:AUK_PRJEB4211_v1:7:10742525:10745346:1 gene:GSCOC_T00036660001 transcript:CDP01568 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIC1 [Source:Projected from Arabidopsis thaliana (AT2G22570) UniProtKB/TrEMBL;Acc:A0A178VQ19] MESQTMDQLKKELPVEQETLVLSDDVKTGLVLVDIVNGFCTVGAGNLAPVAPDKQISGMVDESVRLAKMFCEKKWPIYALLDSHHPDIPEHPYPPHCIAGSDESKLVPALQWLEDEPNVTLRCKDCIDGFLGSIDKDGSNVFVDWVKTNQIKVILVVGICTDICVLDFVCSALSARTRRILTPLEDVIVYSPACATFDLPLHVAQNIKGALAHPQELMHHVGLYMAKGRGAKVASEVLFDIPVEI >CDP16698 pep chromosome:AUK_PRJEB4211_v1:7:526250:530557:1 gene:GSCOC_T00019167001 transcript:CDP16698 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRDQLLHLFDRFSFLTSRPDVKKRIAEAVLDKQEAVAVTTTIQEEIFLEMGIDPRFGLACLGKVNVAYESDQDLMIQFYGFVAKEEMACEEAELGPEKFAERMHMQHKLQEQQLEMLKYMRNFHLDDQSAVLEKIQQQMEKANFEIEASILSEEQIQDIVRRSVSPVFQLR >CDP16736 pep chromosome:AUK_PRJEB4211_v1:7:808318:813327:-1 gene:GSCOC_T00019215001 transcript:CDP16736 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHLKPQLSSASASAANNLALISRRPALLHLVCAAAVFSLIVFLIQSSFFTAGNQKQRAVNIHNNEEEFRILSDFQSSVQQCVANRGLGLTAIIIDHCKLVLKFPQGTNSTWYNEQFKIFEPLEYTYDTCEALLLWEQYRNMTTVLTREYLDARPDGWLDYAAKRIAQLGADKCYNRTLCEEHLNLLLPAKPPFHPRQFATCAVVGNSGDLLKTEFGEEIDTHDAVIRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMVTILNGSVDEVLIIKSVTHRDFNAMIKGIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDDVDIYGFTVDPGYTEWTRYFSEPKKGHNPLQGRAYYQLLECLGVIRIHSPMRSKRKQNWSDVPSRETIQRAHMAALHLKRILSGQEGAFGQFGSCKVWGDAGSYSSGPVSGSPDMSRVRKNSNYSKWEVMPFNSLRQEARDHFMQMNGVSLYKMDGNKLEDLVCVRHSLSSD >CDP16614 pep chromosome:AUK_PRJEB4211_v1:7:6707:12176:-1 gene:GSCOC_T00019064001 transcript:CDP16614 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYMGTPRRNSLHVRRPNETMRLLVTTFVGIVFGFFLGVSFPTISMNIASSLFPSIDLTYIEDKYSGISTQALLNVWSSLKGNRANMHKLNGTKIWSPTNPRGAERLPPGIIASESDLYLRRLWGLPSEDLIIRPRYLVTFTVGYEQRKNIDAAAKKLSENFTILLFHYDGRTSEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIIAPYDYIFIWDEDLGVENFDFEEYIKLVKKHGLDISQPGLAPNSGLTWQMTKKRDDTEVHKETEERPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLIHGWGLDFALRKCPAHEKIGVVDSQWIVHQTVPSLGNQGKAENGKAPWEGVRERCRREWTMFQDRMTAAERAYYLAKGIDPPNSTAR >CDP04641 pep chromosome:AUK_PRJEB4211_v1:7:17011425:17017024:-1 gene:GSCOC_T00018660001 transcript:CDP04641 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMMMKTTTMQRRASSAVIVSIARSQGAAASLGTPAPAGCTTLAAFLSAFPNHKPHLAFYSAISSKFKSSSEKALKFQPELRNDINNVNSLDDALSLFERMARMRPLPSVIDFTQLLDRIVKMKKHYSSVVSLFRDMCVKGIPVNEYTLTMVINCYCVVGRVDLAFSTLSGFFKRGFVPNVVTFSTLLKGLFRDHKVPEAQELFKKIIKEKLCKPNETMLGIVIDGICKAGNTQTAIEFLRAMEKRGSPCKPDAIMYNTVMDSLCKDKMVDEALALLQEMIEKDIPPNVVTYSCLIQGLCNLSRWKDVDKLFAEMKVYKIVPNVITFSIVVDALCKEGHIEDAEEVVQIMIQQGQNPDLVTYSSLMDGYCLQSRIDDASRVFNAMVANGLTPDLHCYGILINAYYKTKKVKAAMKLFQEIPHKGLTPNIVIYNTVLQGLFSSGRYLSAREIFNEMQASGMKPDFHTYCVVLAGLCKTGHVDEALELFHATEADGTDLHIEMYNIMLDGLCKCRRLNSARDLLKNLSLKGLDPNVITYNTMIAGLLSEGLLIEAKELIEKMEEKGCLADSVTYNVILQGLLMGGHYDDAVVYYEEMVHRGFLLDASTFSILLDLSAENQNNLSVLMLMLKIDPNSKRFMDGGQRGPSH >CDP01748 pep chromosome:AUK_PRJEB4211_v1:7:9056589:9061762:1 gene:GSCOC_T00036899001 transcript:CDP01748 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLDHNISSSTVQSRPLEPSPAPALEPRPPTSSDEDFFSSTSIASSSSSEDIQTNHSSPPLPPAAPPPPQIPISWPASGTISREWVNELMNAFEWASRAVPPQEFPSLLPVEVFDRLILSASKILHKEKNCVSIEGEELGRVSKVVVVGDLHGQLHDVLFLLKDAGYPGEDRIFVFNGDYVDRGAWGLETFLLLLAWKVILPHRVFLLRGNHESKYCTSVYGFEKEVSCKYGDKGKHVYCKCLGCFEGLPLASIIAGRVYTAHGGIFRSIPSTPSKRYKGKKNRKVIVDPNSTALSLGSFEELSKARRSVLDPPWEGQNLIPGDVLWSDPSMDPGLSPNKERGIGLLWGPDCTEEFLKKFGLTLIIRSHEGPDAREKRPGLGQMNEGYTIDHVVDSGKLITVFSAPDYPQFQATDERYRNKGAYIVLVPPDFDTPVFHTFDAITPRPVVNPYYDYEDVIDSDEELDMASMVPAT >CDP12908 pep chromosome:AUK_PRJEB4211_v1:7:13148798:13149106:-1 gene:GSCOC_T00037598001 transcript:CDP12908 gene_biotype:protein_coding transcript_biotype:protein_coding METVRRLGSEKPVVIFSKSNCCISYAIKVLISSFGANPTVYELDHHPKGREIENALLTLGCNPSVPAVFIGKLFVGGSNEVMSLNVRGKLKPLLIEANAIWM >CDP02635 pep chromosome:AUK_PRJEB4211_v1:7:5436303:5437736:1 gene:GSCOC_T00040089001 transcript:CDP02635 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWSNTRILLLIILLTCALLPPSEPDPNDELCLTHLSESLQDPLKNLHNWSKATFANPCQGFTSFLQGATCNNGRIYKLSLSNLFLKGSISPNISSCTNLQALDLSSNAISGPIPPDLQYLVNLAVLNLSSNRLSGPIPQQLALCAYLNVIDLHDNQLSGLIPQQLGLLARLSVFDVSNNKLSGPIPSSLGNRSGNLPRFNASSYEGNKDLYGYPLPPMRSKGLSVLAIVGIGLGSGLLSLVLSFTAVCVWLKISEQKMAAEEGKISQLMPDY >CDP11550 pep chromosome:AUK_PRJEB4211_v1:7:19200625:19201487:1 gene:GSCOC_T00033855001 transcript:CDP11550 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPTFGDEDASSGSGEDLNMLDGHSKRPSATPGSGRRKRSRKATGDAIVDAMLEIAAASKMRAAAIMRNEERFAISKCIKVLDEMQGLDQRVYFFALDLFENPNARETFISLKSERRFAWLQGKFSASCSSVA >CDP02124 pep chromosome:AUK_PRJEB4211_v1:7:1564637:1565567:1 gene:GSCOC_T00039413001 transcript:CDP02124 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNCARRLLQQSSSSAKAFLSSGPRISLPSVASGPTKLGGLPSPASRLSRRHNLFSKSRVRMELACGESLMPLHSVTASALLKSMLSSGVGQWGCLSEGTYFALLLRAMHFCSFFCFGVFI >CDP01448 pep chromosome:AUK_PRJEB4211_v1:7:11949496:11954471:-1 gene:GSCOC_T00036500001 transcript:CDP01448 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRSKAAHFVSDLTTVLLNPISDKPSSKPTSTTPAHPEEKSESGGSKADSVSDEDEDSEDSGDGPDTSSFTAFLVSLLSSSESGRRPESAGENAYQEDLAESSDVPILRDSGRKRSLFSRGKQSLNRIYHSARLPGFRSQGSAKSSSQMVVDNANKSKVSADEEMSTQNLDEPLPLDEIPETSEPSLLLSEKTRSVLFASMPVLVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLRATSKKRYQGSNNSFVFTNISGDPAIFHPTGMNRYFTLCSPEYLALGGGSHFALYLDGDLLTGSSSASETYGNSCLAHTQDFDVKEVELWGFIYPSKYEEMVSLLRTEAPGIWRL >CDP16004 pep chromosome:AUK_PRJEB4211_v1:7:28412148:28415072:-1 gene:GSCOC_T00016951001 transcript:CDP16004 gene_biotype:protein_coding transcript_biotype:protein_coding MFDETGIFGNLDFLSTPCGEGDVPPEFEPGRVVDENYSDEEMDVDELERRMWRDKMLLRKLKGQKKIKEGVDDGMKQHQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPENGKPVTGASDNLRAWWKEKVRFDRNAPAAIAKYRIAHSIPGKVEDCCDITSTPHALQELQDTTLGSLLSALMQHCDPPQRRFPLEKGIPPPWWPIGIEDWWPDLGLPKDQVCPPYKKPHDLKKAWKVGVLTAVIKHMSPDISKIQKLVRQSKCLQDKMTAKESATWLAIINHEEVSAQKLYPDIYPRMFSEAGNGLYSSVESNDYDVDGVNGEGSLDANCEPQNLLKMGIDVSKDGKMLPSLAPVKGEVVDIDPVLIHKRKIMSNEGDLDQKIYTCQNPQCPYSGYNMGFHDRTLRNNHQINCPYRLSASQNLGIMNYQPYKKKPDVISAPSVQLKPASQPVIEHPSCLSISKLGIPEDGQKMISGLMSMYDDSVQEKTCFDFGIDTAAEDQNLQQQIIQLHGDQSFFRPGVTMGGSISKETSLTLSHSAFPSTEFLYDNGNCKSTDDSGFNGNLNSNLDFSFGPEFNMESVDYSMDLFPKEDITTWYT >CDP01922 pep chromosome:AUK_PRJEB4211_v1:7:7693256:7697018:1 gene:GSCOC_T00037105001 transcript:CDP01922 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQDKCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATIAGFWKATGRDKSVYDKSKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESEENGPPQARTQSPTPQGWVVCRAFKKRTTSGQTKSIEAWDSSYFYDEPSGVSSVVDPLDYITRQPANNYLSQNFICKQEIEAENLNNFLNTTDPFVQLPQLESPSMPQLKKPSSISLVSENTEDDEQPLRGCSSSKKVTDWRALDKFVASQLSHDQERYEGDIVSAGFEGHESSDLGLLLLQSGREEGSSKLNGFFSSSSDCDIGICVFDK >CDP02555 pep chromosome:AUK_PRJEB4211_v1:7:4808865:4809831:1 gene:GSCOC_T00039976001 transcript:CDP02555 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSLSRSLLSNVRASTARSSSPSLPRLRPTSSAPLHGQYALSRRLFSSDLLRNGGFLACTQSLLPLHSVVAATRLTSHIAVEARTCCELSQGIIFSRTCPCR >CDP02729 pep chromosome:AUK_PRJEB4211_v1:7:6108775:6110160:-1 gene:GSCOC_T00040204001 transcript:CDP02729 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLKCAACGFVSDVDMRDKLTTFILKNPPETKKGSKDKKALRRAEKERLKEGEAADEEQKKLKKDVKKKTASKDGPVKTSSSKKKAGGSDEDHDSPPRGRTVEKDEEDDDDDVQWQTDTSLEAARQRIQEQLNTVTADMVMLSTDEKEKKPKASDKSRGSPKSASPPSEELAITENGNNIHERLVQEVKALLKKGVAANQLHPSLLLLSGSAQDVMTALCEALLDGVEKGFAKEVVKKKSYFAAAVAQDESSQLLLLRALEQFCMKSNSAAVKEVALVLKALYDADVLEEEYIVQWFQEGRAGRNKDSAIWKNSRPFIDWLQSAESESEEE >CDP04673 pep chromosome:AUK_PRJEB4211_v1:7:16201739:16206230:-1 gene:GSCOC_T00018723001 transcript:CDP04673 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKGNCYLNWLHPLQYRESGVLLWRGFTLQEFANQCFGNKNDNCKGRQMPAHYGSVKHNYFTVASTVATQVPHAVGAAYSLKMDRINACSITYFGDGGTSTGDFHAALNFAAVMEAPVIFFCRNNGWAISTPVGDQFRSDGIVVRGQAYGVHSIRVDGNDALAIYSAVRAARQMAITEQRPVLIEALTYRGGHHTTSDDSTRYRSAEEIEWWRVAQCPVSRFRKWLEKEGWWNSDAETELRASLRKQVLHAIQVAEQVEKPPVADIFTDVYDAPPSNLHEQETTLVEAIEKHPKEYPPNFSC >CDP02143 pep chromosome:AUK_PRJEB4211_v1:7:1688229:1690464:-1 gene:GSCOC_T00039443001 transcript:CDP02143 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQWPIVCRRLSWKFASHFYLHHLTLNSRVCLDRRLFRKIFGIIIPFRHGERIGFSYLVSQKYTGDSAAVKVLRNSMMFEFSIKLATHKRLIPAHIREKPPSYYIVGGFVFTAVSVPYLRSEYGKEYEFEAPVKLLDKHLHGMAQSVDEQVVLVSQVLVADINIGYEEIVNTQVMAFNGKPVKNLKILAMMVEACDEEFLKFDLEYDQIVVLQTKSAKAATADILAMHCIPSPMSDDLKT >CDP02447 pep chromosome:AUK_PRJEB4211_v1:7:3893792:3894617:1 gene:GSCOC_T00039826001 transcript:CDP02447 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQNKRVCLAHQPANSKEKGSSSNLISRKSKSHQAKKLPGIKTFAFRNQIPCLKEGKKLSIGFSK >CDP16724 pep chromosome:AUK_PRJEB4211_v1:7:720668:727785:1 gene:GSCOC_T00019198001 transcript:CDP16724 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLQVSSSCEISEDVTASSSIFLPSPPQMPGVSACDLDGMHVGVITPPFGGNSICSSLGDFNRESSLEASNFSHNSFQQDSVDAVAHCHVSRAHFGDKIDSLSPCAKRDMYTPASRIVGFEAFQKVILPGGMNGVSANNADHIVVGTNSDETESSGSFVRKRLLSPLNNMLFPEQFSGDSMDIGRSTFHSSSHCSRSLYIAQEYKKANIGRKKHIRAPIWPISKFSEQKGMRNYYETTSLCFTDGPLLEENEVIPFNCLPSSEVNGLGGLNKVRPSSSATPALTKDDNAAPLSSSPLRPRFSEKLTVPGRGKIIKSERDIFENMGHLYKENVSSFLCSCREEDFGIASTSFEDCRCLKKGIQSSSPESNTGRSWPIYQDLGTTASCMKLSRSLRGLPIRRSLVGSFEESLFSGRLAMGRHSQRIDGFLAVLSVSGGNFSPKVQKLPFGVVSVDGDNYLLYYASINLAGNSPSNSCRGQNFKRGFASDDPQNSKSRLRIPVKGRIQLVLSNPEKTPIHTFFCNYDLGDMPAGSKTFLRQKMTLDSVGSNITCQKEGQHNTEVKLERKGTLALETSRLGETVDGKEIACESCKEKCNSGDACHETDRKSQQSCSKVNRSTSNAGSLRYALHLHFLCPSPIKGSKSVQTSKSDPLSIAHGTRDKKDERRFYLYNDLKVVFPQRHLDADEGKLNIEYHFPEDPKYFDIIS >CDP01949 pep chromosome:AUK_PRJEB4211_v1:7:7468947:7471974:-1 gene:GSCOC_T00037142001 transcript:CDP01949 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLGGGGSSRGGSSSNQESSSHNNTTTNNQQHQPTEINPENWFLYRSDEYKGFEIWHPQPQHQYHQPHNQPEHHHFRHHNPALQDFYPSAGGGLDFGPSSSHRGGGGGLNISSDEPSRSAFVMMRSSGGGISCQDCGNQAKKDCAHMRCRTCCKSRGFQCSTHVKSTWVPAAKRRERQQQLASLQQQQQQQQEQAQENQNQQQQQLQLHHGHSHRGENSKRQRENRASSSLVCTRLPSNSSGFEVGHFPAEVSTSAVFRCVRVSSIDENEDQFAYQTAVNVGGHVFKGILYDQGLESQYMTTESSSGGGSGSTTGVQQLNLITGTAATATSTGTTTVSGGGGGGGGGGGGAAGSPFLDPSMYPAPLNSYMAGTQFFPPPRS >CDP02646 pep chromosome:AUK_PRJEB4211_v1:7:5509824:5515055:-1 gene:GSCOC_T00040100001 transcript:CDP02646 gene_biotype:protein_coding transcript_biotype:protein_coding MENCAVFAGLHPIPPNQLLGPSRSALKLLPPFFTEKTHLFSLPLKLQKQSHFALGTTSNNNGTTSRVASPTSNVTTELADIDWDNLGFGFMSTDYMYVMKCSQGENFTKGELQRFGNIELSPSAGILNYGQGLFEGLKAYRKHDGKILLFRPEENGLRLRMGAERMCMPSPTVEQFVEAVNVTVLANKRWIPPPGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGLAPINLIVETDMHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDSVHKRYLEEVSSCNVFLVKGNVISTPAIKGTILPGITRKSILDVALSQGFQVEERSVSVDELLDADEVFCTGTAVVVSPVGSITYQGKRVTYGADGVGRVSQQLYSALTSLQMGISEDKMDWIVELK >CDP16697 pep chromosome:AUK_PRJEB4211_v1:7:523992:526198:1 gene:GSCOC_T00019166001 transcript:CDP16697 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPLQEEPLLAPNPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSQDLRDWDYTLTPGDRHFITHVLAFFAASDGIVLENLAGRFMKEVQVSEARAFYGFQIAIENIHSEMYSLLLESYIKDSDEKHRLFHAIDTIPCVEKKAKWALRWIDGSESFAERLVAFACVEGIFFSGSFCSIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYGLLRAKLSEERVRGIVAEAVEIEREFVCEALPCALVGMNGDLMSQYIEFVADRLLGALGCGKVYNVQNPFDWMELISLQGKTNFFEKRVGEYQKASVMSSLNGNGAAHHVFKLDEDF >CDP02541 pep chromosome:AUK_PRJEB4211_v1:7:4711273:4719147:-1 gene:GSCOC_T00039954001 transcript:CDP02541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cadmium/zinc-transporting ATPase HMA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37270) UniProtKB/Swiss-Prot;Acc:Q9M3H5] MEAFRLQPKFTFTQLILPTHQNITRKAIKVKPQFSLFSPFNLRLKPKPSLISPRKLTFVTPINCKHHDEHLHESHHHHHHHHHGHHDDVGSEVKLTKSQLAFLNFARAVKWTQLANFLREHLELCCCSAALFIAAAASPYLAPKAVARTLQQVFTLVAFPLVGVSASFDAIMDIAGGKINIHVLMALAAFASVFMGNFLEGGLLLAMFNLAHIGKFLYFTSQSKIDVKELKENHPEFALVLDTKYGKLPSFSDLTYHEVPVNDLEVNSYILVKAGESVPVDCEVYQGRSTITIEHLTGEVKPIERKTGDSIPGGARNLDGMMIVKAKKTWKESMLSRIVQLTEEAQLSKPKLQRWLDKFGEIYSKAVVFWSIAVALLGPLIFKWPLVGTSVCRGSIYRALGLMVAASPCALAVAPLAYATAISACAKKGLLLKGGHVFDALASCHTIAFDKTGTLTTGEFTCKAIEPIHGHLRNAEKQLASCCVPSCEKEALAVAAAMEKGTTHPIGRAVVDHSVGKDLPSVSVESFENLPGRGLFATLSSIEPGLGDGKTLRASLGSVDYIASLYNSEDESSKIKDAVSASTYEGDFVRAALSVTLFHFEDKPRPGAFDVVRVLKDQAKLRVMMLTGDHKSSARRVANAVGIDEVYCSLKPEDKLYHVTSISRNTGGGLIMVGDGINDAPALAAATVGIVLAGRASAAAVGVADVLLLQDNISGVPFCIAKSWQTTSLVKQNVALALCSIVVASLTSVLGYLPLWLTVLLHEGGTLLVCLNSIRALNPPTWSWRDDIPQLVNKWRSVVMFVMGVSHPETQSAAQAAAPS >CDP02510 pep chromosome:AUK_PRJEB4211_v1:7:4447673:4452233:-1 gene:GSCOC_T00039911001 transcript:CDP02510 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRCSNINFISGSRRALKSFAVTGTQNHPFYLLLVILIVPVAFIPATACSTGNCQLLDSCTSATDCGPGLYCGNCPAVGKTQPFCARGQATIPTSIINGLPFNKYTWLVTHNSFSIVDAPSLTGAQRITFYNQEDTVTNQLRNGVRGLMLDMYDFEDDIWLCHSFRGQCYNFTAFEPAIDTLKEVEAFLNENPTEIVTIIIEDYVHAPKGLTKVFSNAGLDKYWFPLSKMPKKGEDWPTVTDMIKDNHRLLVFTSDSSKEATEGIAYQWKHMVENEAGDPGVVPGSCPNRKESKPLNSKSASLFLQNYFPTIPDQNQACKEHSVALIDMINTCYKAAGNVIPNFLAVNFYMRSDGGGVFDAVDRMNGQALCGCTVITACQAGASFGSCKNVPASNTTPATTSPAGSFSGSVQLTGAVAAIQPSRVMSTCIYAMSILLLLL >CDP02007 pep chromosome:AUK_PRJEB4211_v1:7:7094304:7096390:1 gene:GSCOC_T00037210001 transcript:CDP02007 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSEGEEEGKLVGGNQQLVVDDDLCEMAKKAAWSVSSCKPGNGVLSLRDDNLDTYWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKAIELVKPAGWVYISLSGNDPRETFVNTFMLQIAILSNHLNGRDTHVRQIKVYGPRPNPIPHQAFQFTSREFITYSTVR >CDP02153 pep chromosome:AUK_PRJEB4211_v1:7:1756503:1759526:1 gene:GSCOC_T00039456001 transcript:CDP02153 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSCKFSSGLELANLVVNSELLHRSWDAISDLEKRSRTHVPDLPLSVEYKDSEHPGVGTIVAFACPPSSVNVQQLERVGTDLVSADEIAGFFSMFDFVRTKVNPSFSVHKAAASLFSSHMHLLSLLKEKHGNSRPLIITGQSMGGSIASLFTLWLLGDIPTKATKRPLCVTFGSPLLGDRNFQNAISERPTWNSCFLHVVSPQDPIPKTFVSSYGYLSNSSISQTGYMPVGTFLFCSERDGDSACFEEPRSVVQLMLAMSSELEEEQRQKRNFQNVDYGLILERLKRYNPVRKEYSPLHQSNINPVEAETMIQLEAIGVKRLQGNQGNRMTTSLIANVASWTEDYLTQRRNIFDPTKKLNDIKIDMAYLEWYKKVSVEQGGYYDSYKLWRWKSRDEIKSRHEIMKRKRILTRYWRGIVDEAEQMPQKEGLAFRTRGLYAGTNYRRMVEPLDIAEYYGEGKKGYLTQGRPKHYRLLEQWLNEDKQPGTGNRNSRSKACSLTEDSCFWAHVEEATICCNVLKDGQSSLKDRETSRKRLVEFEQYAMDLINNYSVSVEVFLEQSSFMQWWNGYSELIDLIGGFLCQSPLRDFMKNRRYRNYA >CDP02542 pep chromosome:AUK_PRJEB4211_v1:7:4722732:4729157:-1 gene:GSCOC_T00039956001 transcript:CDP02542 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKDDSASDGENSSGDVPESKKSCLFSEGEKVLAYHGPKIYEAKACPKTLIFSIFRIEISIPLFFFNFKYTEVRKNEWRYFVHYLGWNKNWDEWVDAGRLMKYTEENVLKQKALDKKKGVNTKSGRSTQSKPKTSADTKVDKEEIKNTASKGKKRKADSGPEKENVSAEKLIKIQIPSTLKKQLVDDWELVTQQNKLVKLPRSPTIDDILTKYLDYRSKKDGMMTDSVGEILNGLRCYFDKALRVMLLYKKERQQYDEAISDNVSPSSVYGAEHLLRLFVKLPELLAYVKIEEETQIQLQQKLLDFLKFLQKNQGTFFLSAYDSPKVSEGSGKGKDN >CDP02132 pep chromosome:AUK_PRJEB4211_v1:7:1618586:1621135:1 gene:GSCOC_T00039425001 transcript:CDP02132 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGSGQHAVLFPFMAKGHTIPLLHLARLLVHRSLTVTIFTTPANRPFILNYLADLIMKNTTTSTVGVSVMDLPFPESIDGVPPGIESTDKLPHISLWLPLARATPLMQPHFEQALTSLPPVTFMVTDGFLGWTVHSANKYGIPRLVYYGMSNFASTLSRVAGESGLLWKTQSADELFAVPNFPWIKLARNDFDESLSDHEPKGPFFEFIIDCTVTASMSFGQLVNSFYELEPVYIDYWNRHYEPKLWSVGPLCLAEPPTPSPEHESSWIDEWLDRKLDQGQAVLYVAFGSQAEISAEQFQEIKSGLERSQVNFLWVVRKNESQLSDGFEERVKDRGLIVREWVDQRKILGHRSVVGFLSHCGWNSVLESICAKVPILAWPMMAEQPLNAKLVVEEIKVGVRAETWNGSAKGLVKSEGLEKGVRELMEGTRGQEVRKKVKEVGEAAMRAVKEGGSSWNTLNQLLDQLQLHANTHAQPKFTTTATATI >CDP02208 pep chromosome:AUK_PRJEB4211_v1:7:2131029:2136087:-1 gene:GSCOC_T00039531001 transcript:CDP02208 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLSRASKTLKSKYAQFSHFIFIGRANNGTAPVANSCNSRLVSPYSQLGWRQNAFFCSHSCRHSIKDGSSIDLSQYPCDKIRNFSIIAHVDHGKSTLADRLLELTGTIRKGHGQPQYLDKLQVERERGITVKAQTATMFHKHNFLGSDTYFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLAIIPVINKIDQPTADPDRVKAQLKSMFDLDPSDALLTSAKTGQGLEKVLPAVIERIPPPPGKSNSPLRMLLLDSYYDEYKGVICHVAVVDGAVRKGDKISSAATGRSYEISDVGIMHPELIPTGVLLTGQVGYVVSGMRSTKEARVGDTLYHCRSTVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKETSSALGMGFRCGFLGLLHMDVFHQRLEQEHEAHVISTVPTVPYIFEYSDGSKLIVQNPATLPSNPKHRLTACLEPTVVATIIIPSEYVGSVITLCSERRGEQLEYSFIDSQRAFMKYRLPLREIVVDFYNELKSITSGYASFDYEDAGYQTSDLVKLDVLLNGQSVDAMATIVHKSKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETLSAMRKNVLAKCYGGDVTRKRKLLEKQKEGKRRMKRVGSVDIPQEAFHELLKSS >CDP01575 pep chromosome:AUK_PRJEB4211_v1:7:10692881:10697753:1 gene:GSCOC_T00036669001 transcript:CDP01575 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKEDAAKQDGENQETEEAEESMMYKKIKRYLIDEQAASPRGVLEIPTSGSSDSDQSSISSGERSRSFGSSSLDEKSEAAVEGGTGGSSKDGHVVQWKNLFDNFKKRSVRRFSVVPLLWVGQEFTKKSGRRKLGRNCSAEESIDCGDMIVPKPSWRNFSYQELAESTDNFSSDKLIGKGGHAEVYKGFLPDGQIVAVKRITKKEKNDEDRVGDFLSELGIIAHINHPNAAKLIGFSVDSGLHLVLQFLPHGSLASVLHGSAENLEWKTRYKVAVGVAEGLQYLHCECQRRIIHRDITASNILLAEDYEPQISDFGLAKWLPEKWAHHVVSPIEGTFGYLAPEYFMHGIVHEKTDVFAFGVLLLELITGRRAVDSSRQSLVMWAKPLLEKNNAKELADPCLGGKYDAAEMNRAMFTASTCIHHLPSLRPSMKRVVQLLKGEKEALEVKQKSMGGRALLLDACDLEEYSCTTYLKDLNRHMELLME >CDP01683 pep chromosome:AUK_PRJEB4211_v1:7:9578602:9581207:1 gene:GSCOC_T00036815001 transcript:CDP01683 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHLFNAPLGDGEAAVGVGTVGSSEAIMLAGLAFKRKWQNKMKELGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVQRLNELLLEKNKQTGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKQDLPDELVFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGHEGYQNIMENCQENAMVLKEGLEKTGKFNIVSKDTGVPLVAFSLKDNSRHNEFEVSEMLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDIEKVLHELDTLPAKVTAKLTGNDENAHDVAVVKKTTREVQLEITAAWKKLVADRKRTNGVC >CDP02607 pep chromosome:AUK_PRJEB4211_v1:7:5259886:5263145:1 gene:GSCOC_T00040049001 transcript:CDP02607 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADHENISISRSELHDLLSKPSLGGIPLLVLGNKIDKPGALTKPALTDQMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >CDP02494 pep chromosome:AUK_PRJEB4211_v1:7:4285443:4291213:1 gene:GSCOC_T00039891001 transcript:CDP02494 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSEKKVHDMMDAARKRAQRRAVYLAKRRGDPQQSIQAVGSRCRIYRDDALYHATQDQQGLIPWNGKQDILIDRFDGRALLDFIRDSSSRHIRVPEKSEEEEELEEFVNFERYRDLIKHRRRGFADDEALQHVDQEIEAKINPFGSDRSHPPQPVANKGSYSQVGFSYDGDGKEETHDSDGEEEDEDEDDEDDDEFNSDDSNDVGMESIAKEFGVKRYGWLVYMDKKAKEEERRQKEVIKGDPAIRKLSRKERRKASQMEREREREASRITGTRVLHRDPYRESRRSPTYEAYSRSRRSRSRSRSYSPSHSRRNARGMHSDDVHRSKDRAPKIEYITEFGGSDGNEPKFEGYSPPPSPPTQADALNRPSSGRILEALHVDPASGVSLDKEKNAKLLKPPASTSSALAKLSKATSSGSLTKQQVEKKETPQERLKRIMSKQLNKQIKKDTAVEMAKKREQERQRLEKLAETSRVSRYRHRSRSRSYSRSPSRRYRRSRSRSRGGDSQQRRSRSRSRSLSGSSSSSPHSHSRSRSQSRSYSSSRSHSRSISRSGSPRARRRSRY >CDP01991 pep chromosome:AUK_PRJEB4211_v1:7:7179898:7184144:1 gene:GSCOC_T00037192001 transcript:CDP01991 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIALDRLIETGAPQTMAGGKNGPDVKVEGRNSTSISTFDKGVTAPRSKLEKAVSIPTGKLDTRNDTSNVTIDGKRHWAQISPALYATPEPTPLPDSPSSFPPSPYIINHKRRGPRLLKSFSQDDVGTRHQALDEKKLDDEVKHAEREVASSTHDNNTLRDKVIESPEVYDGASTVHSPVEEKSVNGISNGELGINDLANHSVVENSISISAIFSFQRDGEGDDFFDPQESMSVKSNAESETNSVVERSLNVATPLAEFYDAWEELSSETGPQPSVPDFEAELRELRLSVLTELERRRQAEESLRNTRAQWQKIREELSVIGLTLPVDLVAALEDINPIRELSQQFDLARFVSNSIGRGTAKAEVEMEMEALMELKNFEIARLLDRLHYYEAVNREMSQRNQETIEISRRLRQRRKKRQRWIWGSVAAAITVGAAVLAWSCFPTGKGSSTNLLRSPESDHTSEK >CDP17138 pep chromosome:AUK_PRJEB4211_v1:7:27194608:27202556:-1 gene:GSCOC_T00011160001 transcript:CDP17138 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLMKNLKVPPNSTSREEARKRTFDFFRLACRSIPNIMEIYNLDDVVTPSQLRSTVASEIRKNAHVTNPKVIDMLLFKAMEELKNFVDHAKQRHHVIGQYVVGRKGLVQDLGPKDEGISNFLKNFYSSNYS >CDP01554 pep chromosome:AUK_PRJEB4211_v1:7:10882516:10883709:1 gene:GSCOC_T00036645001 transcript:CDP01554 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQDKPPGPGLEPDIQPLLNASKYFLCHRSFSQSLKYADKAQQSNPNHPGPPQILAISTVLSATPSSTRPEQPEWYLIINLPRFTQDQNLIRTRCASLVNLLNPDTNPYPFAAEARDWVVKAESVLFDPDAKAQYDNGLKMTQKNSGNGGGTFWTLCPYCYFMYEYEKMYVDCVLRCQNSKCRRAFTAVAVAASAAPPPELVEEGKYTCYGFSPLGPNNGSGGDSGEERGKSWWAPFVSMGQYPGPVRANEEKVDVRTNVDSKSEKSNGFIEISDDETAGREKIERGGQGNGVNNGKVVMKRKKMAAIGKKKLMGKGIRVVGNHSLSAEGGEGIGFKAGGNEANANTSPGNEANANTSGGVTEGCNEEELEFCAGDDDIFVAVNPWADLGCEKLRN >CDP04644 pep chromosome:AUK_PRJEB4211_v1:7:16971750:16973264:1 gene:GSCOC_T00018664001 transcript:CDP04644 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKGIPIDDYTLTIVINCYCIVGRVDLAFSTLAGFHKRGFVPNVVTFSTLLKGLFRERKVPQAQELFKKIIFEKLCEPNEVIFLVVIDGLCKAGNTQMAIEFLRLMEKRRRCKPNVSVYGTIIDSLCKDKMVDEALALLQEMFEKGIPPDVVIYNCLILGFCNLSKWKEVKRLFSDIKDYKIAPNVFTFSIVVDALCKEGHAKDAEEVVRIMIQQGQNPDVVTYNSLMDGYCLQRRIGEARRVFDTMGASGLTPDAHSYAILINAYYKTKKLEAAMKLFREIPHKGLKCDIVVYTAVLQGLFSAGMYPSAREIFDEMQASGIRPDFHTYCVMLDGLCKTGHIDEALQLFHAMETDGTNLHIEMYTIILDGLCKSRRLDSARDLFNNLSLKGLNPNVKTYTTMIAGLLSEGLLIEAKELIEKMEEKGCLANSVTYNVILQGLLKGGHYHDAMVYHEEMVHKEFSLDAHTFSILLDLSAENQKNPSVLMLMLKIDLDSKKFIDGE >CDP01725 pep chromosome:AUK_PRJEB4211_v1:7:9262356:9266736:1 gene:GSCOC_T00036865001 transcript:CDP01725 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLEKSGLLKQPFTRQENTVIQTCVVATSGIAFSGGFGSYIFGMSEVVAKQSGEDNFAQNIKIPSLGWMFGFLFLVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAYLINSFHTPQGAKLAKKQVRTLGKFFSFSFLWGFFQWFFTAGDGCGFVQFPTFGLKAYKNRQVLDLVLAEGIRMKFLWCLFAQLTVCLVVNHYFLNEF >CDP02121 pep chromosome:AUK_PRJEB4211_v1:7:1550250:1552303:-1 gene:GSCOC_T00039410001 transcript:CDP02121 gene_biotype:protein_coding transcript_biotype:protein_coding MVENDVGQIYSWASSIPPNAQSVFLEIRRDNHIQYLMHGLNNLAPSFSTLDANRPWICYWILHSIALLGDSLDGELEHSTIEFLSRCQDPDGGYGGGPGQASSFSCMAMFYPCHCYLSIIFFLDLFW >CDP01385 pep chromosome:AUK_PRJEB4211_v1:7:12770022:12770924:1 gene:GSCOC_T00036412001 transcript:CDP01385 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIIHWLWATLALAGLCYFLQDLFLMKKRRGLPPGPKGLPIIGSLHLLRKNPHQDLAKLAKKHGPIMYLRFGCVPEIVVSSPEAAEKNLTFGQYGPYWRNMRKLCILQLLSSHKINSFRPMRKEEVGTLVKSLKQATSDGAAVDLSGLTSSLGANMSCLMIFGQRYMDKDFDDRGFGEVIQEALHVGSTPNICDYFPLLGVLDLQGLTRRFKAQANVFHDFFDKIIDEHLECKEQKQTKDFVAIMMEIIQSGTSEFEFDPRHVKAVLCVSGLL >CDP01569 pep chromosome:AUK_PRJEB4211_v1:7:10736868:10740646:1 gene:GSCOC_T00036661001 transcript:CDP01569 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPDVDSTSIFSQKTHLLDDTVFYSIFPDFSLNPTTSTATITAELHNLHLQILQTLAPFTTTYIFQHQPFTLTPVLSPLPHLHGRLRFGDNLEDEWFVSFLLFTVSQSFPNLSISLHDSDGQFLLIETAFHLPRWLNPNTSTNRVFIRRGRLYILPPSHFPNTPDLITALKFLSENDNNPDILRATPDAVQLNLEKKIKEYPEKARKNVHGVRIRVPVSVAWVLKHEPCLISLAVGGFYDRDVDSMKFAEKMERFLPNGKEESVVQMFVKMSKTMYAQLMQQTFRPPKCYPRMPLSSDAEAYKEAELGMKIACGFEMVYQLRKRQGGEGKGSTWEVFRENLERSGYFQGLLPGSVEYKRLVQNADEYYRNSALHSRASDILNAPVRRIDEILALPHSADDFKVHELPPSDDDSWLYYGEDELNAALQERQKEMELFDSKRKRKQKVKEEEDAGPSAYDLGEIANSMQAFVKKMSSYEGAEVPESRDTKDVDFNVDRFMKDMESVLRGQGFEDTGNDVDHGESSSSDMDFDDFEDDSDIAEPSDHEEEGGDAFMESYTDALNKELKPTTLDRSFIRANEETLKKDEGISNATEDMEEEFNPVDVDVNLVKSLLDSFSSQQGLPGPASNLLGLMGLQLPEDGKKGK >CDP01620 pep chromosome:AUK_PRJEB4211_v1:7:10165608:10172917:-1 gene:GSCOC_T00036723001 transcript:CDP01620 gene_biotype:protein_coding transcript_biotype:protein_coding MEENSNSIAEMKNGNDDHGWKTVTYQKKNKKQPQNQQQVRSAAAGGGAGGSDRRSDVFRVIEEHSEERHRKWLEDRKAAAAAMEDHSVVIDDVEEVSDGDEAPVQNGAPEEKKTKPKKPKKPKVTVAEAASKIDAADLTSFLADISVSFETQQDIQLMRFADYFGRAFAKVSGSQFPWMKILKESPVAKMVDLPLSNVSDDVYKTSTDWLNQRSVEALGSFVLWSLDSIISDIALHQGSTKGAKKVVQQAASKSQPKKPKKPKVTVAEAASKIDAADLTSFLADISVSFETQQDIQLMRFADYFGRAFAKVSGSQFPWMKILKESPVAKMVDLPLSNVSDDVYKTSTDWLNQRSVEALGSFVLWSLDSIISDIALHQGSTKGAKKVVQQAASKSQVAIFVGLAMVLRRKPDVLISLLPSLKENAKYQGQDKLPVLVWVITQACQGDLVVGLFLWVHFLFPMLSGKSNSNPQSRDLILQVVERISSSPKARTILLNGAVRKGERVVPPSSLDLLMRATFPANSARVKATERFEAVYPLLKEVALSVSSGSKAMKQITQQMLPFAIKAAGEGIPELAGEASNLFIWCLTENPDSCKQWDNIYMENLDASVVILKKLCDEWKIHAEKHSVVDPLKTTLRSLIVKNEKALASDEKDAARQATLKDAQKHCKILLGRVSRSNGCLKAFVILSIAVAVGAAFVSNDLKSFDLKKLLVDFNLS >CDP12874 pep chromosome:AUK_PRJEB4211_v1:7:13421279:13422810:1 gene:GSCOC_T00037553001 transcript:CDP12874 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLYEGLRFLRTILLQQYKVKELLDKIRCYLRLVVIDAGTIVCSISRNELKEGLAKEKDLLLFYLLKLIKYIKSRVAQEYLLTSSSSFPTTNELGFLDSFQEKLEQLSKKKKRKGKKVFHSTKFCTLQKNFSPYKNFYKIFSKTSTKIFQKLLRYTTNAKLQTLWSRVMEVAYKAETIIDFVAVGDRPDSYPMPENYQFL >CDP01700 pep chromosome:AUK_PRJEB4211_v1:7:9460892:9461755:-1 gene:GSCOC_T00036837001 transcript:CDP01700 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKKLILVAFPSLAVVLFIFIIFFSIRLKSPSSTATKSIIILQDTSHLESRFSLLIGILTRPDNYERRHFLRLIYGIQSSPIAKIDVKFVFCKLTKPEQRTYVALEIMRFRDIIILNCTENMNSGKTYAYFSSLPRILPQHYDYVMKADDDVFLRLVPLALSLQPLPRSDMYYGFVIPCPSMNPFVHYMSGMGFILSWDLVQWIAVSDIPANDTFGPEDKLVGKWLDMGKKATNRFSNKPAMYDYPGTNSRCSHDLIPDTIAVHRLKKWEQWFHVLSFFNVTEELA >CDP01641 pep chromosome:AUK_PRJEB4211_v1:7:10015478:10016699:1 gene:GSCOC_T00036757001 transcript:CDP01641 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.7 kDa heat shock protein, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G37670) UniProtKB/Swiss-Prot;Acc:Q9FHQ3] MALFGDPFRRFFWSPTIYRTTPGSSALLDWIESPDAHIFKINVPGHKDEIKVQVEEGNVLVIKAEAKEEGGGRGKEKDVVWHVAERGGGITGKAAGFSREIELPEDVKADQIRASVENGVLTVVVPKDTTPKSSKVRNVNVTSKL >CDP12786 pep chromosome:AUK_PRJEB4211_v1:7:14493265:14498980:1 gene:GSCOC_T00037437001 transcript:CDP12786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl-phosphate synthase small chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27740) UniProtKB/Swiss-Prot;Acc:Q9LVW7] MDCSLRLIHNSPLSTTAFLPKKVRLFTVKCSSSQGFSSVGLAERPWKVADARLVLEDGSIWRAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESVQCFLAGLVIRSLSISTSNWRCAETLSDYLAERNIMGIYDVDTRAITRRLRDDGSLIGVLSTEELKSDEELLEMSRTWDIVGIDLISGVSCKTPYEWVDRTGSEWDFNFSARSKENLHVVAYDFGIKHNILRRLASYGCKITVVPSTWPASDTLKMNPDGVLFSNGPGDPSAVPYAVDTVREIIGKIPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNMRNGSVEISAQNHNYAVDPASLPSGVEVTHVNLNDGSCAGLAFSQLKLMSLQYHPEASPGPHDSDPVFGEFIQLMKQEKVKA >CDP04725 pep chromosome:AUK_PRJEB4211_v1:7:15444462:15449770:-1 gene:GSCOC_T00018796001 transcript:CDP04725 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHDTKPVLTLDFHSLSGLLATGGADFDIKLWEISSGEEQNRGPGASYKTNLSYHGSAVNVLRFSPSGEQLASGADGGELVLWKLHSNDAGETWKFLKILSFHRKDVLDLQWSTDGTFLISGSVDNTCIIWDVNKGSVHQILDGHSHYVQGVAWDPLAKFLASLSSDRTCRIYVNKPSKTKGNDRMNYICQYVISRLEPQTTDESKTAKNHLFHDETLPSFFRRLAWSPDGSFLLVPAGSFKHTPSSELVNAAYILSRNDLSRPALMLPGASKPVVAVRFCPVKFSLRGLKSSDFVRLPYRLIFALATLNSLYIYDTEGIEPIAILAGLHYAAITDIAWSPNGKYLALSSQDGYCTLLEFQNQELGSSVPVSEERNIVDDCKTLQQAQGASFTKTEPDNSLDGAESEKAEAHNDEKQASTATLATPTANKPAKRRITPIVID >CDP01631 pep chromosome:AUK_PRJEB4211_v1:7:10098134:10100419:1 gene:GSCOC_T00036738001 transcript:CDP01631 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACGVGCVVVIGCIRWAWKRCSYIGSDDSEAWPIATMEEFEPIPRICRVILAVYEPDPDHPQYPPSNHYRVNYDNILKRVTYEETQGHSPPYIIYSDHENREIVLAIRGLNLYKESHYKILWDNNLGKQMFDGGYVHHGLLKSATWILSRESETLKMLWMESGKNYNMVFAGHSLGAGIAALLTVIVVNHGDQIGGIPRSNIRCFAVAPARCMSLNLAVKYANVIHSIVLQDDFLPRTPTPLEDIAKSIFCLPCLMFCLCLSDTFMPEDRKLKDPRRLYAPGRIYHIVEREFCRCGRYPPEVRTAIPVDGRFEHIVLSCNATSDHAIIQITNETEKALQILKESGDETATTAPKVPIFDRLQTIEEEHKDALERAISMNILDAVTTTEEEESLEETNIEIKDCQDEDEDALNTLSKP >CDP12785 pep chromosome:AUK_PRJEB4211_v1:7:14504445:14507436:-1 gene:GSCOC_T00037436001 transcript:CDP12785 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACT7 [Source:Projected from Arabidopsis thaliana (AT5G09810) UniProtKB/TrEMBL;Acc:A0A178UEW4] MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYMFTTSAEREIVRDIKEKLAYVALDFEQELETAKSSSSLEKNYELPDGQVITIGNERFRCPEVLFQPSIIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPSIVHRKCF >CDP01915 pep chromosome:AUK_PRJEB4211_v1:7:7739450:7741967:1 gene:GSCOC_T00037096001 transcript:CDP01915 gene_biotype:protein_coding transcript_biotype:protein_coding MYITVLFTYLSDPIHSSPRLLLVQAKFFQSEDCLSEVGREPPGNPHEKVSNENIAIADGFPGSSVGSYPNPLSHKGVSHIPQIPWRTPPRQFDLKFECHVAAGEESEEVEVQKHREMRVHEAVYPHFSAVPHCPSISSDVEAEHFDDWHTPVIPVTAIEEAAETDCLAKPEPAKLPQSPSTSEIFDIPQCKSLLSRELSKGKKSDHAPLQDLDGPMVAAAAATTAIMKSKEPPSLIDPELLIKLLSNPELVQKLIAERRLASDTTTRTSGSKTVDSTIVSLVQKSTTENTHPGNAGIEAVLRPGNQPLAVKAETGTGLGPKQVTTSISLLSSKSDLPSQNSNYEVFQRADVGNMSLLKASRALSSSIPDVLTEVYRLKKLIEEHGSHDRAGNDYVGEIPAPSSIFLTISCCAFSCSLTTTSLSLQKDISYFQSLIRQHGEEKGSQDDELSLYGISHNHLQSKESDRKYKRTCKFYNSSMGCRNGSSCPYEHDVPGQWRSDVVLEAPGAKRLKLGGSFTGRT >CDP02059 pep chromosome:AUK_PRJEB4211_v1:7:1113460:1115508:-1 gene:GSCOC_T00039339001 transcript:CDP02059 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVPNVTAFRKIEMSGKFKVTCRRKERDRGQNQHYPYKVIEITPPPKNLGIRCFPCNVQCGESVTIEGRAYTVSAVTHRYQLRKGKYEPSEKRLDVLSTGRYILNLYLENLMEKS >CDP02140 pep chromosome:AUK_PRJEB4211_v1:7:1661314:1663819:-1 gene:GSCOC_T00039439001 transcript:CDP02140 gene_biotype:protein_coding transcript_biotype:protein_coding MGTANRELEHLFEDKKRVRNPLVPFGAFITAGVLTAGLISFRQGNSQLGQKLMRARVVAQGATVALMVGTAYCYGDKI >CDP02133 pep chromosome:AUK_PRJEB4211_v1:7:1625266:1632071:1 gene:GSCOC_T00039426001 transcript:CDP02133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:Projected from Arabidopsis thaliana (AT5G14880) UniProtKB/TrEMBL;Acc:A0A178UBD9] MALMDVETRTNPIKKESWRAVLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIHHSETNEEIYGVVSFIFWTLTLIPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVSTLPNGQVADEELYEYKKDEIVSADKGFGLRLRSTLEKHKFLQRILLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMAKPHHQYVEVPVACVILLILFYLQHYGTHRIGFLFAPIVITWLFCISAIGLYNICHWNPHVYRAVSPYYMYKFLKKTRKGGWMSLGGILLCMTGSEAMYADLGHFSQLSIKIAFTCVVYPALILAYMGQAAYLSKHHLIENDYRIGFYVSVPEKIRLPVLVIAILAAVVGSQAVITGTFSIIKQCSALGCFPRVKIIHTSSEVHGQIYIPEINWTLMLLCLAVTVGFRDTKHISNASGLAVITVMLVTTCLMSLVIVLCWRRSGFLAICFIFFFGSIEALYFSASLIKFLDGAWVPIALSFIFLMIMYTWNYGTLKKYEFDVQNKVSIQWLLGLGDNLGIARVRGIGLIHTELVSGVPSIFSHFVTNLPAFHQVVIFLCIKSVPVPHVRPEERFLVGRVGPKGYRLYRCIARYGYRDAHMDDVEFEKDLACSIAEFIRSEGLENNNVNIEDDERMTSREIISSPRVPNKKVRFLVPKNPEMDTSAVVELQELMEAREAGLAFILGHCYVRAKSGSSFMKRLAIDVGYDFLRRNSRGPSYALSFPRASTLEVAMVYHV >CDP02205 pep chromosome:AUK_PRJEB4211_v1:7:2115250:2119154:1 gene:GSCOC_T00039527001 transcript:CDP02205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 2 [Source:Projected from Arabidopsis thaliana (AT5G39890) UniProtKB/Swiss-Prot;Acc:Q8LGJ5] MGIERNVSKPKGKVYGESKKNRRKQRKTTVVQKLYETCKEVFADCGPGVVPSPDKVERLKVVLDSMTEVDVGLRPDMTFFKATTGGEIPRITYLHLYDCEKFSIGIFCLPPTGVIPLHNHPGMTVFSKLLFGTMHIKSYDWVGNGPDAMALNADPLEEHPSGVRLAKVKVDSEFTAPCNTSILYPADGGNMHCFKARTPCAVLDVLGPPYCDPEGRHCQYYMDFPFTKFSVVNSIIPVPEEGRAGYAWLEERDRPKDVTVVGEVYSGPKIAEK >CDP02227 pep chromosome:AUK_PRJEB4211_v1:7:2292288:2294482:1 gene:GSCOC_T00039559001 transcript:CDP02227 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKGDGDKIDDVMLPGFRFHPTDEELVGFYLKRKIQQRSLPIELIKQVDIYKYDPWDLPKLATSGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSDGTKCIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPTTSESAPPKKLADKNLSLHDSWAICRIFKKTSSLAHRALSHTWIAPVCETSPDIFPQGAQYAQYGSENLSCTTESGSTMQLYTNNDLQSASTATFSALNIPSCKPLNGLLSKPSFLAGPNGDIPCNFMFPPSEISGPPNKCSLDVPSMLFNLSPTFLGDVNKTSESIEFEGSLHHFNSFSMNPSPDMQEGISIENDEGGLRKDPRDGDASNQWENMRAIGFPFSLPSTLPDVWKPNLPWDSPNCPSEISTTFSANKC >CDP02267 pep chromosome:AUK_PRJEB4211_v1:7:2602330:2607564:-1 gene:GSCOC_T00039617001 transcript:CDP02267 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKQARSRKKSPVFDSPVDVIVSKDDDGGNGRPAILDSSLKFHSNVGLGTLEEIREESEKEEDESVTQPPPVRHHASGPHSGHHHSRSSKKASSASVKKSAFSLKFGRLTEGEHVAAGWPAWLTAVAGEAIAGWLPLRSDSFERLEKIGQGTYSSVYRARDNETGKMVALKKVRFDNFQPESVRFMAREITILRQLDHPNIMKLEGIITSRLSCSIYLVFEYMEHDLSGLLSCPGIKFTESQQIKCYMRQLVSGVEHCHSRGIMHRDIKASNILVNNEGILKIADFGLANFLSARNKQPLTSRVVTLWYRPPELLLGSTNYGLTVDLWSLGCVFAELFIGRPLLKGRTEVEQLHKIFKLCGSPPDEYWKQSKLPLATMFKPQHPYESTLRERCKEFPKTAVNLIETLLSMDPQKRGTASAALESEYFNTKPYACDPSSMPKYPPNKEIDAKFREEAKRKKVGSTVRASGASRNTRRGRKSAQEPNNFFKVVPTEEVDPITQATRRNNSSSKGKGATVSWMSLKPSDTVSEASQMTEESHDDSIRSVPIEMPASSNFAWAKRRKQDASRKIYGNANMRTQKPSAFDHSSVLQVEAIFESEEQEYDDFSSRAGADEIAKRAMQKQRMRPHRLDSFESSDMYENQELQMDYNSQQGRVKSGPLTYQPHGIEARQDSQIHHPIRRSRFSRGKKV >CDP01652 pep chromosome:AUK_PRJEB4211_v1:7:9882516:9888133:1 gene:GSCOC_T00036770001 transcript:CDP01652 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLQACEIAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPLAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTETKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEIAGVQDLGMLGRGSGEEIGTYVERLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTESIDVTDAVGSNIRIDSRGPEVMRILPRLNEDINEEWISDKTRFFYDGLKRQRLNDPMIRGPDGRFKAVSWRDALAVVAEVIHQVKPSEIVGVAGKLSDAESMMALKDFLNKMGSNNVWCEGNGPSPNADIRSGYIMNTSISGLEKADVFLLVGTQPRVEAAMVNARIRKTVRAAQAKVGYVGPATDLNYDHEHLGTGPQTLKEIADGRHPFSSTLINAKNPCIIVGAGVFERNDKDAIFSVVETIAESAKAVRPDWNGLNVLLLNAAQAAALDLGLVPESGNSIESSKFIYLMGADDVNLEKIPEDAFVVYQGHHGDRGVYRANIILPSSAFSEKEGTYVNTEGCAQTTVPAVPTVGDARDDWKIIRALSEVAGACLPYDSLTAIRSRMRTVAPNLLNTDERQPAIFSYSLKPESRQEMSTVPFGTAVENFYMTDSITRASKVMAQCSASLSKK >CDP02036 pep chromosome:AUK_PRJEB4211_v1:7:6872622:6876099:1 gene:GSCOC_T00037250001 transcript:CDP02036 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDGGESPKDSSPPNPSPAPEASPPPPPPASDASPPGNSTTSPPPPPPSQPNSPAPTSSQSPPPLPPKQGSPSSSPPPPPPQRSGTPSGNTTSPPSGSNPVAPAAPGRSTHPSRGAPAAQNSPPSSGSSGSDSSPSSDSGPIIAGVVVAGAVAVAFLLVWLMCSKKKKKQPYYMDHGRPPKGLSDAYYNGSSLRNNPNPEHVVKVPPPPGGMAGPGGGWAAAPLTPDGNASSEYSSNFGHNPASLPPPSPGLGGFNKSQFRYEELAAATGGFSQANLLGQGGFGYVHKGVLTDGREVAVKSLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIADGQRMLVYEFVTNKTLEFHLHGKGQPVMDWAVRLRIALGSAKGLAYLHEDCYPKIIHRDIKAANILLDDKFEAMVADFGLAKLSSDNYTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGKRPVDPTNKFMEDSLVDWARPLLSKALEDGDYSETVDPRLEGNYVAHEMARMIAAAAACIRHSARRRPKMSQIVHALDGDSSLEDLNNGPKQNQKGGFGSQGAVESQTYDTRMYNADMNRFRKMIMNTQEYNSSEFGATSDYGLNPSASSSDSRESAAEHQKPKPSTGLTAGH >CDP01880 pep chromosome:AUK_PRJEB4211_v1:7:8041416:8045618:1 gene:GSCOC_T00037056001 transcript:CDP01880 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPVKFDKKVLEMIGRKLKKNSVALDVVNFGEEDEAKTEKLEALVAAVNNNDSSHIVHVPPGPSALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGAGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEEAAKQEKGEQSTSQDVNMTDTAKAGTSESENKATDLTDDENALLQQALAMSMDDSSSNIATRDTDMPEAAGDDQDLALALQLSVQDSMKDESSPADMSKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQNQSEHKKDEDKEPKEEDKK >CDP12902 pep chromosome:AUK_PRJEB4211_v1:7:13188389:13193322:-1 gene:GSCOC_T00037591001 transcript:CDP12902 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSVSTLYSSLFCHSHINLQCWNNRTCFRHPMSWRIRASSSAASGVDLSILESAISKKESDAVKEVLDQLSEVGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLGVLTSQLPGDWGFFLPYLIGSISLIVLAVGSVSPGLLQAAIGGFASFFPDYQERIARHEAAHFLVAYLLGLPILGYSLDIGKEHVNLIDEKLEKLIYSGQLDAKELDRLAVIAMAGLAAEGLTYDKVVGQSADLFTLQRFINRSKPQLSKDQQQNLTRWAVLFSGSLLKNNKALHEALISAMSKKATVVECIEAIETAG >CDP12899 pep chromosome:AUK_PRJEB4211_v1:7:13214014:13215045:1 gene:GSCOC_T00037585001 transcript:CDP12899 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTSGGQPPQPSAEPRLIPDLPDDVSINCLSRIPRYYYPKLSLVSRSWRSAITSSALFTTRSLLHTTQTSLYLILRINYSFHWYPLLYNCHSRKIPQKPIFSLPRIPFQPVGPAFAALGPKIYVIGGSINKTPSNSMWVYDCRFNRWAEGPRMMVGREFAAAGVVNGKIYVLGGCLVDSWAEVFDPDTGLWAPVPSPIEVRENGMHASAVVEGRGGVVYDIGLGNWGKVSKRAAVVRGVLYCCDYLGKIRGYDVEKGVWKKLKGVRLPIFSCGATMVNFDGRLCVVWEKKGSGKEVEIKCADIEVWKEEDGKLDGRILWSGVILKVPNGAAIVHCMAAEF >CDP04735 pep chromosome:AUK_PRJEB4211_v1:7:15295884:15297289:-1 gene:GSCOC_T00018813001 transcript:CDP04735 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDIKFNVMVSLFNWIQKSKSSVTKHCQFCKFLDAFCQKPRDYFSAVRWVAGGGGCAGERAGGGRGGGRAGGGGGRSWGKGRGWQEKLGKR >CDP02160 pep chromosome:AUK_PRJEB4211_v1:7:1789273:1796837:-1 gene:GSCOC_T00039466001 transcript:CDP02160 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIHLRLSAHFCGSLAPSDFVLLLQMALYTGNHQFYLLPPAKSKPLLNPFLPSSVTFPNPPTTYGISPPKLLFCRATATATATATGDTETLPQSAIQRIAEKLRGLGYVEDDKDENKDEDKLPKNGPSPGEIFVPLPSQLPKYRVGHTLDPSWSTPQNPVPLPGSGNAIQKYHQLRRGVIKERIEERKKRETVPTLAELNLPEEELRRLRTLGIRLQKKLKVGKAGITEGIVNGIHERWRRYEVVKIRCEDICRMNMKRTHELLEMKTGGLVVWRSGTNIVLYRGADYKYPYFFSDDNTANGSLREEASVDFRMDYREDNEKKASSSGGNALRSSVPKSLSKVSHPPLIQGVGTPNRVRFQLPGEAQLAEEADRLLEGLGPRFTDWWGYEPLPVDADFLPAAVPGYRRPFRLLPYGVKPILTNDEMTILRRLGRPLPCHFALGRNRKLQGLAAAIIKLWEKCEIAKIAVKRGVQNTNSELMAEELKNLTGGTLLSRDKEFIVLYRGKDFLPAAVSLAIEKRRNYVLDGEEKGADKSFTTVSSKDQRLGTSENCNGDENNGKEDQKLELASKRRHRSSTEAAIERTSAKLSKASLQALVKKDMAEKLLVELEKEETTQEPEVDKEGITEEERYMLRKIGLRMKPFLLLGKRGVFDGTIENMHLHWKYRELVKIITGGRSIEEVTARARTLEAESGGILVAVERVSKGFAIIVYRGKNYSRPACLRPRSLLSKREAMKRSLEAQRRESLKLHVLKLTQNIDRLKLQLAKEKGTNKTDLAEELKLKLDEEQEPDKFHSSKNKMSLASPELSPQSLSTSHYNEQNYRIESLNKLSAYCTLGVTRTSAIGTMEAKLGGTKAMHSPADSMKTELDSSVHVVKESGCNAILVRAKSLSNRDRLLLRKQALKMKKHHVFAIGKSNKVSGLAEAIKVRFQKYPLAIVNVKGRAKGTSVQEVVFKLEQATGAVLVSQEPSKVILYRGWGAGEPTTHGSRESTTDSRYFSGREGRTPHAIPHDLMSAIRLECGLQPYNEKESTS >CDP02002 pep chromosome:AUK_PRJEB4211_v1:7:7114160:7117029:-1 gene:GSCOC_T00037205001 transcript:CDP02002 gene_biotype:protein_coding transcript_biotype:protein_coding MVILNEPISNSGISAPNSHGAVVLGGTFDRLHDGHRQFLKAAAELARNRIVIGVCDGPMLIKKQYADLIEPIEQRMKNVEDYIKSVKPELVVQAEPIVDPYGPSIVDENLEAIVVSKETIAGGLSVNRKRAERGLSQLKIEVVDLVSEESSGDKLSSTALRKLEADKIKKI >CDP01966 pep chromosome:AUK_PRJEB4211_v1:7:7354730:7356462:1 gene:GSCOC_T00037161001 transcript:CDP01966 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPMSFLIIAAMLAFAPLCLCGMTSGGYLYPQFYDRTCPKAKEIVYSIVAKAVAREARMAASLIRLHFHDCFVKGCDASLLLDSSGAIISEKMSNPNRNSARGFEVIDEIKSALEKECPHTVSCADLLALAARDSTSGGPSWEVPLGRRDSRGASLSGSNSNIPAPNNTFQTILTKFKLQGLDIVDLVALSGSHTIGNSRCTSFRQRLYNQSGNGQPDFTLNQAYANQLRTRCPRSGGDQNLFVLDFVTPTKFDNSYFKNLLASKGLLNSDQVLVTKSAVSLQLVKQYAQNNQLFFEQFPKSMLKMANISPLTGSKGEIRKNCRTVNS >CDP01611 pep chromosome:AUK_PRJEB4211_v1:7:10258292:10262290:1 gene:GSCOC_T00036713001 transcript:CDP01611 gene_biotype:protein_coding transcript_biotype:protein_coding MQRINLIFVCPFFFFYILYLMQKNNKERLPRPGVVSSSSVASARKSRKKALSVVMDNGLVNVTLSVPEGMVTGIQYNGIANLLEERNKENDRGYWDIVWNLPEAHGNIFDKLAATSLNVVLEDENGIELSFTRTYDDSIYETQLSLNIDKRFILLRGYPGFYTYGIFERLEGWPDINVYQGRTVFKLQEKLFEYMAVSDERQRIMPTADDRARGQILDYPEAVLLTNPANTFLRGEVDDKYQYSSDNKDNRVHGWICPDPPTGFWMITPSNEFKTGGPVKQDLTSHVGPTTLSMYFSTHYAGEPLALTFRDGEPWRKVFGPVFVYLNTLQENDTEPLSLWADAKEQMLIETESWPYSFPLSEDYSFDYQRGSASGRLLIQDSYISERLITGNSACVGLAAPGDAGSWQTENKAYQFWAVADSEGYFLIKNVRPGNYSLYAWVPGYIGDYKYDFYVNIRPGNRITLGALTYNPPRNGPTLWEIGIPDRTAIEFFVPEPSPRLMNQLYSVNQAEKFRQYGLWDRYSELYPSEDLVFTVGVNNYQTDWFFAHVNRNVGNKTYVPTTWQIAFDLDNIDFFGTYMLQIALASANEAELQVRINNPDEEAPYFTTGTIGKDNAIARHGIHGLYRLYSLEIPGSQLLFGRNIIYLTQSRGSSPWRGIMYDYVRLEGPPEYT >CDP02409 pep chromosome:AUK_PRJEB4211_v1:7:3597806:3598616:1 gene:GSCOC_T00039777001 transcript:CDP02409 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLEMDEVEASFYTNVFCTIFWLQVVKAIQGLMPASVSVPVPHPTDPRLKEELESMEEQKAAIDQKADLMVRRELWLGLAYMVLQTAAFMRLTFWELSWDVMEPICFYVTSIYCMAGYAFFLRTSKEPSFEGFFQSRFSSKQRRLMKLDNFDLEKYNELRKGFCPQSSQPAAPPNPISLTSWTNNDAEKMRVPTRA >CDP02441 pep chromosome:AUK_PRJEB4211_v1:7:3862585:3866872:1 gene:GSCOC_T00039818001 transcript:CDP02441 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLCATSINSTYCHHSWASSPNAKISLIEPAKTVKPLRVVSSLKSSFNFHKDFDFKKEYHQNHKNQQEQEQPTNSYQLPIVIRSSGSASRYFWDGNGLKLVPIHDNSFSLWDFCLDFDDAVRRLVRICNSALRNFFLPREVSGNYLEYVKWKLLHRVFSSALQVLATQAMFRAIGIGYKRSLPSAAALNWVLKDGLGRLSRCIYTASLASAFDTNLKRVRFSTSVLFSLSIGVELLTPLFPSYFLLLASVANIAKQISLACYLATGTAVHRSFAVADNLGEVSAKAQIQTVCFDNLGLVLAVLLNIMLRNNQRLQAALPFVVYPIFSAIDLFGIYQGLKHVHLQTLTKDRLEIIINAWIHQKYIPSPADISREEGINCSWRKGRELWPIRIGCLNVRGQLPHLAMLTMQALKDEDFYFICMERFSKGLSRIGRHGIVLSIREGACYSDVMTGLLQACYIRKHLVSSRNSVESSECPYPHSQEWFELIDDSKKLAKLNVNILNEEMLAVGWACKNILLTAQEQARYSFVAD >CDP01553 pep chromosome:AUK_PRJEB4211_v1:7:10888588:10890171:1 gene:GSCOC_T00036644001 transcript:CDP01553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH131 [Source:Projected from Arabidopsis thaliana (AT4G38070) UniProtKB/Swiss-Prot;Acc:P0CB25] MHTLPSFYEVGSCSESYNFLHEILNSREQLHLDNSTVNAISMAEAKAVAANKSHSEAERRRRKRINGHLATLRNLLPKTIKADKASLLAEVVRCIRELKKSTEELSATEGDDQSNTAMKLMFPSETDELKLCYDDGDSRTIKATLCCEDRPEMMIELKRALNAVKAKVVKAEMATVGGRTKTVLWLQASAAGEEGLATIRRALKVVVDRSIMLPTPGQALPGNKRPRLYHC >CDP04682 pep chromosome:AUK_PRJEB4211_v1:7:15938940:15942597:1 gene:GSCOC_T00018736001 transcript:CDP04682 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMDSEMTSSNRPEFDYLFKLLLIGDSGVGKSTLLVSFASDAFEQLSPTIGVDFKVKYVNIGGKKLKLAIWDTAGQERFRTLTTSYYRGAQGIIMVYDVTRRETFTNLSEVWAKEIDLHLTNPDCIKMLVGNKVDKEGERSVTKKEGIDFAKEYGTLFIECSAKTQLNVQLCFEELILKILDTESLLAEGCKGAKKNIFKEKPLESDTSSSSCC >CDP02498 pep chromosome:AUK_PRJEB4211_v1:7:4325074:4330403:-1 gene:GSCOC_T00039895001 transcript:CDP02498 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKLVVLGIPWEVDTEGLREYMTKFGELEDCIVMKERSTGRSRGFGYVTFVTVEDAKAALSSEHFLGNRMLEVKIATPKEEMRAPSKKVTRIFVARISPSVSEAAFRSYFEKYGDITDLYMPKDPSTKSHRGIGFVTFANAESVDDLMAETHELGGSTIVEDEFRPVSRVPQGGYGAYNAYISAATRYAALGAPTLYDHPGSMYGRGGPGGPPRGMGKKIFVGRLPQEASAEDLRQYFGRFGRILDVYVPKDPKRTGHRGFGFVTFAEDGVADRVSRRPHEICGQQVAIDSATPIDDAGPSSNYMMDNPEPYAGYGGPMRSYGRMYGGMDFDDWGYGMGAGRHSRADFRYRPY >CDP01658 pep chromosome:AUK_PRJEB4211_v1:7:9835196:9839009:-1 gene:GSCOC_T00036777001 transcript:CDP01658 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLEAQKMRKGIPKYYGFHTFGDPGYPILLNGPFRDNIRIFLQQCAELQDYKVEGMSIWSTFLVHEKGGFVVPLYAIEEHVKHSLSPFCDHCRCAGWSHHFVSRRKYHLIIPVDGEWNKPLGRDAFDLDTHLLHGLIHCNGFGHLLCINGIEGGSKKLCGREIMDLWDRICSNLQASKITVEDVSKKHMMDLRLLHGVAYGHPWFGRWGYRFCHGSFGVREHHYERAIELLSSLKLDDVIRDFDFTDRCKEIKEIIHCYRNLSETNLITLRDLFRFMLVLKSRAEMRRNAAKSTAMARLVSSGYFERKAVLKQHFEKEKSAKCRKFSAVAANWDSRWPVRRLEFTANVIVDALREKKAANRFRSSVMNRQEVRDAARQQIGDTGLIDYVLKSLNNVVVGGYIVRRMVNRATRKLEFTIEEVKNGVKFDEGKVPAAVQACHTVPRNDVFGDLSCLYDNILLDYPEVDMVRLAAGTVLNSKYFVKEWPFRDEQDLALRFTCRLMPSLTEMETELTRELPRGEYIVVPLHSTIGDLKIAIQSAMRDTYCIMKHLLVTDIIETEGMSDGEVLFGILESGTELWVRGTGLDLETDLRYEGGADNWKVSCKCGAQDDDGERMVSCDVCEIWQHTRCCGIEDSEAVPPVFVCDACCTSLAPSRAAQPSYELEPYGALVSPAGSYVYYPEAALLNFGGSEIFQLESN >CDP16613 pep chromosome:AUK_PRJEB4211_v1:7:1922:6435:1 gene:GSCOC_T00019063001 transcript:CDP16613 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSDSEDESNRRDDNDFVELKQEVVELRTLHKREEELYFYDSFAYPWEKDKHYKMVYQLEKKYFPDQGFDKAFLAPGQQSNQREKKKANKSGIRSTEAKSKEVEDKGIVFFDDEEKFAERDDNAIQLEVSEKKVEEFFKCLKKVPDKETDVANAEPFLSSRSRGLPPKWDTTAGTVVLVNKPKGWTSFTVCGKLRRLVNVKKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMIKGYSGIFRLGEATSTWDADSPVIQREPWEHIRDEDIKKIAASLCGEIWQVPPMFSAIKVGGEKMYEKARRGESIELSPRRISIFQFHVERSLEDRQNVIFRVTCSKGTYIRSLCADFGKALGSCAHLTALRRDAIGEYSADDAWEFQELEGAVAKAYL >CDP01702 pep chromosome:AUK_PRJEB4211_v1:7:9448137:9451371:1 gene:GSCOC_T00036840001 transcript:CDP01702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MAKEEDDAANLEFFLHWASELGISDSSSSTLPHKDSTFLPSCLGHSLVVSHFPDAGGRGLAAARELRKGELILRVPKAALMTSESLMVKDQVLSACIKSHPFLSSTQILTIALLNEVNKGKSSWWYPYLKQLPRSYDTLAGFGQFEIQALQVDDAIWAAEKAAGKAKLEWQEASVVMAELKLKPPLQTFKAWLWASATISSRTMHVPWDDAGCLCPVGDFFNYAAPAEEPCGNKTLGSCGNGFSMQTEGSSEANAQRLTDAGFEDDVGAYCFYAKRNYREKEQVLLSYGMYTNLELLEHYGFLLDDNPNDMAFIPLEPDMYTLCSWPKELLYIDQDGKPSFALLSAMRLWATPPNKRRSVGHLAYSGKQISVENEITVMGWIAKKCQDMLQNLRTSIEQDKLLLSSIGKIEDIFLPVELEKLPSICSTELRAFLESHEPTNEEAFNNLHIPRKARRSISRWILAVQWRLSYKRKLLCCIAE >CDP16648 pep chromosome:AUK_PRJEB4211_v1:7:191704:193515:-1 gene:GSCOC_T00019106001 transcript:CDP16648 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIHRAFRSIQTAVEYPRLTRFSLKAPKSVEVQFDDGSLYSLPAEYLRVYSPAADSKVRSVAGEKVIYGRRYVGILSAEPVGNYGVRLLFDDLHNTGIFTWDYFYHLGSNKFTMMRNYITTLKKHGLSRDPLKRK >CDP04660 pep chromosome:AUK_PRJEB4211_v1:7:16586001:16596273:-1 gene:GSCOC_T00018699001 transcript:CDP04660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT4G33470) UniProtKB/TrEMBL;Acc:A0A178V1U6] MELQPIFSAPSSVGNACFRMRHQICTSMNHHMCKSDARNRVFLRLQSEYSFKISRGSIYCSNFSENNPTTPSIRETTDAKVIYSVAPAMGHNKESHPECNSRVPAIMDALEKMELTSKFRGSEVIELQSLRPASVDDIASVHAKAYVSGLEEVMDQASEKGLIFIDGSGPTYATPTTFQESLLAAGAGISLVDSVVAASKVSHNPPVGFALIRPPGHHAVPKGPMGFCVFGNVAIAARYAQRAHGLKRVFIIDFDVHHGNGTNDAFYDDPDIFFLSTHQDGSYPGTGKIDQVGRGAGEGATLNLPLPGGSGDIAMRTVFDEVIAPCAQRFKPDIILVSAGYDGHVLDPLASLQYTTGTYYMLASSIKQLAKDLCGGRCVFFLEGGYNLSSLSYSVADSFRAFLGEPSLAADFDDPAILYDEPSKMVKQAIQKIKHIHSL >CDP01893 pep chromosome:AUK_PRJEB4211_v1:7:7956360:7959421:-1 gene:GSCOC_T00037069001 transcript:CDP01893 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCPFPGKERTYRHSQNKFELNLDESIPNSITEGSNSYCRLFHPKLQVPRSELTCSILRRSISTGTRHLRLGWNINYLTWKNEKLNKELKGRRGQ >CDP04651 pep chromosome:AUK_PRJEB4211_v1:7:16807173:16817040:1 gene:GSCOC_T00018681001 transcript:CDP04651 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLRSPQAPPAPPPLPPPRSAADLFGDPVDSYPPWLNPTAFVDQEFDPESYISDLRTFVPFETLRSELQSHLSSLQRELVDLINRDYSDFVSLSTKLIDIDASVVRMRAPLLDIKEKILAFRGAVDASLSALKSRLKQRADANQTREVLELLLDTFHVVSKVEKLIKELPSIPVDWSNGYVNSASKDHLSNGISLQHIENSTSLRETQSMLLERIASEMNRLKFYITHAQNMPFIENMEKRIQSASLLVDTSLGHCFVDALEHRDANAIYNCLRAYAAIDNTRNAEEIFRSTVVAPFVEKIFDDSSGTGGESADELVQDYKIIKQYIEDDCKFLLDISTRENSGLHVFSFVANSILKEVLSAIQKRKPGAFSPGRPKEFLKNYKSSLDFLAHLEGYYRTRSSVAKFRAEAVYIEFMKQWNIGVYFTLRFQEIAGTLDSSLVVASLLPVESSSSDLKNSQALLLKQSITLLECLSSCWQDDVLVISCADKFLRLTLQLLSRYSNWLLTGLAARKSKSTGSSAGSEWAISAAADDFIYIIHDLHYLVNEVSGNYLGHVLGLLKSCSSEVLDLVKQSILQGGNSLKDLLPHIMSSISDTLVEKSVEDLRQLKGITATYRMTNKPLPTRHSPYVSGVLRPIKTFLEGERATAYLTEHIKNDLLQRTAFEITGHYNELATDLVTVARKTESSLQKIRLGAQRRAGASSDVSDHNVSDTDKICMQLFLDIQEYGRNLASLGVEAANIPAYRSLWQCVAPPDRQNSISF >CDP02601 pep chromosome:AUK_PRJEB4211_v1:7:5208994:5212886:1 gene:GSCOC_T00040040001 transcript:CDP02601 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLRVWKDLGVVDTIFEEEGEDPATTPSVSPLLSPSPTTPLHSLVEAWSLASGDEIDVVVHVEDSCFYLHKAPLRSRSGYMKRLLKEDSSELSLSPPLNITAETFSLVTEFCYGAHIVITPFNVAPLRIAAELLEMTEASGIPDDSLRQKTETYLRRAVAVNQEYATVVLRSAVSIFPEAETTARLVSRCIEALMSMHDGDDAGDMTCLNDVLKLGPDDFQLVVESLNQRLTTHDLLYRVLDLYLKGHIGKITEEQKTRMCNYIDCSILSSQVLMHAVQNPRMPLRFVVQAMFVEQLNTRRSIFSAADHRSRENSNEASATLGAILQRDAALRQVAQLKAAMSTTNSRIQDLEKELNGMRKRLQESQNSQNSLDSGRSQSFRFSSENKIERGQVGSVSSASFRIMTSKDHRGAGSSSFDQESCDRSQSAEKKLGRRLMDGLKSALRLSSLVSKKKFESSGAGKFGGDGENITEKCEIGKGDVSHKEGSSLSSLV >CDP08392 pep chromosome:AUK_PRJEB4211_v1:7:26530312:26530874:-1 gene:GSCOC_T00027219001 transcript:CDP08392 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPDMLKNAPMFKRLEARIRGKGSTLGVGRGRAVAMRARAQATGREAPPGKGVVPPVRR >CDP01796 pep chromosome:AUK_PRJEB4211_v1:7:8701259:8705008:-1 gene:GSCOC_T00036959001 transcript:CDP01796 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAMSYPQIEPANFDLIVIGTGLPESILAAAASSSGKTVLHLDPNPYYGSHFASLHLHDFTEFLQTHSLPSAPETQPSSSGEFNVLPLTTSPVYSSIETSVFSPEILQKSNQFNLDLAGPRVLFCADEMIDLFLKTEINHSMEFKSVDASFICEGEEGNLRNVPDSRSAIFKDKSLSFTEKNQLMRFFKLVQDHFGDGSGEESKKISEEDLKSPFVEFLSRKIGLSQKLKSIILYAISMAHYEQDNLEVCKDVLKTKDGIDLLILYHKSGGRFPNAPGAMIYPLYGQGELLQAFCRRAAVKGSIYVLRMPVVGLLADKEVGNYKGVKLLSGQELFSHKLILAPSFILPSGFAPSYLYPEPDGGHDFGLLDEKVKVVRGICITKSSLKLDVANCLVFFPPRSLFAEQMTSVRVFQLSSNVAACPSGMFVVYLSTLCKDVGQGKKMVNEAMNALFSIPISGKPEENSTDSHGENTVLRLKPSLLWSTVYIQELTMGAFGCVSSTFMPDGNLQYNNLLDATTKLFHKMYPNEEFFPEATSSIEVAEDGAFEQLDS >CDP02732 pep chromosome:AUK_PRJEB4211_v1:7:6128262:6128804:-1 gene:GSCOC_T00040209001 transcript:CDP02732 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCTLSGPASKQSRGIKIVFPGGEIRHFYEPMKAAEPMFETPGFFLVNTKSLQIGRRFSALNADEDLEIANVYVMFPMKRVNSVVTAADLGALFIQANSSAKRVSLKRVRILPECNAPAALEIVQPQPKTTEAAVPKLNLDDIEEFSTPEFRHRLSMCRSKKPLLETIAEEPVCSR >CDP02125 pep chromosome:AUK_PRJEB4211_v1:7:1567325:1568564:-1 gene:GSCOC_T00039415001 transcript:CDP02125 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE329 [Source:Projected from Arabidopsis thaliana (AT3G55250) UniProtKB/TrEMBL;Acc:A0A178VJJ9] MEESNTLSGFANKVIGSLPVIGLVARILSDEGGVGGDVIDFAEFRRRVGNKCSVNDSRAFYEFQERRGRVGDPLYVLLCCWLAAVGAGLLKSEEILEGVARLRVSNDIEFEEETFIAMMNDAREKRAKLRTAAPTIPMEIRAEKALEAIYVCCFGGDPIEEDDEKLLFIMLNAVFPSVGQPGIEKIVKDKIKRVAEGSEEIKFPPPKPLSKEAVQMQMKDLQFLKQMNETQ >CDP02512 pep chromosome:AUK_PRJEB4211_v1:7:4469772:4472317:1 gene:GSCOC_T00039913001 transcript:CDP02512 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGGLPFGGPRAAAIGPMLVDRCSPTYRCYTSSSPDHVSFIKEVAATQPPQHLSNLLRMLKVRGDSIISPGAKRGLIPLAIPLSENTSGSVTALLRWPTAPTGMEMPVIQVQKYGVWLLAKNVDQYIHRLLAEEDIKGPQERNDELFHVSVGAGVKLYQKGDFAASGLSSVDTYLMKKVGLFPDILERKIKHHFQKGDHVSALVTGEFYTKREHFPGFGRPFVVNSEVLLKVGRNLEAKDSARVALKSPWWTLGCNYHEVASIAQWDDEQIEYIKERVTKEGRQEDIKRGKEPAQVALDEAAFLLDLASVEGTWDDNLERIAECYQEAGLHEIAKFVLYRN >CDP02044 pep chromosome:AUK_PRJEB4211_v1:7:6838845:6840795:1 gene:GSCOC_T00037261001 transcript:CDP02044 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTENGIPETRSKLNPYACACAIVASMISIIFGYDTGVISGAMIFIKEEFDVKESQLEVVAGILNMCALVGSLCAGRTSDMIGRRYTIVIASLIFLLGSVVMGYSPSYGVLLAGRCTAGVGVGFALMIAPVYSAEISSPSYRGFLSSLPEVGISVGILLGYISNISLSGLPLHLNWRLMLGIAAVPSLCLAIGVLKMPESPRWLVMQGRVGDAKKILYKVSNDPEEAEYRLRDIKKAAGIDENCNDDIVKLPRTKATHGEGVWRELLLRPTPAVRWILIAAVGIHFFEHATGIEAVILYGPRIFKKAGVRAKKKLLLATVGVGLTKLTCITISTFMVDRVGRRKLLLASVGGMILALTGLGTCLTIVEHSGDRQIAWALVLSLVATYSYVMFFNLGLGPVTWVYSSEIFPLKLRAQGAGIGVAVNRFMNATVSMTFLSLSEALTIGGAFYLFAGVSVAAWLFFYFFCPETRGKALEDIEALFSPGRGNSPLHAAAEKPDKEVELGSGGAGHT >CDP01590 pep chromosome:AUK_PRJEB4211_v1:7:10426428:10429666:1 gene:GSCOC_T00036688001 transcript:CDP01590 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQIQSDVTMERLMLPPPPGTFQDREELIKHVREFGASQGYVVTIKKSRRDRRVILGCDRGGVYRNRRKMDESKRKRKACSRLINCPFEAIGKKDDDVWVLTIRNGEHNHEPLRDMSEHPYSRRFTEDEVRQIKQMTEAGVKPSQVLKALKQSNPELQSTPRHLYNLKAKIRQGKFSENNFKSWRPNMFMPLSTSADELEKHSYPLRVPNYIGGKFEESQASESIDVINPATQEVVSRVPLTTHEEFKAAVTAAKLAFPMWRNTPVTMRQRIMFKFQELIRRDIDKIVENIATEQGKTLNGAKGDVLRGLEVVEQSCGMATLQMGEFIPNATSGVDTYCIREPLGVCAGICPLNLPEMISLWMFPIAVTCGNTFILKPSVKNPGNSLSFFFFFFLYVNFKTCLLYF >CDP02690 pep chromosome:AUK_PRJEB4211_v1:7:5849478:5852502:-1 gene:GSCOC_T00040157001 transcript:CDP02690 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMALRRLSSVADKPIKRLCNGGSLYYMSSLPNEAVYDKEKSGVTWPKQLNAPLHVVDPEVTDIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPAKWGVNVQPLSGSPSNFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQAKFLFLAMMDLYLWLLIYIVHTA >CDP04575 pep chromosome:AUK_PRJEB4211_v1:7:18808213:18812413:-1 gene:GSCOC_T00018540001 transcript:CDP04575 gene_biotype:protein_coding transcript_biotype:protein_coding MALVISTSTSIGLRRLPPFPNRAHLPSHFLKPIIRPRIKLKNPTYLKIVCCQTGPNPTDSSSATTEKLDVQPVPENDGNATEKAASSSDEVKVEVEVGFPEYPTKSVNKRIAVASILAAVGLFLASRLDFGVSLKDLSALALPYEEALSNGKPTVVEFYADWCEVCRELAPDIYKIEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFSFLDNNGNEEGNIVGRLPRQYLLENVDALARGEASIPHARVVGQYSSAGSRKVHQVADPRSHG >CDP02193 pep chromosome:AUK_PRJEB4211_v1:7:2035769:2037931:1 gene:GSCOC_T00039511001 transcript:CDP02193 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLRSRHPPVMLLTRQFSRPCDYDFTILALYWLDRIRLAESVGKHFVSAAFFRLATECKAEPFRNTKVELKKYLGQHEYLSGEKEWKDLFISYGLMKEESNLCHNNKEEEAIEDSDHPGNTRDEQEKQIMEPAAGEPGNE >CDP12878 pep chromosome:AUK_PRJEB4211_v1:7:13392088:13395184:1 gene:GSCOC_T00037558001 transcript:CDP12878 gene_biotype:protein_coding transcript_biotype:protein_coding MILNLHLAPFATVILNRRTTFTGFKTRFIQLRQSPLQFSRERASIISFSPAPLKTSTTDNFKATSTEEEEEEAVKTTEPSATPVRIVALVGENSVSPLKSSPWLDVMLHTAKRLKWVDEAYDMLVFSDGLLKSTDKTKENLHLGLRNADILLIVAVTNQESIEWVQANSVNVPSILCFESSPTLRNKLGGSIVETKSNGYIFSKLTAISQSKRKTESTKIVQSVSEAWDRHNSDDIRFSLLVVINACIRPVPILKNLRAKGFSTLNCMVKNCGPQILNCLLDPNCRKALQCLNKCSPVDQVCNYRCIASYESPCLEEFSLCVLQKNNCLELDAKIPEKPSVPPMIKFRGDNLSHETAEDLFVGWLGKLDWSWRVIAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPIFRVRTLEGNLVWRRRKYRVRRGKVPATFHFSVLDNGVVSNEFWTIVDISDDFSWGLFHYHGAARVAGQSYTGAVLVSPDGMYPDETQRQRLFSALDRCDIKEWELFNVDNLSCPNPPLGLPEGSRLHSKIEPEDMKA >CDP01995 pep chromosome:AUK_PRJEB4211_v1:7:7151269:7156536:1 gene:GSCOC_T00037197001 transcript:CDP01995 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGRAIYTVGFWIRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNIFDKAPVVDKDAFVAPSASIVGDVQVGRSSSIWYGCVLRGDVNSISIGTGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVVVEKNAMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTDEEIAFISQSALNYSNLAQVHAAENAKGFDEIEFEKVLRKKFARKDEEYDSMLGVVRETPPELILPDNVLPDKAPKAS >CDP01470 pep chromosome:AUK_PRJEB4211_v1:7:11747521:11748948:-1 gene:GSCOC_T00036527001 transcript:CDP01470 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGNLPSSAKPPGGAAPYPGGADPSVALLNLSTVQARMDNLQKFLSDSVNSKTLIGQDHMDMVSTEITSAIRQIIVNGAALLACTQSTQATSLPQASDVEEKKVEKKMRFDTAKDTVVGNQLVDPKIEVAEDEESDDYEILELDAVELLAEHIHFCEICGKGFKRDANLRMHMRAHGNQFKTVEALAKPARCGESGGGAEKDMIRKTRFSCPYVGCNRNKQHKKFRPLKSAICVKNHFKRSHCPKMYSCNRCNKKSFSVVADLKSHLKHCGETKWKCSCGTSFSRKDKLFGHMALFEGHMPAVESSPGTKEMKMDMDMEMDMDGNGSPISDGFFDGLTLDGFGSLENYCFQDLLSPPVSAAANSSGMDSFFNF >CDP17955 pep chromosome:AUK_PRJEB4211_v1:7:23818902:23820811:-1 gene:GSCOC_T00007205001 transcript:CDP17955 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAVLIGCNYPGTKAELKGCINDVRRMYACLIDRYGFSEDDITILIDTDSSYSQPTGRNVRRALSDLIRSASPGDILFVHYSGHGTRLPAETGEDDDTGYDECIVPCDMNLITDDDMRDLVDRVPEGCRITIVSDSCHSGGLIDEAKEQIGESTKRSSSHAQRNEDEGGFGFRDFLHEKVRDALESRGIHGFRGHHRREEEAEEEEGVGEESGFRSRSLPLSTLIDILKQKTGKEDIDVGKLRPTLFDIFGEDASPKVKKFMKVIMSRLQEHGAGGESGGGFMGMVGSLAHQFLQQKLEENDEDYVKPALETHVGSKQEAYAGATKRELPDSGILISGCQTFQTSADATPQGRNSDSYGALSNAIQTIIAKSDGVITNQELVLKTRELLKRQGFTQQPGLYCSDHHVDAPFVC >CDP02086 pep chromosome:AUK_PRJEB4211_v1:7:1291200:1295651:-1 gene:GSCOC_T00039369001 transcript:CDP02086 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSSLYPVHLTTCLLIFILNLFSFTFSDPRIGESGLFCGLNRPPPNTSYIPLFVKEMEKISALVTDNNWGHYAMNQTRISIYALAQCYQDLSHTDCLLCYAASRTRLPRCLPAVSARIYLDGCFLRYDDYNFFNESTDPIRDTFNCSSSAGVATAEEAASFGKRVRELVDNVSTAAAMGGGYAVRDLNGVYGLAQCWKTLSKQGCKECLAKASRNVKGCLPSREGRGLNAGCYLRYSTQKFYTDRPQNGSSSSGASKTGKTVAIASSVTAFCMISAFAAYALYARFKKTKQERRNLGRISSTYNKSNLNFKYETLERATNYFDPKTKIGQGGAGSVHRGTLPDGKVIAVKRLFFNTRQWVDEFFNEVNLISGIQHKNLVKLLGCSIEGPESLLVYEFVPNMSLDQYLFDKKEVKVVLSWKERFQIIAGIAEGIAFLHGGAEIRIVHRDIKSSNVLLDENLTPKIADFGLARHFADDKTHLSTGIAGTLGYMAPEYLVKGQLTEKADVYSFGVLVLEIVCGRKNNAFVEESVSLLQTVWQFYKSDKLAEKVDPSLEGEFPAIEASNVLKIGLLCTQASASSRPSMDEVVEMLTDSNGQVPEPNQPPFINSSVLAPSSTGSYSSTSSLLRNAFNKFEASYTSTESSSLQGSRSHELRD >CDP01839 pep chromosome:AUK_PRJEB4211_v1:7:8317985:8321480:-1 gene:GSCOC_T00037012001 transcript:CDP01839 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSMRLISYAEEIIDGQAVYVSSNSSPVKAFNFEPAGHAFHSAALRLSGVLEDDDNDNDDEKSDTTSDKDQSYTQTSESYSTKGKKKSGSGEKQQDHYALLGLGHLRYLATEDQIRRSYREAALRHHPDKQAALLLAEETEAAKQAKKDEIENHFKAIQEAYEVLIDPTRRRIYDSTDEFDDEIPTDCAPQDFFKVFGPAFLRNGRWSVSQPIPSLGDETTPLKEVDNFYNFWYSFKSWREFPHADEFDLEQAESRDHRRWMERQNAKLSEKARKEESARIRALVDNAYKRDPRILRRKEEEKAEKQRKKEAKILAKKLQEEEAARIAEEERLRKEEEDKRAAEAALHQKKAREKEKKLLRKERTRLRTLLAPLLSCDLLEEDVEKLCMSLDMEHLRNLCNKIEENEDVQRVKIVKDALGHGHNLKDEKEDVKGALRNGAALEANGQFPLGTKEKIEKPWSKEEIELLRKGMQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDSAKAFDSFLEKRKPAPSIASPLTTREEVGGVSNGNASQKHAGKPDNLQDSSSQTANQQNSDVAVSAENGVSSTGDQDVWSAVQERALVQALKTFPKETNQRWERVAAAVPGKTVVQCKKKFALLKESFRNKKSAV >CDP16731 pep chromosome:AUK_PRJEB4211_v1:7:772198:774571:1 gene:GSCOC_T00019208001 transcript:CDP16731 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor FUS3 [Source:Projected from Arabidopsis thaliana (AT3G26790) UniProtKB/Swiss-Prot;Acc:Q9LW31] MRKCRTKAEVEFLQQLKIYSGGQERAREKFPNLQIPIWPHKIGWHVRLLLELFKSTFFCVEENERHTAEVIDPTRLRFLFEKELQRSDVGPLRRIVLPKRAAEEYLPVLESKEGMFITMDDMDGNHVWRLKFRFWPNNNSRMYLFENTGVFVKTHGLRHGDYIVLYQNLVDRNYVIQARKAYDSVVHDTSGHGAQGILSDYAASDVVRGPESEINASGYIPEMFPPVNEMGDMSFIYDTSFSNDSPLDYLGGPMTDFSRVGGHESNFGSIDNLSLDDFF >CDP01981 pep chromosome:AUK_PRJEB4211_v1:7:7259887:7262182:1 gene:GSCOC_T00037181001 transcript:CDP01981 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSSSNLAMAKTILSTVGSVAATAMVVRSVVREFVPAEMEDYLFSGLRRLFDRFSNQLTMVIDEFDGLVNNEIYEAAEVYLGPKVTPTTRRLKISKPEKQKNFNIAMEKVETRNFYNPRDMNSTLRSEVRSFELTFHKKNQDFVINTYLPYIVSEAESRKHEKKTIKLFTVDPEQMYDMNNAWLSVTLDHPSTFDTLAMDSEMKDMVLKDLERFAKRREFYRKVGKAWKRGYLLYGPPGTGKSSLIAAIANFLNFDIYDLELTEIRRNTDLRKLLVATANKSILVVEDIDCTIEFKDRLSNKAAAASSEPESHEQENKVTLSGFLNFIDGLWSSCGDERIIIFTTNHKEKLDPALLRPGRMDVHIHMSYCTPSGFRILAANYLEIKDHCLFKEIEELIAATEVTPAEVAEQLLKNDEADVILEGLIDFLHVKRKEKEEAETKKVEVEPKEEERNAEEEGEREQKDGTKQES >CDP01870 pep chromosome:AUK_PRJEB4211_v1:7:8107892:8110258:-1 gene:GSCOC_T00037045001 transcript:CDP01870 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSTRSRRLPPVQQSESPSRAKWTSALTRILVDLLVEQVRQGNKRNKSFDKKAWECVCEDFREKTGLAWDNEQLKSRYAALRKQYVIVKSLLDHGDFKWDPATGVVMATDEVWDAYIKEHPDSEPVRSSGCPMYKQLCTIFSLPGTRGKYNRSNGSYEHGGTLSTSDPQALNVYQEDVSNSESEQGSETADDPENLQSTVGSRVIGQKRGRKGIDGVIAGAIMEMAAASKLRAAALKKFNDRYSITDCVRALDDLQGVSDQIYYAALDLFNNRIARETFLSLKVDKRLTWLSAKCLGPTNPQAA >CDP02043 pep chromosome:AUK_PRJEB4211_v1:7:6844727:6846399:1 gene:GSCOC_T00037259001 transcript:CDP02043 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNFDREDGLETVLEVPIPEEMFAAMGNNIALRWENMATWMKAQTSDKWSSPIIAGRYNELSFLLFIMGSPLIPLQVQLDQSIHRPVRHASIEASTAKYIVQQYIAATGGQQALNSVNSMCALGQVKISSSEFHQGDDSVKVRNTEEAGGFVLWQKNPDLWCLELLISGCKVISGSNGKVSWRESSNQQRPIAKGPPRPLRRFLQGLDPRSAAILFIDAVCIGEKIINDEDCFILKLDTNQSTLEAQSGPNYEIIHHTLWGYFSQRSGLLVKFEDSRLLTVKTSRDDGEGVFWETSTESVIEDYKYVEGVNIAHSGRTSMTVFRYGEQSANHKRELQETWKIEEVDFNVWGLNSEFFMPPSEFQRKK >CDP15711 pep chromosome:AUK_PRJEB4211_v1:7:23526387:23526575:-1 gene:GSCOC_T00015719001 transcript:CDP15711 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLKLSTADYIRNFDPSSHTFPPREQLGQQYCSEVNS >CDP02712 pep chromosome:AUK_PRJEB4211_v1:7:6015210:6016243:1 gene:GSCOC_T00040181001 transcript:CDP02712 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAPIPICVQCGTAGNPCRCKVVGPTIGFLAFAAAALVEWPVGALVYCFRHMKGRRIMAHPATVVFPSVSNSIPI >CDP12911 pep chromosome:AUK_PRJEB4211_v1:7:13120522:13122694:1 gene:GSCOC_T00037602001 transcript:CDP12911 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDNQQEKIKSLVKNLYRKSTQNFARVEIKSQIMKLTINNIMTMFWKNDTMELKWKIIRSPFYFVILLGKCLSCFISIWRISCQFSGGQSLKYCCLCKNWSLNRKEKKMIKRSIYVTHYLQVVSIVTNLKAKILRAICNSCKCQTTNSVIVAKNSCQNLLLKRARAELDRTIGQNRLMEEQDLPNLPYLRSIIYESQRLYPAAPLLVLCESSSDSTIGNYNMPSKTLLMVNAWAIHRDPQLWDDPESFNVERFLGLENDASKYQFIPFGLGGRICLGAGLTNRMVGLAVGTLVQCFDWERIDNELVDLSEAAGITVPKALPLIRGNLQALQIHG >CDP04671 pep chromosome:AUK_PRJEB4211_v1:7:16248707:16250169:1 gene:GSCOC_T00018720001 transcript:CDP04671 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRETAKPDPPVESEEEEESGEEEEEGSSEESESQPENEPSQKKTASPPAPATKKPESATPKTQPTSAAAAASSSDESEDEESGSDTDSDTETPSEKPDPMGS >CDP02485 pep chromosome:AUK_PRJEB4211_v1:7:4194084:4200252:-1 gene:GSCOC_T00039876001 transcript:CDP02485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MAVVVGSSIQSRILCSFLGDSSFVRPSPASALHRLFRYNPGGKHVSMQLSRAFSGLANLLFYNRRNIEEVPNSKRKCLRPGKVSPRRPVPDHILQPPYANSQKSPGISGGPEVHDELGIEKMRASGRLAAQVLQHAGTLVKPGITTDEIDQAVHNMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRELEDGDIVNIDVTVYLNGYHGDTSATFFVGDVAEEARKLVQVTKECLDKAISICAPGVEFNKIGKTIHNLADEHCYGVVEQFVGHGVGRVFHSDPVILHYRNNGRGRMLLNQTFTIEPMLTIGSINPIMWDDNWTVVTEDGSLSAQFEHTILITENGAETLTQC >CDP04629 pep chromosome:AUK_PRJEB4211_v1:7:17209991:17213583:-1 gene:GSCOC_T00018643001 transcript:CDP04629 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSLPLHPVLAYNQENPVKGIHLLTTSFHPCNQQMASITAYTTASSVVCAALMPKASIVRPDSALGKADFKFPARRNKRRNLQGMGSRVCCFLGVSPPSIELQPPNALTSDNPFCGQKVVDTVSSKNAEMQNATEALTKRLVIIDLDPATAKAAIAILGPFLSAFSFLFILRIIMSWYPKLPVEKFPYFIAYAPTEPLLIPTRRLIPPLGGVDVTPVVWFGLISFLNEILVGPQGLLVLLSQQIS >CDP01845 pep chromosome:AUK_PRJEB4211_v1:7:8275917:8278085:-1 gene:GSCOC_T00037018001 transcript:CDP01845 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYAYPSHSLPSSSSFSFSFRNLADKVKQYFSFAVSAIIGNVFSAIFTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLLLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAMGAVETTFEEVPNIFDTGGAKGLPGDSVEKIPKIVITDDNNVDDSGERVSCSVCLQDFQIGETVRSLPHCHHMFHLPCIDTWLVRHGSCPLCRRDL >CDP04749 pep chromosome:AUK_PRJEB4211_v1:7:15144503:15145915:-1 gene:GSCOC_T00018833001 transcript:CDP04749 gene_biotype:protein_coding transcript_biotype:protein_coding MRIADYLARKVEKKRAKVAREWRSKYSVDGSSKRFGDSHINEVFVWRKKIERDVMEGRIEANMLSSKAERKRQIERFGEVEKLKKRREERDIAKRKREEEMALLARERARSEVQEWEKKEEEFLLAQRKIRTEKRFCEGRPKPIDILIRLIDSCSNDDDLEKDKNAEPSMVAFEGLNVEELEKLREETKLQLCLDRKTKMHVEYWETNKKPLEVGDGSTDGQSSSELDEEALGSYPPELMIYDDDDENGEAIDTKYFTRKPKYFNLVHTGYRWNKYNQTHYDHDNPPPKMVVGYKFNIFYPDLLDKARAPTYLIEKDADRNDTCIVRFRAGPPYQDIAFRIANKEWEYSRKKGFKCNFEGGILRLYFNIKSYSYRR >CDP01798 pep chromosome:AUK_PRJEB4211_v1:7:8678702:8683890:-1 gene:GSCOC_T00036962001 transcript:CDP01798 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSKSVSTSPSTINSSNLTKPSSVGTSNYYSNGGGLSATSSSAGQSRFSAAISEEPLSPGEILPTPNLKMYSFADLKSATRNFRSDTVLGVGGFGTVFKGWVDEKTLAPAKFGSGMIVAIKKLNPESMQGFEEWQSEVNFLGRLSHPNLVKLLGYCWEDKELLLVYEFMQRGSLENHLFRRSSAVEPLSWDTRLKIAIGAARGLAFLHSSEKQVIYRDFKASNILLDGNYNAKLADFGLAKLGPSGGMSHVTTRVMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEMLTGLRALDTRRPGGQQNLVDYAKPLISHKRKLKTIMDARIEGQYSSKAALQAAQLALRCLEAEPKKRPSMKEVIEILEQIEAIKEKPKESKSGSVRSNSSHSSFSHRDLLSSHRRSPYHPGHHGPGFGAGS >CDP12869 pep chromosome:AUK_PRJEB4211_v1:7:13483536:13507464:-1 gene:GSCOC_T00037546001 transcript:CDP12869 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDGVGDAGPAILQLHTWRPSEKRLMRNLSEFRNGSISPSRELLLLLTYQCEALLLPLVKEQSRYSRDTEISRCEDLKNPNLVVSGLDSRENSLGTSAALDVGLSNDPLMELPGPSGCDYISDVHSLAWGLCGDTNKQHEETSFRELLFVSGNHGVTVHAFSNSCKTDEVLRPMEEGETLQGGWVDWGSSLDVLQNMEGVEDSRLADEASGAASSESSSSERSGLCTYMEAPKDDISSLVAPRRWLRTFLTKVQTVKSDGHLCTRLPEKSSFPSSANIISFSIFSDDLSILEFVSHKSPVLPQKESCTVSVQDSGNRECRNSDAGSTSTLQGASVPSDSAIGGIDSCCKILRVFSSNSYCLVGFAIEIIRRNNADVSGGKESNLVIAVARLVSWGMQWVCSVKLDEGPGVVEWTDFKLSPGFLICLRKSGLISFYGPMSGEHIASLNLLNICRLHPSLSSLTEEGLASRHNGCNMDHKEDAVLSHQTGNFAGTRRFRSLHIVSHSALLGVVDEFGVVYVIHVNDHIPGMLKSFEKPLPDYPWLGMGILAGWEVASAEIGCQRDISDLLGCQSVSSSLDINKSFMSGVKAKNHDTSATIERDTKKQRDKSNLYKSSQHAASQVIDKKKLVGSDLPSSFMRQIFLPTDRSNEDDIVCFSPFGITRLVQRFNCRENSKFIVHSNLLLDFAINDEREYKRQGWEASIKEAIGCVFEGCLYLVTENGLSVVLPSLLASSSFFPLEAIGYRHLSPATGTKLEIDERLGIERSKNPWPPWKVEVLDRVLLYEGPVEADQLCQANGWDLKTSRIRRLQLALDYLKFGEIENSLEMLAVIHLAEEGILRLIFAAVYLMLDKVSNDNEISAASRLLALASSFGIKMIRNYGLLHQQKELLDQNVRDVQEFPILPAVGDDGSEHLRRMSDMAHYLEIIRDLQMRLTTKFRRLGHGMVDGPNTLQVMDDLVEDESKISVPSGDASLLAAASHHESVLPASEYAENLALIPMDTLNNETSLVSEGSGLGKRSFTPENPKDMIARWELDNLDLKTIVRDALVSGRLPLAVLKLHLLRSREFFTEQDTHDTFNEVRDVGRAIVYELLLKGETSLAVATLQKLGEDIESSLRQLLFGTLRRSLRLRLAEEMKLYGYLGLREWNILERISLIERVYPCSSFLNSFSTRWNELKRESCRNTSEGISFRVLHPLHKDLIIACGDVDGAVFGSWISINGHPVPPEVDDDSFHAGYWTAAAVWSDAWDQITIDRVVLDQPFLMGVNTLWESQLEYYMGHNDWMQVSMLLEVIPSYALSGGALSIRLDSVHSDSVVEYGREIPDYANYVCSLDELDGACMNVPNIKIFRFPTNDMCSMWLRMLMEQQLAKKFVFLKDYWEGTAEIVPILARSGFIFDLHGSSTLEESGEGLTDLVLSVTDATFHPGAILALHKLMVQFCAQCNLLNLLDIYLDHHKLAVDQDSLSLVLDAAGDNQWAKLLLFQRVKGKEYEASFCNARAVASHNFLPGNNIGVLDVDDIMRTVDDIAEGAGEMAALATLMYAPVPIQECLSSGSINRHFSSGQCTLENLRPALQCFPTLWRTLLAACFGQDPSCNMFGSKLQVSGYSDLLHYLNWRENILFSSGRDTSLLQMLPCWFPKGVRRLIQLYIQGPLGWQSMADLPIGESYWPRDLDDPNVSAMSWEAAIQKHIEEQLCASSSEEAGLGLEHHLHRGRALAAFNHLLALRVQKLNTEKRGSSGTSVPGQTNVQSDLHALLAPISQNEESLLSTVWITCGLFCLCKKVIAFLSGHFFILCLVNAYILKFTLPLSLQVVVPLAILHFEDSVLVASCALLLELCGLSASILQIDVGALRRISSFYKSGDHGNQTVELSPKGSAVHTKSIEGDVTESLARALADDYLRNESPICVKQRDHLQNNVTGKLPTRALMMVLQHLEKASLPVFGGGMTCGSWLVTGSGDGTELRSQQKAASEKWNLVTVFCQMHQMPLSTKYLACLARDNDWVGFLSEAQVGGYPLETVIQVASKEFSDPRLKIHILTVLKSMQSRKRVFHSPNSDIAGQQFEVFISDENLYASVELFGIIAECEKFNNPGEALLLKAKNLSWSILAMIAACFPDVSPLSCLAIWLEITAARETSAIKVNDIASQIANNVGAAVEATNSLPVAARAHTFHYNRKSQKRRRLIEPMLENSLSLTAFDVSTTSGGAKFEEIMPEEERGQKIDEDVTVSSNSDEVVNSLLRMVAVLCEQHLFLPLLKAFEIFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPSQTQTNFAREGPTGSSWISSIAVKAADAILSMCPSPYEKRCLLQLLAATDFGDDGSAATYYRRLYWKINLAEPSLRKDDGLHLGNETLDDASLLAALIKNGCWEQARNWAKQLEASGGSWKSVIHHVTEMQAESMVAEWKEFLWDVPEERVALWGHCQTLFIRYSFPASQAGLFFLKHAEAAEKDLPARELHGLLLLSLQWLSGTITRSNQACPLNLLREIETKVWLLAVESEAQVKSEGDFPQSNCGRELGIGKSSSIMDHTADIITKIDNHLNSMKMKSVDRSDFRENNQTQLKTHQALVDDFMASTGGNTKAKRRAKGFVQSRRPLNDAVDKSNESESVFFSQSHRDDSQLVDDNFKFEASFSRWEEGVGPAELERAVLSLLEFGQIAAARQLQHKLSPGQIPYEFVVLDAALKLAALSTPNNKMSVLLLDDEVRSVIQSNNFVANHHVIEPLQVLEGLAAILAEGRGRGVCKRIVAVVKAGNVLGLPFSEAFDKQPIELFQLLTLKAQDSFEEANLLVQTHSMPASSIAHVLAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFTCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANTGTAEAVRGFRMAVLTSLQQFNPHDLDAFAMVYNHFDMKHETAALLESRAEQSSHQWFLRYDKDQTDDLLESMRYFIEAAEVHSSIDAGNKTRKACAQASLLSLQIRMPDLQWLNLSETNARRALVEQSRFQEALVVAEAYGLNQPSEWALVLWNQMLKPELTEQFMAEFVAVLPLQPSMLVELARFYRAEVAARWDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLRLQLATTATGFIDVIDSCNNALDKVPENAGPLVLRKGHGGAYLPLM >CDP02823 pep chromosome:AUK_PRJEB4211_v1:7:6802751:6806416:-1 gene:GSCOC_T00040326001 transcript:CDP02823 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAALNGHYDSDVTGAVGTVTLDGGDVKLKASITDACFVNGPSLNGLTLSVEKPASFTIDYNFPNKDVRFEFMNTVRVMERPLNLTYTHWKGENRTALDGTFLIDSANKVSACYGFHSDNDCMLKYSYTHDGLTTVEPSYDFGKNAWDFAVSRRIYGNDVVRASYRSSTKVLGLDWTRTSSLNGSFKISAAVNLAEESKIPTLSAESTLNFDL >CDP16618 pep chromosome:AUK_PRJEB4211_v1:7:27923:30683:1 gene:GSCOC_T00019068001 transcript:CDP16618 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGEVGKVEGTKESSKEGENLLGPPSFKELQNGRFKCVETGHELPAHARDSYAQTKHCRLGLIDAALSSNKPPLNMFRQDPLSRSKLICKLTGFTINKSEEHIWKHINGKRFLNMLEKMELGHSITNGAMEKQDDSQKAEKKSKVKDDGLKKKEENRDKTVVEVISEARNVSEKDSESEEEEDFWMPPLGERWDNDDGGDRWASDPESGPENDDADGEGTEDDKQEAVELSKRTKRMSLEIGPSSFASRKKKNKIGDA >CDP11587 pep chromosome:AUK_PRJEB4211_v1:7:20683041:20685164:1 gene:GSCOC_T00033925001 transcript:CDP11587 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTGKGIVARHWTGEILRAKGVVERKKGEVLMEETLTIRLVLQMVCEAGWRKIAILSDCRMTTDYIKGNNVQDGILATILEDIEDLILDFDYCTISWVPRMCDVNHEKNFLIVHPNILVSGTRVAASFSCSRRTILDERLKSNAYATAALDGTLLYQIFQAGLISESLSREFLEQYTTIVFQKNLDTFYACGGRNFYCYIDICNFYCYIAFL >CDP02220 pep chromosome:AUK_PRJEB4211_v1:7:2227807:2235522:-1 gene:GSCOC_T00039548001 transcript:CDP02220 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSEAIAAMPEAEKKKEQSLPFYQLFSFADKYDWLLMITGSLGAVVHGSSMPVFFLLFGEMVNGFGKNQTDLHKMIHEVAKYALYFIYLGLIVCFSSYAEIACWMHSGERQAGALRRKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIMKQKPTILQDPSDGKCLAEVNGNIEFKNVTFSYPSRPDVMIFRDFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQILLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGKPEATMAEVEAAATAANAHSFVTLLPNGYNTQVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVIQQGQVVETGTHEELVAKAGAYASLIRFQEMVGNRDFSNPSTRRSRSTRLSHSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMVSNAETDRKNPAPHGYFCRLLKLNAPEWPYSIMGAVGSILSGFIGPTFAIVMGNMIEVFYYTNPASMERKTKEYVFIYIGAGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEEHNSNLLAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILATFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQEKIISLFSQELRVPQLRSLKRSQISGLLFGLSQLALFASEALILWYGSHLVGKGVSTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAVGSVFMILDRSTRIDPDDPDAEPVESIRGEIELRHVDFAYPSRPDVNVFKDLNLRIRAGQSQALVGASGSGKSSVIALIERFYDPTFGKVMIDGKDIKRLNLKSLRLKIGLVQQEPALFAASIFDNIAYGKDGATESEVIEAARAANMHAFVSGLPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRGVDSIGVVQDGRIVEQGSHGELISRPDGAYSRLLQLQHNHN >CDP12864 pep chromosome:AUK_PRJEB4211_v1:7:13577551:13582278:1 gene:GSCOC_T00037540001 transcript:CDP12864 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVQAKGGSFTPTRNLDRLKNENLYVRGGHSGEQKVIVVGSKVVHIKDLKRDSRPQDNVKNVAVGINGSGEDRKISREGEKEGDHGGNVSRRISIKQIAGDDFVEGWPKWLVDNIPRDALAGLDPKSADSYDKLAKVGQGTYSNVYKALDRDTGKIVALKKIRFNTSEPESVKFMAREIIILRKLDHPNVIKLEGLATSRMQYSLYLVFDFMLSDLTRIISRPEGRLTEPQVKSYMQQLLSGLQHCHERGILHRDIKASNLLIDKNGMLKIADFGLANFYQPKPKRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLGEMFEGRPIMPGRNEIEQLHKIFKLCGSPPEDYWKKVKPPTTFRPPPHYKPCFRESFPNLPDSATDLLATLLSLDPAYRGTATRALESEFFNTSPLACDLSGLPVLKIKEDDLSQYTDRIRIRASKAKQVSRRNREGHKEKVSFPETVKEDYGSSKEPAEISLHSQEIMNSASSSSSSAKQGRTHERSPPSPRPAFHSRQGRSAKTEAHPNALKNIQNYPLLLASLTEAASRFEQNRLSLNHRSISTTDFRNLDTEKISKLFGLEDQ >CDP16626 pep chromosome:AUK_PRJEB4211_v1:7:79754:83237:1 gene:GSCOC_T00019077001 transcript:CDP16626 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKFAFFPPNPPSYKLLTDDVTGLLLLTPFPHRENVEVLKLPTRKGTEIVAIYVRHPMATSTLLYSHGNAADLGQMYELFIELSIHLRVNLLGYDYSGYGQSSGKPSEQHTYADIEAAYKCLEESYGTKQEDVILYGQSVGSGPTLDLAARLPRLRAVVLHSPILSGLRVMYPVKRSYWFDIYKNIDKIPLVSCPVLIIHGTADEVVDFSHGKQLWELCKEKYEPLWIKGGNHCDLELYPEYIRHLKKFVATVERSPSQRISSRKSTDQFEPSRKSTDVFEASRKSTDRREKPRHSTDRPEKLKNQSNNADKLEKLRISLDQLERSRRSVDCIEKSRKSIDHQLERGRKSVDRLDRIRTG >CDP04694 pep chromosome:AUK_PRJEB4211_v1:7:15746840:15749323:1 gene:GSCOC_T00018754001 transcript:CDP04694 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQEMGQHSGQPQEKSKSVHDSATGTTINKLVHQTASDQNASQQMSHPLGKTSSQMVEAQNHFQQVLYPTRNSTSVGQEQANAGCTSIFDLVEQEDDRLHKKQRYLPMSFQPSHTSVLKEQEGLTGKAPVKKDSGTLVSKPGMCALRLANYTRQMQLRPQDNNIEFWRSFVAEFFLPDVKKRLCVSSYGRKPDRVVDKGEWICNLCKVMPGHGFDITTEILPRLFKVKYENGAIKEHYHLEMPIEHKYSSNQTVLQCEKVTHETVFQQLRVYHVGRLRVAFSPDLKICSWDFCVQHHDELIPRKELLPLVIIISFSSVAISCYKSILFKLYGKVE >CDP01471 pep chromosome:AUK_PRJEB4211_v1:7:11741306:11741554:-1 gene:GSCOC_T00036528001 transcript:CDP01471 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEALAMAGADYIKCSISLEVWEGLGTVETPAYLLAEEDLQNPVIKSKVDDLVSDNENFAAQILAVAKAVVSTETKASEMQ >CDP02521 pep chromosome:AUK_PRJEB4211_v1:7:4555553:4557064:1 gene:GSCOC_T00039925001 transcript:CDP02521 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNLSSSTKLILLHPYIQKQGSSNRLWLLAFISFFTLAFLLTLIYTRESITATTAAAASATTGSSINLPLSSSVVRALVHYASNSNNTDHMSHTDIKQISDVLQKCRSPCNFLVFGLTPETLLWKALNHNGRTVFIDENRYYAAYIEEKYPEVEAYDVQYTTKITEANELIAAVKEQVMNECRPVQNLLFSECKLGLNDLPNQFYEVDWDVILVDGPRGYWPEAPGRMSAIFTAGVLARSKKGGNTKTHIFVHDFNQKVDRTTSYEYLCKENLVKSKDMLGHFVLERADANVFQFCRSNYTSSPASSSSSSSSSW >CDP01504 pep chromosome:AUK_PRJEB4211_v1:7:11382544:11385373:1 gene:GSCOC_T00036578001 transcript:CDP01504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase SRK2F [Source:Projected from Arabidopsis thaliana (AT4G40010) UniProtKB/Swiss-Prot;Acc:Q9SMQ4] MDRYEILKDIGSGNFGVAKLVKDIWTGELFAVKYIERGQKIDEHVQREIMNHRSLNHPNIVRFKEVFLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSVQICHRDLKLENTLLDGSPEPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVFCRKKYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTITKILSVQYSIPDYVRVSRDCKHLLSRIFVADPEKRITIPEIKKHPWFLKSLTVEFVEGEQASIQVNRAANYSQSNEEVLAIIQEARKSTEGHKVGENFIGGSMDLDDIDADCDIDDIETSGEFVCAL >CDP16752 pep chromosome:AUK_PRJEB4211_v1:7:910706:914064:1 gene:GSCOC_T00019236001 transcript:CDP16752 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEDHPTTSRRMTSSSGSVLCVCGAGVCLLLTSKSQANPGRSYYRCPASNRGCGFFRWLDQVRPDQLIFNIPQCGCGAGICRLDIKTTTGPNAGRKCFVCPIKKGQGACNFFMWLDAHSNAATAFQCSRSRRPTSSLLKRDKIILEESKGSDDDPLRKHCKRLRHGDSKSNGCPSGSSTTCQRTPLASSGSYLTEKDGQDSSPTIEMAVHQKKPDFGRPIFFENTLTASKSFLFSRHQSSVLPINLEGLQETSWIVTAIRQNLCLEIKGWWGRLAFPPSKGLKEQSAEPFFCCAFPSFDPIFVPPNVNLLSDDVSVAPVASPLGASRQSSLTLSEGAQLLGTEENSIMRKLVSEAFVKAAEQLQSDLLTLLRSLDIQDHEFMVREADATFDALDQLQIEHKKYSEQVKEYIRCASLLAKINQSVISDHSCRMLADRYSHEKLKLDEIDDAYARDVAALSVSEQRAEYFAIEISHLKDKLFQTEAGLSCCMAESTALKARILGISEDKEIIERSFEMTCKQLEDARKMRKQREAEEHAAKAAFQNAKTLIWGF >CDP02386 pep chromosome:AUK_PRJEB4211_v1:7:3458379:3460787:1 gene:GSCOC_T00039752001 transcript:CDP02386 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQASELPLAKPQARKKAPKIAPLLIALTVLFTIIPLYYPSLRYNSNPKKINEASSFVPHSEITLEQNNDQDHQEDESADTETRTTTTGRTKPPEIDLHSSTESGLAVAESLDKRADQTSRGQEQVIKGKNPARPGGNKERPKRRQHDFGGSAKERGMPARRIPSLSGGDGGLVNKSQELIEKKGEGYCNLFSGEWVPNPEGPYYTNETCWAIQEHQNCMKFGKPDTGFLKWRWKPDGCELPIFDPQQFLEIVRGKSIAFVGDSVARNHMQSLICLLSRVVYPEDVSITTDENKRWVYKDYNFNISMFWAPYLVRSEKTVPNDVTRPFRLYLDEFDEDWTSNIETYDYVIISAGHWFFRPTLFYVDRRLIGCLYCPQENVTHLKSSFSYRRAFRTAFRALNSLDNFKGVTFLRTFAPSHFEGGQWDKGGDCVRTRPFKRSERALDDYNLEMYTIQLEELRIAQKEGRRKGLKFRLFDATQSMLLRPDGHPSKYGHWPNHDVAMANDCVHWCLPGPIDSWNDFLLELLKREETEISFS >CDP02387 pep chromosome:AUK_PRJEB4211_v1:7:3462516:3464722:1 gene:GSCOC_T00039753001 transcript:CDP02387 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAAFVKSNSVQRAPVILPVAVTVTALVILAILPIYYPLRRYPSQAVVKIAASPPYHSAQKLIKAVEDEEKCDIFTGEWIPNPNAPYYTNATCWAIHEHQNCMKYGRPDDGFMKWKWKPEGCDLPIFNPYQFLDIVRGKSMAFVGDSIGRNHMQSLICLLSRVEFPIDDSATDDDYFRRWKYPSYNFTLAAFWSPFLVKFQKPGAPDLFDLYLDESDEKWSTDIEGFDYVILNAGQWYFRPSMYYEKRRLVGCRFCQLGNIIDLPMTYGYRRALRTAFRAINSLKNYRGITFLRTYAPSHFENGEWNKGGNCLRKRPFWSNETALEANNLEVYLTQMEEFRAAEREGKKKGLRYRLLDVTQAMLLRPDGHPSRYGHWPHENVTLYNDCVHWCLPGPIDSWSDFLLHMLKMEGRRSHEEKLQPQTQ >CDP16732 pep chromosome:AUK_PRJEB4211_v1:7:774969:778970:-1 gene:GSCOC_T00019209001 transcript:CDP16732 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFPDRSPRQSLIPTFLYSSSHLTSKTLGGFDSLPKDVVSPSSSSPKTAFAIPAPSEPGKIELYSSQYYAACTVGGILSCGLTHTAVTPLDLVKCNMQIDPSKYKSISSGFGVLLREQGIRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYASKYKTLIYLAGSASAEFIADIALCPFEAVKVRVQTQPGFARGLSDGLPKFVKSEGALGLYKGLVPLWGRQIPYTMMKFASFETIVEMIYKYGIPTPKNECSKTLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGVWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGVAPAAVPATELAKV >CDP04745 pep chromosome:AUK_PRJEB4211_v1:7:15178258:15189864:1 gene:GSCOC_T00018829001 transcript:CDP04745 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVAGDDIIGRAAIGIVPEELTEENYEEWKRCLEHYLVGHGLWGVVSGEEQDPMNDERQEYGEEKEQEHEEWKKKNALALHAIQLSCGPGTYVKLKEAHTSAEVAWKHLVEKLKPHKILGEGDPEDESSHVEEEGPKEYLHYAPLYIAIEKGDLHLTKSLLDQDPDAVRAIISSHGETALHLAILNGHMKIAKELLRRMEQADLEMVNDYGCTALTLAAISGEKKLARAIVNKNDSLLSRENELDDGQLPVIVAALYGQKHMVNYLYSVTPKEQLHPEVGKNGATLLNSLITAEIYDVASMLLQRYPKLGVTPDHNGDYALKLLAHKPSAFPSGAKLVFWKRWIYSCLMEHSPWGSPTDSAGDEIARMKKASMIGDHSIEIHHSSDGEKNLTGNQGLWITSSVLKVLHGLGWSILRCLGLCHDRKLIHKDAIQLLTCIFKEIETLSMKELEEMDIDKILYDAIEHGIVEFVEKTFKFRTGIIYKRDKKGRTIFSHAIVLRQEKIYSLIYALGTRKSILARRHDFFQNNFLHLAAKLSPSSQLDRVSGAALQMQRELQWFKEVESIVQPRMKEELNASNKTPSALFSEEHKVLAKEGERWMKNTAGSSMIVGTLIAAVMFTTAFTVPGGNDSKTGLPVMLETQSKVFLIFMASNALSMFTSSTSILMFLGILTARYAEGDFLKSLPTKLIFGITCLFVSIVTMMASFGTALYLMLIEQVAWISYPIIAFSVIPIALYSLLQFPLLVEMIGRTYGHGIFDKPNKKLDSFEI >CDP01779 pep chromosome:AUK_PRJEB4211_v1:7:8826713:8829484:-1 gene:GSCOC_T00036937001 transcript:CDP01779 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILDGITCRRNKTDTVISAPCTTSLMCLNSFNRAIYKRVFFYIQSKPISTTPVPTHQQIAHLILEQKSANEAIKTFRWASKVPKFTHSQSTYRALIHKLCIFRRFDTVKQLLDEMPGSIGSPPDEDIFITVVRGLGRARMIRDVIRVLELVSKFEKTPSLKLYNSILDVLVKEDIDIARQFYRKKMMGSGVQGDDYTYGILMKGLCLTNRIVDGFKLLQVMKTRGIRPNVVVYNTLIHALCKNGKVGRARSLMSDMEMPNDVTFNTLISAYCREENLVQALVVLEKSFSSGFVPDVVTVTKVVETLCNAGRAFDAVEILERVEGMGGTLDIVAYNTLIKGFCRLGKVKVGRHLMKEMEMKGFLPNADTYNALISGLCDSGMLDSGLDMFDEMKRVGIDWNFVTFDTLIHGLCSGEKMEDGFKVLELMEDSKWGSGGQISPYNSIIYGLCKENRFDEALEFLMKMEKLFPRAVSRSSKILRLCENGSTDEAKKIYDQMTEEGGAPSALVYAKMIQVFCQLERIKEALELLADMVGRGYSPIVSTVNALISGLCRQGKVGYAVKLMEDMIARGCLPDAGSYNLMVNTCCSKEDFQTALVLFLQMLEKGIIPDYDSWNALVLCLCHKTVWSEGRNMFNVKNQLDCIMKM >CDP01408 pep chromosome:AUK_PRJEB4211_v1:7:12472247:12484870:-1 gene:GSCOC_T00036449001 transcript:CDP01408 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVVNFAKLAATLAWRFAATNGSSLPTNDLERNGDVKPQDSEPPTPHSLMKMGSRDRSNMEDPDGTLASVAQCIEQLRQNSSSIQEKEYSLRQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSLGVKMQAANVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQIAAAKTIHAVSQGGAKDHVGSKIFSTEGVVPVLWEQLAKGLKAGNVVDDLLTGALRNLSSSTERFWTATIEVGGVDILVKLLKTGQSSTQANVCFLLACMMMEDASICSGVLAAEATKQLLKLLGPGNDPSVRAEAAAALKSLSAQCKEARKDIANCNGIPALINATIAPSKEFMQGEFAQALQENAMCALANISGGLSYVISSLGQSLESCTSPAQVADTLGALASALMIYDSKAETARASDPLEVEQTLVKQFKPNLPFLVKERTIEALASLYGNTVLSSKLANSDAKRLLVGLITMATNEVQDELIKSLLILCKNEGSLWYALQGREGIQLLISLLGLSSEQQQECSVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSSHGKEIAAKTLNHLIHKSDTATISQLTALLISDLPESKVYVLDALRSLLSVAPINDMLREGSAANDAIETMIKILGSTKEETQANSASALAGIFELRKDLRESNIAIKTLLSAMKLLNEESENILVESSRCLAAVFLSIKENRDVAAVARDALPSLVVLANSSNLQVAEQAVCALANLLLDREVSEKAVPEEIILPATRILRDGRMGGKTHAAAAIARLLHSREVDFSLTDCVNRAGTLLALVSFLESADSCSPAMSEALDALACLSRSEGANGHIKPAWVVLAELPDSITPIVLCIADATPLLQDKAIEILSLLCRAQPIVLGNAVASASGCISAVAERVISTSAARVKIGGAALLVCTAKVNHQKVVEDLNASTLCTRLVQSLVGMLSSVQFCHLENQRGKGAISICRNIKEEASKGEVEKNTTAIYGVNIAIWLLSALASRDEKSKIETMEAGAVEILTEKISQSLSRYSQNDFSEDSSIWICALMLAVLFQDRDIIRSNATMKAIPVLANFLKSEEPANRYFAAQVMASLVCNGSRGTLLSVANSGAAAGLISLLGCADADIGDLLELSEEFFLVRYPDQVALERLFRVDDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLIQLAKDCPSNKVVMVESGALEALTKYLSLSPQDTTEEAATDLLGILFSTAEIRKHESAFAAVSQLVAVLRLGGRAARYSAAKALESLFTADHIRNAESARQAVQPLVEILNTGLEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCSVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAHHSVVRALDKLLDDEQLAELVAAHGAVIPLVGLLYGRNYLLHEGISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNSSIAKGPSAAKVVEPLFMLLTRPDFGPDGQHSTLQVLVNILEHPQCRADYNLTAHQAIEPLVPLLDSPASAVQQLAAELLSHVLLEENLQKDPVTQQVIGPLVRVLGSGIPILQQRAVKALVGVALTWPNEIAKEGGVAELSKVVLQADPLLPHALWESAASVLSSILQFSSDFYLEVPVAVLAKLLRSGSDSTVLGALNALLVLESDDSTSAQAMAESGAIEALLELLRCHQCEETAARLLEVLLNNVKIRETKATKSAILPLSQYLLDPQTQGQQARLLATLALGDLFQNEALARTADAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGASDPDTSVQAAMFIKLLFSNNTIQEYASSETVRAITAAIEKDLWATGTVSEEYLKALNALFGNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMRQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPEWEESFAWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPESKSGPSRNLEIEFQWSNNNHATVLLTEQQN >CDP08379 pep chromosome:AUK_PRJEB4211_v1:7:26875658:26882628:-1 gene:GSCOC_T00027196001 transcript:CDP08379 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLLKASQELSRSPLTFALEKNKYIAEEFVDSLLGTLRQILDCHVTSMVPVQDHILNLHEGAKSISILLHVKQENFNALPDKMKDHIGVVIIDLGIVICSISVNEIKHGLAKGTDLAISRLVKELQFVMQEVAQTHPPTSSSLRFPMTNELASIDFFLENLQEIATFEAGSIAFPKDQIQRIQEDLVFLRSLLGKTMKQRNQNGKFQALWDRIMEVAYKAELVIDSIVLGDRLECLNTAAGDIKHMKTEALKVSDSIRNDDKAQRVANNYIHFKSQLSTPTLNEVLVGLDEEVKTIADRLTRGSKQLDIVSIVGMAGLGKTTLANTIYHHPSILGHSHIRAWSTISQVYSKHNMLVQILSSIGKGSHDQYLEMNEGDLVVMLFQGLKRNSRILFTSRIENLSLQFKLDSKPHHLCHLSDKECLELIQRKIFGKEDCPPALIKVLMQVANKCNGLPHTVVIFAGILLRIEPDCWQEFADSLNQQGVHRDIEGLKKPLELSYIHLPKYLKPCLLYLSAFREDQDIPVQMLSWLWIYEGFVQKIEGKILEDVAEDYLKDLIGRSLVMGYVNFWQFSKELLLLVHLRYLAISLRYPTSIPSAIDNLSRLHTFLVGCNDVAWLPNTIWNIKALRHLRRTENKTFPSSGFMLPTGDVENSPDLDHLGTLSLAIDCSHGRLQKILKKLPHIRKLKCVHGYYHSYARPYDEDRNGILKLDSLSQIESLQLHCFYHCKFEFPLNLKKFTLSHNKWSWSEISTIEKLPNLEVLKLLKKSFMGEIWEMQEGEMHCFAPELVLTERKRIRRFIQGLNVEI >CDP02166 pep chromosome:AUK_PRJEB4211_v1:7:1822070:1822639:1 gene:GSCOC_T00039476001 transcript:CDP02166 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEILPLLIHRGGLEFNLAETQVAGTKQVSFQSWKAAKGGLSNFEAPKLIGLVSFCQFLGRILVFSKNKQHQ >CDP01499 pep chromosome:AUK_PRJEB4211_v1:7:11414286:11423995:1 gene:GSCOC_T00036572001 transcript:CDP01499 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRNRSSRTQRRHFKQGRENVWKRNKSDSEQQQDNQDNNNNNPDENSNNPTNPTWEPLTTQNPSFDEYYKEQGVVAAEEWDAFMECLRAALPAAFRINSSSPFYNDIRSQLENDFMKSLLAEGTDGSEMEAIRPLPWYPDNLAWHSNFSRKQLRKNQTLERFHSFLKLENEIGNITRQEAVSMVPPLFLDVCPHHFVLDMCAAPGSKTFQLLEMIHQLTSPGSLPDGLVVANDVDIQRCNLLIHQTKRMSTANLIVTTHEAQHFPSCHHNRSCQNASECGIVKKPDISQLLFDRVLCDVPCSGDGTLRKAPDIWRKWNPGMGNGLHGLQILIAMRGLSLLKVGGRMVYSTCSMNPIENEAVVAEILRRCKGSVELVDVSSELPQLVRRPGLKKWKVRDKGLWLNSYRDVPENRQAAVVPSMFPTGRTFEDISDNDCAISTEELCTKISNGNSDDGIELEDDSVANTNLLDVEESTLPLEHCMRIAPHDQNTGAFFIAVLHKISALPAVQKKSLRSTGKLSSSKNVQAQNAMCEVKEDTDIVEVTDGPVSEADAQVPETVAVSSHADEMAEAVLDADPSIPSEENEAGDIQPSNGNKSGPDVVGGKRKLQVQGKWIGVDPVIFYRDDSIVSKIKEFYGIKESFPFNGHLVTRNSDTSHVKRIYYVSNSVKEVLELNLLAGQQLKIASVGVKMFERQTSKEGTASPCSFRISSEGLPLLLPHVTKQILYASTVDFKHLLQYKSIKFADFTDAEFRSQASNLSLGCCIVVLIKDNEKAPDTYQVDASSTAIGCWRGRNSISVMVTAIDCQELLERMPMHLDTDLASSEENKPSSVQAAEAVVEMKDAEIKEEN >CDP01932 pep chromosome:AUK_PRJEB4211_v1:7:7609724:7615559:1 gene:GSCOC_T00037118001 transcript:CDP01932 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIVLDLRPGLGIGPFSLGMPICDAFAQIEQQPNIYDVVHVKYYDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGPSTLATFVAVYALFGPTFPGIYDKGRGIYTLFYPGLSFAFPIPSQYTECCHEREAELPLEFPDGTTPVTCRVSIYDSSTDSKVGVGALMDKACAPPLAAGSLYMEAVHVKLGEEIWFTVGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCVDYFYNYFTRGLDILFDGQTHKIKKFVLHTNYPGHADFNSYMKCNFVIYGSNFGGSFHQGDGTAKRMITPSTKWEQVKEILGDCGRAAIQTQGSTSNPFGSTFVYGYQNVAFEVMKNGYLATVTLFQS >CDP02154 pep chromosome:AUK_PRJEB4211_v1:7:1762758:1764603:1 gene:GSCOC_T00039457001 transcript:CDP02154 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAALPFFVLVSSICIDVSSASQSHYRQIRPPQLTTNYYQKSCPRFEQIIQDTTTNKQISSPTTAAATLRLFFHDALLQGCDASVLISSTPFNKAERDADINLSLPGDGFDVVVRAKTALELACPGVVSCADILAVATRNLVTMAGGPYYPVRLGRRDGLVSKASAVQGNLPLPTMSLSEIIKIFGSRGFSVQEMVALSGAHTIGFSHCKEFSSNIYNYSSALESDPSYNPRFAAALRNACADYKKNPTLSVFNDVMSPNKFDNKYFQNLPEGLGVLSSDRMLYSDGRTRPYVELYAKDQDAFFKAFGLAMQKLSEGGVKTGGAGEIRRRCDAFNN >CDP01555 pep chromosome:AUK_PRJEB4211_v1:7:10853262:10864458:1 gene:GSCOC_T00036646001 transcript:CDP01555 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSSSSSSLTCKRLTILTILAVVMQITGLFLFVIGFFPVKTTLSGASGLESFYPPGFDSSDDRNASTTLPPHQLKSLYQQLSGVPPLFDRLILMVIDGLPAEFVLGKDGKPPPKVYMEAMPYTQSLLAKGKAIGYHARAAPPTVTMPRLKAMVSGAIGGYLDVALNFNTQAFLDDNLIEQFSRIGWKMVMLGDDTWLKLFPGMFTRHDGVSSFFVKDTVQVDHNVSRHLNVELNRTDWNVLILHFLGLDHVGHIGGRNSLLMGPKLKEMDEVIKMIDLTTLKSQKYNQGRTLLMVVSDHGMTENGNHGGSSYEEIDSLALFIGLGEFTDSSTTNNIANQVDIAPTLALLYGVPIPKNNVGIVMVEVFKSLTDDEQLRLMELNAWQLLQLLQAQLPGLECEISKCNVHRDGNGSEIRQDYSTVEEMFCCLYLHAATVHKSMKSDSPGGDEYGSTFLAYHEFLKTAGQWLSHRATDRPFSLLACGFAAMLVSCSIIMGLLFFLIRETYIKERELHSHLGKTKHVWQLDKTFTLAIICIVMLSMGSSSMVEEEQYIWHFLTSSCFLVLLRKTVQSITFGFGVLQNSSTVFKEQRERGLLQIFSVIVVLVSGRILRGWHQGGVNWAHLPDMAKWLEQAGSSYTNALQLTSGVLIISANIFTLLFSLRSKRTFAVVILLINICPSLMIVYLMIIHQGSTLGTTGFGATKMVQRFYAILGISTLGTVVSVPMFMLLQNSNSPVNDFTLCRDISSEFRRNLLLEGMKDSTFITGWSFIFSWCLLQLLLQQPVNSMPLLLLFIQILATMWYSSGGDAQFIEVAALYYLGMAGHFGLGNTNNLATIDVAGAFTGVSSQSTLLSGILMFMITYASPIFALLSMVLYISVKDLGGDLEAKEVDIGDLLKRNLSFPCLVPLGINSMLLIAYTVVLLLMRNHLFIWSVFSPKYLYVCATTICIFLGVSIVASAVVYSFGVLALRRS >CDP02189 pep chromosome:AUK_PRJEB4211_v1:7:1996828:2014794:1 gene:GSCOC_T00039507001 transcript:CDP02189 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEGDIGRKIKIGVCVMEKKAFSGPMLQILDRLQAFGEFEIVHFGDKVILEDPVERWPICDCLIAFYSSGYPLQKAEAYSALRKPFLVNELEAQHLLHDRRKVYECLERYGIPVPRYALVNREMPYQELDYFVEEEDFVEVHGNRFWKPFVEKPVDGDNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMARDVCIAFQQAVCGFDLLRCEGQSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSTTIPPTLPWKVNEPVQPSEGLTRQGSGIIGKFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRILVPRSRPGRESDSEAEDFEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLRWVKVAKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMDEAKTRLHEIITSGVKAVHENGSSEKPWMVDGAGLPSNASELLPELVKLTKKVTEQVRLLAKDEDEQLEDTSSYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKDRFDITQIPDVYDSSKYDLLHNAHLNLEGLDELFRVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVAGLKSSQDHESTVSITGQEDGESNFRNEDSRRTSFTGEKSINQEDDDNKETKYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCDNALERLLRTKELDYMSYIVMRMFENTEVSLEDPQRFRIELTFSRGADLSPLEKDDSGAASLRQEHTLPIMGPERLQEVGSYLTMEKMEKMIRSFAMPAEDFPPPSTPQGFSGYFSKSAAVLERLANLWPFNKHGNTNGK >CDP02252 pep chromosome:AUK_PRJEB4211_v1:7:2477588:2479641:1 gene:GSCOC_T00039597001 transcript:CDP02252 gene_biotype:protein_coding transcript_biotype:protein_coding MENVSAVTDDDEKMELPPGFRFHPTDEELITHYLSPKVLDYSFSAIAIGEVDLNKVEPWDLPWRAKLGEKEWYFFCVRDRKYPTGMRTNRATDAGYWKATGKDKEIFKVKKLVGMKKTLVFYKGRAPKGEKTNWVMHEYRPEGKNSIHGASKAEKNEWVICRIFKKSSEGKKIHISGLTRATNCRDDSSSSELPPLMDLSSDEAQTRTTVAEASNVTCFSDPMEAEDQKPQYADLINTPYATLASNISAFSPASLISPKFSTPNSILSAQIMPHMDHLHYSDSVFMQDHSILKLLFDDYESNGNAKSEVSQSTECPITSAGPVDLECLWNY >CDP02373 pep chromosome:AUK_PRJEB4211_v1:7:3393359:3395329:-1 gene:GSCOC_T00039739001 transcript:CDP02373 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQWRWLLLLRCHSRSRLLISTQPHSQVNSTRSISSWSLLSPPHPRRVTINQFHNPPISLRFFSSSELAVEPTKDQPEQNQIAVLTDIFSRTQMSNEKIKLELESNNIVITHDLVLNALKNLQSAPDVARRFFKWVSKSDNEKRLSSKSYNLMLGVLLGNGFVKEFWDMAGTMKKKGYGVSKGTFVKALEKFEKDGMSDDVKKLKDLYASGSTDNSVENLCSRVCRAIRSELWGENVEKRLRGLNVEFSSELVSMVVDNLGIGANKGLIFFRWVEESGLCKHDERTYNAMVRALASEDASNKFWRVVDEMRNAGFEMYRDTYVDVLGRFVKKGMIKDAVDLYEFAMGGLNKPSVHDCTFLLRKIVVGKELDMNLFSRVVRIFREGGNVITNSAFDAVLKSLTSVARVGECNSIIKALEEGGFQLNGTLQRKIASQLSRGGKHDEAGEFMDNMEASDSTPDHKIWASLVEGYCLAGDLEKASDSFRKMVEKVEASSTGYALELLVSTYCRKNRAADSYKFVTEMIDGKDLQPWHSTYKILIGMLLAQGGFKEALDVLPLMKKQGYPPFLEPFIEYLSKCGSPDDAIAFSKAMTVKRYPSTSVFLQLFEAYFKVGRHNEAQDFLAKSPRYIRNHADVLNMFCSVKGGEKAPAITMAA >CDP04670 pep chromosome:AUK_PRJEB4211_v1:7:16272882:16279244:-1 gene:GSCOC_T00018718001 transcript:CDP04670 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHADKMIKEVTELGASLEEILPDGLLVETEWQGQKIETNLKEIWAWLMNDGISSVGIYGMAGVGKTTLAKRIYEDFVIGTKFSDKVYWVTASQEGTIHKLQDSVAIAINVDLSNEEDERKRAAKLFLALSRMEDFVIILDDVWIPFDINKIGIPLGLDGSKLIVTSRSLEVCHQVGCQKEIKVKPLCEKEAWTLFLEKLRPSLELPLDVKEIAKSMVQRCGGLPLGIITLAGNMKGVDDIHEWREALEELEGSFLGQNGNLFDSLHLSFNHLRDSRLKECFLYCSFYPENYKIPRDELIRLLILEGVMDKRRSRLSLFDQGHVMLKRLESICLLESHTEVNVSNYRETECVKMHCLIRDMALTIMDFDSKYMVKSGRCLKHIPDEIEWKGDLEKVSLLHNNISLISSGTSPNCPKLSSLNLASNPLRLIPDSFFFRLRALRVLNLSRNCELEMLPNSISQLGNLTALLLFDCCRLSFVPPIGKLKALRELDLCHTAINNVPEGMERLVNLNCLNMDRTGLRMIPDGTIYRLSHLQSLRIPEDVEVRVEELKALKHLEEFQGGFYDIDTLCHFVRYHQSYETPIFYTIEVRPSLSQLRFLSPGPCQITNKKVTLRGMELEGKNAIVLPEDIQQLAIIKCHGLGTCLTTAFSLFNIQKSGLTGCLIDNCPELECVMKVSSSDDQLVGKRILSPWAPLESIEHLSLHFLPNFVGLFKCEIEFDRPPCRIFCHLKSISISRCNTMTTIFTPWLLQHLQNLEVVEVLSCDRLEEIIADKEGGNMVFSPSDDDQHSFITYINFPRLKKLTLQHLPKLRSICEGTIICDSIENIRLMGCKNLARMPLSFSSIGGQPNPPPAFRAVEILKEELEWWKLLQWKDPGLGNVIQPFVQFVAEFPVV >CDP08388 pep chromosome:AUK_PRJEB4211_v1:7:26581630:26583932:1 gene:GSCOC_T00027214001 transcript:CDP08388 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHETTGHIVTVELKSGELYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGCQVRFMVIPDMLKNAPMFKRLEARIKGKGSTLGIGRGHAVAMRARAQAAGRGAPPGRVVVPPVRR >CDP02221 pep chromosome:AUK_PRJEB4211_v1:7:2243954:2245921:1 gene:GSCOC_T00039550001 transcript:CDP02221 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDHTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRKSLDIERPTYTNLNRLISQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNTAFEPSSMMVKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGEEDEYDD >CDP01927 pep chromosome:AUK_PRJEB4211_v1:7:7639698:7644391:-1 gene:GSCOC_T00037112001 transcript:CDP01927 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCRSCDDKVHMCNKLASRHVRVGLANPSDVPQCDICENAPAFFYCEVDGSSLCLQCDMIAHVGGKRTHARYLLLRQRVEFPGDKPARLEEPATLTLDQGENMREYGPSYKLVVGDKHQNHKVPSIPISDGSTDGHAKVETQMIDLNMKPNRLHGQASNNQEL >CDP01474 pep chromosome:AUK_PRJEB4211_v1:7:11687150:11687386:1 gene:GSCOC_T00036543001 transcript:CDP01474 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTYYRYFPTGVGLSLLSFVLACLAMTATNVTIDQLALVDLRIKSLQIRIKFWQKAGPLQPQFVTGKESCAALITTE >CDP01750 pep chromosome:AUK_PRJEB4211_v1:7:9043863:9044900:-1 gene:GSCOC_T00036903001 transcript:CDP01750 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMRYSRVGSKKSTTSSSSSSCHGIRGFRINPRRFSVQRLRTKFLYLLKLFNKWRFSYGNALRSMRKNLTRNSSCKRNSGSGRKSLVVMKEVPYTYTMGDSSRLRSYARTNSFYSEAIADCLDFIKRNSLSMDENPVISQR >CDP01794 pep chromosome:AUK_PRJEB4211_v1:7:8712343:8714789:-1 gene:GSCOC_T00036957001 transcript:CDP01794 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGGVSDGYEIGSKRPRMMESNPYFAVGSGSSGYGFGGGYQSSVFPVVRLRGLPFDCTDIDIFKFFAGLDIVDVFLVSKGGRFSGEAFVVFASPVQAEFALQRDRQNMGRRYVEVFRCKKQDYYHAIAAEMHYDGGYDDYHGTPPPRPKRSLDKDKLEYTEVLKLRGLPYSVKKSDIVKFFGDFNLTDDKVHIGYRHDGKATGEAYVEFSSAEEAKKAMCRDNKLIGTRYIELFPSTPDEARRAESRSRQ >CDP16727 pep chromosome:AUK_PRJEB4211_v1:7:744902:748528:1 gene:GSCOC_T00019203001 transcript:CDP16727 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQRRMANSGLIWWHLAAFFVFLVSLSPANGDDGPFILAHKKPTLTRLKSGTERISVSIDVYNQGSATAYDVTLNDDSWSRDVFDIVGGNTSMSWERLDAGAFLSHSFELEAKVKTLFYGAPAVITFRVPTKAALQEAYSTPILPLDILADRPPEKKFDWVSRLLAKYGSLISVISIVTLFIYLVATPSKSGAAKTSKKKR >CDP02528 pep chromosome:AUK_PRJEB4211_v1:7:4591709:4592302:-1 gene:GSCOC_T00039934001 transcript:CDP02528 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRSHYFFTHLKQPWRMIRSHVHKMLGDWFRVHPNVRDDLNAQSKLTFEFLYDVVNRLRDLGVRIPLYVKDSRVERVSANGFAT >CDP02458 pep chromosome:AUK_PRJEB4211_v1:7:3956762:3959870:1 gene:GSCOC_T00039841001 transcript:CDP02458 gene_biotype:protein_coding transcript_biotype:protein_coding MAREVLQKKDLAFSSRSIPDAVHAQNHSHYSVVWLPVASRWRSLRRIMNSNMFSANSLDANQHLRCRKVQELVDCCQKNCQTGEAVDIGRAGFMTSLNLLSNTIFSKDLADPYSDTAKEFKDLVWDIMVEAGKPNPVDFFPLLKKFDPRGVRRRMSVHFEKVLKLFEGLINERLESGNSGDEGRDVLDELLTTSEESSQEFDRIDMVHMFLDLFVAGTDTTSSTLEWAMTELLKNPEKMRKAQAEIAGGIGKGKAIEEADVARLPYLQCIVKETLRIHPPVPFLIPRRVEQDVELCGYNVPKGSQVLVNAWAICRDEIVWENALVFKPERFLESEIDIRGRDFELIPFGAGRRICPGLPLAARVVPVMLGSLLNSFDWKLEGGNTPKDLDMEEKYGITLQKALPLRAVPLPL >CDP02621 pep chromosome:AUK_PRJEB4211_v1:7:5336302:5337581:-1 gene:GSCOC_T00040067001 transcript:CDP02621 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGDKRQKFHEALLQMLYPPPPAPREDNQDSVDTFTRNPNSDCVPDDLDEDRGSTASTDADEGEENGPKKLTRAQRKRLRKKKLKEAASRRRKIIGPLLPDTSENGTAEVGGGGVVEEEPQGVRGNAAERDDHARADKPESEERPLGSKQNKLKAEKNGQETGQ >CDP01914 pep chromosome:AUK_PRJEB4211_v1:7:7750301:7752933:1 gene:GSCOC_T00037094001 transcript:CDP01914 gene_biotype:protein_coding transcript_biotype:protein_coding MIELAAMWCVKQCSPGGSLSALLSLYMLYVYYHVLYIFIRSNTFQVPRLLFVQVKFFQSEDCPSAVGREPPGNPHERVSNANITIAHGCPGSSVGSYPNLLSHKGVSHIPQIPWRTPPRFDLKFKCHVAAGEESEEVEVQKHREMRVREVVYPHFSAVPPSPSISSNVEAKHFDDWHTLVIPVIAIEEAAEMDCLYNETAALNISTKSEPANMPQSPSTSEIFDIPQSKSLLSRELSKGKKSDHAPLQDLDGPMVAAAAATTAIMKSKEHGSLIGPELMIKLLSNTKLVQKLIDERGLASDTTTGTSGSRKSSTLVSLVQKSTTENTRPGNAGIEALLRPGNQSLAAKAQIGTGLGPKQVTTSISLLRSKSDLPIQNSNCEVFQRADVGNMSLLKASDALSSSTPDVLSKKSTGRYIQLADNGHMAVPRLLKRAPSTNIEIGAGSCSKTVAPPLLTITSCAYPCSLTTTSLSLQKDISYFQSLIKQYGEERGSQDDELSLYGISYNHVQSKEADRKYKRTCKFYNSSKGCRNGSSCPFEHDVPGRWRSDVVLEAPGAKRVKLGGVFTGRT >CDP04609 pep chromosome:AUK_PRJEB4211_v1:7:17628209:17629529:1 gene:GSCOC_T00018612001 transcript:CDP04609 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNDAPLRVCTLAVTAFNIHEANAMNQPNMTTAQSLLSSASSLPSTENNAEQFPAENEQNVSGSIANSSNEVPATNISIGESSLRRKRRSTRPSTENNAEQFPAKLAVRIRVLI >CDP01935 pep chromosome:AUK_PRJEB4211_v1:7:7587088:7592365:-1 gene:GSCOC_T00037121001 transcript:CDP01935 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSSMLSPTQRYAAGALFGLALHQSQILQTSQLGSNDDDAGPTHDRTSSGSSSSDSVADDPQLWVHQSSHLLRPIFKYLDLDSKAWSGLEETSACTPVKHHVGAFLRLLSEETGDRSSEAADKELALSRGVDAMASSFESSPVDYESKKEKRREYENERREKFSTAENQQEMGGKPSTIEDIHVESTGEFDDKPSEELTMLDHKKKVAVLYELLSACLADTPEDSKKVKGRRRGYDARHRVALRLLATWFDIKWVKMEAIETMIACSAMALLKDEESREETQPPRSSWSKWKRGGIIGAAALTGGTLLAITGGLAAPAIAAGFSALAPTLGTLVPVIGASGFAAVASAAGGVAGSVAVAASFGAAGAGLTGTKMARRMGDVDEFEFKAIGQNHNQGRLAVEILISGFVCEEEDFVKPWEGIDGNLERYALQWESKHLLAVSTAIQDWLTSKISTELMRQGAMLTVLSSLVAALAWPATLLAATNFIDSKWTIALDRSDKAGTLLAEVLLNGLQGNRPVTLVGFSLGARVIFKCLQTLAEKDRSAGLVERVILLGAPLAIKDVNWEAARKVVAGRFVNAYSTNDWMLGIAFRASLLTQGLAGIQPVDVPGVDVTELIDGHSSYLWATPQIIEQLELDTPYPVLNRAVVKT >CDP11589 pep chromosome:AUK_PRJEB4211_v1:7:20723830:20724165:1 gene:GSCOC_T00033930001 transcript:CDP11589 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSVEEFWLFYMNQHSKPATRCWHFVSTLCSIICLTYSMGFQLVVCDPCALVGTWHGIAIFFVEGNVPATFGHHFWSLLCDFKMFRLMLTGQMDREIKRLSKRLVLQVY >CDP01439 pep chromosome:AUK_PRJEB4211_v1:7:12121951:12125882:1 gene:GSCOC_T00036486001 transcript:CDP01439 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSPEQKKSGCGLLNAVFGRRSWPRRTTSTGSLPGPNSNPNHFPRIPSTPNSKRRRGGSDEASFLEEEAKPTAEKQVDRVIERPAPNHGKTPPGNAHQYRQAPPAYNQKQNQGRRDATPSQGAGRKIPQGAVGLSGELDSMIADHQRSSGASTLVRASSSNVMLFGNLGNLRQGGGGNSNLNTSNVVDHLPRATREESSNDQESSTEKPTSLCRAISTRMDPEQLKILGNEDYKNGRFAEALALYDAAISIDPNKASYRSNKSAALTALGRLLEAVFECREAIRIEPSYQRAHNRLATLYVRLGEAEKAMYHYKHAGAEADPDVLTRVKKLQVHLNKCTEAKMQRDWNTLLRETGLAVAAGADSAPQIFALKSEALLKLQRHQEADEIMKNGPKFEDDECTKFFGPIGNATLLVIRAQVDMVAGRFDDAVAAAQLAARLDANNKEASMLVRRTRAVATARSNGNDLFKLGKYSEACVAYGEGLNHDPYNAVLLCNRAACRTKLGQHEKALEDCNAALHVRPSYSKARLRRSDCFAKLKKWEACIQDCEALLRDSPEDEEVGQMLKEAQAQLRKQRGGGQDVKTINHNGGIGNDARSSSDIIVVSSNERFRDYVTSPGVSVVLFCNKPGDKPTIHYMEQLQKRYPSVNFLKVEVEDQPSLAKSEGVNALPAFKIYKSGSKTREISGNNHDLLESTIRLYIS >CDP01992 pep chromosome:AUK_PRJEB4211_v1:7:7171673:7174789:1 gene:GSCOC_T00037194001 transcript:CDP01992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g66500, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66500) UniProtKB/Swiss-Prot;Acc:Q9FJY9] MLRLKLFPSYSVLLGKSSINYCSKINIFRRRTFDTYIHHHLFDDIPQKDISSLNSLLNSHARNGEAVATWDLFLKMHCTRTDLNSYTFTPVLTACATLLDPKRGHQVHALMIKLGVDCEIVAKTALIDLYSKYGQLGDSVSAFYEMRYRDVVAWNAILSSYLRHGLPRKALELFADMRKERVEFSEFTLCSALKACASSRACQQGKQIHAVVIVMGRDLVVLSTALINFYSHLGQIDAAMKIYRTLSCRTDDVMFNTLISGCVRNKRYDAALSIMSLIRPNAVALTSALSACSENSDIWIGKQIHGVVIRQGFTHDTQLSNAVLDMYAKCGKIREASLVFDRIARKDVVSWTSMIDAYGVHGYGAEAIDLFKRMEGKENNDVLPNSVSFLAVLSACARSGLVEQGRKYFYMFQEKYGLVPAPEHYACFIDILGRAGQIEEAWSLFPGMVDKNIKPSPAVWAAVVNACRINLDVERGEFAAKCLIQLEPNNPGNYVALSNFFAAIGRWDSVDALRSIMKRRGIIKGEGGSWVTMHKFGDKIQHEHSGTASFGNLQEV >CDP12813 pep chromosome:AUK_PRJEB4211_v1:7:14255679:14257514:-1 gene:GSCOC_T00037473001 transcript:CDP12813 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP12835 pep chromosome:AUK_PRJEB4211_v1:7:13965804:13966918:-1 gene:GSCOC_T00037502001 transcript:CDP12835 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFLFFTTLILTLLRALKADPTDGFSPVSLSTANFQVQKPYDVPVNQRYSYVGGVHKLWVYKTDKPFSAGSTTKPRTEVRITGYDYTSGVWQFEGNVYVPSGTTGVSVMQVFGASTQATTAMLRVYNPSLTYYRSPVIIPNVYNKWYRVNVIHDTGAGRVRVYIDGVLKHETNDNGPATHYFKFGVYTQDNPSDYMESRWSGIRVLKKN >CDP04677 pep chromosome:AUK_PRJEB4211_v1:7:16126777:16129747:1 gene:GSCOC_T00018729001 transcript:CDP04677 gene_biotype:protein_coding transcript_biotype:protein_coding MANWKERCCYGEVFPFTAMVTVECVSVGLSTIFKAATLKGLNYRVFMLYSNGLSALILLPLCFFFHRKSRLPPLTFGLLARFFFLGTLGFLGQFLGSKGIEYSTPTLASAMSNLTPACTFILAVLLRMEKLKVKSLSSQVKVMGSVITIAGALVVVLYKGPVQMRSPTSSASVFAQQPALVNVTTGAEQSDWIKGGALLAADYVIASVWYIYQTKAIVDYPAELVVVFFYNLSCAILTIPVCLIGVPNSSAWNILKPDVRLYSVVYAGVMGSGFGLLVHTRCLHVKGPVYVALFRPLSIAIAAVMGFIFLGDNFYLGSLIGSLIISLGFYMLIWAKAQEVNAERSPEGKFVASSSANAPLLEQYDDSRNEEREPLTA >CDP01751 pep chromosome:AUK_PRJEB4211_v1:7:9038407:9041063:-1 gene:GSCOC_T00036904001 transcript:CDP01751 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIQTLKTRLCIIGSGPAAHTAAIYAARAELKPIMFEGWMANDIAPGGQLTTTSEVENFPGFPDGIGGIELTDKFRSQSHRFGTEIFTETVNKVDFSKNPFTIFTNSKTVIADSVIVSTGAVAKRLQFPGSLEFWNHGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEATFLTKFGSKVYIIHRRDEFRASKIMQSRALSNPKIEVLWNSVVVEAYGEKVLGGLKVKNVVTGEVSDVQANGLFFAIGHEPATKFLGGQLELDSEGYVVTKPGTTHTSVKGVFAAGDVQDKKYRQAITAAGTGCMAALDAEHYLQEIGSQEGKSD >CDP02369 pep chromosome:AUK_PRJEB4211_v1:7:3359246:3359587:-1 gene:GSCOC_T00039732001 transcript:CDP02369 gene_biotype:protein_coding transcript_biotype:protein_coding MTQENNSTSAHVNSGLFNHWNTPLPYLFTGLALMLGLIAFSLLILACSYRNPTTTTTQSSGNNDDDQEKSTRAAVLRPDEPEPRIVVIMAGDDNPTYLAKPTTQSTSHCDQQV >CDP02037 pep chromosome:AUK_PRJEB4211_v1:7:6867643:6870320:1 gene:GSCOC_T00037252001 transcript:CDP02037 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHNLTFFAGCPRRDMTTPFQPAEHVLNSYYFSTYAANCDTPNLDQPPPHFSLDPTRFLWKMDRGKRPGKYVGATSHKIYMDLKDIIREHALQYLPAKSLFRFQSVCRDWKLQISSPFFAHNQSHSFRSILGLFGQTPGEPPSFLSFDAKSCGVPDPLLMFIPEPVEILSSSNGLLCCKSCSVEKVYYICNPVTQQWKKLPISNAHHGSDPAIVLVFEPSLLNFDADFKLICAFPSNDFDDAIEFEIYSSRDGSWKTSGEICFASKTLVPTSGIHVKNVVYWNLKQGGVLAFDLIKDRSQLIQGYYGAKGTLGNVNGKLCSVSWCGRELYLHALANVHSNTMQMTSHVKMWEQKHVVLESRFLGGDVADAKVIFAGGDMLVIQCRSSIYLHNLKTQETKALSILIKNHSRCLPYVNSIVNI >CDP02072 pep chromosome:AUK_PRJEB4211_v1:7:1199148:1201484:1 gene:GSCOC_T00039353001 transcript:CDP02072 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 25 [Source:Projected from Arabidopsis thaliana (AT3G27650) UniProtKB/Swiss-Prot;Acc:Q8L8Q3] MASSSYSNSPCAACKFLRRKCLPGCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEIQPHQREDAVNSLAYEAEARLKDPVYGCVGAISVLQRQVLRLQKELDATNADLMRYASNEMPQGYPGNSGSNQMGRRMNNAGSSFGQYEYPSPYYMSSWDNSFSGDHPDKPGDSNT >CDP12914 pep chromosome:AUK_PRJEB4211_v1:7:13043325:13044041:1 gene:GSCOC_T00037607001 transcript:CDP12914 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPRVSTSSQSSTSSKPPPPAPPLSLLQPSTKKKNKPKVLRVFRSVFRSFPIISPACKFPTLPGGKIPDGRNNAGSRITGTLFGYRKGRVSLSIQENPRTLPTLVVELAMQTTVLQREMSIGMVRIALECEKRPEKDKTKVLEEPMWTMYCNGKKAGYGVKREASEEDLYVMEVLKAISMGAGVLPGKSEVEGADGEIAYIRAHFERVVGSKDSETLYMLSPEGNNGPELSIFFVRV >CDP01950 pep chromosome:AUK_PRJEB4211_v1:7:7450882:7458868:1 gene:GSCOC_T00037143001 transcript:CDP01950 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLAILMLSAFAIFFSLQHEGDFSFKEAWYHISDEYPIKYEAERLPPPIVADLNGDGKKEVLVATHDAKIQVLEPHARRVDEGFSEARVLAEVSLLPDKIRIASGRRAVAMATGVIDRNYKRKEPQKQVLVVVTSGWSVMCFDHNLTKLWDVNLQEDFPHNAHHREIAISVSNYTLKHGDSGLVIIGGRMEMQPHLHMDPFEEIELAEKNAEQHRRSASEKEASDNSGMVDLRHFAFYAFAGRSGQLRWSRKKEDIESHPPDASQLIPQHNYKLDAHALNSRHPGEFECRDFRESILGVMPHHWDRREDTLFELAHFRRHKRKTVKKTPGKNMNYPFHKPEENHPPGKDTSKKISNAIGKAVNYAKSAKSKKPLPYIPTITNYTQLWWVPNVVVAHQKEGIEAVHLASGRTICKLHLQEGGLHGDINGDGVLDHVQVVGANGAEQTVVSGSMEVLRPCWAVATSGVPVREQLFNASICHHSPLNLFQHGEFSRGFGRMLDVSSLEVATPILIPTNDGHRHRKGSHGDVVFLTNRGEVTSYSPGLLGHDASWNWQILTGATWSNPPSPSGLTESGQVIPTLKAFRLRPHDGRDLILAAGDQEAVVLSPGGSTLTTVELPASPTHALVTVDFSNDGLTDLILVTSNGVYGFVQTRQPGALFFSTLVGCLIVVMGVLFVSQHLNSIKGKPRPSSGHL >CDP04589 pep chromosome:AUK_PRJEB4211_v1:7:18227518:18250100:-1 gene:GSCOC_T00018573001 transcript:CDP04589 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGERTGDEKSESRYCGVETEFSDDVPQLLSSNLHGGFDFVVAPLMDPTYRPSLMDDGSNGAGLLPFAGSDLVLSPSQWSGHVVGKISSWIDLDSEDEVLRTDSEITLKQEISWASHLSLQACLLPSPKGPSCANYARCVNEILQNLTSMQLWLTIPLEKSDSDAGGANPDHMIDSWYLWNSFRLLCEHHSQLSVALHVLPSLPSANSLGRWFGESVRAAIISSNSFLTNARGYPCLSKRHQNLMAAFFNHSIQIVISGEQLHSLPPGNLDTTANNSDNHNEGLHLHPLRSYLDYVAYLYQRMDPLPEQERFELGYRDYLQSPLQPLMDNLEAQTYETFEKDTVKYIQYQRAISKALLDRVSDEEASALTTVLMVVGAGRGPLVRAALQAAEETGRKLRVYAVEKNPNAIITLHSLVKLEGWEKEVIIISCDMRCWDAPEKADVLVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPITASKLYNDVKSHKDLLHFETAYVVKLHRVARLASTQPVFTFAHPESSTKRKNDRYKKLEFEIPCDTGSAMVHGFAGYFDATLYKDVHLGIEPSTATSNMFSWFPIFFPLRTPVCVQPGIPLIVHLWRCCGSTKVWYEWCVSSPVSTPVQNPNGRSYWVGL >CDP02481 pep chromosome:AUK_PRJEB4211_v1:7:4130741:4137294:-1 gene:GSCOC_T00039870001 transcript:CDP02481 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTLQMIKTPPPPRPPSLQLAIPGNMAYHRRIWHYGGVFKLMVAFLFCLGIVATCEPCSVSGVQHQVENEACRLCRDGGESDYQGVFTGDVGSGFALDKLEPHASLDYVCGNSNLFCFWSTLPGLSCPGHVVQSTSAEVSGVQSDVKLHEMPNHARTNISWSSSCGIIKFSSGRTISCSLNQQYGCKELPSRPLDSSEGNDVLSCRGSFLDHKSQFFDSKEDARMSDSSSPHVEISPPLLDWGERNLYFPSLAFLTVTNAHSDNILTIYEPYSTNSQFYPCNFSEMVLAPGEGALICFVFLPKWLGFSSAQLVLQTSFGGFFIQATGFALESPYLVQPLIDLDVSSSGKWRKNLSLFNPFNEALYVEELTAWISVSSGNTSHSTKAVCSINSIQDLHELSLLSVHEWIDVRSAEVGLPLVSMRPHKNWVVDPHRMETIMELDFSFPAEGRIFGAFCLQLLRSSKDEIDTLIVPLEAEFGQISAYHEHGSPISVSLKALVPCDSSGTTVVILSVKNDSPFMLSIVNISEVGEGTKYFHIKYTEGLILFPSTVTHVALVFCTSISFEILGPPSELADTNVNCELHVLTNDSRNSEIKVPCRDLVSVCSSHTLDSSVGSPQGSEEVEYESIRTISSGSPKQPLILNEALNTAEADEMVLKNWKSHATASGMSVLDDDEVLFPLVQVGSQSSRFVNVKNPSQQPVVMQLILHSGKIITECKAADGHFQPSLSGSSTGYKSASPLKYGFSVAEGALTEALVHPHGRASLGPILFQPSDRCGWRSSLLIRNNLSGVEWLPLRGFGGSFSAVLLEESEPVQAVEFKLSLPLPRTISSPDFLHHIDDNMRTCSQPLAKELYAKNMGDLPLEVRNIKVTGTECGLDGFVVQNCKGFVLEPGKSIKLIITFQTDFSAATVQRDLELSLATGIIVIPMKASLPVYMLSFCKKTIFWMRLKKSIVLILAAFILSLVLFCFTPHLMTFGQDYMFKSGKSFIATVSQAGKSVRPHRSDRSCSKFPLSGKMNGWLRSVGKGESLLLEPVGMHNDGFVTKEQVSSFAARPVKSALEFDKKSSCFLDNGKEMTPSSSMTNAVTVQSSDVQDASQAGNLTVKTGKDKGRRRRKKKSSGNGVTGLFEVSSSQSGNSTPSSPLSPVSSLTPTRPRPLSPDMSQSVQARNPFAPVAIQRYERSAYPEPKPRAKVLQSEISLKRCGENNYAWSTSSQEKPDLLHKVPGKPVLLPSATLPQAGRPDSLWSCRPSFLSSASTIAPHARAPGSKLNEQKTVEEKAELKEKFTYDIWGDHIFGFPHVGRSKEISGMQPHAEQNNSDSFFVRGPQALMTIVQRESVSCSDQVG >CDP02687 pep chromosome:AUK_PRJEB4211_v1:7:5838068:5838367:1 gene:GSCOC_T00040154001 transcript:CDP02687 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSSNNYSIILRCTFLSQPRHRQLLSIYQVQMRENYLKSTNNNAPGLVDILNPSTKKKKKGWSMGL >CDP02783 pep chromosome:AUK_PRJEB4211_v1:7:6512166:6518858:-1 gene:GSCOC_T00040280001 transcript:CDP02783 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MEDEPNKKKLLILYASETGNAMDAAERLGREADRRCCPVSVLCIDDFEPKSLPFEETVIYVVSTTGQGDVPDSMKGFWRFLLQRSLSKEWLKGVDYGVFGLGDSSYQKYNFVAKKLDKRLLDLGARPLIERGLGDDQHPSGYEGALDPWMSKLWKILYNKAPNLFPNDPNTATLNGTWVDQPKIQITYHDVGKGNSQCSAAIDFKSLEMLIERTRSMSPGKSSGKMRPDCFLNLTENCPLTRPGSGKDVWHLEFEAVSSSIHYDVGDVLEVLPSQDPVAVDAFMKRCNLNPEAYITVQPSKKENSMGDTNHAPDIPVKLKTFVELTMDIASASPRRYFFEIMSNFASAQHEKERLQYFASPEGRDDLYQYNQKERRTVLEVLEDFPSVQMPLEWLVQLIPPLKTRAFSISSSNSAHPNQVHLTVSVVSWTTPYKRTRKGLCSTWLAGLDPQQRVLIPAWFHKGSLPSPSPSVPLILIGPGTGCAPFRGFVEERALQSKSGPTAPVLFFFGCRNEENDFLYRDFWLSHLQSGGVLSEDKGGGFYVAFSRDQPQKVYVQHKMREQSTKIWNLLAGGAAVYIAGSANKMPSDVLAALEEIISLEGGVTKEVAARWIHALEKSGKYHVEAWS >CDP01691 pep chromosome:AUK_PRJEB4211_v1:7:9532865:9539868:1 gene:GSCOC_T00036827001 transcript:CDP01691 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATLNPAEAEKLEKLKAATAALNQISENEKSGFINLIARYLSGEAQYVDWSKIQTPTDEVVVPYDTLAPVSEDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIETLNKKYGCNVPLLLMNSFNTHDDTLKIVEKYAKSNIEIHTFNQSQYPRLVVEDFMPLPSKGNTGKDAWYPPGHGDVFPSLMNSGKLDALLSQGKEYVFVANSDNLGAVVDLKILNHLISNKNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVQESALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDRAIGINVPRSRFLPVKATSDLLLVQSDLYTLSDDGYVVRNEARKNPTNPTIELGPEFKKVGNFLSRFKSIPSIVELDSLKVSGDVWFGSGITLKGKVTITAKPGTKLEIPDRAVIADKVINGPEDI >CDP01671 pep chromosome:AUK_PRJEB4211_v1:7:9642872:9649486:-1 gene:GSCOC_T00036801001 transcript:CDP01671 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEQSSKPGPDLSLHISPPCSSSSQICNIQKSNTTVQAYTELSLAHPAANSLTDESHQNHHRIYQQPNSQQQMNHINHGVSLLDVSDGLRPIKGIPVYNHNRSFPFLPLDHHTRESSSPYFNGGLDHLSILNAAGGPNAAASSAYHRLHQPSGASSRFNGLGLSSSYQLHHHHNQYGLGASDASHGMMRSRFLPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGQSDGSGDEDLSTIGSGAGGDRSSLRRFIDQRGPSDGSILQQESEYPSTTTTLWSNSSSSREGWMQSTTGDLNGVRHSSSMPLEQRSGHHIEECDSSRPNSYLIPSLERKNPSLEFTLGRPDWVEKELA >CDP12847 pep chromosome:AUK_PRJEB4211_v1:7:13833963:13836905:1 gene:GSCOC_T00037519001 transcript:CDP12847 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRGPGNGRPSGTDGSDFSYRMVVDSRYKKVAEYKSRLSVLIFTQAIIQLLAAVNVFLSTTKMEELDKIAVSSSVICFISLFIGELGRKRSRASFLKLYMLGSSIAVLISTANLSRSAYVVQVIKDFSSWGTSMLELSKVATGLLGFVVQLYTISTTTSLIRNMAPPKRTA >CDP02450 pep chromosome:AUK_PRJEB4211_v1:7:3916540:3917993:1 gene:GSCOC_T00039831001 transcript:CDP02450 gene_biotype:protein_coding transcript_biotype:protein_coding MPSADSSERRGTKANQVGSGAPPSEPEQLQCPRCESTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPVGGGSRKNAKRSRTVASAAASSAFSFSSSTSTYEHRHTQATTSQLLTPLGVDHGGAVPLISDAKSGVNACGSFTSLLNTQGPGFFALGGFGVGLGAGVEDMGFGLARAVWPFPGLGVGEGGPTGVGGPSVLGNTWQLQSGEAGIVGGDCFTLSDVAISTAGHGMKRDVF >CDP01874 pep chromosome:AUK_PRJEB4211_v1:7:8088767:8090487:1 gene:GSCOC_T00037050001 transcript:CDP01874 gene_biotype:protein_coding transcript_biotype:protein_coding MREDYETEEKKQAAADVLFHYSKFVMACIGNQVRPCDMRLHLMKEVSGLPTKLKKESARMATSPDAVGESSSSGTSRLDKTESFRAL >CDP02583 pep chromosome:AUK_PRJEB4211_v1:7:5021245:5023093:-1 gene:GSCOC_T00040014001 transcript:CDP02583 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPRHCPRISTFELKLQIERKIGRQRAEMYFDLLTRYLSSKLSKSEFDSLCVGLVGRENISLHNKFIQAIIRNACVSKTPPEKYSKMEGLLNGKVPNGYQISSLQSICRDAFTQSPRKGRTPSFRDRKFKDRPSPLGPHGKSHNLACEDSVPKVQEQQSATELLSLGIYSRSPVTAPLGISLNNKRTRKLFGHGSTSFLRTETCYFTGELPDMNCLKKRLEQKLEFDGLNVSSDCVNLMNNGLDAYLKRLIRPCLSLAASRSEQKHHSQVHHQGISVPNGMMPMTYAQRANNSFSVSMLDFRAAVELYPTLLGEDWPIQLERFPLRAPEDSMDQWKRIF >CDP02327 pep chromosome:AUK_PRJEB4211_v1:7:2993467:2998374:-1 gene:GSCOC_T00039686001 transcript:CDP02327 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKILPRSKDENRDLQKQIGCMNGIFQLFDRHYFLAGRRSAAHHHKRLLPGTFLYAETAQTEIFSVVQDQPRVSTESLGTSFSSSSCSSTFSSLDHSRTLQCRPPSFTQNNLLESTNEIPVKRQSSSLHFSQQSPDIRDVVKDSMQREHRRISIKTAAREDGRGRTLKHIDSPRPFQQPKTVQPRVAEFDSRSLAKLQDSPCICKEESDASLRQERKEPPRFSYDERETRNRLKSATKLKELPRLSLDSRQSSLSSASEPRLNFLLRELRKGENRASGVVAKLMGLEAFPDSVSNNEGETIKDNSCHDKDFFTKSSKESDKCKKNPLRIQQMVHKDPASPVSKNGSLGIQPTSSPRFPLETASWRQPNAINDSPRTGPGSWNSYRDTPRTSSSVYGEIEKRISELEFRKSGKDLRALKQILEAMQNTRMRLDNKEGEQADLRSETSRYSSDYSCCSDLDSTAFKCQSNKIDHLASKKVTTKDLTPKKTTPQNPHHCKSCTDKKINSKIPKVVQTTRAPQLAKVENYVAFGRSSGSVSPRFQQKNHGIVTQPHLTTPSPELEKRRGKLIEPDSSSKLRPKSTLHRRKDRISCTTGNKEFSHQGDTASIPSESTSSLASQTDTEITSTGSSTEIRTRRKADQKERNGAASLGEDMPLAELIIVPTEQPSPVSVLDATFCREDSLSPVKKISTVFQDYRTPDPDEAEWHYLGDGFNQKKLENLKQLLHKLRLLNTVPDEASTFEFDSLSERPSPDHRYITKILLASGLLEDICTVSTDIQLHSSGYLINPDLFHVLEQTEENTMSANEEHRRSNAPLKLDQKIHRKLVFDIVNEILIRKLAPESSFMQRKRNRTGKELLRELYSEVDHIQAKTDCSLDNADETTCILYMDMMQQRDDWADYPSVIPAVVLDMERLIFKDLITEVITAQALGQCDWTGRQCKTIY >CDP01791 pep chromosome:AUK_PRJEB4211_v1:7:8736921:8738571:1 gene:GSCOC_T00036950001 transcript:CDP01791 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKAKADTKLSVKKGAAAGKKPVKKGKAAKDPNKPKRPASAFFVFMEEFRKQYKEKHPNNKSVAAVGKAGGDKWKSMSDAEKAPYIAKADKRKVEYEKNLQAYNKRLADGPGAEEEESDKSRSEVNDDEEDDEEGSGEEEDDDE >CDP01618 pep chromosome:AUK_PRJEB4211_v1:7:10190422:10192158:1 gene:GSCOC_T00036721001 transcript:CDP01618 gene_biotype:protein_coding transcript_biotype:protein_coding MILCISYCSRRWIILNFGCSFASDVFWERMAKFLLSKVQTPIYRSIIKPTQLLLHAHQFTHGCSEPAADKDAILTSICDSLSKDGLSWDSLTQKFSSVHLTIHQVEKVLIQLKEPINAKQALKFFHWSAHNMNLQHGMSSYCITIHILVKARLFKDAKALLESILVNKKSMHDGSVEMLNNVLDSLLGSYEVVGSTPFVFDLFMQTCAKLRIIDSVVDGCKVLDERGFGLSVISYNTLLHVMQKSEKTYLVWSLYEHMIDKRTYPNEVTYRILVRALGKEGRLKRFLDVVERIHGKRCSLPGMVVNTCLVFGLIDGGKVGEGMNLLKKMLQKNIIPDTISFSLVIMAKVRMGDLDAAWEVYEEMRKRGFEGNSFVSTSFIGAYCKQGKIEEVMRLMREMDDLGMKPYDETFNHLIEGCSGTGYLDESLKFCERMVKMGLLPSPLAFNMMVGKLCSDGEAKRADEALTVLLEMGFVPDENTYSHLANGYMTLGDVERILKLYYEMEYRSLSPCSAFLNSLIIALCRHGRVREADEFLNLLKARSLIPGSHTYKTLIASHLEKGNKTRAQQLCEEMVEMS >CDP16717 pep chromosome:AUK_PRJEB4211_v1:7:654483:656783:-1 gene:GSCOC_T00019190001 transcript:CDP16717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT5G13990) UniProtKB/TrEMBL;Acc:A0A178UPG0] MSTVDTAEKEKPLPENVSSFGSTANDGNTDDAQGHQGGLDNQQNPTTKENGSEKAESPDQSHTPKELGTDNPLDTEKELPTATGEINENEEEVEKAVEGDPTTEAASEVEEPSPLPPDLGKVSEEIDQYISSLSNSSLSSKNDDENSNVPIFVEQFAVLFEAKIDDYDSSDNPVKWTRLTDEDSASFLEAVNRVSKLLALLSEFSSDYKYAYSINRIGSVLQRAMTYVEEEFRSLLEEYKIPDHQDPETVVNNPSSSSSSTNAQDGGEQPPHTNNNDNNNNNDNNDNLGYSEAILSELSRLAKAMLVGGYETECCQVYFIARRNALEETIHKFGFEKFSIDDVQKMNWESLEREIEAWIRTFKQFATVQFSRERKLADAIFVDHAATSEALFSTLLRGIVVQLLNFSEAVAMSKRAAEKLFKFLDIYETLRDVLPKMDNGLLPPQTLDEIKSEASLNRSRLADAVICIFCELENSIKADAGKTPVPGGAVHPLTRYTMNYLKYACEYKDTLEQVFREHQKIERADSGAGSEFDYNTSQADADGNQSNQKAGQSPFQVQVTKVMDLLDANLEAKSKLYRDLSLSSIFMMNNGRYILQKIRGAGEMNSLMGDTWCRKRSSELRQYHKNYQRETWGKPLNCLMNHEGLNVNGKVAKPVLKERFKSFNAMFDEIHKTQSTWVVSDEQLQSELRVSISNMVIPAYRAFMARYGQYLTPGRQTEKYVKYQPEDIETYVDELFDGNATPTGRKKL >CDP02379 pep chromosome:AUK_PRJEB4211_v1:7:3408931:3410332:-1 gene:GSCOC_T00039745001 transcript:CDP02379 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVSAIGFEGFEKRLEISFFEPGIFADPGGRGLRALSNYQLDEILKPAHCTIVSSLSNGEVDSYVLSESSLFIFPYKIILKTCGTTKLLLSIPLILKFAGDLKLTVKSVRYSRGSFIFPGAQPYPHRNFSEEVAVLNSYFGKLGAGSRAYLMGGADKQQKWHVYSASAEHMENMDPVYTLEMCMTGLDKNRAAVFYKTRSRSAAVMTETSGIRKILPQSEICDFDFDPCGYSMNSIEGDAISTIHVTPEDGFNYASFEAVGYDLKVLDLTKLLERVLACFQPTEFCFALHSGSSGEELDFDFNLNLKGYTCEERSCEMLGKGGSIIYQSFTGAGCCGSPRSILKCCWSESEDEYVEKEE >CDP02418 pep chromosome:AUK_PRJEB4211_v1:7:3665320:3667152:-1 gene:GSCOC_T00039789001 transcript:CDP02418 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLLSMQAFFFQFLVLSASNVSAQSTTTADTDFSCSTNSTQMCDTFVTYRAWSPDYLDLGRVSDLFGTSRLNIAKASQLVSENAQLVPKQLLLIPIKCSCNGSYFFSNVSYQIKRGDNFYSVSTHAFQNLTKYQYAEDMNPTVNPVNLTIGAELVFPLLCKCPAQSDLQKGFQYLITYVWQPGDEVLPMSAMFNTSPIAIDAENNHRNFSAAACLPVLIPVQKPLVLQTFPPPPSTRKSKHKRTLIVVLTISVAVLLILLASLSRIHILLKRRKAMGRNSSSVETSDLLRTRRASFESKTNQDKILPGVSGYLGKLIVYEMEVIMEATLELSEQYRIGGSVYKAKIHDQIFAVKKTKDATEELNILQKVSHANLLKLMGISADNQRTFFLVFEYAENGSLDQWLFHKSSPSSGSISVLNWSQRVNIALDVANGLQYMHEHTQPSIVHRDIRASNILLDSKFKAKIGNFSLATPATSPTVLKVDVFAFGVLLLELISGRKSMETKNNGEIVMLWKEIKGILEVEEKKEERLRRWIDPHLETFYPIDGALSLASLARACTSDKSFERPKMAEVVFHLCVLSQSSSGIHERSWTFGEADEAIQFVTPIIAR >CDP02771 pep chromosome:AUK_PRJEB4211_v1:7:6382599:6387133:1 gene:GSCOC_T00040265001 transcript:CDP02771 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNWELKHCCNHDQVVFLVTIGVFTVVILALWRTIFLTPFKLITVFLHEASHAIACKLTCGQVEGMQVHANEGGVTQTRGGVYWLILPAGYLGSSFWGMALILASTNLVTSRIAAGCFIVALLVVLFIAKNWTLRGLCIGFIIFIGIVWVLQELTKVRILRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAELCPCPCNGVGWGVIWGMISFVFLCGAMYLGLVILS >CDP04571 pep chromosome:AUK_PRJEB4211_v1:7:18951795:18953827:1 gene:GSCOC_T00018531001 transcript:CDP04571 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAELSMEVVWVYTVISSFLIFLSLRFLSKRLRNLPPSPIPAFPIIGHLYLLKPPLYRNFYKISQKYGPIISLQFGSRLVVVVSSPSAVEECFTKNDVILANRPRFVVGKYFGYNYTNMTSSPYGEHWRNLRRLGSVEIFSASRLNMFLSVRKDEIRRLLVKLAQKSRHDFAKVEMKSRLSELSLNIIMRMVAGKRYFGEEEDNYEATQFRGLIKEVFKRGGASNPGDFLPLLRWIDYGNFEKNSMKLFTKFDSFLVGLLEEHRRNKNSNTMIDHLLSLQESQPEYYTDQIIKGIILVMLTAGTDTSSVTIEWALSLLLNHPEVLEKARAELDAQVGTDRLIEEHDLSNLPYLHNIISETLRLYPPTPMLVPHESSDDCKIGGYNIPRGTILLVNAWAVHRDPNVWDDPISFKPDRFDGLQVEPSQLIPFGMGRRSCPGSGLARRVVGLALGSLIQSFDWKRISDDEIDLAEGTGVSMPKAKPLEAMCRARDMVINRIV >CDP01542 pep chromosome:AUK_PRJEB4211_v1:7:10976402:10977411:1 gene:GSCOC_T00036628001 transcript:CDP01542 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQFAGKAVYTAVWVAAASLFMELPGFSTQKWLTAGGLGTVLLTLAGREIFTNFLFKCNDSCNTAISISHLDVNKISSIVADMRKVLAKNPQVEQQRWHRSISGKY >CDP02744 pep chromosome:AUK_PRJEB4211_v1:7:6227881:6228282:-1 gene:GSCOC_T00040223001 transcript:CDP02744 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLANPFNGSLKRQWRRGKTYHRINGSRRNVKIVKFGGHREKRAWKIRAIPKLRLKFASPLKLWTKFKNAYMNMMLRLAGNVGAMNSGNDFAGKRIPEARPVPVTYKNSEFENRLIYEIYKSLAVSMEMDSR >CDP04675 pep chromosome:AUK_PRJEB4211_v1:7:16179155:16182474:1 gene:GSCOC_T00018725001 transcript:CDP04675 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWKRTCWYGEVFPFTAMVTMECINVGMNTIFKAATVKGLNYHVFMLYSYGIAALLLLPLCYFFHRNSRLPPFTFGLLARFFSLGLLGFVAQYLGNTGIEYSTPTLASAMSNIAPASTFVLAVLFRMEKLEMKSLSSQVKIIGCAITIAGALVVVLYKGPVLLRSSASSASVFAQAALVTLTTGTKQSNWVKGGAILAAEYVVASLWYIYQAKAIGEYPAELVVVFFYNLSCMIIAAPVCLIEVPISSAWNIFKLDVQLYAVLYAGVLGTGFVILVHTWCLHVKGPVYVASFRPLSIAIAAILGFIFLGDNLYLGSVIGSLIISFGFYVLIWAKAQEASGDKSQSGTISRESASRNAPLLDEYDDSRDEGHATTTA >CDP12791 pep chromosome:AUK_PRJEB4211_v1:7:14462797:14469309:-1 gene:GSCOC_T00037443001 transcript:CDP12791 gene_biotype:protein_coding transcript_biotype:protein_coding MALLATLLATVLCLSSQIKAQLVVGFYNSRCPRTESIIGEEVLKAFLKDKGIAPGLVRAHFHDCFVRGCDGSLFIDSTPTNVAEKDGPPNGITLRGLEVVDNAKARLEAECKGVVSCADILAYAARDSVVITGGRGWGVPAGRRDGRISRAAETIDIPGPFQNLDQITQAFAKKNMTLDEMIALSGAHTIGRSHCTSFSNRLYDFSPANSQDPSLDRFLAALLKIQCPQGPQGDVDPNLVVPMNRSPALFENSYYRDILAHRVVLTSDQTLITSPESLSEVREYAFNEREWQEDFADAMVKMSQIEVLTGTAGEIRSNCRKLFSFLIGPFCLIELLSFHIARRRRSIMASNKLSFILSTFILVVYQCVIPLNAQLQVGFYNGVCDFVESMIKEEVRDAFILDRGVAAGLVRMHFHDCFVRGCDGSVLIDSTPSNQAEKDAPANNPSLRGFEVIDDAKSRLEFLCPGIVSCADILAYAARDSVEITGGPGYDVPAGRRDGRISSSREASANLPSPALGVNQLIQAFANKGLRPDEMVTLSGAHTIGRSHCISFSNRLFNFNTTTTQDPSMDPSYAAQLAQQCPQGSISNPNLVVPMDPSTPTVMDTCYYDNILANRGLFTSDQTLLTNPSTANQVIQNAQNPLLWENKFADAMVRMGQIGVLTGDAGEIRANCRVINN >CDP02365 pep chromosome:AUK_PRJEB4211_v1:7:3326122:3327726:1 gene:GSCOC_T00039727001 transcript:CDP02365 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTDLRLSDQQNLSAPATIIGDSAVAFAEDEDEEPQPDVYALNFHSLSPPNPSFLIDDNLNFTAISESESGSQHSESVHSDQNFLYYSPEDDDDDDQMNFVTDLFATRHGIPDHGLCNSESGCTCLDEEPELVLGAQAECNGVAALPSMVGGLRIVNMESESDSEAAEIHSRVDRANDHDGLNPNYDDLDEFWDCPQFDSQRARAFFNEEFEWEEVNVRENLSSLIDRIEEISVSSDTENSTFDTGGGEHDEENARNVEWQVLLAVNNLEIAVGFDEYIVLFGEHVDAESTVKGGPPAAKSVVENLPSVVLTTEDLMANDVVCAVCKDEISLGVEVTRLPCSHHYHQDCIMPWLSIRNTCPLCRFELPTDDVDYERRKNRNGAGTSLIGDLQFSNYQLLP >CDP02109 pep chromosome:AUK_PRJEB4211_v1:7:1472359:1473653:1 gene:GSCOC_T00039396001 transcript:CDP02109 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIQEDLSACPTFSSYSSDRLADIAARVTDEFKRQSDLTQENLSVLREDDDDDGGEDDFEFSLVRDSDDEPEVFYDGQTPPIFPIFDRHLLGNDGDADAKQSPSDAVETEIRIPLRSLFIQDREECEPPSSSSSEVDEMDRIPPGSYCVWRPKIVESSPSWGKKKSHSTGSESKRWKLLDLLRRSNSDGKDGFVFLTPRHNRETTKIDKAQHPKAKQTSAAAAQAAKKSKAKGGVASPSAHEAFYVRNRAIKQGDKKKSYLPYRRDLVGFFANVNAVGRTFPTF >CDP02237 pep chromosome:AUK_PRJEB4211_v1:7:2376506:2380072:1 gene:GSCOC_T00039571001 transcript:CDP02237 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEPGNGFSSRTIPLLVGSTSSSSSSNLTRDPIIIHSLSTSGNTSFYKTCFNGLNALSGVGILSVPYALSSGGWFSLMLLLVIASSALYTGLLIQRCMDMDPSIRSYPDIGERAFGAKGRALVSIVMNTELYMVATGFLILEGDNLCNLLPDVKFEIGGLKIGGRESFVIMVGLIILPTVWLNNMSILSYISASGVVASLLLLCSIFWAGEFDGIGFHHKGVLINWSGIPTAASLYSFCYCAHPVFPTLYTSMRDQRQFSKVLGICFLVCTISYAVVAIAGYLMFGSELMSQITLNLPIDKISSRVAIYTTLVNPIAKYALMVIPIVNAIETRLPLNSHKRSYSLFVRTSLVLSTVIVSLAIPLFGYLMSLVGAFLSVTASAILPCLCFLKISGIYQTLGLEQLVIVGIVLMGISIMIVGTYTSVMEIVMHFVT >CDP01723 pep chromosome:AUK_PRJEB4211_v1:7:9269302:9271888:-1 gene:GSCOC_T00036863001 transcript:CDP01723 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALSLSMSHLPICKCQDDPKDSSMISKSSSRENTCHRTPVANIDVKFSRRNLLQSTGVSLVGGTLAQPARAGPEPESPMEASSSRMSYSRLLEYLDQGNVKKVDLFENGTVAIVEIYNPALEKFQRVKVQLPGLPQELLTKLKEKDVDFASHPMEVNMASAVLDLLGNLAFPLILLGALLLRSSSNTPGAGGPNLPFGLGRSKAKFQMEPNTGVTFDDVAGVDEAKQDFQEVVEFLRTPDKFAAVGAKIPKGVLLIGPPGTGKTLLAKAIAGEAGVPFFNLSGSEFIEMFVGVGASRVRDLFNKAQQNSPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFTGNTGVIVLAATNRPDVLDEALLRPGRFDRQVSVGLPDVRGREEILKVHSNNKKLDKDVSLSVISMRTPGFSGADLANLMNEAAILAGRRGKEKITLKEIDDSIDRIVAGMEGTKMTDGKSKILVAYHEVGHAVCATLTPGHDPVQKVTMIPRGQARGLTWFIPGEDPTLISKQQLFARIVGGLGGRAAEEIIFGEPEITTGAAGDLQQITQVARQMVTTYGMSEIGPWSLTDPAGQSSDVVLRMLARNNLSEKLAEDIDKSVRQIIERAYEIAKNHIRNSREAMDSIAEVLQEKETLTGDEFRALLSEFTHIPPDHLSRKPIRELIEA >CDP17146 pep chromosome:AUK_PRJEB4211_v1:7:27865159:27873888:-1 gene:GSCOC_T00011172001 transcript:CDP17146 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQVLETLGKISEILRHLFIAHCYRCIGWRNSISCATHLVTGMIDSRKRRRKSEDSNAVRVDSAEEEEEVKVVCFGCCGEEAINYKRKSYIEALENLLSEKVLNCEEEAYKLYCDYAFAMGFSVRKGKQYYFEGTKRVRAKMYCCSKEGLAISEREGGNGGSNSCNNNKLETRTGCKAMIYFVCNKGEWKVGKFVKEHNHEMAEVCERPLLRSARAALASNYGGMQPKLSDMSSPCCEVEKPEDVIDLDSDEEDVMCLRCNGAEGEAEACECSSNTGVDDLEKILVEQVVQNEEEAYKVYCDYAHVMGFSVRKGKQYYFPGTKRIRSKSYYCSKEGCVNEDISVATHNKLDARTGCKAMICFTCDEGGQWKVTKFVKEHNHKMAEPYERHLLRSARSVSDAKGSTASQSMKLGLNNVTCSIECSGSESIGHENSIKEPHEGMMFETEDAARAFYGEYARDVGFVMDVMLNCPSVMEGSSIAHHSGCNEGDFCANKYNPLRLVVNQHPGSGARCQAMMTVKSDKSGNWVVTRFLRDHSHPLVIFPREVSYCMDDKDKKIQELTSELRNKRRLCTMYQEQLMLFMREVEEHINQLSRKTELVVKNLNKLDC >CDP08429 pep chromosome:AUK_PRJEB4211_v1:7:24477787:24487244:-1 gene:GSCOC_T00027309001 transcript:CDP08429 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNKDELKLLIHQFLAESDGEQYREALQIIFFEIRRQNYYEAHDKQKLLMLNILKRDLEMFTLSQADIYRGLVPLFQLNNFRKQEKLSDHGDEKSARILLMAKLKQLIEANQLIGDKLQFPTLQKPRIETLRKQSWQIQQYDNKGSNHKLSKSIFYMRTITVIKQLTPSPVAHGVGEGIKAFYDIKYFEECVTNGDGEEVEKYLSRFTNAEDNPESFSIFFEIRRQNYYEAHDKSDRKMMLDILKRDLKVLTLSQADLYRGLVRLFQLNNFRCILQQKLSDHGDEKSVRILLMAKLKQLIEANPLIGYKLQLPSLQKSRLETLVKLSLRWQIQQCDTKGSNHKLPKNLLYEDPYCEQATDTIPCSSWKCVSNGDWEEVEKYLSRFTNAEDNPEPFSIFFEIRRQNYYEPHDKDDRKMMLDILKRDLEVFTLSQVDLYRGLKYRIGIWYMTYFYKNQEKLSDHGDENSTRILLMAKLKRLIEANPLIGDKLQFPSLHMSRLETLVKQRYTKDFLSSSFAS >CDP04692 pep chromosome:AUK_PRJEB4211_v1:7:15817153:15822620:1 gene:GSCOC_T00018752001 transcript:CDP04692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G53570) UniProtKB/Swiss-Prot;Acc:F4HRJ4] MPAWWGRKSTKSKDPQNNSQNSSGSNNNKATSIKNDKRRVSGIDFARNSPRKSPDFGGGASGFSGFDSSSSLDRVYPLPRPSVSSNDQSHGGGVGGVVGLGSGLGSASLSGSSVSSSASSDDHSNLDHGQMAFFRGQGDGKLSQLPSPGPASRGGTTTTSPLHPRLSGLSLDSPTGRLEDGRGECHRLPLPPGSPTSPSALPMPRTSGVTECSSNNLSKWKKGRLLGRGTFGHVYVGFNSENGQMCAIKEVRVVADDQSSKECLKQLNQEIMLLSQLSHPNIVQYIGSELGEETLSVYLEYVSGGSIHKLLQEYGPFREPVIQNYVRQILSGLAYLHGRNTVHRDIKGANILVDPNGDVKLADFGMAKHITSASSMLSFKGSPYWMAPEVVMNINGYSLAVDIWSLGCTVLEMATSKPPWSQYEGVAAIFKIGNSKDMPEIPDHLSNDAKSFIRSCLQREPSQRPTAVQLLEHPFVRDQAIPRVSNVNITKQAFPQAFDGSRTPTALELRGSRSNIGPEDYTSRQVLTVSRGNISPRENARTITSLPVSPCSSPLRQHGGSYRGFLSPTHPSYAFAGQSTYTSNDYSMFPMRPNSRNILEPWNEAPQFRAQTPGRTIRTRPIL >CDP02119 pep chromosome:AUK_PRJEB4211_v1:7:1541306:1542330:-1 gene:GSCOC_T00039408001 transcript:CDP02119 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRHRGWALDSFDRYKEFRYCMTVNVIAFLYSGAQAVDLGYQLCASKSRLLHRFRHPIDFALDQASPYHFHYSRWLFI >CDP01556 pep chromosome:AUK_PRJEB4211_v1:7:10821539:10822810:-1 gene:GSCOC_T00036648001 transcript:CDP01556 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLCNLKSINIDLVSETAWIQTGVTLGQLYYAIAQKSKTHAFAGGLCPTVGSGGHISGGGVGTLLRKYGLAADNVVDARVMDANGQILDKRKMGEDLFWAIRGGGGASFGVILAWKLKLSRVPEQVTAFTVRRKLNRGNIKLIQRWQNIAHQFPEDLFVRMILQNPAPVIKGGEKIVQISFQGLYLGTADKLVTLSSRYLPEFGIKVRDCFQDPIEIKNCKRKPCIKKECYQVPWIKSALYFASKTPKSSLNYLVSKSSAPAYYKAKSDFVTRPIPDKAWVLLKKMFLEEDSPMMILDPFGGRMSHICKSELPFPHRNGTLYNIQYLVKWKHNNQSESNKHIKWIRKLHKKMEPFVSQSPRAAYINYRDLNLGVNGERYKYEEAKTWGEMYFKDNFEKLARIKSKVDPSNFFRNEQSIPLLF >CDP01957 pep chromosome:AUK_PRJEB4211_v1:7:7402750:7409060:1 gene:GSCOC_T00037152001 transcript:CDP01957 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNKEEREKKNWIPRGSSGWPLLGETLDFIACGYSSRPVSFMEKRAALYGKVFRTHILGKAIIVSTDPEVNKVVLQNQGNAFIPHYPKSISELLGKYSILHINGPLQKRLHALIGGFLRSPQFKAQITRDIEESVRHVFSTWMQKQDRRIYLQEESRKIAFEVMVKTLMSVGRGEDLNLLRTEFEEYCKGLISLPVKLPGTRLYKSLKAKDRMLKVVRSIIRQRKMAALEEKQGMNGLLNDVIDVLLRDTFESSAAEEAQPRLPLDSIGENVIELMIPGEETVPTALTLAVKFLSDSPVVLARLLEENMELKRQKAKSGDEYYWTDYVSLPFTQNVISETLRMGNIVNAVWREAVKDVKIKGHLIPRGWCVLTSFSSLHMDEANYENPYEFNPCRWEKTGASVNSNTFTPFGGGQRLCPGLELSRLEIAIFLHHLVTTYRWVAEDDDITYFPFVRLKRKLPITITPLVSA >CDP01477 pep chromosome:AUK_PRJEB4211_v1:7:11667554:11670843:1 gene:GSCOC_T00036547001 transcript:CDP01477 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKSLFFGYNNTLLPQMLKCHIYSNIHHNSIILLHLSLLPSIKSTMQAVQFCSKIKQTHSHKPQYYKATTYIFTYQESFINYSSSSHNMHSLPSFIFPKIIYYSFIISFGPWCILAPNPACLTKCGSLEVKYPLGTGYGCGSPRFHPIIACTATATGDRLLLTTHTGSYPITSISYSSSTITISPPCMSNCTFMQTCPTVLGLDWASPFQLGPSIFILLSCNPSISSLTTKDFNTLICDPSSTYLCASVYTCPAVVDLGLRLFPSTNTCCVYSPANLDSRDEFNLHELNCAGYTSVVSLGDVPSDPMQWEYGTVLKYNLGGLDSYNTAPSCRACELSGGVCGYAPPHNSFVCVCQNGVNTTTDCYNYLNGQWLSVSSTSHLSWKVWWGILAVLMYLLSNSFMSEQN >CDP01544 pep chromosome:AUK_PRJEB4211_v1:7:10958788:10960920:1 gene:GSCOC_T00036631001 transcript:CDP01544 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSFDSSEGNGLSIDDPEIASVLTEEMDHPWNDEALSFGYRRSVPIDLPSLTEENFVLMVEEEKSHLPKDDYLVRLRTGELDMSVRRLALDWILKAHSHYGFGELTIYLAMNYLDRFLSLFDLPEGTTWAAQLLAVACFSIGAKMAEIRLPPIEYLQVGDPKYVFEANTIERMELIVLTTLNWRMHSYTPYAYIDYFLRKINVGGGDGNDQELAFDALIGKAVNLILSTIKGIDFLEFKPSEIAAAVAIFVAEENIQAIDTEKAMSGLILIEKERVLKCHKLLKDLTLMMMMSGSTDTNMANASVPLVPHSPNGVLEAASLNFRSDEGTVGSCPSSSNNTPDDANRIKLDTETSPFGNNSQK >CDP02815 pep chromosome:AUK_PRJEB4211_v1:7:6748125:6751254:1 gene:GSCOC_T00040316001 transcript:CDP02815 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKLLSASSISLLGFGLCLFLCVHATAGEDGQTVPGAGHLVKKGERQPIISTGFGEVSGVRVSDGNETFNIHLITLEPNSLFLPVMLHQDMVFYVHTGSGNLSYRDENKRENTTIRRGDVFRLGSGSVFFIQSDVGLERQKLRIYAIFGNAGEDLREPTEYGPYSSIRDLVLGFDKKILQETFKVPEEVIEEITSGRKPEAIVRGLPGTQEKTIREREYQFIEAVFGSTSIFSIFETSNKDKKKSKKFNIFQEKPDFENCNGWSTTVTRKKYSVLKGSKYGLFMVNLTRGGMMGPHWNPEATEIAVVLQGKGMVRVVCPSLPNKAECKNARFGVEEGDIFAVQRFHPMAQMAFNNETLVFVGFSTSTENNHPQYLAGKASVLRTLDKHILAASFGINETTFDRLDNGGRD >CDP12859 pep chromosome:AUK_PRJEB4211_v1:7:13662223:13678628:-1 gene:GSCOC_T00037535001 transcript:CDP12859 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair nuclease, XPF-type/Helicase domain containing protein [Source: Projected from Oryza sativa (Os04g0648700)] MVFVSGLDPPPSVVGETPFSDKVSNSELANGGFSSRNSSSQPLAATADAAGALFSVYSPPGLNSPPSVVDETPILEKVSNSELAIAEFKRVDSNFQQLAAAADAPGALFSDCSPPESRLICLQSDNESERGLEAQEADERTGLIVDVAEEFELSSRFVESTLSYGEANQTHLAVVSSLHPCSLEYDLSLVHGTFGDESDRKELMVQVSEPKGKIKSKAISEKGSDSSIRKGRMSKEERLLLKEERKQQKEQDKLEKASQKAEAVELVKLQNEKQKIYLEEEKLEREAQKVEAAESVKLQKEKQKICLQKGKLERAAQKAEAAALKKLQKEEQKIYRQQQKLERAAQIAEAAALKKLAKEKQKWEKGKFAQKTIVAQIDSKIVEMGSIGGHLLTRFAEKGLSYRITSNPIERSIVWTIPVPEQLVQISSEGMVVPYVLLIYGAEEFCNLVMGGTLMDQASRVQYHYPNHTICYLTNRLMAYINKREQDHYKNPSKYEGWKRPPIEEVLAKLATHFTRVHSRLCCDEAELAEHVVGLTHSLASCQYRKKPTPLSVNANGSLVPKDCADRNLIKKNTWLKALVAIPKVQPRFAIAIWKKYPTMKSLLTVYMDPSKSVHEKEFLLQDLTTEGLLGDDRRLGEICSKRIYRVLMAQCGNVITDDVEHGADFFSFTSPLCC >CDP16737 pep chromosome:AUK_PRJEB4211_v1:7:816891:821948:-1 gene:GSCOC_T00019218001 transcript:CDP16737 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPSNTPASSSSSSSSSSTVSPQTPYSDHGTFISPLPSSQQQQQLQHLSPSAVLRSVRISDSASYHDTAVLQDAHGGSDGPSEKVNYWEKHGAGKNMVNVADQVGDGLRSIQDQGKLSQSVASPNNGQSTTSRGGPRGSSTTSAGRKTLMVSGNHLLNFHYDPISRQNPRPRAPPPRRKQRRKPYNKDLFIQANYKFVILDSGNYEPESMDPDKMLLWEDIICLKYFTPFEVHCPICLDKPLCPQITSCGHIFCFPCVMQYLMLGEDDRKAEYSKKCPLCFMMISSKDLYTIYIENVKLYSIGGVIEFWLLTREKDSFRLSLKNNEGIDTIDEVHDSFSKFTFTSDVDLSVREAMSDLDSWLARADSGLVDDVEKLPYVCAAIKQLEQRKKYWNERQVSNGYMTSRLNSSLTTFPGSPPTARVSNIGVDVYESPWGSPSNSVGDKSLWVETSTPDVSSSSLETSNLRESLDDRDRFSSSSSRDDRSLQVRFDGCNGGKDRDSYNFYQAVDGQNLILHPLNMKCLLHHYGSYDRLPNRITGKILQLESVTQSEAMRRRYRYLGHFSLTATFQFCEIDLSEVLPADSLSPFLDEIRNREKQRKRVARKEHRDKVKAEAVESNYAMSLNLEHNPHDEPPNFSIDDFEVLGTSTTTSSSPPNIRERQSFSNVARLGFAAAHDSPALKIEEARSHTGMEVSTGARNNSGPSFANITSRGKPPERTDVAEVNKMGKKGKKPSRVLLSTAGSRRY >CDP01924 pep chromosome:AUK_PRJEB4211_v1:7:7671168:7674566:1 gene:GSCOC_T00037107001 transcript:CDP01924 gene_biotype:protein_coding transcript_biotype:protein_coding MATITILVSLLFICTPLSSLAASSDTEAEIRALTVFKASLHDPLGALSGWDPSTPSAPCDWRGVFCYNGRVNELRLPRLRLTGALAPQISDLRMLRKLSLRSNFFNGSIPSSLAKCVLLHSVFLQYNSFSGALPPEISNLTNLQILNLAGNQLSGEIPGDLPTNLRYFDVSSNSFSGGIPGSISNVSQIQLINLSYNHFSGEIPASIGQLQRLEYLWLDYNNLQGTLPSAIANCSSLLHLSSEGNAIGGVMPAAIGALPKLAVISLSHNNLSGVLPVSMFCNVSIYPPSIRNVQLGFNEFTGIDSAESAGPCFSVLEVLELQHNRVRGSFPWFLLNVTTLTALDVSENDFSGSIPVEIGNLRRLGELRMANNSFRGDIPVGITNCGNLKALDLEGNLLAGELPDFLAELKALKALSLGGNRFSGSIPSGFGNLTQLESLNLRDNSLNGSLPEELLSLGNLNTLNLAGNKFFGSLPTNFRNLRQLSVLNLSGSGFSGAVPASIGNLYKLTAIDLSKQNFSGELPADLAGLPNLQVIALQENGLSGDVPEGFSSLMGLRYLNLSSNLFSGNIPSTFGFLKSLVVLSLSKNHISGLVPSELGNCSALENLDLHSNSLSGRIPADLSRLSRLKALDLGRNNLTGEIPEEISNCSSLNSLELGANDLSGNIPDSLSKLSNLSTLDISSNNLTGDIPANLALISSLANLNVSNNNLEGEIPVAVGSRFNNSSVFRGNQALCGKPLDKKCEEADNGDKRNRLILFIAVAASGTLLTLSCCCFYVYSLLKWRQKLKQGATGEKKPSPARASTRTSGGRGSGDNGGPKLVMFNSKITLAETIEATRQFDEENVLSRTRYGVLFKACYNDGMVLSIRRLPDGSLDENMFRKEAESLGKVKHRNLTVLRGYYAGPPDVRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHTAAMVHGDIKPQDVLFDADFEAHLSDFGLNKLTVATPAEPSTSTSVGTLGYISPEAAVTGETTKESDVYSFGIVLLELLTGKRPVMFTQDEDIVKWVKRQLQRGQISELLEPGLLELDPESSEWEEFLLGIKVALLCTAPDPLDRPTMADIVYMLEGCRVGPDMPSSADPTSQASPA >CDP01780 pep chromosome:AUK_PRJEB4211_v1:7:8815723:8825934:1 gene:GSCOC_T00036939001 transcript:CDP01780 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSQTQAPNMDQFEVYFKRADLDQDGRISGSEAVSFFQAANLPRQVLAQIWTIADQNRTGFLGRQEFYNALKLVTVAQSKRELTPDIVKAALYSPASAKIPAPKINLTPSPGTQSNFTAGSAVPPQSATAAVPPQTIGIRASQGLTSQQSQVMRPPRPPLPGATFQSPQVVGGPRMPHHGGIVPVSNPPSSSPSLGENNGVSQAGLSFQSNRSVGPVGQDSFAVAASGLPPSTQPGAQAASVLQPASSKPSDTSVSNLVEVKDSKAVAVASNGYAPDSLFGDVFSAAPIQTKQDSTKVTSGVSSLPVSSAIVPTSMGVQTAVTSSPLDSTNVTAGVSSLPVSSAIVPTSLGAQPPVGSQHHQGQLPVKPNQQFLTQASPALPSGAVNSAGWPRMTQSDIQKYSKVFMQVDTDRDGKITGEQARNLFLSWGLRREVLKQVWDLSDQDNDSMLSLREFCVALYFMERHREGQPLPTVLPSGIMFDETTLPVMNQPVAPQGNTVWRATSAFQQPHSTKPPPGKPPRPVPVPQPDDSVHPSRQKPKVPVLEKHLLDQLSTEEQNALNSKFQEASDAEKKVAELEKEILDAREKIQFYHAKMQELILYKSRCDNRLNEITERVSADKREVELLGKKYEEKYRQAGDVASKLTIEEATFRDIQEKKMELYRVIVKMEQDGGADSIQERANRIQLDLEELVKSLNERCKTYGLRAKPTSLVELPFGWQPGIQGAVADWDENWDKFEDEGFSFVKELTLDVQNVIAPPKPKSSLREKETSVNVNGDDKSSADADGKAEKARSTSNQRPEDDGANAQGEEHRVSSPPESPARTNALESESKEFEDSYSKRDISYDGSPHATQSEHGGTESVFMADKGFDEPGWGTFDTSYDTDAASELTHVTKDVHSERQSDNLFGSDDWGLNPIRTSTARADNMYPKQSPFFDSVPSTPSYIVGGSPLADSMFQKKSPFGFADSVPSTPMYSSGNSPQKFGDGPEERSFNSSFSRFDSFNMQDGGLFTPREPLPFSRFDSMHSTRDSEYDHGLSASRDSLARFDSFRSMADSDYNFGLFPPRESVARFDSMRSTRDSDFGQGFSSFDDADPFGSNDPFKTSLESQTPRRDSDGWNDHFKTSFESQTPRRDSDGWNDPFKTSFDSQTPRRDSDSWNDPFKTSFDSQTPRRDSDSWNDAFKTSFEGQTPRRDSDSWKAF >CDP02103 pep chromosome:AUK_PRJEB4211_v1:7:1425078:1432058:-1 gene:GSCOC_T00039388001 transcript:CDP02103 gene_biotype:protein_coding transcript_biotype:protein_coding MNDYPTPVPRRDGRRGTLHLDGSRKGAPARPHGRHMTMEDESGDLIKCTGKSCQSCTAGLIADCVAVCCCPCAVVNILVFAFLKVPWMVGRRILKLGKKKQQGQGAGKLERNERQKCVGEMTNYLECTMESDGISRKSRAVEQGASEFVFSGFGDEVLKDNFSARFEAEELWFELHQFGHLGFGRVSFTGIPSLSRTN >CDP16019 pep chromosome:AUK_PRJEB4211_v1:7:22185011:22187596:-1 gene:GSCOC_T00016998001 transcript:CDP16019 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIASAPIQSQSRYYIHVEAGIYEEIVEVWGNKTNIALIGDGENLTKITMNRRFPEFKTYKTATVFSQFMFFLTLSHLVILPFYLKALQSVSFCYRFMAKYITFENSAGEGSQAVALMSESDQSSFCRCSFLGYQDTLYAKSGKQFYKECDIYGTVDFVFGDAAAVFQSCNLYAWLPNRIITFTAQGKKIPNQVSGFVIQNSTLTAAPDLQSNKSQVHAFLGRPWFAWSTVIVMQSYLDSIIDPAGWYEWPGHRTDELTYIEYGNWGPGAGTGRRISWVGYKALNQSEEVIPFTVSKFITGDSWIPETGVPYTSSLY >CDP02014 pep chromosome:AUK_PRJEB4211_v1:7:7025530:7029864:1 gene:GSCOC_T00037221001 transcript:CDP02014 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQTQVQTQTQSQSPASSQPNSDVTSATQPTPVADVSINADVSQKPSNPSKIPIRPQKIRKLSSNPTSTIATTPIIKPLSAEGEINAALHHLRVVDPLLATLIDTHQPPAFESHHSPFLALTKSILYQQLAYKAGTSIYNRFVALCGGETAVLPDNVLGLSAQELKQVGVSGRKASYLYDLANKYKSGILSDETVVKMDDKSLFTMLSMVKGIGSWSVHMFMIFSLHRPDVLPVSDLGVRKGVQMLYGLEELPRPSQMEQLCEKWRPYRSVGAWYMWRFVEGKGSQNASVAPSVEGANVQPLQQIEPQQDAQQQHQLQLLEPINGMGNLGACIWGQ >CDP02321 pep chromosome:AUK_PRJEB4211_v1:7:2953352:2957335:1 gene:GSCOC_T00039678001 transcript:CDP02321 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSVIKWYHLKLLILIWLCFLPQKDAIFGSDSDASALLEFKASVMDPSVLLSSWDSSKASDHCSWFGVACDSASRVVALNISGGGGGGGGNSGSVSCARISQFPLYGSGIRRACSNTNVKISGKLPLAVSKLSELRVLSLPFNELSGEIPEEIWGLDKLEVLDLEGNLLTGSLPLQFKGLRNLRVLNLGFNGIVGGIPDSFSNCLALQILSLAGNQVNGTIPEFIVGLKDLRGLYLSFNQLSGPIPKEIGLNCANLEHLELAGNVLTEGIPRGLGNCRALRSLLLFSNMLEEVIPADLGQLQQLEILDLSRNSLSGALPPELGNCSKLSILVLSNSWDPIPNISRSGVSSEEFNFYEGKIPPEITSLPSLRMMWVPRTTFYGKLPSNWSSCDSLEMVNLAHNYYTGEITDGFSNCKTLHFLDLSSNRLSGQLVDKLPVPCMTLFDVSGNSLSGSIPRFYNSKCAHPPNTDRDISDISSAYLSYFAEKSRAEIPFESFVDGDGVYVFHNFGSNNFTGTLQSMPIAPDWLEGNTIYAFFASNNKLSGPFPGNLFEKCGELTGMIVNISNNGFFGQIPADIGTTCRSLKLLDASENQITGTIPSSFGNLASVVALDLSWNLFQGPIPSSFGQLKDLKFLSLAGNNLTGTIPTSLGQLQYLEVFELSSNSLSGEIPKDLANLRNLTTLLLNNNNLTGQIPSELANVATLSVFNVSFNNLSGPLPQNGNLMKCNSVLGNPYLGSCHMYSLTTPSADQQGRFGDPQNYAATPSPTPPQKGGNSGFNSIEIASITSAAAIVSVLIALVVLFFYTRKYNPRSRVGGSTRKEVTVFTDIGVPLTFENVVRATANFNASNCIGNGGFGATYKAEIAPGVLVAIKRLAVGRFQGVQQFDAEIKTLGRLRHPNLVTLIGYHASETEMFLIYNYLPGGNLEKFIQERSTRAVDWRVLHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDEDYNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAKEFFTAGLWDAGPHDDLVEVLHLAVVCTVESLSTRPTMKQVVRRLKQLQPPSC >CDP01759 pep chromosome:AUK_PRJEB4211_v1:7:8950601:8954054:-1 gene:GSCOC_T00036915001 transcript:CDP01759 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAMSIEEVVAEIMRMHRSLPTRPTIEEVEAAKTLIRNVEKEDQARIEAISRQIKAKNVPDELFKILQEMQKNMVYFQSKEQQKEAVKLLDLEHVHCVFDDLIQRASKCLSPSGSSQSNTNNESLSYSTSYSRDSSSMSLSTSASFSGNSINSPATTTTNTSTATTSSSLSTFYNEKDIVKASELFSKDDSYLKKAKGTFHVEGLRVGRRSGEFSTTPQIVDSTLKPVINVGQEGEKLSLIKLASLIEVSSKKGTRELNLQGKLMDQVEWLPDSLGKLSSLITLDLSQNRIVALPDTIGGLSSLQKLDLHSNRIIELPESIGDLLNLVSLNVSGNQLRSLPAKFARLVRLQELNLSSNSLSALPDTIGSLVSLKTLNVETNDIEEIPHTIGQCSSLKELRADYNRLKALPEAVGRIESLEVLAVRYNNIRQLPTTMASLLSLRELDVSFNELEAVPESLCFATTLVKMNISNNFADLRYLPRSIGNLELLEELDMSNNQIRILPDSFRMLSRLRVLNVEGNPLEVPAKNVIDQGAQAVVQYMVDLVAKRDAKPQPVKQKKSWAQICFFSRSNKRKRNGMDYVKA >CDP04746 pep chromosome:AUK_PRJEB4211_v1:7:15172475:15176079:1 gene:GSCOC_T00018830001 transcript:CDP04746 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEENYEDWKVCLKHYLVGHGLWGVVSGKEPEPSKDKKQEHEEWKKKNALALHAIQLSCGPATYAKFKEAHICARVAWNHLAEKIKRPHKFKEHDHEEENHVEDEAREYLNYGYLYKAIEEGKCHSIKSFLEQDPNAVRARVSSHRDTGLHIAILVGHIKIAEELVKKMQPQDLELINEYGATALSLAAISGATKLAKAIVEKNPKLVSMENDHDDGQLPVIVAALYGQKRTVRYLYKVTSKDELQGERGATLLNCLITAEIFDVASMLLKRYPHLGVTPDHNGDYTLRILAHKPSAFPSGTKLVLWKKWIYSCVMVHSPWESPRGSQTSHEDDSIGNALVGLIYWCYIKHIHDRKLVHDEAVKLLSCIFKEIRHMSKSQLEKMEIDKVLYEAIKHGIVEFVVEILKFTPEIIWRKDKKGRTIFAHAIVLRQEKIFSLIYGLGTQRCIMARRHDVFGNNYLHLAAKLSPQSQLDRVSGAALQMQRELQWFKEVESIVQPKLKEDMNDNKKTPSTLFTDEHKVLAREGERWMKNTAGSSMIVGTLISAVMFTTAFTIPGGNSQSGLPILLETQTKAFLIFMISNALSMFTSSTSILMFLGILTARYAEADFLNSLPTKLVIGLACLFFSIVTMMVSFGAAIYLMLNKQLTWVFYPIVALATVPVAFFSLLQFPLLVEMINRTYGSGIFGNSKRKLSWCGL >CDP02633 pep chromosome:AUK_PRJEB4211_v1:7:5427511:5429306:1 gene:GSCOC_T00040087001 transcript:CDP02633 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSNGNTSNNSNTNWLGFSLSPQMNKMDGGAAAADHPSQTALPCSNAVSSSVALSFSHPSSHFNYPGIYRGIEGDNAAAFYSPVTVMPLKSDGSLCIMEAMNVSQPQGMLSTPKLENFFGGTTMGTHHYEAGERRSMALSLDSMYYHQSLNHAPSSQDFLNNLQGNSRQQEQQRQQQIQVQQYAEYSPFRCHEFYQASEQGSKETHLAETNLQLPIMADNDLSGMKNWVSRNYLGDHSLEPKMTGSGGENRCESGSFGAMGYGDLQSLSLSMSPGSQSSCITGSQQISPTGTECVAIETKKRGPEKVEQKQIVHRKSIDTFGQRTSQFRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQGK >CDP16755 pep chromosome:AUK_PRJEB4211_v1:7:966420:969446:-1 gene:GSCOC_T00019240001 transcript:CDP16755 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLQTRNAGGKPPSQSSSSSSSSPSQAVAASVFQINTAVASLRRLVDAIGTSKDTPEHRQKLHNTRQRILQLVKETSAKLKSLGDSDRSVHVHASKRIEDAKLARDFQTTLQEFQKVQQLASERESTYSPSAPPSSSSTISGSDEHLATTMELENQPFLMEQKRQEVFLLGNEIAFNEAIIEERELGIKEIQDQIEEASEIFKDLAVLVHEQGVVIDDIQTNIEASSGATTQARVQLFKASKSGKSKSSWCWWVLAILVIVLIIVLLVLIF >CDP02455 pep chromosome:AUK_PRJEB4211_v1:7:3937765:3939240:-1 gene:GSCOC_T00039837001 transcript:CDP02455 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDKKQQLQKHFVLVHGACHGAWSWYKLKPLLESAGHRVTALDLSASGINTKNLQDLRTFHDYTLPLLELMASLPQHQRVILVGHSLGGLNIALAADKYPEKVLAAVFLAAFMPDSVHAPSYPLDKYVERTSAEAWLDTEFKPYGSPEEPTGTSFFFGPQFLATKLYQLSSTEDLELAKLLARPSSFFLPDLSNAKPFSKEGYGSVERAYIICAEDKGIPQDFQRWLIENNGVSTVEEISDADHMAMLSKPQKLCQVLQQIADQHAS >CDP12893 pep chromosome:AUK_PRJEB4211_v1:7:13267287:13271415:1 gene:GSCOC_T00037576001 transcript:CDP12893 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVILGMPGPWADDNSEVADHYTTKIGGLPDWPIPDIGIKPELLICPSCKSNLCLLAQVYAPISNESLTLEERVIYIFGCVMLQCGSNPSSWRALRVQKSVSSEDPFVHSNDVAPFPPSSVSAVKNDWQQDLWTFESREDDDGGNGDDLDLEDLSRAFSEAASLSSNSKKQSCDPEASLKPSSTDEKSKANDKRFPVLPCFYIYAQEEKFTKEVTSLWSKYGSLSVNGNKSDLDDHTLEERWEEEKYEYDKALNADRTYLKFKKRIDAYPEQCFRYSYGGRPLVAFMDKEDAGTCCLCGGSRQYEMQLMPPLLYFLQKATSKQPNISLESWNWMTLIIYTCSKSCAESIHLDKPNNHGWVVAEEAVVVQLE >CDP04707 pep chromosome:AUK_PRJEB4211_v1:7:15618145:15634632:1 gene:GSCOC_T00018770001 transcript:CDP04707 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLGLARGLIGEPAESPREITRTILTSENIGESGWLIRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLPGIESYLFQICYMLIYKPSPSLDKFVIDICSKSLQIALKVHWFLMSELEDNDDNDGISRIQEKCQIAATLMGEWPPLIRPQNAASSSPMGKNQMLNRLLSSKQKLLSLTSSPPSTQRSMSLSPTSGNNLQQDDGGGSNQNLNSKVSSPEENKIFKKFIPGPKMRDALLFRKSVDKDEEESEKDGFFKRLLRDSRDEDVRKVAGKDEVEPEKEGFFKRLRRDSSRDDDSRKSLDNDEEPAKKEEGFFKRLLGDNRDEDSRKSMDKSDDESEKDGFFRRFLKDSKDEEEELTSSSDGFFKRIFRDSKNDAEEKAGSKSGDDDGKEGFFRKLFKEKFEEKKDVGERNDDEERTRKNSEDDEKEGFFKKFFKERFEDKKDMNDRSQEDGKGQANGEEDEHLDFSLFRRIFRVHPEDPKSPAVQENSNGSNFLESSPGTEKFFRKLFRDRDRSVEDSELFGSKKNKEVANASIMVKNRFYHQKSSIQFLKQYRKQKHTVTVVVCQISVSDANTLIIYGYSLSPFIPYIWIFTLDHLVLFDFLSCLLRENWLYAFGGCGDTICFYSLEKSFVHLALFLQLKCPASPKQENEKLIAKPPLPNNAASHFRKGGYHASLDFVQSLCETSFALVDVFPIEDRKSALCESLVEINAHIAAAQSSGGICFPTGKGTYRVVHIPVDEAVLLNSREKAPYLICVEVLKSETASNSKDVSNSQKLSKGGIPLANGDALLPKPPPWAYPLWTGPDMYHSGYDRMSKSTSQAIDEAMTQLWEAKVKFVHVNLSVESQSNSEIHFCRPEITPSSGGQNKEFATHASLLREGLDLEWVKVSLTADPGVSMDDIVDQEPPRRREHRRVPSTVAIEEVKAAALKGEAPPGLPLKGAGQDSSDAKSKTANGGIPKETDALSGELWEVKKERIRKASDYGKLPGWDLRSIVVKSGDDCRQEHLAVQLISHFHDIFQEAGLPLWLRPYEVLVTSSYSALIETLTDTASIHSIKSRYSNISSLRDFFVAKYQENSPSFKLAQRNFVESMAGYSLVCYLLQIKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVSSEFFDYFKVLCIQGFLTCRKHAERIILLVEMVQDSGFPCFKGGPRAIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQKVLNGIL >CDP02313 pep chromosome:AUK_PRJEB4211_v1:7:2903146:2908422:-1 gene:GSCOC_T00039670001 transcript:CDP02313 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSLRPSLILGARERFGSKHPMHLGVNCGGRLGTNVIAVDGEFPSFLPKEVKEIKDPFARTLAQRIQRLPVKVGFSESCIMSSCVKPRFQHDTDPVVLLHCFDSSCLEWRCTYPLLEDAALETWAIDILGWGFSDLERLPPCDAASKRYHLYQLWRTHIKRPMILVGPSLGAAVAIDFAVNFPEAVEKLILINASVYAEGTGLLTKLPKFLAYAGVSLLKSLPLRLYANLLAFDDIPLSKCFDWANVGRLHCLLPWWEDATVNYMLSGGYNVVNQIKQVNKKVLVIWGECDNIVNSKLAMRLHGELPDASVHQIPDCGHLPHVEKPEIVARLIADFARPGAPKEEQEPIVLNSVDGKNTFLFNVLRVENA >CDP02066 pep chromosome:AUK_PRJEB4211_v1:7:1162238:1165209:1 gene:GSCOC_T00039347001 transcript:CDP02066 gene_biotype:protein_coding transcript_biotype:protein_coding MLIINNITQQLTEAKTVDATDSFQEKMPQQDKVVTTAEQTTNQSPGQEEKEERNLNVTTISDELKPELDPGEISPTVDNAEVGKEKRNVTVTTADESKPERQIGQKFEEPEVTDASQRTNTPMSSEDQVVEGPMQVSIEVNYCNAEERKEKRNGIDTTGDDLKPEVDQEVKVDKVSATTSNASQSKKQFEDPETVDATQSLNQLTTTEEKVVHGPPKVSTTEEAVNYFCKEEVKEENVNDATLSHDSNPHGVQEENDTKESETTENASESTKFKQPHCIEFTQNLKKDIPHEDEIVEGLHPSSTKEETVSYHNTEEVKAESYVKETTTRYELKPERDSKLKVSENIEAIHGPNTNMESEDDIIEGTHQVSVVENVENYRPAEERKEDNVNNTTGEKLSTIIKADKYPTSQNYKDKEKNSKVSSSIFL >CDP11572 pep chromosome:AUK_PRJEB4211_v1:7:20128407:20129198:-1 gene:GSCOC_T00033900001 transcript:CDP11572 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAEELKRATNNILEGNIIGQGGFGVVYKGSLADNGEVAIKRSKTIDYNQIEQFIQKWLRSCLEAKVPLLVYEFIDNGTLFKHLHEKNMARKICWEIRLRIAAETAEDLPYLHSAASPRIIHRNIKTLNILLDRNYTAKTSDFGASRLGPLDQNQLSTMVQGTRGYLGPEVFQTFQLTEKSDVYSFGVVLVQLLTGKLPICFDRSDGEISLSNHFLSSIKQNRLTEILEDFMVSEENIEQLTQVARLAERCWNVKGEDRPSM >CDP01799 pep chromosome:AUK_PRJEB4211_v1:7:8670612:8672941:-1 gene:GSCOC_T00036964001 transcript:CDP01799 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEKTIVEEYGVRVYFIGNLKLLDDNVRHAAEQAMKATAKNSRSILLICVAYTSTDEIVHAAQESCEQLCDELQALKPSGAPFGKISEELHEMVHAAQESSEQLGNELQALKPSGAPFGKINEIMNGKEEEKPEEREQGEANGEKQEDKSEEKSKEPRGKEKQGDFPNGEKLENASEEKQELSNGKLEEKSEEEQKGFVKEMKEVQPNIKLSDIERNMYMGVAPDVDILVRSSGETRLSNFLLWQTWNGLLYSPTALWPEVGLEHLVWAILNFQRAHPLFEKRKKQF >CDP12832 pep chromosome:AUK_PRJEB4211_v1:7:14000408:14001123:1 gene:GSCOC_T00037496001 transcript:CDP12832 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLHPVKPIGPLFINPKVSSSNISVDILKADDCLGWLDSKPPSSVVYISFGSVVFLKQEQVTEIAYGLVNSGVSFLWVMKPPEKLYSSKPHPTATSVGTSFHFLLFDSLWMELDSGGTCLWSAGFSFSPLGDQVTNAKYLVDEFRVGIRMCRGVAEDKIIPKEDVEKCLVGPKAAEIKESALKWKKKAEEAVALAGSSNQNMQDFLNQITLRSKVKL >CDP02061 pep chromosome:AUK_PRJEB4211_v1:7:1127609:1135105:-1 gene:GSCOC_T00039341001 transcript:CDP02061 gene_biotype:protein_coding transcript_biotype:protein_coding MAICLTSPRTHSSPEFGKLRSTNITIRKLHLNLAFNSKLRFPYSISIPNVQSRKYSHFSESSSVKGGGVSPEAYQDDDDDGPQIGDSNFDKLKEWVNIVRPFIPGGSWWNLYNAEGKQDGSPTSANPVSLPYALARMWTLVADQRWVLCTAFAALAIAAVAEISIPSILAASIFAAHSGNRDVFQGNSKLLVFLCFTSGICSGLRSGCFAVANTVLVKRMRKTLYSILLDQDFSFFRTEAVGDLTSRLGTDCQRLSHTFGGNLHLIVRNLLQGTGAFIYLVTLSWPLALSTMVICSLLSVIFLFYGRYQKKAAKLSQDYTASANEVATETLSLIRAVRAYGTERQEVERFVQQLKNIALVGIRESAANGFWSMGFHSLYRFTQVLAVLLGGMSILTGHVSAELLTKYVFYCEWLIYAAWRVQDNLSSLLQSVGACEKIFLLMNLSSNDQLLSKGKKYGVKLSKLRGDIDFVNVSFHYPSQNMVPVLENMNFSIRANETTAIVGVSGSGKSTLINLLLRLYEQTDGQIFIDHFSHRELDIRWLRGKIGFVGQDPHLFNMDIKSNICYGCNRNIRQEDIEWAAKQAYAHNFISSLPNGYGTIINDNLLSRGQKQCIALARAILRDPEILVLDEATSALDAESEYYIKCFLQSFKIKTKRTVIVIAQRMSTVEAADNILVIDGGKIVEHGKHSDLLRKDGLYARLWRIQANAWA >CDP19912 pep chromosome:AUK_PRJEB4211_v1:7:14687538:14689357:-1 gene:GSCOC_T00008834001 transcript:CDP19912 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVYLILKTIVAVLSCVKSSALSSSQGNLVVRCNESEKSALVKFREDCSNSMDRFSSWITEEDCCNWRGVMCSNKTGQWHVTMLDLRCHGPAESSQGDQVSDSLLDLPYLSYLDLSSNNFLQGQVPEFIGSLKNLEYLNLSNANFRGMIPDHLGNLSQLQYLDLSGNEYALRANKLEWLHGLSSLKVLELGGVDLSLVVNWLDAVNMLPSLVKLGFFACELNTLPLRPSAMNFTQLQILDLSFNKFNSPMPYWVFNISQSLSYINLSSNNLGGKLPDFSSKLNLSVLDMSKNSFVDPLPHFSLSMMSLILAENMITGTISPICESLVVNNSLSYLDLSLNALSGSIPDCWTRGNNLVVLNLAYNDISGMIPNSTGHLVHLKALILGINVLSGELPASLKYLTNYMSWILDTIIYLAKYQNGLGKIWEI >CDP01834 pep chromosome:AUK_PRJEB4211_v1:7:8345674:8347472:-1 gene:GSCOC_T00037006001 transcript:CDP01834 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKVTALPSGLKPGPPYPDWLNRADNAWELTAAAMVGLQSVPGLVILYGSMVKKKWAVNSAFMALYAFASVLICWVLWGHRMSFGTDMTAILAKPNEAMSQKYLLGQYKEYFLPNADYVFYQFAFAAITVILLAGSLLGRMNFYAWMLFVPLWLTLSYTVGAHTIWGSGFLETRGIIDFSGGYVIHLSSGVAGFTAAYWVGPRLSHDRQHFPPNNKIHMLGGAGFLWMGWSGFNGGSPLSAGLITSLAFLNTHLCTATSVLVWLSMDLIYYKKSSVIGAVQGMITGLVCITPAAGIVDTWAAMLMGVMSGSIPWYTMMILHKKLAFFQKVDDTLGVFHTHAVAGILGGLLSGIFAKPNLLRDFYGSGTISYGPGFLYGLIEGKKLEGFYQLLYQLAGAAFITVWNAVVTSLICILVSRVVALRMDEDDLEVGDDAVHGEEAYALWGDGERHPPPLKFNMTPKIPSFCRRH >CDP02033 pep chromosome:AUK_PRJEB4211_v1:7:6900829:6907435:1 gene:GSCOC_T00037247001 transcript:CDP02033 gene_biotype:protein_coding transcript_biotype:protein_coding MVAENGVKDEPQADSPTSVLEDEDACKEKNCVKMEGELLLDAKYGDSSLITETMAAEEEKLLEQRAKANSNEPDEVPVLNDIQFTKLDELLTQTQLYTEFLLENMDDISKKGLEGDEQTTKEKKKGRGSKRKAASNYNNSKAKRAVAAMLTRSKEGVSLEDSNLTEEERNEKEQAELVPLLTGGKLKPYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIAFLAHLKGNGLDGPYLIIAPLSTLSNWLNEINRFAPSLNAIIYHGYGKERDEIRRKYMPNTIGPKFPIVVTSYEVAMKDARKHLRHYHWKYMVVDEGHRLKNSQCKLVKELKLLRVENRILLTGTPLQNNLTELWSLLNFILPDIFNSDQEFESWFDLSGKGSNEALREELEEKRRTQVVAKLHSILRPFLLRRLKSDVEQMLPRKKEIILYATMTDHQKNFTDHLLNKTLEDHLREKAASGRGMKGRLNNLMIQLRKNCNHPDLLESAFDGSYFFPPVDQVVEQCGKFRLLDRLVGKLFARQHKVLIFSQWTKILDIIDYYFAEKGFEVCRIDGGVKLEERRRQIQEFNDVNSKYRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSVEGRMLKRAFSKLKLEHVVIGKGQFKQERTKPNSMEAVEEEDLLALLRDEGTAEEKWIQTDISEDDLERVLDRSDLVAVSSEQDGKTGSDSTVLPLKGPGWEVVIPTAPGGVLSTLNS >CDP12919 pep chromosome:AUK_PRJEB4211_v1:7:13000947:13001612:1 gene:GSCOC_T00037613001 transcript:CDP12919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative HAP3 subunit of the CCAAT box-binding transcription factor, Flowering time, Short-day promotion, Long-day repressio [Source: Projected from Oryza sativa (Os08g0174500)] MTGKKSQNSPVGSPLSGNVSDCSSKEQDRFLPIANVSRIMKKSLPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFENYVSPLKVYLNKYRENEGDKSSMVREEDQLPPISPNKTSSGHGEVSRGNDPISARPNFQTLSNAYYSLDSKGASKGHGDPGRMIGYSENLMAGAYNIGKIHEIEGSGNRVITTNLHHGIEW >CDP02168 pep chromosome:AUK_PRJEB4211_v1:7:1824632:1830768:-1 gene:GSCOC_T00039478001 transcript:CDP02168 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSRRGGGGWAHSFLPSTTKHAAKQPRKPRRRTALKDFILANFFTIGLSVSLLLFLLIVFSYGFPKPLLSSHLGAARTRFSGRTRKPVYRKSPASSNAVSAAVVDITTKDLYDKIQFLDKDGGAWKQGWKVTYKGNEWDNEKLKIFVVPHSHNDPGWRLTVEEYYDRQSRHILDTIVETLSKDSRRKFIWEEMSYLERWWRDASDTKRESFINLVKNGQLEIVGGGWVMNDEANSHYFAILEQITEGNMWLNETVGVIPKNSWAIDPFGYSSTMAYLLRRMGFENMLIQRTHYELKKELALTKNLEYVWRQSWDAEETTDMFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFVYELCPWGKHPIETTQENVKERANMLLDQYRKKSTLYRTNTLLVPLGDDFRYISIDEAEAQFRNYQMLFDYINSDPSLNAEAKFGTLEDYFQTLHEEADRVNYSRHHEVGSAQRGGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEQTLRSAEIMMAFLLGYCQRAQCEKLPTGFSYKLTAARRNLALFQHHDGVTGTAKDHVVKDYGTRMHMALQDLQIFMSKAIEVLLGIRHEKNDHNPAQFEPAQVRSKYDAQPVHKAISAQEGTVQTVVVFNPLEQTRNEIIMVVVQRPDVTVLDSNWTCVKSQISPELHHGRSKHFTGNHRLYWKASIPPMGLQTYYVANGFVGCEKAKPARLQISSADDLPCPAPYACSKVEGDAIEISNQHRKLTFQVDLGLLQKISNIDGSQNIVAEELGMYSSTESGAYLFKPNGDAESIVRAGGILVVSEGHLVQEVYSCPKTAYDKSPVSHSTRMYNGDKTIQEHLIEKEYHVELLGHEFNDRELIARYKTDVDNKRIFYSDLNGYQMSRRETYDKIPPQGNYYPMPSLAFMQASNGLRFSVHTRQSLGVASLKNGYLEIMLDRRLTRDDGRGLGQGVMDNRPMNVVFHILLESNISQIADPVSSSHPLSPSLLSQLVGAHLNYPVHVFVAKKSQEISVQPPPRSFSPLAAPLPCDLHVVNFKVPRPSKYSQQPLQEARFVLILQRRHWGSSYCRKGRSECMSVADVPVNLFDMFKGLAVLNAKATSLNLLHDDTEMLGYSEQFQEGAQEGHVLISPMEIQAYKLDLRPHE >CDP02397 pep chromosome:AUK_PRJEB4211_v1:7:3512259:3518821:-1 gene:GSCOC_T00039763001 transcript:CDP02397 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQNLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPVPVTQLVRETATVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGNDRKFRQVTDVLFNKHAHN >CDP12780 pep chromosome:AUK_PRJEB4211_v1:7:14599293:14602344:-1 gene:GSCOC_T00037430001 transcript:CDP12780 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAKSLPLSSALIKAPKSPGANLTSTNCIGINKWIRKKYTNPSLSFRSSCHETSSEPVVVPETRSISAQKRVNILPEALPYIEKFHGKTIVVKYGGAAMKSEALQASVMSDLVLLSRVGLRIVMVHGGGPEINQWLGRLGLKPKFVNGSRVTDATTMEIVSMVLAGKVNKHLVALINRAGASAVGLSGMDGRLLTARPSQNSDQLGFVGDIATVDPTVLRQLIDNGHIPVIASIAADKTGQSYNINADIAAGEVAAALAAEKLILLTDVAGILEDQGDPGSLVKEVDIQGARRMMNDGKIAGGMFQKVDCCVRSVAQGVRTATILDGRVQHSLLLEILTDEGVGTMITG >CDP02391 pep chromosome:AUK_PRJEB4211_v1:7:3476649:3480108:-1 gene:GSCOC_T00039757001 transcript:CDP02391 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLAWAADVVGAGGHDNGEDDNADSIPLIFTPEQLTYVQELDRKASSLRRSIQDLRLGLPPPDISQRLPHLHAHSLASNAALALQLNAHSATKEQVQLREFTLQEENAEYEKTIENCENKIRERLQEADLLQNKLKELDSTEKNLEFELQSLQAAVIASQFERSSESSVETNRLDEDEEAAEDANSALLDKLENKKNELASFEEIVQDLEKKWAKVQENAAKRPSPAQREKMLDKQLHSLIEQLEVKQAQAERLVSEIHLNEMELERLNALSRSLETSGADVNNTARNRFGRIGSGKGPASSDYIVDPHHKPQIGGRTETLQRLMLLRSAFVVYVLALHILVFIRLAF >CDP01460 pep chromosome:AUK_PRJEB4211_v1:7:11849329:11857666:1 gene:GSCOC_T00036513001 transcript:CDP01460 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAPGQLNLEESPSWGSRSVECFEKLEQIGEGTYGQVYMAKERKTGEVVALKKIRMDNEKEGFPITAIREIKILKKLQHENVIKLKEIVTSPGREADEQVNHEGNRYKGSIYMVFEYMDHDLTGLADRPGLRFTIPQIKCYMKQLLTGLHYCHLNHVLHRDIKGSNLLIDNKGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGATKYDSAVDMWSVGCIFAELLHGKPILPGKNEPEQLNKIFELCGTPDEINWPGVSKIPWYNKFKPARPMKRRIKEVFRHFDSHALELLDKMLCLDPSKRISAQEALVAEYFFVDPRPCDPRSLPKYESSHEFQTKKKRQQQRQNEEVAKRQKVQHPHQHARLPPIQQSGQANPQHWAGPNHPMNPSQPPLSSGPSHHQYGKQHGPPGGPSRYPSGGNPSSGFYPDRGGQGGSYGSGAYPAQGRGPPYPGSSLPPAAQRGAASGYGAPPNYSQSNQYGGSGAGRPNSMAGNRNQQYGWQQ >CDP02045 pep chromosome:AUK_PRJEB4211_v1:7:1037933:1041327:1 gene:GSCOC_T00039321001 transcript:CDP02045 gene_biotype:protein_coding transcript_biotype:protein_coding HSCFSAFGLLVYWLWAVDPALIIICIDRWLLLYSRACIFLSSQSLLRCSKFLIIRSSRGGSISFICSLHGALNNKVTRPVPSPTFKDKGNVLGNIGCNQADSRSQFSCSDFTEHFRGASKPGIRISQSPNNSGELPYTESGKKLKDQISSFSSYEKGSTSNSVTQDTCVATDITHNADKSFICMNCYKFLTEIAEKSSGLSALLPTNQVYELPHNPSAKTRRSAGY >CDP02057 pep chromosome:AUK_PRJEB4211_v1:7:1100112:1103667:-1 gene:GSCOC_T00039336001 transcript:CDP02057 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSNLAGTVDPYERHLFLCYKSHDSWPSRVEASDSDLLPKLLSAALKARKADIKIKARLTICEGLEDLQLSDGDVLVFPDMIKYRDLKDSDVDGFVEDVLVNGNSWAAGKQEVLTGSYVFVCAHNNRDKRCGVCGPVLIEKFKEEIDSRALKSQVLVTACSHIGGHKYAGNVIIFSANAQAKIDGHWYGYVTPNDVPELLDQHIGKGEIIERIWRGQMGVTEEAENVHQQKLLNGTSLNNYAEKPQEIRSEEKNEGSSSCCQGANGVSCCRDVNFQEKEVQKGTGKLSNWIGRWEQRDVLTTVAVLGAVTTVAVAFAFYKRSR >CDP02552 pep chromosome:AUK_PRJEB4211_v1:7:4787876:4789727:1 gene:GSCOC_T00039972001 transcript:CDP02552 gene_biotype:protein_coding transcript_biotype:protein_coding MKMHPFAKFSLSSSGTSSSSSRRNFVDKPKNRRAWLFVALVFPILILLMFTSAPRQYLSIRRLKLRFRAPAGSEQCKYGTVYVYDLPPSFNKKLLDNCRAIDPQNSLCDALSNDGFGPKATDFQGIIPEDLTPAWYATSMFAGEVIYHTRISNYKCRTYDPDSAAAFYIPFYAGLATAKYLYANRSTESERESQGESLVKWVTEQPSWKRSNGADHFIMLGRVSGDFRPGDHHMDAKSGSSFVLMPPIRQTLRLALERSPWDRYEIGVPYPTGFHPRSNAELEKWLKFVRTRNRSKLFTFVGGKEKRLKDDFRGLLVDQCRDESDRCTVVDCSETPCSDGSPAILEPFLGSNFCLQPRSGDSFTRRSTFNCMLAGSIPVFFWKRSIFGQYDWFLRDDPERFSVFIDENQVRNGTISIRKVLEGYGIEEIQMMRERVTNLIPRFLYVMPGDDEYDDGGVGKYTMRDAVDIAVEGVLRRFKELRLVERQ >CDP01595 pep chromosome:AUK_PRJEB4211_v1:7:10381703:10385289:-1 gene:GSCOC_T00036696001 transcript:CDP01595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 [Source:Projected from Arabidopsis thaliana (AT3G11220) UniProtKB/Swiss-Prot;Acc:Q9C778] MAANKPRTSSFSRNVGVVPTSQVPGLKHGPNGTVFLSSGIADLDKILGGGFCLGSLVIIMEDPDAPHHMLLLRNFMSQGLVHKQPLFYASPAKEPRGFLGTLPNPVSLKDDKSREHDTEQEKTLRIAWQYKKYFEDQNLDSQKDGKVEYCSDFDLRRPLDRHALSGQCIDCVSLQDCPNLATLYDRCSTFLAQIPRFDGNITLAGRISIQSFSAPQCHFSDKEWDMLSFIRSLKSLVRSSNAVAVMTFPPTLVSPTVLKRWQHLADTLLSVRALGSDEDKDLAKLLTGYQDMLGLLNVHKVARINTQVPVILEATTYSMKLHKRRSLVLECLNQAPVDGSSGTSYATSGSCSVSSKTGAFDF >CDP01426 pep chromosome:AUK_PRJEB4211_v1:7:12250961:12253437:-1 gene:GSCOC_T00036471001 transcript:CDP01426 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFVILVIFCLLHSLVAVKAQLLSQNISIGTKLFPNSYPSSWLSPSGLFGFGFYPKGNGYAVGIWLIGTPTNTTVWTANRDDPPISSNAYLWFTRQGWLLTTPDTQKKINAEFEDQLSPAVSASLFDSGNLVIHNETHTLWESYKYPTDTILGGQYLPSGTELVSSFSSSDQSSGRFGLVVEDYFLAAYPINSKNILYWTFAATGLNDSSEWLNFTDEGLVLNNRNETAAIHRATLDADGNFRIYSHRFRNSSNNQTISVEWSGFENPCQASGFCGVNSFCSRSGGTANCTCFPGFNYIDPNMAFLGCYRDFVNHGFCWQNLQELTYNFTIMKGIMLGGHPYSEFSAVDWDCQNACLVDCNCWAVLYSTEGDCKKYKLPLLYASVDGSPSTNFTLVHRTMDLSALTHEHPKREIRNKSKRRRLIAIIGLSLGLLAFLFTLFAIFSFFLFRKGVQQYHKLLEIKNLGLNKEFTLRSFSYNELERATDGFKDELSSTSFGKVYRGTLLEDSKIVAVKRQENCEQEGGRDFIAEMTAIGRIYHRNVIQLLGFCLEGTKKLLVYEFMSKGSLADVLFQEETRPTWEQRKKLALDVALGISYLHEECEPCIVHCSIRPQNIQVNNSWTAKLCNFETARLLMPTQNGILSAVDRAVRGYLAPEWQNNALTSEKIDVYSYGIVLLEIICCRSNVDNTVSTPDEVQLASWAYKCLVTNELKKLIRDEEIELMSLERFLKVGFLCIQEAPHLRPSMRNVILMLEGRMEIPSTLPKP >CDP02634 pep chromosome:AUK_PRJEB4211_v1:7:5429558:5431711:1 gene:GSCOC_T00040088001 transcript:CDP02634 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAARAYDLAALKYWGPSTHINFPLENYQHELEEMKSMARQEYVAHLRRKSSGFSRGASMYRGVTSRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGANAVTNFDISRYDVDRIMASSNLLAGELARRNNNLESSNDISIGNPSNLNCNEEVNTTSKENNDNVLDWNTSSFPMSVNDLMGMETLSTTAHQEAAGSGKMTTHFSEPSSLVTSLSCSREGSPDKSNSLPMQFTIPPAASKLFASPSPHAANPWISSTQLRPHVSVFASWADAS >CDP02492 pep chromosome:AUK_PRJEB4211_v1:7:4278937:4279636:1 gene:GSCOC_T00039889001 transcript:CDP02492 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTSTQVGKGKADAKKPKQSINVIQIQQHQSWKASTQIVAKVNTSRNSKILFPLGEALPVY >CDP04684 pep chromosome:AUK_PRJEB4211_v1:7:15911531:15912382:1 gene:GSCOC_T00018740001 transcript:CDP04684 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDTIADDIKIIKVEVFKIFDNKKVDVKVVQGNIENQLTHVRISSLSCNIRESNTLSCIDFKLPDNFLQLQEEDLCEELYRHLKRSRYLIVLDDVWDIEARNGLEASFLNDANGSRVLLTMTSRLHDVARQDKLDNEPHSLQQLTDVDSWHLLTEKFFPGKELPPKLCELRMQIVKKCQGLPLTIVILAGILANVDQDGWKVSSGKFGFKQYNLKACFLYFGAFPEDHEHNTERLI >CDP16704 pep chromosome:AUK_PRJEB4211_v1:7:554631:557195:1 gene:GSCOC_T00019174001 transcript:CDP16704 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDALAKAFVEHYYSTFDANRAGLSTLYQDASMLTFEGQKIQGSANIVAKLTSLPFQQCHHQISTVDCQPSGPAGGMLVFVSGNLQLVGESHALKFSQMFHLMPTPQGSFYVLNDIFRLNYA >CDP12828 pep chromosome:AUK_PRJEB4211_v1:7:14125750:14126160:1 gene:GSCOC_T00037490001 transcript:CDP12828 gene_biotype:protein_coding transcript_biotype:protein_coding MRMHPVALLAPRCARKDCKVAGYDIEKGTRVLPELWETTEEFCPDRFTGKDIEFKGQDCKFRAFGAGRRMCPGYNLGLKVIQSSLANLLHGYRWKLPNDMMPEDLDMEEIFGLTTPRKIPLVAIVEPRLPRNLYSL >CDP08400 pep chromosome:AUK_PRJEB4211_v1:7:25954466:25962781:1 gene:GSCOC_T00027235001 transcript:CDP08400 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKPLIALVFTLLLLLSSASPSPLPASVCIIGSGIGGSSVAHFLRHYSNSNPYDAQIGQIRIFERHGVVGGRMATVSVAGETFEAGASILHPKNYHALEFTRLLNLTVRKPSTSMSCFSLGIWDGHKFIFKTFTSDSESVIVQSFVSLANSIKMFLRYGRSLFRMTNFVERMLGKFLKYYKPFDSRPVFESVEEMLKWAGLYDLTTQTLEEELVDAGLSPLLIQELVTVITRINYGQSVRISGLAGAVSLAGSGGGLWSIEGGNWQMAAGLINQSHVVLHPHTEIESLSYVSGLYELNSTEGESYTCEVAVIATPLDELNIRFTPGISIPERQLQHTFTTFVRGCLNPKYFGFDMVSDIPELVGTTETPGVPFSSISVLNKHGEKDMTYKIFSRQLMPEELLNHIFSVRNETIKINWAAYPHYHAPEVFAPLILDSKNLYYVNAFENAASTMETSAVSAENIARLILSRLSSQNCSGTSGLKNFCSEYQMHSDL >CDP02256 pep chromosome:AUK_PRJEB4211_v1:7:2527899:2531629:-1 gene:GSCOC_T00039604001 transcript:CDP02256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 homolog 9 [Source:Projected from Arabidopsis thaliana (AT3G29060) UniProtKB/Swiss-Prot;Acc:Q9LJW0] MKFGKEFVSQMVQEWQQAYMDYNFLKKRLSLYRAFSGLTSYHNSLRSSLGKNNEDEVILVSAAQQPEDHDSEGSYQTMFLMSSEEGGEYELVFFRRLDDEFNKVLKFYKAKLNEVKSEADELSKQMDALIALRIKVDNPLMQLNGAYKSTNGRAPPPDSVVHSNNVGKQAMSHMDAIQEVEMGSEGISEDQMRIGGRESKSNHQRMRDKSNLNVAEGFAFPELEVLDHVRVNVEPETPVSTLKNVFVSSKSDLSFSKEELKKAEDKIKQAFVQFHQKLRLLKSYCFLNLLAFSKIMKKYDKITSRSASKTYLEMVDNSYLGNSDEITRLTERVEATVIKHFANGNHREGMRLLRPQSYRERHSVTFFLGLFSGCSISLVAAIIVSIHARNLLNSKERGRYMENIFPLYSLFGYIVLHMVLFAVNMYLWRCFRVNYPFIFGFKEFVGYREVLLLASGLSVLTLAAVLSNLDMEMDSGTKSYRTITELVPLGLVTVVLFILFCPFNTIYRSSRFFLIQCSWRCLCAPLYKVTLPDFFLADQLTSQIQAFRSLEFYVCYYGWGDFKRRSNRCQESHVYQIFYIIIAVVPFWARFLQCLRRWLEEGDPVQVLNGLKYFSTIVAVVMRTIYDLRRGTFWKIMAAASSGVTTIGSAYWDIVMDWGLLRRNSKNPWLRDKLLISNKKIYFAAMVINILLRLVWMQLVLDLQEAPFLHRKALVAVVACLEILRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFYHDGEKNRQPHCTF >CDP01656 pep chromosome:AUK_PRJEB4211_v1:7:9863690:9868361:1 gene:GSCOC_T00036774001 transcript:CDP01656 gene_biotype:protein_coding transcript_biotype:protein_coding MEERVKFLAMIGAGALLGSAATVAVLKLLPRFLTMHNSSYLTTFSQEAAKTNGGTKHYNYPAVVDRGVGNPDLLADEVVSEHLTRNIQFFGLESQEKVTQSYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSVSSLNRHAVATREDVGTPKALCLKKHFQSIFPECHVNAKVLLYDSSSEEEILSGNPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRSVRHRLRKDYGIDGGIPVVFSLEKPKAKLLPFKGPSGEEENPSDYQVVPGFRVRIIPVLGTIPAIFGQIMASYVVTQLAGLQVQMEPVVNLDTDRYCILHQRLIEHEELLYGTAMQVQMLKKLCIL >CDP01830 pep chromosome:AUK_PRJEB4211_v1:7:8373776:8377319:1 gene:GSCOC_T00037001001 transcript:CDP01830 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGCARRLSLLRVNCLPEQTTRETEENSEAKTPTSASASTSTSSSYSSDNESGVTALNWVAGLGGLGFLETSYLTYLKLTDSDAFCPVGGGGGGCGSVLNSDYSVVFGVPLPLIGMLAYGAVATLGLQLGSKKLPFGIGETDARSVLLVTTTSMAVASAYFLYILTTKFSGESCLYCLTSAFLSFCLFFITLKDFGLKELQKVVLQLCVAVLVVITLNSSYNALQPVSTSLAEVDLPLVKTEVTTKSSPLAVSLARHLHAIGAKMYGAFWCSHCLEQKEMFGHEASDILDYVECFPNGYRKGTIMAKACTDVKIEGFPTWVINGEVLSGELDFSELAKASGIKLEDLSQAN >CDP02731 pep chromosome:AUK_PRJEB4211_v1:7:6121902:6127029:-1 gene:GSCOC_T00040207001 transcript:CDP02731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase At1g66680 [Source:Projected from Arabidopsis thaliana (AT1G66680) UniProtKB/TrEMBL;Acc:Q9C9M1] MAGIRLQPEDPDASQARPPASSDLISDDDRSVAADSWSIKSDYGSTLDDEQRHADASEALSVANFRAASDYSSDKEEPDAEAVQSMLGFQSYWDAQYADELTNFREHGHAGEVWFGADVMEVVASWTKSLCGEFCQGHLSNHVDDSNCESVGQLEKEPFNWSVLDIGTGNGLLLQELAKQGFSDLTGTDYSEGAIDLARSLAHRDGFTNIKFLVDDILETKLDRKFQLLVDKGTLDAIGLHPDGPVKRIMYWDSVSSLVAPGGLLVITSCNSTKDELVQEVDAFNQRRNSPSQELGASGDQEVNRDPPLFRYVDHVRSYPTFMFGGSVGSRVATVAFQRN >CDP16759 pep chromosome:AUK_PRJEB4211_v1:7:997121:1000976:1 gene:GSCOC_T00019245001 transcript:CDP16759 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNLGYSFLLHLLAQYVTRNSRKSLRVLGSVGEPINPSAWRWFFNVVGAARCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGIQPVIVDEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFSTFPGYYFSGDGCSRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVSHPHCAEAAVVGVEHEVKGQGIYAFVTLVEGIPYSEDLRRSLILTVRNQIGAFAAPDKVHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPSVVDQLIKLADC >CDP01965 pep chromosome:AUK_PRJEB4211_v1:7:7357275:7360201:-1 gene:GSCOC_T00037160001 transcript:CDP01965 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGKRGRKRKEVLIQESLQAAAGGGGGGLHQMQLDDPFLPSFPPRQDTVILPAKHRYPTRGSSAVPHECASSTASLTFPLRHQIGTPKQSYVTAKRVLLEANNTLYPAGGDVKALEKCSSELPHQIQVSTFPATSLSHSSTSAEIGKSMKNAGSSASTKEWSAPISSGDRLDVEKFTANLLSQTERPENFLPLVPLINERNSTEKNLHMTGANLGSNAIHEVPEKIATSSHTKKNSQLSRCPSFNLSEMAHMSNDDFLDDEGVESAIDPALVTVHQYRVNQEVAPLLRAIFAKYGDIAKNSILESPKTRGIFLEMVCGIYQKLENSAFLDITSFELNNLLHLIHDLECMKLDVKWLHQKIDEISYAKHILGDYFSLKKERTRNFELIARKEEELGVLQQKIFLEKVELEAMKNKAEEIDKKVVDLKEKASGFCRKSLVHGLL >CDP08393 pep chromosome:AUK_PRJEB4211_v1:7:26520849:26527198:1 gene:GSCOC_T00027220001 transcript:CDP08393 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEATGHIVTVELKSRELYRGSMVECEDNWNCQLKNIFFEASDGKVSQLEHVFIRGSKVRFMVILDMLKIAPMFKHLEARIKGKGSALGVGRGRAIAMRARAQAAGRGAPPGRGVVPPVRR >CDP02079 pep chromosome:AUK_PRJEB4211_v1:7:1251336:1255002:-1 gene:GSCOC_T00039361001 transcript:CDP02079 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLGKGGSNISVLVDGCNLSLISLDESKFLVNNHVILSEVPANIVATPSPYTTGDKPVTTSSGCFVGFDSLEAKSRHVVPVGKLKDIRFMSIFRFKVWWTTHWIGTQGADLENETQIVILDKSDSGRPYVLLLPLIEGPFRASLQPGEDDYIDLCVESGSTKVNGSLFRSVLYMHVGDDPFTLVKEAMKVVRFHLGTFKLLEEKTPPGIVDKFGWCTWDAFYLTVQPQGVWEGVKDLAEGGCPPGLVLIDDGWQSISHDDDPITTEGMNRTSAGEQMPCRLIKFQENYKFRDYESPGKSGSGAGPNKGMGAFIRDLKDNFKSVDYVYVWHALCGYWGGLRPDIPELPESRVIAPKLSPGLQKTMEDLAVDKIVNNGVGLVPPELADQLYEGLHSHLESIGIDGVKVDVIHLLEMVCEDYGGRVELAKAYFKALTSSVRNHLKGNGVIASMEHCNDFMFLGTQAISLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAEFHAASRAISGGPIYVSDSVGKHNFELLKSLVLPDGTILRCQYYALPTRDCLFEDPLHNGKTMLKIWNLNKYTGVVGAFNCQGGGWCREARRNKCASQYSHSVTSTFSPKDVEWKQGTSPISVDGVQVFALYSFREKRLLLSKPSDDFEISLEPFHFDLVTVSPVKVFSGKGVRFAPIGLVNMLNSGGAIQTMVFNDDADAVQIGVKGTGEMRVFSSQKPTVCRVNGNEVAFEYEGHMIIVQVPWPNSSGLSVIQYQF >CDP16676 pep chromosome:AUK_PRJEB4211_v1:7:397454:405112:1 gene:GSCOC_T00019142001 transcript:CDP16676 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLYGVHNPIYTFQLISLCHSGWQKNYFLVTMVLGMKAKNRKSPSVQVDYLIHIQEIKPWPPSQSLRTLRAVVIQWEHGDRSSGSTNQVVPSLGTGSAVGDGRIEFNESFRLPVTLLRELSAKGGDGDTFQKNCIEFNLYEPRRDKTVKGQLLGTAVIDLADYGVVKETLSISASIHCKRTYRNTSQPLLFLKIQSVDKSRVSSSSWDHLTREASVDKNGVDSVSALINEEYAEEAETASYSDDDGSSHSSLAVSSSAVESNGGSPQNKENGLEGIKASVGKAGDVRIPALEQQLAEADDKQLTTSNASLKGGSSRSSSVDLTSDLAWITKKIGARSVQSTSSPITNEVTEKEMSNMRSPTVFGEVPCDIGENVLDSGSIDTRSAIEQSSKANTCNPISKEAFSDSKVNGNEILSSLNSLDSSIDEVVDDNAAVLSKGICKIDLAQNGFAGGDNCEIYQENGKQWKFTEGMGQCMEDKPMDSFSRDDLRDQDFFENDVLSPSREHIEIKSHNQNADRNSKHVKSVRSPMDQNRSNNGSAQGNQFVSGGRQSVGQGFVSSERRDNKVHPKETRNILSDSKIKQLEQRIKRLEAELRETAAIEVGLYSVVAEHGSSTNKVHAPARRLSRFYLHASKVNSQSQRGGAAKSAISGLILVAKACGNDVPRLTFWLSNSIVLRAIISESLAEQQLPLSGPMNGKNGIRNGNMTSSPLKWQSLSSNSIQSTLDQSSDDWEDPCTFTSALEKVESWIFSRIIESIWWQILTPHMQSGAIQRGIILDSNKMYQRTSSSGDEGTFSLELWKRAFKDACERICPVRAEGHECGCLPILSRLIMEQCVARLDVAMFNAILRDSIDDIPTDPVSDPISDANVLPILVGKASFGAGAQLKNAIGNWSRWLTDLFGIDDDDGTLEDVNIAWESSDHENIPQDTSLKPFNLLNSLSDLMMLPKDMLLSRTIRKEVCPTFGPTLIRRVLNAFVPDEFCPEPIPKVVLEALNSEDSFDAEDSVNFPCSAAPIVYRPPSPASVAGMIGDAGNHYRLTRKGSLVLKKSYTSDDELDELASPLSSIIDSLQGSSSTETIWASRGNGCQNAVRYQLLRAVWKNSKK >CDP12871 pep chromosome:AUK_PRJEB4211_v1:7:13453893:13455798:-1 gene:GSCOC_T00037548001 transcript:CDP12871 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFNLLICAISFLSLETIDVEGYLGMNWGRLATQRMIPSMVVDLLMQNKINYVKLYSASDNVLEAFSETDVGLEVTMPNLYTQKLKNQADVIDWVVQIIVRHPNVHFMYVCIGTQPLSITFSNQTYPEAIQVLDWTQRALNLINKSEIKATMSHFTDVLIPVKKPSEGAFRANLEPNMTRSCQILQSNNAPIGLDVFPLLSVNDLFNGDTEFAFFENNSTSVFKDGDKTYSNVFEVMYDMFDVALEKVGCPNMTIIVDAIGWPTDGIKDGNIPNAQRFHQGLAKFVASKKGTPRRPGPIDAYIHNLSDENKINKSTGSFRRHWGIYKFDGQPKFNFDLQGLGRDVKLVPAVGITHMPKRWCIVNGDVGNDIDNTTRENFLDACDSTVDCTAMEAGASCSNLTETQKLSYVFNMGFQTAAQNVYNKSCYYNGYGKIVSDDPSTPFCTFPVEILAAEIPNFNGYARSLAHALKPSSISLMIAIMQTLFICFILMCTCHYNHTD >CDP04665 pep chromosome:AUK_PRJEB4211_v1:7:16420172:16421003:-1 gene:GSCOC_T00018709001 transcript:CDP04665 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVYAMNGGNGPQSYNQNSSFQRGAVEVAKELIKEEIDKELDVKQLSSTSVHPFRIADFGCSTGPNTFVAMKVIREALEEKLRKEGLASEVPEFQVFFNDHISNDFNTLFASLPQERHYLAAGVPGDFHKVLLPKASLHFAHSSCSLHWLSDVPKEVTDNTSPAWNKGKIHYGGAKKEVLEVYASQFAKDLESFLNARAHELVDGGLMALVIPAVLDAIRESQTTIVTEKELEVLGYCLMDLAKKVRFH >CDP02342 pep chromosome:AUK_PRJEB4211_v1:7:3169066:3170714:1 gene:GSCOC_T00039703001 transcript:CDP02342 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSLRSFQIPAIFSSGSRLMAQSAGSSGGKRLVQVDISSDTVCPWCFVGKKNLDKAIASSQDQYDFKIKWHPFFLNPSAPKEGVNKKEFYTNKFGSRSEQIVTRMTEIFKGLGMDYDMSGLTGNTLDSHRLLYLAGQQGLEEQHKLAEELFIGYFTQGKYIGDRQVQIFLL >CDP02274 pep chromosome:AUK_PRJEB4211_v1:7:2644883:2650457:1 gene:GSCOC_T00039625001 transcript:CDP02274 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQILHSKRYSTGYCSIWGPNAIVSNDIWSVYHEDKAPKNGQFGDFLLPGQVTVNGSIEYNKEKVRQMILKHESMFRHQLQELHRLYGRQKELMDVFRRRDVKKGQIKAETSSLNACSSYIPCDNAKPTQHAAHTPMHLVFGQSSMSESADKKSLSGFGKDKNMLAFPSRWNGSSEICGPPCSNGNLLQRRMIDLESPAEVDMNHEERHFKERFSGLSGVKSNSAASGGPSTNENLHDRDMKSLNFSVLNFHQDGDALRSLWHLKRKNELADLNEPILLEETSISGSADNLGTCTCTSDNRQRVDLSAISNSILHPVRTEPMRPDAPSLMKDGSPTSSPVASQSSPAEPRKINEFSTSEVRKTERKMKRKLFGVDIFEVHDGSLVTHTNTVNGTDKYDQLSSKPSVVEVKDSVDSGRRSSFPSSIDGVFYQNGLSLCPQLNAKESMGNSAIDCNFISNTTTSALVHQISPPTDHVNSVEGLDCLGWRSAEVIKTAKITSNAFQDDVASDHYNLSADCQSENVLKGSKVHLMETEYRYEQSRAKSNSYHLNLDSLQHNSQQFFKKTKVILLSSQVLTDKQETTLPLLIHENKQKNTEVDKCSSTIMNSNFLTSNELRISNDLNFATEPPFLAADGDNAQDSVVEKVGPVDQAGGKDLKSEEPMNSSFSCLRCPIDLNLSVTEEEARSAPSLPLAVAKLATTEMDLEVPLVPAYESEKDTKMSSDGSKEPCEDLIRLAAEAIVAISVSGGEDTAEDATFISLDGTSNDCLEWFVDIVSSFECHDQSNTSVVNLSGDAAFTEDLIPDGMDYFEFMTLKLKDARSENYLYNYEAPVLQNQDDDEHVTTLSRRPRRGQARRGRRRKDFQRDILPGLISLSKLEVTEDFRTFEEVLRASGCNWESSLSRKSAAKDGRGRRRSGVTHPQKADAIYPPLEQKPTRRLPRQRSTNGTIAVSLDKK >CDP01539 pep chromosome:AUK_PRJEB4211_v1:7:10999128:11000320:-1 gene:GSCOC_T00036625001 transcript:CDP01539 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDQEENEGVEMELGPSYDSLGNSNSTRVSNKVPSPVEMSAEPTSQRKPRYRECLKNHAVGIGGHAVDGCGEFLAAGAEGTLDALKCAACNCHRNFHRKEALPGLPPHDPFHLYHHHYHQQPPPPPPQLLTYRTPSGYLHVSPPQQNRPLALPSISGGGEHEDMSNMNTSGGGGSGGGGGGFVGSSKKRFRTKFSQEQKEKMSDLAEKLGWKIQKQDEEVVQQLCNELGIKRQVLKVWMHNNKHTLGKKP >CDP08384 pep chromosome:AUK_PRJEB4211_v1:7:26628856:26629448:1 gene:GSCOC_T00027209001 transcript:CDP08384 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRHSFGLVLYRKSVLEDVIGSCVSKGYVFQMEMIVRATRKGYNIAEVPITFVDRVYGSSKLGGSEIVEYLKGLIYLLLTT >CDP16729 pep chromosome:AUK_PRJEB4211_v1:7:753208:756405:-1 gene:GSCOC_T00019205001 transcript:CDP16729 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSDDTLDLLAHSFPSFKSLVLVSCEGFTTDGLAAIAANCRSLRELDLQENEVEDRRGQWLSCFPDSCTSLVSLNFACLKGEVNLAALERLVARCPNLRSLRLNHAVPLDALQRILAQAPHLVDLGTGSFVHDPDSETYHKLKNAIQNCTSIRSLSGFLEVASRCLPSIYPLCSSLTSLNLSYAPGIYSNELVNLIRHCKKLERLWILDTIGDKGLGVVASTCKELQELRVFPFYAHGVGYTAVTEEGLVAVSAGCPKLNSILYFCQQMTNAALITVAKNCPNFIRFRLCTLNPTVPDAVTQLPLDEGFGAIVQSCKGLKRLSVSGLLTDQVFLYIGMYAEQLEMLSIAFAGESDKGMLYVLNGCKKLKKLEIRDSPFGDVALLADMGKYETMRSLWMSSCEVTLEACKKLATKMPRLNVEIINENEQMEASTDDKQKVEKMYLYRTLVGPRRDAPAFVWTL >CDP01824 pep chromosome:AUK_PRJEB4211_v1:7:8404651:8414315:-1 gene:GSCOC_T00036994001 transcript:CDP01824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E/G-like protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04270) UniProtKB/Swiss-Prot;Acc:F4IV66] MVILLSSADCSGLYSTRKIPRVGNSTCNCLMKGEMWTSCDILWKPQLIFSISLYSSNKRIRKVLVENSLARCHSRKPQAHVIRSSVMESWLPLCLPTRDKCNTMKCLRSDSSVSHQELQDHKFFCKAIKTISRKSPDFREKFSVDYEFLEEPWLSSQLSYPFVDSDASGVPRSESEIRQDLNCETIGSELLSQSTKKNFYPNAEGTVSPANESFNANGTFYEVDQPIEEPWLLQTFHSCSSDVKTVSNFPENEECSEDRETPPCIVDEHGQTPEKLLADDEDPVISEEDSVTTMILINSSICTMQRIAVLENGKLVELLLEPVKNNVQCDSVYLGVVTKLVPHMGGAFVNIGGPRPSLMDIKPKREPFIFPPFCHQRKDKNVNGSVVARLGENAGVPDNKTISDEIEEIDFVGDDESEVDSEEFMDDDIGEHENDEGGEILQVLKENCNGCAGDAFEFNSERYLEKLSGNGYQTPGATIDQKADHCKVESPDGTKWTQVQKGTKIIVQVVKEGLGTKGPTLTAYPKLRSRFWILIARCNTIGISKKISGVERTRLRVMAKTLQPPGFGLTVRTVAAGHSLEELQKDLESLLSTWKSIVEHAKSAALAADEGVDGAVPILLHRAMGQTLSVVQDYFSEKVESMIVDSPRTYHEVTNYLQEIAPNLCDRVELYSKSSPLFDEYKLEEEIDNILSKRVPLDNGGYLVIEQTEALVSIDVNGGHCMLGHGTSQEKAILDVNLAAAKQIARELRLRDIGGIIVVDFIDMQDDSNKRLVYEEVKKAVERDRSRVKVSELSRHGLMEITRKRVRPSVTFMISEPCTCCCGTGRVEALETSFSKVEREICRLLSMMELKADPEKPNSWPRFILRVDRYMCNYLTSGKRTKLAVLSSSLKVWILLKVVRGLARGSFELKHLTDDKEYQKQHQAPISMLRPAEIGSRSPTKTVTLFPKKWKTGGK >CDP01882 pep chromosome:AUK_PRJEB4211_v1:7:8031007:8032312:1 gene:GSCOC_T00037058001 transcript:CDP01882 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCWFVFHCTSLFLLLVPPLCSSGAEVVTVDVHAAKDLLHSGYRYLDVRTEEEFEKGHVVDALNIPYMFITPEGKEKNPKFMEQVRSACNKEDRLVVGCQSGVRSVYATTDLLNADFKNACNMGGGYIEWVKNGFAVKKPEPDTEL >CDP04607 pep chromosome:AUK_PRJEB4211_v1:7:17639445:17640837:-1 gene:GSCOC_T00018610001 transcript:CDP04607 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTINLGFPFNLEIVAAATHLALHFSFYGVLFDWYTYALIVDINHIDTKAALHGFVKKELLEDALTHMDLLIIPVGVHRKLAMTRDNLFNINPDIVRALRTNFKVLYGSYCLRGAIWGCNERHICKVMHYCSTIQLILFWCCLDLNFFFVTIVVRAIQIIVKLWNCMRVCIFQCERNIVCCELINLSLLCSSFMFIFHIAYVLISPDSSWFMWSYACTSLWSRMA >CDP16681 pep chromosome:AUK_PRJEB4211_v1:7:430220:431447:1 gene:GSCOC_T00019147001 transcript:CDP16681 gene_biotype:protein_coding transcript_biotype:protein_coding MGYERKFVLVMVILSLVEKQASATKHDVGGSQGWDESTDFSSWASGQTFKVGDQLVFKYTPGLHSVVELGSESAYKSCDISTALDSKNGGNDVVKLAKAGTRYFACGTAGHCGQGMKVKITTVAAATGTASPNTPSSTSSSTASTSPPSSALLQHLLPFILASSLLGFHLLLCL >CDP02421 pep chromosome:AUK_PRJEB4211_v1:7:3680237:3680851:1 gene:GSCOC_T00039792001 transcript:CDP02421 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMNSGGCIAAGCIDAQSPVKLSPAKLQQWAEADREFLRAICMNKGSPSCSSPMAAPNNNINVGRQRYLRSYKFSKKETFAQRTKKLWLKVKHDSKVDSDHHHQEGSAAGRPACSGLIYIFRFYFVCMAEVDVA >CDP01980 pep chromosome:AUK_PRJEB4211_v1:7:7262589:7266377:1 gene:GSCOC_T00037180001 transcript:CDP01980 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEQANWSPYDNNGGTCVAIAGADYCVVAADTRMASGYNILTRDYSKIAKLADKCAMASSGFQADVRALQKHLEARHLIYQHQHNKQMSTPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSQGKGCVFTYDAVGSYERVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAKDAVTPLSESEAIDLVKTCFASATERDIYTGDKLEIVVLNAEGTRREYMELRKD >CDP02780 pep chromosome:AUK_PRJEB4211_v1:7:6485387:6489092:-1 gene:GSCOC_T00040276001 transcript:CDP02780 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKIRKNPPKPKFSDPDTGIWSWIVQRGTVASFICISLFALLVRVCVSLHPYSGAGNPPKYGDYEAQRHWMEITINLPVKEWYRNSTINDLNYWGLDYPPLTAYQSYFHGLILRCFDPKSVSLYTSRGYESYIGKLLMRWTVLSSDALIFFPAVLYFINVYYSGKPIKEKTSVAWHIALILLNPCLILIDHGHFQYNCISLGLTVAAVAAILSDRDVVGSVLFSLALNHKQMSAYFAPAFFSYLLGKCLRQQNPVIEVAKLGLAVLGTFAVVWSPYLYSAEASLEVLSRLAPFERGIYEDYVANFWCTTSILVKWKRLLNMQPLKLLSLTATISACLPSMIQLIWKPRKSSFLYGLLNSALAFYLFSFQVHEKSILLPLLPASFLAMEKPFVLLWLTQNALVSMFPLLTRDKLIIPYIALHGLFILLYHLPGGRQHKKETQSSFGTLRLFLLSCFLVLHVVYLTIAPPRKYPFLFEAVIMLLCFSQFVVIFLYTNTKQWTPSKLSALSTEAKKHL >CDP02294 pep chromosome:AUK_PRJEB4211_v1:7:2755800:2759303:1 gene:GSCOC_T00039649001 transcript:CDP02294 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISAPRINAWNSDQLPIYEPGLEEVVKECRGRNLFFSTAVEKHVCEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIQDLFKPDRVLIGGRETPGGQKAIQVLKDVYAQWVPEDCIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTQVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKNRFVNRLVSSMFNTVANKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKARVSIYDPQVTEDQIQRDLNMNKFDWDHPLHLQPMSPTTVKQVSVVWDAYEATKDAHAVCILTEWDEFKTLDYQRIYDNMQKPAFIFDGRNIVNVDKMREIGFIVYSIGKPLDAWLKDMPAVA >CDP01384 pep chromosome:AUK_PRJEB4211_v1:7:12788754:12792017:1 gene:GSCOC_T00036409001 transcript:CDP01384 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHWLSTALALAAVWFFLQDLFLMKKKKRFPPGPKGLPVIGNLHLLGKNPHHDLAKLAKKHGPLMYLRFGYVPAIIVSSPEAAEKFLKTYDQVFASRPYHEASWYVSYEQRNLTFGQYGPYWRNMRKLCILQLLSSHKINSFLPMRREEVGTLVKSLKQAASDGAAVDLSAAISSLGANMSCLMIFGKKYMDKDFDDRGFRDVIQEGLHVAAMPNLGDYFPLLGLLDLQGLTRRFKDLAKVFDKFFEKIIDEHLHSQEHKQTKDFVDIMMGIMQSGEAEFEFDRSHVKAILLDLLVASMDTSVTAVEWAISELLRHPEAMRKLQKALEEKVGLERIVEESDIEGLEYLDMVIKESMRLHPVAPLLLPHESMEDCTVDDFHIQKKSRIIINIYTIGRDPNVWPDPATFNPERFKDSNIDLRGQDFRLIPFGSGRRSCPGLQLGLLLVRFVLAQLVHCFNWDLADNIQPTDLDMSEAFGLVTSRAKHLKVVPTCRLQE >CDP16761 pep chromosome:AUK_PRJEB4211_v1:7:1010471:1032246:1 gene:GSCOC_T00019247001 transcript:CDP16761 gene_biotype:protein_coding transcript_biotype:protein_coding MELQIKVAQAVHLLNHDTQSCNRVAANQWLVQFQQSDAAWEVATSILTSDHHQQFDSDYEVEFFAAQILKRKIQSEGYYLQSEAKDALLNALLLAARRFSAGPSKLLTQICLALSTLLLHAVEHGRPIEKLFYSVQNLASHEGGHIAVLEMLTVLPEVFEDHITNNCKTLTIRYEYEQQLLLHTPMVIEFLLQQSEKRFGGGVQLADTNKKILRCLLSWVRAGCFSEIPAASLPSHPILNFIFNALQVFSSFDLAIEVLVELMSRNEGLPQALLSRIGLLKEVLLLPALNTGEEKVIAGIACLMSEIGQTAPSLILKASPEALILMDALLSCAAFPGEDWEIADSTLQFWSSLAGCILGHDLSGGGDRKNIQEVFLPAYSALLDALLLRAQVNDSMFNDDGETIDLPDGLVQFRMNLVELLVDICQLLGSAAFAQKIFLGGWVSFNVQVPWKEVEAKLFALNVVAEVVLKEGANLDFSVLIELVTILSNRTSDDLKGLMLMVYKSVADIISSYSKWISAFLTNGRPLLLFLATGISKPFCSNASSCALRKFCEDACAIMHEPSNLEILIWIGEKLEERHFSLEDEEEVVGAITFVVGSVPNKELKNNLFVRLLSPSYEAIGKLISEEHKHSLRQDPAIYTRLIYSARRGLYRMGIVFNHLATHVSNGPSVDNSILVLLGVLWPVLENVFQSEHIENSSLSAAACRALSQAIKSSAHHFVTLVPKVLNCLSTNFTSFPSHECYIRTASNIIEEFGSREEYGPLYICTFERFTYTRSIIALTSSYICDQEPDLVEAYTNFASAYVRSCSKEVIAASGSIFEISLQKAAICCTAMHRGAALAAMSYMSCFLEVGLTSLLECKASVAEESVEAMAIQVISHSGEGLVSNLVYALLGISALSRVHKSATILQQLAAACSLSEGMTSKAVLCWESLHGWLHSAVLALPADYLKQGESESLVPTWLKALGAASSEYLESRCRDGGKDNHGLLNGKGGRFLKRLVREFADSHRNCSYLA >CDP02762 pep chromosome:AUK_PRJEB4211_v1:7:6323485:6328048:-1 gene:GSCOC_T00040253001 transcript:CDP02762 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLFFPRLSNTFHFSCNSGQIGKPILSSRPPTANLTPPSTQFSTINDENNIGSSSGIEPKNEELSLSSSEPSTSKPCSVIKAPTAPWMQGPLLVEPNQFLNLSDRPRSKKGSNFGRIEDHHPDQALTGKMGAGRGKKEMKKIFKGIKKLQDSKSLEKTHKKPEMVKFIFSPGELPGGGDSAYVEGLISEREDEKMDAQKIVEESEVGFQLGKVEGEGKAKFGGKMPWDRGEKLVTWKVKKEKVVTAAELSLDEELLDRLRDEASRMRKWVKVMKAGVTQEVVHRVHAIWKNNELAMLKFDLPLCRNMDRAQEILEMKTGGVVVWRKQHALVIYRGGNYLSALKTSFDNCCRDTIITFEVNSSEHGLVGMMSKMDKKEENVLMNGSLYEKEADRLLDGLGPRFYDWWWRKPLPVDGDLLREVVPGFMPPFRLCPPHARSQLTDDELTYLRKLARPLPTHFVLGRNRKLQGLAAAILKLWEKCHIAKIAIKWGVPNTDNKQMAYELKCLTGGILLLRNKFLIILYRGKDFLPSRVAELVTIREMELTECQLMEESARLRASETATQIPSSKSANSGTLSEFLRIQSKHLGLGHGNSKAEVELEAEKEQLERELRDQQRKLFLLKKKIEKSAKRLADLNSLWRPAERDTDQEMLTQEERECLRKMGLKMVSSLVLGRRGVFNGVIESLHQYWKHREIVKVITMQKMFSQVVYTAKFLEAESGGILVSVDKHKEGHSIILYRGKNYRRPKLAPLNLPSRREALSRSLEMQRIGSLKFFARQREQMVSDLQFKLRKIDLMGISETGKDETAALQENISVLPSLLRSHVL >CDP16652 pep chromosome:AUK_PRJEB4211_v1:7:220955:228683:-1 gene:GSCOC_T00019111001 transcript:CDP16652 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUG6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/TrEMBL;Acc:A0A178UQ56] MDREKEREIELESAMYTNCLLLGLDPAIIGIGANNGTPRIGLFRHSNPKLGEQLLHFILSSLRGPIQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASNPLPASLTDVAFSHAATLLPVTKARIALERRRFLKNAETAVRRQAIWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDELVSSSSQNSHMVQRATRLWDSLLSRKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSLVAASEKEQSEEASQPDVNRETHINGLDSSHMQGNEEKSSRAEERSTRGQPTVDIAEVLRRWTHALQRIHKQSLQLAKANDGDGPELLSSGQDGTSGHAESLAATLAEHRQHLASIQVLINQLKEVTPAIQNSVSELTEEVNSLSSSLLPMAKHHGRSNSPIQAQSSGRTLENSADEVAEMTSRLSTIQLEKVSASPPTLKLPPLFSLTPNSAGKGGNMQKRQMQAQANQTHDMPQKRSVDQPLNTNHTDSASQDNDNFFVQNLKRSVREAALASQTYNFESSQDSRSDDSSEHYFVPLSGVGFSRLGQAKMANSMRTKREFTPQADSSLLETRVLDGNIRNKFEGPDILNDVESLDDYEGVNGFLSAAGSNSSVSDAHRSFYDIEEVQDQVFSPPLLMDTSLLADSYEDLLAPLSETEAALMEH >CDP04767 pep chromosome:AUK_PRJEB4211_v1:7:14884589:14887075:-1 gene:GSCOC_T00018862001 transcript:CDP04767 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLDASLIFILRKQVGCNHCIHRKARKNRLLFYSISQFPYMAAILIFVLSSAFYSGIAAQQSPLNISLGSSLTPTGNSSSWMSPSGIFALGFYQQRNGYAVGIFLAGIPEKTAVWTANRDNPIFSSNVSLILSTDGKLILQLPQGQNISIAGPLEPISSASMLDSGNFVLYNSDKEIIWQSFENPTNSLLPGQRLAADHELISSASETDDSRGIFRLKMQTDGHLVQYPVGTTDVGENAYWASGTGGDGPNITLNLQDDGHLYLINSSVNIVQNLSDGGHPKNEMIYLMKIDVDGIFRLYSHSIDQGGNWSIIWESSTDNCVPKGLCGFNAFCTKIDDLVECKCLPGFQFVNQGNWSLGCTRGFLPDSCSSTNSNVNYTIESLEHTAWDDSTFFRLETSTREDCAKACLEDCNCEVAFFKDGHCKKQKLPLTYGRRADDLNVALVKVRNPANNNEGVSQSSPQKNRKEEVRVYIAKIGISLAVFGVLISVVAGVYVHRNRARACKQVLGNGNVEFDKNVAPRAFTFAELEQATNEFREELGRGAFGTVYKGILPNSNKVVAVKKLEKVLTEGEKEFQNEISVIGKTHHRNLVQLLGYCLDGAKRLLVYEYMSNGSLEKFLHKPENYPTWDERMKIACDIARGILYLHEECETQIIHCDIKPQNILMDESRCAKISDFGLAKLLKNDQTRTYTGVRGTRAWFKVEWCRNLPVTVKADVYSFGIMLLEIICCRKSVDCTSPENEAILEEWANQCFEAGELYKLVGDEEVDDVRELERMIKIALWCIQEEPALRPSMKKVLLMLEGTGDIPIPPSLPSFSSAL >CDP01939 pep chromosome:AUK_PRJEB4211_v1:7:7544736:7546558:1 gene:GSCOC_T00037127001 transcript:CDP01939 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRTHHHPFLFPLNPSFTSSSLSSSASSSPHPFPLPLPLPLPPTAAPPLSSSPTSSPQVVVAQEEGMEGALKSSFRPDVAPLKTNPHPHHLHQHQHQQPAFSDDMLAVNNNGQNMESDFFVDDLLDFSNAPAEDPEEHKPHEKLDENESATTSIITKSNVTLSPKDDFGSLPDSALDVPADDLESLEWLSHFVEDSFSEYSLTCPVSKFPPAPAKARSEPEAPVEAKPSFTTPVQTKARTKRARTGGRVWSLVGSPSLTESSSSSTSSSSTTTSSSSPQACNPWLAYSSPSHGGGQQPRRCSHCGVQKTPQWRAGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFSSELHSNNHRKVLEMRRKKEVETGLTPPPVQSF >CDP02049 pep chromosome:AUK_PRJEB4211_v1:7:1063490:1066725:-1 gene:GSCOC_T00039326001 transcript:CDP02049 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAKNLQRQSLRALSSLFFFNSSKNAVKGEGDGWTKWGGGGGGVEGSVFPVKDVCFVSMRRVWNGFDGARGLSAKSMRSKVESRMRKESGKTLREIRRAKKLRKKLMTDEERLIYNLRRAKKKVALLLQKLKKYELPELPPPCHDPELLTPEQLQAYKKIGYRNRNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKERIKEMASMLARLSGGIVVNVHNVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALESQKLNIKKIEQELRRKGVNPDDPVAMASIQRVASTFFNAIDKKEGSPYVFQRDKKTEMVSISDLQQSQSAEDSDQEELDRFIAEIEDAADKEWAAEEAAEKEEFGKIRYWNKEDSGDRFRRSEKIGGDESDDNIRGRTQNWSSSRGRERITAASDDEDGDMSEDDGGWDSNDSQDVSNDETDCSDSGEARDRFSGHKVARRQQDKILRTSKSTSFSRNEKSKLRVEKVVKDSISDDMLSDLEDVMYESDDRERQNVMKSRAPGYNYQSSTDEDDYDHTRTGNKDGIGRIKKLDETWDSD >CDP04585 pep chromosome:AUK_PRJEB4211_v1:7:18470671:18472026:-1 gene:GSCOC_T00018562001 transcript:CDP04585 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASADGNGNGNGAIIDPSQRVTSSNNGSAALAVKKPPAKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSPASARNSVGTLSAPLDHKPPSQQHQQQPLIAPTPFILGKRLRSSNEDDSINEKDDASAAAAAATVVGSAALGGGFWALPARPDLGQVWSFAAAAAQPEMVVPTLNAPQQSGMARFLQQSTSMGEASAARVGNYLPIAHQGHLNLLASLSGPPPPPSSEQREGDAR >CDP11581 pep chromosome:AUK_PRJEB4211_v1:7:20518665:20520425:-1 gene:GSCOC_T00033917001 transcript:CDP11581 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEKSSAAYLRVEYVNKGQHPKVECERIFKGRECDLCVAVLSSSSALWNHRETCQFSRSNNGVLYRLMANLGVQDEFRLDNSRARVVALACKMVGGGSDGSLELDTAKYPPLMKTSKLSKSLKYLTKAYLGHDVQTGQQDPCEGCVTTMWL >CDP02479 pep chromosome:AUK_PRJEB4211_v1:7:4106635:4121194:1 gene:GSCOC_T00039867001 transcript:CDP02479 gene_biotype:protein_coding transcript_biotype:protein_coding MEWATLQHLDLRHVGRSSKSLQPHAATFHPTLAIVAAAVGTHIIEFDAHTGSKIAAIDIGSSVVRMAYSPTSGHAIIAILEDCTIRSCDFDSEQTCVLHSPEKRMEQISSDTEVHLALTSLQPIVFFGFHRRMSVTVVGTVEGGKAPTKIKTDLKKPIVNLACHPRLPVLYVAYADGLIRAYNIHTYAVHYTLQLDNTIKLIGAGAFAFHPTLEWVFIGDRRGTLLAWDVSTERPMMIGITQVGSQPITSVAWLPVLRLLVTLSKDGSIQVWKTRVNVNPNRPPMQANFFETAGIESIDIPRILSQKGGEAVYPLPRIKALEIHPKLNLAAVLFASMTGGDNRKNRSAYTREGRKQLFAVLQSARGSSASVLKEKLLSLGSSGILADHQLQAQLQEHHLKGQNQITISDIARKAFLYSHFMEGHAKTAPITRLPLITILDTKHLLRDVPVCQPLHLELNFFSKQNRVLHYPVRAFYLEGANLMAYNLSSGVDNVYKKLYTSIPGNIEYHAKCIAYSKKKHLFLVVYEFSGTAHEVILYWENTDPRSTNSKANTIKGRDAGFVGPNENHFAILDEDKTGLSLYTLPGVASQESKDNNSMIDGDQAAGPDITDVTAIKGPLQFMFESEVDRIFSTPLESTMLFASHGDQIGLAKLVQGYRLSTADGHFISTKAEGKKSIKLKTSEMVLQEYTCDCVFFTQVYLQLLVLSRQYLACFSYFCRLVHWQETLRGFVAGILTTQRSLTCDYFRSLLWIGPALLFSTATSISMLGWDGKVRTVLSICMPNAVLVGALNDRLLLANPTDINPRQKKGIEIKSCLVGLLEPLLIGFATMQQHFEQKLDLPEVLYQITSRFDSLRITPRSLDILASGSPVCGDLAVALSQSGPQFTQVLRGIYAVKALRFSTALSVLKDEFLRSRDYPRCPPTSHLFNRFRQLGYACIRYGQFDNAKETFEVIADFESMLDLFICHLNPSAMRRLAQRLEEEGADPELRRYCERILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPINMKDIPQWALAAEVMPYMRTDDGTIPSIVTDHIGVYLGIIKGRGNVVEVREDSLVKAFKAEGSNIKANGLQTALATSTPGKSNGVPEGEPKSNSLMGLETLSQQFSGSHAVDEQAKAAEEFKKSLYGSAADGSSSDEEEGSKTKKLHIRIRDKPVTSATVDVNKIKEATKQLGLPMGRTKSSTGSSPDLGLILSQPAPPTTGSVTAPTVSAPTDPFGTDSLVQPTSTSQPSPISTGAGVTARPIPEDFFQNTISSIQVAASLPPPGTYLSQLEKNSQGLQGNKVVANQESAPVADIGLPDGGVPPQATQQPVSSDSIGLRGGTIPPQLSVQPVMPVQSQPQMAQVPISTQPLDLSSLEAPGSGTPGRAPEHPTSPKAVRPGQVPRGAAASFCFKTGMAHLEQNQLPDALSCFDEAFLALAKDQSRGADIKAQATICAQYKIAVTLLREISRLQKVQGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMDVQNYAYSKQMLELLLSKAPAGKQDELRSLIDICVQRGLSNKSIDPLEDPSQFCAATLGRLSTIGYDVCDLCGAKFSALSTPGCIICGMGSIKRSDALAGPVPSPFG >CDP19914 pep chromosome:AUK_PRJEB4211_v1:7:14702479:14706120:-1 gene:GSCOC_T00008837001 transcript:CDP19914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mediator of RNA polymerase II transcription subunit 26c [Source:Projected from Arabidopsis thaliana (AT5G09850) UniProtKB/Swiss-Prot;Acc:F4KFC7] MDLDEFRVILSKSKVDVWSLIEAAITVAGIDYSEELKDRRDKIVEKLYFPSSSGQVCRNCHSNDVGDDYLQPPSQKQHQQQQEKEKDKEQNRNQSHRSSSSNSKSPLTPESSHGNDENEDEDNEMDPYGGLFDDEQTKIVKVRELLEDPDQSEESVVELLQTLVDMDITFTALQETDIGRVVSGFRKQHPSNEVRKLAKLLVRNWKETVDEWVRLNQPDQGSVALIADGDSPQQNIQPKTQQNGHHQGSEFAYSPNPRNGGSSSEKNYSEPQTKPKPAPPREASSRPPQSVHKSASAPPPSRSKEPTIDLEKLNSARRRLHENYQEAENAKKQRTIQVMDIHELPKPKNAFFGKNKGGFQGRHHR >CDP02683 pep chromosome:AUK_PRJEB4211_v1:7:5818621:5821161:1 gene:GSCOC_T00040150001 transcript:CDP02683 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTANNGHRPDIAIEIGKPTHFTGGVEFSSLTYTIIKKEEEDGRWVSKEVDLLHKITGYAPKGCITAVMGPSGAGKSTLLDGLAGRIASGSLRGRVSLDGMDTSPSLIKRTSAYIMQDDRLFPMLTVYETLMFAADFRLGPISRSEKRQRVEQLIEQLGLSTTRNTYIGDEGTRGVSGGERRRVSIAVDIIHGPTLLFLDEPTSGLDSTSAHSVIEKVHDIARTGSTVILTIHQPSSRIQLLLDHLIILARGQLMYQGSPKDVTLHLGRMGRKVPKGENSIEYLIDVIQEYDQSELGVEALAAFTLTGMKPPSLSADGFSTSIVLPSPAPAPRSGQRANEMRDNSGKSVRLQSGADDEFNNSVRSPWNTSRSWTQSGIMQALKFTPTRQHPDHRLPMSSSPGYYTYYTNSSDMLQSTPTPHSSDYTVNENDYLTPDVAPDKRAYLHLGPKFSNSFFLETWILMRRNFINIRRTPELFLSRLMVLIVMGIMMATMFWHPPKNMQGITDRISFFIFTVCLFFFSSNDAVPAFIQERFIFVRETSHNAYRASSYTIAGLITYLPFLAIQAAAYAVIVWFALKLRGPFLYFLLVLCMSLLSTNSFVVFVSSIVPNYILGYAAVIAFTALFFLFCGYFLNSHDIPKYWKWMNYISTMTYPYEGLLMNQYQTPISFGKNPIGQEVTGFGILNSLHIRTEESKKWEKVYFMLGWAVLYRILFYVVLRFFSKNQRT >CDP02019 pep chromosome:AUK_PRJEB4211_v1:7:6993821:6994759:1 gene:GSCOC_T00037226001 transcript:CDP02019 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKGQTEVAAAATGPALTTCPRMKCENGGSFVTNVKDYFNEFVSTPMENHKVCFKMTIQKMVDKFGGMTSIQKDVANSATPQQGSKDGA >CDP02350 pep chromosome:AUK_PRJEB4211_v1:7:3222855:3228164:-1 gene:GSCOC_T00039711001 transcript:CDP02350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MVHKADLVIIGISVGLAFGVLIASLVFFGVRWYKRRANFRRCANERSVATLPIRTNGVGTSIDNSASLSTSVVVKEPEHNSKNLQHSWWNHHSKDRFASPSGIPTYSYKDIQRATQNFTTILGQGSFGPVYKAVMPAGEVVAVKVLASNSKQGEKEFHTEVSVLARLHHRNLVNLVGYCVDKGQRILVYEFMSNGSLANLLYNEGGQALSWEERLQIALDISHGIEYLHDGAVPPVIHRDLKSANILLDHSMRAKVADFGLSKEEVFDGRNSGLKGTYGYIDPVYIATNKFTMKSDIYSFGVILFELITAIHPHQNLMEYVNLAGMSPDGVDEILDKKIIGTCNPEDARSLASIAHKCLRKSPRKRPSIGEVSQAILRIKQRRLVKEDTMSFAGEEFSRMASHIEQQQLELTNMGTFK >CDP02489 pep chromosome:AUK_PRJEB4211_v1:7:4239918:4241379:-1 gene:GSCOC_T00039882001 transcript:CDP02489 gene_biotype:protein_coding transcript_biotype:protein_coding MACLDMYNQNSNDQHSKLPLGVGAPNTPPTTIISPRISFSNDFIESSSSSITSHSTSHRPHMVRTYRDAPVSSDFEFSVSNYSMMSADELFSQGRLLPLKENCGTRQFQKTTTLRDELQQVEEEDDEDDIFSLRPPKSSSTRWKGFLGLKKSHIGSKKIDKAEGSRSAFAQDEAHSGNGE >CDP04611 pep chromosome:AUK_PRJEB4211_v1:7:17607427:17609391:-1 gene:GSCOC_T00018615001 transcript:CDP04611 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLAILFFCTLSPLLLLSSAQTCSSFSFTSKRSYTSCIDLPYLEAHLHWNYTPSTGKISLAYRAKQSSQGWIAWAINPSRAGMVGSQALVAFRNTNGSMTAYPTSITSYSPSLLPGSLSFQVSNISAEYLDNEMTIFAVLGPLEDATTVYHVWQAGSTVLNNIPQGHPLSPPNLQSTGTLSFLSKK >CDP02255 pep chromosome:AUK_PRJEB4211_v1:7:2523409:2526420:1 gene:GSCOC_T00039603001 transcript:CDP02255 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 4 [Source:Projected from Arabidopsis thaliana (AT5G15330) TAIR;Acc:AT5G15330] MKFGKEFKTHLEETLPEWREKYLCYKPLKKLLKNIPTPDAAPAPAPAPAPLPPADGDNYPPPAPFPELQDWFVRILTEELEKFNDFYIDKEEDFIIRLQKLKERIERVKDREIQHGASYTDREFSEEMMDIRKDFVSIHGEMVLLKNYSSLNFAGLVKILKKYDKRTGELLRLRFTQLAFHQPFFTTEPLTRLVRECEANLELLFPLEAEVVESTVVAEDKNGETSLNTSMDTTLPLGKETADIYRSTLAAMRTIQGLKKASSTYNPLSLSAVFGNQDNDSTGDVTAENSADSLSNLQNVDEVNNEVASSPK >CDP01825 pep chromosome:AUK_PRJEB4211_v1:7:8399357:8404583:1 gene:GSCOC_T00036995001 transcript:CDP01825 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPCGGSLRDTWDRFFDRCSRFFPCLSDPARRSALCLKLALVVLHLIYVSILFIFDKDFIEKTKQQPWYTAIYLSLLVATLAQYFLTSNTSPGYVLEAMRVVNETDALVRRKSVASKQPASSNNGSLVVTVDADQLGRNLLGSNATSWTKVVMDMYPPGTSVRTWTCSYCNVVQPPRAKHCHDCDKCVLQFDHHCVWLGTCIGQNNHCRFWWYICEETALCLWTGILYISYLKSNITKAWWVDVIMILLLATLFVSLIFLVLLLLFHSYLIMTNQTTYELVRRRRIPYLRGIPERVYPFSQGVCRNLYNFCLARSTYYKMERLPTAQELEEKARPYTCLDALTCRCC >CDP01937 pep chromosome:AUK_PRJEB4211_v1:7:7576929:7580826:-1 gene:GSCOC_T00037123001 transcript:CDP01937 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAYAACKRVGQQLGTNPRINSSLFGSLVSTRFDSRSVSQLVNSNGKRVFLVDTLALVRRLESQGLPSKHAEAITDAIIEVLNDSLENVAQSFVSKAQMQKIEMTQDANLAKFKTEVQSSQGHHFSLLQHETEKLKGDIEKMRSELRHEIDKVTAGQRLDLNLERGRIRDELSNQNQETTNLTNKLDREIHALRAQLEAAKYDVIKYCIGTIVSISAVGLAVVRIIM >CDP02163 pep chromosome:AUK_PRJEB4211_v1:7:1809389:1811022:1 gene:GSCOC_T00039473001 transcript:CDP02163 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFTYTIAGGGFILIGAWESFVSSSECIRNTPPSPPLASHRAISGINNSSTQTKKAPPFSSSVTCLSISVLSFLFILNSLISISDALNSKDHVGFAFQLEVIAIALLFFLYSTLGLFTLLKISFQFPSAILNMLLLFAFGEEFLFFYVRRKDPSGVENRYYDLFLVPIGICLFCTILELKSPKSNYARLGRGVGLVLQGMWIVQMGFSFFSDLMMVHGCSLHGKSRGNYTIKCKGHPEYHRGRAIATLQFNCHLALLVTLIVVVYGIVCKKHGIRRDLLLYRPLGPEIEHLDGQPQFTLDSDDDLDDADQNGIKEVGSVEMQKAIVAVPASEVNGYGTH >CDP01538 pep chromosome:AUK_PRJEB4211_v1:7:11011591:11016754:-1 gene:GSCOC_T00036624001 transcript:CDP01538 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSKDGGGKKEIAEAEERKGSGQGTDPSSNPDAKSSESNEEDRNEKQNLENNASSKSNGIVNENINSNKFDNNDSENNESGGGSGSDKSPSPLNSKLKKSVRWSEDLVSDSPRPRSSTPSYSEERSGSNPYVAYSAAPSDSNLSSIDIKSKMESAKDVLGRWARNVKEATKKAEDLAGNTWQHLKTGPSLADAALGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLQNSFACYLSTSAGPVMGILYVSTAKLAFCSDNPLSYKSDDKTEWSYYKVVIPLHQLKAVNPSSSRNNPSEKYIQIISVDNHEFWFMGFLNYDRAVKCLQEVLQTHQLQVV >CDP02468 pep chromosome:AUK_PRJEB4211_v1:7:4029419:4031436:1 gene:GSCOC_T00039853001 transcript:CDP02468 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDSKEFRLLDLNSSGFVPKSISDLLAEVSMIPDIPLKLSKLNDYVCVLEQEMKKIDGFRRELPLCMLLLNDAIWKLKEEVVKLKKREVETVVMEEFMPLKGNSDREDERPKRKSADWTDKKTWMSSAQLWTTPIQYENERSDGAARWSLSDQARSFNKKLGGAFLPFKKPGGAVVTKTEEEEEEEDYPLNGLSLSMPALAEVGSDDLTNSKGKHVGVASDDGTGVLSEGGSSSQTTQGKKQRRCWSHDLHQNFVKALFELGGAQAATPKHIREIMQVDGLTNDEVKSHLQKYRLHIRKLMPSSSTSASPNYEWLRRGERSYLRKPSAKQSASPEGPLHLAGGGSAKGASVTGGDSMEEEEDRRSESCSWKDRHQKPTD >CDP01528 pep chromosome:AUK_PRJEB4211_v1:7:11102527:11103510:1 gene:GSCOC_T00036612001 transcript:CDP01528 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVGGTIMIHGDGTGLMLPPKLAPVQVTEL >CDP02694 pep chromosome:AUK_PRJEB4211_v1:7:5888837:5890174:-1 gene:GSCOC_T00040161001 transcript:CDP02694 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPKPKKKNDEKKNGKNNSNNKFLITINVLGSAGPVRFVVNENDTVSGVIDAALKLYARQERLPVLGSDVNSFFLYPANAGLDALNLSESIGLQGVRNFVLCKKQRRPQMTEARSEMISRKRSGSWVKAWFNKSLSSKMLSH >CDP01753 pep chromosome:AUK_PRJEB4211_v1:7:8999338:9005682:-1 gene:GSCOC_T00036908001 transcript:CDP01753 gene_biotype:protein_coding transcript_biotype:protein_coding MANPQVVPGMQFMGPQQPPPQPQQPFGDTTYTKIFVGGLAWETKSDALRRYFEPYGEILEAVVISDKNTGRSKGYGFVTFRDPEAARRACSNPNPVIDGRRANCNLASLGRPMRILPSGHIRSAAPYFRGPQTQSPRSLYVGNPMYQLPPTYGYQPGLQFPPYRYPAYGPEFYYTQMYGVPGTANPTPLVYGQMGHSPPTNLAYTAVRGYVMPNPHALQYGRPVVSGVTTDIVSAMQTPNHPGIHVPSPGPPQIIVPTSPPQFTQSSGSDQMAG >CDP04722 pep chromosome:AUK_PRJEB4211_v1:7:15465409:15467748:-1 gene:GSCOC_T00018792001 transcript:CDP04722 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYFSTQGQVIRCKAAVAWGPGKPLVIEEVEVAPPQAMEVRLKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAAGIVESVGEGVTEIKPGDHVLPVFTGECKECRHCKSEESNMCDLLRINTNRGVMLNDGKSRFSINGKPIYHFVGTSTFSEYTVVHVGCVAKIDPEAPLDKVCVLSCGISTGLGATLNVAKPPKGSTVAIFGLGAVGLAAAEGARMAGASRIIGVDLNSNRFEEAKKFGVTEFVNPKDYNKPVQEVLAEMTDGGVDRSVECTGSINAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPINVLSEKTLKGTFFGNYKPRTDLPSVVEKYMNKELELEKFITHELPFSEINKAFEYMLKGEGLRCIIHMGA >CDP02030 pep chromosome:AUK_PRJEB4211_v1:7:6926840:6932890:1 gene:GSCOC_T00037242001 transcript:CDP02030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 90B1 [Source:Projected from Arabidopsis thaliana (AT3G50660) UniProtKB/Swiss-Prot;Acc:O64989] MSDLEFSLLVLPSILASLLFFHLLLRRNQKSYHLPPGNMGWPLLGENIGYLKPYKATTIGKFMEEHISKYGKIYKSNLFGEPTIVSADAGLNRFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRNMRIISLNFLSNARLRTHLLQEVEKHTLLVLGSWKQNSVICAQDEAKKFTFNLMAKHIMSLDPGKPETEQLKKEYITFMKGVVSAPLNFPGTAYRKALQSRSTILQFIEIRMEERIKNECKADDDLLGWVLKNSSLSKEQILDLVLSLLFAGHETSSVSIALAIYFLQSCPKAVQELREEHEEIVKAKKQSQQMELNWDDYKKMEFTQCVVSETLRLGNVVRFLHRKALRDVRYRGYDIPCGWKVLPVIAAVHLDPSLFDQPQHFNPWRWQAGGGSYADGSSTATSNKNFMPFGGGPRLCAGSELAKLEMAVFLHHLVLNYHWELADADQAFALPFVDFPKGLPIRVQQHKPFCVETTPTSPPTFPCKNN >CDP16705 pep chromosome:AUK_PRJEB4211_v1:7:558147:558902:1 gene:GSCOC_T00019175001 transcript:CDP16705 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWGPVFVAVVLFILLTPGLLIQIPGHGRFVEFSNFQTSGASILVHSLLYFALICILLLAIGFHLYMGS >CDP04688 pep chromosome:AUK_PRJEB4211_v1:7:15882679:15885025:-1 gene:GSCOC_T00018745001 transcript:CDP04688 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVWVYTVISSFLIFLSLSFLSKRFRNLPPSPVPALPIIGHLRLLKPPLHRTFYSLSQKYGPVISLQFGSRLVVVVSSPSAVEECFTKNDVILANRPRFVIGKYFGYNHTNMVGSPYGDHWRNLRRLGSVEIFSASRLNMFLSVRKDEISRLLLKLAQNTRHDFAKVELQSRLSELSLNIIMRMVAGKRYFGEEDDNDEARQFRGLIKQMFKHSGLANLGDFLPLLRWIDYGSFEKSLTKIFTKFDAFLEGLLEEHRRNKNSNTMIDHLLSLQESQPEYYTDQIIKGIIVVMLTAGTDTSSVTLEWALSLLLNHPEVLEKARAELDAQVGTDRLIEEHDLSNLPCLHNIISETLRLYPAAPLLVPHESSDDCKIGGYNIPRGTILLVSAWAVHRDPNVWDDPTSFKPERFEGLQVEPSKLIPFGMGRRSCPGSGLAQRVVGLALGSLIQCFDWQRIGGEEIDMAEGTGMSMPKAKPLEAMCKARHVVNRIV >CDP16009 pep chromosome:AUK_PRJEB4211_v1:7:28841264:28843052:-1 gene:GSCOC_T00016974001 transcript:CDP16009 gene_biotype:protein_coding transcript_biotype:protein_coding MAYALSVKASLVLSFFVVAFAGNFYQDFDITFGDGRAQILQNGKLLTLSLDKTSGSGFRSKNQYLFGKIDIQMKLVPGNSAGTVTTYYLSSIGSNHDEIDFEFLGNLSGEPYILHTNVYTQGKGGREQQFYLWFDPTKGFHSYTILWNPQSIIFSVDGTPIRQFKNLESNGIPYPKDQPMWMYSSLWDAEDWATRGGLVKTDWSQAPFIASYQNYNAQACIWSSGSSTSSCSKSNSSANSWLTESLDSSGLERINWVQKNYMIYNYCTDKSRFPQGFPHECSL >CDP02558 pep chromosome:AUK_PRJEB4211_v1:7:4840668:4846186:1 gene:GSCOC_T00039980001 transcript:CDP02558 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAFLDPGNLEGDLQAGAIAGYSLLWLLFWATAMGLLVQLLSARLGVATGRHLAELCRVEYPTWARMLLWVMAEVALIGADIQEVIGSAIAIKILSNGLLPLWAGVVITALDCFIFLFLENYGVRKLEALFAILIATMALSFAWMFGETKPNGVELLLGVLVPKLSSKTIKEGVGVVGCIIMPHNVFLHSALVQSREIDARKIGRVREAINYYSIESAAALLISFIINLFVTTVFAKAFYGTELANDIGLVNAGQYLEEKYGGGLLPIIYIWAIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSCAIIPTLAVALIFETSEGSLDTMNEWLNILQSIQIPFALIPLLCLVSREDIMGVFRIGPILKIISWLVAALIILINGYLLVDFFSSEVSGVILTSVVFVFTAAYVAFIVYLVSRGITFSNFPLKFKRLTNSGN >CDP16644 pep chromosome:AUK_PRJEB4211_v1:7:173249:174427:1 gene:GSCOC_T00019100001 transcript:CDP16644 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGRQHGMVQTHPIISSPWRPRLPPSRLESTSTAGCFAKVPQRPTNRSKFTSKCGRPRCASCHFHPAGKAKDKTKGTHKLKSCDVVTNYRLVTWRVVDARPGFNFTGFSATGILDHLANDCYSDDVGDEIDDGDDDVYDYHYRPADRHHNHHHPAHWVVDSALAASTGVAIEELQDEFDDDDDEKMSFCDVGFAWEQVEEEGDDEIGGWCLVEEI >CDP02094 pep chromosome:AUK_PRJEB4211_v1:7:1359583:1367332:-1 gene:GSCOC_T00039378001 transcript:CDP02094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-related protein kinase epsilon [Source:Projected from Arabidopsis thaliana (AT5G14640) UniProtKB/Swiss-Prot;Acc:Q8VZD5] MASGGVMPSAVGKPKIDAMLVDKLPEEINEMKIKDEKVEKEMDAAVVDGNGTETGHIIVTTIGGKNGQPKQSISYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVYRVARHYSKANQRMPMIYVKLYTYQIFRALAYIHGIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYSTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEACVHPFFNELRDPSTRLPNGRPLPPLFNFRPQELKGASLELIAKLIPEHARNQCPFIGS >CDP04599 pep chromosome:AUK_PRJEB4211_v1:7:17838266:17840217:-1 gene:GSCOC_T00018594001 transcript:CDP04599 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIISWKRARASSTILSLHKVSMIALYITVLGLQNLPCFSNALSKSMAVRVFPSSQSPCITIPNVTSSGSQSFSYIIFLNNSCAWGTLCF >CDP04647 pep chromosome:AUK_PRJEB4211_v1:7:16863323:16881467:-1 gene:GSCOC_T00018674001 transcript:CDP04647 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTGNSRKRPPPPAPSQHPQQKHHAAMEDDEGDEDNYYLDETPLPLNKEDTQALREAEERLQKWKRPPLSQLYTSQSQSIVFQQLEIDYVIGESHKELLPKSSGVAPILRIFGVTKEGHSVCCHVHGFEPYFYISCPPGMGPDDISRFHQILEGRMRDVNRNSNVPYFVRRVELVQRRSIMYYQQQNSQPFLKIVVALPTMVTSCRGILDRGIQIDGLGLKSFMTYESNILFALRFMIDCNIVGGNWIEVPVGKYIKKAKNLSYCQLEFDCLYTDLVSHVPEGEFSKMAPFRILSFDIECAGRKGLFPEPIHDPVIQVANVVTLQGQDQPFVRNVMTLKSCSPIAGVDVMSFDTEKEVLLAWRDFIREVDPDIIIGYNICKFDLPYLIERAKVLGITEFPLLGRIVNSKVRVKDTTFSSRQYGTRESKEVTIEGRVQFDLLQVMQRDYKLSSYSLNSVSAHFLNEQKEDVHHSIISDLQNGNSDTRMRLAKYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNAKQAGSEQGTFEGATVLEASAGFFEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDMRRLNLPPEWVTKTPSGDTFVKTNLQKGILPEILEELLAARKRAKADLKEARDPLVKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTMLGGYEHNAEVIYGDTDSVMVQFGVPTVEEAMNLGREAADYISGTFTKPIKLEFEKVYYPYLLISKKRYAGLLWTNPNKFDKMDAKGIETVRRDNCLLVKNLVTECLHKILIDRDVPGAVQYVKNTIADLLMNRVDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYERSEDPVYVLDNNIPIDPHYYLENQISKPLLRIFEPILKNASKELLQGSHTRSISISTPSTGGIMKFAKKQLTCIGCKALISNTDHTLCSNCKGREAELYCKSVANVSELEKLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEAKLQLDRWNF >CDP01948 pep chromosome:AUK_PRJEB4211_v1:7:7486350:7491668:1 gene:GSCOC_T00037140001 transcript:CDP01948 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKARSNKRSASSSRSSTLTTTILVALCIFGLWLLAPNTLVYPRTTTRTSATTSSQSSSMPDSHDIHPTEPIPKKSQPVFQDNVGENPQKLHGAESVDEQGEQQQLQNQNSEETSITQNQEAEETREEHTSASAENNQEEGTSDDKLDDHQSSDNNEHEISDEDQQKRLEQHQQQEDDQVQQGKSQEDTQGTSNHDQNISSEQTGRKATNPDTSDFFPTGERSQIPKESKKNSWSTQANESENQKDRRQNGQDDQDGSIINYKWQLCNVTAGQDYIPCLDNEKAIAKLRSRTHYEHRERHCPEDAPTCLVPLPKGYKKPLQWPQSRDKIWYHNVPHTKLAEVKGHQNWVKVSGEFLTFPGGGTQFIHGALHYIDFLEEAVPDIAWGKHSRVLLDVGCGVASFGGYLFERNVLAMSFAPKDEHEAQVQFALERGIPAINAVMGTQRLPFPSGVFDVVHCARCRVPWHAGGGALLLELNRLLRPGGYFVWSATPVYQTLEEDVMIWNEMSNLTVSMCWELVTIKKDKLNSIGAAVYHKPDSNECYDKRKHNHPPMCKSDDDPNAAWYIPLQACLHKVPIEANQRGSDWPEEWPRRLQTPPYWLNRSQMGIYGKPAPEDFTADYEHWKQVVSKLYLSGLGISWSDVRNIMDMRAVYGGFAAALKDLKVWVMNVVNIDAPDTLPIIYERGLFGIYHDWCESFSAYPRTYDLLHADRLFSRLKKRCKLIPVMAEVDRIVRPGGKFIMRDDSSTIREVENVLKSLHWEVHLTFSKNQEGILSAQKTEWRPDSYAASS >CDP08412 pep chromosome:AUK_PRJEB4211_v1:7:25298369:25301977:-1 gene:GSCOC_T00027260001 transcript:CDP08412 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLIFSTGLCSNFSGEGVEKRLSCSKWKKTPSHLKLSSCSRLNITAGYNAHPQAIDIPHQWYNLIADLSIKPPPPLHPQTFQPVKPEDLSPLFPDELIKQEATNDRFIDIPDEVIDVYRLWRPTPLIRAKRLEKLLDTPARIYYKYEGGSPAGSHKANTSVPQVWYNAQQGVKNVVTETGAGQWGSALAFACSLFGLDCEVWQVRASYDQKPYRRLMMQTWGAKVHPSPSDVTQSGQKILQLDPLSPGSLGIAISEAVEVAAANADTKYCLGSVLNHVLLHQTVIGEECIKQMEAIGETPDLIVGCTGGGSNFAGLAFPFIREKLSGKINPHIIAVEPTACPSLTKGVYAYDFGDTAGMTPLMKMHTLGHDFIPDPIHAGGLRYHGMAPLISHVYELGYMEAVAIPQTECFQGAIQFARSEGLIPAPEPTHAIAATIREALKCRETGESKAILMAMCGHGHFDLPAYEKYLQGSMVDLSFSEDKIRESLAKIPQLVS >CDP02314 pep chromosome:AUK_PRJEB4211_v1:7:2912319:2918651:1 gene:GSCOC_T00039671001 transcript:CDP02314 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDNFPRVSSSESTDSSSTKQRKKRKWDQPAESLCSSGVAAPGLLPLPNLGSLPGVALPGVATIPSASFSNSLTASVATILPVIQLPLQQHATAIAQKINQPKIQDELIAREIVINDADSTVRYKLTKRQTQEEIQKCTGAIVITRGKYRPPNAPADGEKPLYLHISAAAHLETTAERIKAVDHAAAMVEEMLKHGSFSNTMNVNPSLSACVFLGFEADPSLNIAARIRGPNDQYVNHIMNETGATVLLRGRGSGYCDSVQDDEGQQPLHLLLSSSNAKSLERAKLLAENLLDTISAEFGASRVSSSKVYGAVPPPPQLLAGVQSSLDESNPSVPRSASLTASAARSIPSTVSSISIPGASSIASQGPVPHAGCSNPASGLSHAITGGYSQSSLTGGTSYNGYDGIYPQATPLQQVALALRQSTSPVTTLVAPAVTTASTASYTSTCSSSEKDKRSSQKRKFQELPAVVKSLANTNQGSELAEPCEQTSDIHGKDIKRLVQTSANGIIPTSPRTMPPPTMLPPPPKFTTSRPGIYGGNSIKNGLKSESVPDTLIKLMEYGDEDEDDDLGEPNEDSIKRCSSTLVAPKPFWAV >CDP02389 pep chromosome:AUK_PRJEB4211_v1:7:3471547:3473754:1 gene:GSCOC_T00039755001 transcript:CDP02389 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKVRRKVVTAITKPFKKKGRKPPPPPPPTEPEPAPPSPASPSPPQSPTMSPPKRKHTQPFLFPQSQSVVLPDPSTFFSPNLLSSPLPTNSFFQNFVLKNGDQPEYIHPYLIKSAVSALTVCYPSQSHNSAFIYQIFNADLTISTLNNPNPNATHIISSFSDLSVTLDHPSSNLRFFLVRGSPFLTCNVMGNVALSISTVHAIIGFSSNASLTKYTIKLNNNQTWLLYASSPISLTHDISSITSGVFTGIIRIAALPDSDSRSEAILDRFSSCYPLSGDAIFNRPFCLEYKWEKRGWGDLLMLAHPLHLKLLSSGDCSLTVLDNFKYNSIDGELVGVVGDSWLLKSDPISVNWHSIKGVREETQEEIVNALIKDVEALSTTSISTTSSYFYGKLIARAARFAVIAEEVSYLDVVPAIKKFLKDTIEPWLDGTFGGNGFLYDPKWGGIVTKQGSLDSGADFGFGIYNDHHYHLGYFIYAISVLAKIDPFWGKKYRPQAYSMMADFMNLSRRADSSYPRLRCFDLWLLHSWAGGLTEFADGRNQESTSEAVSAYYSAALMGLAYGDTHLVAIGSTIAALEIQSAQTWWHVRENDALYGDEFTRENRVVGVLWANKRDSALWFAPPEWRECRLGIQELPLLPITEILFSDVEYAKELVEWTLPALAREGVGEGWKGFVYALEGIYDREGALAKIKQLTGYDDGNSLTNLLWWIHSRDDGEEMHERGGKLCWYSHYHH >CDP16022 pep chromosome:AUK_PRJEB4211_v1:7:22328056:22330542:-1 gene:GSCOC_T00017003001 transcript:CDP16022 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTFGILCEMIRDTGGLKATRNMSIEEIVAMFVYVLAHHKKSRTICGLFWRSRETVSQLFRCLRWTLIDVTPPTEQKSRYRMRKGSVATNVLGICCYYLVDAGYCNADGFLAPYRGQRYHLNEFNVMKKMENDEIVRGRGKNKCFWTGEEVKVLIESLQELACDPMFHAIVEMCKESGCSWNDAEKKISYEKQWYDDWCKTHKDAKGLWDVKFPYLGDLEIVYGRDRATGNVAEDFTQTVQDMEAVQNLEEGDEGLDAMSNSDNDKVEEDEVNSMEQSTQPSSTSTRNSKKQKKQSPPIANVSKKMKSASTTRGDLDASLQLLTSKFGDFVEGIQANFTTIAAAMSNEDKREQLVSDRRDQVVAELMKLALPSGDVMNAADILSEQISKLHVFYNLPAEMKRQYVINLLYPPSTR >CDP02197 pep chromosome:AUK_PRJEB4211_v1:7:2053274:2055299:-1 gene:GSCOC_T00039516001 transcript:CDP02197 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIDVYHVVEAIFPLYAAMILAYASVKWWKLFTADQCSGINKFVARFSIPLLSFQVISSNSPYKMNLKLVCADLLQKFLAFFVLTVIAKINSRGSLSWVITGLSLSTLPNTLILGIPLLRAMYGPEAAGFLAQIVVLQSLIWYNLLLLLFEINAARGVDATPPSTVTEELEAPEAASQKDEEEDEPNNSQGKKSKIALLLSTVGRKLISNPNTHGTLAGLVWACIHFRWGLQLPKIVDRSITVLSDGGLGMAMFSLGLFMASQTGILACGTRKALLAMAMRFVVGPVVMAGCSIAVGLRGRSFKVAIVQAALPQGIVPFVFAKEYHVHPDILSTGVLMGMLIATPIALAYYYLLALL >CDP12798 pep chromosome:AUK_PRJEB4211_v1:7:14385815:14389615:1 gene:GSCOC_T00037453001 transcript:CDP12798 gene_biotype:protein_coding transcript_biotype:protein_coding MKMASSSSRTSAFDSVLKHLAWLTKTCEILDSGNFDAEVGFKWYSQLAGLKDNVRVLIPFDLYVTNCRRKQEMCWEHDLEEKGNTKSDSLRLSIILSKIQGLSAESADLTYIQSGASNLSDIETKLTRFDENIGLFFETEIRPSCIISLLHRYSLGDPRLVTNLIDSVLKNLDWLTLRFNSFRKALSIVMRTLEAKLSFLKSFICFATVQGVVGNQLIDLLIHAEFVAVKAARLISICWFDGKSEQVRREMEFQISQLIRKEIDPVDPQIRETYIPVLTASKLSRWSHTLALEKNKHLLANFIDCLLYNVMELLEHFPSFSVLVKDQIIILHEGVRFLSVLFGRQQEKFNELHDQMKDLIGFVACDAGIVILSLSPTEMIEGLAKETDLALFHLVKVLKFIMAEFAQIYPPPSFSFPWTNELGCFDFLLESLQELLNSDADSIDFPKDHIHRVQEDLIFLRSLLENIVGQRNQNVKLQALWNCGMEVAYKAKLLVNSIVFGDKSECLDTLARDIELMRIEALGISASHSNGTDTQRVINNSPHTSSKLSSPALNESTVGLDEAAKTITCRLTGGSKQLDIISIVGMGGLGKTTLANTVYHRMQNSVSCHFHIHAWCTVSQAYSKHNLLAQILCSIHRGSLVKYVNKDEDDLAEELRKVLLRNRYLIVLDDLWDIEAWNLLERSFPNDANGSRIVLTSRIHSLPLQLKHASEPYHLRQLTDKESWALLQNKLFGKEGCPPTLTKVGFQIAKNCKGLPLTIILVAGILATTEQDCWKEVAETLSSSTIVETEQCKRTLELSYSNLPGHLKPCLLYFGAFPEDEDVSVRNLIWLWTSEGFLQKTEGKSLEDVVDEYLTDLVQRSLVMVTRQRSIGGAKACRIHDLIHEFCVEKGKEESFLQISHREIDLITMTGPHNLHRLCIYNTEPRKLKKSRVFFPNLRSLLFFAKLKVVGYFPIRVLLFKLLGVLDLGGFNFNYEFPMEVVLLVHLRYLAISSIWSVPSEIANLSRLETFLVTASGRNVALPNTIWNIQSLRHLCITNSHHGFGFLVDNLAGSLDLKHLETLSLAIDSSSQSLQKILTKLPSIRRLKCVPLQDSSSSRNWILVLDGLSRIESLKVRNLAPLEIKFPLYLKKLTLSHTNMPWSEISTIGKLPSLEVLKLRNKAIDREKWEMKAGEFLSLRFLELSQLDLRSWTATSDNFSRLEKLVVQSCWKLEEVPSCLGECLNLEMIELKWCRESAVTSVKQIQREQMDAGNEGLRIILENNGF >CDP02654 pep chromosome:AUK_PRJEB4211_v1:7:5574011:5575366:-1 gene:GSCOC_T00040109001 transcript:CDP02654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine desulfurase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G65720) UniProtKB/Swiss-Prot;Acc:O49543] MPSKLLTTALRRTILKPTILRPFSTAAAAVAEPYQEDTSGITVKGVKISGRPLYLDMQATSPVDPRVLDAMLPYYLSRFGNPHSRTHLYGWESDQAVESARTHVADLISASPKEIIFTSGATESNNISVKGVLHFYKDKKRHVITTQTEHKCVLDSCRHLQQEGFEVTYLPVEPDGLVDLDKLRAAIRPDTGLVSVMMVNNEIGVIQPVEEIGKICKEFNVPFHTDAAQALGKIPIDVDKMNVSLMSLSGHKIYGPKGIGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGFGAACDIAKKEMEYDDKRIKALQERLLNGIRDKIDGVVVNGSVERRYAGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRYGIGRFTTEEEIDRAVELTVMQVEKLREMSPLYEMVKAGIDLKNIQWSQH >CDP02099 pep chromosome:AUK_PRJEB4211_v1:7:1408992:1409930:1 gene:GSCOC_T00039384001 transcript:CDP02099 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSTLTLSNPKPSAATSPTHDHSPALLKQQSLLSFPLRTQNPLLLHRTAFVRPLAAVDAPEKVVQLGDEISNLTLADAQKLVEYLQDKLGVSAASFAPVAVAAPVDAAAAGGAAPVVEEKTEFDVVIEDVPSNSRIATIKAVRALTSLALKEAKELIEGLPKKFKEGISKEEAEDAKKQLEEAGAKVAIV >CDP02628 pep chromosome:AUK_PRJEB4211_v1:7:5393980:5394916:1 gene:GSCOC_T00040079001 transcript:CDP02628 gene_biotype:protein_coding transcript_biotype:protein_coding MLSADCLFGNPFPAFESGFPPWDCQEPPFVFPQHDDPILSPLGELRVETQEPVISNSGSETYTPPPLDPTGQAISISGSENYDPTPLDSSSGSEEPNQNNKRPYPGSDDTTNPPVDSAADERKRRRMLSNRESAKRSRMRKQKHLENLRNQVSRLRIGNRELVNRLRVVTHHCQLVRTDNDRLRSESVILRQRLWDIRQVLLVRQLQQQLATSAWPCNNLTPMNDETIPQPLIT >CDP02080 pep chromosome:AUK_PRJEB4211_v1:7:1260984:1264226:-1 gene:GSCOC_T00039362001 transcript:CDP02080 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSWPKMVPFETVLVVGPQHAYRSLPQRKSLPEFWRDHPLPAEGWKEHNCIPDEHYVQTLLAQEGLEGEITRRSVTHTAWDLSSSRDRERRGWHPVTYKLADATPTLIQSIKDIDNIYYETEYRREWCTSKGKPAPCFLFARKFTRPAALRLLNMTALGVQGEASSNSKKKISSISFEFNSKKKK >CDP15716 pep chromosome:AUK_PRJEB4211_v1:7:23586574:23586930:-1 gene:GSCOC_T00015724001 transcript:CDP15716 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLLLLSSLSPFFVFANVGSAMTADKLSGSPLAGLYFMALAHTLVVAVMISAGFRISGGHLNPAVTLGICVGGHITIARSIPYWIDQCLASAAAFSPEDLQLHHTHLQVGQATREA >CDP01925 pep chromosome:AUK_PRJEB4211_v1:7:7656992:7663175:1 gene:GSCOC_T00037109001 transcript:CDP01925 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEEVTRGGHEECIFVSVRLRPLNEKEILKNDVSDWECINDTTIIYKNANLSASERSMYPTAYTFDRAFRSDCTTRQVYEEGAREVALSVVGGINATLFAYGQTSSGKTFTMTGITEYTIGDIYDYIQKHREREFILKFSALEIYNECVRDLLSTDTTPLRLLDDPERGTIVEKLTEEVVRDWDHVVELLSVCEAQRQIGETVLNETSSRSHQIIRLMVESSAREFLGRENYSTLAATVNFVDLAGSERASQSLSAGTRLKEGCHINRSLLTLGTVIRKLSKGKNGHVPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQSRNTLLFASCAKEVTTNAQVNVVMSEKALVKHLQRELARLESELRSPGTTVVTSDYAALLRDKDHQIEELEKEVKNLILQRDIAQSQLKDLLQLLGQDRNSLRGIGLRNYPHLRIHESPDSEHPVPDTSSLLDSPTFSCGNSRSSSEDNFIRVPDFVNNFQDNNTTPRMLVLSSNFSESESCQGWEEMDKRSSGTSEDLCKEVRCIEVEDFSYPCPLEHQSNALSITGGDKEIPELQSSPLEDERRSASPSLKEEKQLAPIAVKEDKELVSPPLMEDEQLVLPQSKDEKELHCIDSSYVPTANSSPLQDLLADSVGSKGLSLTKSRSCNASITMTNNTPPDGSEREFIARKEGIKRKLLKFDFDVERLSREGSHPSAENVVDNKFEASNEISSNTDNVIRVDTCPRTTELHPEPEVPDNPIKESEEKSSQATKIVKDVGLDPIEDEHVGLRNWPTEFKRLQKEIIELWEACSVSLAHRTYFYLLFQGDPTDAIYMEVEMRRMIFLKDTFSRGEKTMLNGQTVSLASSMKALRRERRTLSKLMLKKFSEEERQSLFLKWGIGLDTKLRRLQLAQRLWTNTEDMNHIADSAYIVAKVVGLIEPGQHAPKEMFGLNFTPRSSSKTYSFKRSLIALL >CDP01998 pep chromosome:AUK_PRJEB4211_v1:7:7136092:7137693:1 gene:GSCOC_T00037200001 transcript:CDP01998 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSKILIVGATGYIGKHIVEASAKAGHPTFALVRESTISDPKRAAIIESFKSLGVTSLYGDLYNHQQLVNAIKQVDIVISTVGGGTEVVAHQVKIIAAIKEAGNIKRFLPSEFGGDADRWHCVEPAASWYRTKAEIRRAVEAAGIPYTYLVSNGFAGYLNCFLNLFGDFSSATPPKDKIGILGDGNSKVVFSKEEDIAAYTIKAADDPRTLNKIVHLRPPANTLSCNEIVSLWEKKIGKTLEKIYLPEKEVLEKIQEATMPLNLFLSVGYTIFVKGEMANFEIEASFGVEASELYPDVKYTTLDEYLNQFVSD >CDP02247 pep chromosome:AUK_PRJEB4211_v1:7:2446886:2451023:1 gene:GSCOC_T00039587001 transcript:CDP02247 gene_biotype:protein_coding transcript_biotype:protein_coding MPITWKSCLFFYILMMAGQRNSYGKRSHDYSDNGGNKRRNSGADKDPFSVGSDDTVYRYLCPAKKIGSIIGRGGEIVKQLRADTRSKIRIGETVPGCEERVVTIYSSSEETNDFDGSEERVCPAQDALFKVHEKIVSDETASDDDREETAQVVVKLLVPSDQIGCIIGKGGQIVQSIRSDTGAQIRILKDDHLPACALSSDELVQITGEAPVVRKALYQIASRLHDNPSRSQHLLASAVPNAYPSGGSLMGATAAAPVMGLTPLVGPYGGYKTESGDWSRSFYSAPRDDSSSKEFSLRLVCPTANIGGVIGKGGTIINQIRQESGAGIKVDSSAAEGDDCVISISAKEFFEDTFSPTIDAALRLQPRCSEKVERDSGLISYTTRLLVPTSRIGCLIGKGGSIITDMRKITKANIRVLTKDNLPKVAAEDDEMVQISGDLDTAKDALIQVTSRLRANLFEREGAVSAFVPVLPYVPMSTDGSDSVRYENRDAKRHGRGHSYSAGYGSSSDMPPLDGYGNYASLQGGASGTGYGAYGGYSSGRSAGSGLSGQNPVSRRKSFY >CDP01627 pep chromosome:AUK_PRJEB4211_v1:7:10124041:10124238:1 gene:GSCOC_T00036733001 transcript:CDP01627 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIESISTIGNHSWHAFRDGECSGFIHKATHGWPKHIDNNVWDVAMERRDKKLMEMLSSSSTPN >CDP02028 pep chromosome:AUK_PRJEB4211_v1:7:6952960:6955027:1 gene:GSCOC_T00037239001 transcript:CDP02028 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCTDSGNLMAIAQQVIKQKQQQEQQQQQQQQQQQFLGINPFCLSPWSTTTHSSLTTSPALGYGLTGPGFADPFQVPGGCPDSAEPGFQFPPLDHHSTGFRFPDFCGAPGGEFDSDEWMESLMGGGDSTESSNLHSGCDAWQTGSDFNATTIYGADPFAACPSRLSIGSSPPSSDLNRVIFSETSQKSSAPPDPPQTQPSSQWVPPSSSPPSSPLHPTAKDSSKQQQQQQQQQNSASQNDAAAACTSSTSPDASPSKPLLKALIESARLAGSDPDNAVKSLIRLRESVSQHGDPTERVAFYFLEALYGRVSRQPEKSPTIFDTTPEELTLCYKALNDACPYSKFAHLTANQAILEATENGTKIHIIDFGILQGVQWAALLQALATRPAGKPERIRISGIPAPLLGKSPEAALLATGKRLSDFAELLDLNFEFEPVLTPIEELTGASFQVDPDEILAVNFMLQLYNLLDDDNCGAEAALKLAKSLSPSVVTLGEYEVSLNRVGFLARFKNALKYYSALFESLDPNLTRDSDERLRVESLLFGRRIAGVVGPEEETGTRRDRMEDKEQWRILMESAGFEPVTLSHYAMSQAGILLWSYNYSQSYKLVDSPPGFLSLAWNDLPLLTVSSWR >CDP02711 pep chromosome:AUK_PRJEB4211_v1:7:6012068:6013138:1 gene:GSCOC_T00040180001 transcript:CDP02711 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGLAFKRALAALLAAWTREAAIKSGIKFLLVRKLRAFMETETRYAYQSNYEPLSMVWLW >CDP02644 pep chromosome:AUK_PRJEB4211_v1:7:5492239:5494583:1 gene:GSCOC_T00040098001 transcript:CDP02644 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSRKATCNSHGQDSSYFLGWQEYEKNPYDEVRNPTGIIQMGLAESQLSFDLLESWLAENPDASGFTRNGESIFRELALFQDYHGLPAFKKALAEFMEEIRGNKVTFDPRNLVLTAGATSANETLAFCLAEPGEAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSSNGFRITESALEDAYQLARKQNLRVKGVLVTNPSNPLGTAVSRPELNLLLSFISAKNIHLISDEIYSGTVFSSPSFVSVMEVLLERNLANTQVWNRVHLVYSLSKDLGLPGFRVGAIYSNNNTVVAAATKMSSFGLVSSQTQYLLSAMLADKKFTKHYIFENQVRLQKRHAMLVRGLKNAGISCLDSNAGLFCWVDMRHLLTSKSFDAEMELWKKIVYQVGLNISPGSSCHCAEPGWFRVCFANMSEETLDLAMQRIKDFVVSTATKSSNTGRSHHLSSKYSARKSLSKWVFRLSFHHDSENER >CDP02622 pep chromosome:AUK_PRJEB4211_v1:7:5342743:5343234:-1 gene:GSCOC_T00040068001 transcript:CDP02622 gene_biotype:protein_coding transcript_biotype:protein_coding MCTIIKKADKQGKFRTCVTAVTRILTRARDFYINCMNNCASNVGTYNSIGGMVYPASHLAPSLPRSFSVRSSALISDDDLAELLRAASTRGLRNEIELEFLRQQRPPMGGVSVVQRSHTIAIGRIDEEKPCDFGEDVTLRTEYAFPRSRSHAVTNRKDFLKNS >CDP04579 pep chromosome:AUK_PRJEB4211_v1:7:18684054:18685298:1 gene:GSCOC_T00018548001 transcript:CDP04579 gene_biotype:protein_coding transcript_biotype:protein_coding MLENKYGDGVAWKRGAMLGKGSFGSVYLATLKKPRSKYSCLPSVMAVKSAEVSVSGSIQKEREVLSNVKGCPNIIQCFGEETTTGVNGVMVFNLLLEYGSGGTLAEKIKKSGGNGLPEFEVRCYTRSILKGLNFIHEIGYVHCDLKPENILLVPNNAGGGRAGFRAKIGDFGLAKRVKQCKKRKLEPYWRGTPTYLSPEVVGDGVQEQPSDIWALGCIVLEMLTGKPPWDAKEEQNAEDILKRIKNELPQIPSELSKEAKDFLKGCFVKKAMYRLTAEMLLNHAFMEGLVDGDDDVEEIIEVEDINGIESILVVSETDDDEVICGYVSDEWSFASEDCSISYWSEGDEGEIEDEIVSQISEEQKLGLEQSNGVISSTEEAGLDHATEASLQIPLPIPPSTTQQYPVSFRIPAGV >CDP01860 pep chromosome:AUK_PRJEB4211_v1:7:8171918:8174109:-1 gene:GSCOC_T00037034001 transcript:CDP01860 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSISKFFKISVSFLSHPNPAPALIQPSRASHVSSLSHFRYSPKTHSLYKKNLDYLDSCQSLTHLFQIQANLITSGLLQHPSFSGRFMKICSQFSVLDYVVLVFRCIVFPSTFVVNTVIKVYACSSVPQKAVIFYFEMLEYGFFPSSFSFPPLFSGCAKLKCLNLGQKCHGQVVKNGVDGVLPVQNSLIHFYACCGLMESVMKIVVNMSVRDVVSWNTIIDSFAKLGELDLAHKLFDTMPQRNVVSWNIMMTGYLDLGNPGNGLKLFREMVKLGFKGNETTMVNVLTACGRSARLKEGKSVHGTLVRESGNLSLIVNTSLIDMYSRCGRVDNARSVFDRMPMKSLVSWNAMILGHCIHGNPKDGLNLYDQMTSKSSRLEDGKTHMTNKWSLGNCDGIIPDEVTFIGLLLACAREERLTEGRNYFGQMIDVYGVKPNFVHYWCLASVLDKVGQRKEAIELLQDMPVVKDASPESSLWADLFSSCRFQGDAILGEQLAKRLIEQDPQNFSYYVLLVNVYAVAGKWEDVARIKAVMKENGIKRIPGCSLEDLTGIVQKMKVGAKWQEFTDSCQLRSSEVND >CDP01963 pep chromosome:AUK_PRJEB4211_v1:7:7367022:7371472:1 gene:GSCOC_T00037158001 transcript:CDP01963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MSSKASNQTLIAEMSAWRSVVDWQWENATAGALAGLATVTFSHPLDVVRTRFQVHDGRISNLPSYKNTPHALFTIARSEGLRGLYAGFYPAVLGSTFSWGLYFFFYSKAKQRYLENRPELSPGLHLASAAEAGALVSLCTNPVWLVKTRLQLQTPQQVRPYSGFHDALRTILKEEGWKALYKGLVPGLFLVTHGAIQFTAYEELRKAFIQLKSEGGEGSTDDSLDSIDYAALGATSKLAAIVSTYPFQVIRSRLQQRPSTDGIPRYMDSWHVMKQTARYEGLRGFYKGITANVLKNVPAASITFIVYENVLNMLKLAKWKDQ >CDP01512 pep chromosome:AUK_PRJEB4211_v1:7:11287165:11288177:1 gene:GSCOC_T00036591001 transcript:CDP01512 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVRVVHIYTYGRIILSPSFAVHSIRNCCCLPPHHNWSSQVKKAIKRLETSHGSALANCIAKNSRTSSLGV >CDP01943 pep chromosome:AUK_PRJEB4211_v1:7:7515628:7518228:1 gene:GSCOC_T00037134001 transcript:CDP01943 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIRKIENSTNRQVTYSKRRNGIFKKAHELSVLCDAKVSLIMLSDTKKFHEYTSPSITTKKVMDDYQSAVGVDLWSTHYEKMQENLRRLKETNNKLRRDIRQRMGEDLNDLNWDNMCRLQEKIVDSLAIIRHRKYHVIKNQTDTYKKKVRNLKERHGNLLYELEARSCEDPKYGIVDNARDYNSALALADGGLSNLYALRLQYSSHPNLQDLRLA >CDP02356 pep chromosome:AUK_PRJEB4211_v1:7:3257924:3262585:1 gene:GSCOC_T00039718001 transcript:CDP02356 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPRLSLSGTLLVHPNRKIPTAALTTSSVDTKQRLPYNPHRTYPAKPQKPQLDLTPTLSTSSISVSDLLKRATPAPSSTTAKGTLCESEMTYLGYERWLPSPPKVEKPRSVFNAASLAYIGDCIYELYARRHFLVPPLNIEEYNDRVTAVVRCEAQDAMLQKLINDDFLSEQERDVLRWGKNVGSSKTRTKKRAGVAVYNRASSLETLVGYLYLTNVERLEEIMLMLGFCSGASDQSIPKEEDSN >CDP02358 pep chromosome:AUK_PRJEB4211_v1:7:3274285:3281286:-1 gene:GSCOC_T00039720001 transcript:CDP02358 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAMGPPPPRNPISTTSHAAASSSSASQSTADTSSMGPPPPKIHNAPEPAPAAERSADTPQPKPSEADNSVSETVDSKEGASSSSTKDTSQTGRQQEKGFSNSTDIAVPYKVPEWNGPPCHHYSLEVLKDGSIIDQFDVYKKGAYMFGRVELCDFVLEHPTISRFHAVIQFKSNGEAYIYDLGSTHGTFINKNEVKKKAYVELHVGDVIRFGHSSRLYIFQGPTDLMPPEADLKTIRTAKIRQEMQDMEASLLRAKLEASLADGISWGMQEDAIEEAEDEIDEITWQTYKGQLTEKQEKTREKVMKRLEKIAHMKKEIDAIRAKDIAQGGLTQGQQTQIARNEQRISQIMEELENLEETLNESIRESLGARAGKTTRGKRQGATEDNEEDYLSDDDDFYDRTQKPYKKKSGENQSIETADSLLEKKEAILKEMEDTRNLLLNEDKSVPKREVAEEGDALDAYMSSVSSQLAFDKKDKLEKGLASLQSELDRVSYLLKVADPTGEAARRRESKAQEPRHNVVVAASDAVELSPSEKRQSNRQEHSVCGSDKLEKVQNRSSSKEGKIDATADSSNRLEASENVADSTDDEAGVYTVAKAQWLGAVDCQKEQETRQESQVDVEEHDQFVDYKDRKAILENTDGAKSRETSGIENAAPGLIVRKRKHVEKSDLTDVKDSEAYKEAEIKAEDAVALLLRHSRGIHTSDEMEQDSENVPQSKQARKDKKKPKRLLGPERPSFLNNEPDYESWVPPEGQSGDGRTSLNDRYGY >CDP01452 pep chromosome:AUK_PRJEB4211_v1:7:11907149:11910849:-1 gene:GSCOC_T00036504001 transcript:CDP01452 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAEAAAKSKVTKSSSGDQISNPNGKVWFYSLLLTLQYGAQPLISKRCTGREVTVTSLVLTCEVVKVICALLLMAKDGTLKKLFKEWTLVGSLTASGLPAAIYALQNSLLQISYRNLDSLTFSMLNQTKLFFTAFFMYMILRQKQSIQQIGALFLLILAAVLLSVGEGSSKASSSSNPEEILFRGIIPVLVASVLSGLASALCQWASQVKKHTSYLMTVEMSIIGSLCLMASFYKSPDGETIRQHGFFYDWTPLTLIPVIFNAVGGILVGLVTSYAGGVRKASLAP >CDP04648 pep chromosome:AUK_PRJEB4211_v1:7:16847221:16857144:1 gene:GSCOC_T00018675001 transcript:CDP04648 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKCKQSPTLWKREKVETLGLGFGGTCKLPKLKILLMGNTESTSGDTSRDFPHEASGYAGNPVDPNYQHQHPIYAGNPVDTKYQQQPPASATSSLNSNNQRKHRPTYIADNFNSVEEVVTALREEGLESSNLILGIDFTQSNEWTGKYSFNRRSLHAMGTTPNPYQQAISIIGRTLSPFDEDNLIPCIGFGDASTHDQHVFSFYPDHRPCHGFEEALARYKEIVPHLKLSGPTSFAPIIDSAVDIVERSNGQYHVLVIIADGQVTRSSNTPHGRFSRQEQATINSIVAASEYPLSIILVGVGDGPWDEMQQFDDNIPQRAFDNFQFVNFTKIMSEHTNMAKREASFALAALMEIPFQYRAALSLPFNENSGGPRSRVLPPPRDVIDHDNSLKSSPHVTSFEPVDHGPPADQVCPICLTNPKDMAFGCGHLTCKDCGITISTCPLCREPIKTRIRLYG >CDP01900 pep chromosome:AUK_PRJEB4211_v1:7:7897885:7899900:1 gene:GSCOC_T00037080001 transcript:CDP01900 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTLTALAEEKTLQSSFIRDEDERPKVAYNQFSNEIPIISLKGLDDTDGARPEICKKIVEACEDWGIFQVIDHGVDDKLISDMTRLAREFFALPPEEKLRFDMSGGKKGGFIVSSHLQGETVQDWREIVTYFSYPIRARDYSRWPDRPEAWRAVTEKYSEKLMELACKLLEVLSEAMGLEKEALTNACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDGGKTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSNCSRLSIATFQNPAPEATVYPLKIREGEKAVLDAPMTFSEMYRKKMSKDLELARLKKLAKEQELQAVEKAKLEAKPIDEIFA >CDP02022 pep chromosome:AUK_PRJEB4211_v1:7:6983065:6985141:-1 gene:GSCOC_T00037229001 transcript:CDP02022 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGFKARAESEGRLLYLKLGYSHEVELTVPPAVRVFCFKNNVVCCTGIDKQRVHQFAAAVRSCKPPEVYKGKGIMYLDEVIKKKQGKTSKK >CDP08404 pep chromosome:AUK_PRJEB4211_v1:7:25610958:25618544:-1 gene:GSCOC_T00027243001 transcript:CDP08404 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPGRGRPRKDSSSSSYLSFMDARPEFFKVFLPDVSSQQLRVPPLFVKKFSGLVCKRIKLRCIDGKIWDVDVEETQEGVFMKNGWQAFADHHLLKLGEFLVFRYDGNSVFTVKIFRTHGCKEEAFVREKIAADVKIEPLEDQIAATEHTCAKHSCNSDGEGNKLDTSRGVREGKQQMSSRFHSQTVQTERDSEFGSIRSISRTQMHKLMLSKGFISKNNIKLGSNVMLCNEKEEKWPVKFTHFRDGRACIGTGWMAFWKDNGMCEGDKFKLVFDEGRGRKMITVCKV >CDP02483 pep chromosome:AUK_PRJEB4211_v1:7:4181193:4184313:1 gene:GSCOC_T00039874001 transcript:CDP02483 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGRARRHVNVRPPNCGNLVTILSIDGGGIRGIIPGVILAYLESQLQELDGEEARIADYFDVIAGTSTGGLITAMLAAPNENHRPLYAAKDIVPFYLENSPKIFPQIGGPFGGVVNAFKTLIGPGYDGKYVQQLIKSNLGSTRLHQTLTSIVVPTFDIKILQPVIFSSYQVTDNPVVDALLSDICIGTSAAPTFLPAYYFKNSDAQGNEREFNLIDGGIAANNPTLVAVSEVTKQVMKKNPDFFPIKPMDYGRFLVISLGTGSDKNEHTYNAKMASKWGVFGWLYYKGSTPLIKAVNQASADMVDFHNSVVFQALHSDDNYLRIQDDALTGTLSSVATATKQNLDNLVKVGEELLKKPVLRVNLTSGDHEPVENGGTNMDALTRFAKLLSDERKLRDANFGRMSGSTSY >CDP16690 pep chromosome:AUK_PRJEB4211_v1:7:483627:488973:1 gene:GSCOC_T00019157001 transcript:CDP16690 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTSEEKTKMETQFNWQSSWRKEVDVNLKRLHSLLFGADVALENGDYFRAQVLALGLVGFLDSRCHSDVDEAFISPIRREALSKLDSARNSLFPSSDRQVFQQAGREPSSVFTKGGDINIEKIMQSKYFRALRLQSKGSAVNGLGDQADSVQKSSCKPSKPLMQTKLTYMYGNLSKAKSSSFAPQNSSEDCVPVETAHPTHGFPKNQHVHVKAEDEERACAHNSNPKRLHMEVASPRTNNTKSPSCAEETENDISGNGFVTARMKLEMEARQKRGLTGSPSASVSPQNDNAARVYGVRSYGPRRGIRGNFIPPIKSNGNSAGNVTSRIAGKSEDALDDSTKKCLDLLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWDDIAGLDHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDTGSEQILLVGATNRPQELDEAARRRLAKRLYIPLPSSEARAWIVQNLLEKDGLFELSREDIGTICKLTEGYSGSDMKNLVKDASMGPLREALTQGFEITRLKKEDMRPVTVKDFENALQEVRPSVSSNELGIYEEWNKQFGSLAL >CDP02336 pep chromosome:AUK_PRJEB4211_v1:7:3058266:3059609:-1 gene:GSCOC_T00039697001 transcript:CDP02336 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPSILLQTTQIFEVSDLALDFGTSFCKFCSQLPKEVILIATGVERVMGHEKRPTTRQVVKTLISEDGWTGFYRGLGPRFFSMSAWGTSMILAYEYLKRLCAKEE >CDP12904 pep chromosome:AUK_PRJEB4211_v1:7:13177134:13177803:-1 gene:GSCOC_T00037593001 transcript:CDP12904 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVKRLGSEKPVVIFSKSNCCISHAIHMLICSFGANPTVYELDQYPEGRDIENALLALGCHPTVPAVFIGKLLVGGSDEVMNLNVQGKLKPLLIKANAIWM >CDP01714 pep chromosome:AUK_PRJEB4211_v1:7:9335312:9338390:-1 gene:GSCOC_T00036853001 transcript:CDP01714 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGPGLESLVDQTISVITNDGRNIVGILKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISIVGELDEELDANLDLSKLRAHPLKPVIH >CDP01644 pep chromosome:AUK_PRJEB4211_v1:7:9981718:9989338:1 gene:GSCOC_T00036761001 transcript:CDP01644 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) UniProtKB/TrEMBL;Acc:F4K790] MPRATEEDELHHHKLLKEKIARVFDGTRTSHATHTRKLKELFTLFLSSASPEKFFTAFSETLAPLFDFSRRTASAERIIKFVAAFATFRSEKNINALEIDEFLERFLRFLLVASTAANKTARHRACQIISEIIMRLPDDTEVSNELWDEVIEHMKLRVNDKVPAVRTFAVRALSRFLSDSENSDILELFVDKLPSEQNPDVRKTIVLSLPPSNATLAAIIDCALDVSESVRKAVYCVLASKFPLQSLSIKLRTTILQRGLADRSAAVVKECLNLIKDDWLPKFCNGDPVELLKYLDVETYEEVGESVICAILKEGLVNLEDVKGLHEFSTSDGETTGGPLKHDIQLMEPEVALYWRIVCKHLQMEAQVKGSDAAMTMGAESAVYAAEASDSNDLLDRVLTASVSEYVELVKAHLTAGSNYRFASRQLLLLGEMFDFSDATNRKVAGELVQELLRKPLDHEKDENDNDIVIGDGINLGGDKDWASAVSKFVRQVHAALGEFEEVVLTVVAELAQPCRERTADYKEWLHCLAVTGLLLESARSYQLLQGKAIEPAEILHSLLLPGAKHAHFDVQRAAIRCLGLFGLLERKPCEDLVKQLRFSFIKGPSSITIMSSKAMLDLGLWHGPREVDKAMNQDVTSQFKDQKVDFSSINWCDASENLHIGMLDMLYWVMERNCISDFVESDEIEFVQAVLAEGFAKILLLSEKYPNADASSHPLLLGKLIGLFFSSDSKDHLRLKQCLSVFFEHYPSLSANHKACVKCLSKAFIPVMRSLWPGIMDSAKRSSAMVAMMRKRAVQASRFMVQMMQAPLYTKDNVPKDGDGSEDTKDVFLEFDSGEEGLAIRIAAEVMGFPSNKTAAEKSYVSALCRILVLLHFRLSEQGPIKLMRQLLSCIAQSVVAERELLKELKEMASRLKAADRSPDQQLSSDQANHIFGRLELEVNLDEVESVELPPTPAPRSIRTARARRRPKAEEESSSDGELSPTSVVPADSSNMRARSQRASKTAAMTRMTTNKTSSINEEEAEDDDSQEDSGSEVTSADDSDAF >CDP02647 pep chromosome:AUK_PRJEB4211_v1:7:5517551:5523154:-1 gene:GSCOC_T00040101001 transcript:CDP02647 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLSERLALTPKTQVTTLMTPFTVPDSGGRVFRTPLTDEAIWKRLKEAGFDEESIKRRDKAALIANIARLEAEKYDIEHHMGLILLERKEWVSKYDQLKAAAAAAELNYKREQAARASDLAEAKKREDALKKALGVEKECVANIEKALHEMRAESAEAKVAAESKLAEARSVTGDAQKKLTEVQAKLCAAESLEAEASRYHRAAERKLREVEEREDDLRRRILLFKSECDNKENEIHSERQSLRERQKVLQQSQDRLLDGQSLLNQREEYVFNRSQELTRLEKQLEASKLDVENERKVLFEKKQDLDLLQTSLSAREEAVISKECELTKKEEELLILQGKLANKEFDGVQQAVDDREAAWNVQKSAFEAEMEMTRKLVEEEIESKRRTWELTEIDMKQKEELVKDKEHDLEIQAKAIVEKEKDLEERLHSLEEKEKNLVAAEGEVELIKSLLEKDKEEINQIKLDLQKSLDSLEEKKKQICYAEEKMEAMTSETNDLLVLEKRLKDEIDMITAQKLELEAEADQLKAEKAKFETEWELIDEKRGELRKEEERVAEERLAIYKFLKDERNNLKLEKDAIRDQYKRDLESLSCDRQTFMCELECERSEWFNKIQKERTEILRDIEVQKNELVNRVEKRREEIESYLKERMEVFDEEKKKELQEIASLRETVQKEMEFVNSEMKRLDAEKKEIQLDREKRDEEWAELNRSIEELKLQREKLEKQRELLHADRGEILARIEELKKLEDLKVIPDRITPRKVLAKNKIDSSNGTVHNSGRSDTGPSALSAPLSWLKRCADTLLEQTPSSKKRRQEKDVALDSFSPSALSKEANAAEAVNAAKPINQTPVGAEETTVYIDKIITIREVTSVDVGRASGDSQEFVPQESDDILDNNNGLKSNDNINLETEARVAR >CDP02454 pep chromosome:AUK_PRJEB4211_v1:7:3934906:3936459:1 gene:GSCOC_T00039836001 transcript:CDP02454 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVIISLAIEKFPQKIAVAVFLTAFTPAPHLPIRTMAEEYNRRLDSTMDIQHGFENGEDKPPTSLLFGPKFLSTKLYQLSPPEDLALATFLVRPIALFADANLSEVIALTDENYGAARRVYIISDKDNVIKEDLQRWMIEKNPVEEVEEIYDSDHMVMLSRPLELCSCLERIAGKFA >CDP02685 pep chromosome:AUK_PRJEB4211_v1:7:5828166:5836659:1 gene:GSCOC_T00040152001 transcript:CDP02685 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGLDNWNTRSWVNCCIVCLFLCIQSVVAQPGFVSIHCCSDKDFVEPNTSINWIPDDYWYPDNRSCQDTTPVQDDTGYNRARVFDSGLGHKWCYNLTTERDQAYSVRGTFLSGDHQRRPPGSGFSVSIDATVIGVVKSSGESKVEGIFKATKDYVNFCLLQGQRVPYISKLELRPLTSDCLNRDPSSVLNLIDRVDAGNEGGDIRYPLDRYDRIWRADKYSDPMANRISSSSVNVTRSSPNLTFPPVEVLQTALTHPERLEFSYNNLDSDYHSYDLLLYFLELNDQVKVGQRVFDVYVNDAKKTQVDVALSGTFRFVILNVTANGYLNLTMVRLSNGSQYGPIISAYEILQVHPLIQETGREEVDNIIDVKNEFLRGNPDNELLASWLGDPCLPISWPGLSCELANGTSVITTMNLSSSMLGGPLPPSLTKLFNLKALYVNTFFPCEPSVIIFLLDISHTSLVGNIAELVTSLPNLTTLYHDSSPYLSNRLPASLRRSNLTTDKDKCIHQASRHSARGVVIGVVTGVCILVTIAIGAILVCRKKGKLIFKRKYDANGLSMTKNAVYSVPSTDIILRSISIQSFTLQYIEAATQKYKTLIGEGGFGSVYRGTLPDGQEVAVKVRSATSTQGTREFDNELNLLSAIRHENLVPLFGYCCENDQQILVYPFMSNGSLQDRLYGEAAKRKILDWPTRLSIALGAARGKDVKSSNILLDQSMCAKVADFGFSKYAPQEGDSGTSLEVRGTAGYLDPEYYSTQHLSAKSDVFSFGVVLLEIVSGREPLNINRPRNEWSLVEWAKPRIRNSRIEEIVDPSIKGGYHAEAMWRVVEVALACIEPYSAYRPCMADIVRELEDALIIENNASEYMKSIDSFGGSTRYSLERPIVILPTPNQTEASPILSQPPPPQPR >CDP02315 pep chromosome:AUK_PRJEB4211_v1:7:2918990:2922802:-1 gene:GSCOC_T00039672001 transcript:CDP02315 gene_biotype:protein_coding transcript_biotype:protein_coding MYLCSLDQRRKHSSPHYKVFRPSATVNLLKPSQVHFRKGRDNGSRGSRCQREGVDMAFVNRISNVLKLRVSRHMNLELSASNSSLYQTIRSMSSSKLFVGGLSYNTDETSLKEAFSQHGEVVEARIIMDRDHGNSRGFGFVTYASSEEASAAIQAFDGQDLHGRRIRVNYANDRPRAPRFSGGYGGGGSGFNYGRGNGDYGGPGGYASGSYGGGGPRGGYDSGNYGNSNQYASGDGRMDGFSGSNYGSRVGDGNAGNFGASGDSHYLSSDSSNQGGFANSGFDGNTSPYGQAQEQLSGNQGGADALDEDFGQDTLNANYKDNDDDEDDSNDYVNTRG >CDP01728 pep chromosome:AUK_PRJEB4211_v1:7:9233464:9235600:1 gene:GSCOC_T00036872001 transcript:CDP01728 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFLSNKISRDELKPGDHIYTWRHGYLYSHHGIYVGDERVIHFTRSAEHEIGTGTVLDRVIFSSSPSNSSDTPCPRCGDQSKASGVISSCLECFLYGDELYRFEYGVSPVVFLARVRGGTCTLAASDPAEHVIHRAEFLLQNGFGGYNIFKNNCEDFAIYCKTGLLVFTSVSLGRSGQATSFVAAVTAIVSSPLRFMTTSFPGLAAVGCSLYCLSRFVSDIGIRRDVTKIPVERLVSRSGLDGSDPESETCSTSASETTKED >CDP02329 pep chromosome:AUK_PRJEB4211_v1:7:3017366:3022329:1 gene:GSCOC_T00039690001 transcript:CDP02329 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSRRATVRKKQSRRLTNQSSSSAGNGGSNRWSRIRSTKKEEPIIHERALAAAILLQQELQNSGAAAAAPFDRSTSLRYPNGGSAKRNQTLPRSSSSRARSLTDPLLHPQQLLNQDVKLEGLETNHFVLVHGGGFGAWCWYKSIALLEEAGFKVTAIDLTGSGVHSFDTNCITSLSQYVKPLCDFLEKLADGEKVILVAHDFGGACLSYSMELYPSKVSKAVFIAAAMLTSGQSTLDMFSQKTDSNDLMRQAQIFLYANGNGHPPTAIDLDKSLLRDLLFNQSPSKDVALASVSMRPIPFLPVLEKLCLSDAKYGTVRRFYIETPDDNAIPISVQESMVSKSPPEKVFRLKGADHSPFFSKPQALHKLLVEISKIP >CDP01916 pep chromosome:AUK_PRJEB4211_v1:7:7726369:7727843:-1 gene:GSCOC_T00037097001 transcript:CDP01916 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNQTTSRSGFTSAANGDETASPSPALQPPTSRKVALITGITGQDGSYLTEFLLIKGYEVHGLIRRSSNFNTQRINHIYIDPHNAQKAKMKLHYADLTDASSLRRWLDTINPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHISATGRSHIKYYQAGSSEMFGSTPPPQSETSPFHPRSPYAASKCAAHWYTVNYREAYGIFACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQSKLFLGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEESHTVEEFLRVAFGYVGLDWRDHVVIDKRYFRPAEVDNLKGDSSKARKVLGWKPKVGFGLLVKMMVDEDIELAKREKVLVDAGYMDAQQQP >CDP01535 pep chromosome:AUK_PRJEB4211_v1:7:11052739:11057015:1 gene:GSCOC_T00036620001 transcript:CDP01535 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNKTTAEAENETKVPLLDYSSKGIVGEEANEDQSLARRTWIESKKLWRIVGPAIFSRIASYSMFVVSQAFAGHLGDLELAGLSIASSVICGFDFGLLLGMASALETLCGQAFGAKKYYMLGVYLQRSWIVLLLCGVFTLPLYIFATPVLKLLGQPDDVAELSGTVALAFIPLHFSFTLQFPLQRFLQSQLKNNVIAWVNLVAFCIHVVLSWLFVYKLHFGLMGACVILNISWWLIVIGLLAYVFCGGCPQTWAGFSFEAFSGLWEFLKLSASSGVMLCLENWYYRILIVMTGNLQNAEIAVDALSICMNVNGWEMMIPLGFFAGTGVRVANELGAGNGKGAKFATIVAVTQSIVIGLFFWLLLIFFHGEIAYLFTTSPPVLEAVHQLSILLAFTVLLNSVQPILSGVAVGSGWQSYVAYINLGCYYLIGVPMGSVMGWVFHFGVMGIWAGMIFGGTAIQTAILAIITARCDWEKEAEKANNRVDKWSKPSHT >CDP02619 pep chromosome:AUK_PRJEB4211_v1:7:5328135:5329544:-1 gene:GSCOC_T00040065001 transcript:CDP02619 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTLYHKQSGSGSRKEDTQTLPLRKEKVVAMSKAKLHSRPPSLSRDKSVFIAAAITVLLVCVIWSFTGPFSNFPTLFSSDCSGSPGQFFNRADDPQETTFYDDPEISYTVDGNPVKNWDEKRKEWLKLHPTFAAGVQNRMLLLTGSQPSPCKSPSGDHLLLRCFKNKVDYCRIHGCDVFYNNAYLNPKMKSYWAKIPLIRASMLAHPEAEWIWWMDSDAIFTDMDFKVPLRRYKHHNFVVHGWPNLIYEKKSWVAVNAGIFLIRNCQWSMEFLDVWARMGPQSPEYARWGQTLRSTFKDKMFPESDDQSALVYLLLKEKRKWGDMMYVENEYSLHGYWAAVVGRIDNITGRYEKIERQEVKLRRRHAEAVGERYGKLWEEHLEDAGDRKGGWRRPFITHFTGCQPCSGDHNPSYVGKDCWVGMERALNFADNQVLRNFGFVHPDLRNGSVSPLAFDFPGAEASEDSLT >CDP01841 pep chromosome:AUK_PRJEB4211_v1:7:8307153:8309830:1 gene:GSCOC_T00037014001 transcript:CDP01841 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRFPYSPAEVAKVRVVQFGILSPDEIRQMSVVHIEHSETTERGKPKIGGLSDPRLGTIDRKMKCETCMASMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEEDPKFKQALRIRNPKNRLKKILDACKNKSKCEGGDEIDVQGQDSEEPVKKTRGGCGAQQPKLTIDGMKMVAEYKVQKKKNDDQEQLPEPVERKQQLSAEKVLSILKRISDEDCQLLGFNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEASQFLHALNSDYQLILTLCFYFDCTS >CDP02553 pep chromosome:AUK_PRJEB4211_v1:7:4790351:4798931:-1 gene:GSCOC_T00039973001 transcript:CDP02553 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQALDLPSRKKRHETRKQAPKIASLIVLAILLSVISVYSPPLQFRKFSEASSPLDSLKESINTSLQSLPCRPEDDGSQPCSNTEEGEPEARPEVVEKCDLFAGEWVPNPDAPYYTNTTCYVIQEHQNCLKYGRPDLDFLKWRWKPDGCELPIFDPHQFLELVRGKSIAFVGDSVSRNHMQSLFCLLSKVLYPEEISSTTDESRQWKYKEYNFKISILWAPYLVRSAEITSPNDKARSFGLYLDEFDENWTTKIEGYDYVVISGGHWFFRPAMLYENGRIVGCLYCPQENVTHVTPLFSYQRAFRTAFRAINSLGNFKGVTFLRTFSPQHFENGTWDSGGNCVRKRPYKRNEILLADNNLEMYKIQLQELKIAQKEGSKRGLKFRLFDATKPMLLRPDGHPSIYGHWPSQTVEMPNDCVHWCLPGPIDTWNDFFLELLKREESEKSFNDYVHKIGKIRTTRDLGSRIKNEERHPRESIRRPEKDDEPEAANSPETEPSEPPSSIKSDLIVARTTLDRNNSERRSAPSPSPSQGDENEGRTRIPIQRSPEQTKRVSPVPNPAGGIDHSKLGDKESCDLFTGEWVPNPEAPYYTNSTCYGIYDHQNCMKYGRPDTDFLKWRWKPDGCELPIFDPHQFLEVVRGKSIAFVGDSLARNHMLSLMCLLSRVLYPLDVSTANDENRRWVYREYNFNVSMFWAPYLVRSERTDPNDITRPFNLYLDEFDDGWTTKVQAYDYIIISAGQWFFRPTNFYENRTLIGCLACKQDSVTQFTMNFSYERAFRTAFRAIISLANFKGVTILRTIAPSHFEGGVWDQGGDCVRTRPFKRNETVLADYISELHKIQLKELRIAQEEGSKWGLRFRLFDVTQAMSLRPDGHPSKYGHWPTEKVTNDCVHWCLPGPIDAWNDFLQELIKREETKKSSYRYT >CDP02434 pep chromosome:AUK_PRJEB4211_v1:7:3788554:3791962:-1 gene:GSCOC_T00039810001 transcript:CDP02434 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPNSDIEGTNVVHNQNSAEATIESELQGGTESTCNNAESSTISSGCEREKSLEYAEELMELGSKAAKERDYSEATDYYSRALEIRVAHFGELAPECVNAYYKYGCALLYKAQEEADPLGAVPKKESEVKADSDKDGSVESGATGEPSATPAASNAGEAATSSTHLEKENELETDETTEGKDVEEDEEETDSEDVAEADEDESDMDLAWKMLDVARAIVEKHSEDTMEKVDILSALAEVALEREDVETSLSDYLKALSILERLVEPDSRHIAELNFRLCLCLEIGSKPEEAIPYCKKAISICISRLERLTKEAKELADSTSKAADSESDRTLQHCSSTSNSVISATAKESEIETLSGLSDELEKKLEDLHQLVLNKKSLLSDLMYMMAAKDIEKTAATAAASVQMSSSQMGTANSTGGVDSSTVSTAHTNGSAGVTHLGVVGRGVKRVLMDPSTSNSNPVKKPSVEPSQNNADGNAS >CDP02322 pep chromosome:AUK_PRJEB4211_v1:7:2957755:2960897:-1 gene:GSCOC_T00039679001 transcript:CDP02322 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGENKGKGHLPTQVNGFSKDLLFNNLPNGNTLSRKFGVDNGEEEVELSLGLSLNGRFGVDPKQAKMKLMRSSSVLTFMYAGVAGGEESHASLVPIASYSPLSRTCSLPTETEQEWRKRKELQSLRRMEAKRRRMDKIKIMRVGREKVEPEDYSGEENESNGTNTNNSCQGLDSINGNGNGNMMPSSQASIGSQGSAFSEISEFHSQPIQVNEKNGTKSPSSVQSLKVHHEQKPQVPPGSVVGKAAVDKGAKEAKEMLKNVMLDMPCVSTTGNGPNGKKIEGFLYRYQKGEEVKIVCVCHGSFLSPAEFVKHAGGTEVEHPLRHIVVNPSPLIVARKL >CDP02768 pep chromosome:AUK_PRJEB4211_v1:7:6361411:6362406:1 gene:GSCOC_T00040261001 transcript:CDP02768 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSASLQHNQSLTSFYTALDPNSLILSQFFNSDQPQMLKLTTTESFFMERGPRYKDYAALRESRLRMKSMQQPILEEEELILTPPKKQVKFQGIFATPPKRPKGSSTSSVLTQSVPDFSSALRKENRKPPPSPTLPPTMEKSVTPPLRSKSGNSGRFDGIMGKLGGSKSVNSGEKRSGGLMARKSYASMEDLRGLASDARNAINAENRGGRIGRGGIGKTVLGYRQF >CDP02245 pep chromosome:AUK_PRJEB4211_v1:7:2441275:2443367:-1 gene:GSCOC_T00039585001 transcript:CDP02245 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNIFLYFKLHTLVFALHLSIYDQYSWHLCPCFFGFLSWFLWQYLIVNCVNLGFLFKFSHKKDGNLDMPFRNVKQNIETFAINFSVIHLQFVNFLDLLPILLLKKYALQCVLSYMNHYGTTAAVAKEPLEGHCIYDGGYSYSERSRDYTIPDSELLALQQASLAGAATVWQNS >CDP01738 pep chromosome:AUK_PRJEB4211_v1:7:9148686:9152320:1 gene:GSCOC_T00036884001 transcript:CDP01738 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFLTARISKAFIFLCCLLAISEADKLKTFSEQYGNTFNQTDSEYFMVEAPAMISNGALQVTPDSLSDDFSKANKSGRILLKQSFKLWKDGDDAPSNETRVASFNSSFLVNLYPVNNSSGEGLTFLIAPGLDLPPPNSFGQFLGLTNATTDGNSSNKIVAVELDTFKQDFDPDNNHVGLDINGVRSNISASLTPHGITLVPPAPNASFHNVWVEYDGVKKIIEVYIAEQEKQNGSTPPHPSSPIIRSHLDLRGVLNQDSYFGFSASTGNTAELNCVLRWNLTIEYYHEENQWQRIVLGAGVPGLVLLLVGVAWLGYYFHKRRVERSKSNILGALKSLPGSPKEYEFKELKKATNSFDEKHKLGQGGYGVVYKGFLTSENLEIAVKWFLRDNIKGQDDFLAELTIINRLRHKHLVKLLGWCHKNGKLLLVYEYMPKGSLDQHLFVGQDKPTLSWNLRYKIVSGAASALHYLHNEYEQRVVHRDLKASNIMLDFNFNARLGDFGLARVLENEKTSYHEAEGIPGTIGYIAPECFHTGTATQQSDVYAFGAVLLEVVCGQRPGTKIAGCNGLAEWVWFLHRDGLLLRAVDEKLGEDYVAEEAERLLLLGLACSHPIASERPKTQAILQIISGSVPPPNVPPFKPAFVWPAMVPVDIDSRTTTGTTTSITNSHFNSEWSQQYLSRESYAGYSDHSMV >CDP02306 pep chromosome:AUK_PRJEB4211_v1:7:2854934:2855734:-1 gene:GSCOC_T00039662001 transcript:CDP02306 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLSSSINIYLIWYGRWAASHQLLIKDFLLSISTSDRCAIPSPSVSQWWRTVSLYTDQTNANISSSVLVAGEYSDPAAPKAPTSPCSRSSLLTSPIKTLPCRPQERHLPHPHLWGRHCPRLLSGRLRLPLLHLLVRGGYMMPYAWAGNSGKQCPEVCAYPFAVPRYIGGGRSGALAPPNTDDKRDRARAGRASIEPTGERVVCGGGPHGTDGDRGPVREAVRDRGRGRVHWAGDEGQRGKDVQREGEERSGVRSFWCSGSGVLF >CDP02280 pep chromosome:AUK_PRJEB4211_v1:7:2677071:2680994:-1 gene:GSCOC_T00039632001 transcript:CDP02280 gene_biotype:protein_coding transcript_biotype:protein_coding MPDANRFSPLCLWIAKQFQRLNPCSSDLEADDSIYPYACYACTQVGVPVFHSTTCDPDHQPEWEASAGSSLLPINCRKSRTGDNPSPPGLLPGRVLDPRSARVQRWNRIILLARAVALAVDPLFFFAMSIRVRGGGGAADNQPCIYRDRGVATIVSAIRTCVDLVHTCHFWLQFRLAYVSKESLVVGCGKLVWDPRAIASRYLMSPAGFWLDVFVMLPIPQAVYLLVVPKLLEADRIRLTLSILPIIFLMQFLPKVYHSFSLMRRMRKVTGYIFGTIWWGFGLNLIAYFLASHVSGGYWYILAIQRIASCLAQQCDRSKASCNKKLSCAMEVCYWASRSTCAVGNSTTAHKPLCLDDAGPFHYGLYKFALPLFSKNSAMTKILYSNLWGLMALRLKLIFGLLLYSTMGNNLEPTCRCLEVIFTIFMVLGGLMLFTLLIANIQVFLHAIMVRRKEMQLRYRGIEWWMRHRQLPSNLKCRVRRYENQRWKLAGGQDEMEFIRDLPEGLRRDIKHYLCLGLIKKVPLLCSLDDLILDNICDRVKPLVYSKDEKIIREGDPVQRMIFIADGRIRRSQSLGEGAVANSMLEAGSFLGDELLSWCLRRPVADRLPASSATFTCIEPAEAYALDADDFRYITNHFRFHFANKNLKQTARYYSSNWRTWAAVNIQIAWRHYKTRARGSTNQLMLENGGNDSRRRLRQCAAFFMSLRPHDHPGLME >CDP11551 pep chromosome:AUK_PRJEB4211_v1:7:19201600:19203539:1 gene:GSCOC_T00033856001 transcript:CDP11551 gene_biotype:protein_coding transcript_biotype:protein_coding MFRMDKHVFHKLCGIFRQRGMLRDTAGVMIEEQFAIFLNIVGHNERNRVIQERFQHSGETISRHFNNVLKAIKSLSREFLEPPPLTTPPEILRSNRFYPYFKDCIGVIDAMHIPAHVPAKDQSRFRNKKGVLTQNVLAACTLDLQFIFIYPGWEGSVADSRILKAVLDDPDQNFPQIPEGKYYLVDTGYLNMEGFIAPFEGVRYHLHEYRGAHQLPRNAKELFNHRHSSLSNAMWKSFNVLKERFPILKLAPQYGFHTQRDIVIAACVLHNHIRREEKNDWLFESVGARVEELRDFDDQPDPQLAFQIQDQMAASLRDSITTAMWNDFYNDWEEW >CDP02149 pep chromosome:AUK_PRJEB4211_v1:7:1728916:1730826:1 gene:GSCOC_T00039452001 transcript:CDP02149 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTANTMMTSSAAFSICRSISPSSSSPTTSPTGVRPRFFRFPNHTTSIASPLLFAAIYSPILTKLPTTRKLRSFVAAAEDETLVPEAEQQADEAASSTPPPPAATTDQTVSVTVSPSDILTMFFQAEGTMNETAIPTVTKALEETEGVADLKVQVLEGIASVELTKQTTVQATGVASNLVEVIQSKGFKLQTLNLSFQDEEDFS >CDP16015 pep chromosome:AUK_PRJEB4211_v1:7:21580773:21617646:-1 gene:GSCOC_T00016984001 transcript:CDP16015 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADFCRLKFMPLSVNSPFHHQQQHHGFGFNYSNKYKFSYSGRRSFRVNCQTTSGVDSSSKVSHSDLQLAAVVGKDRLSKVPTSNIRNFSIIAHIDHGKSTLADKLLQMTGSVESREMKDQFLDNMDLERERGITIKLQAARMRFMYENEAYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEVIPVLNKIDLPGAEPNRISQEIEEVIGLDCSNAIYCSAKEGIGITEILNAIVQRIPPPHDTNGRPLRALIFDSYYDAYRGVIVYFRVVDGTIKKGDRIIFMASGKDYFADDIGVLSPSQMQVEELRAGEVGYLSASIKSVADARVGDTITHYTRRAEQSLPGYKEATPMVFCGLFPIDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLTLIITAPSVVYRVNTIYGDTVECSNPSLLPEPGKRSSIEEPYVKIELLTPKDYIGPLMELAQDRRGEFKEMKYLTESRASIIYELPLAEMVGDFFDQLKSRSKGYASMEYSFIGYRESELIKLDILINGDCVEPLATIVHKDKASKF >CDP02217 pep chromosome:AUK_PRJEB4211_v1:7:2206348:2207727:1 gene:GSCOC_T00039543001 transcript:CDP02217 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKQKVCRKCQEPYSPVRRSYSMHASYHPPQKEGESYHLVALTSSTLGSLKLDLLDPNHPAFKRKGDEGEEESSVINPVSEKDDDNHSVKSRKEEFAVGVIEAKTWSKMIDEKIPKVAPRTPIRTPPGEPETINAWELMEGLEDTSPLRPANHHFRSFSFHVGSNHQFPCFVDDQHTPRVHDDGEVPAVKPAWLDTADSSDSNSNETSIISEFDPEVISAFRKSLEELPPANPFHLKPLMGEQALDGKDEPLEAADHVKERSPWTAAPRGKDRLIVYFTSLRGVRKTYEDCCNVRIILKGLGVKLDERDVSMHSGFKEELKELLGECWCKGGALPKVFIGSRFLGGAEEIRRMHEDGQLEKAVEGCERADDGGGGGGNAGVCNACGDIRFVPCETCCGSCKIYYEGEYEEEECEDAEYGFQRCPDCNENGLTRCPICCG >CDP16016 pep chromosome:AUK_PRJEB4211_v1:7:21809402:21823814:1 gene:GSCOC_T00016991001 transcript:CDP16016 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSGSNSSSKETALRLRAAAEAAIECIGLGYDMAVDLRLKYCKKLQSRLIAVDDDQVRDIAVPGGILVQNVPKSIKCDKGERLRFGSDVLSFQQMSEQFNQDVALSGKIPTGHFNAAFEFTGCWQKDAAYTKALAFDGVFITLYSIALEKSQVMLSDHVKQAVPSSWDPAALARFIEKYGTHVIVGVKMGGKDVVYVNQQYSSPLQPADIQKKLKDVADKRFTDASRPSSTHNDKVYIREMLDGNDSGQTFMDTTTGSIYSQNEQDITFFCRRRGGSSSRNLSHSKWCQSVQLEPDVILMSFIPISSLLSGIDGSGFLSHAINLYLRYKPPIEELYQFLEFQLPRQWAPVFGELPLGPDRKQQSGASLQFSLMGPKLYVNTNPVDVGNKPVTGLRLYLEGKRSDCLAIHLQHLSSLPESFQLQNNSNHNAGNSYDHRYYEKVQWKSFSHVCSAPVESDEDLAIVTGAEFEVKESGMKNVLFLRLHFSKVIGAMVVKKPEWDGSTKPPPNPSDVNINSALYPEGPPMPAQTRKLLRYVDTKEMTRGPQDPPGYWVVSGARLVVDNGKISLRVKYSLLAAILPDEEEPFHG >CDP04716 pep chromosome:AUK_PRJEB4211_v1:7:15517329:15519847:1 gene:GSCOC_T00018782001 transcript:CDP04716 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNQKMDSRTCSNSIWTREEDKAFENALAIYLDDSNLWEKIAAVVPGRTVEEIKMHYEVLVADVNAIELGLVPFPHYVDSFKKSRKLVGHAAIDRKGKQYCKRATWSEEEHRLFLQGLDKYGRGDWRNISRLCVRSRTPTQVASHAQKYFKRTVVVDKRTRSSIHDVTILDNGKIVTQQVQTTQLIFRASGNYVMDTGLYQSVNNRVSGGYLHGSLIDSQCNMFSHVLSTKDISTGIDLLESLLDSLADAPTPQEPSSQKISEFTSASLCTPVNANQHMPTNGEVVIEDTITPADLEIFNSLFIFQHDMSNSQGPIIGEVIGTAESPQMLNFEASPFSVHHDFGVHAPLTASQPTLDPLLSDRIRGLFPYPGSSMFEMDFSDFATFFCDEPDEVAAPTYPAPLSGQN >CDP02609 pep chromosome:AUK_PRJEB4211_v1:7:5268871:5272278:1 gene:GSCOC_T00040051001 transcript:CDP02609 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRLARRSRQAYPSFLTPLSRPFASDALVETKPGEIGMVSGIPEEHLRRRVLIYSPARTASQQGSGKVGRWKINFVSTQKWENPLMGWTSTGDPYANVGDSASCFDSEEAAIEFAQRHGWDYTVKKRHKPLLKILPESNTTRKSNTTRKPNPLLTRALCTATETRTQKLERIADELLDLTKIERHDYAILFRHKMGLNRYGPAVSGVVTSSGASASGPDSASADAKAAEKTIFDVKLEKFDAAAKIKVIKEIRGFTDLGLKEAKDLVEKAPVVVKKGITKEEADAIVEKLKAAGATAALE >CDP16684 pep chromosome:AUK_PRJEB4211_v1:7:447738:452932:1 gene:GSCOC_T00019150001 transcript:CDP16684 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFLSIPLHPSSSFPLITCRTTTTNFASRTPREPPPLTSVCQNPNPGFTASSSLTCSLQCPHFQSCSGCTHEYNLHHPAIVDEATDFFKTLGVSDFTFDSCRVWEWRCRAKLAVRGSSTEPLIGLYQEGTHNIVDIPECKAHHPRINAAVELLKQGISDLCIEPYDEDQGTGELRYVQMAVTTYDTSLPVSQRYQNGKVQVALVWNSRNENSASSGKLNALANYLWRNGGRRTKINLIHSVWANFQTSTNNIIFGNRWRHLIGDAEFWEHVGGIDVSLAPSSFGQANTKAFDSLLRKLQKYVPHGTSVVDLYAGAGVIGLSLAAMRKCRLVKCVEVNKESKLAFGKTVERLPASLDCSISWHQADTSVEPLSWLVGSEVVVVDPPRKGLEPSLVDALKTMSSVELKSKLPESPSLEAKDKKRPWVIRARQPSVQFKLGPLQEESRSLPQTLIYVSCGWQSFKEDCASLLSGETWHLDKAHGFNFFPGTQRYCLSLCLPFKYKICLIFSSKFSMQRALCCVYRTSLRIKTKIFVYVRLRQGERS >CDP01462 pep chromosome:AUK_PRJEB4211_v1:7:11820307:11827662:-1 gene:GSCOC_T00036516001 transcript:CDP01462 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSIDVLHCYYAHGEDDENFQRRSYWMLEEELSHIVLVHYREVKGNRTNYNRVREIGEAIPNTQESEDDAPNSEFNSNSTSKFHSYGYQVTSQITDTVSLNSAQASEYEDAESAYTQQSTSGFHTFLEIQPPVLQKAEDGYQGQFSGVPDVNFASIPQGEKDQSTTGAGLSYMPEGHLDFPSWGNVLDSRNGGYQSVNFQPSVSATQHGTINVMPGGMNDILGQALTDGLGKRQDSDAHVGGLEQWQTSEVDASSLSKWHMDQKLNLPSGRNLSTSFNEANNAELPNSSETFSMLKSPIETDVQVDLIRAESGISAKSAFNGNLGIEGKAEYPTLRQPLLDGVLKEGLKKLDSFDRWMSRELGDVNESHIQSSSGTYWETVGNDDGLGDSNIAPQVHIDSYMMSPSIAQDQLFSIIDFSPNWAYSGSEMKVLIMGRFLKSQEELGKYKWACMFGEVEVQAEVIANGALRCLTPLHEAGRVPFYVTCSNRLACSEVREFEFRVSNVKDDDVALLTSGIIDECQLLTRFGKLLTTGSHNYQDTIPGIMDQLSTLRSKIESLVINDNNEWEGMLNLTPEEFSVDKVKDQLLVKLLKDRLYLWLRQKVAEGGKGPSMLDEGGQGVLHLAAALGYDWALSPTIAAGVSVNFRDLNGWTALHWAASFGRERTVASLISLGASPGALTDPTPKYPSGIPPAELASNNGHKGIAGYLAESTLSSHLSSLKVKDDKEGYKDDKEDYNGEGSGANVVQTASERTATPIADGDLPVLSLKDSLAAVRNATQAHARIHQVFRVQSFQRKQQNEYGSSGLGISDERALSLLSAKTKRAGQRDEPVHAAATQIQKKFRSWKGRKEFLQKRQQIIKIQAHVRGHQVRKNYRSIIWSVGILEKVILRWRRKGSGLRGFKPEAPPVETSIQGSSSKEDDYDFLKEGRKQTENRLQKALARVKSMVQYPEARDQYRRLLNVVSDMQETKAVYDRALDNSDEAADFDEDLIDLDALLDDDPLM >CDP01817 pep chromosome:AUK_PRJEB4211_v1:7:8504265:8507618:-1 gene:GSCOC_T00036987001 transcript:CDP01817 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYHNDPRCSSIGFYTYTAFITAAKSFNGFGTTGDVATRKREIAAFLGQTSHETIGGWLSTPDGPYAWGYCFIKEIGTSGSFCDSPDWPCPAGRRYCSKFTMTGRDNFNYGKAGRAIGVDIS >CDP16677 pep chromosome:AUK_PRJEB4211_v1:7:405175:407903:-1 gene:GSCOC_T00019143001 transcript:CDP16677 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSRLRVAAPPIRAALLTRNRAYGSAAAVQLDYYDYYDHHELQQPHRKATAAQEESDGWVSDRGVQWVIMGDPMAKRHVYAQRLSQLLDVPHISMGTLVRQELHPHSSIYQQIASALNQGKLVPEHVIFGLLSKRLEEGYCRGESGFILDGLPRTRVQAEILDQIVDVDLVVNLKSTEDCLVNSHLSNGIYSPSREFFRMGRSRFNLSLQSQSQDGRLKPSCFSTDTLWKDKLRTYAEQSKPVEDYYRKQKKLLECQVSGASRETWQGILAALHLQHVNAISSSEKLTA >CDP02337 pep chromosome:AUK_PRJEB4211_v1:7:3059690:3062661:-1 gene:GSCOC_T00039698001 transcript:CDP02337 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEAHRGSLGQAEINWDKLDKSKFYVVGAGIFTGLTVGLYPISVVKTRLQVATKEAVEKDAFSVIKGILKTDGIPGLYRGFGTVITGAIPARIIFLTALETTKVAAYKMVEPFKFSEPTQAAIANGIAGMFASLCSQAVFVPIDVVSQKLMVQGYSGHASYNGGLDVVRQVLKTDGVRGLYRGFGLSIMTYSPSSAVWWASYGSSQRFIWRLLGQGSEHVGQAPSQGTIVMVQAAGGIFAGATASCITTPLDTIKTRLQACICSSNSKF >CDP02515 pep chromosome:AUK_PRJEB4211_v1:7:4500618:4504427:-1 gene:GSCOC_T00039916001 transcript:CDP02515 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPDQTWDDESEGCSSPVDGEDDKGKRVGSVSNSSSSAVVIEDGYSDEEVGELRGGSRKRGTSTSRIFGFAVSAHNDDNCSSESDQPPVTRQFFPVDESDMGVGTSSGGCNEGASSGSGVPDLPRAPWVGVKFCQSEPLGGGVLGKSTEVSQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLADYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYEDELNSSESGGGAADHNLDLSLGNSASKLSSSHRGMAPNVDPSKDQHSASMHFQVDWRRHHDHGFRSKQENNPNDVESSRRDGYSEAEAAQLLSQTHLHSPGSMKPKEIQKYGQFRRGGESHIFQMLPPQFNSSNYHFQFPSSSNGGLIGANGDLSLSTSDPHWQYNPPHFYGTAAASSGFPQQIVRPQDWTQKNGFHHHLMRPS >CDP16013 pep chromosome:AUK_PRJEB4211_v1:7:21434923:21464403:-1 gene:GSCOC_T00016982001 transcript:CDP16013 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTQAKELSEGEIKFSPISCYLFGQLDALIPTGPSGQQRRRIGISRKNKGMKADSDVSILTPSAHNHLDALATCYMFSGVTQFDRASREFEVLDEEPGDDEEDYQFSFSFYYLKLYPKQNDPSSAQDFPPGKHVLAVYPNTTALYKVTVVQARKVHSAYMYILEFDDDEEDGSLPQRSVPFHSVVALPEGSLLLQKWKQDDGQKATKKSNVKDDVLKKKKKIREETGVEVISEARIVSEKDSESEEEDDFWMPPVGEHWDNDDGGDRQGSDPELGPENDDAEGEGTYPYLVLHQEPAK >CDP12777 pep chromosome:AUK_PRJEB4211_v1:7:14636581:14638917:1 gene:GSCOC_T00037424001 transcript:CDP12777 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTAVFWIFFLVTVTSETAVAQPDDLNMIPLGSSLFPVTQPTAWYSRSKRFAFGFFQTGEGYKVEIRIVGSGNGITVWTFNRDEPAVSSNATLDFVNGKLQLKHGNQYQTIADHPSKSALSACLLDSGNFVLYDENHALLWQSFEFPTDTILGGQILYSGKELISSLSQDDQSSGLFHLKMEYSGNLVAYRVDVTSETYWGPFNVSYSNNSQLILDNFTGTLSLIEPSDSSLVQVLTSAISRIRGNIYRATLGYDGNFRLYTHSFDAVTLQFKMRTEWEAIDDLCDIKGICGFNSYCTSKDMEWNCSCIPGFNFIRDLSSLSINIYGCEKNFTGGMCINGKEDAARNSMTPVSILGEDRPYFQEYQGNQDDCMKSCSEDCDCDAATYSDLHCSRYRLPLMYLRNATFTLSIAFIKSPNPQNDHLKKEVSWLTFFAMSLSFVTYSSVVLACFGIFMFKFHVLKYKKLLLQTRTTGLIKEFTLQTYTYNELKKATKGFRQELGKGAFGAVHRGSFDKGKSFVAVKRLEKVVEEGEREFRAEMRAIGRTRHRNLVQLLGYCIEGPKRLLVYELMVNGSLADLLFKGRLHPNWNDRVQIALDIARGILYLHEGCEAPIIHCDIKPQNILLDQFWTAKISDFGLAKLLMPDQTRTDTGARGTRGYMAPEWNKNIPISVKVDVYSFGIVLLEIICCRRNLQVNLAIPEATLLSGWAYQCFAAKELDKLVSWEEVDKTAFERVLKVALWCIQDEPALRFPMKIVLRMLEGLVDVPIPPCPSDE >CDP02248 pep chromosome:AUK_PRJEB4211_v1:7:2456558:2456719:-1 gene:GSCOC_T00039591001 transcript:CDP02248 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNTSSLLIGIVGAAITLSAYSQTLISPTQCITVGLFVLIFGLLVKEGLISI >CDP16667 pep chromosome:AUK_PRJEB4211_v1:7:330167:333735:-1 gene:GSCOC_T00019133001 transcript:CDP16667 gene_biotype:protein_coding transcript_biotype:protein_coding MDVACLQQAKCIPMPFHSSTRLPRVSVLVRNNRRNASSYPVKNLCSASNFDTLVAAGSIREDKKLKAGIGSKKEQEEQQWDLKSWMHRNGLPPCKILLKDGPSHVPNHRPIHYVAASEDLQAGDIAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKNSFWYPYIRELDRQRGRGQLAVESPLLWSEAELNYLTGSPTKALLERAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLSYRSNCKAMLTAVDDAVQLAVDRPYEAGHPIVVWCGPQPNSKLLINYGFVDEDNAYDRLVVEAALNIEDPQYQDKRLAAQRNGKLSLQTFHVHAGKEREAVLDMLPYLRLGYVSDASEMQSVLSSQGPICPVSPCMERAVLDQLVDFFKTRLAGYPTTLIEDEALLADYNLDPKKRVATQLVRLEKRILDACLQATVDMIHELPDHSVSPCPAPYAPILK >CDP01831 pep chromosome:AUK_PRJEB4211_v1:7:8370349:8373106:-1 gene:GSCOC_T00037002001 transcript:CDP01831 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSVSSSATLSTFLRNLPHHFRKSYASVSALSMAKAKFQLPKQRKFSTGHRNPSFSWMATVGENVQSNASAAAPPPTSVPVRVAHELLQAGHRYLDVRTAEEFSAGHAAGAVNVPYMFRVGSGMIKNLNFVEEVLAIFGKDDEIIVGCQLGKRSLMAATDLISAGFTGITDIAGGYAAWVQNELPTES >CDP15702 pep chromosome:AUK_PRJEB4211_v1:7:22941942:22942973:1 gene:GSCOC_T00015697001 transcript:CDP15702 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEQGFDVFTNPGPTLGYMPITTYKRDKELEKKLIGLAKQALAEKLPCYEFVAIEWVTGHRCAGWVYNITFRAHGADAPEGKSFQARVYAGIVDVQVKFGRPKVVKT >CDP15713 pep chromosome:AUK_PRJEB4211_v1:7:23576362:23582299:1 gene:GSCOC_T00015721001 transcript:CDP15713 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRDGNKNRRIKYLNKVLSPTERLVGFAILHQAYSSQQTSFNPLISVLVAAASNEEAEIFERGFILQLLGSTSSTNTAEFLKLSAADYTRNFDPSSHTFPPREQLQQQYYSEVGPELVGSLFRNRVMNILPDPDVPHGCDINSTEFDLQAGVTPKIGSGARDETISGLLQNLSLQGLGPQWVRPRPPRLPLLERELVWLNPDNTHELMWDGGMCVTTVEPAKGSTVEDLIAKSLEGPLEPAEEEQVLLGLGDEPGRKLPVRVKNNPNLAAEVLIKLRDSTKILEYFSFLFSMDMDFNLIEVVNKFNKAVELQPDLVEMWVVLCSINWDTQLPKNTSHQASLVLYFLKALIAKDIIKGEYTCLKF >CDP12868 pep chromosome:AUK_PRJEB4211_v1:7:13520351:13523425:1 gene:GSCOC_T00037545001 transcript:CDP12868 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQSLFEDQDMSSQLGFFAFSSNPYNLPFGCSQPSLKTLTAVAGSLAADTQTTLSESATQKQKEDVTSHFGEPPQLRSLQRSNANLWAWGEVNDCMNSKKNGGDNYLGVATIKMKKIKARRKVREPRFCFKTLSEVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHIHSPSQDEDDSQASSQMNNFFW >CDP08407 pep chromosome:AUK_PRJEB4211_v1:7:25401296:25406593:-1 gene:GSCOC_T00027251001 transcript:CDP08407 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLCASSLAAKSAAAPALSSTTPSMPLSQSQTVAFLCHSLSSLRLTTPCSKRGTPSSSFVPKYSETEAAAPSLTEPPETEIVESPVQQQPKKEEVFAVVMIGSRQYIVIPGRYIYTQRLKGAKENDKIILNKVLLVGTKTSTYIGKPVVPNAAVHAVVEEQLLDKKVIVFKYKKKKNYRRNIGHRQPITRIRIMGITGYEDSPAVTLP >CDP02200 pep chromosome:AUK_PRJEB4211_v1:7:2075500:2077702:-1 gene:GSCOC_T00039519001 transcript:CDP02200 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGLSGAKVTRPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYLEFQRHSAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHSLFDFISSKGLKIMNLGEAQSRDAILPVLPEDDDDAVDPHLERIKNEAGGDESDEEDEDFVIDKDDGGSPTDDSGEGESDGSDGGDEEVPAKKKVKKEPSASKSSVSRKKAKDGNDDIKKKKQKKKKDPNAPKRAVSAFMFFSQAERENVRKNIPGISFTEVGKVLGERWNKMSAEEKAPYEAKARADKKRYNDEISGYKNPQAAVNIDSGNESDTA >CDP11565 pep chromosome:AUK_PRJEB4211_v1:7:19775161:19783603:-1 gene:GSCOC_T00033886001 transcript:CDP11565 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNCARHFCSNCGGKPRIMCYCCPKAICHQCIDSAEFFPIKQENGFCKDCMNFVLLSEGIVDSDSSLHKRMSLGDLSTRERYLKEYYEIIKKQYSLTLGDIQSARRQLKNREKRKSCESLDDANKNKRSRVLPRTKLCFNTTSPSEEVVSSKTPTLFAAVVLDNIRLAYLRRRLVGKLLEQPESFENKVKGTFVLVELPSPDGRQRKTRQLVQVTGTRKTSGDHDEVDIKLLASNIPNEISIHMLSNADLSEKDCEDLRQQVQNGLLRMPTVEELEQKARVLHEEIVDDIIATELRVLQVKIDRANEKGWRREYPLISCYIGRSNQIS >CDP16726 pep chromosome:AUK_PRJEB4211_v1:7:743040:744728:1 gene:GSCOC_T00019202001 transcript:CDP16726 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQMTKENADYTVGAASSPKPKMGGGEGAVDDHEGQSLSSGRRRRWRTKALLITQLTLRALVVAFSLAAIIITITAKQTVNVGMLTFTARYNYSSAMRFQLGANSVVCALSFLSMLLFVLPLRSAHPQSEPPGNYFYLLLHDMVLTMLVISGCAAGSTVGYLAKYGQEQGGWIAFCTYLHRFCNQLQAALAFAYSAFFCMFVLTIVAASELKSQAKPNPAF >CDP04590 pep chromosome:AUK_PRJEB4211_v1:7:18203242:18205739:-1 gene:GSCOC_T00018575001 transcript:CDP04590 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKILSLKITALLLVSYFFLKVFYSIWWKPKWLERRLKQQGIRGNPYKLLIGDMKDFMKQITEAWSKPMSLSHQIAPRVDPFTLNTAQKYGKVSLCWAGTTPRLNIMDPDIIKEGETWATHRRIMTPAFNLEKLKGMVSIFAESCVLLVEKWKKSIALGGTCEIDVWPEFQDLTGDIISRTAFGSNFDEGNQILKLQRELQGLVVEAMQSLYIPGLRFIPTRKNRRRKSLDKNITSMLRSLIERKETLMRTGQTNTDDLLGLLLQSNDENSLQSSPQNKNGNKMTIKEIIEECKQFYIAGQETTSSLLTWTMIILAMHPEWQEQAREEVLALCGKQHPDAKTISQLKTVTMILHEVLRLYPPAIALYKHTYQETKIGNLSLPAGVDLTLPLLLIHHDPELWGSDAEEFKPERFSEGLSKASKNQLAFFPFGWGPKTCIGQNFALMEAKIALSIILQNFSFELSPSYTHAPNTVMTLQPQHGAPIILKEI >CDP01786 pep chromosome:AUK_PRJEB4211_v1:7:8767474:8773362:1 gene:GSCOC_T00036945001 transcript:CDP01786 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFDVIDYNQGNMAKKVVTQKRQVDGLEAPRNSLELPVETSQSFHGEDNRMFEYDVPYNWPENHFSTEAPMKKLISEEIYRKQNTKHNAPSVIARLMGVDMLPSETKPVAQTVEKKNELHAQNFCQENLLKNASIGHVPYTSKSSRHKKFNSFDSIEGMNPDRWNDNAILDKPRPREHPQEEELQKFKKEFEAWQLARMKECSKVIELDCTPSQWIAQENLNKEKMVLYANSVRKMESEKPIELNEGRMAATERDYLNNKKMKSFTAGQLESVNARKRTPSVDFKLPPLVNSGEEFDAASGPSQIVILRPCPDTMGNCEQSWASSPCISEERGSIEDFLEEVKERLKSELQGRNSKRSTSVRGGGIETPYSEKPSDPKQIAQRIAKQVRESVTRDLGMNLFRSESTRSYRSEIQFNGMGSPEFISRDTRRFLAERLRNVLKEEIQQGVPVVAQGSTRSSMLSNGRRRTEESRKVLSGKNKLRYWDGMKDESDFQSRSFRREPNDNTEIHEELSPRNLIRSLSAPVSGTSFGKLLLEDRHMVTGAQIRRKHEAFEKVTLNVKRRKKEKFNLREKVTSLKYSFTLKGRLFGRKIQSLEDQQDNKPDCVKDFLRRPSIMMSFYDRHENPTEVPPSPASVCSSVHEEYWRPAEYFSSTSVSDVASVEDGMMPNVFREIRSNLKELRRQLNELETDGSKDAINDEQPTETDIIEIEDPVEAYIRDLLLFSGLYDGSCDKALAKWDLLGRPITNQVFEEVEESHKHRNKDDEGSIKDQGEKSNHKILYDLLNEALPNVLGPPVSMSKFMRKASHPAVRPLRGRKLLNQVWQIISGYVHPPPDKSFYSLDMMVARDLQSSPWSRLMDDDVNALGKDTESQIFGDLVDEMVKDLQSNFMEEKSCACGAL >CDP02297 pep chromosome:AUK_PRJEB4211_v1:7:2775535:2778864:1 gene:GSCOC_T00039652001 transcript:CDP02297 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRTNGKNRRHQRRCFLLRLKSERKGRRLFGIFTEQKFVKNDSGPLHLIELRKIGIFLYSVLSGNSMVGVSRHPPAIGISSNGFTQQPCLSVWKAGQSRYQYCPTPCSKFGRNKSSVNNIKGLDMVPVSDSWYTCFRAATPSIRRLSVLISEHVTCKVGSFEHDLVRDEQKAFSIAKESKQLNESSLEQNVPSQESLLGREDLLLQEAGGCAESSGVSEGTVYPLHSRLLYLEERNEEMLSRRLLKLCRLNKVRSAFQLYKSMEFLGLRPNSHACNSMVSCLLRNDMIDDALRIFYSMKARGETTGHTCSLILKAVADARGCDTALSMFEELERNKNVNKSFDAIVYNTMLSAFSKANDWVQTENIWRRMQHNGHSGTTVTYRLLVCTFVRCGLNELAIDAYHEMLWNGLTPGEDAMHAIIGACVKEGKWDLALTVFQNMLKCGLKPNLIACNALLNSLGKAGKLNLAFKVYDAMKSLGHAPDAYTCNALLGALNKVNQHADAIQLFENIRREQISVLSLQVYHTVLMSYQKLGLWERALQLLWQMEASEMHLTSAPYNLVIGACEVAKQPKVALQIYDHMVHQKCSPDIFTLLSLIRSCIWGSLWDKVEHILNNPPSGYLYNAAVQGLFLRGNIDFGMRLYAKMKELNLNVDGKTRAMVLQNLSKDPRRV >CDP02042 pep chromosome:AUK_PRJEB4211_v1:7:6847218:6851933:-1 gene:GSCOC_T00037258001 transcript:CDP02042 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDDTLPVSTPTSTSSLSLKKEISSASATAAAGDHSYLIFGRGRYKFWALAAIILLAFWSILTGTVTLRWSAANLNQLSNRDSLDFPLPDDLDVLEMEEREKVVRHMWDVYTSSNRRIRLAKFWEDAFKSAYEYMNSDVLGVREAAVSEIAKMSLRSIRLEPPPTAHSLSIRKWRTNQVTRRQVDGNSMD >CDP12848 pep chromosome:AUK_PRJEB4211_v1:7:13831868:13831993:-1 gene:GSCOC_T00037520001 transcript:CDP12848 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRPGIKRGNITAAEEDLIIQLQSLLGNRWSLIAARLPG >CDP04752 pep chromosome:AUK_PRJEB4211_v1:7:15095134:15099380:-1 gene:GSCOC_T00018838001 transcript:CDP04752 gene_biotype:protein_coding transcript_biotype:protein_coding MISKSILFSYFLLIFLVSYNTRPSYADGPFGFHCGNTAYNPNSTTGSAYTENRKLLLSTLSSNASSTTSNGFYNFTAGQDPDSMVYGMFLCRGDVDAVACGQCVANASGEILKACWNQTTAFTAYGLCLLRYSNESIFSRVTEGVPFITFVVPNATDPDQFNRVLNDMMIDIASRAANANDPSGKKFAVKEASYAPFQQSIRALGQCTPDLTSLDCENCLRDAIAYIPTYCASSPGCNVIFPSCFIRYELYSFYNPVSPAPQPARNPGPPPPPSPPSPPSPSNSTSSEGGGGISTKTVVAIVVPISIIILFIMGFCIVRRSKKTHDAISERTGATEILTIESLQYNLSEIQAATNNFAIGNRVGEGGFGPVYKGTLSNGQEIAVKRLSRSSAQGTEEFKNEIALVARLQHRNLVRLLGFCLEGEERILIYEFITNKSLDYFVFDSKKQQLLDWLRRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNVLLDGNMNPKIADFGMARLFEVDQSEGNTSKIAGTLQVLAPFGYMAPEYALHGLFSVKSDVFSFGVLVLEIVSGKKNNQFYQTHGGDDLLSYAWRQWRDGTPLALMDPKMGDSYERNEVIKSIHVALLCVQDEIEQRPTMASVVLMLNSNSVTLPVPNPPAYFGRSRTQNLPIDQPESGTSTNTKLLPGPSVNEVSITELYPR >CDP04632 pep chromosome:AUK_PRJEB4211_v1:7:17180596:17192556:-1 gene:GSCOC_T00018646001 transcript:CDP04632 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAFFLWYSHLELLAGFILLLLFSSGTYSTNKPDVEGEALMEFLTALNDSNNRVDWDFHFVSPCFSWFNVTCLNGNVVALSLASKGFSGTVSPSITKLKSLVTLDLHDNNLSGDLPGCLSAMENLQNLNLGQNKFIGPIPTTWGQFSNLKHLILKENRLSGPIPESLVNVASLMQLDLSSNDLTGRIPTKLFSLPTFNFTGTHLSCGTAFQQPCVSSSSVPVSGKKKRVQVVITGVTCGLFVLLLLGGAFLHQFHKVRKFKHDVFVDVKSEDECKISFGQLRRFSWREIQLATDNFSESSVIGQGGFGKVYKGMLSDNTKVAVKRLTDYHSPGGEAAFLREVQLISVAVHKNLLRLIGFCTTSSERILVYPFMQNLSVAYRLRGILYSLSFFIFHGLKVANNCCSSTVWRKYLLASAGKFVTFTSPIPKWNQSCNEYLFHNNCTNSLNFLLCQHLQKEKGLTGSSNSGDKPDEGMVAVASSCPLCLRRQSAKMARITDCYPSSLQLVSVLLSFFFSPLTMSVQFLSNSFSFCRSIQIVYIEEYMRKENTCYVSIFYPVIDLKPGEKGLDWPTRKRIASGTAHGLEYLHEHCNPKIIHRDLKAANILLDDDFEAVLGDFGLAKLVDTKLTHITTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDFSRLEEEEDVLLLDHIKKLLREKRLEDIVDENLHTFDAKEVETILQVALLCTQNSPEDRPTMAEVVSMLQGVGLSARWAEWEQLEEVRNQEFSLMSHQFLWADEYTQDQEAIQLSQAR >CDP15697 pep chromosome:AUK_PRJEB4211_v1:7:22844924:22845767:1 gene:GSCOC_T00015689001 transcript:CDP15697 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKPMSEIFLAVVGGSSLYKVERKIGEGSLEQVCKGRLFSCGKGSEPVEVALKFEHKNKLVGLIRDDYPTDSLGGGGGTHGVPTVHYRGIQGNCFIMVMEHLDPISLDVWRSARMN >CDP02559 pep chromosome:AUK_PRJEB4211_v1:7:4848229:4854518:1 gene:GSCOC_T00039981001 transcript:CDP02559 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLLKVLVNSISSFFHLSARENLNLQPVQKYYQKIEEILKLVKPVLDAIIDAEVTSDEKLQKAFTGLGNAVEDLRELFENWQPLMSKIYFVLQVESLIAKVKTSGLEIFELLKSFDGFLPSELSVASLELCIQKLKHLGSEQTTAIITEAIKDQVEASGASSDSLAKIADCLRLKSNQELLIEAVALEKLKENAEQAEKNGEAEYLDQMIALVTHMHDCLVLMKQSQSCSPVSIPADFCCPLSLELMTDPVIVASGQTYERAFIRKWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCETNNVKLPDPLKSMSLNQPALLLAHTESGVPKDSHVQPHSRSNRSASPDSTRSLGSPIGSLISSSVIQQEGSPPSHPHSSSEDSLPGVAGNGHGLDIENVPRRSSEDRLVNSGDRSGQLYLPPSRDNSAGADEQSSQGHNRTQSASSTHSNSMPGDGNEAPSQGLTYGSDVSGEVTSAPQPASLITPQREPEFASRLETRSRSQTIWRRPSQNFVPRIVSSPAVETRADLSGVEAQVRTLVEDLRGTSLDVQRNATAELRLLARHNMDNRIVIANCGAISLLVNLLHSTDTKLQENAVTALLNLSINDNNKAAIANADAIEPLIHVLQTGSPEARENSAATLFSLSVIEENKVRIGRSGAIQPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVKYLVELMDPAAGMVDKAVAVLSNLATIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLCTSSNRFCNMVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRTQRNAGRG >CDP04653 pep chromosome:AUK_PRJEB4211_v1:7:16745136:16749959:-1 gene:GSCOC_T00018686001 transcript:CDP04653 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVSDLLLCPQLNPANDLKNEILVFDISILHKQANMPTQFLWPHQDLVGSQDELREPVINLEGFLKGDKEATVAAAHLVGAACINHGFFQVIKHGVDVDLIRAAHEHLDFIFNLPLAKKLDARREPGSVYGYSGAHAHRFSSKLPWKETLSFVYNHGNSHDLAVPNYFTSSFGKEFQETGLVYQKYCEAMEKLSLAILELLAISLGVERSHYRKFFEDGSSLMRCNFYPPCKEPGLTLGTGPHCDPTSLTILHQDQVGGLEVFANNQWRPIRPRPDAFVINLGDTFMALSNGRYKSCLHRALVNKESVRRSLAFFLCPKQDKVVRPPQDLIDREEQRKYPDFTWSDLQEFTQKHYRADVATLQSFIHYFLPTKRCN >CDP17143 pep chromosome:AUK_PRJEB4211_v1:7:27458118:27462877:1 gene:GSCOC_T00011168001 transcript:CDP17143 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPEELNLDLIRSAKVFHYGSISLIVEPCRSAHIKAMEAAKAAGVLLSYDPNLRLPLWPSAEEARKQILSIWDKADIIKVSDVELEFLTGSNKIDDESAMSLWHPNLKLLLVTLGEKGCNYYTKNFHGSVPAFHVNTVDTTGAGDSFVGALLCKIVDNQAILEDEARLKEVLRFACACGAITTTKKGAIPALPTESDALSLIKGGE >CDP02380 pep chromosome:AUK_PRJEB4211_v1:7:3410469:3412001:-1 gene:GSCOC_T00039746001 transcript:CDP02380 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSGSKSLFYEAPLGYSIEDVRPAGGIKKFRSAAYSNCARKPS >CDP02674 pep chromosome:AUK_PRJEB4211_v1:7:5749416:5751636:1 gene:GSCOC_T00040139001 transcript:CDP02674 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDYSPPHVDASRPSLGFPLGTALLLIVIFSLSGIFSCCYHWDKLRSFRRSLPGATDLEEDGVQDASKPKLAHMNMKQNQNQSLPVLMPGDQIPKFIAMPCPCEPPRLEKIVVEVPKKPPKPPPMAIPLY >CDP01483 pep chromosome:AUK_PRJEB4211_v1:7:11562343:11565013:-1 gene:GSCOC_T00036553001 transcript:CDP01483 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSFGLKIFAFNRGLTHSQLHGLHGSNISNLAFCWAIPTMLAFKRAAESLEKLLDVTKEELPDTMAAVRLSGMEISDLTMELSDIGQEITQGVKSSTRAVRVAGERLRRLLI >CDP02514 pep chromosome:AUK_PRJEB4211_v1:7:4483306:4490767:1 gene:GSCOC_T00039915001 transcript:CDP02514 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTKHQKSKPTAKSAHIKKAGKQADISEFKQQLDALGLKIIQVTADGNCFFRALADQLEGNEEEHEKYRNMVVRFIMKNREMFEPFIEDEVPFDEYCQSMEKDGTWAGHMELQAASLVTHCNICIHRHISPRWYIQNFDNCEAKMIHLSYHDGEHYNSVRSKDDTCAGPARPIVIKADADLSATSHHAKSATTKSMGDIAGNNVQPRCLKMVMTGSGCEDVEKVKKVLQEVGGDADAAIEYLIAQQNSEESLQENVQLYISENTVHGEHDSEILEQQSMQNEKKTGNTQMTQIENSLSLDDKKIPRNKACPCGSKKKYKSCCRSVAGKSSARFSGKQSVDDGNDRKDKKQRKKAPKNVLNHDRSEGGLPDMGALCI >CDP01593 pep chromosome:AUK_PRJEB4211_v1:7:10399450:10400532:1 gene:GSCOC_T00036694001 transcript:CDP01593 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSMAAKSSAANKDENNHGSGTRKSTSARSQEQALQCPRCDSQNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGSRKNKKSKPPSRLTVDPKDANMTSDIGGLKFFHGLTPAMDFQLGGITSFSRVLTNHSSPTSIYNQLSSSFGDISISGTTSGAITSSPCFNLDPSASSGGSLMGFNFPFSSVPKPAGDHGTALGFSTTTGDVHSSLAYSIESLSSINQDLHYKLQQQRLAMLYGTTGEDQKVMMSTSVNPSVPFEKPQPILFHNLENSTTPKTNVSGVDINSRKEGINGASLSTEWFFDNTTYAPVTPTPTNSGGNGGSDQNHLVNHNWNGMTNPVWNNLSQYSAL >CDP01811 pep chromosome:AUK_PRJEB4211_v1:7:8564295:8567795:-1 gene:GSCOC_T00036979001 transcript:CDP01811 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVRCSANYVPLTPISFLNRSAKIFGDRTSVIYGSVRYTWAETRARCLKLASALAQLGISRGHIVATLAPNIPAMQELHFAVPMAGGVLCTLNTRHDSAMISVLLRHSEAKVIFVDYQFLEVAQGAFDLLQSSQTEPPILVVIPESDNSCPSIDTSNSHEYESLLSTGNCQFPIRWPKTEWDPISVNYTSGTTSRPKGVVYNHRGAYLNAIATFFIHGMASVPVYLWTVPMFHCNAWCLVWGLAAFGGTNVCLRRVTPKDIFDNIVLHKVTNIGGAPTVLNMIVNSAPSDRKLLPHKVDVMTGGSPPPPQILFKIEELGFRVSHLYGLTETYGPGTSCMWRPEWDSLPPDERAKRKARQGVQHLGLEEVDVKDCVTMDSVPADGKTIGEIMFRGNTVMSGYLKDLKATEEAFGGGWFRSGDLAVKHPDGYIEVKDRLKDVIISGAILEGAVVARPDNHWGQTPCAFVKLKEGFKVDPEEIIKFCRDKLPHYMAPRTVIFEDLPKTSTGKVQKFILREKAKALGSLF >CDP11559 pep chromosome:AUK_PRJEB4211_v1:7:19593677:19594201:-1 gene:GSCOC_T00033876001 transcript:CDP11559 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVQNKAAYVALNIQQELELAKKIPSPVNEEYELPCGHFMNFRSQRFRNPEALFQPSSARFVKDGENVGVHKMIFNSIMKCDIGIRNYLFKNIMLTGGSTLFPGFVEGITKEILELGSSTLAFKFSDLIAREINNKFANKMFRNVAPPNRMYNAGVGGSALALLNTFEQASPF >CDP16668 pep chromosome:AUK_PRJEB4211_v1:7:335270:343690:1 gene:GSCOC_T00019134001 transcript:CDP16668 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFAQIQADLRSNDALRQSGALLQALQQSAAGRDISVLAKSAVEEIVASPASAVSKKLAFDLIRSTRLTADLWETVCTGIRTDLDFPDPDVTAAAVSILAAIPSYRLGKLIADCNKEISNCFDSPSDNLRFSITETLGCVLARDDLVTLCENNINLLDRVSNWWTRIGHNMLDKSDVVSKVAFESVGRLFQEFESKRMSRLAGDKLVDSENSVAIRSNWVSSMVEFVWKKRSSLMARSLILPVESFRATVYPLVYAVKAVASGSLQVIKKLSRSSKSENASTLESVNAERFMGVSDVVTHLAPFLSSSLEPALIFEVGINMLYLADVPGGKPEWASGSTIAILTLWDRQEFSSARESIVRAVVTNLHLLDLSMQVSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLPGTDIASLFEDARIRDDLNSVGSKSLFREELVAMLVESCFQLSLPLPEQKNSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCEGRTYAIDCYLKLLVRLCHIYDTRGGVKRIKDGASQDQILNETRLQNLQRELVRDLREVNTPRILARVMWAISEHIDLEGLDPLLADDPEDQLNIIVANIHKVLFNTDSSASATNRLQDVQAVLLCAQRLGSRNARAGQLITKELEEFRTNASADSVNKHQCRLILQRIKYVSSHPEIKWAGVSEARGDYPFSHHKLTVQFYEASTAQDRKLEGLVHKALLELWRPDPSELTVLLSKGIDSTRIKVPPRACTLTGSSDPCYVEAYHLSDPNDGRITLHLKVLNLTEIELNRVDIRVGLSGGLYFMDGSPQALRQLRDLNSQEPVICSVTVGVSHFEQCALWVQVLYYPFYGSGAPADYEGDYSEDDPQIIRQKKSLRPELGEPVILRCQPYRIPLTELLLPHKISPVEYFRLWPSLPAIIEYTGTYTYEGSGFKATAAQQYGESPFLSGLKSLSSKPFHRVCSHIIRTVAGFQLCFAAKTWYGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDSSITKEIGSDLQGWLDDLTDGGVEYMPEDEVKVAAAERLRISMERIALLKAARPRPKSPKSDEEEERESEEDKEDEEDKKESGEEVGKTKGPTTLFKLTPEEVEHRALQVAVLQEWHMLCKDRSSKVN >CDP02364 pep chromosome:AUK_PRJEB4211_v1:7:3323825:3324976:1 gene:GSCOC_T00039726001 transcript:CDP02364 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTLHLFYIIIKPLSKNLALLVPKKKKKENRLDLTTPQIHYKHYIELYIYIYGRLLVAF >CDP02147 pep chromosome:AUK_PRJEB4211_v1:7:1712153:1713779:-1 gene:GSCOC_T00039449001 transcript:CDP02147 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIGDSVSRNQMESLLCLLSTEETPKDVYKDAKDRFRTWHFPHYNFTMMSLWSRFLVTSSQTIVNGSVTGGFDVHLDKVDDNWAPKLPVVDYAIFSDAQWFLRQNYLYEGGNLIGCIYCQEPNVTDLGPGFAIQRAFRTAFNYINDCKNCFGILTLLRTISPSQFENGTWKTGGSCVRTSPLAPEEIQDAGGTDMEYRNIQMAEIESARKRGEKIGNRFDVLDVTGAMLMRPDGHPGLHWRNKKKGYSDCVHWCMPGPIDVWNEFLLEVLRRQSHFPLRSR >CDP02260 pep chromosome:AUK_PRJEB4211_v1:7:2554475:2561367:-1 gene:GSCOC_T00039609001 transcript:CDP02260 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF guanine-nucleotide exchange factor GNL1 [Source:Projected from Arabidopsis thaliana (AT5G39500) UniProtKB/Swiss-Prot;Acc:Q9FLY5] MGCLNQQTAVNAVVRGPKDGSFRSSRVALACMVNSEIGAVLAVMRRNVRWGVLYADDDQVEHSLIQSFKELRKKIFLWQHQWHSIDPVVYLKPFLDVIQSDETGAPITGVALSSVYKILTLEILDSETVNVDKALHLIVDAVTSCRFEVTDPASEEVALMKILQVLLACMKNKASVHLSNHHVCNIVNTCFRIVHQASSKGELLQRIARHTMHELVRCIFSHLPDIGDRPHALAEGSRMSAETEVHVPKDTHILEGTQGVNANGSAKFDGKALPLKEASGNPAFTSASKVDEEIMTLGSVEVAQNGADSMMDQYGIPCMVEIFQFLCSLLNVMEIESGVRSNPIAYDEDVPLFALGLINTAIELAGASFGDHAKLLVLIQEDLFYNLMQFGLSMSPLILSTVCSIVLNLYHHLRTKLKLQLEAFFSRVLLKIAGSKHGSSYQQQEVAMEAIVDFCRQPFFMAEMYANFDCDISCSNVFEDLANLLSKSAFPVNSPLSALNTLALDGLIAMIEGISERIGHESSAPERSPIQPEEYRPFWTVTCDNYGEPNCWVPFVYKKKQIKKKLMIGADHFNLDPKKGLEFLKGVNLLPDTRDTRCVACFFRYTTGLNKNLVGEFLGSHDEFCIQVLKEFAQSFDFQDMSLDTALRIFLETFRLPGESQKIQRVLEAFAERYYEQSPDILVNKDAVFVLSYSLIILNTDQHNSQVKKKMTEEDFLRNNRAINGGSDLPREFLSQLYHSICENEIRMIPEQAAAASVMSRSHWISLVHRAKQSAPYIFCDSGPHLDYDMFAILSGPTIAAISVVLDHVERDNVLHTCIDGYLTMAKISASYNSVDSLDDLVVSLCRFTNLLIPSLNNEEFILAFGDDAKARMATVAVFTIANRYGDQIRSGWKNILDCILSLNKLGLLPARLASDAVDDSEVSSDQNLVRPPSSSPTTSTPSPVAPSRKSSGLMGRFTQLLYLDTEEPESYPTQEQVAARQRSLQTIQDSHIDSIFAESKFLQAESLSQLVQALLLAAGRPRKANNSMEDEVTAVFCLELLIAITLNNRDRIMLLWQGVYEHIASVVQSTVMPCALVEKAVFGLLRICQRLLPYKENLTDELLKSLQLILKLDARVADAYCEQITQEVMHIVKANAMQIRSHMGWRTIISLLSITARHPEASEAGFETLSFIMSEGAHLSPANYVLCLTAARQFAESRVGNVDQSIRSLDLMAGSLDCLVRWFNKTKEAMGEEAALKMAQDIWEMWLRLVQGLRKVCVDQREEVRNHAILMLQRCLTGAEVMHIPVGLWLQCFDLVIFTLLDDLVEIAQQHSAKDYRNMEGTLVLSLKLLSKVFLQLLHDVSQLASFSELWSRVLSCMERYMKVKFRGKRSEKIHELVPELLKNTLLIMKSSGLLVPSEEDNFWQLTWSSVKNLAPSLQLEVFSSDELEQLQQKTQTNGCSPIQDGNVVVPPSETRA >CDP01804 pep chromosome:AUK_PRJEB4211_v1:7:8620167:8626794:1 gene:GSCOC_T00036970001 transcript:CDP01804 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDASSAAANNSGDEKNNSNEDVVITGSGAGSDGVTVNVRCSNGSKFSVQVSLESTVGSFKSVLAQNCEIPPELQRLIYKGRILKDDQTLQSYGLEADHTVHLVRGFAAAAAANASAAGNNGATNANPSAPSDAASAAGGPFGGSGLGASLFPGLGLNGLGSGGAGGGLFGAGLPEFEQVQQQLTQNPNMMRDILNMPLVQNLMNNPDIIRNLIMNNPQMREIMDRNPELAHILNDPATLRQTMEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATAMGGDNRNNLASNPFAALLGTQGGAPSGDQSTNPTTTAPDSTTNAPAPNTNPLPNPWASGGGGAQTNSAPRSNPAADARAPPLGDLNGLGFPDFERMLGSMPDPSSLSQMMQNPAVSQMMQSLLSNPQYMNQVLGLNPQLRGMLDSNSQLREMLQNPDFIRQLTSPETMQQLMTFQQSLLSQLGQQQNTQESGRNAGGGGATGTLDNTGLEMLMSMFGGLGTGGLTVPNRSNVPPEELYATQLSQLQEMGFFDTQENIRALVATAGNVHAAVERLLGNSGQ >CDP12862 pep chromosome:AUK_PRJEB4211_v1:7:13592652:13594146:1 gene:GSCOC_T00037538001 transcript:CDP12862 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKFLRLPLRSDQGPSGSPEAAWEDDVYCFGKILLELVTGKLGMSASSSDAAMKEWLEQTLPLISIYEKELLPIIIDPSLIIDEDLLEEVWAVAIVARSCLNPRPSRRPLMRYVLKALENPHEVLREPIDSLLIRVE >CDP15699 pep chromosome:AUK_PRJEB4211_v1:7:22855302:22859044:1 gene:GSCOC_T00015691001 transcript:CDP15699 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKDEETFFHVSLEKFSISPDFLCFSCHAPLKEYLKTVLDFNFSEEPNYCLPLHVISIFDGLIGANFATKLLNIDDTQSSFHATSISNFSSIHSPTKEKKERELNGPILYYSGQTCSRNPTYMISKGKEIYQIDVMDSKLAQVVDRGREAGFVIIVVTSYSNSLTLIMDAGTAFTGQVYVLAEFFLHKTYARNCTCACYLSFYAWMRLSYLFLVVLAIASTYLSIGLNELKFVFYGCIPEKWNEVFHVTSTTSISADWLVVISQNSDFIEQARLIVGARFFFYPSKGVHECLDKGCRITSIAATSYQVTVIVNLAKWLRNFCYHLIFHAKLLR >CDP02254 pep chromosome:AUK_PRJEB4211_v1:7:2516265:2521701:1 gene:GSCOC_T00039602001 transcript:CDP02254 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGCASAFRPFECRSDPDFSGRFPRPEFPTSGAARFSAKANSVTQGFPVGSLSSSLIFRFPPNFVRQLSTKARRNCSNIGVAQIVAASWSNNQQGGSTSPTPAAKAVDAAASASVVAPVEFETGVVEEKVAAAVESGFSDGVNVQPDDLVDAKYSSFLTCDGSVAIHAGERLGRGIVTDAITTPVVNTSAYFFKKTQELIDFKEKRHASFEYGRYGNPTTVVAEEKISALEGAESTLITASGMCVSTCMLWALVPAGGHIVTTTDCYRKTRVFIERVLIPKTGITATVIDPADVGALEAALNKKQVSLFFTESPTNPFLRCVDIELVSKLCHEKGALVCVDGTFASPINQKALALGADLVLHSLTKYIAGHNDVLGGCISGPEKLVSVVRDMHHILGGTLNPNAAYLIIRGMKTLDLRVHQQNSTASRMAEILEAHPKVRRVYYPGLASHPEHHLAKRQMTGFGGVVSFEVDGELYTTAKFVDALRIPYIAPSFGGCESIVDQPAIMSYWDLPQSERAKYGIVDNLVRFSFGVEGFEDLKADILQALETI >CDP01740 pep chromosome:AUK_PRJEB4211_v1:7:9122958:9127890:1 gene:GSCOC_T00036887001 transcript:CDP01740 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFCTSKRSALYAIFLCVFLVQLCHSASSKLYVVYMGSRGSDEPDEILRLNRQMLTVVHKGSVEQAMDSHVRSYRHGFRGFAAKLTEEQASEIAKMPGVVSVFPNTKRSLHTTHSWDFMGLINEETMEIPGYSTKNQVNVIIGFIDTGIWPESPSFSDADMPPVPVGWKGECQSGEAFNASTCNRKVIGARYYYSGYEAEEDTGETTTSFKSPRDSSGHGSHTASTAAGRYVQNMNYKGLAAGAARGGAPMARIAVYKTCWSSGCYDVDLLAAFDDAVRDGVHIISLSLGPDAPQGDYFNDAISIGSFHAVSRGIVVVASAGNEGSAGSATNLAPWLITVAASSTDRDFRSDIILGNRAHVTGESLTPLEMNASARIIPASEAYAGYFTPYQSSYCLDSSLNSTKARGKVLVCRHSGSSTESKLAKSVVVKEAGGVGMILIDESDKDLAVPFVIPAAIVGKQLGSKILSYINNTRKPLSRILSAQTVLGSQPAPRITAFSSKGPNVLTPEILKPDVAAPGLNILAAWSPATAKLKFNILSGTSMACPHVTGIVALIKAVHPSWSPSAIKSAIMTTATVLDKHHKPITADPEGRIGNAFDYGSGFINPSKVLDPGLVYDAKPTDYKAFLCSIGYDERSLHLITRDNSTCAQSFATASDLNYPSIVVPNLKQNFSVIRTLTNVGRQRSIYKAVVFAPKGVNVTVVPRRIVFDSYGQKINFTVNFKVAAPPTGYVFGSLSWRNRRSWITSPLVIRAMHSKMGLVF >CDP01907 pep chromosome:AUK_PRJEB4211_v1:7:7821056:7826565:-1 gene:GSCOC_T00037087001 transcript:CDP01907 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQSDREVKVSLELTEEILQSMEVGLAFRDYNGRISSMDFHKTSPYLVTASDDESIRLYDVANATCLKTINSKKYGVDLVNFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVVSLSLCSRKECFISGSLDRTVLLWDQRAEKCQGLLRVQGRPATAYDDQGLVFTIAYGGYIRMFDARKYEKGPFDIFSVGGDMSDANVVKFSNDGRLMLLTTLEGHIHVLDSFRGTLLSTYNVKPVSSGSTLEASFSPEGMFVVSGSGDGSVYVWSVRSGKEVASWMSTEDEPPVIKWAPGSLMFATGSSELSFWIPDLSKLAAYGRK >CDP01624 pep chromosome:AUK_PRJEB4211_v1:7:10136551:10138079:-1 gene:GSCOC_T00036728001 transcript:CDP01624 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVGKPASLPPYPQMIVEAIDALKQKEGANKSAISKYIESKYGDLPAGHSTLLTVHLTRMKESGELVFFKNNYQMPDPTAPPRRGRGRPPKPKDPAAQGAVASPPRPRGRPKKDPNAEPAPKKPKPATAPPAVSKTGRPRGRPRKVQP >CDP08420 pep chromosome:AUK_PRJEB4211_v1:7:25084308:25087141:-1 gene:GSCOC_T00027274001 transcript:CDP08420 gene_biotype:protein_coding transcript_biotype:protein_coding MYISCSSLNLVFFSLVICCFFLSAFSSPKGSPFTTFWILVICGCSSRVYQVLHHLVVCFFGNYEFWNFFGIYLLLRGINIFCK >CDP01599 pep chromosome:AUK_PRJEB4211_v1:7:10351791:10360733:1 gene:GSCOC_T00036700001 transcript:CDP01599 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPFFFLCTLHKCIKHEIVGEVTEVGSKVTNFKIGDKVGVGCFVGSCRSCEQCSNDLEPYCPKRVMTYGSIDEDGTRTRTRGGYSKEMVVKEHFAIRWPENLPLDGGAPLLLCAGCTLYSPLMYYGLSKPGTHLGIVGLGGLGHVGVKFAKAIGLKVTVISSSNRKKAEAVDRLGADSFLVSTDPEQMQAAMGTLDGIIDTVSAFHPVMPLISLLKPHGKLIVVGAPNKPLEVEIIPLLTGKKMIGSSAAAGIKETQEMIDFAAKHNITADVEVIPANYVNKAMERLEKGDVRYRFVIDIGNTLEAKFETEMAGENQVRTFGWAATDPSGIFSPFEFTRRATGEEDVRLKVLYCGICHTDLHAAKDEFGVGMYPLVPGHEIVGMVTEVGSKVTKFKAGDKVGVGTMVGSCRSCETCSNDLEPYCPKVIPTYNSVDNDGFHTRGGYSNEMVVNEHFAIRWPENLPLDGGAPLLCAGITVYSPMMHYGFSKPGTHLGVVGLGGLGHVAVKFAKALGLKVTVISTSPSKKEEAVRNLGADFFLVSTDQEQMQAARDTLDGIIDTVSAFHPVMPLFSLLKNDGKLVVVGIPNKPLELDIYPLIAGRKMLGSSAVGGMKETQEMIDFAAKHNITADIEVIPMDYVNKAMERLQKGDVRYRFVIDIGNTLLASPST >CDP01608 pep chromosome:AUK_PRJEB4211_v1:7:10277763:10280123:1 gene:GSCOC_T00036709001 transcript:CDP01608 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTEHPVKAVGWAARDPSGVLSPFKFSRRATGEHDIQFKVLYCGICHSDLHFCKNEWGFSQYPMVPGHEIVGVATEVGSKVEKVKVGDKVGVGCLVGSCRGCDMCSQDLENYCPKQILTYSAIDTDGTLTQGGYSNIMVADEHFVVRWPENLPMDIGAPLLCAGITTYSPLRYFGLDKPGVHVGIVGLGGLGHVGVKFAKAFGAKVTVISTSEGKRQEAIGKLGADGFLNSRDPEQLQAAAATMDGIIDTVSAVHPIVPLINLLKPHGKIVMVGVPEKPLEFGTFPLIAGRKTMSGSAIGGLKETQEMIDFAAKHNVLPDVEIVPVDYVNTAMERLLKADVKYRFVIDIGNTLKSD >CDP08401 pep chromosome:AUK_PRJEB4211_v1:7:25952316:25953095:1 gene:GSCOC_T00027236001 transcript:CDP08401 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIWITFIICGVVTSIGSTYFVEQGNHMNFKVGKLKFPNSILLVLYELTKSRSKTMYTFIASHLGGARLKRYAPPVGIAFATLFSVLCCVVAALVETRRLHVLRGHGLLDKPDEKIPMTVFWLLPQYILLAVLDSFYENSAASFLSDQSPPSMKKYLVYFNPGLSGLGIMGSVLSVFLVGRVSERRGKENWFQYTLNKSRLDRYYRVLAVLSAANFFWFLVAALRYPYREPTSNDEQENGNEEGNAMEAVEGVIPNIE >CDP01395 pep chromosome:AUK_PRJEB4211_v1:7:12711160:12717091:1 gene:GSCOC_T00036426001 transcript:CDP01395 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTTTQYVASRRMGLYEPMHPIGMWGDFKGNGCPNASASTILQVETNLDNQSEDTSHGTLGTPSKYDQEASKPVDKVLRRLAQNREAARKSRLRKKAYVQQLENSRLRLVQLEQELDRARQQGLYAAGGLDISQLTCSGALNSGSAAFEVEYGRWVEEQNRQVNELRNALHSHMSEEELRLLVDGGINHYFDLFRMKETAAKADVFYLMSGMWKTCVERLFLWIGGFRPSDLLKILSQHIQPLSDEQVLNVYHLTHACQQAEDALSQGMDKLHQFLAESVCRAQLREVNYPQMEAAIENLEALERFVKQADHLRQATLQQMSGILTIRQSARGLLAMGEYFERLRTLSSLWTKRNSEPA >CDP01781 pep chromosome:AUK_PRJEB4211_v1:7:8806331:8810492:-1 gene:GSCOC_T00036940001 transcript:CDP01781 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSASEDEDEGIDSYRKGGYHAVRVGDSFAGGRYIAQRKLGWGQFSTVWLAYDTRSSRYVALKIQKSAPQFAQAALHEIEILSAIADGDASNSKYVIRLVDHFKHAGPNGQHLCMVLEFLGDSLLRLIKYNRYKGLELNKVREICKCILTGLDYLHRELGIIHTDLKPENILLCSTINPSKDPVKSGMTPILERPEGNQNGGAAINLVEKKLKQRARRAVARISERRASMGGVGTTPKPDRSLDGIDVRCKVVDFGNACWSDKQFAEEIQTRQYRAPEVILRSGYSFSADMWSFACTAVELATGEMLFTPKEGQGYSDDEDHLALMMELLGKMPRKIATGGARSKDYFDRYGDLKRIRRLKYWPLDRLLVDKYKFSETDAKKFAEFLCPLFDFEPEKRPTAQQCLQHPWLNMENLTKNEVKSEPTIDKVSVGMRNLQIKVGK >CDP02463 pep chromosome:AUK_PRJEB4211_v1:7:3998540:4000675:-1 gene:GSCOC_T00039847001 transcript:CDP02463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g37170 [Source:Projected from Arabidopsis thaliana (AT4G37170) UniProtKB/Swiss-Prot;Acc:O23169] MKLSPRHFRSLTKSLAPNHSFSYLTRFQKPTASSPRPFSTQAQLNQQSFPPKVFFKPDARRDQEQLIDRLCGENKFKEAIEILCQQKRLKDAVLLLQHHIRLPSAAICSTLLQFCIRQRALEEGKRVYDLIRRSNFVPGVFISNKILDLFCKCGSLEDARRLFEEMGKRDSCSWNTLIYGYAKIGRIDEARKLFDEMPERDHFSWTAMVSGYVRHNKPSDALELYRLMQESGKVVCNKFTVSSALSAAASMQSLYLGKEIHGHIIRGELDSDAVVWSALSDMYGKCGSLDEARYVFDTALEKDVVSWTAMIDRYFGDGKWEEGFLLFSNLLKSGIRPNEFTFAGVLNACTQNTAEGLGKQVHGYMMRLGFDPFSFAGSALVHMYSKCGNMETAYKVFRWLPRPDLVSWTSLINGFAQSGQPHEALRLFKSLLETGIKPDHVTFVGVLSACTHAGLVNQGLRYFYSIKEQHGLAYTADHYACVIDLLSRAGRFGEAKDIISNMAMKPDKFMWASLLGGCRIHGNLDLAKQAAEALFEIEPEDAASYVTLANVYATAGKWSEVAKIRKMMDEKRVVKKPGMSWIEMKRKTHIFLVGDQSHPRSKEIYDFLGEISKKMKEEGYVPDTEYVLHDVGEEQKEQSLFYHSEKLAIAFGIIATPPGTPIKVFKNLRTCVDCHTAIKFISKISDRRITIRDSARFHYFEGGSCLCKDYW >CDP16021 pep chromosome:AUK_PRJEB4211_v1:7:22284608:22286567:-1 gene:GSCOC_T00017002001 transcript:CDP16021 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGGRSGGRAIRVLNVAEKPSVAKAVSGFLSKNQQGGLRVRDGRSRYNKIFEFNHTINGQPCHMSFTSVTGHLMELDFDDRFRRWHSCDPVDLYHASVRKFVPEDKSDIRRTLEEEARKCQRLILWLDCDREGENIAFEVIEVCTQANRNLNIWRARFSALIEREIQNSVQNLVRPNQLFADAVDLRQEIDLRIGASFTRFQTMFLRDAFMINLATDDRNLVISYGPSQFPTLGFVVERYWENQSHEAEEFWTINCTHNTDEGTANFKWMRGHFFDYACAAIVYEMCIQEPTVTVSII >CDP02224 pep chromosome:AUK_PRJEB4211_v1:7:2260909:2271249:1 gene:GSCOC_T00039555001 transcript:CDP02224 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRAIQHRHRYTYSSVVFPPYFIDALNQTPSLPFFSQLKHLSSLNSTYSQVEHAKNVVSAFTDLLSNPNADAATSVLASKFYLEILFFENSLPLHRTLASGLAKAKHFHGLLKDCFRQLCEEYGGGDGNGKGKRFSVSRAALSMMSTPKLGYLVEVVEECAVLVGLDVVSGLDAVVSETNDWSRPSPLVMEQCQEALSCIYYLLQRFPTKFSNGGERQDGFLAMVFVTVLSILKSLAFSRDCFVAAGVSFCAALQVCLSPEELGLFIMEGIFMQTSVISCKIKFQDVNSKIPYKGDTVNEISKFTALSRLCLIRGILTAVSRTVLSSNFVVSTCDFENDSASGDSTLIKTILYDSILPELCYYCENPTDSHFNFHALTVMQICFQQIKTSMQSNIGDFAESYNPLSEQMGRRILRTVWNNLEDPLSQTVKQVHLIFDLFLDIQASLLWAEGGEKIKLFLREIASDLLCLGPRCKGRYVPLASLTKRLGAKTILAMSPDLLFETTKAYVDDDVCCAATTFLKCFLECLRKECWTSDGIENGYAKYRGDCLPPFLYGLASGVAKLRSNVNTYALPIVLELDVDGLFPMLASIGVKLVEDDTEIVYPELHYTEMSLGLEQRVAILVSLLRVSRSIALIEGDIDYVSESFEVAENSRKYDMHSFVCVRGIKVKIPVKWLVLALSHTDESLRTDAAEFLFLNPKTASLPSSLELSLLKEAVPLNMRCCSTAFQMKWTSMFRKFFSRVRTALERQYKQGNWYPYGSEANVGVASRNGTREIVVEMADDLFNLMKWLSYFFFFSCYPSAPYERKIMAMELILVMLNVWSVMPPSKENHCALSSDTSLYPYSKGFILADSALLLVGSIIDSWDRLRENSFRILLHFPTPLPGICSPDEIREAIIWAKKLVCSPRVRECDAGALTLRLIFRKYVLELNWSVQLSLDFVSCHGQVELPNGKLEILTHRSPAVEYVRSLVDWLLITVEDGEKDLSEACKKSFVHGVLLTLRYTFEELDWNSTAVLCCIAEMKLVLEKLLELIMRITSLALWVVSADAWHLPEDMEDMVDDDGFDKEVMSKVAVENVMENVKLVQDARSSEQIVMVGCWLAMKEVSLLLGTIIRKIPLPIVGASKSNASDGNGDSVSVSDGVLDMKQLETIGSHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNNPRLCKLTESWMEQLMERTVTKGQTVDDLLRRSAGIPAAFTAIFLAEPEGSPKRLLPRALRWLLDVANKSLLEQTKASNCTNDSADALLTNSSQAGQFVMPLGMDAKEKISKIRDEGVVPTVHVFNVLRAAFNDSNLATDTSGFSAEALIYSIRSFSSPYWEVRNSACLAYSALIRRMIGFLNVQKRESARRALTGLEFFHRYPTLHSFLFHELKIATESLLDGSSGQQGSNLAKLVHPSLCPILILLSRLKPSAVTSEAGDSLDPFIFLPFIRKCSVQSNFRIRILASRALTGLISNEKLPIVLLNIASELPCTRNVSSDASNSIDTQNGTCHTFNSLHGMLLQLNTLLDNNCRCLADSSKKDAILKDLIEILAIRSWIGKFQLCPCPILNSCFLGVLDNMLSIATTCQMSKSIAAIWNLVWELSSEFLDLEEPQKSSYHDPTIVELRKQAAVSYFNCLYKTSKEIAEEDILMPGTCSSTTASSLLRVSDLDSALSRFQERLRGCMSDTSYEVRLATFKWLVLFVKSAGLKIEGGNSSSHEIKTYLLYNIDLQKKLVELLATENNHKCTYYILKIIYMWNMLECEEKGELDVSLGSIGVDRSSLWWFWDKLVSMYKVTRHSKNRQVLICCMGICVKQFASIFSSFVCSNMKKEEIAISSRYDIDGRLSKFCDCINYFVELIQLHSSASEPVNMRNAAAESIAASGLLDHAKIAGSLPFSNSIPGENPSSDFKVEEVVNMYGHKILNLWLTCIRLLEDEDVELRRKLALDVQKSVTSTTCQNVELVPSQVEKVIEMSFDHLSSIFGHWIDYFDSLCNYVFNAVNSVVSVVPNGDLVRRVFDKEIDNHHEEKLLICQICCSHLEKLPISKSWAANSSDNHKVRDLLRGWRRRFCHHLTSFANDYIGRREVDWIGGAGNHKDAFLPLYGNLLAFFALSNCIFEGEKESSKSMLDEVSVLGRTLRPFLRNPMISNLYLLVIDSHEKMVGATASDMNKSLIGNSSDWEGFNPYFLLRCEV >CDP01946 pep chromosome:AUK_PRJEB4211_v1:7:7502305:7503070:1 gene:GSCOC_T00037137001 transcript:CDP01946 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSKLFFFNILKKNQIFARQFFTNQWRGNKKEEKKPHKESAIIQNISSVRKKHWGNQDWFCKACCRPPALRSRCPTRT >CDP11591 pep chromosome:AUK_PRJEB4211_v1:7:20837699:20841866:-1 gene:GSCOC_T00033933001 transcript:CDP11591 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYLFFSIVSTFITSSFRTLLLLFRLISHPRAAAEHDHVTLYEGVVWHTRRRPVHHSFQYSVRYALFDLDRSPNVPPDHFSADEARRISRTNGPIFLLTIPPSVGYEQNPLSVYYCYDVERSSRNLKKCIAEVTNTPWGERVSFLFDPKSDIVAKPLHVSPFMDMLGNWSIRTTAPEDDLSVDISVQHPELGKYFRAILTAKKVSSEMARDQALFFWLMPHRVAIWIYWHAVKLWWKGVPFIQHPRYQSPKYREEALLRDEKLRCCRSLGSNKDSNQPIEASKLSRVAEISSKSHCFTWRDAKWPWC >CDP02754 pep chromosome:AUK_PRJEB4211_v1:7:6282731:6283567:1 gene:GSCOC_T00040238001 transcript:CDP02754 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQFLPETPFPSVYNILLLILFIQFPSILGNNTEWYTSCTNLFSCGGISGVDYPFWGGNRPQECGHPGLELMCEDSTPTIEIMNVKYRVLQVNPGSQILRITRNEFAIKDICPEKLVNTTLDANLFEYASGYVNLTIQYGCPSLNIPVPAQIACHINGITYQNVYVVPGAQGPGTCRASIFFPIHSTAFGGITEELSILGQVMVSGFEVRWKVDSMQCNECRNSNGRCGYDLKSNQFTCLCPGNQASGRYGCTSTSSDENSSPHESMVVSGTHWFTC >CDP11577 pep chromosome:AUK_PRJEB4211_v1:7:20344802:20347402:1 gene:GSCOC_T00033911001 transcript:CDP11577 gene_biotype:protein_coding transcript_biotype:protein_coding MREFYVERNRPYIVMIFIQFVYAGMSLLSKAAVNEGMNPYIFVVYRQAFATAALAPLLKTNSASLTYILLDKIFLTSLCGITMSLNLYYFALNYVSATFATAITNTIPAITFILVVCLRIEKISIQQRHGIAKALGSAVGLSGALVFTFYKGPPMYSSVHSSISHNDSKSYSKEDWIKGSLLMLLANFTWSLWLIMQGAVIKEYPAKPRLTALQIFFSSILSAAWAVGHERKAEAWKLGWNVNLLFVLYCGIVVTAVSYWLQIWVVQIKGPVFTAMFSPLALLITAIFSALIFNETLHWGSACGILLLVVLYSVLWGKHKEASLEASEKHEAAGLEACEKYEAASLETGQKEKEEEEEAREEEAKGEKTLESITCQ >CDP01693 pep chromosome:AUK_PRJEB4211_v1:7:9512855:9516121:-1 gene:GSCOC_T00036829001 transcript:CDP01693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin, Al-inducible expansin, Root cell elongatio [Source: Projected from Oryza sativa (Os04g0583500)] MPPTLLFQTLVTVTVAFTLLSSLPQLVRSHYQYSPSSSTPSSYTEWRSARATYYAAAEPGDPVGGACGYGDLEKMGYGKATAALSTVLFEKGQICGACFQVRCVEDLRWCIPGTSIIVTATNFCAPNYGFEADGGGHCNFPNAHFVLPIEAFEKIAIWKASNMPIQYRRVKCRKEGGVRFAVNGAGIFLSVLISNVAGAGDIAAVKIKGSRTGWLPMGRNWGQNWHINADLKNQPLSFELTNGDGVTLASYSVAPKDWNYGQTFEGKQFDY >CDP02288 pep chromosome:AUK_PRJEB4211_v1:7:2719889:2736015:1 gene:GSCOC_T00039643001 transcript:CDP02288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MARPPTSGTGGIPRGISLSNSLHSEVAPCLPLPSLPVFCGALDQELRLFDELHSAASRSVNRIDVVSQAGKIAQLLSNTDVSYLSIRGDVSSQPQGFVGHHDLYDEVLRYNSQAFDYISPGPTNEPIPSGNLAESKPCEQNLRDQVHRDNAGIGSNQHDVAGILKYQQGYDMSNDAINHSRKPKVRKKGKDSILSSSTVPDAIEQQDAAIGGFSELLEGICSRSEIFSDDRDEAEWLPLTVGDLKTVVNEIISIRAKRILHLVPIDILSRFLRVLDHQIHRAEGLSINGSEHSDSDVMTSIYVALESIHAALAIMAHTGMAKQLYKEEIIERIVEFSRHQIMDIMSACDPAYRALHKPNDIGAPDDDEDEEIEGDYGSASKKRRTTRNLKLRKSNPNKASAVVNSILQKLCTIVGFLEDLLSIERLSDSCILQLIRTSFTTFLVDNIHLLQLKAISLISGIFHTYTQHRAYVMDEALQVLLKLPFSKRVPRTYHLPDEEQKQIQIITALLIQLIHCSANLPEVLRQSSSVPSLEVSLDTNYPTKCHEAITESCCLFWSRVLQRLTGSKNQDSSELKTMIENLVVDLLVTLNLPEYPGSAPILEVLCVLLLQNAGPKSKDISARSMAIDLLGTIASRLKHDAVRCRKEKFWIVHQLTSGESSFVSSACCVCLNARNEKQLFACQGCQRLYHVDCIGVGRNEVSTHSFYCQICICKKQLLVLKSYSESQSKDDEKKGHKLSGMSSDNFEVANLEIVQQMLLNYLQDASSVDVHLFIRWFYICIWYKDDPSAQQKFYYYLSRLRSKAILRDSSTVSTFLARDTVKKIALALGQDNSFSRGFEKILQVLLASLRENSPVIRAKAMRAVSIIVEADPEVLRDKLVQTAVEGRFCDSAISVREAALELVGRHIASHPDVGLQYFEKVAERIKDTGVSVRKRAIRIIRDMCTSNPNFAEFTTACIDIISRVNDEESSIQDLVCKTFYEFWFEEPSGTQSHHFKDGSSVPLEVAKKTEQIVEMLRRVRSYQLLVVVIKRNLALDFFPQSAKAVGINPVSLASVRRRCEQMCKCLLEKILLVTEMSSEEGDVRMLPYVLLLHAFCVVDPMLCAPASDPSLFVVTLQPYLKSQTDTRVAAQLLESIIFVIDSVLPMLRKLPPSVVEELEQDLKQMIVRHSFLTVVHACIKCLCCVSKVMGKGAHVVELLIQFFYKRLDALGLDNKEQVGRSLFCLGLLIRYGSSLLTASASSYKNIDVISSLNVFKKYLQAEDFIIKARALQALGYVLIARPECMLEKDVGKILEATLSSSTDARLKMQSLQNMYEYLLDAESQMGADKAGNMEDICSTDDGHSVPVAAGAGDTNICGGIVQLYWDMILGRCLDVNEQVRQSALKIVEVVLRQGLVHPITCVPYLIALETDPQEANAKLANHLLMNMNEKYPAFFESRLGDGLQLSFVFMRCLNQNSSAHLDPKAVSKLSGNLKGKPDASPFAYARLGISRIYKLIRGNRVSRNKFMASIVRKFDMPSWNDSVIPFLIYCTEILSLLPFTLPDEPLYLIYTINRVIQVRAGILEANMKAFLHLLRGENQEIDGNGIIRPDPSTLAHESNVSEQIPEDLDGQSPSRYASKDLGMPDITTGNSHGISGGDLQKIQADCLAAGALQLLLKLKRHLKIVYSLDDARCQAFSPNEPPKPGDFLSRQNMPFNISDVTIDLPSNYEDLLQRYQEFKNALKEDTVDYSTYTANIKRKRPPPRRGGRSGRTMGGDDEDDENDEDWGSAMRRLSNSGRKAYNSRSRQRM >CDP02296 pep chromosome:AUK_PRJEB4211_v1:7:2772995:2775308:-1 gene:GSCOC_T00039651001 transcript:CDP02296 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEESEGSRGGKVMEGVASIALLPSGSISGHFIQLPNSLCLGLRGTELACERECSRGEDYRLIRLTVTDFNSGREKDVVVECRGHDAARMCNVDHAHGWEKDVVGMLEPKEGKHKIIISFECETLKADEAAEDHIKKFMPKLAGMDAVVNIGRMKIVGLDFDAEAKEQQVL >CDP01453 pep chromosome:AUK_PRJEB4211_v1:7:11905549:11907062:-1 gene:GSCOC_T00036505001 transcript:CDP01453 gene_biotype:protein_coding transcript_biotype:protein_coding MICFLTIEFWVLLLDEHYLQLDKVGFVIVSALLVTALLQFVFDGKPPSLYCLVALPLVITSVSVYQKYPYRVKAKEA >CDP02511 pep chromosome:AUK_PRJEB4211_v1:7:4453072:4466164:-1 gene:GSCOC_T00039912001 transcript:CDP02511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase CLF [Source:Projected from Arabidopsis thaliana (AT2G23380) UniProtKB/Swiss-Prot;Acc:P93831] MSASKASTSSSPSGSDSLTEHPSIVLVDAKTPAALEIQAIIDVLKEQVASERTVFVKRRMEENTQKLFDVTKNLFKLSAERNPKINGTDQAVDLLAKRQKDAIDMQNGISTSSGDSDGNSPQEDGYASSAILLGSSIAVKNAVRPIKLPEVKRLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEDAIEEEDEKKEFTESEDYILRMTVKQFGFSDVILDLLAQCFSRKPDEIKARHEDLVKEGNDMGFTSNVNVDGSISSYLDKNLDAALDSFDNLFCRRCLVFDCRLHGCSQDLIFPAEKPPPSCCPDAEKDPCGANCYRLVLKLESDASIRSPGQGTSEEHLFPPSDASAQMPRRKNLGLSVKMRTKSSQSDGTSSNAKNICESSDSEIRPPIEVADAQPSSSPPKNKLVKRGGTQKRNSKRIAEHMLVSMRKRQKKMLASDSDPLESGTLGFKDLSLQSNSRKENGDESSSSLKLKSPNARRPRRISPALDNCKPLQIETSGCPPNLVMDNQPVIRKDDTSRKNDLLALGLYQHEVNDDKSWKPIEKALYEKGLEIFGRNSCLIARNLMNGLKTCSEVFLYMNSYDNKLISQSGDGANALIDGYYKVDGKETMGNGVRRRSKFLRRRGRVRRLKYTWKSAGYHSIRKRISERKDQPCRQYNPCGCQSPCGKECPCLVNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWISCGDGTLGVPSQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNNVAKHEYLGEYTGMTSGFVLDAFRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWAKKPETSGFKKEDGGPSSGRAKKHT >CDP01494 pep chromosome:AUK_PRJEB4211_v1:7:11455797:11458902:-1 gene:GSCOC_T00036567001 transcript:CDP01494 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSNGKSLDWSVGSWKSKKALQLPEYPDETELNAVLETLESFPPLVLASEIRALEERLANAAAGNAFLLQGGDCAESFKEFSADKIFDTFNTLAQMSTVLMFGGQLPVVMVGRMAGRFAKPRSTTYEEKDGLRLPIYRGDSINGYDFNRKSRTPDPQRVIKSYNQSGVTLNVIRALVSGGHLPRNMENGLSSTHSHGPTDKRIENWLAALMRHWDLWLQQHNPEALTAPQRYNQLMNFGNHTSACFCLMSNPLLERIQSLASATIVLHTCLGLVSVPGNLMVPNGEFLRGVADPIGIKVSDEMGPKELVDLVAVLNPNNKPGRVTAITRTGAGNLRAKLPYLIRAVGEALGALFL >CDP02778 pep chromosome:AUK_PRJEB4211_v1:7:6415221:6418609:-1 gene:GSCOC_T00040272001 transcript:CDP02778 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPSFTQAQLPFKVKRTGLCYRFSECMRMNKRSIFAFRSVNKQLFVGQTEKLGTKLSTEWSFPRRPIASIHPNLGRAVDCRVYASCLPSSQLAASVFTFGTVAVLPFYTLMVAAPKAELTKKLMESSIPYIMLGLLYAYLLYHSWTPDTLRLMFSSKNWLPELSAIAKLFSSEMTLASAWIHLLAVDLFAARQVFHDGLQDNVETRHSVSLCLLFCPIGVLAHFITKALTSRVKQQIH >CDP02491 pep chromosome:AUK_PRJEB4211_v1:7:4262437:4269438:-1 gene:GSCOC_T00039887001 transcript:CDP02491 gene_biotype:protein_coding transcript_biotype:protein_coding MCQRTVPAPFLTKTYQLVDDPCSDDVISWNESGTTFVVWKTAEFAKDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDKWEFANDNFKRGRKELLTDIRRRKTTTSTQSTNQAGAGKSLTTANPTSPANSGEDLGSSSTSFPGSKSPGSVDTLSAEQFADLSDENEKLKKDNQMLSSELAQTKKQCDDLVAFLTKHVNVAPDQINSIMNQGAAVGEMNFNENNCVVDDRVDSKEADDDDDDENGNGGSLKLFGVTLLTEKNRKRGRDDMDVGYAEVRPRKDVKTLDFCAPWMRIASCAGETSKAAGQKARQNCGDSSSSPVSISGQRKMDSTETPLSTSPSHSNFSQQRHFYLAVDRLQFKMETLVDLLGMAGRQPCLRMVVCCSTRDELDAVCSAVSRLSYISIATLYSDQAEAERARILEKFRQTAINWNNCTADGEEKKDDKEERNKSHMIIVTDACLPLLGMGESPISSRVLINYELPTKKETYLRRMATCLATDGIVINMVVGGEVATLKSIEESSGLVIAEMPIHVSF >CDP01478 pep chromosome:AUK_PRJEB4211_v1:7:11653985:11662364:1 gene:GSCOC_T00036548001 transcript:CDP01478 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLETTRTTEKPHAVLVPLPAQGHVNPLMRLAKLLHAKRFHRTLVNTEFNHKHLIRSKRPESVKRFHDFRFETIPDGMPPSDKDATQDVPQICNSVRKNCLVPFKEILIKLSSSFEVPLVSCVIFDEILSFTSKTNFCSKGASFRQSQGCIMIFLHNCSSILKNDFSFFCPDDDYLTDGTLDTPVDWICGMSNFNFPNIYAIGPLQLLARHVVPESQVNSLNSSLWKPNLKVFEWLDQRAPNSVVYVNYGSVTTMTDHHFREFAWGLATSRQQFLWIVRPDVVSGGELKVLEHLAVGTFFTHCGWNSMMETICAGVPVICWPFFANQQTNCHYSCEKWGIGMEIIHNVKRDEVAELVRKMIVGEKGEKMRFKAKEWKKKVEEATEVGGSSYINFDKFINEALHYKG >CDP15999 pep chromosome:AUK_PRJEB4211_v1:7:28164190:28168947:1 gene:GSCOC_T00016942001 transcript:CDP15999 gene_biotype:protein_coding transcript_biotype:protein_coding MERKYFFGIAFWGCWEVEYKKRLFDGVMLMVLRLQTLLIYLHSVSTLVLLNRSWTSEENMPKNLKSTYGRFT >CDP11584 pep chromosome:AUK_PRJEB4211_v1:7:20550387:20551336:-1 gene:GSCOC_T00033921001 transcript:CDP11584 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVHKKIPVLIHNGKPVCESLIIVQYIDEVWHDKNPLLPSDPYQRAQARFWADFVDKKVYDCGRRIWATKGEEKEAAKKEFIGIMKKLEGELGNKPYFGGEDFGYVDVALIPFYCWFHAYENFGNFKTETECPKLVEWAKRCMQRESVSKSLADPHKVYEFIVSLKKKLGNE >CDP02561 pep chromosome:AUK_PRJEB4211_v1:7:4860966:4867748:-1 gene:GSCOC_T00039983001 transcript:CDP02561 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDNNVSSLESRYLDSCKRHQALPNTAVLSWLHKAVIQRINHQKCTIEVFLDQLEDCDLSPVIDVFLDVQCDAVDILCRSPCVLNQESVLSLINAANSKLEVIDLQDASVRKDILCDILHGGLKCHVMNLRFNEFHAFNFAGGFMLLHTLSLDFCSSLSTLENDCFVNMPNLMRISLCGTRVANLWTTSAALSRLNSLVEIRFQNCACCKNTGSCPTLSRERRDLALNIHTHSISHNSIDDRLIGKMSYNLHGISSSGRSSNAHPVSVGLSMLQIEVSHAKLETEEDGESPTSVLDLDVKDSSIASKMKVLENPSPICFENHYREYMIASLPHLQVLDNIPIRLVDRDMAKMVFSKYFEYLPYKRQHGESVSNILYMRETGTSCGYHERSTIKKQSNSQKSKFFYTRSICAAKFGSSVWPVHLPMSQICSTLGEGSRSLRPRQFEYHPSDASLMGFGTLDGEVVVINHDKGSLFKYIPALETSNSAMALCWLNQHPAKLLAGFEKGSLRLYDINQVTTKVEDSRWTSSTKFYDDFDQLTSVHVNSSDDKFLASGYSRKLAVYDLCTGRRLQLLTDIHRETINVAKFANCSPHLLVTSSYDCDVKMWDLRQKPTLPCYTSSSSRGNVMVCFSPDDLYLLVSAVDNEVRQLLAVDGRLHTRFEISSTGSSHNFTRSYYMNGRDYIISGSSDESILRICCAQTGRRLRDVHLQDRTLGSAMYVQSLRSDPFRHFHMAVLAAYVRPSSRWGIVKVNLLASSHHAKQDSESQDFCPRFGWGG >CDP02272 pep chromosome:AUK_PRJEB4211_v1:7:2637558:2639761:1 gene:GSCOC_T00039623001 transcript:CDP02272 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLAPIRSPRWISTRRIFEQKISDLHKCTDPSQLKQVLAVIYKSNLHTDIFIATKLISAFSLCRQMRSAVTIHNQIRQPDAQAYNVLIRAHVRNSQPSQAFAAFSQMQSYGVRPNVFTYPFLLKAFSGLEIVAATHAHVEKFGFVEDILVLNSLIEAYSKCGLMGVCAAKKLFSLMVQRDHVSYNCMISGLAKAGQLTEARELFDEMPERDTVSWNAILDGYVKSGEVTVAFQLFQSMPLRDSVSWSTMVLGYRKAGNMEMARNLFDEMPVKNMVAWTIIISGYAEKGLLKEAMRLYNEMEEAGLKPDEGTIISILGACADSGMVGLGRRVHDSVKRNRFSFSIRVSNALVNMYVKCGCLDEALSIFHGMKERNSVSWSTMIHGLGLHGYGEKAVQLFSRMTREGFAPNKVTFLGILSACSHAGLVDEGIRYFYRMQRDYGVIPEIEHYGCMVDLLGRGGRLMEAFGLAHNMPFEPNDKICGALLAACRKHYAVTRAEDELKQLVQLDLKNTGNLSMLSDIYAATGDWTSVAGARLCMKNMSSEKPSGASSIELGDEYYEFTMMDRSHPKSDMIYQMIDQLGEHLRKIGYVHTASC >CDP02728 pep chromosome:AUK_PRJEB4211_v1:7:6103911:6108419:1 gene:GSCOC_T00040203001 transcript:CDP02728 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRFVLLVDRLLTESTLEAAIQTNNRLQHLSSVANEDNVAKFSPLRMDTDVGTSSPKKLVECRICHDEDEESCMEVPCSCSGSLKYAHRRCVQKWCNEKGDTVCEICRKSFKPGYTAPSPLSHYAGIASNFSGWEISRRGLPNAEFIAMVSADGSFLDPDFDEHPSPSTRSLICCRVVAIIFIVLLVLRHTLPIIIGGAGEYSLTLFMLIILRTIGILLPICVMVKAFTAVQRCRHQQASRNSPLPTSNEGNGLPIHQPPRHLTHMW >CDP08418 pep chromosome:AUK_PRJEB4211_v1:7:25139334:25140921:1 gene:GSCOC_T00027271001 transcript:CDP08418 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWMIRATTSVMLWNCLVYINLSLLGIVFGILTTIFPHLQSDLKFAPFDVGVYKNNGYLMVSCNGGLNQMRAAISSFLNNVACIGVTLIVPELDKTSFWADPSEFQDILDVKVRLNNSFCPPIFQTHTHYNKIPDSTYLTHSVMAEYLVYI >CDP02293 pep chromosome:AUK_PRJEB4211_v1:7:2748545:2751085:-1 gene:GSCOC_T00039648001 transcript:CDP02293 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAGAVATNTPVKDPKPNRSKTDDLFTNSENFHPNLSSPASKFSNSPAIKSATKTQKSASKKCNPNPNMMSSPPNKNKIRERKFVVAKKNSRREKVNSSMAVDCKCNNKGRKCLCLAYDTLRASQEEFFKRGGNAVLDNDDANELEKTDRAAEEGEKAVPDPDGIVNGLEDTMFDHCSEPCGFEDKHDQDETEGSCEMGVSNSNIKRRRDKLLEDARKSVPEPGSGRVLHLVKAFEKLLSIPKPADSEEGEEEKEIEDARKGMKWALPGLQPQLLPQTQVSSSSFCPSDFVLTSESLGLDSRVSSSLDSSQGSVSSRNSGGGRRSRRNSAESSGTFASRHWKRKHLKQTFQKPFKLRTEQRGSCKQEEFLKKVKQMMEEEEKLRIPIAQGLPWTTDEPECLVKPPVKDITRPLDLVLHSDIRAVERAEFDHQAGFQFLCDLTL >CDP01777 pep chromosome:AUK_PRJEB4211_v1:7:8836134:8837093:-1 gene:GSCOC_T00036935001 transcript:CDP01777 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHFFHWYRSGIIVTVAGGSGMAHAFAVRVDAGVFGSGQLNTRTKKCGCNHRTVTQNCAEMSGLWMHKKNVDDL >CDP02034 pep chromosome:AUK_PRJEB4211_v1:7:6894629:6898811:1 gene:GSCOC_T00037248001 transcript:CDP02034 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVFGINQRAKAIALTAAWFFCWILFTASDIGSAERLLKTVEPGHIVEKEMRQGFIVRLVHFLWQSGKSSYQHVWPEMEFGWKLVVGTVVGFLGAALGSVGGVGGGGIFVPMLSLIIGFDPKSSTAISKCMIMGAAGSTVYYNLRLRHPTLDLPLIDYDLALLFQPMLMLGISIGVAFNVIFADWMVTVLLILLFIGTSSKAFFKGLETWNKETKMKLEAAEVAQSDSKFNEGPGNEYKPLPGGPAAHADEKVPLLYNIYWKELCLLLFVWMAFLAIQIMKTYTQTCSAKYWTLNFLQVPIAASVSLYEATCLYKGTRTIASKGKEITVWKPNLIFLYCCLGIVAGVVGGLLGLGGGFVLGPLFLELGVPPQVASATSTFAMTFSSSMSVVQYYLLNRFPVPYATYFVLVATVAALVGQHVVRRIIAILGRASLIIFILALTIFVSAISLGGVGIASMIEKLQNHEYMGFDNLCRQS >CDP08431 pep chromosome:AUK_PRJEB4211_v1:7:24440992:24445946:-1 gene:GSCOC_T00027311001 transcript:CDP08431 gene_biotype:protein_coding transcript_biotype:protein_coding MARLERESRLFFDIKYFKECVSNGDWKEVEKYLSRFTNADDNPESFSIFFEIRRQNYYEVHDKSDRKMMLDILTRDLEVFTLSLADLYRRLVRLFQLNSVRKQEKLSVHRDEKSARILLMAKLKQLTVANPLIGDKLQFSTLQKLRLETLVKLSLSWQIQQCDNEGSNHKLSENLPYEDPYCDQATNTIPCSSWKCVSNGDWEEVEKYLSRFTNAEDNPESFSIFFEIRRQNYYEAHDKGDHKMMLDILKRDLEVFTLFQTDLYRGLKQNHIGIMYMTYISRKQEKPSGHGDEKSARTLLMAKLKQLIEANPLISDKLQFPTL >CDP02779 pep chromosome:AUK_PRJEB4211_v1:7:6472770:6474260:-1 gene:GSCOC_T00040274001 transcript:CDP02779 gene_biotype:protein_coding transcript_biotype:protein_coding MPQILPDFSSSVKLKYVKLGYQYLVNHILTFLLVPIMAGVVLEILRLGPEEMLNIWRSLHFDLLQVLCSSFLIIFIATVYFMSKPRSVYLVDYACYKPPVTCRVPFSTFMEHSRLILKDSPKSVDFQMRILERSGLGEETCLPPAIHYIPPNPTMEDARAEAETVIFAAIDSLMKKTGLKPKDIDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGIISIDLARDLLQVYPNSYALVVSTEIITPNYYKGNERAMLLPNCLFRMGGAAILLSNKRREKSRAKYRLVHVVRTHKGADDKAYRCVYEQEDPQGKVGINLSKDLMVIAGEALKSNITTIGPLVLPASEQLLFLFTLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELNYIEAKGRMKKGARVWQIAFGSGFKCNSAVWKCNRTIKAPMEGPWQDCIDRYPVHIPEVVKL >CDP01688 pep chromosome:AUK_PRJEB4211_v1:7:9554893:9559761:1 gene:GSCOC_T00036823001 transcript:CDP01688 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGDEMTRVIWKAIKDKLILPFLELDIKYFDLGLPHRDATDDKVTVESAEATLKYNVAIKCATITPDEARVKEFGLKAMWKSPNGTIRNILNGTVFREPILCKNVPRLVPGWNKPICIGRHAYGDQYRATDTVIKGAGKLKLVFVPEGADEKTELEVFNFTGAGGVALSMYNTDESIHAFADASMNVAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNPRLLDFTEKLEAACIGTVESGKMTKDLALIIHGPKLSRERYLNTEEFIDAVADDLRARLSCKASL >CDP01461 pep chromosome:AUK_PRJEB4211_v1:7:11828425:11831832:-1 gene:GSCOC_T00036515001 transcript:CDP01461 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRRYALGAQLDIEQILVEAQHRWLRPAEICEILRNYQKFRIAPEPPNRPPSMIILSSSSL >CDP01584 pep chromosome:AUK_PRJEB4211_v1:7:10568459:10570260:-1 gene:GSCOC_T00036680001 transcript:CDP01584 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGKLHIVMFPWLAFGHMIPYLELSKLIAKKGHKVSFLSTPRNIDRLPKPPPNLTRHLKFVKIPLPHIENLPENAEATTDLPYNKVKYLKLACDGLQQPISEFLRQTCPDWVLFDFAPYWIPSVASKLNIRTAFFSIFTAPFLGFCGPVEVMKGNGEDRKTPQDFTVKPKWVPFETNVAFKLFEILRLVDSLIGDEEPISDIFRGGSSIENCDFLAVRSCSEFEPEWLQLMEEIYQKPVIPVGQLPTTGNNDADGGKDEAWRPIKEWLDKQERGSVVYVAFGSEAKPSQAEVNEISLGLELSGLPFFWVLRTKRGGEDTEVIELPEGFEDRTKDRGVVCASWAPQLKILSHDSVGGFLTHSGWSSVVEAIQFEKALILLTMLADQGINARVLGEKKMGYPIPRDDSDGSFTRDSVAESLRLVMIEDEGKIYRDKSKEMRRLFCDESRQDGYIENLLNFLQSYKSAKEEK >CDP11558 pep chromosome:AUK_PRJEB4211_v1:7:19574050:19576912:-1 gene:GSCOC_T00033874001 transcript:CDP11558 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGPCGKMNKESPCMRNSVCRNHYPKGYSDHTTHGEDSYPHYRKRDDGQKIRVKGYDLDNRWIIPYTPYLLALIDCHINVEICSTIKLVKYLYNYIFKGHDVVNFHIIADETPQDVDEIKEFQQGRWVSAPKALWRIYAFRLNEMTPAIYSLQVHLPDHQYVSFDQNSDLSQLLKNIDFSKTMLTEFFRMNRVNKKAQNLKCLYGEFAEHFVWTPGKRKWTERSKQKVISRLVTVKPSKGDKYYLRLLLSHVRAPTSFDDLLTVNGCRMNSFREAALQLGLLESNSNIEETLEEAAGFQMPSSVRFLFATLLLHCAPTNPSLLWEKFEMELSRDFERAQVQAHHSTAEIRRKVLLDINKSLQQMGSHISEYKLIADDGSLNCHESMTKEVDSEMSIVVSPEDLLIASKLNAEQKRAYDLILETVFSSKGQSFSLMVWGTREKHFYIVRFLQHLDHRGTLQ >CDP01488 pep chromosome:AUK_PRJEB4211_v1:7:11513752:11514288:1 gene:GSCOC_T00036560001 transcript:CDP01488 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSFLASTLLSSTILVVITYLHLLSTTSCLNHNQPPDTVQGLLVEEKTRLGSTPPSCYNKCNNCHPCMAVQVPTMPSHSQLKPRQITRATTTTDSYIDSSSPSSSSPAGNRYSNYKPLGWKCRCGGHFYNP >CDP02117 pep chromosome:AUK_PRJEB4211_v1:7:1532344:1533862:1 gene:GSCOC_T00039405001 transcript:CDP02117 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSYHSVHEPMCGICRKHCRSFESLREHLIGPLPKAECERIFKDRGCDLCLTVLSSRSGLWNHREACQFSRSNNGVLCRLANLGIQDELRLDNSRAKVVALACKMVGGGSDGSLDLCARVCLIDEHERIIFHTYVKPQLPVTNYRYETTGLRPEFLREAMPLKQVQRRIQDYLCNGEPIWHIRSRGGRARVLVGHGLDHDLKCLEMEDTAKYPPLMKTSKLSNSLKYLTKAYLGYDIQTGQQDPYEDCVATLRLYMRMRSQNHDPEDCPLATDPQNRNNFASWRQNELERMTPEKLLEISRSDYYCWCLDSRTSHTNS >CDP02346 pep chromosome:AUK_PRJEB4211_v1:7:3189754:3191808:1 gene:GSCOC_T00039707001 transcript:CDP02346 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGRRRLSLVCRLIFFISFASSEARTPEKLPSAVVVGAVYCDTCFQQGFSKDSHFISGASVAVECGEENSKPSFREVAKTNEHGEFKVHLPLSVSKHVKKIKGCSVKLISSSEPYCAVASTATSSPVHLRSRKDGTHIFSAGFFSFKPLNQPALCVQKPIISRSNELPNTAKLTSISDPDDPNFSPPVAAQPSIFNPIPLPPNPLLPPPSLFPPIPNPFQPPPSILPPVIPSPPPSIFPPIFPSPPPSIFPPIFPSPPTPPPSIFPPIFPSPPAPPRSLFPPLVPLPGLTPSPPPPPPPSFPLPPFPFQPVPGLPGPGVPPAVPSSSDSEKSSP >CDP02520 pep chromosome:AUK_PRJEB4211_v1:7:4542042:4546906:-1 gene:GSCOC_T00039924001 transcript:CDP02520 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLIKQCGNLLLRCFLLFTSSATLFSATTISISTTPSPSLVLLPSDAVSLLSFKSKADLDNHLLYAIHERFDYCSWQGVKCGQGRVVRYVLQGFGLRGQFPPDTLTHLDQLRVLSLKNNSLTGPIPDLSPLLNLKSLFLDHNSFSATFPPSLLSLHRLLILDLSHNNFTGPIPSDLTVLDRLNYLRLDSNRFNGSIPPLNQTALAIFNVSNNNLTGPVPVTPTLKKFTISSFLWNPGLCGDVINRPCRATPFFDAVPVAGDAAAPPAPLLQSSQSQGEVLIPSPSQKKRHKRVGVILGVIIGVFIVIAAVLCIFAYFKTPKEEEQADAKKRALSPEMGRNNAEISTQIGNVEDGIVKEKKIYQVHETNSHGIKQVKSGNLVFCNGEAELYTLELLMRASAELLGRGTIGTTYKAVLDNQLIVSVKRLDACKTAITTAEAFEQHMDAVGVLRHPNLVPVRAYFQAKQERLIVFDYQPNGSLFNLIHGSRSTRAKPLHWTSCVKIAEDVAQGLAYIHQASKLIHGNLKSSNVLLGSDFEACLTDYSLSILADSSLIDDPESAGYKAPEICKSVRRASSKSDVYAFGILLLELLTGKPPSQHPFLAAPDVPNWVRAMRDDDSEEEKWVGMLVEIASLCSVTSPEQRPTIRQTLKMIQNIKDTAMVDNSARDSYNGYS >CDP08437 pep chromosome:AUK_PRJEB4211_v1:7:24346441:24350870:-1 gene:GSCOC_T00027318001 transcript:CDP08437 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDQEEAYERPYRWVQEECGRLGDIDNPEVSVTSANSVCCLKERPILFRYCVEEVAVMHNALFRRFISALTHGGPSGLPKPIEVHAHDPLRYVGDMLGWLHHLLEGNISFVFCLIGILKLNYLTRNFIVALLSSSENDVVNSESDSTFVLDRIFEGVCRVLKVRVELNAIPPPPPPLLFWLCMTAAILYISDLLGRQTALCNTLWVLKEAAQKTFFEILKTRGQKLLRYPLPVAVDPSPLTALMEGVSLLCEIIETHNSMMFPASGKKPDFHPVISALLDPIIQMCEQAAEAHKSKEALRLSSRQVLILANCKDHLQMLFWIVAAPFLCPRLLKHLRRFFSSTVYVQSCWVKCKGLANIVTRIGFKSQTLCELRSAFERVRIVGKMMESHMMNGLVEKGVDGILKRCGLLSKTTHFCVSLDDDEAGKTVNGSE >CDP01797 pep chromosome:AUK_PRJEB4211_v1:7:8693100:8698108:-1 gene:GSCOC_T00036960001 transcript:CDP01797 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQASPPLKALVVFRNRIHKELIRSIHVYQLEGGAEEVVEREYLFLENLEYEEMKFLGKVFTLQKFDVSAHFAGMANGVWDCIYVFNMDLDKQPNLEMIPEDLSITRNPKLASIPSLSNDLEMIFKLGHKIECGDPSKVLPEEGEEIHSDVGHLTQRAQHVPVLDLNSLPCSGSDNEENNQNAAGVVEKKQKRAATKDIARLALEDLAKYFDLPIIEASKNLKVGLTVLKKKCREFGIPRWPHRKIKSLDSLIQDLQGEVKRQLEEDEAAAMAVAKRQRMIESEKESIEKKPFLDIQWETKKFRQDIFKRRHRARALENQSRTLSLL >CDP02192 pep chromosome:AUK_PRJEB4211_v1:7:2027714:2028857:-1 gene:GSCOC_T00039510001 transcript:CDP02192 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLSSFFGGEGAAAAAESSEPSRVTTFHSSNRWQLHFNSSKQLNKLMVVDFAASWCGPCKLMEPLVKDMSGKYTDIDFVKIDVDELPDVAQEHKVQAMPTFLLLKQGKEVDRIVGAKKDELEKKILKHREAPKFAA >CDP16616 pep chromosome:AUK_PRJEB4211_v1:7:21426:23414:-1 gene:GSCOC_T00019066001 transcript:CDP16616 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPSSLLGPPEIYRPPPTTSPPPSSSSSAKCDPFVDLMVANFNTLPDNPPVGFTENYSATFLSSGNPCLDFFFHVVPNTPPDSLTQRLQLAWDFDPLTTLKLICNLRGVRGTGKSDKEGYYVAALWLHIHHPKTLACNVPALADFGYFKDLPEILYRLLEGADVRKRAKDERRSKTGRRGFGSCARRKRRCHPIFGTRGGGGGRARAAPFSRKPKRSGNKEGPPPREARILANRQQVEMQKQMARNQREEKKIKQAKTAVERYRRDPDYRFLYERVSDFFAERLKADLESLNFWELSKISLAAKWCPSLDSSFDKSTLLCESIARKVFPKESYPEYDGIEDAHYAYRVRDRLRKQVLVPLRKALELPEVYIGANDWGSIPYNRVASVAMKNYKEKFLKHDKERFEEYLTKVKDGKAKIAAGALLPHEVIAALNDGDGGEVAELQWRRMVEDLANKGKLKNCLAICDVSGSMSGAPMEVSVALGVLVSELSEEPWKGKLITFSANPKLQKVEGEDLRSKTDFVRHMEWGMNTDFQKVFDLILKVAVNGKLKEDQMIKRVFVFSDMEFDQASAHPWETDYQAVLRKFGAKGYGNCVPEIVFWNLRDSRATPVPRDQKGVALVSGFSKNLVTLFLEDNGGLNPEAVMEAAISGEEHERLAVLD >CDP01676 pep chromosome:AUK_PRJEB4211_v1:7:9620446:9622830:-1 gene:GSCOC_T00036806001 transcript:CDP01676 gene_biotype:protein_coding transcript_biotype:protein_coding MLACQSFSLPSSEIFACPNDGLLTKKKRRPAGTPDPDAEVVYLSPEMLMESDRYVCEICNQCFQREQNLQMHRRRHKVPWKLLKKDKQEVKKRVYVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNNKQWVCEKCSKGYAVQSDYKAHVKICGTRGHSCDCGRVFSRVEAFIEHQDTCKARMTDYMCQGIPEKPAADPFHHSTLSPDFLGDRSFHNNLSEMPRQKVLDSAFDGQNNNGTVTGFCHNLELELFSSSNSSLSGVNFSNTGSVASEQNHHTSQSNIAKEEKALAEEKRHQAKCLIEMAKQEMTRARTIREQAFYEFNAAELMKDCATRHISSNFVQITCNACRRDFIQEKPSQLRVPSHLPF >CDP02518 pep chromosome:AUK_PRJEB4211_v1:7:4529101:4533037:-1 gene:GSCOC_T00039921001 transcript:CDP02518 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYPPPPAHYQYYEAPPPPPPGAAAHPAHPAVAQPLHHQQYLPPQTQFVNYAPPLYPQSSHDEVRTLFIAGLPEDVKAREIYNLFREFPGYESSHLRTTASTQPFAFAVFVDQQSAVMALHTLNGMVFDLEKGSTLYIDLAKSNPRSKRLRTDDESAASEKRMKSSASSRGTDSGVGSIHMPGTGNSAHNTIGYSSTQSEGSFDERAANDSSKKLNSTPCPTLFVANLGPTCSEQELIQVFSRCPGFLKLKMQSTYGAPVAFVDFQDSATSSEALNHLQGTVLYSSPSGQGMRLEYAKSRMGLRSKKSR >CDP04698 pep chromosome:AUK_PRJEB4211_v1:7:15715885:15718632:1 gene:GSCOC_T00018759001 transcript:CDP04698 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKNLKLLCLESGKSSQVVWCLLFPCILKRFCSKISVHSRPESSLMVVYL >CDP16650 pep chromosome:AUK_PRJEB4211_v1:7:208810:212082:-1 gene:GSCOC_T00019109001 transcript:CDP16650 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGLKLYSIYFKFMLKQRLQNRMQNPQADDGLSSSFGVTSRPREESIAAANPSFTDGVATKDIHIDPLTSLALRIFLPDSCHDSAFKSQSIKSRTKDIRPLDYSDPRSDPNQLLLRRNSYGSANGVTTTTPTVTIRTQNHRRNSYGCSSDDVNLKSDSGVYGGYNPGNKNCRKLPVMLQFHGGGFVSGSNASVANDLFCRRIAKACDVIVLAVGYRLAPENRYPAAFEDGLKVLHWLAKQANLAECSKSLGSKRGGGPDLRKSDVHGHIADAFGASMVEPWLAAHGDPSRCVLLGVSCGGNVANYVARKAVEAGKLLDPVKVVAQVLMYPFFIGSVPTHSEIRLANSYLYDKSMCILAWKLFLPEEQFNLDHPAANPLLPDHGPPLKQMPPTLTVVAEHDWMRDRAIAYSQELRKVNVDAPVYEYKDAVHEFATLGILLGSPQAQACAEDIAIWVKKYISLRGHEFSY >CDP02027 pep chromosome:AUK_PRJEB4211_v1:7:6960327:6961722:1 gene:GSCOC_T00037237001 transcript:CDP02027 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALKVQKLIRSPAPFTSPKPSDICPKLSGLRFRQAVQGTDINQPESESLDKMAQAPNEEMAVGTDSLTSLGEAYAARCEEEGFGGLVTDKMAQPPSEETAVGTDSLTSLGEAYASRCEEEGFGGVIYGKYMTASKDEEDNNRSEAKEEEGKDRNQGREATA >CDP04622 pep chromosome:AUK_PRJEB4211_v1:7:17295813:17301586:1 gene:GSCOC_T00018635001 transcript:CDP04622 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRSRSLSRSPSYSRRGHSRSRSASPVSHRYGSRRSRRDRSRSRYSNSHSRRRSRSSSSHRRRSRSSSPRRRRSRSPTLRRRKSPSPTPRHHRRQRSKSYSLSPVNKSPSSSPASSELKNASEKTRKEEEEKKRQQQEEELKRLEEETARRLEDEIRRKVEEKLASEEVKLEVLRRIEEGQKKLFEDVEVQLQKEKEAALMEAKQKEEQARKEREELDKMLEENRRRVEEAQGMLAMELQRKDEERHRELELIHRQKEEAARRKKLEDEEEHANQMISTSKSRSRSRSIGL >CDP04761 pep chromosome:AUK_PRJEB4211_v1:7:14951372:14953714:-1 gene:GSCOC_T00018853001 transcript:CDP04761 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLFFVLSSAFYSGIAAQQSPLNISLGSSLTPTGNSSSWLSPTRIFAFGFYQQRNGYAVGIFLAGIPEKTAVWTANRDNPIFSSNVSLILSTDGRLILQLLEGQYISIANPLEPISSASMLDSGNFVLYNSEKEIIWQSFEHPTNSLLPGQRLAADHELISSASETDDSRGIFRLKMQTDGHLVQYPVGTTDVAENSYWASGTNGDGPNITLNLQDDGHLYLINSSVNIVKNLSDGGHPQNKMIYLMKIDVDGIFRLYSHSLDQMGNWSILWESSADKCDPKGLCGFNGFCTKLDSLVECKCLPGFDFVNQGNWSSGCERSLFAGSCNSTDPMANYTIEYLESTIWEDNPFFILETNTREDCAKICLEDCNCEAAFFKDGQCKKQRLPLTYGKRATDSNIALVKVGKPATKDEGVIPSNPLKCRKEEVRVYVLIIGISLVVLGVLISVIAGVYVRRNQVWAYKQISRFRNVEFLENVAPRAFTFAELEQATNEFREELGRGASGAVYKGILPDSEKVVAVKKLEKVLTDREKEFQNEITVIGKTHHRNLVRLLGYCLDGAKRLLVYEYMSNGSLADVLLKPENHPSWDERTKIARDIAGGILYLHEECETQIIHCDIKPQNILMDENRCPKISDFGLAKLLKHDQTRTHTTFRGTKGYVAPEWYRKMPVTVKADVYSFGIVLLEIICCRKSLDWSFSEDQAVLEDWAYQCFKAGELHKLVGDQEVVDMRKLERMMKIALWCVQDEPALRPSMKKVLLMLEGTVDIPDPPSPTSFSSST >CDP19911 pep chromosome:AUK_PRJEB4211_v1:7:14683944:14684795:1 gene:GSCOC_T00008832001 transcript:CDP19911 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSSTFLQFAILQFLLYKLLSCEGPVDPSKGFISLPFNTSFYHIQKPYDVPVDQRYKFVDGVHYLWVFSTDKPHTPTSHTKPRTEIGIQGYNYSSGVWQFEGDFYVPSGTSGVCIMQVFGATAPHATTLMLRVYNGSLFYYTDSVLVPSVYDKWFHLNVVHDVLAAKVKVFIDGCLKIVADGRGGTSHTFKCGVYAQNEDSYRMESRWKDIEILKIRD >CDP12843 pep chromosome:AUK_PRJEB4211_v1:7:13860918:13869782:-1 gene:GSCOC_T00037513001 transcript:CDP12843 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGRLIAGSHNRNEFVLINADDIGKIKSVQELSGQICQICGDEVEITVDGELFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGDPRVEGDEEEDNIDDLEHEFDYNYIDSLSPPHAVGAAGRHARGGEASSSGGGIAGHNSSHGLDIPLLTYGEEDAEISSDHHALVVPPFAGHAAGSHSPAFPDPSASLQRRPMVPEKDIALYGYGSVAWKDRMEDWKKRQNDKLQMVKHEGENNSRNFDGGEFDDPDLPMMDEGRQPLSRKLPVASSKISPYRLIIILRLTVLGLFFHYRILHPVHDAYGLWMTSVICEIWFALSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELADLDIFVSTVDPMKEPPLITANTVLSILAVDYPIEKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLKNKVHPAFVRERREMKREYEEFKVRINGLVATAQKVPEEGWTMQDGTPWPGNSVRDHPGMIQVFLGNDGVRDIEGNQLPRLVYVSREKRPGFDHHKKAGAMNALVRVSAVISNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGFDAPVSKKPPSKTCNCLPKWCCSCCCSSKKKKGKGKKENKKKLKQKEASKQIHALETIEEGIKKINPENASQLSQVKLEKKFGQSPVFVASTLLENGGNPKDASSASLLNEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPIWYGYGGGLKWLQRLSYINSVVYPWTSIPLLVYCMLPAICLLTGKFIVPEISNYASVVFMALFITIAVTGILEMQWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLGGIDTNFTVTSKGGDDGEFSELYIFKWTSLLIPPTTLLIVNIVGVIVGIADAINNGYDSWGPLFGRLFFAFWVILHLYPFLKGLTGKQERTPTIIIVWSILLASIITLLWVRVNPFVSRDGPVLEICGLNCDD >CDP02104 pep chromosome:AUK_PRJEB4211_v1:7:1433780:1437386:-1 gene:GSCOC_T00039389001 transcript:CDP02104 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRLLMAASAEEYAAFEERVRRTVYMDNLSPQVTENVLKAALDQFVNAINVQFIPNYINPQSLPRAALVEMETLKQASAIIAEMADSPFMVLGMPRPVRAPAAEVEMSDSRPKKPGRKITYKWLDPEDPDFEVARKIKVLTRKHASETEFLLNHQLKEEENLANQQLENLKAHYKKYELIDGVLSDNTAKKLADRYRIPLSDA >CDP02408 pep chromosome:AUK_PRJEB4211_v1:7:3596558:3597542:1 gene:GSCOC_T00039776001 transcript:CDP02408 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKTLAQRLFSIYRITNPSLASCRITSSTTAALNKALAPRSPDKIAPDPGDDGTFRRFFHRLPMGISPGLRSLPTGEGLIEKLRGMDIARDRIRLDGLRPPQPQQQEEEEQGKITVEDARKLLRLSQLETVKARLTQIEKNCISQEEFLEICGDGCWGSEQAKQFAKMLDESGTVIVLGNVVFLRPQQESETMLRSGL >CDP02724 pep chromosome:AUK_PRJEB4211_v1:7:6084771:6086050:1 gene:GSCOC_T00040198001 transcript:CDP02724 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQIGGGSCYSLPTCFSLLRGSQRNIHQTLVFLRSDRDFTSEKPLPGKYSIQCSEKQSQNVLRTCKNCKTQFDPLLNHPRACRFHTAHFGGETKRKFESVYTGGTMDTPDSGMVFQYWHCCGSEDPFDPGCTAAPHASYDD >CDP12833 pep chromosome:AUK_PRJEB4211_v1:7:13988434:13993130:-1 gene:GSCOC_T00037499001 transcript:CDP12833 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLSFVGAILNKILPLTADEISRVWGQKLAKKVEMVEALIFDAKCKKSTSKAVQLWLKRLQSVARDAEIVLDDFGYEVLQQKVENRKRDKVRNFFSSSNPNQIGLHPVQLTMTSADHKEDRSTDPFVDGSEMMGREVEESHVVRMLISSDYKKDLPVMSIVGLGGQGKTTLAQLVLKNGSVVKHFDETIWVCVSDDFKVERLLNEMLQSLGKKNAETTNREALVSRLQENLQGKSYLLVLDDVWNDNREKWDRMRKCLLAIGGVLGSKILATTRSDEVAPAMETSGLYHLEILSDDYSWMLFEKLTFADGGARKTRDLVDIGRRILKKCGGVPLAIKVIGGLLYSKKDASEWLMIEKSEIWNKSSNIADGVFSVLKLSYENLPSLSVKQCFASCSIFPKDTVMEKESLIQIWMAQGLINDAKGGGHLQMEDIGSDYFNILLRSSLLQATFGNSINKIKFCRMHDLVHDLSLQVSNNCCLNTEDGMEISHDDEVMHLIIILSQGKMLKNIKGIPPNLWTFYLVGDGMLEDITKTSRHLCVLKGDCTNVTHLPNAVGDMKHLRHLHISKTRVTALPDSITKLYNLITLKVFCVKEIPKKFSNLINLRHFQFLFGAALVQSCLFAGIGQLSNLQTLPYFVVSQAKGCQLEELEHLSNLRGDKFVQKIRLSWDDTKEHCDDNNINSVMEGLQPHPNLKSLGIRGFKGSRFPLRMVAKDHLMVLRNLAHLRLEKLGKCEQVPPLGGLVFSSREAKPINLFPKLSRFVLEDMESLEEWSDAMVPSNSSSSIKVFSNLWYLAIERLPSLAVLPGMENLTSLEELEIRRCGIVACIRNLNSLTSLESSSLEDCPALDASLDMKNPQSLHTLNISRCDKLNTSLSNNLEKFTLLERLTIFSDDPGCWAKGLQYLANLCELELGGFSDNLDYFPWPDSVTKDDGDSAKQHFFSLEKLELFGWPKITSLPDQIQHHSTLTVLEIREFEGLEVLPEWIDSLQNLREVCVGNCTNLKQLPSAEAIQHLTSLNLLYIADYPLLAERCTKGSGEKWPRLHVFPLLIPIEQSLTRFTLGKQTALDNNLSSPRPTKVFP >CDP12891 pep chromosome:AUK_PRJEB4211_v1:7:13279999:13281593:1 gene:GSCOC_T00037573001 transcript:CDP12891 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGVYVLFCTNIEKQEIPDDMFESNRFQHGQTIVVQHGLARWNLEIRNRCFEGEWMTFCSDNYIAENDMIFLRNRGSFTYDIFAFGFDQRLVYTRWTLHLPMIPSKLSTSEGNLFSPLIRLFLSEKYAFTHALFSITGFANNQSDFKLITSSINRFFAHNLMALDTFYQIFSSKFKYSMEIPRYVTSRLHESRTPSIVLTSGIKIYNIGMTQNRFHQNWNTFVIDHDLREGEILFFMPQSRTSLAVLIFGTNGLEIMYPWHHRFHI >CDP01629 pep chromosome:AUK_PRJEB4211_v1:7:10106532:10112416:-1 gene:GSCOC_T00036735001 transcript:CDP01629 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDMSLDDMIKNRRNNERGRGQGRARRGRGTGGSFRGGRTTGAPRRGPLGSFRRTKNLPWQSGLFEESLKAAGLSGLDNGTKLYVSNLDVGVTNEDIRELFSEIGELIRYAIHYDKTGRPSGSAEVLFARRSDAFQALKRYNNVQLDGKPMKIEIVGTNAEVPVSARVNVVGGSNGRRRTVVVTPGPGRTRGPTAVTRGAGQRGRGGMRGGRGRGGGRGRGRGRGRGGGSSGGGGGGGGGRGIKKALDKSADQLDKELDEYHAMQS >CDP16744 pep chromosome:AUK_PRJEB4211_v1:7:869802:872346:1 gene:GSCOC_T00019225001 transcript:CDP16744 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIGSRRLSSSSSSSSSSSSWLWRPTQAAAHPLPSSTQDNNRLAVPLPDRFTSKSIPFSSAPTFTLHPRFHLPPTARGFASESVTPKSEKNLMPEVPATVAAIKNPSPKIVYDEYNHERYTPGDPSKRAFAYFVLTGGRFVYASLIRLLILKFVLSMSATKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEEDIKLANSVDVQSLRDPQEDSERTKDPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYTFLDEKNLLIG >CDP01838 pep chromosome:AUK_PRJEB4211_v1:7:8327669:8334571:1 gene:GSCOC_T00037010001 transcript:CDP01838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta [Source:Projected from Arabidopsis thaliana (AT4G35790) UniProtKB/Swiss-Prot;Acc:Q9C5Y0] MAAEDSTKGSVYLYGDLYLHVIEARHLPNMDLTSERLRRCFSVCQPCIKPPSSDSSDPGLEHHHHDKKLIHHHPKIITSDPYVTVSVPQATLVRTHVVPNSQNPKWDERFSIPMAHPLQFLEFRVKDNDVFGAQSMGTVKIPVDRIASGELISGWYSLTDQDGKPPKPDSSLRLEMKFVPADKSPFHKHGIAGDPDQNGVRNTYFPLRKGHSLTLYQDAHVTDDAKLPRIELDDGMVYEQNTCWEDICYAIAEAHHLIYIVGWSVFHKIKLIREPTRPVPRGGDLTLGELLKYKSQEGVRVLLLVWDDKTSHHKFFIKTEGLMQTHDEETKKFFKHSSVICVLSPRYASSKLSYMKQKVVGTFFTHHQKCVLVDTQAFGNNRKITAFLGGLDLCDGRYDTPEHRIFHGLDSVFKDDFHQPTFPVGTKAPREPWHDLHCRIDGPAAYDVLLNFAQRWRRATRWREFSILKKRMARWHDDAMIKIERISWILSPALSVSRDGSDKVPEDDPKLYVCGVDHQENWNVQIFRSIDSGSVKGFPKYIYGAEAEKLNYSKKLVIDRSIQTAYIQAIRSAQHFIYIENQYFLGSSYAWPSYKDAGADHQIPMELVLKIVSKIRAGERFAVYVVVPMWPEGDPKTNSMQEILFWQNQTMQMMYQIIAEELKTMQLVDSHPQDYLNFYCLGKREEIPEIPDMISQSSGDADKVSDSMKYKRFMIYVHAKGMVVDDEYVIIGSANLNQRSMAGTKDTEIAMGAYQPHHTWAKKTQHPRGQIYGYRMSLWAEHLGLDKEEKHFEDPQMLECVKRVNEIAEDNWKRYTDENFSLLQGHLLKYPVQVDADGKVGPLPGCETFPDVGGRIVGTHFTAIPDVLTT >CDP02704 pep chromosome:AUK_PRJEB4211_v1:7:5945232:5948542:-1 gene:GSCOC_T00040171001 transcript:CDP02704 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSRSNCTNSIVPLRNSFSSKTSTLAAPDDDKYCDYYDDDEIKIKSLLYKMIWDFGLGCFIPPHRRRGSGKDEEEKDKGGNSLEHNKAWLLAESGGCRAELNSAEPQSVHSSFRFSFCSQVELESMNVMNKSSSATVLMVNLDNGVIDSKSRELKWKRIESLERSISPVAHYLIRFSYAEIVYATCNFSTGRVLGRGALSCVFRGRVGLLRTAVAIKRLDKEDKESSKAFCRELMIASSLHNPYIVPLVGFCIDPEEGLYLVYKYVSGGSLERYLHEKKRGVRGGPALPWSARYRVAVGIAEAISYLHNGTERCIVHRDIKPSNILLTSRKTPKLCDFGLATWTPAPSLPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIEAKRGPGEENLVLWAKPLAQQGPLEKLLDPRLKFTHKYGNRISQMVQAANACVNSEESRRPSIDDIVAILRGAKSNSLNRKKATSAANNFVGDCYSQLQQTKSEMKSHLALAMLGVSEFEEDDHLYCR >CDP01977 pep chromosome:AUK_PRJEB4211_v1:7:7289976:7294027:1 gene:GSCOC_T00037176001 transcript:CDP01977 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAVKSTLSNLAFGNVMVAAARDYQKELMAQEKAQASATANEEVDLDELMDDPELERLHADRIAALKKEAEKRQELKRQGHGEYREITEGDFLAEVTGTEKVICHFYHREFYRCKIMDKHLKSLAPRHLETKFVKLDAENAPFFVAKLAIKTLPCVIFFRNGIAIDRLVGFQDLGGKDDFTTKALEILLLRKEMIKENKLEEEEDDDYHENRQRTVRSSVNADSDSD >CDP16630 pep chromosome:AUK_PRJEB4211_v1:7:106301:106717:1 gene:GSCOC_T00019082001 transcript:CDP16630 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLVVLALVFVAVVGLASAQSSPSSAPSHSPSKSPAPSSAAKHAPSKAPSAATPKASSPASSSKAPSTSPSAAPEASTPEASSPPAPPSDAPSPTSATPTQSPAETPAETPKGNGAATLKASAAVGAAAVAGFFYF >CDP17956 pep chromosome:AUK_PRJEB4211_v1:7:24021651:24024167:1 gene:GSCOC_T00007209001 transcript:CDP17956 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAFSSHQSWYLTTLESISDATSEATIDFPPSSKLLYTYTNALHGFSAILSPSELRAMKDSPGFVSAIKDKSVKMDTTHSSKFLGLNSKYGAWPNSDYGKDVIIGLVDSGVWPESKSYSDDGMTEIPSRWKGQCESGTQFNSSSCNKKLIGARFFNKGLLAKFPNLTISMNSTRDTDGHGTHTSSTAAGSYVEGASFFGYASGTAIGMAPRARVAMYKALWEEGTYTSDVVAAIDQALIDGVDVLSISLGIDGVPFYQDPVAIGTFAALEKGIFVSTSAGNEGPIFGTLHNGTPWVLTVAAGTMDREFTGTVFLGNGISISGLSLYPGNSTAAKVPIVFMNKCENQTELKELQGKVVVCQDKDQTLNNQVNNVQNSKVAGAVFITNNTDLEFFLESQFPAVFLNLEDGEEVLDYIKSSSAPKAKLEFHGTQLGTKPAPRVASYSSRGPSQSCPFVMKPDIMAPGALVLAAWPPNSPVTLVDSGQLFNNFNIISGTSMACPHAAGVAALLRGVHPDWSPAAIRSAMMTTADILDNTNHPITDIGSDNEAANPLAMGTGHLNPNKALDPGLIYDAGIEDYVNLLCALNYNSSQIQTVTRSSSHNCSKPSLDLNYPSFIAYFAASGNRSTTQEFSRTVTNIGSEMSVYVAKLVPLDGFKVSVTPDTLSFRQKYEKKSYKLTIEGFSVLKNSLVFGYLSWEDTGGNHVVRSPIVATTLTVKTLSGHNY >CDP01607 pep chromosome:AUK_PRJEB4211_v1:7:10283430:10285403:1 gene:GSCOC_T00036708001 transcript:CDP01607 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPETEHPVKAFGWAARDTSGVFSPFKFSRRATGELDVQFKVLYCGVCHSDLHMSKNEWGMTQYPVVPGHEIVGVVTEVGSKVEKVKVGDKVGVGCLVGSCRSCDMCSQDLENYCSNQTLTYSATFTDGTITYGGYSDVMVADEHFIVRWPENLPLDAGAPLLCAGITTYSPLRYFGLDKPGVHVGIVGLGGLGHVAVKFAKAFGAKVTVISTSDNKKKEAMEKLGADAFLNSRDPEQMQAAAGTMNGIIDTVSAVHPVVPLINLVKPHGKVVMVGAPEKPLEFSTFPLIVGRKTLSGSCIGGLKETQEMIDFAAKHNILADVEVIPIDYVNTAMARLLKADVKYRFVIDIGSSLKSA >CDP02802 pep chromosome:AUK_PRJEB4211_v1:7:6645325:6649273:-1 gene:GSCOC_T00040302001 transcript:CDP02802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase 48 kDa subunit [Source:Projected from Arabidopsis thaliana (AT5G66680) UniProtKB/Swiss-Prot;Acc:Q944K2] MRNLKLFQLSLIFFSSIPLLPILTLAFSAENPTDRRILVLLDDVSLKSSHSIFFSSLQSRGFDLDFKLADDPKLTLQRYGQYLYDGLILFAPTTERFGGSLDLAAVLSFVDSGHDVIVAADSNASDLIRNIAAECGADFDEDPSAVVIDHTSYAVSDTEGDHTLIVSDELIQSDVILGKEKIEAPILFKGIGHSLNPENNLVLKVLSASSTAYSANPNSKLSTPPSLSGSAISLVSVLQARNNARVLISGSLELFSNRLLRAGVQRAGHSKKFDKSGNERFVVEVSKWVFHERGHLKAVNVRHHKVGESDEPAIYRINDDLEYFVEIYEWSGTQWEPYVANDVQVQFYMMSPYVLKTLSTNEKGLYHTSFKVPDVYGVFQFKIEYQRLGYTSLSLSKQIPVRPFRHNEYERFITTAFPYYGASFSTMLGFFIFSIVYLYSK >CDP01747 pep chromosome:AUK_PRJEB4211_v1:7:9062044:9068984:1 gene:GSCOC_T00036898001 transcript:CDP01747 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDELLMVALMPVLKTLLIAVVGLFLAVDHISILSATARHHLNNLVYYVFFPSLLASSLVDSISATNIISLWFTPVSILLTFIIGSALGWILVRITKTPQQLHGLVIGSCAAGNMGNLPVIIIPAICAEKNNPFGDSFSCSRNGMAYVSLSMSIGAVFVWSYVYNIIRICGTESDGNVQISSTISKNQSGEINSSDSSREELLLKGCPSSQDYHVQPTFVLKRSEDRVKVPFKQHLKSLLGKIDLRMLLTPPTVATVVGLLIGVSPLREVIVGGTAPLRVVESSVLLLGEAAIPSMTLIMGANLLRGLKRSGVNLWMILGIILIRFAALPVLGVVIIKAARDFGMVGSDPLYHFVLLLQYSVPPAMAIGTITQLFEVGETECSVLMFWNYAVAAIAMTLWATYYMWLLL >CDP01863 pep chromosome:AUK_PRJEB4211_v1:7:8143710:8148672:1 gene:GSCOC_T00037038001 transcript:CDP01863 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYYQYYQPDFQSPNPNPGPNPNVPVDSQFIPTPTIPSYAYASAPPVSSNYSPSADFSASNYSSSTYPPHSRSSDPAPSYSISPSPAASQPSNLPSYSFPHLETSYYPYDQNPGASAASAAVSYDYPNSNPSYSSHGGYGDQGMYDAGVYKYNGGNSEPYGAKGTRSRLESGGVLFDDYGRPINVPGGKEQNGSGSFPKIVKAAPKPEELHDTRGSVQKYRVRLLSEGASQTDMDVLCQIGLDGIRILDPATSRTLKIYPLEGVTRWDVLDSYIFAFWAKSSVDIEPRRIRLKSNSYTTNNILDTVAAASIQVKEMGGTTKPSDAVKDADQPAEKKKAFIDWMNLMKLGHEEKDHWVPDEAVNKCTACSSIFNAFNRKHHCRNCGDIFCDKCTQGRIALTADENAQPVRVCDRCLAEVTQRLSNTREAAARFAGAQSHEELVRKLKEEMDKNRKTSSDVASDGFGTRTREVACPTCTVHLQVQVPASGSETIECSVCQHPFLVGAH >CDP16658 pep chromosome:AUK_PRJEB4211_v1:7:261479:264768:1 gene:GSCOC_T00019117001 transcript:CDP16658 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMIYMFKYDTVHGQYKKHELKVQDSKTILFGDKPVTVFGSRNPEEIPWGEAGADFVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVIGVNEKDYKPDIDIVSNASCTTNCLAPLAKVLNDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTARLEKAATYDEIKAAMKEESETNLKGILGYTEDDVVSSDFVGDSRSSIFDAKAGIALNGNFVKVVSWYDNEWGYSNRVVDLIRHMAKSQ >CDP02181 pep chromosome:AUK_PRJEB4211_v1:7:1962436:1966405:-1 gene:GSCOC_T00039498001 transcript:CDP02181 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKSYYLHLRHPQTVERKWIYPLAIGSIVSLFLLFLTTLTSPDGTPLLPLYRYYTTASAASIFVESKLRPLPISSLPPPPRFAYLISGSAGDGDMLKRTLQALYHPNNQYVVHLDAESSPEERLGLHKFVRGHRIFSKFKNVRMITRANLVTYRGPTMVANTLHAASILLKEGGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSNIGWKEFQRAKPVIIDPGLYMTKKSDVFWITQRRSVPTAFKLFTGSAWMALSRPFIDFCIWGWDNLPRTVLMYYANFISSPEGYFHTVICNAQEFLNTTVNNDLHFISWDNPPKQHPHYLSANDMQRMVDSNAPFARKFHQDDPVLDRIDAELLLRGQGRLVPGGWCIGSRENGTDPCSVAGNTTVLRPTAGAKRLENLISFLLSNENFRPRQCK >CDP02540 pep chromosome:AUK_PRJEB4211_v1:7:4708599:4711084:1 gene:GSCOC_T00039953001 transcript:CDP02540 gene_biotype:protein_coding transcript_biotype:protein_coding MANINNNISASTTITVRKDADRIKGPWSPEEDDLLQQLVQKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDDAIIRAHAKFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSITSSDEATNDFPLHHHHQPLKRTASTGSGGHVSGGLSLNPGSPSGSDVSSSSLPNTSSSHVFKPVARAGPVLPPHQVVETTSENITDPPTSLSLSLPGVDSTEASTRSTDSTQPKVPIQLLPPPPPPSPPAPVDQQNGRKQIAFGSQVQPQDKVFVPFTGELLAVMQEMIRNEVRNYMMGMEQQQQNLPQQHQHQQQQQQMMMMHGGMGMGMCMQQASVANEGFRNAAVKRVGINKID >CDP02523 pep chromosome:AUK_PRJEB4211_v1:7:4563835:4565301:-1 gene:GSCOC_T00039927001 transcript:CDP02523 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPTAPSPSPSKARISSNASPQGQVSTASASASTPPSSLSTSTTSATTXXXXXXXPPPPPPPPPCPPPPSPPPPPPCPPPPPPPPPPPPPPPPPCPPPPPPPPPPFTLSKELKMAIQVIQRFKRRIKYDPFGITKTWTGKRICFDNSKYKGFVCDRSTKDNKFRVVGVNFNGYNFNGGPGCPLVATDFIEDLKDLVIFHVNSNNFTGDIPFGISKLPNFFEFDLSNNKLVGAFPAAALGATNLTYLDVRFNQLTGTIPPQAFTLDLDVLFLNNNAFSGKIPGNLGQTPVLYLTFANNELTGPIPRSIGQTSRNLLEVLFLNNSLSGCLPCEIGFLNKTHIVDVSINQLTGPIPQSFGCLKDIQQLNLSYNQFYGAVPESLCILGDLEVLDLKFNYFTQVGPECRKLIEKKVLNVTMNCILDLPCQRSPEECKAFFSKHWTCPDPKSLNYVPCGINVSKGPPQASDHVPKRARPPSPTYAALKEPGS >CDP01500 pep chromosome:AUK_PRJEB4211_v1:7:11403911:11406217:-1 gene:GSCOC_T00036573001 transcript:CDP01500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 17 [Source:Projected from Arabidopsis thaliana (AT2G22420) UniProtKB/Swiss-Prot;Acc:Q9SJZ2] MSLKFLLLLFFFLLLNFAMIRNAAAMTTLLIPGFYSETCPDAEFIVRDVIKKSMTREARNAASVMRLQFHDCFVNGCDASVLLDDTPNMLGEKLGLSNINSLRSFEVLDEAKEALERACPGVVSCADIVIMAARDAVVLSGGPNWDVKLGRLDSLTASQKDSDDIMPSPRANASYLIDLFNRFNLSVKDLVALSGSHSIGKGRCFSVMFRLYNQSGTGRPDPAIEPKYREKLDKLCPLGGDENATVDLDATPELFDNQYFKDLVNGRGFLNSDETLYTFPQTRGYVKLFSANQNEFFKAFVQGMIKMGDLQSGRPGEIRKNCRVVNRRPVDILLES >CDP01744 pep chromosome:AUK_PRJEB4211_v1:7:9088162:9092518:1 gene:GSCOC_T00036893001 transcript:CDP01744 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLSLVASIPVVKVLLICALGSFLALDHIDILGDSARKELNNMVFFVFNPALVSSNLAQTITSENIISLWFMPLNILLTYIVGSFLGWEINKITKSPQHLKGLVMGLCAAGNLGSLPFVLVPAICREKGSPFGAPESCHKYAMAYVSLSMAIGAIYLWSIVYNTVRVSSTMINEVINVNIANFDEETSKALQEQINSEFDSEGTSATDHANESSLPCAKTDKQGKVLILDTIKKQLYSFFRSSNLKAIIAPSTTAAIVGFIIGLVPPVQKLLIGANAPLHVIQDSALLLGEAAVPIIMLTVGGNLLKGLRGPGVQLSLVLGIIAVRSVVLPLIGVLVIKGAIHLGFVHADPLYQFVLLLHYALPPAINIGTITQLFGSGQSECAVILLWTYSVSSVTLTLWSTFFMWLVA >CDP04714 pep chromosome:AUK_PRJEB4211_v1:7:15541962:15544775:1 gene:GSCOC_T00018779001 transcript:CDP04714 gene_biotype:protein_coding transcript_biotype:protein_coding MELEHPKMQESSLRGQKMKKQSAGLESSDAHSSKYHIGSFHELQKGIPRNESTEEKLQWIQSQIIGGNVEFGTPFGRRRLTYADHTATGRCLRYIEDYIINHVLPVYGNCHSSDSYVGCRMTKMTHEVAKYVKKCLGGGEEDAIIFCGSGSTAAIKRLQEVIGVAVPSIIREKVSNCLGNEEKWVVFVGPYEHHSNILSWRQSLAEVVEIGFDDNGLIDMEALRQQLESHKSRNCPMLGSFSACSNVSGIYSDTKAIARLLHQYGAFACFDFAASGPYVKIDMRSGESDGYDAVFLSPHKFLGGPGSPGILLMSKALYHLRSSAPSTSGGGTVHFVNGFSEQASDTLYVKNVEEREEAGTPPIIQKIRATLAFWVKEYIGHNVIETMEHNYIQQALERLLPNPNIKILGNVTEKRQAVLSFLIYSTTYSPSAEGNAEDNLDRNETSGLYLWRETGNKKGKPLHGPYISKLLSDLFGIQARGGCACAGPYGHMLLEIDRAQSLALRSAAERGYIGVKPGWTRVSFSYYMSKAEFEFILAAIEFLAIYGQRFLPLYHLNWETGDWSFMKKALKEAGEGYNCDFNGTSLANLIKDFNLGCNDSKENKDKETTEAGLECKYAKYLETAKHIAVMLPKFPTQRRIPEDIDPSLLSFRV >CDP15998 pep chromosome:AUK_PRJEB4211_v1:7:28158335:28163183:1 gene:GSCOC_T00016941001 transcript:CDP15998 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAFKSTSKRGGSLNSNCTTAQNSHHSQNPTTRRQRSQSVGAVSRAKQSLNDISSEFSNKRENPLFWAANSTISTADDQEKDEKQRRVVESVTKKATGTTAAERGRSVTRNSSAGLKSNGIGRSLSRVRGRSVSRGRYESYESDKEQELVRLNNAQQDFNFKQVANNLRSGNTVKNGADRQGWIKSSPMPSNHGQATECSEDDSVCSFQFSNWEDGISTCSLSETEEKTIIAVCEQMKGDKWGGDAADASGIYETVRSEVRRAISNMQNDIESVSSLLLLFSPPVLLLIGHFEYGDSLIGVSLMLFL >CDP01663 pep chromosome:AUK_PRJEB4211_v1:7:9769238:9769904:-1 gene:GSCOC_T00036787001 transcript:CDP01663 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSYENPSPDQNFLFSEFSFWFLDNNNVPKQKLETTEAIQSLNLTRDSKRREKQYIGVRKRPWGKYAAEIRDSTRNGIRVWLGTFDSPEQAALAYDQAAFSMRGTLASLNFPMDRVQKSLEGIKHNWENGCSPAVVLKATHKIRSSASKRTRRNLKQQHVKEKVVLELEDLGADLLEELLSSSSESAASSHN >CDP01402 pep chromosome:AUK_PRJEB4211_v1:7:12554763:12556167:1 gene:GSCOC_T00036439001 transcript:CDP01402 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSAKLAFLECERPPYEIPHQNHPFCRIFLNFITNWEISPCNYPKHTVKTKSDKMMHLGTAYYSYISPNSFNQEPSEALEYGVSSPFEKGFSGTPVRAQDHILAERKRRQKLSSHIAALSAVVPDLKKLDKASVLGGAVKHIKQLDKRVKFFEKEEKKRNQLEELLASAMKKPRPNNDNSSSSAENVSNNSSCDHHSSSSVEVQVTSSGGDMLIKIECKNHEGILLGFSSLMKRIHLNITSSSFMQFGHGMLFIGAVAQVDEKFCVTAEFLANSIRQALLKLF >CDP01724 pep chromosome:AUK_PRJEB4211_v1:7:9266937:9268828:1 gene:GSCOC_T00036864001 transcript:CDP01724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable metal-nicotianamine transporter YSL7 [Source:Projected from Arabidopsis thaliana (AT1G65730) UniProtKB/Swiss-Prot;Acc:Q9SHY2] MHNTLGTKTCFLLCRFNFLVFYFDFSATYVGVGMICPYLINVSVLVGAILSWGIMWPLIENRKGDWYSATENSSSLHGIQGYRVFIAIAMILGDGLYNFVKVLGRTLIAMYHQIYKKDAGAVLPVGVNNSPVNSALSYDDERRRQLFLKDQIPLWVAITGYITISIISVIVLPHIFPQLKWYYILVIYIFAPILAFCNAYGCGLTDWSLASTYGKLAIFIVGAWAGAAHGGVIAGLAACGVMMNIVSTASDLTQDFKTGYLTLASPRSMFVSQIIGTAMGCIISPSVFWLFYKAFHDLGEQGSEYPAPYALIYRNMAILGVEGFGALPKNCLTLCYVFFAGAVVINGIRDLLGKKWARFIPLPMAMAIPFYLGSYFAIDMCVGSLILFVWEKLNKAKADAFGPAVASGLICGDGIWTLPSAILALAGVNPPICMKFLSRKVNSKVDTFLGS >CDP15706 pep chromosome:AUK_PRJEB4211_v1:7:23152132:23152826:1 gene:GSCOC_T00015712001 transcript:CDP15706 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAILPVVTVIPLENEMEADANSLPLPDVMKAPIRPDILQDVHHDISKNSRQPYVVSRSAGHQTSAESWGTSRAVSCISRVPGGGTHLPARVPMATCATAAACLLRPRSGAIGIAGPNQQETVCCGFCHRRLCSAFPCHGPRPPHRVYPANPLCDLRFC >CDP08391 pep chromosome:AUK_PRJEB4211_v1:7:26530978:26532960:-1 gene:GSCOC_T00027218001 transcript:CDP08391 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLREATGQIVTIELKSGELYRGSMAECEDNWNCQLENITFTAKDGKVSQLEHVFI >CDP01643 pep chromosome:AUK_PRJEB4211_v1:7:9999149:10003130:1 gene:GSCOC_T00036760001 transcript:CDP01643 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVSRTGRHLQRYERGCRQVVGCIPYRIKLANKATLVDEDSLEVLVITAQRKGKGVLFPKGGWETDEDIFEAAERETVEEAGVVGDVQKFLGHWNFENQNKESFMFAMQVREQLEFWPEQDKRQRIWMSVAEARKECKQKWMKDALENLVKWLTDEAPLASSSPSQERRSNL >CDP01491 pep chromosome:AUK_PRJEB4211_v1:7:11472706:11476397:1 gene:GSCOC_T00036563001 transcript:CDP01491 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASRNLLTSPISQINTTINTSKTHLRNPRLPLLSYPKPFLNPSRFHAQKPHFLKFATFIPPIQNHTWSIQVKSLDLDGTVGEESRSENPANWDVNFDAFLSILEFFCLVSSIAISGILAVNSGFLGGQRMVFRWLGEKGMVWQCVVLVAGVLVGAVIRRRQWRRICQAKYFSRPVNLVERIEKLEENFKSSATVIRALSRQLEKLGIRFRVFRKALKEPIAETAALAQKNSEATRALAIQEDILEKELGEIQKVLLAMQEQQQKQLELILAIAKSGKLWDTKREENHGNNTPAASNSVVDGVQQLGKNQIQAVAGQKESNNDNM >CDP11578 pep chromosome:AUK_PRJEB4211_v1:7:20355469:20356142:1 gene:GSCOC_T00033913001 transcript:CDP11578 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTLQSRAFLLWKDSLCLIKFNSHLVESKKCSLLLFILEIWDDASGFHFSIHTIFIIWSSF >CDP02056 pep chromosome:AUK_PRJEB4211_v1:7:1098189:1099622:1 gene:GSCOC_T00039335001 transcript:CDP02056 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVIVEALLSNEREAQILAARELGKLATKLRQKLAERGIISRLVTMLCTQDYEATEAALCALLCLAFHSERNKIRIANSGAIPVLLEIIQCPKESLVDLAAAALLVLSSCSANKLAIAASGAIPILVGSLNSQLAEERGFQNLSVQAKLDIISTFHNLSTYPPIIPSIVSSGMVKAMLQLMYGFGKQSEIIEKAMALLEKMVSSSEIALEEVAETAPLVIHFLVEAVEEGTPLCKEHAAAALLVICQSCRDRYRGMILREGAMPGLLQLSVDGTSKAREKAKALLQLLRDFSECRMREERSKNRLLEHVMQQIDKGDTTGTGLRLVEDMIARLRT >CDP02591 pep chromosome:AUK_PRJEB4211_v1:7:5129887:5132050:1 gene:GSCOC_T00040026001 transcript:CDP02591 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVAVVVVREFDAKRDSSAVVELEGRCEVGPSGEMSLFTDLMGDPICRVRNSPAYLMLVAELVVVASKEESQVRREIVGMIRGCIKTVTCGKKFSRISNGNKDRRPTNPFLPIYTKLAYVLGLRVSPSHRRMGIGMKLVCKMEEWFRENGAEYSYMATESDNKASIQLFTHKCGYSKFRTPAILVQPVFEHRVRLTRRVTVVKLTSSDAETLYRSRFSTTEFFPRDIDSILNNRLNLGTYLAVPRGAYSAESWPGMDEFLASPPESWAVLSVWNCSDVFKLEVRGASALRTGLARTTRLVDRAFPWLRIPSVPEVFRPFGLHFLYGLGGEGPLSVKFMKALCGFAHNLAKECGCGVVATEVAGREPLKLGIPHWKSLSCAEDLWCIKRLGEDYSDGSVGDWTKCPPGLSIFVDPREF >CDP08433 pep chromosome:AUK_PRJEB4211_v1:7:24435558:24437084:-1 gene:GSCOC_T00027313001 transcript:CDP08433 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDSDGWLERESRLFFDIKYFEECVSNGDWDEVEKYLSWFTKVDDNPESFSIFFEIRRQNYYEVHDKGDRKMMLDILKREHEVFNLSQADLNRGLVPLFQLHSFRCILQRLFEKLSGHGDEKSATILLMAKLKQLIEANPVIGDKLQFPTLQMSRPETLVKLSLCWQIQQCDTKGSNHKLPKNLLYEDPYCDQATDTIPCSSWSKFNYYFLVCASIG >CDP02186 pep chromosome:AUK_PRJEB4211_v1:7:1985026:1987212:-1 gene:GSCOC_T00039504001 transcript:CDP02186 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLEGDWHDVIDVDSGDDDDDFYGGGNSDTPMSSMDSDEDCGFNSDSAPDYDFISNDSDDLLHSQQSQKNYTILKEEDIRCRQEDDITKVSTVLSVSREVASLLLRRYNWSVSTVHEEWFSDEEGVRKAVGLLEKPIVQFSADEEVTCGICFDSYSFCEIRAAACGHPFCRACWQGYINNAINDGPGCVTLRCPDPSCNAAVGQNMIDDLVTREFKEKYRRFLVRSYIEDNRKIKWCPGPECECAVEFVVGSGNYDVTCNCSYGFCWNCTEDAHRPVDCETVAKWILKNNAESENTNWILAYTKPCPKCKRPIEKNHGCMHMTCRSPCGFEFCWLCLGSWREHGRGTGGYACNGFSVTKKEGAVDETERRREMARKSLERYTHYYERWAANQKSRLKALIDLHQMQTNHLVRLSEIQCQPETQLKFITDAWLQIVECRRVLKWTYAYGYYLPENEDTKRRFFEYLQGEAEVGLERLHQCAEKELETYLKAEKPSDDFNDFRTKLTGLTAVTRNYFENLVRALENGLADVDSVGTCSKTSSKGAAGSSKQKGNGKRKEGTKHDRSGKQKVRNSGS >CDP04646 pep chromosome:AUK_PRJEB4211_v1:7:16908991:16924587:1 gene:GSCOC_T00018672001 transcript:CDP04646 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHNWNITRMKTRASSLSVELKKQSKATSSRFLQVWFSSAVEKESGSKNDNVKLSPYQRASKGWLWSKGMVKYSGHTLISSLTPFCCVLKNHGRLSSSLFYGSSTKSRVYHYCKRSHLHTETIMVSLRYQSPWFSVAPMMDWTDNHFRTFARLISKHAWLYTEMIAAETIVFQKGDLNRFLAYGPEQHPIVLQIGGSNLDNLAKATQLADPYGYDEINFNCGCPSPRVAGHGCFGVRLMLDPKFVAEAMSVIAANTDVPVSVKCRIGVDDHDSYNELCDFIYKVSSQSPTRHFIIHSRKALLNGLSPAENRKIPPLKYEYYYALLRDFPDLQFTINGGIQTIDEVNAARTEGAHGVMLGRAAYSNPWQTLGHVDSLVYGAPRSDLTRRQVLEQYQIYGDSVLGKYGQKPSVRDVVKPLLGLFHSEPGNALWKRNVDSAFQHCTTIKSLLEETLVAIPDFVLDSPVGVAPSGCTEAFANSKSLLPPPHITTEKEIMYA >CDP02750 pep chromosome:AUK_PRJEB4211_v1:7:6267812:6268505:1 gene:GSCOC_T00040232001 transcript:CDP02750 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKFRHAVAAWLVMLVVAVGEVQVSRAVTCSIAELSPCLSAINGPNPPSAPCCAKLKEQRPCFCGYIQNPTYAQYVNSPKAKGIAKACQVSIPNC >CDP02137 pep chromosome:AUK_PRJEB4211_v1:7:1650704:1650784:-1 gene:GSCOC_T00039432001 transcript:CDP02137 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSLKVVDHMLKLGWEGDGLSPLIK >CDP02392 pep chromosome:AUK_PRJEB4211_v1:7:3482824:3485390:1 gene:GSCOC_T00039758001 transcript:CDP02392 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKGCKEVALAMSFPKEPLVLLNGEKADRKSVGSAFACYSYSPERRIVCVTSANSCLGAHLAKKLLARGYLVRVTIQNPGNYEDMKELLNEEEISQLESVVVAKMGDVESLCDAFRGCHGIFHTSSFIDPRGISGYTERMAFLEAEGARNVIEACGRAAYSKRCIFTSSLLATFWKGDENDIGQVKVDEGCWSDEDFCRENKLWLALGKTRAEKVAWRKARELKVNLVTLCPSLLMAPSFPNAHVETSIPYLKGGQIMFRRGVLATEDVNEMAEAHVGVYQDMDYGACGRYISYGKIITILEEAIQLETSLKMHGLLSGETAGQLVSGEADEIPPNLSNSKLTKLLDRASRRVSCHPSQSLLSS >CDP01511 pep chromosome:AUK_PRJEB4211_v1:7:11317406:11323357:1 gene:GSCOC_T00036586001 transcript:CDP01511 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESSSGANTVARTFKALVENAERKFARVRDAPTHARGPYSHYFHSVFKSYMRLWKYQQENRAELIGSGLQRWEIGEIASRIGQLYFNQYMRTSEARFLIEAYVFYEAILHRKYFDEGSKKDKGVRFKELRFYARFLMVSLILNRTEMVKLLVDKFKALVDDSKSTFPDTTNFKEWKLVLQEILRFTKADTSLINVRPLRFCSLFDSYPSSLPYVARFHAKKVLKFREALLTSYHKHEVKFAELTLDTFRMLQCLEWEPSGSFYEKHKVESQENGALADHSAASGLIDINLAADMTDPSLPPNPRKSILHRSSVTQLIAVIATICEELPPDSVMLLYLSATGNAGGGSASSSESSGSSRKSSKSNHGYHEKSSYLRDNHINGMGDSSHFFESCLWLGPSRDGGPNNLYPGDIIPFTRRPIFLIVDSDNSHAFKAGLSKLCLLLVLHGAERGETPALFLSPLRPSFKNPTDSDTMHFGSQFTLFLTAPFQAFCQLVGFTLSDDDVDVRTDADNIISTAFSEWEVILCTSTSLHLVWAQVLSDHLLRRLILRFIFCRAVLTFFCLRDMSDQYLPVCLPEFPPSLSPSSEVVLSAVFRIAKHLKVERCFNFHGTS >CDP11549 pep chromosome:AUK_PRJEB4211_v1:7:19111599:19114301:1 gene:GSCOC_T00033853001 transcript:CDP11549 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSSSNANCFDFAFYDYLQSHHISSSTSTSCFDLALYFLAKLKKVPLYGSHFKDVEKRVRLMKTCFLYVKKCRRRRNHEALLEHDREDRCNIMPENLRRSIICFRIQDVAISMIYDLQPAYLAIRMIPDRQSAYFQYIHSGFESFDIDFENILSAITRSKDKIKIFLQTDLKKSCAAIFIDYYSPGDPRLVMDLIMCLLENISWVLHAWELRETIRNRLKLLRNLIGFVTMQGLECSQLTDLLTYTVVAAGRLISVCPFDYDKQVANRLESEIYKLMHEKINLFHLQVRETFVHVLTASKKQPRPSYALALQKNEDRVVGQFVGSLRDYLMDLLGSYASFQVPVKDQILRLHEEIRCLGILLKQEEKLGDEMKDLIGAVVSDVAILTFSLSVNEIKEGLPEEIDLGVFHLHKVLKYMVAEVAHNYPLKSPYSSFNYPRPNELGCMDSFLENLKELARCDEADDSIGFQHHRIQVIQNDLVFLRSFLENIKEQRYQNGKLQAFWSHVMEAAYKAELLIDLALVGDKCEDSLDAVSRDINLLKIEAPEIHNGQTQRVNKTSLHIPSQLAATMHDEDLVGLDDEVKAITHRLTRGSKQLDVVPIVGMPGLGKTTLAHKVYNAPSVRSHFHVHGWCRVSQTCSKHSLLVQLLCSVDSRSPDEYLKEDENNLANKLRQVLPRRRYLLFLDDLWDVEAWNWLEKSLSDDAKGSRILFTSRYQDLSLHFKPNSESYHLRRLTDEESWTLLQRKLFGTEDCPPALSEVGSQIAKLCQGLPLAVVLVAGILATTAQDSWEEVAKSLSSIVLEDEYCMKALELSYSHLPDYLKPCLLYFAAFQEDEVINVRRLLRLWISERFVQQTEGKSLKEAAYDYLMTLINRSLVMAVRQRNFFHLDLVVNIFFA >CDP01496 pep chromosome:AUK_PRJEB4211_v1:7:11441676:11447401:1 gene:GSCOC_T00036569001 transcript:CDP01496 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHEVYGGEIPDEGEMEGDLDPNSGDVDMSTADDDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDPASAAASQANKEEVDSRSIFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEQEAVQEALLLNESELHGRQLKVMAKRTNVPGMKQYRGRRFNPYLGYRSRRPYVPPYFYSPYGYGKVPRFRRPMRYMPYY >CDP02018 pep chromosome:AUK_PRJEB4211_v1:7:6995597:6995860:-1 gene:GSCOC_T00037225001 transcript:CDP02018 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSCSFNSNSTWTKEQNKVFETALAIYDKDTPDRWHNVAKAVGGKTVEEVKMQYQLLVNDVALIEADKFPLPPYKSSPSRNAS >CDP12852 pep chromosome:AUK_PRJEB4211_v1:7:13771788:13773568:-1 gene:GSCOC_T00037525001 transcript:CDP12852 gene_biotype:protein_coding transcript_biotype:protein_coding MCVFEVANSGKRARGENRGGDGEKSLLESLVSPMLSGFDREQENSAIVSALARVVAGEAEDIDQELVVMNQGDGGGVVGGYYGAAASSNGDSSSPSACSSWGGGVGEKRGRPELNPDVGLSESVSAVCWAFNDRPPVGSNLGSGVESSLMRTSMAATGATYTYAATSTNSTEPYTGEPKRRYRGVRRRPWGKWAAEIRDPYKAARVWLGTFDTAEAAARAYDEAALRFRGNKAKLNFPENVTLLPSSASSASSSSSLSSSQLMVSDSPNALFSIISSSEPIVHTRQVLHHMQNPAYSANFLNSNAAQNQMSFINPSHFLPRQPTSLLDQFLLPSSSTGSTFQSTSSSFPPYTISAPAPSPQTPAYPLFLPVQRPPVLKPSSRSENTADFPMNSWSDSGHHQSSSE >CDP02131 pep chromosome:AUK_PRJEB4211_v1:7:1609388:1609732:1 gene:GSCOC_T00039423001 transcript:CDP02131 gene_biotype:protein_coding transcript_biotype:protein_coding MSREELEKLPCFDFKAKEIKGATPSPSPADCAVCLEGFKAGEKCRLLPLCCHSFHAECVDMWLLRTALCPICRGSADFNRAVEESSRYVMLLLGFAETNSIILFCFWNRGGYFT >CDP02624 pep chromosome:AUK_PRJEB4211_v1:7:5355416:5358933:1 gene:GSCOC_T00040071001 transcript:CDP02624 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIANTLKLDETDDHEGSAVVSNLNERDAEHEKQQNQNLDPSSSSPTSTPRGVKDDISELTKTLTRQFWGVASFLAPPPQSEPYKPLQDSESTTPRGKSISRSDQDQLDSQAIGITGIRNDFAEIGGKFRSGISILSNTIAVSEFTKMASDLLQLGSDNEEEEGEGNNALSSGGAVGVTNEVVSFARDIAMHPETWLDFPLPESDDDDDFDLSDAQQEHALAVEQLAPRLAALRIELCPGYMSESCFWKIYFVLLHPRLDKKDAELLSTPQASWLHDMVILFYFNVKDNTFSMLLRNQFSLNIVLLCTFIEFRRNSL >CDP02797 pep chromosome:AUK_PRJEB4211_v1:7:6605520:6606932:1 gene:GSCOC_T00040295001 transcript:CDP02797 gene_biotype:protein_coding transcript_biotype:protein_coding MPARASCHTPMRKEQELNGGMICSELPLDPTLTSKFFFESKELISTEYNVSTTEAVVQLWNDINR >CDP12818 pep chromosome:AUK_PRJEB4211_v1:7:14244334:14246169:-1 gene:GSCOC_T00037478001 transcript:CDP12818 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP02048 pep chromosome:AUK_PRJEB4211_v1:7:1062272:1063359:1 gene:GSCOC_T00039325001 transcript:CDP02048 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLTENSPCQHLLLLEWDTVHAMFPRKIPSNSNFACQGKKCQADITPPVCLLSSSDCGFQLQALENASRFQSKSSYLYRQNLVRINRTKYSSKHP >CDP02554 pep chromosome:AUK_PRJEB4211_v1:7:4804129:4806077:1 gene:GSCOC_T00039974001 transcript:CDP02554 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFARFTTSRRNFLDKPKNRRAWLFAGLLLPILLLLFFTSAPKHYHSFRRLRQRLQAPQDGQCKYGTIYVYDLPPIFNEKLLDNCHDLDPWTSLCKTLSNDGFGPKATGLDGIVPRDLTQAWYWTHMFSGEVMFHARISNYRCRTYDPDSATAFYIPFYAGLATAKYLYGNSSASERDSQCESLLKWVTGQPSWKRSKGADHFIMLGRMSWDFRRNTDEKWGSSFLLMPPMRQTLKLSIERNPWDRSEISVPYPTGFHPKSKAELESWLKFVRTRNRSKLFTYVGGRRKKIKNDFRVLLLDQCRKEADSCKAVDCSKTPCAEGTPAVLESFLDSNFCLQPRGDAYTRRSTFDCMLAGSIPVFFWKRSIHGQFEWFMGDDPERFSVFIDENKVRNGTSIKKILQGYGIEEIQMMRERVTNLIPTILYAMPGDDGKNSRDAFETAFEGVLRRLKEQKQRSQQ >CDP01446 pep chromosome:AUK_PRJEB4211_v1:7:11965355:11968600:1 gene:GSCOC_T00036498001 transcript:CDP01446 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVPASVPPADEDCGQLRKAFAGWGTNEALIIQILAHRNAAQRKLIREVYAATYGDDLLKDLDAELSSDFQRAVLLWTLDPAERDALLAYEATKRLTASNWVIMEIACTRSSQDLFKARQAYHARYKRSIEEDVAYHTAGDFRKLLVPLVTAFRYEGDDVNMTLAKSEAKLLHEKISEKAYNNDEIIRILTTRSKAQLNATLNQYNNEFGNAINKNLKDDPKDEYLKLLRATIKCLTSPERYFEKVLRLAINRVGTDEWALTRVVTTRAEVDLQHVKEEYHKRNSVPLDRAIAGDTSGDYEKMLLALTGHGDL >CDP02594 pep chromosome:AUK_PRJEB4211_v1:7:5159518:5164251:1 gene:GSCOC_T00040031001 transcript:CDP02594 gene_biotype:protein_coding transcript_biotype:protein_coding MNARRISIFLTLTLIASFTTQRILHQPLYPVTAAPPPESVPPPPSPPPPTPANPEFPNPDQPFFPEVPAGPTPDQAQPSPQAPANATAVPNPVATPAQLSKPTKKVAIAISVGIVTLGMLSALAFYLYRHRAKHPDDSQKLVGGNSQRISEESRLPPSTFLYIGTVEPSAQTSVSEANAPNGSPYRKLSSVKRSDRYRPSPDLQPLPPLTKPPPPPAINSPPPMTSSDEESHDTAFYTPQGSSVSNEEGSYTPGSRQSQRSNNTSLVTQSRAETHVSSSVPHSKRTSPKSRLLASSPDVSRHAIIPSIKQPPAPPPPPPRTNLEQPPPQSQLELSKPAIPYAPKRPKFSAPPPPPDMARLQLISSQGQDTSKAPLPPPPPPPPPPPPPLLLPTPRKSAAPAMHTPPVAPRQPKLRKSGSPSPKTTEVEKLGPEEEFNDGTNSSERHDGDDMDGLRPKLKPLHWDKVRATSDRATVWDQLKSSSFQLNEDMMESLFGCNSAASVPKEATRKSVLPPVEQENRVLDPKKSQNIAILLRALNVTREEVSEALIDGNPEGLGPELLETLVKMAPTKEEEIKLKNYDGESSRLGSAERFLKAILDVPFAFKRVEAMLYRANFDAEVNYLRKSFQTLEEASQELKNSRLFLKLLEAVLRTGNRMNVGTNRGDARAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGAGSEPTNENIAHKTNLKVKEDDFEKQGLQVVAGLGKELGNVKKAAAMESDVLSSYVSKLEIGLEKVRLVLQYEKPSMQSKFFESMKKFLEEAEGEILLIKDEEQTALSLVKEVTEYFHGNAAKEEAHPFRIFVIVRDFLSILDNVCKEVGRLQDRSIVMGTGRSFRMPATASLPVLSRYNARQDRSSDDDSSSP >CDP01897 pep chromosome:AUK_PRJEB4211_v1:7:7928554:7934905:1 gene:GSCOC_T00037076001 transcript:CDP01897 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MKIVTYNINGLRPRISQFGSLRKLLDSLDADIICFQETKISKQELRADLVRADGYESFFSCNRNFDKSRAGYSGVATFCRVKSAFSSDEVALPVAAEEGFTGLLESPRVTGPQKDECSSALEGLEEFSRDELLKVDSEGRCVITDHSHFVLFNVYGPRADCDDTERIQFKGTFFKIIQRRWECLLHQGRRVFVVGDLNIAPAAIDRCDAGPDFENNEFRRWFRSLLVENGGQFVDVFRTKHPDRREAYTCWPTNTGAEEFNFGARIDHILISGSCLHEESGQEEHNFVSCHVEDCEILIQFKRWKPGNTPRWKGGRSIKLEGSDHVPVCVSLEEIPSVPPHGAPSLSTRYCPQVYGCQQTLVSMLTRKQSAESVKNSEESSSFLEAHDCSEGSARQRSCSTTFCLGSNLAKAGPHVETRKKARQSQWSQLSLKSFFQKSSSHSETFARSANDIKLSQTDVSASFRCSNSSPVHVEVSSNPKDCLADVSASSPADNGCDDCQLSVKEKSNVALLEWQRIQQHMQNSIPLCKGHNEPCVARVVKKAGPNLGRRFYVCARAEGPASNPEANCGYFKWAASNSKYKR >CDP01707 pep chromosome:AUK_PRJEB4211_v1:7:9383120:9384468:1 gene:GSCOC_T00036845001 transcript:CDP01707 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFVLNQQSNHGIMDEEKKGLDHDGAVSSSSEVSSSEDSDLFEEVNSSASSSTPTSPTSASASSSSDQLTSGDSGPLQDMSSLLQELPFKRGLSKHYNGKSQSFTSLANVRSLEDLAKPENPYNKKLKSCKSYGVFERHHGSPGHPTARSNHHSCTSSPRLMSKKASRGSCSSLCLKRNSSFIGNRPPLPPPHSSTSTSSFTSQTPLFALGV >CDP02671 pep chromosome:AUK_PRJEB4211_v1:7:5712857:5715189:1 gene:GSCOC_T00040136001 transcript:CDP02671 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTIKDAFDRVTKKQKLSSSKSQEIIEQISQEIEQALSRIGPGDDIMTQTDPTFMLCELKAKLKEIGPHSQLEVTQKELNVALSKYPKLLEKSFNPDISKAYRNIDFDIHTVNQIIASHFYREGHFDLGDCFVHEAREPEAEAAKSSFAEMYQILEAMKLHNLEPALKWAATNHDQLNQNGSDIELKLHRLQFVEILQKKRRDEALNYAKTFLAPFAKSHMAEFQKLMGCLLWAGKLDASPYAELLSPVHWDKLSEELTRHFCNLMGQSFESPLSVTVAAGGQGLPTLLKLMNVMTGKKQEWQSMKQLPVPVDLDREFQFHSVFVCPVSRDQASEENPPMLLSCGHVLCKQSITKLSKNNSTRPFKCPYCPSEVEVGQCRQLYF >CDP16715 pep chromosome:AUK_PRJEB4211_v1:7:639890:647386:-1 gene:GSCOC_T00019188001 transcript:CDP16715 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFNPQILVEKLAKLNISQQSIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAYLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIENGDESGRTAAVRLVSIWEERKVFGSRGQILKEEFVGRQVDNVNGNLKHTGSKLQRHAAGDALDRIVSSYQLVYSSQLDEDSVINKCRSAINCIQKADKEIGGDLRSGPVDGSGVVDELKGQHATLRDCIGLLTSIESSRANLMAHLREALQEEEYKLDQVRNQLQAARVHSEQAGNKCRQLLSCDNNEQVLAEQDRQENQLSQGTHDFDSGSKEQSAPVMYSQQVSFTEKSSHLEEDPKSAAAAVAAKLTASSSSAQMLTFVLSSLASEGVIGNPVKESPSDYPSEKRPKLENERSSYVPLQNSEAFQQNIPVFSQDATSNEQPPPPSSPPPLPPLPPMQPYPVPQYMSSAGTIANVPFGYSTIQQQQVAVPGYSPTFPVNGVAPFAAAPTNTYQSYPTEGGFYGQQPSLPMAPVSRQ >CDP02804 pep chromosome:AUK_PRJEB4211_v1:7:6656623:6657536:1 gene:GSCOC_T00040305001 transcript:CDP02804 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAQSADVYAPKTIQVWRALLNWLAFFFQIFVQIIRGTSSLTQVLSYVGLRHSSLLSSSSPQFKPLVMGEGSTSEGGGGRNAPTEH >CDP02038 pep chromosome:AUK_PRJEB4211_v1:7:6865053:6866809:1 gene:GSCOC_T00037254001 transcript:CDP02038 gene_biotype:protein_coding transcript_biotype:protein_coding MQASKMLLLFSTSPPHLRHHRYNCSTARRPNGCSLPLKVQAMAEEQTESGNGIIDKAAIAGGLISTPVIAWSLYTLKTTGCGLPPGPGGSIGALEGVSYLAVVGIVVWSAYTKAKTGSGLPNGPFGLLGAVEGLSYLSLLAIIVVFGLQFAEKGSLPGPVPSDQCFG >CDP01969 pep chromosome:AUK_PRJEB4211_v1:7:7338647:7346029:-1 gene:GSCOC_T00037164001 transcript:CDP01969 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHNPTPSSSSVASVFLRKRWMMLIMVLVMFSVALIIRSGIGVDSTPCDCRHDVAVPSQKKFSSVSPPPLRPPFVTAAPSPSPLSFMKSKLVLLVSHELSLSGGPLLLMELAFLLRGVGADVQWITNQRPSGTDSVIYSLEHKMLNRGVQIVSARGQEAVNIALKADLVVLNTAVAGKWLDAVLKEKVHLVLPKTLWWIHEMRGHYFSLDYVKHLPFVAGAMIDSHVTAEYWKNRTQERLRIKMPKTYVVHLGNSKELMEVAEDTVAKRVLREHVRESLGVQNEDILFAIINSVSRGKGQDLFLNSFHESLIYIKHQKLQVPPIHAAIVGSDVNAQSKFESELRAFVESKKIQGHVHFVNKTLAVAPYLAAIDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTQEIVVNGSTGLLHPVGKQGVMPLARNMVKLATHVERRLTMGKRGYERVKERFLERHMEQRIAAVLKDVLRNVKAHQKAH >CDP01515 pep chromosome:AUK_PRJEB4211_v1:7:11213911:11217609:-1 gene:GSCOC_T00036597001 transcript:CDP01515 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSHSSVIFLSSTDKYLFVLPWYSRFQYGSLVKFGDTKLPLLVYLHGGEICGSSQIKSAFSLTYHAYLNVLVAETGVVAVSINYRLVPEHPLPIAYEYS >CDP02615 pep chromosome:AUK_PRJEB4211_v1:7:5305158:5309791:1 gene:GSCOC_T00040058001 transcript:CDP02615 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDQRDFAETKVGGGSRDNDQRVYNCSKEGKGKRLWKKVKYQLVEYHSLPGYLKDNEYILGHYRSGWPLKHILLSIFTIHNETLNVWTHLIGFFLFLSLTIYTAMKVPSVVELPTLQHLPDVLKKADLQKLQEEFLTCLPSLPHMPDLQKFRDELKTSLLSMDLLSSPSTWHIVELLTNCLPERFLHSNHTDACVLRGMKEDVANMIAPLLVRPITRWPFFAFMGGAMFCLLASSTCHLLSCHSERLSYIMLRLDYAGIAALISTSFYPPVYYSFMCYPFFCNLYLGFITILGIGTILVSLLPVFQTPEYRTMRASLFFVMGLSGAAPILHKLILFWNQPEALHTTGYELLMGAFYGIGALVYAMRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYRAGLVYLRWRDLQGC >CDP02150 pep chromosome:AUK_PRJEB4211_v1:7:1732454:1734933:1 gene:GSCOC_T00039453001 transcript:CDP02150 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSALFLLAFLLLVSTKVTSTEEDILTEHRHGAKSPAHAPVKPPLPSPKKAPVYPPKKAPAYPPKKAPTYPPKVAPIYPPKKAPAYPPKISPVHSPSKQNHGDCVKLCMEYCQKAESKRGCLRICVPCCDQYQCVPGRPEKKCVTWDKVWYHNDYVPCPTPKKY >CDP01686 pep chromosome:AUK_PRJEB4211_v1:7:9568170:9570742:1 gene:GSCOC_T00036820001 transcript:CDP01686 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRTRIQPEKPHFFKPILPGFIDGVKIPASFLNYLGGKISENQAVLRRGGVKEWRVEISDQWLREGWRAFAVENNLQVGDFVVFEHEGNMVFEVLVFDPSHCERKYASIDDEKKCSQKFKAAEIPRSKKVKLELSDDQEEPDSTDQICRPYFIFTVKPINLLYHRLNIPRDFAMENGLFGRECNIKLRDQSQRSWSLKIKSSSDCASIQCGWKSFAKAQGLKEGDEFVLELTENGEAPVFNFYGKLFCYYYVS >CDP02457 pep chromosome:AUK_PRJEB4211_v1:7:3950033:3951821:1 gene:GSCOC_T00039840001 transcript:CDP02457 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGKPNMVDFFPVLEKLDPQGIRRRMTIHFGKVIKMFGDLINERLEDGKSGGGNSDVLDVLLTTCEESPEEIDRTHIERLCLDLFVAGTDTTSNTLEWAMAECLQNPEKMNKAKAELVQVIGKGKLIEEADVSRLPYLRCIVKETLRLHPPVPFLIPRRVEQDVEVCGYNVPKNSQNALEFKPERFLESELDIRGRDFELIPFGAGRRICPGLPLAVRMVPVMLGSLLNSFDWKLEGGIAPKDLDMEEKFGITLQKALPLRAVPINL >CDP04615 pep chromosome:AUK_PRJEB4211_v1:7:17482970:17492580:1 gene:GSCOC_T00018620001 transcript:CDP04615 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLKVLSKKVQKFERRGMQNCKLNPERVPALEATLNGVFDVSRPKPHDYDVRKDLVRIFNEISREIFGKSTDIPVVEEFGSFVMDLFNAKSDLDLSFNFTQSGVQITREKKIQMLRKLAKKFYALQSGGHVYGVHPITTAKVPILKVVDRGTGVECDISIENRDGILKSQLIRIFCSIDERFRKLSFLMKTWAKAQKINSSKDGTLNSLSIILLVAFHLQTRNPPILPPFSALFKDGTDPASVAKLLSNFVNYGKSNKESVAELLVSLLMKLSSVEKLWPKGLCASVYEGSWTSKTWASKVGAISVEDFTDRSQNVSRAVAAPEVKVIYECIHQSIRHLFAFMDGQIDGFKLGELLFGQVAKQLLVSAGVANSKMKVVTPSVGAVNTNRALPPSNTQLAQAKGAQNKIHCQKREHEWPADSTLTKKMRYGDGWSRTLPGSWGGTSSANWVAGQQLPHFELEQAQKRPEHWGILPNTGWGGTQQPNAGGWNGTWQTQAPSYHAGPYSSSSSNQLFPASLRLHSVQTNPSTFRTS >CDP01559 pep chromosome:AUK_PRJEB4211_v1:7:10810370:10814056:-1 gene:GSCOC_T00036651001 transcript:CDP01559 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIQIRKIDNATARQVTFSKRRRGLFKKAEELSVLCDADVALILFSSTGKLFEYSSSSMKEILERHNLHSKNLEKMEQPSLELQLVENSNCSRLSKEVAEKSHQLRQMRGEELQGLTVDDLQQLERSLEAGLNRVIEKKGEKIMKEINHLQRKGMQLMEENERLKQQVMEVSNGSGQMAADDSENVLYEEGQSSESVTNVCSSTGGPQDYESSDTSLKLGLPYSGRSEK >CDP08395 pep chromosome:AUK_PRJEB4211_v1:7:26485595:26488215:-1 gene:GSCOC_T00027222001 transcript:CDP08395 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGTPVKLLHEATGHIMTVELKSGELYRGSMVECEDNWNCQLKNITFTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLEARIKGKGSALGIGRGRAMAMRARAQAASRGAPPGRGVVPPVRR >CDP02810 pep chromosome:AUK_PRJEB4211_v1:7:6708095:6710277:1 gene:GSCOC_T00040311001 transcript:CDP02810 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMSHQADQQMVLISQYYPGIYSQLAPAEQGEVKSRRRRKKNRGGESSSSGVRKRKLSQEQVNLLEINFGSEHKLESERKDRLASELGLDPRQVAVWFQNRRARWKSKKLEEEYSKLKSEHENTVVEKCRLETEVLKLKEQVSEAEKEIQRLLERSDGVSSNSPSSSFSMEAIEPPFLGEFGMEGFENVFYVPETNYVNGLEWVNIYNM >CDP02196 pep chromosome:AUK_PRJEB4211_v1:7:2048950:2053164:-1 gene:GSCOC_T00039515001 transcript:CDP02196 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGPGLYTEIGKKVRDLLYKDFQSDHKFSITTCSPTGVAVTSSGTKKGDLFWADVNAQLKNKNITTDFKVDTNSNLFTAVTIDEPVPGLKTIFSFRVPDQRSGKLELQYLHDYAGISTSVGLTANPIINFSGVVGTNALALGTDVSFDTKTGTLTKCNAGLSFTNSDLIASLTLNDKGDALSASYYHTVSPLTSTAVGAEVTHSFSTNENTITLGTQHALDPLTTLKARVNNYGKASALIQHEWRPKSLFTITGEVDTKSIDQSAKFGLALVLKP >CDP02803 pep chromosome:AUK_PRJEB4211_v1:7:6650393:6654286:-1 gene:GSCOC_T00040304001 transcript:CDP02803 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRTNKLRRVGFLSNSLLPRPPDTMKTRTLFGISLSLIIINMAAIMERADENLLPSVYKEVSEAFSAGPSDLGYLTFVRNFIQGLASPVAGILAISYDRPTVLAVGTLCWALSTAAVGISKYFLQVAFWRAVNGFGLAIVIPALQSFIADSYVDGARGTGFGFLNLVGTVGGIGGGAIATVMAGHDFWGIPGWRFAFMVMAMLSCLIAFLVHAFVADPRRRTTADHDTGKALSRDEVMDKGNANSVSIWVESWQAMKTVTRVPTFQYIVLQGVVGSLPWTALVFLTLWFELIGFDHNSSAALVGLFAGGCALGSFVGGIIADQMSRLYPHSGRVMCAQFSAFMGIPFSWFLLRVVPQSVSSYSTYAATLFLMGLTISWCATATNGPMFAEVVPVKHRTMIYAFDRAFEVSFSSFAAPVVGILAEKMYGYDAKSVDPVVGSGREALALSKGLFSMMAVPFGLCSLFYTPLYWNFRHDRENARIAATKEAEMI >CDP02222 pep chromosome:AUK_PRJEB4211_v1:7:2253169:2255295:-1 gene:GSCOC_T00039553001 transcript:CDP02222 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNNARMLLTLDEKDPRRIFEGEALLRRMNRYGLLEESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIRQRHIRVGRQVVNVPSFLVRVDSQKHIDFSITSPFGGGRAGRVKRKNQKAAAKKAAGGDGDEEDEE >CDP12917 pep chromosome:AUK_PRJEB4211_v1:7:13018216:13020278:1 gene:GSCOC_T00037611001 transcript:CDP12917 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLKIQPKTTPPRVYPRCNAGGFSNHRRFNLPLNRNRRFVSVSLEQAESSDSSSHLTSTVGSSSSLSPVQWTLPSRHLSILNYTACAVAISAAWLFCWTIPTMLAFKRAAESLEKLMDVTREELPDTMAAVRLSGMEISDLTMELSDIGQEITQGVKSSTRAVRVAGERLRRLTNMSPSVPVQVVAPMEAKDKVPVLARTARGIRIGIVKGRGFFQMIFTLTHFYRIVLNFFKGRAKA >CDP01425 pep chromosome:AUK_PRJEB4211_v1:7:12262026:12264371:1 gene:GSCOC_T00036469001 transcript:CDP01425 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLAVFFLLLLTLASKDLAGAQQQVVCQYSTISASDQSTIWLSPSSRFAFGFFQEGSGFKVGIWLVDNSNDTIVWTATRDDAAVSSNAILQFTSGRIMLASPGNENRFITPEQNEQPKCPSMLDSGNLVIYNEQDQVIWRSFDFPTDTILEDQFLHHDHRLYSNLSPTNHSTGRYSLVAQSDGNLVAYPAKAGDTVESAYWSSDTDMSTPPRSLYLNSSGAFLLVNDTNLSTVSNKDLSIISFNSSLQQDNVILRLNLGFDGNLVLYSHSLNTTNKSTSVVWQAIDDLCDVSSFCGVNAYCTRSNNQSHCNCLPGTEKVADFPSGCQRNSTGAVCIGGKEYGDIYDFTALKDLRFKDVPYFAKMLGKEECPQSCLEDCDCDAAFFDESQDLCQKYALPLSYSRIDNGYVKPPWTLILGLSLGSFAYSSAALALSGIFIFKFRLMKYKKLLDTGRTGLTKEFTIGVFTYNELKRATNGFKKEKELGKGSFGAVYKGTFDNRSFVAVKRLEKVVEEGEREFKAEMRVIGRARHKNLVRLLGFCAEGSKRLLVYEYMSHGSLADLLFRTKGRLDWNERVRIALDVARGICYLHQGCEAPIIHCDIKPQNILLDESWTAKISDFGLAKLLQPDQTRTFTGERGTLGYMAPEWKTKTAITLKVDIYSYGIVLLEIICRRRHIQLDKLMDDDSTDKNAFERMVLVALWCIQDEPALRPSLKNIISMLEGITDVSIPPCPMS >CDP16719 pep chromosome:AUK_PRJEB4211_v1:7:666683:671120:1 gene:GSCOC_T00019192001 transcript:CDP16719 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSLPNLQPFFLVWCVRNDIPIFIKVPETLEPTLKRGVSLDSCHAQNCNTTFSYRELASATKNFRRECLIGEGGFGSIYRGKIDSTGQVVAIKKLDPSGLQGSKEFLVEVLMLSLLHHPNLVDLVGFCAEGEQRLLVYELLPLGSLEYQLHDLPPDMQPLDWNTRMKIAAGIAEGLDYLHNQADPPVIHRDLKSSNILLGEGFHPKLSDFGLAKFGPPKDKSHVSTRVMGTQGYCAPEYYDTGKLTTKSDIYCFGIVLLEIITGRRAVDTTEEHGNQKLLEWARPLLKDRKNLRQLADKKLQGQFSDYVLRQAIDIALMCLQHNPQSRPSIQDLVSATKYLATQKFGRHAAHATRDGKRNDGRVYREEGKQELITAVQGAEDAPPELNTFTSNKDQERAQAIAEAKQWGESWRAKMQLCRQIESDDL >CDP01862 pep chromosome:AUK_PRJEB4211_v1:7:8150039:8152895:-1 gene:GSCOC_T00037037001 transcript:CDP01862 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSPKDRETKTGKQKRRPRSSSNKYLRPGALAQLRYNKASAAKSCTDLGKKRVALVDADKQKNDVILENKIINRSPTILSPVKFGFGSAMEKSPTILSPIRSGIGPLFGAIDSFKQNNLQKTPKTPRAEECKSESRIESLPMDLLVKIMCHLHHDQLRAVFHVSQKIRKAVIQARQFHFNYTTPDRTRQEMLRTMTPLPTDHWPFMSKGDGKGIWIPSPHTPKAPRHGPRPPSRLKFTEMRQIAAVLFQESAFPSRCLVPSALSQPICKSLASNRALFYEEELCQAVAQNKLR >CDP04625 pep chromosome:AUK_PRJEB4211_v1:7:17267371:17267496:-1 gene:GSCOC_T00018639001 transcript:CDP04625 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGIKKGRWTAEEDEILTEYIQSNLFRRLIAKD >CDP02507 pep chromosome:AUK_PRJEB4211_v1:7:4420424:4428817:-1 gene:GSCOC_T00039907001 transcript:CDP02507 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPLLTDLYQFTMAYAYWKAGKHDERAVFDLYFRKNPFGGEYTIFAGLEDCIRFVAHFNFTEDEIAFVRATLPPPCEDSFFDYLRGLDCSDIEIYSISEGSVVFPKVPLLRVEGPVAVVQLLETPFVNLINYASLVATNAARHRFVAGKSKLLLEFGLRRAQGPDGGISASKYCYMGGFDATSNVAAGRLFGIPLRGTHSHAFVSSFLSPDEILEKSLCSCDGSTVCEDFVSWVQTWLSKLKRSKLLAGIFGETNQSELAAFMSYALAFPNNFLALVDTYDVVRSGIPNFCAVALALNDLGYKAQGIRLDSGDLAYLSCEARKFFHSIETEFGVPDFGRTSITASNDLNEETLDDLNKQGHEVDAFGIGTHLVTCYAQPALGVVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGKEGYPLVDIMTGENEPSPKVGERILCRHPFNESKRAYVVPQKVEELLKCYWSGSSDRRREELPPIKEIRDRCIDQLDRMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >CDP01921 pep chromosome:AUK_PRJEB4211_v1:7:7702349:7704352:-1 gene:GSCOC_T00037103001 transcript:CDP01921 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CDP16634 pep chromosome:AUK_PRJEB4211_v1:7:134813:137749:1 gene:GSCOC_T00019087001 transcript:CDP16634 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSTWPSKNESWLVPQLDVGLGSSPNCTTRKLRRKRLILAASLSHIRVGLTGIGSRTCCFKCQNWDSRSKLFCNYSTRLLCDPKRGSLGASFALNLVLEEQATGNHVRKDENSASTEGVSVGSDHGDFTCVAMEEQARGVRLTFTGDDIVTFSAADPEKEQELNSEEVVGIEKDARRRIDVRALGWSLHKARSVDDVDEVLKGKGELPLQVYSSLIRAFGKEKRLDSAMALVEWLKRKSQVTNGAIRPNLFIYNSLLGAVKQAEKYDAVEQVMNDMTIEGVHPNVITYNTLMGIYIEQGQEVEALTLFEEMPKKGLSPTPASYSTALLAYQRLEDGFGAVKFFIEVREKFRNGEIEKDVNEDWDDEFSKLENFTTRICHQVMRRWLIKSENSGTDILKLLTEMDKAGLQTSRAEHERLVWACTHEEHHLVAKELYKRIRERDMEISLSVCNHIIWLMGKAKKWWAALEIYEDLLDKGPKPNNMSYELVVSHFNILLAAARKRGIWRWGVRLLNKMEEKGLKPGSREWNSVLVACSKASEASAAVQIFKRMIEQGEKPTVISYGSLLSALEKGKLYEEALQVWKHMVKVGVAPNLYAYTIMASIYTAQGKFNIVNSIIREMVAAGVEPTVVTFNAIISGCARNHMGSAAYEWFQRMKVHSISPNEVTYEMLIEALTNDAKPRLAYELYLRGQNEGLSLSSKAYDAIIRSSNLYGATIDVSSLGPRPLEKKKKVQIRRHLSDFCSLADVPRRSKPFDGIEIYSVHHRREDHS >CDP01689 pep chromosome:AUK_PRJEB4211_v1:7:9550462:9553937:1 gene:GSCOC_T00036824001 transcript:CDP01689 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNNRRQEERTGKYGTPRVNYLQELVSQFQNATDEEIKERIAANLANFAYDPYNYTFLRQLNVLELFLDCMTEPNERLVEFGIGGICNACVDPSNAAVVIQCGGIPLVIQCLSSPVRNTVNYALGALYYLCSASNKEEILKPEVVDIIKRYASAGAVSVSFSNIAQAFLDKHVSELNQAQD >CDP02374 pep chromosome:AUK_PRJEB4211_v1:7:3398160:3398628:-1 gene:GSCOC_T00039740001 transcript:CDP02374 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSQNASYQAGQAKGQMQEKGSQMMDKASNAAQSAKESMQEAGQQAKATAQGAADAVKNATGMNK >CDP01490 pep chromosome:AUK_PRJEB4211_v1:7:11476937:11484454:1 gene:GSCOC_T00036562001 transcript:CDP01490 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRELERVQRIIELMESHGVLNPNNNINPYSNRFLANFTHFLVQTCSELDMEIKCQLISEYMTKISTLFLEEALQCIGEEGNEHIGNAVPVHCDDEQNVDPSRDNFLDTAAIGLDSMLRANSTLEDFCRSYFMFHKMDVHQPQLIFKYLPILSFTESYIYQLDTLNEKLLQSPAYGVQLGEIESYVGCQTEGRRAIRSLKMLEADPFKPLLILLEHQGLLTERIREELWSGVEYWSLERKLCCHPLSGSESSVGDVMRAIHLKSFDYRVLNLLLYQLRGEKVNELHMEFLSISEFLVELSDDLSMFDYEDDVLENNFNILRMFVRIYGASRAPTMLAKRITETEEKYENMLKSLDPELAMNYQKRCEEATKEGGKISGPSLGTWNIPTIIEDEDSYRCKILNVKPTTVVQADVDKCYSS >CDP02702 pep chromosome:AUK_PRJEB4211_v1:7:5937542:5941798:1 gene:GSCOC_T00040169001 transcript:CDP02702 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSAALCFNNISCNPQTSGAFRQLSAPSFFRPFTVKASGFSSPSPVALPRFKLQGKALPIDNGAPEKDESSLVVCFGELLIDFVPTASGLSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNNEGMRFDPGARTALAFVTLRKDGEREFMFYRNPSADMLLQETELDLDLIRKAKIFHYGSISLITEPCKSAHLAAAKAAKDAGAILSYDPNLRLPLWPSAESAREGILSIWETADVIKINENEISFLTQGEDPYDDSVVRKLYHPDLKLLLVTEGSEGCRYYTKEFNGRVKGLKVEAVDTTGAGDAFVAGTLSQLATDISLLEDEARLRDALRFANACGALTVTERGAIPALPSREAVLDVLLKAVV >CDP12778 pep chromosome:AUK_PRJEB4211_v1:7:14630713:14633067:1 gene:GSCOC_T00037426001 transcript:CDP12778 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVLKFLTIVILFQAGNGDMLRPVNNITLGSRLYPQNNPYWVSPSRIFAFGFYEKGDGFAVGIWPLAVGPERIVTWTANRDDPPISSNAYLELTEEGKLLVRTTDTASGFIPIVYNLQAPATSASLLDSGNFVLSDGDNVIWNSFDYPTDTILGGQTLLSGESLISSVSVADRSSGRFKLVLQHDGKLAAYPVSGTGAPDEAYWSYNAGLEFHGLSLVLTETGLLYLDPTFDLSNDSIAQGLNVSESSETMIYRATLDADGNFRLYAHILSNSSMMTLWSALMPFDPCKVKGFCGLNSYCSANASKGNATCICFPGFIYHDPDPDKKFLGCYRNFSYERICGIREDDSLSNKYYLIPIKNMEIRGDPYVSIPMVQGDCCKSCISDCNCWAVLHASGNCSKYKPPLLYAAQDQNHSGVAFIKQSHNSFQSAEPKGKHRRRMVQILAPPFGFLSFIFTLLAVLSYSMYRRRALKYQKLLKMENFGLNKEFTLRSFSYNELEKATNGFKEEIGCTSYGKIYGGIISQGDRIVAVKRLEKVDDEGEREFIAEMAAMGRIHHKNVVQLVGFCLEGAKKLLVYDFMKTRSLAECLSDVEKRPFWNRRMKLAFDIAQGILYLHQECDTHIIHCNIRPENILVGDNWTAKIANFSSAKLLIPYQKQTLALERQGRGYSAPEWQKKASASEKVDVYSYGVVLLEIICCNSTEEINVQSADNNFSTRVYDYFMAKQLRKLTGDEEVDMDSLERMVKVGLCCIHHDPDLRPSMKNVILMLEGTIDTPAPLCPI >CDP02235 pep chromosome:AUK_PRJEB4211_v1:7:2370521:2373434:1 gene:GSCOC_T00039569001 transcript:CDP02235 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCLLSLPVSLSFACWISHCCFFISCLLFSFSAGVSQKTSSEYFPRPLSLTEFLLAQPRQSSFSDVMGIVVHAFPARDVYMEGTMRHGRDYVIVDHIKCPILLTLWKDFESIEGCVIDEAMPTMPIIIAMRVRVLTENYISLSTQPSSVILVAPDGLEARELDCWCERNISELVHMIFDNKSYTDPAILLPPVRAPTLTAISSVASFTRFDRATVWIKGRVEIADLVSFLRSGNVYFFKVHSMFGYGLDFFIHDDTGYVSVVTLGAEAEKIIGLSAFQLYQTVDDEFFGLYRQVHRHLERKNLLCYIKHSSDVIRSTAIAKFTVVTCYLC >CDP11588 pep chromosome:AUK_PRJEB4211_v1:7:20690357:20690953:1 gene:GSCOC_T00033926001 transcript:CDP11588 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTPVNIPSKMKAWVYGQYGKPEDVLKLKSEVDVPDVNDDQVLIKVVAASLNPINFKHMHGYFKAIDSPPPVNTFFLFFSRKIVVYRVGSKVKEFEVGDEAYGDIHEHICFVPKGMWVTSGVHCSGGEGTSFEAQEFEFCKGNKPSCSCRNSVWGPSKRWAFSW >CDP01851 pep chromosome:AUK_PRJEB4211_v1:7:8229978:8235352:-1 gene:GSCOC_T00037025001 transcript:CDP01851 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVDGKVLVFMGFIMLISGIHSSEASGSASGTFGFDIHHRYSNPVRSIFDFDGLPEKHSFDYYAAMAHRDRYNRGRYLAGTTTPVTFVAGNDTHRLNSLGFLYYANVSVGTPELWFLVALDTGSDLFWLPCDCTTSCVRGLVTRSGKRIDFNMYSPDTSSTGMTVPCNSTMCDARRRACSASRNACAYEVSYLSSNTSSTGLLVEDVLHLATDDSQQKVVDAPITLGCGIVQTGGFLDGAAPNGLFGLGMDTISVPSTLASKGLAANSFSMCFGNDGIGRIVFGDKGSTDQGETPFNLHQLHPAYNISITQIAVGENITDVDFTAIFDSGTSFTYVNDPAYSIITENFNSRVQQPRYPSDSQIPFEFCYNLSPNETRSDIPPLNLTMKGGDPFSITDPLELFSLSNNRYIYCLAVIKSGDVNIIGENFMTGYRVVFNRENMTLGWKPSNCYDDVQTENGSNTLPVNQRNGTQAPSPSTLVPEATSGKGRTSPVATSIPTQSPPGSNAPDSNSISCKILVFLFCLLSHYLIILSY >CDP16660 pep chromosome:AUK_PRJEB4211_v1:7:270266:272752:1 gene:GSCOC_T00019120001 transcript:CDP16660 gene_biotype:protein_coding transcript_biotype:protein_coding MSANYFRALQGVGIPKEVVGVGGCRKFSAGGGKARKGSKGGGAGDAPKESMLSKEVKSTTVVGATILKSGTDPKLLPDAEYPDWLSYLLDKRPALSELRRKDIEILPCEGLKRFVKLDNRARIKENNSITAKN >CDP02429 pep chromosome:AUK_PRJEB4211_v1:7:3759254:3761848:-1 gene:GSCOC_T00039804001 transcript:CDP02429 gene_biotype:protein_coding transcript_biotype:protein_coding MDELPGALGTSASLALRLGQAIFSIASLLFMCLDVEFYSYTAFCFLVTIMGLVIPWSLTLAMVDAFSVFVKRPSRQPGIMSIVVMGDWVLCFLSLAASCSSAGVTDLLMVSGGSFCSAKICGRYQLSAAMAFLSWFLSLASSLFNLWLLASF >CDP15717 pep chromosome:AUK_PRJEB4211_v1:7:23629236:23638426:1 gene:GSCOC_T00015725001 transcript:CDP15717 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNHQWLNVLLLQSKVLRPTQRLVGFAILHQAYYSQQPSSNPFSFVLVDAASNEEAENFERGFVLQLLGSTSSTNTAEVLKLSAADYIRSFDPSSHAFPSSEQLQQQYCSKVGPEPFGSLFRNHVINILPDPDVPHGCDPNSTEFDWQPGVTPKIGSGDRDESISGLLQNLSLEGLGPQWIRPRPPRLPVLEGELVWLNPDNTHELMWDHGMCADTSRGAAVRDLIAKALKGPLAPAQQEQVLLELANDPKLVYHCGLTPRKLPELVENNPVIAVEVLIKLANSPEIEEYFTVLVNMDMSLHSMEVVNRLTTAVELPTEFIHLYITNCISSCENTKDRYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRMREAATLFRLLKSLE >CDP01795 pep chromosome:AUK_PRJEB4211_v1:7:8705825:8709183:-1 gene:GSCOC_T00036958001 transcript:CDP01795 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYKLVQGHFGHGNDEEDRKIPEEDLEIIFLILPAFSIFYFSFFHLFRIILYAIAMADYDQDNVEDCKYILKTKDGIDRLMLCHSSVARIFFTSFLACFYCCTYMMHQNLFEINLSINLALFPNAPGGMKYPPCRQGVIPLLAFFCRAHAADKDCLGITRQRQTSLSEKKYHLLPLFLVCSVFLIQFAEGQNLPQDAKDKVLGGVCITASSLKPDIANCLLLYPPQALFPERLTSIRVLHLSSYTNVCPSGMFVVYVSTILKVKPTLLWSSVYIQEQTVGVFDGVISAFMPDGNLQHDNLVDASEKLFQSMYPDEEFFQRKPHRMRS >CDP02359 pep chromosome:AUK_PRJEB4211_v1:7:3282256:3284368:-1 gene:GSCOC_T00039721001 transcript:CDP02359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biogenesis of lysosome-related organelles complex 1 subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G49550) UniProtKB/Swiss-Prot;Acc:F4K657] MASGAENKSDQLAESLNDLFINVSTMVKGELQGTNNLLELLEKMNLRVAEEYKGFGDVASGLRVFMDQLKSKSGSFDEYVQQIDLIERQVTEFEAVISMLDKYVSLLESKVQSVYQTPPP >CDP02246 pep chromosome:AUK_PRJEB4211_v1:7:2443480:2444900:-1 gene:GSCOC_T00039586001 transcript:CDP02246 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFRKGREYQVQRRLLPQPSLHRFVDLSQAINMVNYYASSSEPAHVHGKTVYIQYSNRHEIVNNKSPGDVPGNDLLVFMIKGVEAGDVSIDFIHLV >CDP01884 pep chromosome:AUK_PRJEB4211_v1:7:8002319:8007117:-1 gene:GSCOC_T00037060001 transcript:CDP01884 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDAEEISAPLLTTEKRAEPYKDHASGSGTSSPSCEPFGDENSPVEQVALTVPVTDDRTLPVVTFRMWVLGALACIVLSFLNQFFWFRREPLSISSISAQIAVVPLGHLMASALTSRVFFKGQKWEFTLNPGPFNMKEHVLITIFANSGAANPYSIHIVSAVKVFYRQRLTFWVALVVVITTQVLGFGWAGILRRYLVEPAEMWWPQNLVQVSLFRALDEKEQRIKGRLSRNQFFLIAFICSFAYYVLPGYLFPMLTSLSWICWIFPNSVLAQQLGSGLHGLGIGAIGLDWSSISSYIGSPLASPWFATANLAVGYFLMMYVITPIAYWFNIYKAKTFPIYSDGLFTSKGQNYNISAIIDSNFHIDLTAYENEGRLYISTFFAITYGFSFACLTATVVHVFLFHGRDLWRLSKSAFQEKKLDVHTKLMRMYKQVPEWWFTCILLVNIAATIFICEYYNDQLQLPWWAVLLACGLAFFFTLPIGVITATTNQTPGLNVITEYIIGYLYPGYPVANMSFKVYGYISMKQALAFLQDFKLGHYMKIPPRDMFMAQVAGTLVSALVHLGTAWWLLETVPDICDRAMLPPGSPWTCPGDHVFYDASVIWGLIGPQRIFGDLGYYSAINWAFVLGAVAPAVVWLMHKAFPNQQWIGLISVPVVLAGIMNMPPATAVNYNSWVIIAFLSGFIAYRYYRSWWSRHNYVLSGALDAGLAFMGVLLYLALDIGHVSLDWWGSKADGCPLASCPTAEGVVVQGCPVLESWGT >CDP01930 pep chromosome:AUK_PRJEB4211_v1:7:7620371:7624128:1 gene:GSCOC_T00037116001 transcript:CDP01930 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGLTGCPLRYKLQIPACSPVHRERASLSSPVQSVEFFCKQRGSSNWATSTQRCGLRRIPLVRCTMDASSYGDAANGSSAIFPRINVRDPYKLLGISREASADEIQSARIFLIQRYGDHKPSVDAIESAHNKIIMEKFNERKNPKLNIKKKFREITQSRYVQAVTSRFRTPPTSLIIKTSITFVLLGVLTVLFPTEEGPTVQVALSLIITMYLIYDRLKSKLWAFAYGAGTFVLSWFVGTFLMVSVIPPIFKGLRSLEVTTSLISYVFLWIASTYLR >CDP16674 pep chromosome:AUK_PRJEB4211_v1:7:376217:383228:1 gene:GSCOC_T00019140001 transcript:CDP16674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MASPAPKEKDKSSTCTKKRVAVVGAGVSGLAAAYKLKLRGLNVTVFEADERAGGKLKSVSRDGLIWDEGANTMTESDAEVGFLLDNLGLRDKQQYPISQTKRYIARNATPILIPSNPLALIGSSFLSAQSKLQILFEPFLWKKNPSKFSEEQESVGGFFERHFGKEVVDYLIDPFVAGTSGGDPDSLSMRYSFPDLWSLEKRFGSVIYGAIQSKLSAKRENKGGTRSSPANKRRQRESFSFLGGMQTLTNALCKELGEKDLKLRHEVLELSCSSRSKSSLLDSWSVSYASQHKKMSEEKSFDAVIVTAPLNNLKDMKITKGGKPFLLDFIPEVTYLPVSVVISTFNKKNVRRPLEGFGVLVPSKEQQNGLKTLGTLFSSMMFPDRAPNDTYLYTTFVGGSRNKELAKATRDELKDIVTSDLRQLLGAEGQPTFMNHFCWSAAFPLYGRNYKTVIEAIDKLEKDLPGFFYAGNHKEGLAVGRAISSGCKAADLVISYLNSSLHVKESSD >CDP12861 pep chromosome:AUK_PRJEB4211_v1:7:13595467:13599616:1 gene:GSCOC_T00037537001 transcript:CDP12861 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVYDNWERLVRAILRMEDLRYTGERTPSDVSAISLLSSSFNLSSTASSPLRPISSFNFSTLLLSAAGEAFEYAEILAATGYLSKSNLIKRGRSGDLFRGSLRDNTPVVVKRIDLSSSVEKQARLITELGVLGKVSHSRLVPLLGYCMENGINDDKFLVYKYMPYKDLSSFQGLEGDDESSQSLDFVRRMKIAIGAAEGLCYLHEECNPPLVHRDIQATSILLDDRFEVRLGSLSEVYAQKRETTESRQNIISRFLRLPQSSNQGTSGTPEATWADDVYCFGKVLLQLVTGKLGISASSEAVMKVWLEQTLPYISIYDKELVTKIIDPSLFIDEDLLEEAWAVAIVARSCLNPRPSMRPLMRFVLKALENPHEVVREPTSSSHEIEEEEVLLPQQATRNPVFSNMD >CDP02085 pep chromosome:AUK_PRJEB4211_v1:7:1287027:1288241:1 gene:GSCOC_T00039368001 transcript:CDP02085 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRIGHVANKGPSVFKAIVLGMSFGVMAGGLWKMHHWNNQRRTKEHLGSSFYDMLEKDEISVVVVEE >CDP01537 pep chromosome:AUK_PRJEB4211_v1:7:11018587:11020466:-1 gene:GSCOC_T00036623001 transcript:CDP01537 gene_biotype:protein_coding transcript_biotype:protein_coding MANIEDHVVAEVKNDQIQRKPRILCLHGFRTSAAILKKLILRWPENVLDKLDLDFLDAPYPAQDKSAVEGIFDPPFFEWFQSDKSYTECYNFEECLEYLEDYMIKHGPFDGVLGFSQGGVLAAAFPGIQRDGVALTKVPKIKFVIILSGAKFGGGILIGIPKLAENAFSIPLECPSLHFIGETDFLKEEGIALLSSFVDPMVIYYPEGHTVPKLGKEGEETMLSFIDKIQELL >CDP01789 pep chromosome:AUK_PRJEB4211_v1:7:8740667:8743071:1 gene:GSCOC_T00036948001 transcript:CDP01789 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAKCFLVTGPPGVGKTTLIIRVLESLKTAYPNLKVQGFYTREIREGSDRVGFEVVTLDGRTGPLASNKISSAESLRWPTVGRYRVDVASFESLALPELQVKEDTELFIIDEVGKMELCSSFFFPAVLRVLQSNIPLLASIPIPKSGRDIPGVARLRNEPGATVFTLSKNNRDAMKEQIYSHLTDLLPKL >CDP02311 pep chromosome:AUK_PRJEB4211_v1:7:2886729:2895188:-1 gene:GSCOC_T00039668001 transcript:CDP02311 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASLSETVNLRASLLNQPTPVVSSGVHCGRISYLVLNKRNIYPVNRNRKTCYSDGLQRKLRTTPLSRTGASRGTSADVLDDSDGDASGRSEVIGADEDEILATRNALAEARARQDAIEKERDLLLEELARSEAKQQEYVATIMRDKEVAIAELEAAKALFNQKLEQSVGEKFNLESKLVLAKQDALELAVQVEKLAEIAFQQATSHILEDAKLKVSAAETAAAEAAYQIEEQILHATEGTIFSIVQQSKIAIEKALAVAESAGDQSTKAMALFTDDMNPLDDILYVQSQNIKLQNDINNLESRLIVSSNEINRLQLELEQAHQRCSAFEHQVSEAEKAFFELQESSKKAALQQEEEVKSFLEKIKKDAVEKERAASKALKVELDAIKAAIEAAKETAHFQDDAYMKRCEALERSLRASEAASKTWRQRAEIAEALLMNQRSPCEEDESAFYVVNGGRLDFLMDEDSQKWKLLTDGPRRDIPDWMARSLSKFPKFPPRKTDVSEAEISKFMSLDLPKLDEVWSIAKEKPKEGDILVEHVLEKEVIEKKRKALERALQRKTIKWQRTPEEIKLEPGTGTGREIVFQGFNWESWRKRWYLELAAKAADLSRSGITAVWLPPPTESVAPQGYMPSDLYNLNSEYGSEEELKHCIVEMHNQDLLALGDVVLNHRCAHKQSPNGIWNIFGGKLAWGPEAIVCDDPNFQGHGNPSSGDIFHAAPNIDHSQDFVRKDIREWLNWLRNDIGFDGWRLDFVRGFAGEYVKEYIEASNPAFAIGEYWDSLAYEGGNLCYNQDAHRQRIVNWINATGGISSAFDVTTKGILHSALHNQYWRLIDPQGKPTGVMGWWPSRTVTFLENHDTGSTQGHWPFPRDKLTQGYAYILTHPGTPVIFYDHFYDFGLREIISELIESRTRAGIHCRSPVKIYHANNDGYVAQIGETLVLKLGYLDWNPFKEVHLDGSWDKFVDKGSDYQLWLRR >CDP02603 pep chromosome:AUK_PRJEB4211_v1:7:5231209:5237202:1 gene:GSCOC_T00040044001 transcript:CDP02603 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDRLFLTKTEWATEWGGAKSKEIRTPFKRLPFYCCALTFTPFEDPVCTKDGNVFDIMNIVPYIRKFGKNPVTGAPLKPEDLIPLTFHKNAEGEYHCPVLNKVFTEFTHIVAVKTTGNVFCYEAIKELNLKTKNWKELLTDEPFTRDDLITIQNPNALDSRALLDFDHVKNSLKVDDEALKRMESDPTYNINVTGDIKQMLKELGTEQAKEIALHGGGGIKAKNERAAALEAILAARSRIKDESNGNGESAKKAYSIVDAASASVHGRSANSAKSASDDKTAARIALHMAGERTPVNAKLVKSRFTTGAASRSFTSTAFDPVTQNEYEYIKVEKNPKKKGYVRLQTTHGDLNIELHCDITPRTCENFISLCERGYYNGVAFHRNIRNFMIQGGDPTGTGKGGESIWGKPFKDELNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGLTTLSAMEKVPVDDDDRPLEEIKITEVEIYVNPYAESDEEDEKKSTNENNAEDEENDKVGSWYSNPGTGTSGSQGLGGGVGKYLKARNSQVESTTAVDSSQPAVSVTKKRKVGVSTGEYKDFSSW >CDP01635 pep chromosome:AUK_PRJEB4211_v1:7:10086632:10090089:1 gene:GSCOC_T00036742001 transcript:CDP01635 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFLLLLVVVSAASADEEAFIGVNIGTDLSDMPDPTQVVALLKKQQIRYVRLYNADRGMLLALANTGIKVAVSVPNEQLLAIGQSNSTAANWVSQNVVSHYPATNITTVCVGSEVFTSLPNAAPILVNALRFIQSALVASNLDRQIKVSTPLPSSIILDPFPPSQAFFNHSLNRVLVPMLSFLQSTGSYFMLNVYPYYDYMQSNGVIPLDYALFKPLPANREVVDANTLLHYTNVFDAMVDAAFFAMASLNFTNIPVLVTESGWPSKGDSHEPDATIENANTYNSNLIRHVLNKTGTPKHPGIAVSAYIYELYNEDTRPGPLSEKNWGLFDGNGVPIYILRLTGSGSVLANDTTNQTYCAAKDSADSKMLQAALDWACGPGKVDCSPLLQGQPCYEPDTVSAHATYAFDAYYQKNGKAPLACDFNGVAAITTTNPSHGSCLFLGSSDKNATFLNSTAPAMSSKSSGSPAQQCNPVSAAYFMIVVVSIASALLL >CDP01947 pep chromosome:AUK_PRJEB4211_v1:7:7492344:7494497:-1 gene:GSCOC_T00037139001 transcript:CDP01947 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTTWNNRRLLVTVTTIGVLWSTLIAAPRKTIAANSPSAFVQNAIYSNKIIIFSKSYCPYSLRAKHIFSELHEQPFVVELDLRDDGYQIQNILLDLVGRRTVPQIFVNGKHVGGCDDLQAAVRDGQLHSLLGNG >CDP12920 pep chromosome:AUK_PRJEB4211_v1:7:12984173:12991306:-1 gene:GSCOC_T00037614001 transcript:CDP12920 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g64930 [Source:Projected from Arabidopsis thaliana (AT5G64930) UniProtKB/TrEMBL;Acc:B4F7R3] MDAPPSPPLQVPPSSHNDAVDSSNPMAQIPTASSMSEAINGSEPTNRNTEGKKKKKQNHHSEASSESPSSWASSSGFRSTASLSHSSAQKRGIRLFGRRPNPKIFSGPGRARPGDDGALALPLGMSIAAIVAQVLKRKDTTDEHKFVDHLSQICAAAVRESLVNVYGDNFDCFVENFEKSFRSTLMTLHVINKSTKAKGTDKGKAEICSSEVMTVSSANRAGNCGCDLGVQECLSDPLLAASSHEQPNIHEEIAESTTIDPRNNSLTVHDQQITRNMAFITPSRSSSGYNSQSVLSTFEKSIIEQVRSNDLKEFEMGLAVKKLQLKERELALSSHANFLERSKLAFGFSKASFKVEKFKTQLGDVRHAELLRKCIDCLVVGLFVMLGCAGYGVFVYSHKRISEATASCSHLEESKSWWMPKSMASFNSGMQILKCQFQVVTRMLAGGFMVLAIAYLLLQRSATSNQAMPVTFIVLLLAVACGFAGKLCIDTLGGSGNHWLAYWEVLCSLHLLSNLWTSMLFAILHGPINVSEGTKNNPRFPYWLRRCLFYGTVSLFLPLLCGFLPFASLDEWKDHFSSLLEDSED >CDP16685 pep chromosome:AUK_PRJEB4211_v1:7:453408:457520:-1 gene:GSCOC_T00019151001 transcript:CDP16685 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNQFAAAAVTNDVDGQAQNQERDPESNSLHQPLLKRNRTLSSNPLAVVGAKVSYIESLDYEINENDLFKHDWRSRAQVQVLQYVFLKWTLAFLVGLLTGVIATLINLAVENIAGYKLLKVIDYINNKRYLMGFLFFAGTNFLLTLLAAVLCVFFAPTAAGPGIPEIKAYLNGVDTPNMYGATTLIVKIIGSIGAVAAGLDLGKEGPLVHIGSCIASLLGQGGPDNYRIKWRWLRYFNNDRDRRDLITCGSSSGVCAAFRAPVGGVLFALEEVATWWRSALLWRSFFSTAVVVVVLRAFMEYCNSRYCGLFGKGGLIMFDVSEVSVSYHIVDLIPIAVIGIIGGLLGSLYNHVLHKVLRLYSLINEKGKLHKLLLSLGVSIFTSVCIYGLPFLASCRPCDSSIQETSCPTNGGTGNFKQFNCPNGQYNDLATLLLTTNDDAVRNIFSTGTSSEFHIFTLTIFFVLYCILGLITFGIAVPSGLFLPIILMGAAYGRMLGVAMGSYTKIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPMTMLVLLIAKTVGDCFNPSIYEIILELKGLPFLDANPEPWMRNITVGELADVKPPVVTLHGIEKVGYIVEVLRNTTHNGFPVVDEGVMAPVGTQTGATELVGLVLRAHLLLVLKRKWFLQERRRTEEWEVREKFTWVDLAERWGKIDDVTVTKDEMEMYVDLHPLTNTTPYTVLESMSAAKAMVLFRQVGLRHMIIIPKYQASGVSPVVGILTRQDLRAHNILSAFPHLAKSKGKKGH >CDP16675 pep chromosome:AUK_PRJEB4211_v1:7:387960:393351:1 gene:GSCOC_T00019141001 transcript:CDP16675 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPSTLHLVFLSWALLASTTHQLQSYERQILLQLRKHLEYPIELDAWQNYYGDLCTLSSTTHMSITCQDNSVTELQIMGDKLAKVSEFNGFALPNQTLSQSFSVDSFVTTLTRLTNLRVVSLVSLGMWGPLPDKIHRLSSLELLDVSSNFLFGTIPAQLSRMVRLHTLTLDGNFFNDTVPDWFDSLTKLTVLSLKNNRLKGQFPSSLTRITSLTDIILSHNALAGKLPDMSALTGLHLLDLRENHFDSQLPSLPKGLTTILLSNNSLSGEIPQELGELRQLQHLDLSNNFLTGTPPSELFSLQNISYLNVASNVLSGSLPQRISCGDALGFVDISNNRFIGSLPSCLDSNSGKRIVKFGWNCLSIDTKSQHSKSFCEKAVTVTQSKRFSGKAIVLLAGVIGGILIIVVLLVVGLLIFRRRQHARGAPPGLHTVPKVVQDNPPSAISSELLANARIISQAVKLGAQGTAVYRLFSMEELEEATGRFDQSTVLGAGSIGKIYKGRLQNGNYVAIRSLALHKKFLIRNLKLRLDLLSKLRHPHLVGLLGHCIDGEVQDDSTVNRVLLVYEFVPNGNFHTHLSETSPEKVLNWSDRLAVLIGIAKAVHFLHTGVIPPSTCNRLKTRNILLDEHRVAKLSDYGMSIVTEDVEKSEARGDGSKLWHMSKLEDDVYNFGFILLESLVGPIGRGKGEAFLLKEMTSFGSQDGRRKIVDPIVLTTSSQESLSIVISLTNKCISPDSSRPSFEDVLWNLQYAAQVQATADVDQKSDAASLS >CDP02378 pep chromosome:AUK_PRJEB4211_v1:7:3404115:3406419:-1 gene:GSCOC_T00039744001 transcript:CDP02378 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVRVSSESLFVKTVCAIVVKGHWDDILKPKIGSFVSSSTINQALISLSSYGFSLSWSFYKWVETIPDYNHSLQSCWTMICVLTKQKHFKTAHAMLEKIALKDFLSSPTVLNALVSGCDSPDVNSHVLSWLVIFYANSKKTQDAVQVFEHMRLCGFKPHLHACTVLLNSLVKDRLCDTVWKLYRKIIKAGVVPNLHIYNVLIHACCKSGDVEKAEELLREMEFKAVFPDLITYNTLISLYCKKGMHHEALCVQDRMKSGGVCPDIFTYNSLIYSYCRESRMREAHRLFKEIKGVTPNQVTYTTLINGYCRVNDLDQALQLRDAMEAKGLYPGVVTYNSILRKLCEDSRIKDANRLLNEMNGKKVEPDNITCNTLINAYCKIGDMRSALMVRSKMLGAGLKPDSFTFKALIHGYCKVKDVNGAKDILFEMLAAGLSPSYCMFSWLVDFYCNQDDEKAIIKLPDELSERGLCVDISVYRALIRRLCKREKVDCAEKVFITMNEKGISGDSVVYTSLAYAYLKEGNMVAFSSLSHEMYRRRLMVTLKIYKSFSASFANDGSCLNTFWENLVHRGLISKTTQIHIQELKINEG >CDP02088 pep chromosome:AUK_PRJEB4211_v1:7:1319266:1324689:1 gene:GSCOC_T00039371001 transcript:CDP02088 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAISSSSLSSLCGKTHHTLPLTSATDPKLFNQQLLLNNRLSLPATLPAVSLRCFASTSTPTATMDKIKVQNPIVEMDGDEMARVIWKMIKDKLIFPYLELDIKYFDLGILNRDATDDRVTVESAEATLKYNVAVKCATITPDETRVKEFGLKSMWKSPNGTIRNILNGTVFREPIICQNIPRIVPGWKKPICIGRHAFGDQYRATDTLITGPGRLKMVFEPESGEAPVELDVYEFKGPGVALAMYNIDQSIRAFAESSMSLAFSKKWPLYLSTKNTILKKYDGRFKDIFEEVYQEKWKHKFEEHSIWYEHRLIDDMVAYAIKSDGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLYQKGQDTSTNSIASIFAWTRGLEHRAKLDENKKLLDFVHNLEAACIGTVESGKMTKDLAILIHGPKVSREFYLDTGEFIGAVATHLQKKLQAPASV >CDP02714 pep chromosome:AUK_PRJEB4211_v1:7:6020732:6021214:-1 gene:GSCOC_T00040184001 transcript:CDP02714 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNITGAGGRKKAKVMKISGETFKLKTPVLAFDVVKDYPGHVLLESEAVKRHGIRAKPLEPQEELKPKKIYFLVELPKLPEERAPRRARSAVHMNAKDRLECLVLSRRSGSDVSVQRQNPAGPVQVKMRLPRTQVEKLVGESRDETELAERIVDLCMKN >CDP01434 pep chromosome:AUK_PRJEB4211_v1:7:12195659:12199291:-1 gene:GSCOC_T00036480001 transcript:CDP01434 gene_biotype:protein_coding transcript_biotype:protein_coding MAENDSFSDGRGKDRVVLGDVTNQLGKRRFHSISGGGICENVDKKENKRLVTKFVNASDKDRGKRACISPRPCSQISSLKGNVIMGVSRISPEVKDPKLLDNEVGGSVIHATAQAGDNLRGSCEDGFLRPNCASESDCVEVICDSEEGRVGSGGSQDDSDHNTQVSGNDGDDHDVDNLVMSQTGSVDCARLPESQESRTFELERCSGLKTDGCSNLTADIDLIKACSCSFCTKAGYIWLDLHYQDMKGRITALKKSQKDASILVERSCRNKGAGKHDQGSSSSASNLEHDLMTQWRSLFSHMEGIFEREAKEHEISLASIKDLRDKCKTELEVINGKAPDSQ >CDP02270 pep chromosome:AUK_PRJEB4211_v1:7:2622982:2625450:-1 gene:GSCOC_T00039620001 transcript:CDP02270 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGLRSRGIGWPMAAAVLTAAVLLVMLPQVSSIRYIVGSNMGGWAPNVNFTIWAQGKHFYNGDWLYFVYDRNQMNVLEVNKTDFDSCNSDHPLQNWTTGAGRDVVPLNITKTYYFISGKGFCYGGVKVAIHVENLPPPPASLPQKSDSPCLLLSTCRGQVFIPTLFAAAALWDAFILRL >CDP02120 pep chromosome:AUK_PRJEB4211_v1:7:1545169:1549720:-1 gene:GSCOC_T00039409001 transcript:CDP02120 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLATTYAAVNSLITLGGPKSLSSINRVKLRAFLLRMKDASGGFRMHDGGEVDVRACYTAISVASVLNILDDELTQNVGDYILSCQTYEGGIAGEPGSEAHGGYTFCGLAAMILINEVHRLDLPCLIDWVVFRQGVEGGFQGRTNKLVDGCYSFWQGGVAVIVQKLHSVICEQLGLSKVLDSECDSESYHDYETSDISDVEECTVETSCPLGGTCHHRKEDIGVDFISSKRAIAPIFSSMHLQQYLLLCSQEGAGFRDKPGKPRDHYHTCYCLSGLSVCQYCSSADASSPPLARDILGPYPNLLEQLHPLYNLVLDRYYEAHEFFSKF >CDP15696 pep chromosome:AUK_PRJEB4211_v1:7:22783373:22788234:-1 gene:GSCOC_T00015686001 transcript:CDP15696 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKFQAWDTAGKPIRCKAAMARKAGEPLVMEEVEVAPPKAWEVRIKILCTSLCHSDVTFWKTPAGPASFFPRIFGHEAAGVVESVGENVEEVKGGDLVLPIFQRNCGECRDCKSEKGNACSKFPVQFYEGMPRDGTSRFTDMNGEIVHHFFGVSSFAEYTVVDISQVVKMSLEIPIDKACLLSCGVTTGVGAACKFARVEEGSVVAIFGLGAVGLAVAEGARLCKASRIIGVDLNPEKFEIGKKFGITDFVNPTSCGEKSVSQVIQEMTDGGADYCFECIGLASLMADAFNSSRQGGGKTVVLGVEMHGSPLSINAYEILRGRTVMGCLFGGLKPKSDISSFAKMYLDHELNLDGFITHEVSFKDINQAFDLLLQGKSLRCIIWMDNIKRLYRASRIIGVDLNPEKFEICWLSYISSQDMNLLN >CDP01668 pep chromosome:AUK_PRJEB4211_v1:7:9681340:9686657:-1 gene:GSCOC_T00036798001 transcript:CDP01668 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCRDYVSSADCVACFNAAVAQIRNCSAANGARVIYDGCFLRYESNNFYDQNTLPGNVQICSNRTASQATIFGAEAQALLKDLQLATPRINGYFAASKRQVSPDVSVYGVAQCAQTISESGCEDCLTVAYGNIQGCLPNADGRAIDAGCFLRYSQTSFFPDNQTTNITPFLRGGKSSKKKAIIGGVVGGAGLLMVALALYLWYRLHRKPKAAQKGNILGATELQGPVTYNFNVLKTATNNFSEESKLGEGGFGEVYKGALKNGTIVAVKKLNITSGRAKANFESEAKLISNVHHRNLIRLLGCASKGPELLLVHEYMANGSLDRYLYGDKKGYLNWKQRFDIIFGTARGLAYLHDQYHVSIIHRDIKPSNILLDDDFQPKICDFGLARLMPEDRSHLSTKFAGTLGYTAPEYAIHGHLSEKVDTYSFGVVVLEIISGRRSSDMTIEPVSEYLLEQAWKLYENDMHLKLVDATLDPNDYRTEDMKKILEIALICTQSQPSDRPTMSEVVVMLSSEGSSAQQRPIRTAVIGSERRFRNISESTETTSSNSNATASFTDFTGR >CDP02446 pep chromosome:AUK_PRJEB4211_v1:7:3890884:3893721:-1 gene:GSCOC_T00039825001 transcript:CDP02446 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAALILDKKSRRKEGSSHSTEEIKRNPSILRKLQEHKLREALEEASEDGSLVKSQDMDSESMANQDEGLGRSRSLARLHAQKEFLRATALAAERIFEAEDTIPDLEETYSKFVTMYPKYQSSGRIDELRSDEYSHLSGSIPKVCLDYCGFGLFSFLQTVHYWESSTFSLSEITANLSNHALYGGAEKGTVEYDIKARIMDYLNIPEHEYGLVFTVSRGSAFKLLAESYPFHTNKRLLTMFDHESQSVNWMAQSAREKGAKVHSAWFKWPTLKLCSTDLRKQISNKKRRKKDSAVGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMGSLQNQSGHAGSGIVKITPIFPLYLSDSIDGFPGLIEDDEVGENGETKTETRPGSQLPAFSGAFTSAQVRDVFETEMEHDNSSDRDGASTIFEETESISIGEVMKSPVFSEDESSDNSLWIDLGQSPLGSDNAGQLNKQKVASPAPPSWFAGRKNNKRLSPKLAKTLNSPMYDQEATPGHTEDHMLSFDAAVRSVSQEFDHVQENSGEEQSNERNVNLRESRKAPGNRHIQEIEEEPETLEAVRMLNSAVKGSNLRNSDSLSQSRILENGSAAALCAEPKESAIRRETEGEFRLLERREGNRYAGGRFFGIEEIEQPGSRGRRVSFSMEDAHKSRLSHTMEAGELSATSLDDEEYVSDGEYGDGQDSDRREPEIICRHLDHINMLGLNKTTLRLRFLINWLVTSLLQLRIPGPNGEDNLPLVHIYGPKIKYERGAAVAFNVKDRNRGLISPEVVQKLAESHGISLGVGILSHIRILDSPKQQRGAFNLEDTTLCKPMENGRHDVRSGFIRVEVVTASLGFLTNFDDVYKLWAFVAKFLNPAFIKEGGLPTVMEDAEAQG >CDP01639 pep chromosome:AUK_PRJEB4211_v1:7:10025909:10029351:1 gene:GSCOC_T00036754001 transcript:CDP01639 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFTCIRVITAAILLILLPATTFSDLSSDRAALLALRSALGGRSALWNVSEPNICLWAGVTCSSNHSTVIELHLPAVGLSGSVPPNTVSNLTNLQTLSMRFNSLSGPLPSDLSRLSDLRNLYLQHNFFSGPIPGSLSSLANLVRLNLADNNFSGPIPTSFENLTRLATLYLEKNNLSGPIPDLNLPGLVQFNVSNNQLTGPIPKGIAEKNPKSAFEGNPLCGQPLNDLCDNGVGHSTKKKGKSLSGGAIAGIVIGCVVGLLLIVLILLFLCRKRREKGGVRSKVEGGTKQSDVEMAREKPGEGVEKDGVGGGFAAMSAKMKEKEKGEGNIAGGKSLVFFGKVGRGFDLEDLLKASAEVLGKGTFGTAYKAALETGITVAVKRLRDVSVSEKEFREKIEVIGKMNHVNLVPLLAYYYSRDEKLLVYDYLPMGSLSALLHGNKGAGRTPLNWETRAAIALGAARGITYLHSQGPSISHGNIKSSNILLTTTYEARVSDFGLAQLVSPNPTPNRVAGYRAPEVTDPRKISQKADVYSFGVLLLELLTGKAPTHSLMNEEGVDLPRWVQSVVREEWTAEVFDLELLRYQSVEEDMVQLLQLAVDCTAQYPDKRPSMVEVSSRIEEIHRSSLQDPAADIIDETEEKQA >CDP02026 pep chromosome:AUK_PRJEB4211_v1:7:6964986:6966766:1 gene:GSCOC_T00037236001 transcript:CDP02026 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHVMSFLYLLQSLQAAGLEAVATSVGENRRLKPGVPGSKSVMLSGKFWASKVRSYQLIGDSRLYQDVEKGKKLWFRIVLSICFHPDLCHGRCACMAGHYD >CDP02410 pep chromosome:AUK_PRJEB4211_v1:7:3619879:3622101:-1 gene:GSCOC_T00039779001 transcript:CDP02410 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIQNVRYYLSEHPSIVKFRWSNTELWGSTWSFLFTSIAAYVVFSVFLHLVLNILIFRRNHHRPLPLGPLPALHSLSMALISATIFSGILLSTAAEIRDTRWFWRRSKTTTFQWLLCFPLGTRPSGRVFFWSYIYYLSRFLHSFRTCFTILRRRKLSVFQIFNHSILIFVSFLWLEFSQSFQVLAILLTTSIYAVVYGYRFWTAIGLPSACFPFVVNCQMVLLGCNLACHIGVLLLHLIKGGCNGMNAWTFNSVLNGAILLLSLNFYVKTHLRTRGKKQAVGVECENLHSSMTGKEADNNLDAVKDKDL >CDP02152 pep chromosome:AUK_PRJEB4211_v1:7:1747151:1755878:1 gene:GSCOC_T00039455001 transcript:CDP02152 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDGDGILGGGKRSESSLKVRQKWLKRKEKWLVVLGVVLHAVYMLSIFDIYFKTPIVHGMKPVTPRFTSPAKRLVLLVADGLRADKFFEPDSDGNYRAPFLRSVIKERGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTISYGSPDIVPIFCGALPHSTWNTYPHEYEDFATDASFLDEWSFDQLASLLNRSNEDPKLKQLLLQDHLVIFLHLLGCDSNGHAHRPYSSIYLNNVKVVDHIAERVYNLIQSYFKDDLTAYIFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGVRHPAHNFSGDQLEGAVQFVDEHKHNMPTPREWGLEGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLGYIDFNKVDKVEAVLANTKQILNQFLRKSQLKQSNSLNFKPFEPLKNYNLALERIEHLISIRDYEAARKLSEHLRRLALEGLHYFQTYDWFRLMAIITFGYIGWMIYVLLHVLQSYTSLSEKLVEKKQMVHLRKNTGKVYFIACLFSCLVCSLLLLEHSPPLYHAYAAMTIFLWTQIFSEYEFLLFLWRDLQRRESRYFIKLIATCIVSILILEMLVKSFTDRKLYTWCFWTVGVAAPIYLTRSIPWKSRVPIIVLLACWFLSIFTLMPAEIPENTWLVVTSGVVTMMIGGVARHLDLHSEDDKYWLSLRTNDTSRPKFPMLFHLQITLVGLSSVMVVLSTSHRTKKHELLAVHQLINWSIAVCSMVLPLFSATGVLSRLTSIFLGFAPAFLLLSIGYEALFYCALALALMAWMLFENVHRYLSKASLFSASVKAMQNSILKHDERCLQLSDMRIALTFMVFFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLLIPFMLVICAFSSLTKVIRVPLLGCYFLVILCSDVMTIHFFFLVRNTGSWMEIGNSISHFGIMSAQVVFVLLLFALTNVYTKDIQTSSARHLSRKAM >CDP01519 pep chromosome:AUK_PRJEB4211_v1:7:11190409:11196301:-1 gene:GSCOC_T00036601001 transcript:CDP01519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monofunctional riboflavin biosynthesis protein RIBA 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G22450) UniProtKB/Swiss-Prot;Acc:Q6NLQ7] MASFQLYCSSMAISRLQHQDGLYCLKPVTGSKHTTDFISFSVAQSLHWNCKTNGTRSALLSEGSDFTLHNGSITDASQGDLVNECTQPDTVAFGTLAEEITPNTIGYFTTDDEFDLDRPTEGFSSIPEAIEDIHQGRMVIVVDDGDRENEGDLIMAASMVTPQAMAFIVKHGTGIVCVSMKGEDLKRLELPLMVSQNENDEKLSTAFTVSVDAKHGTTTGVSAQDRATTVLALASIVSKPKDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLDPCAVLCEIVDDDGSMARLPKLRQFAQEENLKIISIADLIRFRRKRDKLVERAAVASIPTIWGPFNAYCFKSLLDGIEHIAMVKGEIRDGQNILVRVHSECLTGDIFGSARCDCGNQLARAMEQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYGGLKGYGLSIAGRVPLLTPITRENSRYLETKRAKLGHVFGTDSNSHGNGSTSETA >CDP01571 pep chromosome:AUK_PRJEB4211_v1:7:10719591:10725675:-1 gene:GSCOC_T00036664001 transcript:CDP01571 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSSSETGEKVDKQKGLKLGSVLPNVEPFMPRKNFYDPRELRSWAKKTGFVSTFSGETDRGSVSGRNVENEREKLDLEKVIEKKESLSPKIEIDPILGRTRNRGVEIEQDLRVGNGRNRNERDGEIRDENQRNRAGLEPKNEERRDGLNGAGNGKANVAVAGNGNGNGNGVQPAAQVTELKKEDGEGDGEMGFLGNPDGEDPSYGGWHRSPKMKCGLRENPGFVPLIYYGLQHFLSLAGSLIFIPLIIVPIMGGSDKDTATVISTMLLVSGITTILNSFFGTRLPLVQGSSFVYLAPALAIMNSREYRNLTEHRFRHIMREIQGAIIVGSICQSILGFSGLMSYLLRLINPVVVAPTVAAVGLAFFSYGFPQAGSCVEISLPQIVLVLIFTLYLRGISIFGHKVFRIYAVPLSAIIIWAYAFFLTAGGAYNFKGCDPDIPSSNILIDACRKHAYTMKHCRTDVSDAMRTAAWVRIPYPLQWGIPIFHMRTSIIMVFVSLVASVDSVGTYHSASVVINLKPPTPGIVSRAIGLEGFCSLLAGLWGSGTGSTTLTENLHTISVTKVANRRAVVFGALFMIFFSFIGKVGAILASIPLALAAAILCFTWALIVALGLSTLQYTQTPSFRNIMIVGASLFLGLSIPAYVQQYQPESSLILPSYLIPYSAASDGPVHTGSADFDFAFNALLSLNMVVTLVVAFVLDNTVPGSRQERGVYLWSRTDDIMTDPSSLSDYTPPSKVARFFRWAKCLGCSLHRWEP >CDP01755 pep chromosome:AUK_PRJEB4211_v1:7:8985645:8989357:1 gene:GSCOC_T00036911001 transcript:CDP01755 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 55 [Source:Projected from Arabidopsis thaliana (AT2G34260) UniProtKB/Swiss-Prot;Acc:O80775] MEIDLGKLPFDLDFHPSHQLVAAGLISGDLLLYRYAADSTPQRQLKVGAHTESCRAVRFINEGRAIVTGSPDCSILATDVETGSAIARLENSHGAAVNRIVNLTESTVASGDDDGCVKVWDTRQRSCCNSFEAHEEYISDMTFASDSMKLLATSGDGTLSVCNLRSNKIQTQSEFSEDELLSVVIMKNGRKVICGTQTGTLLLYSWGFFKDCSDRFVDLSPNSVDALLKLDEDRVITGSENGLISLVGILPNRIIQPIAEHSEYPIERLAFSYDRKFLGSISHDQILKVLAFLKAYTEIE >CDP02113 pep chromosome:AUK_PRJEB4211_v1:7:1495816:1500864:-1 gene:GSCOC_T00039401001 transcript:CDP02113 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWWSDTKEPPPPLVLVPPLFDFPPLAARTRMLESSYNLLFGKLPLRCLFEDYFEESKHFSTRIMLKPIDDPHVDLIATVMGPLDQKPEERIVGNAQFRWQSDVDDPHTFMDLFVSNSDPTLLIRSCAYYPRMGFGAFGIFPVLLKKRVSSEDHGVMGLRYGSSNLSVGATVMPFSLGDEFPRSAWLVSKMGRLMAGVQYEPQFGSKDAARYKNLANWSCAIGYGLGSGSPLSPSFNFGLELAKNSQFIASFYQHVVVQRRVKNPLEEDEVVGITNYIDFGFELQTRVDDEKTSNSIHDSTFQVAASWQANKNFLLKGKVGPLSSSLALAFKSWWKPSFTFSISATRDRSKRETAFGFGIRVDSLREASYQRADPNFVMLTPNKEHLAEGIHWKIGKRPVLQSDVNSGNFDGIPRELRPLGKIL >CDP04741 pep chromosome:AUK_PRJEB4211_v1:7:15256491:15258181:1 gene:GSCOC_T00018822001 transcript:CDP04741 gene_biotype:protein_coding transcript_biotype:protein_coding MCICFLAVLKVLHGLGWSILSCLGLCHDRKVIHKDANELLTLIFKEIETLSMKDLEEMDIMKILYDAIEQGIIEFVDKIFKYRTGIIYKRDVKGRTIFSHAIVLRQDKIYSLLNALGTRKSILARRHDFFGNNLLHLAAKLSPLSRLDKISGAALQMQREIRWFKEVESIVQPRMKEERNAYNKTPSELFTEEHKVLAKEGERWMKNTAGSSMIVGTLIAAVMFTTAFTVPGGNDNKTGLPVMLETQQKAFLIFMASNALSMFTSSTSILMFLGILTARYAEGDFLKSLPTKLIFGITCLFVSIVTMMASFGTALYLMLIKQVAWISYPIIVFSVVPIALYSLLQFPLLVEMISRTYGHGIFEKPKKKLYSFETDTTTGI >CDP01852 pep chromosome:AUK_PRJEB4211_v1:7:8225480:8229624:1 gene:GSCOC_T00037026001 transcript:CDP01852 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKSKNKKNMTKPDGDATANHRNDGKIITDARFASLHTDPRFREPPKHKAKVAIDSRFNRMFTDKDFATSKARTDKRGKQKKNDSAANSLRHYYRLEEEEEGAKERSLGKELVKNEESGESESEGVESDEESESENVEKGSLKLDNESETSDSEEEEEEEEAESDDSTSTTSDSDGAHEEEEDTFGLEETVPEIDKETHRLAVVNMDWSQVKAVDLYVLLSSFLPGGGQIMSVAVYPSEFGLKRMEEEAIHGPVGLFEDGKKNNQNDEDDDEIDNEKLRTYELSRLRYYYAVVECDSIATADYLYRTCDGVEFERSSTKLDLRFVPDTMEFKHQPRDVAKHAPADYEGLDFQTRALQQSKIHLTWDDDEPQRAKTLKRKFNADQLAELEFQEFLASDESDSDESENNDGMQNGSFVKQKKQDMYRALLQSGDGSDENDEKYQDMEVTFNTGLEDLSKRILEKKDRKSENVWDAILRGRREKKKARKNRSKDSAEDESIDTDNEPAEETDDFFLEECSGKESKVSQGRNARKEQQNEDASREAEASRAELELLLADDKGADSGLKGYNLKRKKMKGKMGKEIPGEGKLPTIDYDDPRFSTLFTSPFFALDPTDPQFKRSAAYARQMANRQQKSESENNRVKEPSETLGQGLASSKTQNDDNGGLHRCQPPAMKEKLELSSLVRSIKMKSKQIPLHGKVLEKNRHLKIKASKSK >CDP02180 pep chromosome:AUK_PRJEB4211_v1:7:1916142:1920140:-1 gene:GSCOC_T00039494001 transcript:CDP02180 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSFGHLATATPPFLSPLRLNINTPCFHLGCSDFPFTASIRRNSCLITLSYSNKSKSRLQIEKEREFLEQYGLNPDEFLAHSSPQRKRRKGQAKTGENARQEITEEPKRPRETHKLLQVLAGKARRKKLLSPKGMDVRPMMEVVKAAAFAILQAAGGSPASLRPGRWLDLYSGTGSVGIEAISRGCSEAHFVEMDPWVVSDVLRPNLQWTGFLDVSTIHPVRVEDFLERAEQFAGKRAPFDYISVTPPYMAVDYGVLMNQISSSSIIGEDTFIVVEYPLKTDMLDSCGCLTKIADRRFGRTHLAIYGPNWAQKRRKSASLLMGLS >CDP02503 pep chromosome:AUK_PRJEB4211_v1:7:4395942:4397401:1 gene:GSCOC_T00039902001 transcript:CDP02503 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRGDILGHGSFGTVSLAKPGSSRSSCHNIPELMAVKSCGVSSSASLLNEKEVLDGFKDCPEIIQCYGDGHSFENGEKLYNVFLEYASGGTLADKLNNSCDHRLPEDEVRRYTRGVLRGLRRVHENGFVHCDIKLQNILLGQDGAVKIGDFGLAKKDAAEKVGCELRGTPLYMSPEMVAGGDQSSPADIWALGCLVAEMFTGVPAWRCSDVAALLMRIGVGDEVPEIPGKLSEEGKDFVGKCFVKDPKERWTAEMLLDHPFVCDHDDRGTVTFKEVPSTSPRSAFDFPDWVSQQSSLTFSITSLSSPESQSWVDGPELNTFDGSWSNSPAKRLQGLVNDHQLECFGSDDWITVR >CDP15709 pep chromosome:AUK_PRJEB4211_v1:7:23504043:23504513:-1 gene:GSCOC_T00015717001 transcript:CDP15709 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYSWGSATLACLYSRICHISHASTTTTGGPYLFNYYREHIALLCMDEFVWMPYSDERLAALPRYCRRGKCIWLAKVPLVF >CDP02009 pep chromosome:AUK_PRJEB4211_v1:7:7077524:7083189:1 gene:GSCOC_T00037214001 transcript:CDP02009 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 5 [Source:Projected from Arabidopsis thaliana (AT4G36550) UniProtKB/Swiss-Prot;Acc:O23225] MGSDVFEVTEALPSLNDIKVHRLMCAELIKLINSVSKILPETEEARPGCSTGIGALCSLNKAIGKAKLLLQHCSESSKLYLALTGDTILTRCQKSTNLLEQSLSQLQNMVPVMLAAEISKIISDLRVVAFSLDPSEEEAGKVLWELLHQYRSPTDSTEETAFKAIQGATVRLHINSQKDLLIETRSIRKLLDKIGQNELPKRKILLFLQHLLKKYGKLIVKEQKETKLMQHEESIPLSSSSGQSVEVESRLLDRPDEAQSNTRSTPGIPEEFKCPLSLRLMYDPVVIASGLTFERMWIQRWFDEGHDVCPKTQKKLSQLSLTSNTVRKDLISKWCAKAGVTIPDPSMPAVYQPLETSSTSIASLSSSVNDLALPIDFSNSSIRATYAGQGSVTSHARIANGVRFQCIGSAHEIDLEILYEMDSLPWEYRCKVVKDIQSFWKHEDRSCSSISCENLIPPLLKFLKDADDAHDVEALRSGCLLLSAFVKKCRSSTIPCLEEDTYALLASFLDTEVAEETIGVLEVLSCHQPCDYEIAASGALHHILRILDKQISGLQEPALKILCNLSAKSRIRSFIVPADFIPKLVPYFDDSALAGYSVAILKNLCDSEDARAFLAETDGCIASLTKLLESDSQEDQEYTVGVLLSLCSQRIQYCDLVMEEGVIPGLVAISVNGNNKGKAMSVELLRLLHDGVSESEDCHDVIRDTSPHSKERKSSSKAIKTQLLTDSWI >CDP16633 pep chromosome:AUK_PRJEB4211_v1:7:125968:127211:-1 gene:GSCOC_T00019086001 transcript:CDP16633 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSKKFSRSNSNNNKPENGGGNSPINGGGGGGEIKWEVRPGGMLVQKREQCGDSSSNSTDSGEGLITLRVSTVSKFYDISVQSTSTFGELKLILSLVTNLEPNEQRLLFKGKEREDCEYLHMVGVRDKDKVLLLEDPALKERKKQLLGGFAGVGSGGEVIGSPYRTISV >CDP12856 pep chromosome:AUK_PRJEB4211_v1:7:13717980:13718972:1 gene:GSCOC_T00037530001 transcript:CDP12856 gene_biotype:protein_coding transcript_biotype:protein_coding MSETFIYYHSVCFLCCGMFKMYTFCWRSYLIDGTLHGFI >CDP02289 pep chromosome:AUK_PRJEB4211_v1:7:2736195:2738614:-1 gene:GSCOC_T00039644001 transcript:CDP02289 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 1 [Source:Projected from Arabidopsis thaliana (AT1G62940) UniProtKB/Swiss-Prot;Acc:Q9LQ12] MGSTGIQSLHPEEHVFRSRYPPVQIPSDLTLPDFVLQNAELYSEKVAFVDAATGKGYTYAEVARDIRRFAKALRSIGLRKGCVVVVVLPNVIEYAIIALGIMSAGGVFSGANPASHASEIKKQVESAEAKLIITDASTYKKVNDLGLSVIVVGEEERIEGTMKWDELLEAADRASSGSNNETVQQSDLCALPFSSGTTGLSKGVMLTHRNIVANLCSSLFSVGPEMIGQVTILGLIPFYHIYGLTGICCATIRNKGKVVVMPKYELRAFMDALITHEVTFAPIVPPIILGLVKNPIVDEFDLTKLKLRSIMTAAAPLAPEILNEFEKKFPGIVVQEASIAYGMTEHSCITISHGDPSKGHGIAKRNSVGFILPNLEVKFVDPETGRSLPKNTPGEICVRSQCVMKGYYKNEHETALTIDKDGWLHTGDVGYIDDDGDVFVVDRIKELIKYKGFQVAPAELEGVLLTHPSVEDAAVVGLPDKEAGEIPSAWVVISPGAKENEEDIIKHVAANVAHYKKVRRLQFVDAIPKSPSGKIMRRLIKEKMAEI >CDP01541 pep chromosome:AUK_PRJEB4211_v1:7:10980691:10989581:-1 gene:GSCOC_T00036627001 transcript:CDP01541 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G22480) UniProtKB/Swiss-Prot;Acc:Q8VYN6] MDSLSPVIGQRLTLPARASATPRLCDRSFLFRSIIIGGASRKFPRIRVKAVTNKLGSYSSSIDFSDPDWKSKYQKDFEARFNIPHLTDVFPNAVSYPSTFCLKMRTPVTEEFAGGYPSDEAWHGYINNNDRVLLKVIHFSSPTSAGAECIDPGCTWVEQWVHRAGPREKIYFKPEDVKAAIVTCGGLCPGLNDVIRQVVITLEIYGVKKIVGIPFGYRGFSDQDLAEMPLSRKVVQNVHLSGGSLLGVSRGGPTVSEIVDRMEDRGINMLFVLGGNGTHAGANAIHDECRRRKMKVAVVGVPKTIDNDIMLMDKTFGFDTAVEEAQRAINSAYIEAHSAYRGIGVVKLMGRSSGFIAMQASLASGQIDICLIPEVPFNLHGPHGVLTHLKYLLATKGSAVVCVAEGAGQNHLEKTNAKDASGNIVLGDIGVHIQQEIKKYFKEMGAPADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVISQPRTVDPNSRMWHRCLTSTGQPDFL >CDP15710 pep chromosome:AUK_PRJEB4211_v1:7:23522884:23526335:-1 gene:GSCOC_T00015718001 transcript:CDP15710 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNSNLTEMVNKLPKAVKQPKLGLLKPWIKRDSTFWEGIKDGDPEKAFDLLFKLAEFPGMGEQLTRLVNPDMIDKRLQCFTPPPEFIRNFIRSCLPSTATDTSHQARLVLCFLDKLIKKEIIKGSIYPRLR >CDP01664 pep chromosome:AUK_PRJEB4211_v1:7:9725990:9727022:-1 gene:GSCOC_T00036793001 transcript:CDP01664 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMQSFNLFNLDMGSASSEFFPFESSFWWDENVFLDDSTTSKTEHESGESKATESIKTGSCKGVSHEEEVTSSLKKKSNKKEKSYIGVRKRPWGKYAAEIRDSTRHGLRVWLGTFDSPEEAAFAYDQAAFSLRGPMASLNFPADRVQESLEGIKHNWENGCSPAAVLKETHKIRSLSKRRRNQKKEINPKKIVLELEDLGADLLEELLSSSSESTTTT >CDP04765 pep chromosome:AUK_PRJEB4211_v1:7:14904125:14904415:-1 gene:GSCOC_T00018860001 transcript:CDP04765 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFSSTFTLEDHTPLGALDREFVNEERNLASFRTFIPADQEPEICVVCQCEYEDEETIGTLECRHEYHADCIKRWLMKKNVCPPCTREGIQQKS >CDP02399 pep chromosome:AUK_PRJEB4211_v1:7:3533662:3540109:-1 gene:GSCOC_T00039765001 transcript:CDP02399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CROWDED NUCLEI 1 [Source:Projected from Arabidopsis thaliana (AT1G67230) UniProtKB/Swiss-Prot;Acc:F4HRT5] MFTPQRKPWSGWSLNPRREQNGSAIASGSAPGNSSPRNGETTVGKDKGLLFIESTPDSLAAEKYAELDKEAVCDKLSKLENELLEYQYNMGLLLIEKKEWTCKYEELKRALADLDDAYKREKSAHFIAITEVEKREENLRNALGIEKQCVLDLEKALREMRSEYAEIKFTADSKLAEAESLVASIEQKSLEVEAKLHATDAKLAEVNRKSSEIERKSQELVAQEIALRRERSSFAAERDMHESSLSKQREDLREWEQKLQEGEERLAELRRLLNQREKRANEYDNLWKQKQKELEDVQKKVDVANLSLKEKEEDMSRRQASLSSMEKEADTTRNSLELKEKQLIELEEKLNMREKVEIQKLLDEHKTTLDTKEKEFELEMEQRRKSLYLDMENKAAEVLKKEAELKHVEEKIRKREQALEKKSEKVRERENELELKLKALKEREKSLKVEEKDTETERKQTLAEKESLLVLKIELEKTRSDIENQQLKIREEMEQLKVTEDERSEHARLQLELKQEIDKCRLQSELLLKEAEDLKQERLRFEKDWEELDVKHTEVKKELADFAEQKNYFEKMRWAEEERLKNEKLETENYVRRELEALEVARHSFAATMEHERTILAEKTESQRSQMLDDFEIRKRELESDMQKKQEEMENQLHEMKNFFEQDRERELNNINNLKNAIHQEMEELKVKRHALENEKQEIFANKKQLEVQHGEMRKDIDELVVLSKKLKDQREQLVKERERFVAFVDKQKSCESCAELVREFVTSDLQSLDGINNLEAPVLPKIAENYLRGAAHGNSETENIEISPSAVELGSPPSGGTISWLRKCTSSIFRFSPGKKIEFTAARGLTDGASLPGSLVNVESRKTLPSSENEPKISFGVAEDSLDIQRIQSDNSTREFEAGPDPSVNDHKSQHSNPKVQKRRHGKRGRPKINREVSGKVSVADRRRVIDEDAFVESDGQHVNGNIFVNEESRGESGAAVNGRKRNLTQTSQATPSEHDGEYSGYSGSVTGEGHRKRRRRVAPPVQTLGEKRYNLRRPRSAAAAAANGVLSDPSKEKDREIGGHSSHVEQITGSKATHSNNVEVAGISVEEIRDSDAAGSASEGAKGDGGEIKSIPTAHEFSADSPVMLKDATVAQDGVSDTVEVEFDTRDEVDGTPERAREDRYVENKGQPLEDEEDDEVDEFDHPGEVSVAKKVWNFLTT >CDP08387 pep chromosome:AUK_PRJEB4211_v1:7:26610572:26612703:-1 gene:GSCOC_T00027213001 transcript:CDP08387 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEATGHIVTVELKSGELYRGSMVECEDNWNCQLENITFTAKDGKVLQLEHVFEEAKSGTKLFMVIPDMLKNAPMFKRLEARIRGKGSTLGVGRGSAVAMRARAQAAGRGAPPGRGVVPPVRR >CDP16746 pep chromosome:AUK_PRJEB4211_v1:7:881012:882725:1 gene:GSCOC_T00019228001 transcript:CDP16746 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQRRRRLNSSTIVGCSFREHREQYRAKKKKLGLPQDDTNGRSNISLEWDDKNKSVVAKREQIGILQRDLVPFIDAVPHSYSSLADILSVPPEIFELENLVNVLSYEVWQTRISETERKVLTQFLPKGAEPEKTVQELLSGDNFHFGNPFLKWQVLTCINAFDNP >CDP01888 pep chromosome:AUK_PRJEB4211_v1:7:7985052:7987771:1 gene:GSCOC_T00037064001 transcript:CDP01888 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSTVILSLFVLCICKSKGVSGATITFVNKCEQTVWPGILGNSGLGSTGFELQRAASRSFQAPTGWSGRFWGRTGCTFDGSGQGSCATGDCGSGKIECDGAGASTPATLAEFTLGSGSQDFYDVSLVDGYNLPMLVEGSGGSGSCASTGCTEDLNSKCPNELKIEGGGACRSACDAFGTPEYCCKGQYASPSTCSPSVYSQIFKSACPKSYSYAFDDSTSTFTCTGADYTVTFCPTSPSSQKASKPEGSAADGSGSGSASGSGSGSGPVQESMLADGSWLANLATGDSIRRHHPFGAAQLWVLVLTVMSSIASWGAIIEFYL >CDP15712 pep chromosome:AUK_PRJEB4211_v1:7:23527891:23529861:-1 gene:GSCOC_T00015720001 transcript:CDP15712 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVQPSHIFDSCAGIANIDLSPTERLVGFAILHQAYSSQQTSLHPRISLLVEAASNEEAENFERGFILQ >CDP01638 pep chromosome:AUK_PRJEB4211_v1:7:10033517:10037521:-1 gene:GSCOC_T00036752001 transcript:CDP01638 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDSFLNWLRSLFFKQEMELSLIGLQNVGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSVPIARSELHDLLKKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLDSITDREVCCYMISCKDSINIDVVIDWLIKHSKTVS >CDP02029 pep chromosome:AUK_PRJEB4211_v1:7:6936124:6942087:1 gene:GSCOC_T00037240001 transcript:CDP02029 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCVSRGLGISPKRSIAGDSFRPLINRLFSAQANVAGSDYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMGSDGSCQGVIALNMEDGTLHRFRAASTILATGGYGRTYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIKGNDPDAVIPGLLAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIQRPGEKQKPLEKTAGEKTIAWLDKLRNSNGSIPTSKIRLNMQRVMQNNAAVFRTQETLEEGCQLIDKAWDSFHDVKLKDRNLIWNSDLIETVELENLLINACITMHSAEARKESRGAHAREDFTKRDDENWMKHTLGYWENEKVRLDYRPVHMNTLDDEIESFPPKARVY >CDP02599 pep chromosome:AUK_PRJEB4211_v1:7:5195845:5199894:1 gene:GSCOC_T00040037001 transcript:CDP02599 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGPGLFSDIGKKAKDLLTKDYISDQKLSVSTYSYSGVALTSTAVKKGGLSTGDVAAQYKYKNTLFDVKVDTESNVSTTLTVTDIVPSTKTIASLKYPDYSSGKVEIHYFHQHATLTTAVGLKQSPAVDLSVTLGTPTFALGAEAGYETASGTLTKYTAGISVTKPESSASIILGDKGDTIKASYVHHLDQLKRSTAVAEITRRFSTNENTFTVGGSYAVDALTIVKMRLNNHGSLGTLLQHEVIPKSVLTISSEFDTKALDKTPRFGVALALKP >CDP02645 pep chromosome:AUK_PRJEB4211_v1:7:5503142:5504958:1 gene:GSCOC_T00040099001 transcript:CDP02645 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDAKLKAYIEQYGTGGNWIALPQKIGLRRCGKSCRLRWLNYLRPNIKHGGFSEEEDSIICSLYMNIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKQRKDQRTRAASSLRQEMNRKKENFMTTEAIHVLHSHQLQQPLAFPAVIPQQQAHDVEDQAVIIAGKLSADDQQANGNSFNNHNSLYSCDISFGEGQACENPLSMASCSQQCSPSSYYPIHGVIANSNIPCYSSFLFHELNGMEYINNFHQSDTLQSFSGTDTVNRSTITGTSPESTSWGDTNSLVYSPIVSCYEICQQPIQQSCTLEKSSYFRMQQETVLQ >CDP01760 pep chromosome:AUK_PRJEB4211_v1:7:8937882:8940201:-1 gene:GSCOC_T00036916001 transcript:CDP01760 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLQFENSCEVGVFSKLTNAYCLVAIGGSENFYSTFEAELADVIPVVKTAIGGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDSVVVQRIDERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGLTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDSYV >CDP02586 pep chromosome:AUK_PRJEB4211_v1:7:5087823:5088213:1 gene:GSCOC_T00040021001 transcript:CDP02586 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHGSRIVIPVDVKKKPWEQKLPPHNRWLPAIPPVAEVKTGELFRVERVDCSGGGSGITKE >CDP02238 pep chromosome:AUK_PRJEB4211_v1:7:2380123:2385817:1 gene:GSCOC_T00039572001 transcript:CDP02238 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTASFPFVIHPALDNSVIPYSGNHRRPKLPLLAFRPRNLSSCCGSTMTRKLTLQRFNATGSGSGEVQSGEESDDALQATIEKSKRVLAMQKELLNQIAERKKLVSSIKDSIIDQENDSTLSTVNVASANGTEEDEVLTTDEVNAPGKNLLRRETSSSASSLEQSKDTSKEIFQSYKDASAHVNKQSRSATSAVGTSSSKASFDVDSENQLASISTETIPSDLPSFLSETSAKLPLEDKQHEDFEKSSAPEVNVDKEDSGGEKLKAPPLAGINVMNIILVALECAPWSKTGGLGDVAGALPKALARRGHRVMVVAPRYGDYAGAQDTGVRKRYKVDGQDMEVTYFQAYIDSVDFVFIDSPSFRHIGNDIYGGKRVDVLKRMVLFCKAAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAHYRDHGIMKYARCVLVIHNIAHQGRGPVDDFSYVDLPPHYLDLFRLYDPVGGEHLNIFAAGIKTADRVVTVSHGYAWELKTSEGGWGLDGIINENDWKLAGVVNGIDTKDWNPELDVHLQSDGYTNYSLDTLKTGKPQCKEALQKELGLPVRDNVPLIGFIGRLDHQKGVDLIAEAIPWMMGQDVQLVMLGTGRPDLEQMLRQFEGQYSNKIRGWVGFSVKTAHRITAGADILLMPSRFEPCGLNQLYAMCYGTVPVVHAVGGLRDTVQSFNPYNESGLGWTFQRAEANQLINALGNCFLTYREYKNSWEGIQRRGMLQNLSWDNAAEKYEDILVAAKYQW >CDP04594 pep chromosome:AUK_PRJEB4211_v1:7:18063158:18078976:1 gene:GSCOC_T00018583001 transcript:CDP04594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase E-1 [Source:Projected from Arabidopsis thaliana (AT5G63610) UniProtKB/Swiss-Prot;Acc:Q84TI6] MGDGNTNQSSSRAGNSNSMSGNNNTSGNGNRPEWLQQYDLNGKIGEGTYGLVFLARIKSNRSKSIAIKKFKQSKDGDGVSPTAIREIMLLREINHENVVRLVNVHINHADMSLYLAFDYAEHDLYEIIRHHRDKVNQSINQYTIKSLLWQLLNGLNYLHSNWIVHRDLKPSNILVMGEGEEHGVVKIADFGLARIYQSPLKALSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGQEVKGTPNPFQLDQLDKIFKVLGHPTQEKWPTLVNLPHWQADVQQIQGRKYDNAGLYSVVHLSPKNPAYDLLSKMLEYDPRKRITAAQALEHEYFRMEPLPGRNALVPPLPGEKVVNYPNRPVDTTTDFEGTISLQPSQPASSGNAVSGGMPGPHVMTTRSVPRPMPMGMPRLQPQGMAAYNLTSQAGMGGGMNPGAMPMQRGVAAQAHQQQQIRRKDPAGMTGYPPQKNSRRF >CDP02522 pep chromosome:AUK_PRJEB4211_v1:7:4559103:4563359:1 gene:GSCOC_T00039926001 transcript:CDP02522 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDFKTLPLIDVSPLLEKWDDPSMAQDKGVADVVRQLGQACKEAGFFYVKGHGIPDSLVKEVRNIAHRYFDLPYEEKIKIKLSATTGYRGYQHVGENITKGVPDMHEAIDCYKEVKKGMYGALGETMQGSNIWPSHPPNFKKLMEEYVNLCTELSRKIMRGIALALGGSPVEFEGTRAGDPFWVLRIIGYPGVSPASGQDMPKNDIGCGAHTDYGLLTLVNQDDGITALQVKNVSGEWISAPPIPGTFVCNIGDMLKILSNGLYESTLHRVISNSPTYRVCVAYFYEPNYDAVIEPLDACVKQTGGTTRFEVAVYSKHLVSKVQTNFIY >CDP01442 pep chromosome:AUK_PRJEB4211_v1:7:12039393:12042208:1 gene:GSCOC_T00036491001 transcript:CDP01442 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTIKAHVLFFVGVGILTLPYALSIAGWLGLLLFIAVAMVCCRTALLLERCMRGNESDVQSYGDMGYKAFGRSGKIVASLIGSTELYLSSISLLLLVSENLNYLFPHTVFYLGHLKLGEKKLFTVCTALVMLPTLFSTNVTKLSFISGLGTLGIFVIILAMLWVGGTSGYGFQDRAKVVSWKGISISTSLFLTCFGGHSVLPTLYNSMQNKQQFTKVLLLSFSCNSIVYLIVAVGGYLIFGGETCPQITLNFPKQELGSKIALYTSLVIPLSRYPLLISPVADAIEDGLPKQYKKQLICIVIRFILLIITTLIACFFPYFESLMAIVGSFSVVLTSLLFPCCCYLKLRGGEKFNVKFIEMLGIIVFGIMTGVTGLYFVVFDLVHGAG >CDP01810 pep chromosome:AUK_PRJEB4211_v1:7:8582620:8587562:1 gene:GSCOC_T00036978001 transcript:CDP01810 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSEERWFYSAQMISTHTVLEEEETTKEAVKVEDFAGSKSKKQQPGELRLERVFPVYAVGVVSEPDPNLVASVAKSGCKPGDPIWDAVGEEAKLEAEKEPILSSFLYASILSHHCLEQALAFVLANRLQNPTLLATQLLDIFSDVIMHDRGIQRSIRHDIQAFKDRDPSCLSYCSALLYLKGYHSLQTHRVAHALWNQGRKVLASALQSRVSEVFGVDIHPAAKIGEGILLDHATGIVIGETAVVGDRVSLMQGVTLGGTGKEIGDRHPKVGQGALIGASATILGNIRIGEGAMIAAGALVLKDVPPHSMVAGIPAKVIGYVDEQDPSLTMKHDASREFFEYIAARSSGGRSSEMIGPRENGGTSRDAQVET >CDP01975 pep chromosome:AUK_PRJEB4211_v1:7:7298984:7303181:-1 gene:GSCOC_T00037173001 transcript:CDP01975 gene_biotype:protein_coding transcript_biotype:protein_coding MESANFNQHHDQPLQQQEHQHQQQQQLIYPAFSSSTSSSATLVSTVNGQWNPSMVLEGDDFSRYMNEILPNSRDYFWPKSINSVPSVKKSLLEVDHEDSNCRDFRSYLHHPLPSKGGINPGLFLKSDDMNGSILKNSYIKNGQQDVSIDLNENLWIGSFSHSHINQKLSTNESYSNGDGFASFVGLPPSPPNFMSSGTSESTDQHQLYASTCLLPTSQSLPLATEILNCGNFDEGRRTHESFEERMSSDYCHLRQSSDSPSNSSNKTSTFRKEVSRPKTQKPTPSLAVAKKPRVLAPQSSCAALKVRKEKLGDRIAALHRLVSPFGKTDTASVLTEAIGYIQFLQDQIQTLSMAYLKSSRSSKSCRATRGVSLRLPVSIIIKILT >CDP16748 pep chromosome:AUK_PRJEB4211_v1:7:888655:890429:1 gene:GSCOC_T00019230001 transcript:CDP16748 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKRVNDLYVDHNMQDSVYAGGGRYSIQKHLPVHVQDWNVSTARMLAPSPSHLSSAGGFVSQNWFPGENRARGGWSSLEGAVASSRSIGSQSNSDQSLFSVLSECNELHASGPAPAPYDSVGTTDRFMQPGTYNTLGGGIPSTSNILGQTADPLNYFGGHEASAGRKANNLGWIGVSHQNPGLQDSMSKPFVRSWNQ >CDP02077 pep chromosome:AUK_PRJEB4211_v1:7:1235726:1243244:-1 gene:GSCOC_T00039358001 transcript:CDP02077 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVTSPKIGLSPASDPEEKEISEDDDDDRNHKHRRREARSQSLEGDASEQVLTRPYRKRKPFENGHPYSSGRFEKRRPNLASFSRAPTELSQRIRLNQSLSADPGPTRGRGRESGAWGQRDSRFSSADIASQLVQQGSIPPSLFAGRGLPNVSNVQSATWNAFGLVPAMPNGGLDTLHSIGLQGALKPSINPTVNLGISRPRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLPSAHLLGTPAGQGPLPANSSSGAFMNSKCLQSKNSKPGIGDDGLGLNGAFVGGSMAGGSDLYDPDQPLWASDCPDTSPELLALNPSNLDKVEPLVDADCSDRLSVGQFDGSDNERPARNAGAVTGSQSSSVWGRIGSSRNRGDVREKIGSTLNSPSHVENEAKKDMESINGAEAIALHGRRTKADEVGMQVLGLSSKPHGDSGRSIRKPSQKALRTLFVSGVPQKENKREALLLHFQKFGEVIDIYIPSNSERAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIPDDGTGGVGNIHANPRGVTFTGGPTYPSATTKGKDNIQISGSKSSIANSAISPLPGSDHPKPVATNGPKAVPPLQKKLESLELLKEQVRKKQEMLDQKRNEFKRQLNKLEKQATGLKEEVALDQPSKGQKGGMVVDVAKIEMLRPSDSGTAISSPQAETTMDSGRAGESAVARSPKINSTITLPESSILKPSIRPLAPLGAPMVINRFKLDNRPTAFKILPPLPAGLANVSNLKEHFSVFGDLSVVELEDTKPQDGDNESEASKVSARISFTTRRSAEKAFLHGKCWQGQNLQFGWLSCSSTSRDNSGKEHPCASSKWSSDATVQSAGEVEIQAANTLGNAESQNLKLKASDAEHVDQDEDLESTSTMVSGGKNSP >CDP04705 pep chromosome:AUK_PRJEB4211_v1:7:15640849:15644435:1 gene:GSCOC_T00018768001 transcript:CDP04705 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQQHQPPPSLESLKTSERRNEVLNAIHSSLNNCLFETHLDLTVPGLKSKTRGKVRDIYDGGNYLVLVTTDRQSAFDRVLASVPFKGQVLNETSLWWFNKTQHIVPNAVVSAPDKNVTIAKKCSIFPIEFVVRGYVTGSTDTSLWAVYKKGVRNYCGNVLPDGMVKNQKLSENILTPTTKAADHDVPVTPDEIIQCGLMSRADYEEASKRALQLFEYGQHVAMKHGLVLVDTKYEFGKAPDGTVLLVDEVHTPDSSRYWIGHSYQERFWNGLEPENVDKEFLRLWFNDHCNPYEDKVLPDAPEELVSELAWRYIFLFETITDSSFEIPTAKEPIHDRITQNVSQALKSLL >CDP16011 pep chromosome:AUK_PRJEB4211_v1:7:21385501:21392523:-1 gene:GSCOC_T00016979001 transcript:CDP16011 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFGYWGDGGPSPSSSSAAASSSASSNLSALAPPFTVERFSLRPPTSNPSVQLSDSQPYAAAHVTWQYSNPSAPTCRPHVYQKCDLNLDSTRTTSVPTGNDYHFGYSVPQSNSDPQTTHWSTVNPCAKSSSSATFSYDAKVNSYYSPYVSPVVDHDSPLLALTEPSYDTLPSSGLLSSPNVPSQVDYTQSLSGLEYPPHWHTGWSGLIDAKRGKQAKLDMGFSLDITNAADSHAYGNHMNQGYHTVEYGDILEKDSSISFGQFSDANGREYANGLIRMEPVDNTSLLAQKILSPPFDYSRTNISSSSFQISASDSPCSSLELPKNFTNFQNSQHAYEKCILPHDSSVNGSLSVTKSPALVIRPPVTRKAGKTVDTGNGSLGKTVDTGNLAAIHLKGGLGSSCPAKGKEHHILFDHEVEEGSLISSQLKYQKEGNGQLFFVPSAVTEELSCNPQIWDGVNSISKSKSGSQVPSINVSDGSSLSGDCFQAIKSSDNVPDSLDHHNFAVDSPCWKGAPASHFSPLDVETEKTHPFEKKVDRYCQLDLQVDESFSLPNDSIRCSSAKAGEDKVHECNSAGRGISHISENISEADCTATQLKSIDAVKARFKGPSEGVRPCEAYNKPSEDCNLQTQSKNDSDLKSSGIKQLGVEDFTPSVLNFHSSVMDSVLNTSVTAEGSVAVRAAENVLRSPSSEEGAAEQATQHGCESAPKIDVQSLVKALQNLSELLVFNCITDSSALKDEDLEALKHVMSNLDVLASRKKEYFIQPQERIFRQQVTCHKIQNSADPHVNNAAGRHQFENEVGTNSHCHLDFQNTHDEMGNHNVTQEKNEKLQPLSPVTDGLEVLKDDNMAQAIKKVLEENFHSGEEMDSQALLFKNSWLEAEAKLCSISYRARFDRMKIEIEKLKSNQKKENAAALENMSTSSSHDLRISDMPPPKVDGSLQKTTICSSSLSSTSNPNDIEASVMTRFHILKCHDDSRSPNVVREDAVMVDDLCSDEMPFVKDQLLDGRLNVARAPNSQKKYDINQGQPDLNIGCSQNEAVKDDLSSNRNIDNVDAAIMTRFNILKCRDDLKGTNLVGGHAGLVDAVYSDIMRFSKDQSEDGGLNLAVEPDSLKTDVPVNQSSAMHGRGNHFSLGFNDNCPSDWEHGFKVLPVLDQLLKLLLSLGSYDVIGIELGTFSCLAVF >CDP02415 pep chromosome:AUK_PRJEB4211_v1:7:3655840:3656745:1 gene:GSCOC_T00039786001 transcript:CDP02415 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERVPKSFGCLPHRNLKLSLSRSRSKSASSSTSSPRTPKSPSVTPRKSTSSSTKSKEEEYREVFRRFDNDNDGRISAVELRAYFGSVGEYMSHEDAEAVIRDADTDGDSLIDFQDFLTLMKKEGSEDEDLKAAFEMFEFQKGSGRITPKSLQKVLSRLGDAKSYDECVEMIEVHDTDGKGELHFHEFQQMMMA >CDP04780 pep chromosome:AUK_PRJEB4211_v1:7:14736847:14742736:1 gene:GSCOC_T00018880001 transcript:CDP04780 gene_biotype:protein_coding transcript_biotype:protein_coding MANLILKFLTLLILIYAGTGNFEPVKGNNITLGSKLFPQNTSSWLSPSGTFAFGFYKKADGFAVGIWLQTKNPEPVVAWTANRDDPPIPSDAYLELSREGRLLLWTKQSVGDILYNLTKPATSVSLLDSGNLVFYNGSNVLWESFDYPTDTILGGQVVPLGYTFFSSASATDQSRGRFILEATFDIDGNFRLYLHIFESSGNSTMKILWYALQNQCEVKGYCGVNAYCSFEPEKVTGNNCFCFPGFIYFEPEKKFLGCYRNFTYERFCGRKEILQPYNYTAGKRKRKIILILAPPFGFLSVLFTLLAVFSFLLYRKRALRYQRLLDMENLGLNKEFTLRSFSYNELERATDGFKKEELGKGGFGAVHKGTFDRGRSFVAVKRLEKVVEEGEREGILYLHEECESAIIHCDIKPQNLLLDESWRAKISDFGLAKLLMPEQTRTNTHARGTRGYMAPEWFKNIPISVKVDIYSFGIVLLEIVCCRKNLAYNLSRPEETLLPAWAYNCFEANELDKLIRGEEVDRRTFERMITVALWCIQDEPALRFPMKTVMMMLEGIIDVPIPPCPSDEFSVQY >CDP02534 pep chromosome:AUK_PRJEB4211_v1:7:4636003:4640686:-1 gene:GSCOC_T00039940001 transcript:CDP02534 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMLSSVKKEVLVEMVKLAQKRGMKGSKGGWKEFLNFYDKKIGASLSDPSRRPLDTLLAFLKTFTQDDDLKFFEKLLECHSNRDAVSQFQKTSPDAESPEQRLVRLTLEHPQYPIDYSFPSHEEGWLVMKCTKKSKTMTSTAMVAVDCEMVLCENETEALVRVCVVDRNLQVKLNEFVKPSKAVVDYRTEITGIAAKDLDGATCSLSDIQKSMKKLLSHGTILVGHSLNNDLRALKLDHARVIDTSYIFKHGDGPSSRRLSLSNLCKSILGYELRKGQKPHNCLDDACAAMKLVLANIERGVANVIPLVHEDAQEADLAKLLVHKIPVNVHSEDMHEVIPGDFTIEMKANKKAKGSKYSVFAIFKSQQEANEAFDCIDGYLDKDTSGRAQKSISFHLNRGIVGSFCVRKMANDSPGVIISKKRSFEPEEALTESKKLHTDQECRKVEETRACTEQCQSRLEEIERLKQELSQRDREISSLNKIIVALTRKQGL >CDP02741 pep chromosome:AUK_PRJEB4211_v1:7:6196525:6199996:1 gene:GSCOC_T00040220001 transcript:CDP02741 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALLPSENVEDTRSRKEATCKPYVEELKRVNSIALPMIVATVGQYLLRVSPIFMLGHLGELQLSGVSIATSFSNVTGFSVLFGMSSALETLCGQAFGAEQYQRLGAYTYGAIICLFMVCIPISLLWIFTDKLLILTGQDPAIATEAGIYLIWFIPTLFPYVILQSLVRFLQAQSLIFPMLYSTVASLCLQVPLCWALVFKFNLGNTGAALSIGISYWLNVILLGLYVKYSSSCKNSRVPFSMDALQTMGEFLRFAIPSAVMVCLEWWAFELIILLSGLSRNPQLETSVLSICFTITALHYQIPASFSAAASTRVSNELGAGNPQAARVALCMVLLVSVSEFLVASTTLFLCRTILGYAFSDEKEVISYVKDMTPLFCISIMMDSTQAVLSGVARGSGWQHLGAYVNLGAYYLVGVPTALILGFALHLRGMGLWGGLLCGATVQTLSLSAITSLTNWEKQSMEARRRIFEAQKEEPASV >CDP16012 pep chromosome:AUK_PRJEB4211_v1:7:21407770:21409182:1 gene:GSCOC_T00016981001 transcript:CDP16012 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSALYGIPKPEAEMIPLIHHAIDSGVTHLDTSDFYGPHTNEVLIGKALKGGYREKVQLATKFAIRVLEDGSMGGICGEPAYVRAACEASLRRLDVDYIDLYYVHRIDTRVPIEVTMGELKKLVEEGKIRYIGLSEASPDTIRRAHAVHPITAVQLEWSLWTRDAEDSVIPTCRELGIGIVAFSPLGRGFLASGRNLVQNLVDNDYRKSLPRFQPENVENNLETFEQVNEMALRKGCTPSQLALAWVHHQGDDVCPIPGTTKLENLNNNIKALSVKLTPEEMAALESISNAIKGERNKYMVLTWRHADTPPLSSWKPI >CDP01990 pep chromosome:AUK_PRJEB4211_v1:7:7185314:7190192:1 gene:GSCOC_T00037191001 transcript:CDP01990 gene_biotype:protein_coding transcript_biotype:protein_coding MVELSLTLIKPITSLQQNNYPSLSNKTQYNLLSLHHPRGSSIPKTFKPAKPRKPSNKIGNRDGPMLQKDQLSYRDIVTESEEAQEEEERDEVEEEDDYSHEAARTRSVSLLGDEEGEEEDNESSTFLSLSEKPDRNTALLDDYETEELDFASDATGHRSGYVAVVGKPNVGKSTLSNQMVGQKLSIVTDKPQTTRHRILGICSGEDYQMILYDTPGVIEKKMHKLDSMMMNNVRSAAINADCVLVVVDACKAPQKIDEILDEGVGELKDKIPTLLVMNKKDLIKPGEIAKKLEWYEKFTDVDEVIPVSAKYGHGVDDVKDWILSKLPLGPAFYPKDIASEHPERFFLAEIVREKIFLQYRNEVPYACQVNVISYKTRPNAKDFIEVEVVVEKNSQKIILIGKEGKALKLLATAARLDIEDFLQKKVFLEVQVKVKEDWRQNEGLLKYYGYGGQIRAL >CDP04721 pep chromosome:AUK_PRJEB4211_v1:7:15475453:15479856:1 gene:GSCOC_T00018791001 transcript:CDP04721 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSLYFLTIVVVSVSFIFLGFLSQRFKSKTSILPTGTFGLPVVGETLHFFSSGPEKFIHQRMEKYSAEVFATSLFGKKVAVVCGAAGNKFLLYTANDQLAPWLPSAASKLMDLVDSPGQSVRQVISKCRTFLHNEVLNPKTLRQYITIMDALAREHIKTEWDPSKVIQVYPLCQMYALSLSCRLLLGLEDACQIQRISDSFWIIMQGLFSMPINMPGTRYNRALKEVKRVKHQFLNIIAKKRDMVFQDTGSAGSDVLSRILLEGNAYSMSDSEIGAYLLTLMLPSYEGISATITFALNHLAELPHIYEGVYKELMEIARSKAPEELLNWEDVKKMKYSWNVICESMRLTPPSMGTFREALIDINFAGITIPRGWKMHWSPFTTNKNHKYFPDPEAFDPTRYEGDGPTSCTFLPFSAGPRMCPGKDYSMFLILVYVYNVVTRFKLQKLIQDEKMRYRVGPVPANGLPMRLQTH >CDP02225 pep chromosome:AUK_PRJEB4211_v1:7:2271754:2277275:-1 gene:GSCOC_T00039556001 transcript:CDP02225 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERGKKSKVAAPAEEDSDPIDGELVLSIEKLQEVQDELEKVNEDASDKVLEIEQKYNELRRPVYVRRSEIIKTIPDFWLTAFLSHPALGDLLNEEDQKIFKYLDSLDVEDFKDVKSGYSIAFNFKQNPYFEDTKLTKTFIFLDEGTTRITGTAIKWKEGMGAANGGSHEKKGNKRPASDDSFFSWFSETQQKDTLEVEGLNDEVAEIIKEDLWPNPLKYFNNEADEEDSEGEEDDEEGKGDDDEEDDDEDGNEEDDT >CDP01505 pep chromosome:AUK_PRJEB4211_v1:7:11372169:11377449:1 gene:GSCOC_T00036580001 transcript:CDP01505 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIVKYASQETNSTHEDNKERIDLPHGSDLTAPNLSLPAETLLQAAISLKDKVVQVTWRGQWNDYGNTDPTVYTGLLGTAFTCLRAYEATGSLQDLSLCTEIVDTCASIARSSTRHLTFLCGRGGVYALGAVAANYCGDQQKRDLYMDRFFEVAQERALPVGPEEGGFGMSYDLLYGRAGFLWTALFINKHLGAEAVPSDLLMPVVEAVLAGGRAGASDNTACPLMYRWHGTRYWGAAHGLAGILHVLLHFQALSADDIEDVKGTLRYMMSNRFPRSGNYPVSEGNPRDKLVQWCHGATGIGITLCKASEVLPTDREFRNAAIEAGEVVWKSGLVKKVGLADGAAGNAYAFLSLYRLTGESIYEDRAKAFANCLYHDQRNATTSSPSTGADHAYSLFQGLAGVACLWFDLLAPEKSKFPGYEI >CDP01868 pep chromosome:AUK_PRJEB4211_v1:7:8117640:8121651:1 gene:GSCOC_T00037043001 transcript:CDP01868 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-dehydroquinate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66120) UniProtKB/Swiss-Prot;Acc:Q8VYV7] MASSFCTKSALSFSTKHENISTSFLIRDFRVRFPKSRSFSSPARLELNATKLRVSASSATPVMDQPPSETTSTAPTIVEVDLGNRSYPIYIGSGLLNQPDLLQRHVHGKKVLVVTNTTIAPLYLDKTIRALTDGNSNVTVESVILPDGEKYKNMETLMKVFDKAIETRMDRRCTFVALGGGVIGDMCGYAAAAYLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNMIGAFYQPQCVLIDTDTLNTLPDRELASGLAEVIKYGLIRDADFFEWQEKNLPALLARDPSAFAYAIKRSCENKAEVVSQDEKESGLRATLNLGHTFGHAIETGFGYGQWLHGEAVAAGTVMAVDMSYRLGWIEDSLVKRVHRILKQAKLPTAPPETMTVEMFKSVMAVDKKVADGLLRLILLKGPLGSCVFTGDYDRKALDETLRAFCKS >CDP01896 pep chromosome:AUK_PRJEB4211_v1:7:7940152:7940667:1 gene:GSCOC_T00037074001 transcript:CDP01896 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLCSPSRSVFQLPQNFSGEAFLPPTSNSSFRRPRAGVVSAAYASYATAESERTTSTCVLPPRLTAPTSFYEVLGIPMGATSGEIKAAYRRLAKGCHPDLAGTDEKSSSADEFIKVHAAYSTLSDPEKRADYDRRLFRSLRGVRLYSTPSPTKSRYSGYSGRNWETDQCW >CDP04637 pep chromosome:AUK_PRJEB4211_v1:7:17047819:17048292:1 gene:GSCOC_T00018653001 transcript:CDP04637 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGPLSAELDMLSHVLRLVEAFRAFDADSDGQISSAELGGIMGSLGYNPAEADIQAMMQKGDTNKDGLLSITEFLDLNTKDLGLGGLSTILRRVFAALDFQGEEFVTGEELFEVVENMGQDLSLEDCQSIIASVDGDGDGAISFEDFKLIVTALL >CDP01905 pep chromosome:AUK_PRJEB4211_v1:7:7831550:7832942:-1 gene:GSCOC_T00037085001 transcript:CDP01905 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGGGVVVRGRVEIDMRQPFRSVKEAVTLFGEKVLAGEIIGNKLKQMQARGDAEQPHQSKIGTVTAELEETKRSLEKSKEEGNLMAYYLHSLKLELEQTKKELQQLKGSRELTDHHKPTPSLDSEIEELKFIENASTKVEVKSTQTQGFEELEKKRSVKFASPPLLTRVIISKEEDDRPHHRQEGVNSTPSVGEKKLKKRPSFGFLFAKKKGHQKSTGP >CDP01778 pep chromosome:AUK_PRJEB4211_v1:7:8829876:8833617:-1 gene:GSCOC_T00036936001 transcript:CDP01778 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTGHGELDNGFREKNASVTENESHKEEELDDQSLAKAGKPPRNLPVIRHSISQAILAGTPALELHNGLTGRVTISGENPEFSPVFRSGSCSEKGPKEFMEDEFICVDNLHQHVRAALDIPPTGAFYGVFDGHCGVDAAAFTKKNILNFIVEDSYFPSVVKRAIRNAFVKADHALADAKTVDRTSGTTALTALVLGRTMLVANAGDSRAVLGKRGRAVELSTDHKPNSTSEKIRIEKLGGVIYDGYLNGQLSVSRSLGDWHLKGSKGSSFPLSSEPELKEVVLTEEDEFLIIGCDGLWDVMSSQCAVTITRKELMLHNDPERCSKELVREALKRNSCDNLTVLVVCFSPDPPPRIEIPKTQRRRSISAEGLDLLKGVLSSNV >CDP02259 pep chromosome:AUK_PRJEB4211_v1:7:2551503:2553972:-1 gene:GSCOC_T00039607001 transcript:CDP02259 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGFERYERQYCELSSNLLKKCKSASLIDGERKKQKISEIKAGVDDAESLIRNMDLEARSLQPNIKAVLLAKLREYKSDLNNLKSEMKRLASSDLNQAARDELLEAGMADTLTVSADQRARLLTSTERLDKSSDRIRDSRKTMLETEDLGVSILQDLHQQRQSLLRADNTLHGVDDNISGSKKIVTNISRRMNRNKWIIGAVITVLVVAIVLILYFKLSK >CDP04592 pep chromosome:AUK_PRJEB4211_v1:7:18199653:18201686:1 gene:GSCOC_T00018577001 transcript:CDP04592 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVLSLWYFRSWNCAEKCIKRLLPQLSFFGTSLCPLHLKSTILKPTLLKSFFLFEFGLAQVAFGYGGSSSSIRSYGAPKGVSTHHSSYPNGKYFTKIMGIMKMDYFAGRQHGDYYTNYPVTLPLRRPYSGDPEHLDQEEFGDASERKLEL >CDP01489 pep chromosome:AUK_PRJEB4211_v1:7:11493477:11496294:-1 gene:GSCOC_T00036561001 transcript:CDP01489 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGCDFRPWDELIPDTLGLIFRNLSLLEILTVVPGVCKSWRKAVMGPYCWQEIDIEEWSQHCSPENIDQMLQLLITRSCGSFRKLCVSGISNDLSFAYIANHAKCLQTLRLPRSQISHSIVEQVAGMLSTLTFLDLSYCIKIGAEALEAIGKNCKFLTGLRRIMHPLEVIDKLSQDDEALAIASTMPKLKHLEIAYLLVSTSSVIEILTNCRQLELLDVRGCFNVNLDENFVKKFPRLKLVGPNVVDYYDMNGWDSCSDYSGSSGYLAWDFVAGMGDDYDEISDGYWGDEQQIEDVEMWFYDDVEAMDAGYDWPQSP >CDP04666 pep chromosome:AUK_PRJEB4211_v1:7:16402941:16403333:-1 gene:GSCOC_T00018711001 transcript:CDP04666 gene_biotype:protein_coding transcript_biotype:protein_coding MDFENYYEISLVANFINAHNFSRVALQFPDELLKDSRKVVSALRQELHRLLKNTDESRATAKLYMMADTTYGGCCVDEVGAAHVKADCVIHSRNSRFSIVR >CDP01591 pep chromosome:AUK_PRJEB4211_v1:7:10417401:10417791:-1 gene:GSCOC_T00036691001 transcript:CDP01591 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSRSEIVTSPPLNPFAKEKNWNWRSEGNLMLTSAYFTPSAAGASASYARASSLGAKSSSMVASMTSGAGVLNCRRGRTC >CDP02105 pep chromosome:AUK_PRJEB4211_v1:7:1439071:1440142:-1 gene:GSCOC_T00039390001 transcript:CDP02105 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPSHSSVRLEFGDTKLSLLVYLHGGGFLIKSNFSSTYHTHFNAVDAEVDVVTISINYRFALKHLLPTTYEDSYIAVKWVASHSNGKGPEVLFRDYTNFDRIFFVGNNACGNLAHSMASRVWLEILDSFDLDAIFLNCSYFLGRELKKLQVKAYVEGIWHYIHLKSTGVDDPLLNPSDRTKPLLQRKDCFVVTKNIGYFHQQECCVDASISG >CDP01601 pep chromosome:AUK_PRJEB4211_v1:7:10338464:10341800:1 gene:GSCOC_T00036702001 transcript:CDP01601 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKSPEEEHPVKTFGWAARDSSGVLSPFKFSRRATGEKDVRFKILYCGICHSDLHIARNELGWTTYPLVPGHEMVGTVTEVGCKVTKFAVGDTVGVGCLVGSCGSCDNCCSDLENYCPKFIMTYQSVDHDGTVTRGGYANEMVADEHFVIRIPENLPLAAAAPLLCAGVTVYSPMRYFGFDKPGSHIGIVGLGGLGHVAVKFAKAFGVKVTVISTSPSKKDEAINLLGADEFLVSRDPDQMKAASGTMDRILDTVSANHPIMPLIDLLKTNGQLVLVGLPDKPFELAVFPLILGRKGVNASSIGGLKETQEMIDFAAKHNISAEIELVPMDYMNTAFDRLAKNDVKYRFVLDIANTMVVP >CDP02209 pep chromosome:AUK_PRJEB4211_v1:7:2137436:2141365:1 gene:GSCOC_T00039532001 transcript:CDP02209 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >CDP11574 pep chromosome:AUK_PRJEB4211_v1:7:20206554:20208681:1 gene:GSCOC_T00033905001 transcript:CDP11574 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAELMRLILNDKDGHFQKPPQNPLVDLLTLGVSTLEGEKWAKRRRLITPAFHHEKLQGMVPEFLASCCNLIDRWKILIASDGWSEIDINPELQSLSTDVISRAAFGSSYKEGKKIFELQKKQAVLDTCCQASEREKPSLFRIFFLKTK >CDP02317 pep chromosome:AUK_PRJEB4211_v1:7:2932346:2937120:-1 gene:GSCOC_T00039674001 transcript:CDP02317 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKMRVSLVLMILLMLLLVVASSASDHKQAEEEEEADRITALPGQPKVSFQQFSGYVTVNEVAARALFYWLTEAADEPSSKPLVVWLNGGPGCSSVAYGASEEIGPFRINRTASGLYLNKFSWNKLANLLFLETPAGVGFSYSNRSSDLLDTGDQRTAEDSLKFLIQWMERFPRYKKRELYLTGESYAGHYVPQLANAIVGYNSKSKNPINLRGFMVGNAVTDNYYDNLGTVTYWWSHAMISDETYRQLVNICDFRRQKESNECESLYYYAMDKEFGNIDQYNIYAPPCNNSDGSRTTRHSMRLPHQPYPMFRQLSGYDPCTEKYAEVYYNRPDVQKALHANTTRIPYKWTACSETLNRNWNDTDSSVLPIYRKLIAAGLRIWVFSGDVDSVVPVTATRYALAQLKLDTDAPWYPWYVKKQVGGWTEVYKGLTFATVRGAGHEVPLLKPRAALQLLKSFLAGQPLPSLK >CDP01818 pep chromosome:AUK_PRJEB4211_v1:7:8503948:8504202:-1 gene:GSCOC_T00036988001 transcript:CDP01818 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQGNKPSSHAVITGGWTPSSADRAAGRASGYGVITNIINGGVDCGMGTNAKVADRIGFYKRYCDVFKIGYGSNLDCYPFIKS >CDP15708 pep chromosome:AUK_PRJEB4211_v1:7:23360283:23362307:-1 gene:GSCOC_T00015716001 transcript:CDP15708 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAPVGSQLDARECDAKMTELPNADGEDFLTSNDEVYDSFESMGLQENLLRGIYAYGVEKPSPVQQRGLVPFCKGLDVIQQAQSGSGKTATLCLGILQQLDYNAVDCQALVLVPSPELARKTERVMHSLGNYLGVKVCACEGCDRVPEDQGNFSHGVHVVVGTPDCVFDMLRRQSLSPDYVKTVVLNQADEMISRGFKNKIYDIFQLLAPKIQVGVFLATMPPEALEITRKLMNKPVRILVKLGEFTPEREEMKLETLSGLSQTDEAAMCWLDKRCRLWKPKNIITKGKLVNKKILD >CDP04603 pep chromosome:AUK_PRJEB4211_v1:7:17758840:17775219:-1 gene:GSCOC_T00018599001 transcript:CDP04603 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MAMAFRFPYRPFITGPRSTGELLSQILTASCIQSTCKNRKSLGRVGRILSFQGSSKHVQTACRISFPRATLESKEKASSSLRKKMIIPDSDPPSVQDVNILYQFFDRSSNLVVLTGAGISTECGIPDYRSPNGAYSTGFKPITHQEFVRSIRARRRYWARSYAGWRKFTAAQPGAAHISLASLEKAGRINFMITQNVDRLHHRAGSNPLELHGTVYNVNCTNCGFSLSRSVFQDQVKAHNKKWAAAIESLDYDSVSDKSFGMKIRPDGDVEIDEKFWEEEFHIPSCQRCDGILKPDVVFFGDNVPKDRADTAMEAAKRCDAFLVLGSSLMTMSAFRLIR >CDP11568 pep chromosome:AUK_PRJEB4211_v1:7:19992377:19999348:1 gene:GSCOC_T00033892001 transcript:CDP11568 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSCKFPFQSSSSSSSWAPSQAYLTGPLNTKMSQRRGKTSSWTVFDLTTSASVQPLDTKMLRRRGKTSGCTAFDLEHRRKQRLEPEAKDEFFPAISSSMDQSQKALTNIANITASEKHFASLLQDAVKFQIKPKRRDMHEKKLQGGCSSGVKDALKANGMLKELHPWADQCLIEDVLAGVDYDVDKASSLLKMMVSSEQKYNNIKITRTDELKFNHKKSLVNENKSLAEKDTDLSQVMHLLEGLLLSNNRDLTDERASSRRMLLHDVFATKMILNSIKSLPIEQEEDDVYLAQRKEAQKMTSSASRNSKASVDAYLRGDHLSAQLYSRKAREEWLTAKQLNAKAAKEILRIKNLKNDEWTLDLHGLHAAEAVEALQEHLQRIESQMPTKQLACARRFNAIQSQMPCARILNVSQMPIASARIFNVSQMPARRFNVMAGIVSSTAPNVAIFRDLEECDSQIPLFRPRPASVEVITGKGKHSRGEATLPPAIRSFLDENRYHYSEARPGVIEVQVKFR >CDP16743 pep chromosome:AUK_PRJEB4211_v1:7:865345:868597:-1 gene:GSCOC_T00019224001 transcript:CDP16743 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MESNKKNTNQEDKKEEAEGLQQGSEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHDGKCVGTVVCKMGEHRNTLRGYIAMLVVLKPYRGRGIATELVTRSIRVMMDSGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFRYYLNGVDAFRLKLLFPRPEAHQHQSIMKLGFGTYELGSDQQNEETSAV >CDP01720 pep chromosome:AUK_PRJEB4211_v1:7:9294316:9296738:-1 gene:GSCOC_T00036860001 transcript:CDP01720 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKTYKSYWLLRHLRWGNSVTKLHCDMSDAVNILMHTAEMTFVPEKVPKIKKLKEKHDVQDQKNSLVYLIQVIKRLWRRCRNLRAK >CDP02549 pep chromosome:AUK_PRJEB4211_v1:7:4764690:4766444:-1 gene:GSCOC_T00039965001 transcript:CDP02549 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSKSSSTVKGHNILDSHPMKKTTINLKNTFRLVKSYVSFYHCAWLLMIILFQFSILLVLLTTRGGPSPPPTHEQYPPTHLQQEQPQQPQVIQQLDLPLDDDKIQQEQVAVQEQQQPKQQPLEEVVEDGNKPKDDVSHQLGSPADHEDGDNNTKQVPLEQAIEGHQLASPFDQDDNHEQCKYGKVYAYDLPPIFNKELVINCHDLDPWKSKCPAVSNNGFGPNAAATLVGTVPQKLAPSWWWTDMFSGEIIYHTRMSHYKCRTMEPESATAFYVPFYAGLAVSKYLFTNYTASERDYPCERFLEWVKEQPYWKRSNGSDHFLVLGRMTWDFRRKTDDDWGTRFLLTPLMKNMLRLTVERHRWDPLEISYPYPTGFHPRSAPEIEQWQQFAGSRNRTSLFTFVGAKRQKVKNDFRTLLLDHCRSEADACNLVDCDKTPCSDGSSAILEALLHSDFCLQPRGDTDTRKSTFDCMLSGSIPVFFWEGSFRGQHEMFLSGEPESYSVFIDRKEVRNGTSVRRVLEGISEEDIKRMRDKVIELIPRLVYAFPSEGLGNDAKDAFDITVEGVLQKVVQQTKRHGGTVY >CDP16010 pep chromosome:AUK_PRJEB4211_v1:7:21374867:21376484:1 gene:GSCOC_T00016978001 transcript:CDP16010 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRWKNPEFEGGRRAVRTQEVVRLFRLAFKKITKKTASTDHDGPVLSAHKKLVAEKLADEEAERKVKGEAKKEKHLVEGKGHVKPANYLDSLEKHLIGVATRGAVKLFNAVNKAQHSQRGLNPSRSKDEKGR >CDP02437 pep chromosome:AUK_PRJEB4211_v1:7:3807449:3810592:1 gene:GSCOC_T00039813001 transcript:CDP02437 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGDSDSDDAAPEEFTSQQGIEQDEEITRLQKENKARVVREGKERRRRWAQKLTPRPSGEEESVEDVKEIEPKQESLDYQGMLPDEIVKLLAASEKKVFSSDSEEEKPKKNPTSAKKKRTKRPGLEPIILEEIPPAQCLQSSLEFLKKRKMQISRSSAVLNNSDQALRLLSTSGLLSKK >CDP02709 pep chromosome:AUK_PRJEB4211_v1:7:5983226:5984796:1 gene:GSCOC_T00040177001 transcript:CDP02709 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGIDRVEEEEISRMEEGVESNKRECDYHKGFFSSKDVIIILQKIVAEVVGTYFVIFAGCGSVVVNKIYGNVTFPGVCVTWGLIVMVMVYTVGHVSGAHFNPAVTVAFAIFGGFPWREVPLYILAQLMGSTLASCTLALMFDVASDDYFGTVPAGSDGQSLAIEIIISFLLMFVISGVATDSRAIGNLAGITVGMTIMLNVFVAGPVSGASMNPARSFGPAIVKHVYKGLWVYIVGPIIGTILGAFVYNLIRYTDKPLEEHLKGGSFRNSLSRRYFDNSS >CDP16723 pep chromosome:AUK_PRJEB4211_v1:7:714879:718380:1 gene:GSCOC_T00019196001 transcript:CDP16723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MLKFEDMTMKNMMTEITQAGGSWGTTNYLVRPRAQPPALESHSPFGFGFGFGFGYGGLSSPFTTTTATYQFNNRNCRLQRPSALPTSILSSVAKEPLTLSRALLDDTTSSSDAEELKSVAEADPSLTLKKRAAEISPDLKGTSVFLVGINCSIKSSLGKLLAEALRYYYFDSDDLIEAAAGGKSAARLVFQRDEKGFRESETEVLKQLSSMGRLVVSAGNGTVQCAANLAHLRHGISIWIDVPLDMVAREVMENDIQLPASDLTVSGSYSEILTQLTELYERSRSGYTAADSTVSLPKVASNFGYKDLVEVTVEDMALEVLKELQKLMRLKKMMEAAARPF >CDP11561 pep chromosome:AUK_PRJEB4211_v1:7:19662779:19664106:1 gene:GSCOC_T00033881001 transcript:CDP11561 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPSCTLKSDLGITREVLEQNSTRKLEDAAKNIGVSRSTLKRICREYGIRRWPPRKERKVSQVFVKQKVVHPPTENIEEHHRLYATRLEDDGSMWVIKAKYQEDKIKFELSSSASKIDLEKKIAQRLNLSLGSFKIKYQDELNDWILITCDTDLSFCMKTLHKLGRTTIEMLVS >CDP16703 pep chromosome:AUK_PRJEB4211_v1:7:543488:547202:-1 gene:GSCOC_T00019173001 transcript:CDP16703 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFKERLGLFVNNRWLVFVAAMWIQSCAGIGYLFGSISPTIKTSLNYNQRQIARLGVAKDLGDSVGFLAGSLCEILPLWGALLVGAVQNFVGYGWVWLIITRRVPVLPLWAMCILIFIGTNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLGGAILTQIYAVIHSPDHASLVFMVAVGPAMVILAFMFIVRPVGGHKQIRSTDGLCFSYIYSICLILAAYLMGVMLVEDLIDLSHTVITIFTLILFVLLIVPVAIPVVLSFCEEPRVPAEDPLLPTEPEKQEPGKSANDNHEIIFSEVEDEKPREVDMLPASERQKRIAQLQAKLAQAAAEGAVRVKRRRGPHRGEDFTLTQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHVFVSMISIWNFLGRIGGGYFSEKIVRDYAYPRPVAMAVAQVIMAIGHFIFAMGWPGDMYVGTLLVGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGIIASSIYDREAEKQAQEHHHGPQVARSLLSTVLSLDEPLKCDGSICFFLTSLIMSGLCVIAVLLSMILVYRTRAVYANLYGKSRT >CDP02127 pep chromosome:AUK_PRJEB4211_v1:7:1579300:1583763:1 gene:GSCOC_T00039418001 transcript:CDP02127 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGGNGNGTGNASDGDGGVGFVRADQIDLKSIDEQLQRHLSRAWTMEKNKKNTDDNGQGEDGGRGIPITYDWEIDPSKLIIKSVIARGTFGTVHRGIYDGLDVAVKLLDWGEEGQRTEGEIASLRAAFTQEVSVWHKLDHPNVSKFIGATMGASDLTILTDNGHVGMPRNMCCVVVEYLPGGTLKSYLIKNRRRKLAFKVVIQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTLKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEAVSMIEAIDTSRGGGMIPHDQAQGCFCFRKHRGP >CDP02069 pep chromosome:AUK_PRJEB4211_v1:7:1171911:1173652:1 gene:GSCOC_T00039350001 transcript:CDP02069 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSQGQERLPTWISVTKLDGDENITKSENEDKKFHNQSKNSDFPFTGQESAREFQGNIKELQRANDFNLVASKVEAESGRERKASDECEDMSRYIKSDLIPEVKVMERPIEEILTKAECKEDKGQVDKIAQKGGLADLAQATNNSEDINMPYKSSIIMSDLVIMAKEDPETGIERQLLTEDKDISLMQKGQRGETLQPETEELKPGDASQAAAEAVKEEYGEKERLNNDCNDETQKFRSAISEENSESELVPEQDDREAQGQQKTINQSRLEVTRKNGPFDPVTEMDTSLLLNMQKHEGGPCCDSDKQEGGGDPNDSLIDNKNLPVQETESICAGKEDQEPVTCNEDKETEKATGGLILEEKTTTSLPNLLEVSKNENSQVSGDIISESKQTIRREGMKNGITGTSEPKELNTDEAKDEEGEEDKQQREELGYDHPVIVEASRDIHVKASPKKSHSILSGVGSKVKHSIAKVKKAITGKSSHPKPSSPK >CDP01597 pep chromosome:AUK_PRJEB4211_v1:7:10364244:10364681:-1 gene:GSCOC_T00036698001 transcript:CDP01597 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMMHMKSWMEVAPSPSVLPLKPSHSPKLETISEDRAEGRDDNDDGS >CDP01481 pep chromosome:AUK_PRJEB4211_v1:7:11609005:11611343:-1 gene:GSCOC_T00036551001 transcript:CDP01481 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFTVEATEELGIPDVLFWTMSALTVMCFSQFPQLRERGYTPLKDASCFTNGYMENTIDWIPGISSVRLKDIPTVIWTTDPKDEFVDYLVKLIPWTLKGAAVILNTFEQLEHGILEQFSSMMDHVYTLGPIHLLHKEVQKDDHSTEAIQSNLWKEDDSCIEWLNSKKAGSVAYVNFGSITVMTENQLVEFAMGLANSMQYFLWIIRPDLVNGGPIDLPPEFFIASKDRGMLATWCNQELVLSHPSVGAFLTHCGWNSVLESLSAGVPMICWPFFADQQTNCLSCCSYWGVGVEIDNNVNRKEVENVVRDLMEGEKGKEIKKKTLEWKNKAEEAIKHGGSSYLNLDKMIEEVLLAPKI >CDP01548 pep chromosome:AUK_PRJEB4211_v1:7:10916084:10917067:1 gene:GSCOC_T00036637001 transcript:CDP01548 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFLEGGIASIVAGCSTHPLDLIKVRMQLQGESPVPKPAAQALRPALAFHPAAGQVSHPHIHVPSPSLRPPPPRVGPIAVGVKIVQQEGVAALFSGVSATVLRQTLYSTTRMGLYDVLKQKWTDPHTNKMPLDRKIAAGLIAGAVGAAVGNPADVAMVRMQADGRLPVDLRRNYKSVVDAIGQMAKTEGVGSLWRGSSLTVNRAMVVTASQLASYDQIKESILETGLMKDGLGTHVTASFAAGFVAAVASNPVDVIKTRVMNMKVEPGMAPPYSGAVDCAMKTIKAEGPLALYKGFVPTISRQGPFTVVLFVTLEQVRKLFKEF >CDP01848 pep chromosome:AUK_PRJEB4211_v1:7:8245952:8249355:-1 gene:GSCOC_T00037022001 transcript:CDP01848 gene_biotype:protein_coding transcript_biotype:protein_coding description:CSC1-like protein At4g35870 [Source:Projected from Arabidopsis thaliana (AT4G35870) UniProtKB/Swiss-Prot;Acc:Q9SZT4] MTNYTVPSLPPSASGGDGDFDYDTAWYGNIQYLVNISAIGALTCLLIFILIKLRSDHRRMPGPTAIVSKLLAAWHATGREIARHCGADAAQFLLIEGGSSALLLSLAVLAISVMLPINIYAGKASLGDEFSKTTINHIEKGSPLLWVHLIFVVIVVVLVHYGVNEIEKRLKVTRLRDGHGNPSDPGANSSAIFTIMIHGLPTTLGFDKTPLVEYFQHKYPGKVYEVIVPMDLCALDDLASDLVKVRDDISKLVARIESRGFLDEVEEYEDAGNGRGLWEKICLLWRRVVDLWYRAMDALGFSDEERLRKLQEFRADLEMEMAAYKDGRARGAGVAFVVFKDVYAANKAVQDLRNEKRRRFGRFFSITELQLQRNQWKVERAPLASDIYWNNLGSSKLSLRLRRVIVNTCLLLLLLFCSSPLAILSGISSAARIINAEAVDHAETWLAWVQSSSWLASLIFQFLPNVLIFVSMYIVIPSVLSYLSKFERHLTVSGEQRAALLKMVCFFLVNLILLRGLVESSLESAILKMGRCYLDGEDCKRIEQYMSASFLSRSCLSSLAFLITSTFLGISFDLLAPVPSIKKMLRKFRKNDMLQLVPEQSELENHDIESLERPLISEAAAGVMTSNNGYLQHSALNGVDSPGQDMSEYPPVSRTSPMPKRTFDFAQYYAFNLTIFALTLIYSSFAPLVVPVGAIYFGYRYVVDKYNFLFVYRVRGFPAGNDGRLMDTVLFVMRFCVDLFLLSMLLFFSVHGDSTKLQAIFTLGLLVMYKLLPSDNEGVQPALLQGIQTVDNIIDGPIDYEVLSKPTFEWDTYNS >CDP16730 pep chromosome:AUK_PRJEB4211_v1:7:767907:772055:1 gene:GSCOC_T00019207001 transcript:CDP16730 gene_biotype:protein_coding transcript_biotype:protein_coding METAEAYGMVSSATELLSFVTKQAVENTNNPGHFDHGVVVPGGGAGGSGGLGSSSGGNVGGGLGSTGEGSLRMNSGQKKKRISRVKRSSMSTLRLPFDTTTSSSNASQFVPARLNQSYKVHG >CDP02051 pep chromosome:AUK_PRJEB4211_v1:7:1074302:1078469:-1 gene:GSCOC_T00039329001 transcript:CDP02051 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGYYSVGEFSLDPKWLIDPKLLFVGPKIGEGAHAKVYEGKYKNQNVAIKVHKGETPEEIAKRDARFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLDLRPRCLDTHVAMGFALDIARAMECLHSHGIIHRDLKPENLLLTADRKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELILNKLPFEGMSNLQAAYAAAFKNVRPSADDLPGDLPSIVTSCWKEDPNARPNFTQIIQMLLHYLSTISPPEPVIPARIFTSENAILPPESPGTSSLMAKRDDAGETPKIPMDGKPRGLFFCFDQCC >CDP02663 pep chromosome:AUK_PRJEB4211_v1:7:5657252:5660319:-1 gene:GSCOC_T00040123001 transcript:CDP02663 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATEPAEAFKPYKLKHNLSGHKRAISSVKFSDDGRLLASASADKTACTWSPSDGSLLQEYQGHDQGISDLAFSSDARYLATASDDKTVRLWDVATGSLVKTLSGHTNYVFCVNFNPQSNMLVSGSFDETVRAWDVKSGKCLKVLPAHSDPVTAVHFNRDGTLIVSSSYDGLCRIWDASTGHCMKTLIDDENPPVSFVRFSPNGKFILVGTLDNTFRLWNFSTGKYLKTYTGHVNSKYCISATFSVTNGKYIVSGSEDNSIYLWELQSRKIVQKLEGHTDTVVSVSCHPTENMIASGALGEDKTVKIWTQED >CDP01737 pep chromosome:AUK_PRJEB4211_v1:7:9153142:9155522:-1 gene:GSCOC_T00036882001 transcript:CDP01737 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLSWARPSPEDQKACINKSGTFNYEAKYRGKTAEPASSVQRDSELRKNGFSVNHARTLVGSGFDAFEKGIVALQSWRHFGLDWAFVDSKTLIQRGSRFCVCEKEFFPWLMMPLQVVYVNDNRNSKNAVASFGFGSGTLKGHLLAGEERFSITLDEDNQVWYEILSFSRPAHFLSLIGYPYVLLRQRFFAYQSSIAVQKHLSCK >CDP04689 pep chromosome:AUK_PRJEB4211_v1:7:15875443:15877519:-1 gene:GSCOC_T00018747001 transcript:CDP04689 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSATFSKSSFSYISTLLHSPARRIPFRIRVVKAEKSAEPASDQKLTETKTQEVSTNSQSASSTSPPPKPKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPYYKGLDYIYEDRGEVLDIRIFETGEYALIGWVGIPTAPAWLPTDMLIKSDKLDYERM >CDP01856 pep chromosome:AUK_PRJEB4211_v1:7:8204208:8209869:-1 gene:GSCOC_T00037030001 transcript:CDP01856 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 1C [Source:Projected from Arabidopsis thaliana (AT4G35890) UniProtKB/Swiss-Prot;Acc:Q94K80] MATASDPASTAHHSPRGSSAMDASVTAAAGTHSPRYRRRGTGAGAGGVSSPWTQIVRGADSELTISSSPSSPAAAVTTTVGISVPPPPPPLSPSLSTSTPFSPEDCAGDAQPEGSDNGSGGNNGAKKPAWNKPSNGATEAGPVMGAFSWPALSESTRASPKSSSESLKTLSDVSVPVSQATGMASPSAHKQGINANTNSSSTPNHAPYNRQRSMKRGGGSGNPSSNASANGGPSQLPSPRSSGVETGSNNSGKPANPVSDSSHREGGQRGGVGSQSHSGNDHQQQRNSFKRGSGGPRGDGSHHHGYGGRRGDQDRGNQDWNPNRSFGGGRDTHMQSQRVASRPFMRGPHPTPPPFMPPTPMPVRPFGTPIVYPDVPSTVFYLPGPHPESLRAVPMVPPMAPLFFPFPDPQLQTKIVNQIEYYFSNENLVKDTYLRQNMDDQGWVPIKLIASFKKVTQLTDNIQFILDSVRTSGAVEVEGEKVRRRNDWMKWIMPPSVQYSTISSPQSMQKSSQDMLALNFQSMALDEKTTKQGYGETYLSPSSSGEWSSLSQQSSGEKTSQVAVQAGFPTSAPNSSK >CDP01527 pep chromosome:AUK_PRJEB4211_v1:7:11124272:11128843:1 gene:GSCOC_T00036611001 transcript:CDP01527 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLKLFITETIDGGSRTEGEANVYSWLYALAQSGKDLVYEYVRSTERGLSFREAERRLKETGPNIPIHTKFPRWWHLLWNALFHPFHIILIVLSVLSYIASDEPNGCIMLVLVFISVSLRFYQEYSSSKAAMKLSEFLSFPVKVQRCAGRTVQTELVVQVDQKNVVPGDIIIFEPGDLFPGDVRLLTSKNLVVSQSSLTGEFGATEKTADIREDSSTPLLDLKNICFMGTTVVSGIGTGLVVSTGSKTYMSTIFSTTGKLKQPDGFERGIRHISYILISLMLIVVSIIVIIDYYSSGEPSESILFGMSVASALTPQMLPLIINTSLAKGALAMARDRCIVKSLRAIRDMGSMDIICIDKTGTLTKNTAIMINYFDSWGLQKEKVLRLAFLNAFFKTQQRYPLDDAIMAHVYTSGFRFQPSEWSKEHWRK >CDP16024 pep chromosome:AUK_PRJEB4211_v1:7:22361509:22363156:1 gene:GSCOC_T00017006001 transcript:CDP16024 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQKQFSTPHVLVFPLPLQGPVNCMLNLAELFCLGDLHVTFINTDHVQDRLRSCSDVESRFERYPNFRFHTIPDGLPEDSPRTGGHILKLIQSLEVVSQPIFRAMVTSGSLGHNSEKPATCIIADGIFSFAVDIAKEIGVPLIYFDTISPCGLWTYLCIPKLIEAQEFPFKGDDLDAPLSSLQEMECIMRRRDLPSFCRVREIDDPLIRIILEEDRQLPLAQAIIFNTFEELDRGTLSLMRTLGPTVYAIGPLHTHLKSRLAATTSPPASSHSLWKEDKSCIAWLNDQPVKSVIYVSIGSLATMTKDQLMEIWYGLVNSGVRFLWVQRPGSIAGSAAKGDQIPEELAKATQERGYIVDWAPQEEVLGHPGIGGFLTHSGWNSTLESIAEGVPMIGWPYFVDQQVNSRYLLEVWKLGLDMKDTCDRVIVEKMVKDVMQLRRDEFLPRANEMAKLANSSVSEGGSSYNDLDNLIEDIKLMTLKA >CDP02385 pep chromosome:AUK_PRJEB4211_v1:7:3445611:3446448:-1 gene:GSCOC_T00039751001 transcript:CDP02385 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTTTTGGLEKLVGNLKSKLLKSLRMRKAYDKIEKSESMRVEIRSRKARKLIARTLRIADSPHTRTYAF >CDP02268 pep chromosome:AUK_PRJEB4211_v1:7:2608809:2619660:-1 gene:GSCOC_T00039618001 transcript:CDP02268 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPVSVTSSPNYRTNPSQTRKLPPQSWPPTAPTRFSQPLSSRRTSIAGAAQSMKQPSSSAQPNPEVHLSLDAVQRFIHLNFGNWTGTFHQFDSQGNLMHKISTKLAAGSYGEDELISLIQTLYIKQPPSTTSFSGIDDDEAEWSEYKIKEINMFTMDKYQQIGFFPKERAFALRYQTAGMLETVLRQGVLGEDDIGEESPKNLKLPSRQPSIVCENCLYSLEKDRRVRAFHVMDPKGILDMLLVFLEDRGSTAPIPPTFDDSQDYGDRIKAFLGTWKGHSITKRSGVYGATLARADTVAVLEINKDGQLIQDIASTLEGRDITTNVHWTGTMSKNLVTFDGGFQLILLPGGMYMGCPCDVAKCVQESKSFHLEFCWLESPGKRQRLVRTYDVEGLAVSSTYFLESRSQFCVKVSYPTCESRTTTSLSKDLQFASCSQVAKARQLGLLCGVGWVFRCHSVTSILKKSVHVKSRISKNKSMGQTEMATETGGAAAAKGQRRPTITVPPRAPFEPLFTSGSMPGYSPGPMTLLSNIFSPDSNSCSFSQLLAGAMASPIGKPTFFATAGDYSTENYAAKDVNFGVGNDKGSGYKQNRPMGLVVAQSPLFLVPPALSPSGFLNSPGFLSPLQSPFGMSHQQALAHVTAQAALSQSFVQIQADFQRASAIASAEAAELKPSSLPSETLQEEVHSIPAEPQTLKMVPLEASQSDKKTVSFALDKPASDGYNWRKYGQKLVKAKEHPRSYYKCTHPSCPVKKKVERASDGQVTEITYKGQHNHELPQPNSRAKDNSCLNGMMSSEVNPESGSRWQTEVTHDAATSYPNNLKHKAFTQLSSEQFPVASEQDEREDSATVIDEDIDDDDEPNAKRRSTEVGAGVPAPLHKTVTEPKIVVQTRSEVDLLDDGYKWRKYGQKVVKGNPHPRSYYRCTFAGCNVRKHVERASADPKAVITTYEGKHNHDIPAGRNSGQNNVNTNTKLKPRRPVARKSSVDREV >CDP01971 pep chromosome:AUK_PRJEB4211_v1:7:7333111:7334484:1 gene:GSCOC_T00037166001 transcript:CDP01971 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYGAEYGNQKSQYDEYGNPVRQTDEYGNPARHGGTMGDYGTTGTTGAYGGTTGAHGTYATGTTGTTGTGAYATQPGTDVGKEHHGLGGMLHRSGSGSSSSSEDDGQGGRRKKGMKEKIKEKLPGGHKEAQPGQEYSSATAAPGYGGEGVQHEKKGIMDKIKEKLPGGHHN >CDP02757 pep chromosome:AUK_PRJEB4211_v1:7:6296008:6296585:1 gene:GSCOC_T00040244001 transcript:CDP02757 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQKFKLLATQCAVAGSPTRSPSASPVIHLRRRKTLRMLLSRGGSGSRRIPRREEGSPDRRGCERNSPEKGKELMVSHKLRDLFVSSPPTFDERVSENVREGLLSGGVSSGVGGGGVGGASGGFGLRRGGGGRQLRPLSATFRQRLLRRAWRPVLVTIPE >CDP02035 pep chromosome:AUK_PRJEB4211_v1:7:6877947:6884214:1 gene:GSCOC_T00037249001 transcript:CDP02035 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1SNRNP [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/TrEMBL;Acc:A0A178VDW4] MGDYSDALMRNQNAAVQARTKAQNRANVMQLKLIGQSHPTGLTTNLLKLFEPRPPLEYKPPPEKRKCPPYTGMAQFVSNFAQPGDAEYAPPVQKAETPVERRARIHKIRLEEGAKKAAEELEKYDPNNDPNISGDPYKTLFVARLNYETTESRIKREFEAYGAIKRVRLVTDKSTNKPRGYAFIEYVHTRDMKAAYKQADGKKIDNRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEELNQRYSGREQVQPGGASRSEEPRMRDDRDREREKSRERVRDRERERERSQERSQDRTRDREHREDRHHRDRERNRDRDKERDRGRDRDRDRDRTRDRDRGRDRGRDYERDRDRSRDQERDRNRERERDRDRDHEVGDADNDRGGSHDREYDYDKVEAKHDRERHGERERNYDHAEQEDDRGWYEQSDRGRKRPEGEHDHGHYDYYDHYQGQGQYDNQGAQGDDDRYQDSDRYHDRYDQMDEDNYGYERTTSEPQEKDRDYQRSDRSLSHSLSHDY >CDP02068 pep chromosome:AUK_PRJEB4211_v1:7:1169003:1171855:1 gene:GSCOC_T00039349001 transcript:CDP02068 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTQNCNDQMASEDEGVEGPPQVSTAEEILSYSPTEEGKEESSVKDTSAIDELKTRVCPKEKVDEISEPTNSATETTQLEEPEIIDAAQSSKKQILSEENVVGGYCEVLTAKEIKHYTLGENVEETNVNDTSADELNTEEDIRGTVDEISVSTNNARETTKRFKKQKPSADKVVEEPHQDPIMVETVQYCPAEEEKEQRCTMDTTTKSELQEKADKLPRRNTETEVATSNEKVEIPRVWSPKYDEGVKGSNYQEKIFKYDSEVTATASSKKLGEYIVSEAEPLKPVHEKIGDYPKSEDVAKEDINTLESHKDDTVTHYSPSESLYEMTNATGGLVLEKQEGGIDKAVSLLLSGTSRDEESNKLDKEEQYCSITQKESRKEVSSIAKGSGIKDKEKEEKVEV >CDP02720 pep chromosome:AUK_PRJEB4211_v1:7:6057307:6062885:-1 gene:GSCOC_T00040191001 transcript:CDP02720 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRFCCFSTSASSSFASAPLSSLNKKRRLVFLGSPQVSASVLDALFSASSAPDSLFEVAAIVTQPPSGRDRGRKITPSPVAQRALDKGFPSDLIFAPVKAGEESFLENFKSLEPELCVTAAYGNILPSKVLKIPSLGTVNIHPSLLPLYRGAAPVQRALEDGVKETGVSLAFTVRQLDAGPVISCQRIDVDDQIKAPELLELLFAQGSELLLRELPSIFDGSAKRKAEEQDESKATLAPKITPKESWLSFDQEAITLHNKVRAFAGWPGTRAKVMIVDPQNGQSNTTELKIITTRVYNGAKAQSGDADDVVFNKGSLIFPCGRQTALEVLEVQLPGKKVVNASAFWNGLRGQKLKRI >CDP01826 pep chromosome:AUK_PRJEB4211_v1:7:8391444:8396175:-1 gene:GSCOC_T00036997001 transcript:CDP01826 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRPGACQVIFAVNPIISMSMQVSCILVISHFFHLLLKPLGQPGPVAQILAGFVIGPSGLSMIPKVNEFFFPSVAGDYYEIMAMQARIVIMFLIGLEMDFPYLIRNLRPVSIIAGSSCLMCTFFAIAMTSFIYDVTDSHDHAVIMGTIITVVLANTSSPIVVRLAADLKISTSDVGRMAISSSLLGDFYAVILLVIVTASRKHYSGMRWVLLGILYFIIVIAVIILNVHLAKWLNRRNRNQKYLRNTEILCLLAIVFVTATGLETMGFSSIVACFLIGLMFPRGGKATRTLLIKLTYAVHNFILPVYFGYSGFRADLTSIDSLAKFFVVFVVILLSFGGKITGTLAACSHLKIPLNEGVLIAFLMNLKGHVDILTIGIAAQDRKANPLFCNLMISAIVLNSLIWGLIITFMVRRESDILGYRHIAFESQSPDSELRLLTCVHGPRSVGTMVGLIAASKSSESIPVAAHLMHLIELPEKTNTNLMYHQKEIDEISDDDEYGGNDVVEINEAVDIFTAETGIMIHQVKVVSPLVSMYSDVCEWAEDTRASIIILPFHKYQRIDGKLESGKEGLRTTNQKILRHAPCSVAILVDRGLTAGASHVSGSESLQHVATLFFGGPDDREALGFSKRLGMHHHINLTIIRFLPTPARRELVGVNFDTKEKDILMAIPDSENDADAGVLTDFYNRYVTSGRIGYVEKYVENGAETASALRDMADMYAMFIVGKGGRAQSPLTIGLSDWEECPELGTVGDFLASPEFDLSGSVLVVQQCRPSKSEKNDP >CDP02283 pep chromosome:AUK_PRJEB4211_v1:7:2699089:2700402:1 gene:GSCOC_T00039636001 transcript:CDP02283 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASNCLAGRTKKDILRPAQDVMDSSDDDASSIASGEEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPPLPIQLPLFISCPWCNLLSLRLVYKGNLRFPRKNFFLLWMLESMNGDRVKSRSVFCGDQPQAWSSGGKATGNSSIRRAHYMHNSESSGTNHSNTRTNSYVAAERLCASLKKSLVFFVHLTAKFPLVVIFLLITLYAIPASAAILALYVLITVLFALPSFLILYFAYPSLDWLVREIIT >CDP02464 pep chromosome:AUK_PRJEB4211_v1:7:4001842:4002715:1 gene:GSCOC_T00039848001 transcript:CDP02464 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLSAGAGLGTAFDSLFRAVLGISQKLTTFSSSLNSLKSTLASVKPIVDDIEKLNMALDRPQQETELFIHRLREGEKLVAKCSTMKSWELHKKYSYSKKISDLENSVIGFFEVDVQALLVRDTKQVLVGVHDMDKKVDKVLAILKNTAAV >CDP01458 pep chromosome:AUK_PRJEB4211_v1:7:11873504:11878244:1 gene:GSCOC_T00036511001 transcript:CDP01458 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSADECQGTHSVLMISDGCTNSPKSESESVLVVPNLMKTQMCLDAPPKCQNYPSVKVDVMDIYESSILDTDVEKGKLEIPQSNGEVVGDSKPEDSLKYFQRALQREINFHVGGKFMQLLMNNNLELPKFSPRDKCMTERVFDTPTNRSRKYKRSTSFNSRRVVFLFSILSSVGTIILIYLTLRVRQIGDASANI >CDP02519 pep chromosome:AUK_PRJEB4211_v1:7:4535347:4538201:-1 gene:GSCOC_T00039923001 transcript:CDP02519 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLTEERKAWRKNHPHGFVAKPETLPDGSVNLMVWHCTIPGKPGTDWEGGSYPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDALEYKKRVRQQAKQYPPLI >CDP15700 pep chromosome:AUK_PRJEB4211_v1:7:22884483:22887750:-1 gene:GSCOC_T00015692001 transcript:CDP15700 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQITVFSALLFFFLLHPQEVICFTFLGDHLCRHDDALALLQFKEMFSISTYASCDHSYPKTTRWKADTDCCNWDGVTCHNVTGRVIGLDLSCGQLQGVIHRNSTLFHLFHLRRLNLAFNDFTGSRISHRFGSLKSLTHLNLSYSNFQGEVVSEISHLSNLISLDLSANDLLRYEPSNFEAMLQNLTHLRELSLSYVNISSDLRVNFSSSLTYLDLSYTKITGNLPSNAFHLPNMQVLLLGGNENLTVSLSKLNCSISYSLKQLDLSRTSFSTALPNSIGCIGSLNSLNLGYSQISGVIPESIGNLTQLTELFLDGNYLLGKIPNKFSISQKISTLSLGHNLLSGKFPKSLLNLMHLDFLDLSSNQLTGEIGDFKNRLLSEIRLQNNQLTGSIPPSIFTIPTLSHLDLSANHFNGVGQDLFVDFNQLQKNPLQTEAPWHTTNNVSISYPDFAYLGLSSCQIKEFPEFLRNSESLVFLDLSNNTIHGEIPSWFMSKTFDKLFYLNLSHNFLTSTIDQLPVASSMVYLDVSSNSLQGQIPSSICDSNNLGILDLSNNNLSGLIPQCLGNFSQNLDIMDLGNNRLFGTMPTTFSKGNSLRFLMLNDNQLQGPLPQSLAHCQDLELLDLGNNKIDDKFPIWLEILSNLEVLVLKSNQFHGTIGSCQTKSPFPRLRIIDASHNELTGSLPEDIISNFNAMKSSKHQQKELVQYMTGESFGVYYYIHSVGLFIKGAEYSLERVLIAQTVIDFSGNRFEGQIPEIIGFLHSLQTLTLSLNNFSGGIPRALGNLSMLESLDLSWNQLEGTIPRELVNLDFLGFLNLSENHLVGPIPRGRHFDTFGDDSYRGNLDLCGFPLTKDCGDTEAPPPATPWEAEEQYDDSEFFDGFTWKAVLLGYGCGLVLGLVMGGLIFLTGKPRWFVLIVEESFKPRRRPRKWIHIRT >CDP16707 pep chromosome:AUK_PRJEB4211_v1:7:562953:564298:1 gene:GSCOC_T00019177001 transcript:CDP16707 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVLIGVVLFVLLQPGLLFQFPGNNKNLEFGSMKTNGKSIAVHTLIFFALYAILILAVHVHIYTG >CDP02653 pep chromosome:AUK_PRJEB4211_v1:7:5571267:5573939:-1 gene:GSCOC_T00040108001 transcript:CDP02653 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLVFSDITLWMQFILIGKEGSPLTFSSNRCRTYAGDGGTCS >CDP02813 pep chromosome:AUK_PRJEB4211_v1:7:6730813:6732477:-1 gene:GSCOC_T00040314001 transcript:CDP02813 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAM4 [Source:Projected from Arabidopsis thaliana (AT4G36710) UniProtKB/TrEMBL;Acc:A0A178UVI6] MKVPFIQNQPSILNSTKQVNCNDNNNDLRTTTTTTSINSNTFLGYEPKSVLELRQSPSPVTEIPSSNTDISTVWDDTGLQLEDHVLNHFEVDWDSLMRELGLHEDSNPTPTSRPTTFSHSQFTPSGSQVQFQNLPEFPPTHNSFDSTLFVPSDITLPDISTYPSSFNDSSINSLGLSHDAHHHNTNLTWNPGFDYVDELIRLAECFETNSLHLTHVILGRLNQRLRGPTGKPLQRAAFYFKEALQTLLTGSTRLTQPSTSSEVIQSIKAHKAFSNISPVPMFSSFTANQAVLEAVDGSMLIHVIDFDIGLGGHWASFMKELADKAELRKAAPPVLRITAVVGEEYAMESKLIRENLSQFARELNIGFDLDFVLVRTFEFCSFKAIKFMEGEKVAILLSPTIFRRVGTGFLSDLRRVSPHVVVHLDCEGLIGFGTMSFRQTLIDGLEFYSTLLESLEAANGGGGADDWMNKMESFVIYPKILEAVGVAGRRGAPWREAFVAAGLRPVALSQFADFQAECLIRRVQVRGFHVARRQAEMLLCWHERALVATSAWRY >CDP01913 pep chromosome:AUK_PRJEB4211_v1:7:7760815:7763604:-1 gene:GSCOC_T00037093001 transcript:CDP01913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase Pin1 [Source:Projected from Arabidopsis thaliana (AT2G18040) UniProtKB/Swiss-Prot;Acc:Q9SL42] MSSSSSSPDSVRASHILIKHEGSRRKASWKDPEGQIISNTTRDAAVSQLIALRQDIVSAKAKFEDVAARYSDCSSAKRGGDLGSFGRGRMQKPFEQATYALKVGEISDIVDTDSGVHIILRTG >CDP02264 pep chromosome:AUK_PRJEB4211_v1:7:2585969:2586936:-1 gene:GSCOC_T00039613001 transcript:CDP02264 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQIRYSRLADDENYGYDDGTRHNDPRFDYFPKSRDKIPWKSIALALFLLLLGCLLLLLACFIFTGHMGGELSQAYSLLGLGILAFLPGFYETRIAYYSWRGAQGYCFTSIPDY >CDP01846 pep chromosome:AUK_PRJEB4211_v1:7:8268044:8271082:-1 gene:GSCOC_T00037019001 transcript:CDP01846 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTPSLPALAPAQTENRGSFLDRKHVWGGIIIVIVAIFVIGWLIICYKRKLYAGCKLRRNGKGKLDAAKMWSRRFQLEELQKATNNFSQDCLVGTGAFGNVYRGSFEAEGVLAIKKLHPSLHNLCYTIYFFHSHIVRLISKVKHENLVGLVGFCEQAGSRGEKIMVYEYVSNGSLLDYIMGKGGKSLTWRQRVNIAIGAAKGIAHLHEGVKPSIIHRDIKPSNILVSENFEAKVSDFGLVKSGPVGDQSHVSSQVKGTPGYLDPAYCASFHLSPFTDVYSFGVILLQLVAARPAVDSSRKHPNIHIVDWARSSLERGRADEIIDANLLLEECNMEIMLRMGQLGLRCVVEMPKERPTMTQVWQELETALDSVEIFIPKQSSLYSAELSGFRSGSTGYRDRGSIDNGHSQSSISIDGIGLQKFHVDMDSHSFRSSSLTCFEHSTNMDAAAKNLRGIAEEISISMDEDKVTRANEFSLDYDVSMICHYPL >CDP12932 pep chromosome:AUK_PRJEB4211_v1:7:12865037:12865859:1 gene:GSCOC_T00037631001 transcript:CDP12932 gene_biotype:protein_coding transcript_biotype:protein_coding MIWNSDLLVASMDTSVTAVEWAISELLRHPEAMRKLQKELEEKVGLERIVEESDVEGLEYLDMVIKESMRLHPVAPLLLPHESMEDCTVDDFHIQKNARIIINVYAIGRDPSVWRDPEIFVPERFRDSNIYLRGQDFRLIPFGSGRRICPGLQLGLTVVRFVLAQLVHCFNWELADNIQPTDLDMSEAFGIVTSRAKHLKVVPAYRLNK >CDP04639 pep chromosome:AUK_PRJEB4211_v1:7:17027830:17029473:-1 gene:GSCOC_T00018657001 transcript:CDP04639 gene_biotype:protein_coding transcript_biotype:protein_coding MARMRPLPSVIQFNQMLTCIVKMKNHYSSVISLFRDMCVRGIPVDEATLNIMINCCCVVGRVDLAFSTLSGFFKRGFVPNVVTFSTLLKGLFRDHKVPEAQELFKKIIKEKLCKPNETMLGIVIDGLCKAGNTQTAIEFLRAMEKRGSPCKPDAIMYNTVMDSLCKDKMVDEALALLLEMIEKDIPPNVVTYSSLIQGLCNLSRWKDVDKLFSEMKVYKIVPDVITLSIVVDALCKDGHIEDAEEVVRIMIQQGRNPDVVTYSSLMNGYCLQRRIDDARRVFDTMVASGLTPHLHCYGILINAYYKTKKVNAAMKLFREIPHKGLTPNIVIYNTVLQGLFSSGRYLSAREIFNEMQASGMKPDFHTYCVVLDGLCKTGHVDEALELFHATQANGTDLHIGMYTIMLDGLCKCRRVDSARDLFKNLSLKGLDPNVLTYSIMIAGLLSEGLLVEAKELFEKMEENGCLADSVAYNVILQGLLKGGHYEDAMAYYEEMVHRGFSLDASTCSILLDLSAENQNNPSVLMLMLKIDPNSKKFMDGGQRGPSH >CDP02211 pep chromosome:AUK_PRJEB4211_v1:7:2151699:2154922:-1 gene:GSCOC_T00039534001 transcript:CDP02211 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAARHQYQAPQFFAILAFFLLCILIQIIGTAASAAGPYVRFGSPRGDWLNHGGDIYNRRYASGETKISPATASRLGLKWKFNAGGLVTGTPAVYDGVVYFTSWNGYVYAVKACDGSLVWKQNLKRLTGISGGQLLGFNATIARSTPTVVAGDLDMLILGITGPAYVIAIKRSSGRLIWSTQLDTHPYAIITMSGTYYHGGFYVGVSSLEENATIEECCTFRGSFVKLDARTGRILWKTFTLPDNHGQRGDYAGGAIWGSSAPIDASRNHVYIATGNLYSAPQRIQDCQERLNNQTTPTNPEECIEPDNHSDSMMALDMDNGKIRWYRQLGGYDVWFFACNNLSTPNCPSGPNPDADFGEEPMMLSIELNATKRDIVVAVQKSGFAWALDRDDGNIIWFTEAGPGGIAGGGTWGAATDTKKIYTNIANSNRMNFTLLPSKKVTNGGGWVAMDAPTGKILWSTADPKNATVNPVTIANGVLFGGSTHSTGPVYAINAGTGKILWSYETGASVYGGMSVSNGCMYVGHGYRAPAFTAGTHLFAFCI >CDP04748 pep chromosome:AUK_PRJEB4211_v1:7:15147938:15153745:1 gene:GSCOC_T00018832001 transcript:CDP04748 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFSTSLSRPIASFSAISSSPSLCFLKSHHQNYHNFGFQGCSQSLVISCCFSELGSSSEPINIRQPQVTSMASFTMTLNENGTPQSSYKWRRVLLKVSGEALAGDQEQNIDPKVTMAIAREVASVTRLGIEVAIVVGGGNIFRGSSWAGSSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVFDDDPRRNPNARLLDTLTYHEVTSKELSVMDKTAITLCQENHIPVVVFNLNKPGNISKAIRGESVGTLIGGTRDSANSRT >CDP04701 pep chromosome:AUK_PRJEB4211_v1:7:15694002:15700524:-1 gene:GSCOC_T00018762001 transcript:CDP04701 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTSLPPGFRFHPTDVELVMYYLKRKVMGKKIPLEAISELNIYKFSPWDLPDKSCLKSKDLEWYFFCPRERKYASGSRMNRSTETGYWKTTGKDRPVTYNKNSVGMVKTLVFHKGCAPRGQRTDWVIHEYRIEDRNLADMGDAQDAYVLCKLFHKSGPGPKNGASYGAPFREEDWDDDEEIPVDSLLPGGPSTVEPVQPENDNSSAAISLVDLGNAPLMRPNEPGPSFVQPSKDTVLSNGQNSSMVTRVVDAGSASGWSLSEAGLTSASHSGNGMDMDPPDDDILHLLAAFSEDSPLLPTVNGNIELGDLGQGRAAEAIPHSDGGDLFGGLEDLSNLAELNGGGLNLFDNYQIGYTTNNMRLQEDSGFLELNDLDAPLNFTAQSCGPEQMHTGGLYRPSNSSGSEHVFAGSLCTEYNTSNQTWNGDNIPGYAQHPTDLHHLLVVPDASDIQGNSTSIFPMGNVYDSTNAAYNLDFTTNRPLEDIRNAAGNQERGRSHNF >CDP12784 pep chromosome:AUK_PRJEB4211_v1:7:14517385:14538866:-1 gene:GSCOC_T00037435001 transcript:CDP12784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MGNNRPTTRSKNKRSRNDDNAEATAETFRRILSTGQVTEDDINQLYMVWKPICQGCRVNTKDNPNCFCGLIPPPSGSRKSGLWQKTSEIVHSLGPDPSKDLRLSSDSPAGLTNLGATCYANSILQCLYMNRSFREGVFSVEPEVLSQQPVLDRLARLFAQLHSSKMAFVDSAPFIQTLELDNGVQQDSHEFLTLLFSLLERCLSQSKVLKSRTIVQDLFRGGVSHVTTCSKCGKESEASAKIEDFYELELNVKGLKTLDESLDDYLSIEELQGDNQYYCCSCATRVNATRSIKLRSLPAVLNFQLKRCVFLPNTTTKKKITSAFCFPGQLNMACRLSEGSQSELLYDLSAVLIHKGAAVNSGHYIAHIKDESTEQWWEFDDELVSNLGQQPFGGNSSNSAAKSSPAEPVEFSSYGKTDVKDGHDTDTAQSNSDSSSNNHVKSFSSSDAYMLMYVLRSSKNSSDWHDNSDGQKLETVTNISAAQLNSPLPPHLSEEVELFNAEYVNSCKEYKLKKECEINRITERRQEVRSILSEAAAQSLDKQYFWISSEWLRHWADSIVSSSIDNTPIQCVHGKVSVAKVSSMKRLSAEAWTMIFSKYNGGPTLCKDDCCKDCLFEVARTMANADNYRDQRMLMRDIAEAALSGECRDGELYFVSKSWLHQWVRRKIIDSPCEGDAGPTASIRCPHGGLLPEQATGAKRVLVPEALWQFLHKSAMTVKPDDSEGCSTFSSDSEPCAQCSIELTEVATLEDNLREFKFRERQCHERLAMNRSISINPCSRYYLLPSSWLSKWRSYINATGKNNAATEPETLCSIINSLMCEKHSKLLERPPDVIYKRGVILQKAPATDALTVIAEDDWKQFSEDWGGVEAKGILAEIASNICSEEELFGSCEEMPISEDHIYMNNEAINGESRRPIIRTTPEVCEECIGERESCELMQKLNYSNEEICVCFVRGKEPPKSILEASGNVLDPSRRTSKRSRKSTSTNSKKLSVSGSTTVYQLKMMIWESFGIVKENQILHKGSRTIEGESACLADLNIFPGDILWVTDSEIHENRDIADELSDEKMDVEKLEEGFRGTLLASNISPQVVSEGCFN >CDP12898 pep chromosome:AUK_PRJEB4211_v1:7:13226032:13232545:-1 gene:GSCOC_T00037582001 transcript:CDP12898 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVVQSLQWSTLNSSENIMRRPSSSLRYTKRFNGNDQRHHQTLASCHLGFGKGVIGQRSVVLKVSCSSQNVSASVLESGSVPTSSDEAVILKKKSEDIEPYLDGRCIYLVGMMGSGKTTVGRILSETLGYSFFDCDTLIEQAVGGTTVAEIFKLYGECFFRDNETEIIQKLSSMQRLVVSTGGGAVVRPINWKYMHKGISVWLDVPLEALARRIAKVGTDSRPLLHGESGDAYTKALERLSTLLEDRGEAYANASARVSLENIAAKLGCKDVCNLTPTAIAIEALVQIEKFLKK >CDP02812 pep chromosome:AUK_PRJEB4211_v1:7:6723359:6729907:1 gene:GSCOC_T00040313001 transcript:CDP02812 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGEESTPAKPSKPTSTNQETPATPSYPDWSTSMQAYYGAGATPPFFASTVASPSPHPYLWGNQHPLMPPYGTPVPYPALYPGGVYAHPNMAMAPGAVQVPIESDAKAPDGKDRNTNKKLKGPSGNPGLIAVKAGESGKAASGSGNDGATQSAESGSEGSSDGSDENNNHELSATKKGSFDQMLADGATAQNNTSVAHFQNSVPGNPVVSVPATNLNIGMDLWNPSSGASGAMKMRPNPGVSPAVAPGMMTDQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQQRVESLNSENRALRDELQKVSEECEKLTSENNSIKEELTRLCGPEAVAKLESSSITQLETNGDEDDH >CDP02670 pep chromosome:AUK_PRJEB4211_v1:7:5710177:5712788:1 gene:GSCOC_T00040135001 transcript:CDP02670 gene_biotype:protein_coding transcript_biotype:protein_coding MVENPNVSKRQSVLPNLFRKSLGFYSLSLSRAFSCHQAKVPHNIRPEPPNPPFCRRSGIHFHSLTISGKTQYQHLLFCPKLPKYLVIIFSTTFAETTPPVTGLPLSWYNTKKLKRPCLPRCFNNFQVTFTFCIRFH >CDP01530 pep chromosome:AUK_PRJEB4211_v1:7:11096151:11096858:1 gene:GSCOC_T00036614001 transcript:CDP01530 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTKISSTTTLTSSVTTVDCQKQVRSWRLLRSILELLIPSCNCVFVKENELQDKKCCDYSYCYYRQPSFFSNTTISGTVFGHRRGKVSFCIQENPKSIPMLLLELAISTSTLARKMRGGFVRIALECNTCGNGTGSSDLLSMPVWSMFCNGREVGFAVKKNPSKVDMEVLQLMESVVVGAGTLRRGELKSEDDIMYLRGKFQRVQASSNSESFHLIDPDGNVGQEFSFFFLRSH >CDP02743 pep chromosome:AUK_PRJEB4211_v1:7:6222148:6223914:-1 gene:GSCOC_T00040222001 transcript:CDP02743 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEVVTPDRCHSDSSLILEYQQLYNRYAFCLANLRESIKEVQALGQDNESLRLANADLVHRLSLLSRATIHNCLLSDFNRLRISGNDTPSPRLYSREASPAPGPDVIQQNRFQRRHLAERVSLPKSISIRSAGYLKFKPKSAGKYEAPTTQASSPNRVNSPPLPDSEKARQRVYVAGGGREEEASQFEVYNQGMSKTELCNKWQETGSCPFAGNCRFAHGIMELRPVIRHPRYKTEVCRMVLAGDICPYGHRCHFRHSLS >CDP02480 pep chromosome:AUK_PRJEB4211_v1:7:4122113:4126222:-1 gene:GSCOC_T00039868001 transcript:CDP02480 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAIGVHNHDGCFMGSKEEMSSEHCIAAAAAASGLHFKKAPLYPQDEKKMELLECKILPAKKVNRRPSARERKLALLQDVDKLKKKLRHEENVHRALERALNRPLGALPRLPPYLPQYMLELLAEVAVLEEEVVYLEELVVNFRQGLHQEAVHTPCRCNENNAADSCTEVLSSRRRRHLRSFSHGNMNLESSWAAAMPSPSLARSFSTRKQASSDADGNMTYPHGRPTYGEQVLKKPNSPGEERLGKENQSWANLVKDKLAPAQKAAATKTRPIKPEQIGKGADSVKLQCRDVDQAQESSSGSSDDRVFEAETEANRTSQDILKCLCNIFLRLSTSKGKTLDSESFSSVIAGDLNEHNVDTDFRDPYLISTGSGKRDFGPYKMFPAIEASSVDFNRKANALFLIRRLRLLLGKLATVNLEGLSHQQKLAFWINTYNSCIMNAFLEQGIPDSPERVVELLQKARSLNSNCEVGGHLLNAITIEHFILRLPYHLKHTGPKSAKGDEMKAHALFGLEWSEPLVTFALSCGCWSSPAVRVYTAAQVENELEAAKREYLQAAVGISPANKLVIPKLLDWYLLDFAKDLEALLDWVCLQLPDKLRNETLKCLVRRGGDPLSQMVEIMPYNYSFRYLIYR >CDP02178 pep chromosome:AUK_PRJEB4211_v1:7:1908362:1910752:1 gene:GSCOC_T00039491001 transcript:CDP02178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive LRR receptor-like serine/threonine-protein kinase BIR2 [Source:Projected from Arabidopsis thaliana (AT3G28450) UniProtKB/Swiss-Prot;Acc:Q9LSI9] MAESSPKLISLILLIYLVTISNLGKFVIAEDDVKCLRGVKSSLRDPDGRFSLWNFSNSSVGFICDFVGVSCWNPNENRLIGLLLRDMNLSGGVPDALQYCHSLQTLDLSGNDLSGPIPPQICDWLPYLVTVDLSGNALTGTIPEDLVKCSYLNSLVLDDNKLSGNIPYQFSTLGRLKKFSVANNGLSGRVPSFAGVELNFDGNSGLCGGPLRKCGGLSKKNLAIIIAAGVFGAAASMLLGFGAWWWYFTKSGPRRRKGGYGIGRDDSDSWAERLRAHKLTQVMLFQKPLVKVKLADLFVATNNFSAENVIYSTRTGTTYKAVLRDGSALAIKRLSTCKMGEKQFRMEMNRLGQLRHPNLVPLLGFCVVEEEKLLVYKHLSNGTLYSLLSGNATILDWPTRFRIGLGAARGIAWLHHGCHPPIMHQNISSNVILLDEDFDARIMDFGLARLMTSSDSNESSFVNGDLGEFGYVAPEYSSTLVASLKGDAYSFGVVLMELATGQKPLEVGCAEEGFKGNLVDWVNQLSSSGRIKDAIDGALCGKGHDEEIVQFLRIACNCVVSRPKDRCSMYQVYESLKSMAEKQGFSEQYDEFPLLFGKNDAD >CDP01419 pep chromosome:AUK_PRJEB4211_v1:7:12352603:12354496:-1 gene:GSCOC_T00036461001 transcript:CDP01419 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKFSGERVSEIVFFDLETNVPNKAGQRFRVLEFGAIVVCPRKLIELESYCTLIRPADLSAVAERSGRSDGITREAVVNAPSFEEVADKIFSILDGRIWAGHNIQRFDCVRIKEAFAEIGRPAPVPVGIIDSLRVLTEKFGRRAGNMKMATLATYFGLGQQKHRSLDDVRMNLEVLKHCATVLFLESTLPNVLQGKWNSNSSMTTRSRSLLESSFPNAMDDKRYNSFTMTLRSNRGNFPYGESSRKSPPPSVGCRRVVPYTTGSLGKMTVRVGNLLCKVQNKPLSVLLRHSHSLLR >CDP02643 pep chromosome:AUK_PRJEB4211_v1:7:5479407:5481542:-1 gene:GSCOC_T00040097001 transcript:CDP02643 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTSWSLVQQFRQLLKTCIIQRDLLTGKSLHALFIKSLIPPSTYLSNHFITLYSKCGLLSDARKAFDTTANPNVFSFNAIIAAYAKESLAHFAHQLFDQIPQPDLVSYNTLISAYADRGDTLPALQLFRRIRLMGLDMDGFTFSAAVNAASDNNSLIQQLHCLAVLGGFDSYASVNNTLITWYGKNGFIDEAKKVFYGMEEIKDEVSWNSMIVAYGQHKEGSKALSLYQEMVRRDFSVDMFTLASVLTAFTSMEDLFGGLQFHAQLIRMGFHQNPHVASSLVDLYAKCRGSILDCRKVFEEIPDPDLVLWNTMISACSQNGEFYEEAVACFKEMQMSGHRPDDCSFVCVLSACSNLASPSQGRQIHALVVKADIPSNLLAVDNALIAMYSKSGNLQDARKVFNQMPEHNSVTFNSIIAGYAQHGLGKESLLLFEQMLESDTAPSSITFISVLCACAHTGKVEEGQKYFKMMTQKFRIEPEPEHYSCMIDLLGRAGQLKEAEKLIETMPHDPGSIGWGSLLRACRTHGDMELAEKAAKKCLQLDSSNAVPYVMLAHMYASAGRWEEVAKARKLMRDKQLKKKPGCSWIELDKRIHVFLADDSSHPMINEIYDFWEEMSKKMRQAGYWPTVRWKGEETARQGYHSEKLAVGFALLTTKEGDPILVVKNLRICEDCHNAIKVISAITGREITVRDCYRFHFFKDGHCSCADFW >CDP04779 pep chromosome:AUK_PRJEB4211_v1:7:14743949:14745571:1 gene:GSCOC_T00018879001 transcript:CDP04779 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKKSKPKLNSAESTQSPSTSQSKFPACLRSVPPSSVAITIHAKPGSKLATITDINDEALGVQIDAPAKDGEANAALLDYISSVVGVKRRQVSIGSGLKSRDKVVVVEEVTLQGVFDALDKVLKGQ >CDP16680 pep chromosome:AUK_PRJEB4211_v1:7:424458:429736:1 gene:GSCOC_T00019146001 transcript:CDP16680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 2 [Source:Projected from Arabidopsis thaliana (AT5G14180) UniProtKB/Swiss-Prot;Acc:Q67ZU1] MHLRAQKHLVVPKQEMGSSSLVIWSFFALLCPILNSSNEVVASSRGGPFRLAETEVAIGAAQAGICASAVTIHGYRCQEFNVRTDDGYILSVQRIPEGLAGGGGNSRPPVLLQHGVLVDGMTWLLNSPQQSLAMILADRGFDVWIANIRGTRYSRRHATLDPSKPEFWNWTWDDLVMHDLPALLDFVFQQTGQKIHYVGHSMGTLMALAAFSERKQIDKVKSAALLSPIAFLSHMTTALGVVAAKAFVGEITTLFGLSEFNPKGDAVASLLKALCAQPGVDCYDLLSALTGKNCCLNASTVELFLKNEPQSTSTKNLVHLSQTVRDGKLTKYDYGNAYFNVAHYGESKPPSYNLANIPNDLPIFLSYGGQDALSDVKDVETLLDSLKFHDIEKLHVQYIKDYAHADFIMGVTAKDVVYNQIVEFFRSNQLN >CDP02577 pep chromosome:AUK_PRJEB4211_v1:7:4981313:4983592:1 gene:GSCOC_T00040007001 transcript:CDP02577 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMAREKNLEKMKGPKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCREHAEAKHPKADVYACFPHLKK >CDP02718 pep chromosome:AUK_PRJEB4211_v1:7:6044413:6045822:1 gene:GSCOC_T00040189001 transcript:CDP02718 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLYSRLLFLPSHFKITPQAPSRSPFLAALQSIPANSGSLDVNFGCRCPMLHFQKQAARSYARGRRSDYDLFGGKVPGSKEFRKTWAKQMNDEEDCLWTASEDDESDKEDDHSRLKKDIKKAKQRAKKHSDRIDADDSDELWSVWSGSDEEKTLWTGSEEDDDDDLPTEPYPNERSDQYIDRLFEFEEKPKYRTLSEALKDEEGPEELSPGKQARKLALQNALKKLQKGPDGRYTNVWEVMSDLDVLIAAFENIVSGPEYEELRQGGPKKLNMEFFKDIQAKMRDPNYKFSPELKLKPKSKFVPRKRWQKAQSRRRKAQKR >CDP04756 pep chromosome:AUK_PRJEB4211_v1:7:15036928:15040866:-1 gene:GSCOC_T00018843001 transcript:CDP04756 gene_biotype:protein_coding transcript_biotype:protein_coding MVEISSSSSTDCFDSALDYLGWMNKTLEHELCFDIWNLEKEVRLLQTFDLYLRMCRRRRNHETCLEQDVEEKDVTSFRIQNLIKRRMPDLVFACSEYLIHSRLPGLTRIKSELTIFREAIKLFFETDIKESCINFLLGCYWLREPELVIDFIDSVSKNLAELRERNIFGTLKEKLMFWKSFILFAMLQGQQLIDLLIHAEVVAINALRLISIWWFDREGEVRNETELQISQLIGEKIIPSDPQVRETYIHVLTASKLSRSSDTSALEKNKHLVVDFVDCLVHNITELLESCTSILVPIIFHMQKLLDGLRFLTTLLRHPEKFKELRHEMKTLIGVVVCDAAIVIFSLSVNQLIKEGLAKENDVALFHLLKVLKFVRAEVAQVYPVTSVSPFGFPKTNELGSMDFLIENLIELESCNGADDSIAFPNDQIHTVLDHLVFLRSFLGKIVDQRNRNGKLHALWSRVMEVAYRAEFVIDSIVIGDKHEYLEGVVRDIQLLRTEIETYECTRHDTGAQRTNRKSFHVESKRSTPVLNDIVVGLDDEVKTIIDRLTRGSKLLDFVSIVGMGGLGKTTLANRIYNDPLILRHFHIIAWCAVSQAYSIRSLLVQLLCSICSESPDRYLEMDKADLAHMLYKRLKRNRYLIILDDVWEIEAWNLVKTSLPDDANGSRILFTSRVELQFSPDCNAHHLRQLTDEESWKLLQKKLFGKEGCPPRLIEVGSQIANFCRGLPLTVVIVAGILTNIAEDYWEEVAKSLTSSIVLDDEYCRKTLELSYSHLPDELKSCLLYFCAFSEGKMSNICSISWFWISEGFVRKTKGKSLENVANDYLKALVDRSLVMVTERGSTGGAKACRLHDLVHGFCVQKIKEENFIHNLRHGKDPCRLTCLSNPYRGFYVINSKKLKTPEAMLSFPNLRTMILIQSFDLTVLDLESSLPKLLRVLILGGLNSVADFPMEVVLLVHLRFLGLDIAGLKSIPSAIDNLSRLQTLVARGLDADCWLPKTIWNIKTLRHLHTGDDCGFIFPVENLEVSPCLDHLDTLNIAIDPSSQSLQKILTKFPSIRKLKCTRSCESREDPTRTGDRILVFESLSQLESLNLSFFVGYGFKFPLNLKKLTLSRNHQPWSEISTIGKLPNLEVLKLLHRSFDGEEWEMKDGEFPNLRVLKLSGLQFCSWIASSAIFPRLEKLVVHDCTKLEEVPSCLGECPTLEMINVRRCSESVESSVEQIQQEQMDMGNEVLRIVIEYIGYTSSDSEEEEEEEEESSSSETELSSEPSPSETEEESSGSETDSIEPSPSETEPLLLSFWYDMMQLAATS >CDP02343 pep chromosome:AUK_PRJEB4211_v1:7:3170812:3172058:1 gene:GSCOC_T00039704001 transcript:CDP02343 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSKKVDCDLSEPSENEATFLDQWLLSIGQTKPITFRKRQIRNNDLHFTYIRLLEFLLESARKVGVEGAAEFLEDPNKGLKEVNEELHQFSANISGVPHYVINGKYQLSGGQPPESFLRAFQMAAADAS >CDP02383 pep chromosome:AUK_PRJEB4211_v1:7:3436782:3442324:1 gene:GSCOC_T00039749001 transcript:CDP02383 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVATVTGYTGLERFNLIKLISLAGGNYVGRMSRSVTHLVCWKYDGTKYKLARQFETLVVNHQWIEDCIKEGRRLPEDPYTLQCGQQVGPLSITIPFSSDVVRHPRLQNDRNENLFPELEESRHRLKRKISEGSPKQENSSASTYRSTEPYSPSVQREEIEVLHSPQHPIFLSQKKGKSASLETSRRSRKRRLVKKNTRRETLDIISDSEEEISRQKDFHEQYCSGAVSNSSVQGEECRLSARVTCDSTGYQSGQKRTEALTNMQDIDDIHDNILDVNVASSYPVIDADANGNDIEQSTRLPTSTELSCVICWTEFSATRGVLPCGHRFCFSCIQSWADHAASSRKTSTCPLCKASFVIITKVDNAVSSDQKIYSQTVPHDDPRMGIYILDGGETPSIPSSSSGAPVCCHCSCREPEDLLERCDVCQIQRVHIFCLDPPAFPWICANCKDLQRLYLYRR >CDP02331 pep chromosome:AUK_PRJEB4211_v1:7:3030908:3032229:-1 gene:GSCOC_T00039692001 transcript:CDP02331 gene_biotype:protein_coding transcript_biotype:protein_coding MSICFSFLTQFYEYYQPILPPVLSFNLQQPKMPSHKTFMIKKKLAKKQRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >CDP01719 pep chromosome:AUK_PRJEB4211_v1:7:9297585:9298856:-1 gene:GSCOC_T00036859001 transcript:CDP01719 gene_biotype:protein_coding transcript_biotype:protein_coding MATATIKRLTATLKPTITSATIIRRKTTSAEYVASRARDPTFEKLMDKYKNLLKVISIQDLILATATPTSESNNPPSVPLGFLNRLSQKLHLNRGATAFLRKYPHIFHIFNHPTKFEPFCTLQLAALEIFDHESQAINASIPLVVDRLVRLLSISPTKTLPLRAIFKVWKELGLPDDFESSVIAKNPNLFALDDGNEPNTHVLKLVSEVDKSSLIASVEDWRLTECCREDCDVDRTVIRYSFKHNYPPGMRLRESFKAKVKEWQSLPYIGPYEEMPIEGTRRSKFGMKKMEKRAAGIVHEFLSLTVEKMVEVEKISQFRKWFEIDLNIRDLFLDHPGMFYLSTKGKRHTVFLREAYERGCLIQPNPVYEARRKLLNLVNMGRRGMLRRNAKLKNVGQSEGDGLEEVENYEDEELEEAESNEED >CDP02608 pep chromosome:AUK_PRJEB4211_v1:7:5263909:5268634:1 gene:GSCOC_T00040050001 transcript:CDP02608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MESEKEKFHWLLDDDIEVEKDWLDKERSKWVPPKHCRSEAETIDIFLERLNGSEPRVKDWKFSKIMKLSGLQFTEKQMLKVVEGLGERGKWRHALSVVEWVYSSREHRHFRSRFVYTKLLAILGKARRPHEVLRIFNFMRGDGHIYPDMPAYHSVAVALGQAGLLKELLKVIECMKEKPKIIKNRKSRNWNPELQPDVIIFNAVLNACVPSRQWKGVSWVFQQLRKSGLRPNGATYGLSMEVMLQSRKYDLVYEFFGKMKRSGVDLKALTYKVLVKAFWAEGKVSEAIQAVREMEDRGIIGTACVYYELARCLCFHGRCQEAIMEVEKLKNVHRTRPVEVTFTGMILAAMDGGNLNGCLAIFEHCKRCCSPDIGVINAMLKVYGLNDMFLEARELFEEIRRDYPGSGIFQNCWASSLSPDIYTFSLMLEVSASAMQWEYFEYVYKEMTLGGHKLHQHKHASMLVEASRAGKWHLLEHAFNTVLEDGEVPHPTFFTEMVCQAVIQHDHERAATLVNTMAHAPFRVSEKQWIELFEENEDRISRASLKELSDALCSHHLANEATVLNFTRALQFLCGPSSSSNSLDLISSGNNLTGLLPPDGCDQLSDGNGSINPNIAGVNPPKSLQVNINDRSSFDGLSRYNNGGSGSASPHIMLEHSGNAIASTDSGLTYWKSNSSDIKCNDLGACDDDDLEFEVSAGGRSDSRGSNVPSADEILKSWKEMRQTEGNFFSFPVVQNQIEMH >CDP16008 pep chromosome:AUK_PRJEB4211_v1:7:28590972:28595442:1 gene:GSCOC_T00016966001 transcript:CDP16008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFLAIPVPRNVSFAISDLNHHHHHRHRHRHRHASSIFLLPAIFFNFRKWSPTCTSPLILPIPRKIALHPLPSLPPSSSSSFFCNEEEEEETAREAVRQYLEQEVGVSKDESLRISSNSPKYIAMLIHSVQDLDQLSSSSGELALWSSGAPLSFMDKIYQMAKRKGDKGMLPYLESIGLTLSSASHLARYLSSRTLPTLIHQVKYVKEIFFSDSDDQGLIGKYARTMMMNLSISVDEDVQQTLSFFEKIQARRGGLNLLGSWDASFPQLIESFPGLLQLPIESHVKLMVEFLHHIGVPEGCLGKLFLLFPPLIFYDIEKEVKPRLLALRKVGAEDTDFGMLLLKYPWILSTSILENCEKVLDFFDKEKVPQDSVTNAIKFWPLLLGCSINKLKVMVEHFSELHIANKKLGRIIARSPRLLLQKPEEFLEVVLFFKDLGLDKASVGRILARRPEIFAGSIEETLKRKLAFISSIGVSRNQLPRVIKKYPDFFVCDVDRTLRPRMMYLMQIGLSKKDVGFMVRRFSPLLGYSIKNVLMPKAEFLVDVMEKPLSDLVDYPRYFSYSLEKKIKPRFWVLKGRNLECSLNDMLNKNDEEFAAEFMGVGRRLASPIE >CDP02588 pep chromosome:AUK_PRJEB4211_v1:7:5093216:5096960:-1 gene:GSCOC_T00040023001 transcript:CDP02588 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRASSAWVANHSSHVTYILAGIERVAEAVKDSIPKVEWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKEMSYEHLASGLKEALQNDKTAFDADRLQKYTGPELRKMLKWPRPLPLEDERVRLMHEVGLELERNFEGKALKLVESCGKSAVKLVALITRNFPGFRDHTVYKGHQVFLYKRAQIFAADLWGAFKGQGYGEFNDIGAITIFADYIVPAVLQQLGVLRYSSSLASNVDNQSEIGSGTEEEVELRACSVYAVEKMRELISKKCGKQVLSVELDLWLWSVGTRCPSLQHHRTLSIYY >CDP02290 pep chromosome:AUK_PRJEB4211_v1:7:2739546:2744018:-1 gene:GSCOC_T00039645001 transcript:CDP02290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT3G02090) TAIR;Acc:AT3G02090] MAIRRLLTLARRSQRTTTKHLRPFSTSSAAVAPASPSSTPSNFSPPPPTAMIYDRLAENVKSKLKQLEQPDSRFLKYSSPHPARVDHTPVLAAPLTRVTTLPNGLRIATESNLACPTATVGVWIDAGSRFESDETNGTAHFLEHMIFKGTERRAARELEEEIENMGGHLNAYTSREQTTYYAKVLEKDVPRAMDILADILQNSKFEESKITRERDVILREMQEVEGQTEEVIFDHLHATAFQHSSLARTILGPADNIMTITKQHLKDYISTHYSAPRTVIAASGAVKHEEIVDLVKKLFTKLSPTGSTTTELIAKDPAIFTGSEVRMIDDDIPLAQFAVAFEGASWTDPDSIALMVMQSMLGSWSKSAGGGKHMGSDLAQRVGINEIAESLMAFNSNYKDSGLFGVYAVAKPDCLDDLAYAIMYEISKLSYRVSEEDVVRAQNQLKSSLLLHLDGTSPVAEDIGRQLLTYGRRIPYPELFARIDAIDANTIKRVANRFISDKDIAISALGPIQGLPDYNWFRRRTYWLRY >CDP08414 pep chromosome:AUK_PRJEB4211_v1:7:25225045:25226323:-1 gene:GSCOC_T00027262001 transcript:CDP08414 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKIAKAEGKRSFRLSLLALSLGQDKGTRSKKGSREGGGRKKKQRKQEKERKKSERKKNFWQENFHKKELIFSHLSTKISPPF >CDP04610 pep chromosome:AUK_PRJEB4211_v1:7:17612526:17621768:1 gene:GSCOC_T00018614001 transcript:CDP04610 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNPDTVSYLTRKEATEIDELLMGPLGFGVDQLMELAGLSVATAIAEVYGASKYSRVLTICGPGNNGGDGLVAARHLHHFGYRSAVCYPKRTPKPLYGGLATQLESLSVPFLSEEDLPAELSGHFDIIVDAIFGFSFKGAPRSPFESLIQRLVSLKKFDKTQKASAIVVSIDIPSGWHVEEGDITGDGIKPDMLVSLTAPKLCAKKFVGQHHFLGGRFVPPIVVDKFKLQLPSYSGTSMCALIEKPPQIEIQAPRENYLSTDFLEEKVEVDPFDQLQKWIHHAVAAGVKDPNLVALSTAGRGGKLSSRMVQLLSVDNNGFLWFTSYENQKGRDLSENPHASILFYWDMLKRQVRVEGSVEKLSDEESEQYFHKNPREIRIRSIASEQSIVVPGRQVLHQQYEELKDYFDRSFSFIPKPTNWGGYRLKPEFFEFWQGEESRVHLKLRYFAKKVDERITWTVDQLTA >CDP01445 pep chromosome:AUK_PRJEB4211_v1:7:11969200:11972807:-1 gene:GSCOC_T00036497001 transcript:CDP01445 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTPARKPHTSTADLLTWSEAPPSDSSTLASANVSRSATRPQQPADGIGKVLFGGQITDEEAESLNRRKPCSGYKLKEITGSKIFSGESEDGASESGNGHVSSNNRTSVRMVQQAANGISQISFSTEERISPKKPTTLTEVAKQRELSGTPESEADSKMKKQLSDAKSKELSGNDIFGPPPEVPPRSLAAVRSMEAKESKDMGEPAPRNLRTSVKVSNPAGGQSNILFGEEPVVKTSKKLHDQKFAELTGNDIFKGEVPPGSAEKHLSTAKLKEMSGNNIFADGKVEARDFLGGVRKPPGGESSIALV >CDP02737 pep chromosome:AUK_PRJEB4211_v1:7:6156320:6159910:1 gene:GSCOC_T00040215001 transcript:CDP02737 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVTVLDNPAPFLTPFQFEISYECVTPLKDGFFYLPNACKPSWKEELSLLTEDGNLDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFVRVGYYVNNDYDDDQLREEPPQKVLIDRVQRNILTDKPRVTKFPINFHPENSESGEQGPPPEHAAEPEANEQVPASPGHLSDGGQ >CDP16716 pep chromosome:AUK_PRJEB4211_v1:7:651100:652475:1 gene:GSCOC_T00019189001 transcript:CDP16716 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSHSSVMFLSSSDKYLFALSLYSGFQYSSLVKLFLVVQLEVRFGDTKFPFLVYLHGGGFFIKSAFSSTYHAHLNVVFTNTISVYSVFLRPVAAKHYCLRESAEILLLERRILSENIFLHLHLVVPSLSSKSISSSGSVVRGDHHPRKLKPD >CDP02344 pep chromosome:AUK_PRJEB4211_v1:7:3172325:3177874:-1 gene:GSCOC_T00039705001 transcript:CDP02344 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCCCLRDECEDFANPNSSVYRNCICLRCFLQNFFHMYTSLFHREDQHAVPSSTQGAAALSSTASLDNSLSDMYRSPPRPLPYDADPRYFRLQRDGLVSRREKGSSHAHEETEPLRRSEVDEDSEPLSTGGKWNNCTGVEESKEYNSRSSLKLSAAKTTTGFAHIYSSSEDEDVCPTCLEEYTKENPKIITKCSHHFHLGCIYEWMERSDNCPVCGKVMAFDETP >CDP01770 pep chromosome:AUK_PRJEB4211_v1:7:8887003:8890488:1 gene:GSCOC_T00036928001 transcript:CDP01770 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPGDRSLKETPTWAVAAVCAVFVIISVLIEHGIHSLAKWFQKSQKKALLEALEKIKAELMLLGFISLLITVGQKPISKICISKGAGDTMLPCKKLLWYAGDALARRFLAAAGGDDTDHCSKYKKVPLISQSGIHQLHIFIFVLAVFHVLYSVLTIVLARAKVKKWKSWEQETASLNYQLTNDPSRFRFVHQTSFIRQYSGFSTKPGIRWIVTFFRQFFCSVTKTDYLTLRHGFINAHFAANSKFDFHKYIKRSMEDDFKVVVGISIPLWTFAILFLALNVYRWYSLFAISLVPPIMLVIIGAKLQIIIMDMALHIQDRTTVVTGVPIVEPSNKYFWFNRPHFILGLIHFTSFENAFQMAYFLWTWYQFGLTSCFHENLPVILAKVFLGVAVQVLGSYITFPLYALVTQMGSHMKKAIFEEQTAKALMKWQKAAKERRKLRKAGGDMSPDLMSGNTTPSRGSSPIHLLHKYKTNSDIENSINNPTPRPYHSDADYSETEGPALNSSDDQNARNHNTPKKEIVGKEPETYNWDFSFSKS >CDP02477 pep chromosome:AUK_PRJEB4211_v1:7:4093379:4098664:1 gene:GSCOC_T00039864001 transcript:CDP02477 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCTSKPSPEPNLHQDSKQNPTPTKDKNNPAQVKDNGGNSSSQQESKKSDAESAKKSPLFPFYSPSPAHYLFSKKSPARSSANSTPRRFFKRPFPPPSPAKHIKAVLAKRHGSVKPNEASIPEGNESDTVAALDKSFGFSKHFGNKYELGEEVGRGHFGYTCKAKFKKGELKGQEVAVKVIPKVKMTTAIAIEDVRREVKILRALTGHSNLVQFYDAYEDHDNVFIVMELCEGGELLDRILSRGGKYAEDDAKAVMVQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRTVLKADPSFEEQPWPALSSEAKDFVKRLLNKDPRKRMTAAQALSHPWIKHGNNVKVPLDILIFKLMKVYMRSSALRKAALRALSKTLTVDELYYLKEQFALLEPSKNGTISLENIKAVLMKNATEAMKESRIHDFLGSLNALQYRRMDFEEFCAAVLSVHQLEALDRWEQHARCAYELFEKDGNRAIMIEELASELGLSPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGVSSRSLPKVQ >CDP04743 pep chromosome:AUK_PRJEB4211_v1:7:15202946:15203857:1 gene:GSCOC_T00018827001 transcript:CDP04743 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPEKNRREISKYLFQVPVFYFEEVICIHAPMHALIHEIHWNSPSCFPPVFNCLSKALLLPTATVASEAASYSQVLDKWLDIRTLKKIHCRIILHLNLNSCISFGIKLMRAYAARGQTSITCQIFDRIPERNVVIFNVMIRSYVNNHLYHDALFMFKSMNSSNTNPDYYTFPCILKACFASMDLRVGLQVHTQVLKMNLDGNLYVGNGLIAMYEKCGSLTGACSVLDEMPRRDVVSWNSMVVG >CDP01758 pep chromosome:AUK_PRJEB4211_v1:7:8960996:8961307:1 gene:GSCOC_T00036914001 transcript:CDP01758 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWVKGIIINSLQQSPKLYNLHRASPVPSFAAILLSNSRPPSVNSAAAVISLREIQPSEI >CDP12789 pep chromosome:AUK_PRJEB4211_v1:7:14478592:14481619:1 gene:GSCOC_T00037441001 transcript:CDP12789 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKEQVESSLKSKLSPSHLEVVDVSGGCGAKFTVEIVSEQFEGKRLLERHRMVNAALSEELKEIHALSISKALTPNQWEQQQESEKSQAAV >CDP02097 pep chromosome:AUK_PRJEB4211_v1:7:1396939:1399526:-1 gene:GSCOC_T00039381001 transcript:CDP02097 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLQNPAVAGALESSNPDSGPPPKPKRNTLALACALLACMSSVLLGYDTGVMSGAMIYIKRDLKISDVRVEILVGTINVYSLVGSTIAGRTCDWVGRRYTIVIAGVIFLAGAFLMTFATTYSFLMIGRFIAGIGVGFAMMIAPVYSAEISPRSARGFITSFTEVFINFGVLLGYVSNYFFAKLPTNLGWRFMMGVGAIPSVMLIVGGFIMPESPRWLVMQGRVGDARKVLDKTSESIQESQERLADIKEAAGIPQDNPDGVVEVPKRKTGVLHITIAGIGLCFFQQACGIDSVVMYSPKIFEKAGMKTDNDRLLATISVGVTKTICILVSTFWLDKIGRRALLLTSSGGFVCSTMGLAVGLTVIDRHPNEKITWAIAFCFLCTLGSVGTFSMGMGPVAWVYSSEIFPLRLRALGGAMAAGANRLSSGIILMTFLSLSKAITIGGAFFLFGGIALLAFIFFFTLLPETRGRGLEEMEELFGTFFKWRSTAKELEERKRKRLESENKKNDLV >CDP01484 pep chromosome:AUK_PRJEB4211_v1:7:11531040:11532707:-1 gene:GSCOC_T00036556001 transcript:CDP01484 gene_biotype:protein_coding transcript_biotype:protein_coding MSALTVMCFSQFPQLRERGYTPLKDASCFTNGYMENTIDWIPGISSVRLKDFPTIIWTTDPKDEFVDYLVKLIPWTLKGAAVILSTFEPLEHGILEQFSSTMDHVQTDDHSTEAIQSNLWKEDDSCIEWLNSKKAGSVAYVNFGSITVMTENQLVEFAMGLANSMQYFLWIIRPDLVNGGPIDLPPEFFIASKDRGMLATWCNQELVLSHPSVGAFLTHCGWNSVLESLSAGVPMICWPFFADQQTNCLSYNNVNRKEVEHVVRDLMEGEKGKEIQKKTLEWKNKAEEAIKHGASSYLNLDKMIEEVLLAPKI >CDP02250 pep chromosome:AUK_PRJEB4211_v1:7:2465352:2466347:1 gene:GSCOC_T00039594001 transcript:CDP02250 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGALEMGSEVAKGAASGPVIRGAAVVDFFLRVVAIIATLGSAIAMGTTNETLPFFTQFIRFRAKYTDLPMFTFFVVANSVVSAYLVLSLALSIFHIMRSRAHASRVVLIFFDAGMLALLTAGASAAAAIVYLAHKGNSRANWIAICQQFNSFCERISGSMIGSFGGILIFVVLILLSAVALSRR >CDP01772 pep chromosome:AUK_PRJEB4211_v1:7:8870229:8874618:-1 gene:GSCOC_T00036930001 transcript:CDP01772 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWTLLEVASMPIIQVLLISVLGAVMATEHLNLLPADARKSLNKIVFMVFTPSLMFASLAKTVTLDDIISWWFMPVNIGLTFVFGGILGWIAVKLVKPRPHLEGLVIATCSSGNLGNLLLIIVPAICKEDGSPFGDHNTCSTIGLSYASFSMALGGIYIWTYTYHLIKNSARKYEAEKAAWVETLAEPNKDLDADEKSQLLEANAREHVSVSVDSTEPTEDDVENQNVVSQGSSFKPKQQNASFWTKLFGIVYQILEELTTPATLAAIIGLIFGAITWLRNLIIGDNAPLHVIQDSIALLGDGTLPCIILILGGNLIQGLRKARLKPAIIVAVICVRYILLPGIGIGVVKAATHFGFLPPDPLYHFVLLIQYTLPPAMNIGTMTQLVDVAQEECSVLLLWTYLFAVLSLTVWSTIFMWILA >CDP16647 pep chromosome:AUK_PRJEB4211_v1:7:179526:186350:-1 gene:GSCOC_T00019103001 transcript:CDP16647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MMYLRVTLVVALLLLSGGGAAQREGAAHGVHKHQAPWRIHTLFSVECQNYFDWQAVGLIHSFKKSRQPGPITRLLSCTQEERKTYRGMDLAPTFEVPSMSRHPKTGDWYPAINKPAGIVHWLKHSKDAQNVDWVVILDADMIIRGPIIPWELGAEKGRPVAAYYGYLVGCDNILAKLHTKHPELCDKVGGLLVMHIDDLRALAPMWLSKSEEVREDRAHWATNYTGDIYGQGWISEMYGYSFGAAEVGLRHKINNNLMIYPGYIPLDGVEPILMHYGLPFRVGNWSFSKLDHHEDNIVYDCGRLFPEPPYPREVNQLETAPDKRRALFLNIECINTLNEGLLLQHATYGCPKPKWSKYLSFLRSKAFAELTGPKLLTPKTLQMMDEDRAQVVDEPHVQVVDEPIKPHPKIHTIFSTECNSYFDWQTVGLVHSFQLSGQPGNITRLLSCNDEDLKQYKGHHLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVKTDAEYIVILDADMIMRGPITPWEFKAARGRPVSTPYGYLIGCDNELAKLHTRHPEACDKVGGVIIMHINDLRKFALLWLHKTEEVRADRSHWSRNITGDVYESGWISEMYGYSFGAAELNLRHQISNEILIYPGYVPVPGVKYRVFHYGLEFRVGNWTFDKANWIHTDVVNSCGAKFPDPPDPSTLDHSDDDSLQRDLLSIECVKTLNEALHLHHERSKCNVHSPQTISNQDSSETTISRKFGKFEEVHNLTYNTTTTNNSQEISPPEEPNQTFSSMRFWIISLWAFSILGFVIIMSVMLSGRKGQKKRGKVYKPKRRSSYSGFWDTNGHDRHLRSGEMS >CDP02008 pep chromosome:AUK_PRJEB4211_v1:7:7088970:7090910:1 gene:GSCOC_T00037213001 transcript:CDP02008 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLERQRAVLQRLYQQQQHQQDMHSSQPTTSSMPLFQYQNLVNPLKVHQENSPNFGFTCSEITTTNTNLEMDHHQLSCSNVTAASSKTHHVASSKKRKAEVGITVKSEKENSGRNSRENSSSKASEVVQKTDYIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKVTGKAGMLDEIINYVQSLQKQVEFLSMKLATLNPRLDFNVDNIFAKEFPAYIASFPTAATPIEVVHSALLQFNQGQQEPTSSGPDMAINPAQMVPQRTGTSSLTFPEAYFDSISSLSTPTWDSDWQSFYNNVVSYH >CDP02073 pep chromosome:AUK_PRJEB4211_v1:7:1207112:1208926:1 gene:GSCOC_T00039354001 transcript:CDP02073 gene_biotype:protein_coding transcript_biotype:protein_coding MADRDRSPPQQLQVHHQHLGYGEGGVKTLFPQTGPSAIQVLAVVTLLPVGGTLFGLAGLTLVGTLIGLALTTPLFVICSPVLVPAVVLFGLAVTGFLSSGAFGLMGLSSLSWVLNCFRQKATEQMDDARRRMQEAAGQLGQKTKEVGERIQTKAQEPTGRDQGGREAGK >CDP16689 pep chromosome:AUK_PRJEB4211_v1:7:479853:481113:1 gene:GSCOC_T00019156001 transcript:CDP16689 gene_biotype:protein_coding transcript_biotype:protein_coding MSFILLKNKFISDFRLAKWLPSQWTHHSIVPIEGTFGHLAPEYFMHGVVDEKTDVFAFGVFLLELLSDKKPAKPILSRWEIEKVVDPRFGGFYDIKQLNRPAFAASLCIPGSSIWCPTISEVLLFPFNYYNYCIISQPKTRSSHATIPAHLLPLNFSSILFKYACLILDKV >CDP01816 pep chromosome:AUK_PRJEB4211_v1:7:8521108:8525500:-1 gene:GSCOC_T00036986001 transcript:CDP01816 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMVVCSANYVPLTPISFLERAAFVYGQRVSMVFGDTRYLWKETHERCIRLASALSQLGITRGDIVAAVAPNIPELYELQFGVPMTGAVLCALNPKLNATTLAVKLQQLEAKAIFVDYEFTKVVLEALGSLSQTKNNSPVLILIQENHTNAFSAIPINFRLDYHALLATGKLDFDISYPKSECDPISICYTSGSTGKPKGVIYSHRAAYLNSLGEIFRIGMRQKPVFLWTVDMFRCNGWCFPWTMAALGGTNICLRDVTGTAILNSIFLHDVTLFCGPPILLSKIAETLAVDPQPLPQKVDVIVAGAGALPEPQIQTKLSELGFNITCAYGMTEALGPVTSRTIRRSCHDETSQLDHEDARTRIREGTHSLIIEGADVKHPTTMESVPADGKTVGQIMFRSNTLMSGYLKNAQATEEAFQGGWYRTKDLGVKHPDGYIQMKDRAIDVINCGGEIVSSLEIEDVIIRHPMVSEVAVVGRPDELLGETPCAFVKLKDGCCIVEEEIMDICASHLPEHMRPKSVFFGELPGNSTGKVQKFVLRDRLIKGDGKPL >CDP01986 pep chromosome:AUK_PRJEB4211_v1:7:7210069:7210452:1 gene:GSCOC_T00037187001 transcript:CDP01986 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISCQQTASGFDFGMTAPEQTLEEAESGIKGHAQSLIQVKELLEAESWNAAQKALRKSSAHLKQDMYTIIQAKPGSERPELRKLYSDLFNSVTRLDYAARDENVPRIWDCYGNVVSALSNILSRL >CDP12812 pep chromosome:AUK_PRJEB4211_v1:7:14257948:14259783:-1 gene:GSCOC_T00037472001 transcript:CDP12812 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP02326 pep chromosome:AUK_PRJEB4211_v1:7:2990735:2992514:1 gene:GSCOC_T00039685001 transcript:CDP02326 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGGENVKEGENNLNHLHSKKSRSRADDFGLSIAKVAVAQICEAAGFQGFQRTALDTLSDVAVRHILEIGKTGNMFANLAGRSQSNVFDIIQGLEDLGSIQGFSGASDVHHCLLGSGTVREMVRYVGEAEEIPFAYSLPGFPVLKEREPGRTFEQTGGSPPAEHIPPWLPVFPDPETYVSLHKIDEKMAQIWEDEVGGPVEKRRELDKTFANLQQRMACNGTQPSVEVDFGNEAKEKGLVECNPFLTPPLQHGEKEVSLVLPPAKLSDEVFLQSANLEVPVSHISAMETFAPGIEAVKSGTFDFEDGRKKVPLNGRPNVRFKLGGGKRCLRVAISSQNQGIDKNSTWFRNDDGMDDKKRRVEQILKPSYESAGTDSLVN >CDP04731 pep chromosome:AUK_PRJEB4211_v1:7:15347696:15353521:1 gene:GSCOC_T00018808001 transcript:CDP04731 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSGLNQTKSLSGTSSSSMEARQRSLEDACKRRLHKIRVKAIEKPFQLVSLQERGAKYRLHPLKLILFFILLGTLLKIIFSPAVCIGDSIPQAVSRPHFVNRWIWGGVDPRYISHVDVNWDEVSKVVKKMPDNNNIQRVGLLNFNRSEVSQWKQFIPYANHTILRLDFADSNITWESLFPEWIDEEQEDELPSCPSLPKIKVPEKRLDLIAVKLPCKNDGNWSRDVARLHLQLEAANLAAASKGNYPVNLLFVTQCFPIPNLFPCKELVAREGNAWLYKPNLNVIREKLRLPIGSCELALPHGNYERDNAVKKPREAYATILHSAHVYVCGAIAAAQSIRMAGSTRDLVILVDDTISEYHRSGLEVAGWKIRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFKMPQISATGNNGTLFNSGVMVIEPSNCTFHLLMEHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWIGDDEAVKQKKIRLFGAEPPILYVLHYLGYKPWLCYRDYDCNWNVDILQEFATDVGHRSWWKVHDAMPERLQDFCLLSSRQKAQLEWDRRQAEKANYTDGHWKLRIEDPRSKKCIDRLCNWKSMLRHWGEKNWTDDPHFYPTPPSITTASLSS >CDP02005 pep chromosome:AUK_PRJEB4211_v1:7:7103928:7107060:1 gene:GSCOC_T00037208001 transcript:CDP02005 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSNSLFSALSIFLLGFLWFISPPAEAALKTYQFDIQVKNVSRLCHAKPIVTVNGRFPGPTVYVREGDRVQINVTNHAQYNVSIHWHGLKQYRNGWADGPAYITQCPIQTGSSYVYDFNVTGQRGTLWWHAHILWLRATVYGAIVILPQQGTPFPFPKPEREEVILLGEWWHADVEKLVNKANQLGSPPNKSDAHTINGKPGPLFPCSEKHTFVMEVEQGKTYLLRIINSALNDELFFGIAGHSMTVVEVDAVYTKSFTTQALLIAPGQTTNVLVHANQIPGRYFMAARPFMDVQLPVDNNTATGILEYKGIPNTVLPTLPHLPKSNDSAFAFRYNKRLRSLNSPQFPTNVPLQVDRNLFYTIGLARNSCPACLNGTRLMASLNNISFTMPETALLQAHYFNVKGVFKTDFPDQPPKPFNYTGAPLTANLKTTIGTRLSKIAFNSTVELVLQDTNLLSVESHPFHLHGYNFFVVGTGIGNFDPGKDAPKYNLIDPPERNTVGVPTGGWTAIRFRADNPGVWFLHCHLEIHTGWGLKTAFVVEDGPGADQGILPPPKDLPKC >CDP02420 pep chromosome:AUK_PRJEB4211_v1:7:3676176:3676798:-1 gene:GSCOC_T00039791001 transcript:CDP02420 gene_biotype:protein_coding transcript_biotype:protein_coding MKALQLKFPLGLLSPPFFFSQFDSIPPSFFHLQQRIDKRGDGRISLTSSLLIVVLCGDYAIN >CDP02461 pep chromosome:AUK_PRJEB4211_v1:7:3982425:3985424:-1 gene:GSCOC_T00039844001 transcript:CDP02461 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAILLHICLGLSAWLSTSYVKAEDPYRFFTWVITYGQIAPLGVKQRGILINGQFPGPTISCITNDNIIVNVINKLDEPFLITWLVHGIKQRKGSWEDGVLGTNCPIPPNSNWTYKMQMKDQIGTYNYYPSTLMHRAAGGFGGFNIVARSIIPIPYPKPYDEFTLLVSDWWNKDNKVLQKILDDGTPFPPPDGLLINGSPKSTKFTGIKGQTYLIRVSNLCLVSSINFRIQGHILVLVEVEGSHTMQDSYESLDVHPGQSSTFLVTLRASILQDYYIVASSRFTKPLLTATAILHYDGSTTQPAGPLPSGPAGQLHWSMRQARTIRWNLTANAARPNPQGSYHYGTIPIVRTIVLANSAPQISGKKRYALNGVSYVNPSTPLKLADYFNISGVFVLNAIKDFPTSAPAAQGVSVFGITLHDYMEVVFQNNENNLQSYHLAGFDFWAVGYGGGQWNITMRKLYNLVDATTRNTVQVYPNGWTAILISMDNKGMWNLRSQIWPRRYLGQEAYMRVWNSERSLYTEYDIPDNALLCGKAKA >CDP01642 pep chromosome:AUK_PRJEB4211_v1:7:10010113:10011814:1 gene:GSCOC_T00036758001 transcript:CDP01642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 60 [Source:Projected from Arabidopsis thaliana (AT5G37660) UniProtKB/Swiss-Prot;Acc:Q0WPN8] MATTATKLRRFLPLFPIFLCLFSLFIIPSHSSLDSFIYGGCSQIKYTPDSPYESNLNSLLTSLVNSATYAAYNKYSVTGSSPQDVVYGLYQCRGDLSMPDCATCVTRAVSQFGGLCPQTCGGALQLQGCFVKYDNTSFIGQEDKTVVMKKCGPSNGFDTDAMNRRDAVLGSLGSAGGLYRVGGAQDIQGVAQCVGDLSMGQCQDCLSEAIKRLKTECGGAVFGDMFLGKCYARYSTSGDHAYAARSDHGSSHSDSEKTFAIIIGLLAGVALIIILATFMRRTFGENGK >CDP02436 pep chromosome:AUK_PRJEB4211_v1:7:3797071:3800729:-1 gene:GSCOC_T00039812001 transcript:CDP02436 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNSTLDVKESCSGGHDNNTSCYSGASKNSSKFFDYDHLKQINCTVVYSSIAVDTNDDDNRSSVSTALLLNFQTLELGWWLDGPCNCHESASCTNVSTRSGRQGYRCRCKEGFVGDGFAGGGGCKRASTCNASRYMPGRCGGTTKVGILVGGIIAGASLMVVLSLFYYCIRKRSKLLKSRMSAKRLLGEAAGSSGISFYPYKDIEKATLAFSDKQRLGTGAYGTVYAGKLHNDEWVAIKKIKHRDHESIEQVMNEIKLLSAVSHPNLVRLLGCCIEDGEQILVYEFMPNGTLSQHLQREKNSTITTSQRWQTLAFRDLA >CDP04736 pep chromosome:AUK_PRJEB4211_v1:7:15291576:15293784:-1 gene:GSCOC_T00018814001 transcript:CDP04736 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMLGHGHISPFLQLAKKLTERGIHIYLCSTPINLNSISKKITGKYSESIQLVEFHLQELPELPSRYHTTNGLPSHLLPIFFNFLTVQS >CDP01422 pep chromosome:AUK_PRJEB4211_v1:7:12290102:12291821:1 gene:GSCOC_T00036465001 transcript:CDP01422 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRARAPMRRTTSMTGITVDVGAGNMAMDAPASLDPQNPITSGDHGVPEGHVMVGPSAYDHRLTAPMLLSPRYFRRDPSADHHQPLETANFLRTCGLCNRRLAPGRDIYMYRGDSAFCSQECREQRMKQDERKEKYRMAASKKVEGHHNQYSELANSASESSSNSETVAAA >CDP01721 pep chromosome:AUK_PRJEB4211_v1:7:9292701:9293235:1 gene:GSCOC_T00036861001 transcript:CDP01721 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSLWGYGSVSGSKTKEMIEQAKEELQILEAQQPDNFNYLKDELKSFISRLESQSLPLPSAGFFLFFMGTSLLYIVVITESSACKKRKKEDSLEDENIEGPKRKSQRVAGDERRNRIDLAIERARECLEKIQRFKTSFC >CDP02300 pep chromosome:AUK_PRJEB4211_v1:7:2800530:2803781:1 gene:GSCOC_T00039655001 transcript:CDP02300 gene_biotype:protein_coding transcript_biotype:protein_coding MIHECGQFLPLSTTLTYLLSSAAPGPKSISVTRWQTLLYNSANAKCIRTTKQLHAYTITSGLLLSPKSTHLLSLLASAYALGGQIVVARYLFDKSPDRTFFSYKTMIRMCAEDGSSHKALKLFAEMLESGRYKPDNYTFPFVVRACGDSLLPQLGTAVHGLALVSGYGSDTFTANCLLAMYMNVGDREGAKRVFDAMEEPTIVSWNTMISGYFRNDSAKEALMLFRKMVDGGVETDAATVVSVLPACGFLKDLELGREVHLLVIKKGLGKKVSVRNALVDMYVKCGKMDEARSVFDKIIEKDVVTWTTMIHGYSLNGDIRSALGLCQLMQFEGVKPNAVTLASLLASCANLPNLKLGKCLHGWALRQRLESDVNVETALIDLYAKCKCMRLSFKVFFKTSKTRTVPWNAILSGCIYNVLAEEAIELFREMLLEGVKPNDATFKSLLPAFAVQADMQQAMSLHSYLIRSGFILRTEIATGLVDIYCKCGNLKSGHRVFSGVPLKKRDIVLWSALIAGYGTHGHGEIVLSLFYDMVQSGIRPNEVTFTSVLHACSHAGMVDDGLSLFNFIYGSFPLCLRTNHYTCMVDLLGRAGRLEEAHELIKSMPFQPSPTVWGALLGACAIHENVELGEEAAKWLFELEPANTGNYVLMGNIYAALGRWKDAENVRHRMNEVGLLKSPAHSVIEVRKPA >CDP02550 pep chromosome:AUK_PRJEB4211_v1:7:4769905:4771479:-1 gene:GSCOC_T00039968001 transcript:CDP02550 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSNSSSQVKDRGVHGNPKRTITAFDFKKALNFVRSHVSFHQFAWALILFLLEFCIFLAFTATTRAPSPPTAADRLSPVRRPQLQGTPPEQVSQQPLVPPHHPDDRAQCKYGRVYVYDLPPIFNKKLLENCQDLDPRRSQCAAVSNDGFGPNATTLAGTVPRELAHAWYWTDLFAGEIIFHARISTHRCRTMEPESAAAFYVPFYAGLAVSKYLFTNYTAKERDAPCQNLLRWIKGQPHWKRSNGSDHFLMLGRSSWDFRRARDGDWGTSFLLMPLMRQMFRLTIEKSLGDPLEVSVPYPSGFHPRTTSEVGQWQEFVRSRKRSSLFTFVGGKRGYIKNDFRALLLDQCYEESDSCKAVDCAKTPCLDGASSVLDAFLDSDFCLQPRGDSVTRRSTFDCMLAGSIPVFFWEGTVGGQYELYMSDQTESFSVFIHRNKVRNGTSIRKVLEGYSREDVKRMREKVIDMIPRISYAFPAAEGGLGNLKDAFDIGVEEVLRRIVKNANPYRSGVGTRIMNEITFPE >CDP01617 pep chromosome:AUK_PRJEB4211_v1:7:10203803:10204909:1 gene:GSCOC_T00036720001 transcript:CDP01617 gene_biotype:protein_coding transcript_biotype:protein_coding MAISATISSRYGGSFLQQNLDHFKISVQTIPRSQNIRMIVPKKINPASHVANSSALEAAQVQEKKPLSLDSPFPDFRFDEYMNTKAISVNKALDDAIPLQEPIKIHEAMRYSLLAGGKRVRPMLCIASCELVGGDESLAMPMACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVYGEETAVLAGDALLSLAFEHVAAKTGNVEASRVVRAIAELASSVGSQGLVAGQIVDLSSEGEQVDLNHLEYIHVHKTSKLLEAAVVCGAIVGGANEAEIERMRNYAKCIGLLFQVVDDILDVTKSSEELGKTAGKDLATDKATYPKLMGLERAKKFADELVAVATEELSHFDAVKAAPLYHLANYIAYRQN >CDP02513 pep chromosome:AUK_PRJEB4211_v1:7:4472416:4478820:-1 gene:GSCOC_T00039914001 transcript:CDP02513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 7 [Source:Projected from Arabidopsis thaliana (AT2G23360) UniProtKB/Swiss-Prot;Acc:Q9SLN1] MDHKSWLWKRRSTEKTIISDDKTNLSLSRGEEETLLSDKAQLELDLKILNHKLSSAISECNNKDDFAKQQAKIAQEAIAGWEKTETEALSLKQELEKALQQRSVSEERLLHLDAALKECMQQLRFVREEQEKRIHDAVMKTSKEFESTRFVLDQKLVEANKRLAKVDGENGQLSKALLAKEKAIEELHKHTTQMEVDFSSLVTRLESTEKENVSLKYEVRVLEKELEIRNEEREFNRRTAEVAHKQHLESVKKIAKLESECQSLRILVRKRLPGPAALAKMKNEVEMLGRNQAETRRRKSNPSPVSPMDISADMASDTLRQRISFLTEQLCFMEEENRTLKEALNKRLSELESPEQLEGHETAEPEKNKHYLHTFSVASMSDMGSDDKTSCTESSASAFILELEQLRSGKQMGTPSSRTVGASDMSLMDDFVEMEKLAVVSADDPPGASNRLAENDATQSPLRTQPNGAMIPVCHLSNLSVPSHQILSQNVSPNKAHILFESIIQIVLEQSQALKRKPSEILDEIKAGLPQFCHPNFQLTDKKESSNQDDALCVTKDMSERSSNVLEEDSSDKGTCNTTATKSSQKVQLNLNKSLHKIIELIEGISIPPLDNGNTELLSRNYDNLLHFKDTETPTGYIGRVFQWKASELSTTLKQFVQTCSDLLNGKSGLETFAEQLACTLEWIMNHCFSLQDVSSMKDAIRSYFDWDESRSESEADSGATNHVSESNKLNIQNGEKCTLPLVPASNDEHSHFQVEEVLPNFRKEFRRLKDELPNKESAENDFEGRLQLEAIKNESLINQLQESNKTSKTLQVEVESPKPMKEKTSHQNQKHKQVKEALERQLMETKNELKEACEKLMYTEKELPNQKSSCQKLNGTYDDQELPMESMVEKGTVDGIANHGRELRTDWEIVAASEKLAECQETILNLGKQLKALASPMEAALFDKVVSSPSNPVVATMTTPKKNTRQRSSLLDKMLAEDKYETEDLKSPKTKEIILEGNSYSVFGPNWTIEPPEKVASSNGVNYSNNEAEIGSLAIVPSKKRGSGGLLKKLLRRGRNSSCKKIVS >CDP02316 pep chromosome:AUK_PRJEB4211_v1:7:2924383:2927324:-1 gene:GSCOC_T00039673001 transcript:CDP02316 gene_biotype:protein_coding transcript_biotype:protein_coding MGECRPPTPILEEEEENLIAAAQNIVKALETNKNLTNDARKILAGLGTQLSSIAKACEEKAEREEEAEEKGLSEIEEQLNRVQDKVMRWEANQSMIWDCGPDEAYEYLRAVDEGRKLTESLESLNLAKDSEEDGFLHRAHDVLQTAMVRLEEEFKHLLVQNRQPFEPEHMSFRSSEDDTIDDGSIVSYGDESVEDVVQRDSISRGSEEYIIELVHPDVIPDLKSIASLMFVSNYGRECSQAYVSVRKDALDDCLFILEVEKLSIEDVLKMEWNTLNSKIRRWVRAMKIFVRVYLASERWLSDQIFGELESVSLACFAESSKASILQLLNFGEAIAIGPYQPEKLIRILDMYEVLADLLTDIDALYMGATESSVRTECQDVLRRLGDCAKATFLELENAVATNISANAFPGGGIHHLTRYVMNYIRTLADYGKTLDVVLKDHEKEDHVSTSPDMSPVSEEDNSSCESSSASPVALHFRSLLSILECNLEDKSKLYRDESLRHLFLMNNIHYMAEKAKSSELRNVLGDEWIRKHNWKFQLHAMNYERATWSSILSLLRDEGIQNPGSTSISRTLLKERLQSFYLAFEEVYKSQTGWCIPDSQLREDLRISTSLKVIQAYRTFVGRNTNHISEKHIKYNADDLEHYLLDFFEGSPKSLHGSHRK >CDP02432 pep chromosome:AUK_PRJEB4211_v1:7:3777480:3780566:-1 gene:GSCOC_T00039808001 transcript:CDP02432 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKPSSKGQAWFCTTGLPSDVVIEVDDMTFHLHKFPLMSKSLKLHKLITEQEKIPKTTSSSFSSAKFQKPSESEKDDADDNNTSTKNKDDQGEIIAEEELVDDEDEAEYCHITLPDFPGGSEALETAAKFCYGVKIELSPSNVAALRCAGGFLQMTEEYSEDNLISKTERYLSQTVLRNIKDSVQTLHSCQKLLPLAENLGIVQRCLEAIASKASSADPSLFGWPVSEGIAERAGNNARRKLTGAAAARGGGAAVAGAEVWFEELTLLSLEFFKRLLSAMKEGDASAEIIENCLVYYAKKYIPGISRANRKPSSSISSSSSVPSENEQRELLETVISNLPTEKSSSSSTTTRFLFGLLRTANILNCSDACRATLETKIGSQLEQATLDDLLIPSYSYLNETLYDVDCVERILGYFLRGLEERSASRIEGEGENSSVRSAALMLVGKLIDGYLSEIASDANLKSDRFYQLAISLPDHARLFDDGLYRAVDVYLKAHPWLSEQEREKICGILDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLLAADVPPALETGRQLEPGEEDEEVVGTGLARAGEGEGNGNTSTWRAAVRENQVLRLDMDSMRTRVHELERECSTMKKAIETIDKVGPRRERGGGGWRSKFGCKFKTQVCDSHESATVVEARKGRSHRHSSPK >CDP01550 pep chromosome:AUK_PRJEB4211_v1:7:10902489:10903467:1 gene:GSCOC_T00036640001 transcript:CDP01550 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKAKEPEQYGGRCRYGCCGRRGPYGCSKCCRTANEAEATQVNADINGNEGGGHGGGGGYGGGGGGHGGGGGGSGGGHGGGGGHGGGGGYGGHCRYGCCGGYGKYCRCCYNPEEAQAFVQNEN >CDP04773 pep chromosome:AUK_PRJEB4211_v1:7:14835185:14837524:-1 gene:GSCOC_T00018871001 transcript:CDP04773 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLLFLLSSVSCLATALAQQSHLNISLGSSLTPTGNSSSWSSHSGLFAFGFYQQGNGYAVGIYLAGIPGKTVIWTANRLSPVFPSNVSLVLSSDGRLILQHTQGQDIVIADPSESISSASMLDSGNFVLYNSDKKIVWQSFEDPTNTLLPGQQLATGHELYSSVSETDDSTGIFRIKMQDDGNLVQYPVRKPDTSEYAYYASNTFGNGVTLNLDGDGHLYLINSSLTILSNLTKGGYPQDGRVYMAKIDVDGIFRLYSYSSNHGNRSILWESVEDKCAPKGLCGPNGFCTMMDNVSECRCPPGFDFVNPGNWSSGCERNFTAESCKSNSITNVKYEIRSLENTVWEDNAFATMETSTQEDCEKQCLDDCNCEAAFYKDGECRKQKLPLTYGKRTSDSNVALVKVGTLASISEGVIPSSPPKSIKKEIRVDILVIGISLAVFGVMISVIAGVYVHRNQVRAYKKITQNGNVEYVEDAAPKAFTFDELEVATNDFREELGRGAFGAVYKGVFPNSGKVVAVKKLEKLLVEGEKEFQNEIRVIGRTHHRNLVQLLGYCLDGNKRLLVYEYMSNGSLGNILFKPENHPWWDERIRIASDVARGILYLHEECETQIIHCDIKPENILMDDSRCAKISDFGLAKPLDHDRTRTYTAVRGTRGYVAPEWHRNLPVTVKADVYSYGILLLEIICCRKNVDSRFPEEQSILEVWAYDCFVDGELHKLVGEEEAVDMTKLERMIRIALWCIQNEPTLRPSMKKVVLMLEGTVDVPVPPSPDSFFSAM >CDP01919 pep chromosome:AUK_PRJEB4211_v1:7:7710841:7714173:1 gene:GSCOC_T00037101001 transcript:CDP01919 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRKNYEYFHFRNGLLVSPAWLVMDHNHFSIKTYMAITAERDAAIQERNMALEERKRAFSERDMAMLQRDAAIAERNSAIQERDEAIAALQIRDCSVNNMLSDSAEDGVADGTEDMHYQHMHHAMVNAAFSPRDILTSETFNSAQVASETAKATKVRQPKEGKMTKSVKSPRSPKRRAEGMIKPLTPASSNGWNAGHDLKREEELEGHLGTWKDNIGLNQINFDETAMPVPVCSCTGTPQPCYKWGNGGWQSACCTTTMSVYPLPQVSNKRYTRVGGRKMSGSAFNKLLNRLVAEGHDLYSAPLDLKEHWAKHGTNRYSTLK >CDP12863 pep chromosome:AUK_PRJEB4211_v1:7:13583841:13586301:-1 gene:GSCOC_T00037539001 transcript:CDP12863 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLKTPNKLHFVSPLCGFADKVSALSSLKPQISQEFSKFGCKKSCFRGGSGRNGWIKASSSAILELVPETKKENLEFELPLYDPSKGLVVDLAVVGGGPAGLAVAQQVSEAGLSVCSIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDATWSGAVVYIDDQNTKDLDRPYGRVNRKLLKSKMMQKCIVNGVKFHQAKVVKVIHEESKSLLICNDGVTIQAAAVLDATGFARCLVQYDKPYNPGYQVAYGILAEVEEHPFDVNKMVFMDWRDSHLNNNLVLKERNRRIPTFLYAMPFSSDRIFLEETSLVARPGVPMEDIQERMVARLRHLGIKVKSIEEDERCVIPMGGPLPVIPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANAVVRYLGSDKTPVAADISSEVWKDLWPIERRRQREFFCFGMDILLKLDLDATRRFFDTFFNLEPRYWHGFLSSRLFLPELVLFGLSLFSHASNTSRFEIMTKGTLPLVNMINNLLQDGE >CDP02589 pep chromosome:AUK_PRJEB4211_v1:7:5102427:5105228:1 gene:GSCOC_T00040024001 transcript:CDP02589 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPCCQFIVSIARHHHPHIQTGPLFSSSLILPTPTKTFPTVILRRLSSRSPFSLIHQQQKQKLSPTCPPPPPQSPPLTHSPKLTPASTRLRPSLSPSETMSQKIGKSVRRPGAASKARVYTDINVIRPKEYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGVHTTNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDFDIRYYIYELLKASLLFSLFN >CDP02623 pep chromosome:AUK_PRJEB4211_v1:7:5347532:5348270:1 gene:GSCOC_T00040069001 transcript:CDP02623 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSDSYNSSSSTSSQFAAYQQCLEENRPKTTTSSFYSSLHAVRKLPLKPMKKPIAPLPPMKPKVYKVDSVNFKQVVQRLTSAPEFQPNVSPAPEAQEVVEKVPTALALRPRRLEEVAPPALDLSSTASPLRFSSTTQLSVPPGTAAGNDHNAAGQGRWGGQHFLPSPNNTSQIPANFSELIASERKHHKFSETCGAPSPLGFSFSPSSMAWCLSPLLSPGTLSFLD >CDP01679 pep chromosome:AUK_PRJEB4211_v1:7:9604556:9605413:-1 gene:GSCOC_T00036809001 transcript:CDP01679 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFQPTRGRSFSIEIGYFDTVLEIKEKIQKHQGIPISKQSLIFNGDVLNDELNVHSSEILDRSCIQLVVASDPEKLINTNNTSATNNVVKLEHEFSLSPSSKKIQLLVKMPASKLGVAVEMDVNDSIRRLKEKIHEMEGIPVGRLIIHAGAGGVELQDHRSLVECELSDHSEIDVSIRPSSSSTTSSGSSVGNSSGSKKMKIMVLTKCGTRKIPVEVSPSDNVGQLRNELQKLNHNLDLNLPQEGYFFIYKQNVMDDDRSFRWHHVVQGDTIEIFNGSISGGL >CDP01941 pep chromosome:AUK_PRJEB4211_v1:7:7528531:7529805:1 gene:GSCOC_T00037131001 transcript:CDP01941 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCLLSFSSGLVLIILQLMFLHAHSKTYWKDVSVLKQLKDSVDPKSVTPGSCLSSWDFGVDPCDNLFGDRFTCGLRCDVVLPSGSRVTELALDQAGYSGSLASVSWELPYLQKLDLSGNHFTGGIPDSFSYLTRLQQLGLSGNSFSGSVPDSLGALSSLEEMYLDNNNLEGTIPSSLNGLSNLKRLEIQGNKFAGPFPELGQLGNLYFLDASNNAISGELPATFPASLVELSMRNNSIEGSLPASLSNLPYLQVLDLSHNKLSGSVPASLFTHPSLEQLTLSYNQLGWVEAPANSGLNSEVIAIDLSNNEIRGFLPGFLGMMPKLSALTLENNKLTGMIPTQYALKVLLPQGQGVSQFERLLLGGNYLFGPIPGQFLGLKPGSVTINLGDNCLYRCPLRLFFCDGGEQKSLMECKAFAPFIP >CDP01885 pep chromosome:AUK_PRJEB4211_v1:7:7999543:8001361:-1 gene:GSCOC_T00037061001 transcript:CDP01885 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGFLSSASPAVKWLGFVCAVWVQAVAGNNYTFSNYSDALKSLMVLNQLQLNNLSVAKDVGKAFGTWLMGVFLCLGGNSTTWMNTAILVTCMRNFRTNQGPVSGILKGYLALSTAIFTDLSAALFSAEPSAFLLTLAIIPAIVCLVAAILLREIPPTANDAKDQSVIFHFFNVVAMIIALYLLAYDISGSHGHLISSIFAAGLLFLLAIPLFVPVYFIVAKPTSVVDVEGPASEFLLPQNEESGAIVEEANEDKKHQPVIGEDHTIVEAMRTSDFWILFVSFLCGVGTGMCVLNNLGQMGQALGYNDVSIFISLTSIWGFFGRIISGMASEYCIEKKATPRPMWNAVSQIVMAAGCVVMAVAFPGSLYIGSILVGICYGVRLAITVPVASELFGLKYYGLLYNILMLNLPLGSFLFSGLLAGYLYDARATTSIDGVGNSCLGPHCYRLVFIIMATTCVFGFGLDMLLALRTKNLYLKIHEIKKFNKYNARSTSSM >CDP01979 pep chromosome:AUK_PRJEB4211_v1:7:7268121:7270704:1 gene:GSCOC_T00037179001 transcript:CDP01979 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTAIPSPSSVFSAYASLSASIMLFQTVLNQFVPRPVQNCISSAIRYYFKPRSSKLVVVIEENDGISNNEIYNAAEIYLYSKIDSSIECLKITKRPKEASINIKFAHCEKMVDYYDGVEVVWRFCCEERKKGPSKLVDDDSGHFFSDFEKRYFELSFNRKHKEKILGSYVPYVLRRSKDIKAEKKVVKLHTLSSISYSSSIAWESINLEHPSTFDTIALDAKLKKAIIEDLDRFVRRKDFYRKVGKAWKRGYLLHGPPGTGKSSLIAAIANYLRFDIYDLELANIKRDSDLRRLLLKTANRSILVIEDIDCGVELPDRKGPSAHAEGRPREPQFTLSGLLNFIDGLWSSCGDERIIIFTTNNRDRLDPALLRAGRMDMHISMSYLTAEGFKTLATNYLDIKDHHWQFEEIGELIESMNVTPAEVAEELMKSDDADVSLGGLLNFLNVKRKKMNDDDEDGDGTKDKGSDEIDQVQKGKRLKLDINTDELPR >CDP02444 pep chromosome:AUK_PRJEB4211_v1:7:3881261:3883035:-1 gene:GSCOC_T00039822001 transcript:CDP02444 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPSFFKVLVHDSKSILRIPTLFVSRFEEILPQHALLKTKSGETWPVKIVRIDERYCFTDGWPKFVNDLKLEIGDLLVFWLIIEARSSFKVAIYGIRGCEKEFNSAATNHCPIKVEQAESTELDLRSIRILQPKPSDTDAKRRKLKRNENPSCGAQKSFNSVEDRRFTKVMAKHHRYRLHVPLLFAEKTGLMGKTQVELRYQNGWSTNVVLKQSTKPHMLDMCAGWPEFRKKNRLLCGKKCAFDYVPETGSIQVQLL >CDP02416 pep chromosome:AUK_PRJEB4211_v1:7:3659444:3661792:1 gene:GSCOC_T00039787001 transcript:CDP02416 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLHLHLALFIFLICNCSLIESQQPYIGKATNRCDSADNSTSVLGYSCNGVTQSCQAYLTFRSLPPFNSVSSISSLLAADPSHLSQLNKVSQDATFETNRTVLVPVNCSCSGSHYQFNTSYVIQHGNTYLSIANSPFQGLSTCQALQAQNANLSTVNLIAGTRIRVPLRCACPTKNQADDGVKYLLSYLVTWGQYVAAISSMFGVDTGKTLQANGLSEQNFNIYPFTTLLVPLQNSPSSSQTVEPPPPPSQQSPPTLIAPPSNSSSRKTWVYALVGTLGGLALIAVFGLLIFWSRFAKRKNKEKDSFIASESFESIEKPLEKRKKLEEEDMSQNFWDSLPSFAHSLQLYTYEELKLATQNFSPSSLIGGSVYRGTIKGDYAAIKKMSGDVSEEINLLNKISHLNLIRLSGVCFSDGYWYLVYEYAANGALSDWLYENQDRQKKKCLDWKQRLQIGLDVATGLNYLHSYTSPPHVHKNLKNSNVLLDADFRAKISNFGLARSADGQGGQFALTRHIIGTKGYMAPEYLENGLVSTMLDVYSFGVVLLETFTGKEVAVLYEAVNVNLAEILSPVLDEKDGIENLSQIMDSSLGGNYPSELAILLIRLIASCLKKDPSARPTMHEIVQTLSTSVTATTSWDSKQSA >CDP04662 pep chromosome:AUK_PRJEB4211_v1:7:16565750:16568051:-1 gene:GSCOC_T00018702001 transcript:CDP04662 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKSSFLGSWKHRRWKSMSGFYRTVPDGRRQGPHCSRYKGIKSMNMTITWHVKNGQLEQARRVFDEMPERTVVSWNAMISGYSKWGKFNEALSLLSLMHCSNSKLNETTCSSALSICGRERSLGEEGQQIHGLVLKSGLESFQFVGSSLLYFYASCCDIRKARQVFDELHETNELLWSLMLVGCVQNNLLDDALCVFNKMPRRGVVEWTTLISGYVKIDGGCKKALELFQRMRTEAVPNEFTLDSIIRACGRLGALPEGKVVHGLLIKLGFELELSISGALVDFYSNSEAIGDAKKIYDTLENPCMNISNTLIGGLLRMGKVEEAELVFSGLVERNSVSYSLMIKGYALFGRVEDSKKLFLETPARSLICLNTMIHVLCKTGEIHKALELFEETKEKGSPVTWNSMISGYIENDQHENAINLYIDMRRLSISPTRSTFSALLRACSCLGALQKGQQIHSQLTKTPFELNIYVGTALVDMYSKCGSISDAQASFICISNPNVAAWTALICGYAHHGLGSEATLLFEQMLDQGINPNAATLVAVLSACTAAGLVNKGMEIFRSMEQAYGLAPSLEHLTCAVALLCQSGLLLEAEELMKEMPFEADEILLITMLNACWSWIDIDVGERVAHKMFTLDPKPTCVLMSNMYAALGKWGEKIKARKILKELEVKKDPGCSWIDINSRTNIFSVEDRTHPCCNMIYATLKHLKANIESCAEFQFYSPEQMKVALFSFTP >CDP01735 pep chromosome:AUK_PRJEB4211_v1:7:9174038:9176104:-1 gene:GSCOC_T00036879001 transcript:CDP01735 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLTLQHPSHPSMAALPNTSTNSRLIAFTTPKNYAERLSHVIQLKGWCPIWCPTVIVETTPHTISSIQFYLSNPNAINNSQNHPNLEQFSGIAFTSRTGIKAFSEALTNIHSPPLAPHGETFTISALGKDSELLDESFINKLCENPRRIKVLVPPISTPTSLAESLGLGQGRKVLCPVPSVLGLEEPPVVPNFLAHLARMGWTAVRVNGYETRWAGPTCAEEVAGRTDEECGLDGLVFTSTAEVEGLLKSLKELGLNWEMMRMRCPSMLVAAHGPVTASGAERLGVGIDVVSSRFDSFDGVVDALAYRWKSLDCC >CDP04717 pep chromosome:AUK_PRJEB4211_v1:7:15503143:15505589:-1 gene:GSCOC_T00018783001 transcript:CDP04717 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQFIILLELPLSVYILWYGGFVAKINPEGPLDEVCVLSCGISTSLGATLNVANPPKGSNVAIFGLRAVELAAAEGGRVAGASRIIGVDLNSERFIKLTAKKFGVTEFVNPKDFDKPVQEVISENNVFIDRSVECAGSINAMISAFVRVHNEISTFYFPPWTKYYLV >CDP04699 pep chromosome:AUK_PRJEB4211_v1:7:15713557:15715640:1 gene:GSCOC_T00018760001 transcript:CDP04699 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKLNSNLKFKSCIFYIHSKLLIPEARTALLCALLCCCLLAFGGTYSLLVASGGFQSFSPLLLSSGFKDKRFGSWASGFLTHGRLSRGSHVLKLKRRIGLLSSFSRLFSFSGKSPVSSLSGFSVSSPPLCSFFMPDNHRNFFLIFTVDFFLLSVICTCRHETHKFFHRLGVHFCPRIQGFFAQKMVTRLIH >CDP01399 pep chromosome:AUK_PRJEB4211_v1:7:12659327:12668071:-1 gene:GSCOC_T00036434001 transcript:CDP01399 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQQHPDSSPLIVAPAPIKDPSEIDLEAGPEEQIQCRICLETDGRDFIAPCKCKGTSKFVHRECLDHWRAVKEGFAFAHCTTCKAPYHLRVHVLADRRWRTLKFRFFVTRDILFIFLAVQLVISSLAYLVYLVDSHQEFWLRLAWGFDGELSFYYICGALLFFALLGLSGCVITFYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFESGASAFSECGCLGGAGEAGLPLLLIMALVVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMTGSDWSPPPLPPEHVQQLKTLGLL >CDP16758 pep chromosome:AUK_PRJEB4211_v1:7:990799:997028:1 gene:GSCOC_T00019244001 transcript:CDP16758 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSCLNDTTGTANSSNYYTAPTNTIINNIRGRSITRNRLAGGQPSFPIFRNSKSNHSLVNSRKPLNYPSSSSSSSFECQFCRHRNHHPVRSCCCCCAFCCGTTWAAYRRRAMEDDLQSSSSPTKCLKTSNHLRHVESMSILPSGAGRVSRLNAVILGESLASEEDDLVFPNEAFSKQAHVPSPQKYLEMYTRSIEDPAGFWSDIASEFYWKARWGPLVYSQNLDIRKGNIEIEWFKGGITNICYNSLDRIIDSGDGDKVAIFWEGNEPGFDGTLTYNQLLSRVCQLANYLKDVGVRKGDAVVIYLPMLMELPIAMLACSRIGAVHSVVFAGFSAESLAQRIMDCKPKVVITCNAVRRGSKVIYLKDIVDAALAESARNGILLDICLTYENESAMKREATKWQEGRDIWWQDVVPQYPTTCAVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATIVVYEGAPNYPNAGRCWDIVDKYKVSIFYTAPTLVRSLMREGDQARIQSNVVLKNFWSCASSSINSCAVSEVLFDLVFLHPFYEDSHYRRKNLVAALDHVKIVMALF >CDP01726 pep chromosome:AUK_PRJEB4211_v1:7:9251696:9253387:1 gene:GSCOC_T00036867001 transcript:CDP01726 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFKPHLANSCPLTPLTFLKRAAIVYGDCTSIVYNTTTTYTWSETYTRCLKIASSILSLGIKRGDVVSVIAPNIPAMYELQFAVPMAGAVLNNINTRLDARTISVLLQHCESKLVFVDYYLRSIVLDAVSMFPQHLTAPVLVLIEDDDADMEVEPSLSRIHGFLDASYEGLVKKSNVDEFEWVRPNNEWDPMTLNYTSGTTSSPKGVVHSHRSLFIITFDSLVNWSVPKQPIYLWTLPMFHSNGWSYTWGMAAVGGTNICLRRFDAGIIYACVGLHDVTHMCGAPVVLNMIANSSHAKSLKNPVHFLTGGAPPPATVVLRTESLGFVVSHGYGLTEVAGVVVSCAWKPMWNALPATERARLKARQGVRTLGMTEVDVVEPETGVSVKKDGSSMGEIVLRGGCLMLGYLKNPEGTSRCLRENGWLYTGDVGVMHPDGYLEIKDRSKDIIISGGENVSSVEVESVLYTNPVVNEAAVVAKPDDYWGETPCAFVSLKENVRKKPREKDIIEFCRARLPHYMVPKNVVFMDELPKTATGKIQKFSLRDVAKKIGMLPQAAAVISRM >CDP02776 pep chromosome:AUK_PRJEB4211_v1:7:6410051:6413191:1 gene:GSCOC_T00040270001 transcript:CDP02776 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMLVPIVAGVLSALRRVIARRVSLKNQLKRRLHAITITSATCFLFPVAMWDMIIGTTSVELPFSAWAFSSTIFFGVMLIFYVDSIAEERLHMVFSSPRHLMVAGICIIVMEIVYKMDFSLLGFLICVAILGFGIYEATSLDRFRKDAQRKSDASNGILEDRIEMSPLPT >CDP01633 pep chromosome:AUK_PRJEB4211_v1:7:10092946:10094184:1 gene:GSCOC_T00036740001 transcript:CDP01633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MMWRRMLSVTIAGERWLRRRNCLPSGIRVLCTLKTDHAYRSLKSPSLVSLDIPDIWDSNIVCSDFHSFQASFNRNMGRRIDGLLVAEEIRFGIATEVSRMKASIGEVPGLAVIVVGQRRDSQVYVRNKIAACEEVGIKFVLTELPDNCTEDEILNALTGFNKDSSIHGILVQLPLPRHLDEGRILDAIRLEKDVDGVHPLNMGNLAMHGREPLFIPCTPKGCVELLLRSDVEIMGKRAVVIGRSNIVGLPASLLLQRYHATVSIVHPFTKNPENIAREADILVAAAGVPNVVRGSWIKPGAIVIDVGTNPIEDPSCENGYRLIGDVCYEEAVKVASAVTPVPGGVGPMTVAMLLFNTLESARRALSFI >CDP02618 pep chromosome:AUK_PRJEB4211_v1:7:5324402:5325745:-1 gene:GSCOC_T00040063001 transcript:CDP02618 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAKAQTKQSSYISRDSSRSYFLAVFVSMVLVFVICSLTETLPSFQNRISTTGADTCNGEPPAVNRTHDPKQATFYDEPELTYTLGKTIKDWDKKRKSWLNLHPSFAAGADTRILIVTGSQPSPCKNPIGDHLLLRCFKNKADYSRIHGYDIFYNTACLDPKLCNVWAKVALIRAAMVAHPEAEWIWWMDSDAVFTDMYFKVPLQRYKQHNLVVPGWPDMVYEKKSWVSLNTGSFFTRNCQWSLDFLDVWARMSPRSPDYKFWSETLMSTLSDKMFPGADEQSSLVYLLLTEKKKWGDKIYLENQYDLSSYWVGVVGKLDKFTRTEADAEKNLPLLRRRRAEVVSESVGEVWEKYLENNTASEGKRPFITHFTGCQPCSGSHDPSYVGNTCWDAMERTLNYADNQVLRNLGFAHRDISHGSYVSPLAFDFPSEVLQRKKSGEEYNR >CDP01732 pep chromosome:AUK_PRJEB4211_v1:7:9206483:9208714:1 gene:GSCOC_T00036876001 transcript:CDP01732 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHFASKYLKSSYKAISLLDQPCLSLSQLKQIQCHLIVSGTIADPFAAGKLLSRFGISEKSDLSHAYALFRLIPNRSAFIWNTIIRAFTEHGQLNGAILLSKEMLGNGFWYNNYTFSFVFRACSELKDVSLGLMYHTHVIKLGWEVYDFVQNGLIHFYATCDCMDIARKLFDASKTRDVISWTAVINGYVKRGNIGFAKELFDQMPEKNAVSWSTMINGHVQSGLFLEALEMFDDMQVAGIRPNHAAIVGALSACAFLGALDQGRWIHAYMKRNKIELDRALGTALVDMYAKCGCIEMACHVFEDIPRKDVLAYTSFISGLANHGESARAMEVFNRMESEGVGPNEVTFICVLNACSRMGFVEEGLRIFESIKGVYGMEPVVQHYGCLVDLLGRAGMLEQARKVVKEMPVKPDSYVLGALLNACRVHSDIDLGKEMVKGLADQSLDHGGVHVLLSNIYAAINKWDDAESVRKVMDEKKVEKLLYKIFLVLLLLIQLWLIAQSTIGKTNGRLTGIESLCRTCQSVLVCKQGCLLNAKVDSTIRRFNSIREPKTFEKKGKKGKSHFTGCLEPVKRR >CDP15707 pep chromosome:AUK_PRJEB4211_v1:7:23286177:23295492:1 gene:GSCOC_T00015714001 transcript:CDP15707 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSNHQWLYVLLLQSKVLRPTQRLVGFAILHQAYSFQQPSSNPFSFVLVNAASNEGAEKFERGFILQLLGSANSTNKAEVLKLSVADYMRNFVPSSHTFPPSEQLQQQYCSKVGPVLFGSLFRNRVINILPDPDVPLGCDPNSTELDLQPGVTPKTGSGDRDETISGLLQNLSLEGLGPQWIRPQPPRLPVLDGELVWLNPDNTHELMWDHGMCADTSRGAAVRDLIAKALKGPLVPAQREQVLLELANDPKLVYHCGLTPRKLPELVENNPIIAVEVLVKLANSPEIEEYFTVLVNMDMSLHSMEVVNRLTTAVELPTEFIHLYITNCISSCENAKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAATLFRLLKSLE >CDP01622 pep chromosome:AUK_PRJEB4211_v1:7:10149533:10156152:-1 gene:GSCOC_T00036726001 transcript:CDP01622 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNGIAYSSVQSPQHSKLSAINQEIDPSRRSNGHLSLFKMKFSSKGFWHLRGKLNNFVAFSRGHPISCHSTKTCNSETRERVRHLDDCPDVCRERVEDDHPVGLRRTVSSSQGLAEACKYVCNDAKFVNERARNDIVLLSRGIMRLDARARQDIAFLGTEFLKLDARAREGTEKIDHDVKRKAERLHHVAMILKNKAQSKLKSAADKHWSDGALEADLRRADFAAKQRAMEDALMALELVMNIHDMMVSKMYKFPSNSKRDSLNKKAEQITLEKNGKTHDFLPGEISADRITAIQDAYLSIASALSEGDGIDYTDPEELEFLVATLIDLDAMDGKSSVSLLAECSSSPNVQTRKALANALSAAPSMWTLGNAGMGALQRLAEDSNPAIAAAASRTINELKRQWEIEEGDNWRFMVNQTPGEEPERNEDNTD >CDP02716 pep chromosome:AUK_PRJEB4211_v1:7:6026139:6031894:1 gene:GSCOC_T00040187001 transcript:CDP02716 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MIKANTFYLPGSEPFPNFFSCRLRSFRISAMAPPVLALALPSETGRVLSIQSHTVQGYVGNKSAVFALQLLGYDVDPINSVQFLFDELEDYAGYPTFKGQVLNGDQLWDLIEGLEANDLLYYTHLLTGYIGSVSFLNTVLKVVDKLRAINPGLTYVCDPVMGDEGKLYVPQELVSVYREKVVPVASMLTPNQFEAELLTGIRIVSEQDGREACNRLHAAGPPKVVITSMNMNGNLILIGSHRKEKALPPEQFKIAIPKIPAYFTGTGDLMTALLLGWSNRYPDNLEKAAELAVSSLQALLVRTLNDYRRAGYDCQSSSLEIRLIQSQDDIRNPEAKYKAERYS >CDP04668 pep chromosome:AUK_PRJEB4211_v1:7:16296932:16301681:-1 gene:GSCOC_T00018714001 transcript:CDP04668 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLIDLGLGPVHGYISLEKKMQHVQKELDHLACRSGDMITRVRGAHSHQQKKRKRAVEELFKVVEDIKIEFECLKEQAQQTSFYNIYARWKMVQHVDKMIKELTKQGASLEKILPGGLLVETEWQGRTIEINMKEIWAWLLNDGISSVGIYGMGGVGKTTLAKRIYEDFVIGTKFADRVYWVADPQEGSIHKLQDSVAKAVNVDLSNEEDERKRAAKLFIALSRMEDFVIILDDVWIPFDINKIGIPLGLDGSKLIVTSRSLEVCRQVGCQKEIKVEPLCEKEAWTLFLEKLCPPHELPLDVKEIAKSMVQRCGGLPLGIITIAGRMKGVHGIHEWREVLEELEGSFVGQNDNLFDILHLSFNHLRDRRLKECFLYCSFYPENYKIPRDELIRLLILEGLMDKRRSRLSLFDQGHVILKRLERMCLLESNDREIECVKMHCLIRDVALRIIDSDSEYMVKSGRCLQCIPDEIEWKEDLEKVSLIDNNISLIPSGISPKCPKLSSLILASNHLRSIPDSFFSHLRALRVLDLSRNYDLEVLPNSVSQLSNLIALLLSECYRLSFVPPLGGLKALKELDLYHTAIKNVPEGLERLVNLNCLNIDSTGLRTIPDGTICKLSRLQSLRIPEDVEVRVEELKALKHLEEFQGGFYDMDTLCHFVRYRQSYETPIFYTIEVSPSLSLLWFPSPVPCQINNNVTLSGIDLGGGKSAIVLPEDIQQLALINCHGLSACLTTAFSSFNIQRRGLTLCLIDNCPELECIMKVSSSEDQLVGEMILSPWAPLESLEHLSLHLLPNFVGLFKWEIEFDPPCRIFCHLKSITISRCNTMTTIFTPCLLQHLQNLEVVELLFCDRLEEIIADKEGGKVRSSLSNGDQHSLKTFVNFPRLKKLTLQHLPKLRSICEGIIICDSIENIRVIGCKNLARMPLSFSSIDGQPNPSPPLRAVEILNEELEWWKLLQWKDPGSGSVIQPFVQFVAESPVV >CDP02393 pep chromosome:AUK_PRJEB4211_v1:7:3486781:3490862:1 gene:GSCOC_T00039759001 transcript:CDP02393 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEDPQKLKRIAAAAYDYDNDPRWADYWSNVLIPPHMASRPDVVEHFKRKFYQRYIDPDLVVEPMSTSSSSQAARPSAQQASSSSSSTSHDQSRPRNAGSTGRASGASTTQTPSSTSLRWDRQTIQFSVNAWVLVVAVLAIFPLVPRDLSMRAFRLAFVGTACSSLYSLYSLYGKPRAWNMQAVQVWFQSVFVGKDFIYFIYCLTFVTSNLYLKFALIPVLSRALEHVAKFLRRNFSRSTLYRKYLEDACVWVESNTTTLNILSSQAEIGNGFLLIISLISWQRNIIQAFMYWQLLKLMYHAPATGNYHRSAWAKIGRTVNPLIHRYAPFLNTPISAIQRWWFR >CDP02705 pep chromosome:AUK_PRJEB4211_v1:7:5959650:5965177:1 gene:GSCOC_T00040173001 transcript:CDP02705 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPLPLPVADPSSQLPPQQPQPILLPLPPANNTLEPAAQSSNSGAERLMYKNRLQEYTQKSSLQLPVYTTINEGVQHAPRFRATVLVDGMYYTSQGNYPTRKSAEQEAAKIALENIQQKMRDDGCPIIREDTTFCKSILNEYAVKMHQEKPAYNTIQPGGLIPVFVSTLVFNGVSYTGDKGRNKKEAEQLAARAIILSILDSADSASATLMSEIIKSKSKLYAAVNKIRDANSIHSAVNSVNTWEDPGVLLSKGKVAQVGKTTASFPSLAESAKTHATHVPFHPFKKPKLETSTEVVAPPIVFVPPVLGQPLHSSTSGVKRNRKNKKKAKVGVQIGPQIPVAVGPLNQIPSCSVAQ >CDP01767 pep chromosome:AUK_PRJEB4211_v1:7:8901351:8904866:-1 gene:GSCOC_T00036924001 transcript:CDP01767 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNQQQQQKDEVLNRESLEKRPGILMIGSPTVGKRTLLSRLLSLDFETASDSSSSSDTFAYGWTINTKYYVADVSLWMAHLNDEFAIQNLPGYDQLAALVMVFDMNDLSSFSALKDWVAQNDIQKFDILLCIGNKVDLLPGHPAHVEYRRCLLKSGESSGDFSLELDYGISETEGSSLLGDDEPSWEMKRSCMDWCIEHNIEYIEACATNADFDKCLSVDGDLQGVQRLYGALSAHMWPGMVLKSGDKIHEPSLPEQEELSEEESDFELEYEILSAGSADPWDDTEVGWVSAKGDATTSGTSGVIEQNFDSQDCDQKSQNRSVEGQPQPLTSTHGKTNEEEAMKLHAAGTASEPDEGTTYDFEDLDQLMSEIGNMRNSLRLLPDFQRREMAAKLAMKMAAMFGDSSEDEEGLN >CDP12776 pep chromosome:AUK_PRJEB4211_v1:7:14648431:14648802:-1 gene:GSCOC_T00037423001 transcript:CDP12776 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVGEKITRLVEYATNPFGPLILVCASGGARMQEGSEFTSLMLMAKISSALYDYKINKKSFYVSILTSPTTGGVTASFGLKKKECQVFHVNICYVIINYNNKSFAIIGYFSLYFIGDIDSF >CDP04663 pep chromosome:AUK_PRJEB4211_v1:7:16534843:16537008:-1 gene:GSCOC_T00018705001 transcript:CDP04663 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVYAMNGGNGPQSYAQNSSYQRGAVDVAKELIKEEIDKELDVKQLSSTSVHPFRIADFGCSTGPNTFVAMKVIREALEEKLRKEGLASEVPEFQVFFNDHISNDFNTLFASLPPERHYLAAGVPGDFHKVLLPKASLHFAHSSCALHWLSDVPKEVTDNTSPAWNKGKIHHGGAKKKVLEVYASQFANDLENYSDINYLPFSPSLSIENLVFCGNIFDILHLPHKKFQNFYLQIGTLNTKMHLLQGLVDELKVNMFNLPLYLPSPNEIKTLMKANEHLNVQRMEILSIPGKHVVFSNPSGIALYLRAALEGLLEKQFGSDIMDELFELFTQKLAESSSLFNPENQDMVVIFVLLKRKLRT >CDP04762 pep chromosome:AUK_PRJEB4211_v1:7:14946645:14948477:-1 gene:GSCOC_T00018854001 transcript:CDP04762 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLFFFLLSTFHSRIAAQQRPLNISLGSSLTPTGNSSSWLSPSGIFALGFYQQRNGYAVGIFLAGIPQKTAVWTANRDSPIFSSNVSLILSTDGRLILQQPEGQDITVVDPSESISSASMLDSGNFVLYNSDKEIIWQSFEHPTNSLLPRQQLIPGQELISSASETDDSRGIFRLVMQTDGNLVQYPVGAANGPENAYWVSKTFGDGPNVTLNLEDDGHLYLTNSSVNLVKNLSDGGHPKNKMIYLMKIDVDGIFRLYSYSVDQGRNWSIIWESSTDRCDPKGLCGFNGFCTKIDNLVDCKCLPGFQFVNQGNWRLGCERSFVTDSCNSTDSNVNYTIEFLENTVWEDNTFSMVNTGTREDCAKICLEDCNCEAAFFKDGQCKKQRLPLTYGKRETDSNIALVKVHKHATIDEGVIPSNPLKCRKEEVRVYVLIIGISLAVLENVLMDESRCAKISDFGLAKLLKNDQTRTYTGVRGTRGYVAPEWFRNLPVTVKADVYSFGIMLLEIICCRKSVDCTSPENEAILEEWANQCFEAGELYKLVGDEEVDDVRELERMIKIALWCIQEEPALRPSMKKVLLMLEGTGDIPIPPSLPSFSSAL >CDP01392 pep chromosome:AUK_PRJEB4211_v1:7:12726323:12729092:-1 gene:GSCOC_T00036422001 transcript:CDP01392 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNLLILLLLELQVVYFVNSGTEANELAMMMARLYSGNLGIIALRNAYHGGSSNTIGLTALNTWKYPIPQGEIHHVINPNPYRGVFGSDASRYAEEVQDHIDHGSPGKVASFIAETIQGVGGAVELAPGYLKLVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVMAQKIQFNTFGGNPVCSAGGLAVLKVIDAEKRQEHCAKVGSHLVERLRVLQQTHDIIGDVRGRGLMVGIELVSDRTKKTPAKAETAILFEKLRELGVLVGKGGLHGNVFRIKPPMCFNKDDADFLVDALDYAMSKL >CDP16649 pep chromosome:AUK_PRJEB4211_v1:7:194181:207074:-1 gene:GSCOC_T00019107001 transcript:CDP16649 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHFYSTHLLLIEDCTTLEVETTSIGSLWSIIEDGTHFGNQMKEVQDLVWAYMGNNPRMQGLITRARLAAVVGIAVWISLAGLIHLLKPVSNGCIMTYMYPTYIPISAPKNVSSAKYGLYLYHEGWRKIDFNQHIKKLNGVPVLFVPGNGGSYKQVRSLAAESDRAYQGGPLEHMFYQEASENLEKGAVDSDLADFPLPSQYTRMLDWFAVDLEGEHSAMDGQILEEHTRYVVYAIHRILDLYEESHNIRIKEGAAFSASLPRNVILVGHSMGGFVARAAIVHPDLRKSAVETVLTLSTPHQSPPLALQPSLGHYYSSVNNQWRKGYGGQTSQSGHHLSDPLLSRVVVVSISGGYNDYQVRSKVESLDDIVPPTHGFTISSTGMKNVWLSMEHQVILWCNQLVVQVSHTLLSLIDPEKGQPVPDVRKRLGIFMKMLHSGISQNFGWLGQSKLPKQSIPIPFLNGKDYSGSQMSHTFSCPSHVHWNEDGLERDLYIQTTSVTVLAMDGRRRWLDIQKLGSNGKDHFVFVTNLAPCSGVRLHLWPEKETSNSDFSLNQRILEVTSKMVHIPSGPAPRQIEPGSQTEQAPPSAVLWLDPDDMRGFRFLTISVAPHQTLSGRPPPATSMGVGQFFKTKEGERVFSPWTLVHSMFSQKDFLLEEDHPLGFNLSFSISLGLLPVKLSLKTTGCGIKGSEFPLEDSGEIETSRLCKLRCFPPVALAWDTTSGLHVFPNLYSETLVVDSFPALWTSTQGSEKTVVLLLVDPHCSYKTSMSVSMSASAGRFLLLYFPKITGLAIAVVFFALMQQAYAWELDMPIPSLLSAVETNLRILLPFLVLGILPILVALFFSLSSSFSVPPFSIFFIVSIICYLFANGAIVVLVLISQLGFSIAAAVHVFIKKWWQAWEGNFSFSFLHWFLNLCTSFLSFKIVRIISLHPLAISSLVAVILVCFVHPALGLFVLLLSHAISCHNALCSFYMTSFHSHSGSKQSVESENGTGASMHFMPKYSGESKLSPLEASMCNSPDSARSFGETQLEIFHHRHGLLILHLLAAIMFVPSMVAWIQRIGAGQNFPWFLDSCLCIGVVLHGMSDSKPQFNFFFPFPDNRGWEIRLSFAYLLAGYVSYLSGLALAPYRVFYPMAAIGVTSFAFRIIQKRSREKGETLHRGRRHSHRH >CDP02384 pep chromosome:AUK_PRJEB4211_v1:7:3442378:3443567:1 gene:GSCOC_T00039750001 transcript:CDP02384 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTLPNESANATYQKTQNGNWLAGFSPLLRLILVNDQEWNRAKTCLIDKGLCQGFRNHSASSPWDYLYYVQLGCCSPPKRCGFLQRNESFWEIPESGFASQNEECQMWANSSNRGGCYDCDSCKAGYLAKFQMDWQADKALFVAILLILIVSTSLAFWTFGCRDESEHAREQRKYRNVVNA >CDP01709 pep chromosome:AUK_PRJEB4211_v1:7:9367058:9371329:-1 gene:GSCOC_T00036847001 transcript:CDP01709 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFAFGVIPVSKKNKKKGFKEELEERLISLIASLFGGILRGSRRERLLIKFVEHECEKIDRLMELYMRYSNRVKAETERLNDIELDDLEMDEEEKYNRKLDSGLYTLQLIAVILGHLWTSEHPQMRARIELLLKQNKLSRKDVKDVLQEYHGNVGDLEGPEEKERAQSKIQKFISAF >CDP12927 pep chromosome:AUK_PRJEB4211_v1:7:12891170:12891619:1 gene:GSCOC_T00037625001 transcript:CDP12927 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGALDTSATVVEWTLAVLQKSQRVLKKVQQELEEVVGLDRMVEESDLEYLNYLNMVVKEALRLHPAAPLLVPHAAMENCSVDGFHIPKNSRVIINVWTIGRDPNAWSDPEKFIPERFIRSNIDVKGHDFQLLPFGSGRRGCPGVQLV >CDP01698 pep chromosome:AUK_PRJEB4211_v1:7:9470075:9474487:-1 gene:GSCOC_T00036835001 transcript:CDP01698 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELVAYYLKRKINGRKIELEIIPEVDLYKCEPWELPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQARAVGMKKTLVYYRGRAPHGARTDWVMHEYRLDERECETNSGLQDAYALCRVFKKSLNIPKIGDHYGTATASDRSSSIDPYSEGRCDDLESFDYSMPSATTINNSNYPMQSASCSSNIIHGSPLNNINEGPSDCRWMQYLSDEAFGFSNPSFPNHAAMSYPPSRVDIALECARLQHRFPLSPLEVQDFPQVGFADARMPQLGYIHENPNQQDIVEEILSVAQASQDFMNEDAWGGNYAPADDFSFLPPTNQIHDSSSFKFMDKLREDCSFRSIDIGGMDEGLKSERMVENLRWVGMSDKDLEKSFLEEFKTVPIESISTFQREENQVPGETIHQNDQNEAEKTDYSLGFNNDKGSDNFLTDGDVEDFSSTSNFEVYEKIEVSHGMLISTRQTANTFYHQLMPSKTVRVHLHPMIMHDFPVAKSDSRTVPKTGHFPAKFLTFSSAISKSLRPWRKTVRTFISMIAILYAYWIFYGEYLEDKEPMDDPVMLSNKASELIEGDCASKTMNKTIKVQDYELNCEKSRASMVGKGGSISAVHNKIWTYLTLTLALCTFWMHQIVPSP >CDP04583 pep chromosome:AUK_PRJEB4211_v1:7:18524747:18540606:-1 gene:GSCOC_T00018559001 transcript:CDP04583 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQMAPPPPVPRRNSSAQKRNNPSTLRKSSNSSENGSVNVNSNSNSGSLTKPTSPAHSSGGGERTVKKLRLSKALTIPEGTTVSDACRRMAARRVDAVLLTDANALLSGIVTDKDITTRVVAEELRPEQTIVSKVMTRNPIFVTSDSLAIDALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQFGNNFSAPSAFIETLRERMFKPALSSIMSENSKVAIAAPSDPVYVAAKRMRELRVNSIIIMTSNKIQGILTSRDMLMRVVAQNLSPELTLVEKVMTPNPECATLDTTILEALHIMHDGKFLHLPVVDRDGHVIACVDVLQITHAAISMVESGSESVNDVATTVMQKFWDSALNMEPLDDYDTQSELSMSALNMSDGTENGKSPYPCLGLGNSFAFKFEDLKGRVHRFNFGTENLGELVSAVMQRIGAADDQNRPQLLYEDDEGDKVLLTADTDLIAAVSHARSAGLKVLRLHLDDSDTCQSKSEPEISMATAEKSGFFSLGMGIFAGAVVLTSVGVVVYLKRANT >CDP01931 pep chromosome:AUK_PRJEB4211_v1:7:7616338:7618455:-1 gene:GSCOC_T00037117001 transcript:CDP01931 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNHSVFEEAKNQFTPCKKIKVHKNPRLGDYTTVRKAINAIPIINNCRVIISVSAGTYKEKIEIPATMAYVSLEGAGAGETIIQWNDTAEEKGPNGQPLGTYASATFAVNAPYFIAKDITFKNKAPAPPSGALGKQAAALRISADTAAFIGCRFIGAQDTLYDHKGRHYFRDCYIQGSVDFIFGDGLSLYENCHLRAKTNSYGALTAQKRESMLEETGFSFVNCKVTGSGALYLGRAWGSFSRVVFAYTYMDKIITPRGWYNWGDKNREMTVFYAQFKCSGPGANFGGRVSWSRELTQQEAKPFISLSFIDGREWLANLTVFYAQFKCSGPGANFGGRVSWSRELTQQEAKPFISLSFIDGREWLANL >CDP02649 pep chromosome:AUK_PRJEB4211_v1:7:5540948:5542635:1 gene:GSCOC_T00040104001 transcript:CDP02649 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDDVCSPGLVLGLGFPSTAENKPLKTDNQKSRKPSLLKSEQLANFEPSLTLSLSGGDTFDMLVKKLDATANKASNNEPPPSAADLHRQDSAASSFSNNSVKRERELGSSEEVEIERLSSRVSDEDDDGSNGRKKLRLTKVQSALLEESFKQHSTLNPKQKQDLARELNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQELKALKLAQPLYMQLPAATLTMCPSCERVGGVGENSSSKSPFSMAPKPHFFNPFTNPSAAC >CDP16678 pep chromosome:AUK_PRJEB4211_v1:7:410093:411426:1 gene:GSCOC_T00019144001 transcript:CDP16678 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQRQYERVFNHLDENGDGKISASELQQCVASMGGEMSVEESEAAVRLMDTDGDGLLCLEDFVRIVEGAGDEEKGADLMGAFKMYEMEGCGYINPKSLKRMLQRLGESKSINECRKMIARFDLNGDGVLSFDEFKNMMSC >CDP16688 pep chromosome:AUK_PRJEB4211_v1:7:475979:479277:1 gene:GSCOC_T00019155001 transcript:CDP16688 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAAARRKKQKLPEKQLHERVAAILPESSLYTQLLEFESRVDAALSRKKIDIQEAVKNPPCIQKTLRIYVFNTFANQIRTIPKKPNSEPPTWTLKIVGRILEEGMDPDQAAMFQKTSSMYPKFSSFFKRVTISLDQKLYPDNHMIIWDNARSSAPHEGFEVKRKGDQEFTVNVRLEMNYMPEKFKLSAALMDVLGIEVDTRARIISAIWHYVKARKLQCQDDPSYFNCDPPLHKVFGEGKVKFTAVTQKICPHLAPPQPIHLEHRIKLSGNNPAGTACYDVLVDVPFPIQRELNALLANTEKTKEIDACDEAICTAIRKIHEHRKRRAFFLGFSQSPVEFINALIDSQNKDLKLAVGEGGRSAEKERRSDFYNQPWVEDAVIRYLNRKPPADAPGST >CDP02739 pep chromosome:AUK_PRJEB4211_v1:7:6165039:6169333:1 gene:GSCOC_T00040217001 transcript:CDP02739 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGKTSTSIDGNTLVAMCLARAGVDKMFGVVGIPVTSLANRAVALGIRFVAFHNEQSAGYAASAYGYLTGRPGILLTVSGPGCVHGLAGLSNAGVNAWPMVLISGSCDQKDFGRGDFQELDQIAAVEPFSKYSVKATDITKIPTVVFEVLDRAGSGRPGGCYLDLPTDVLHQSVADSEAQKLIDEAENSRKKELIAKPLVKNSEIEKAVALLRKAERPLIVFGKGAAYARAENALKKLVESTGIPFLPTPMGKGLLSDTHELAATAARSLAIGKCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDIDKDEIELRKPSVGLVGDAKDAVERIYEEIKDEPFCLGKSHPWVEAIAKKVKENVSKMEVQLAKDVVPFNYMTPLRIIRDAILGLGSPAPILVSEGANTMDVGRAVLVQTEPRTRLDAGTWGTMGVGLGYCIAAAVASPDRLVVAVEGDSGFGFSAMEVETLVRYQLPVVIIVFNNGGVYGGDRRSPEEITGPHKDDPAPTSFVPGAGYHVLTEAFGGKGYLVQTPDELKSALAESFSARKPAVLNVILDPYAGSESGRLQHKN >CDP01857 pep chromosome:AUK_PRJEB4211_v1:7:8186542:8188481:-1 gene:GSCOC_T00037031001 transcript:CDP01857 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSNSSKSLKRLNKIAGTSSPSSSSTSSSSQSSAASRQKPRGIYKTMEEVWKDINLSSLQDQPSSRDDPSSTTTFRGMIFQDFLARPFDKDPPTTTPATGYCSPPPPPPPPPPQATMLTLNSSGPDEFHLFGNSNPLRQTPLLGPQQSISHACSNLSMQFNDALGSCAAGMHAHGNGKKRCPEPQTNSSGSGDRRHKRMIKNRESAARESFHYLAYTNELELEVNNLMEENARLREQQRQLCLAAVNQQVPRKHALYRTLTAPF >CDP04679 pep chromosome:AUK_PRJEB4211_v1:7:16074918:16092571:1 gene:GSCOC_T00018731001 transcript:CDP04679 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFIGSFCCGSFEESFNLKHYHLLFFFTPFCAKLFAFPYLIYRCCYQITMARRCLSVHEVHDKIRRWTVLVQVVEKSHVLTSNGSPPIRFQRLVLTDSEGTMVSIVIYGNDIHYFANLLQPFKRYYITGGTVKKQDAKYKVSDYQFSWVLHNKTLVEEYVEPNPPMLPCTFELTKFEDLFRFADTENVQNLQAVVVTAFATKEQNNGCTTRDFIVVNEEKKPMLLTLWNEFEQNQGTQLANSIGNANVIIGMKLKITTFNYLSLTTKPGSGLLINPSTSEANALKEWYNANKEEIAELIQQIAYKDSSKLLPPPIANDIISVANALNTLKDVKTAWITGKISLSPGQQKFWFEACENCQKTINVDVGWVMRCPSCKEDSRVVARTRVGIAVDDGTGSINTVIFGLDAEKLIPFTALQFWEAHTEELNFSAELASALRKHAIVCFIRYYESDYQGRKEAKYNIVKAYTTEESAHIPMAITTAETNEKISDLQATVLQPTKKTEFFSPSTKKMLDAIAESSTTTNEVPPTVTTAKRALVFGTVPPGIGLNSAEANTPTSLSTNAPGSPLKSSVTMNSELP >CDP01869 pep chromosome:AUK_PRJEB4211_v1:7:8110707:8116493:-1 gene:GSCOC_T00037044001 transcript:CDP01869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MKGRGKRNATIVISSSEDDDDDKDFSLKSDLSYSKPASVPPTNPNKRAKKASLSKSGPRPRKGPLTNDFDEIRRFCEEFDDGIRGFKVSTGNGMSRELWADKYKPCSLEELAVHKKKVEEVKVLFEERLTASKETLCKNVLLFVGPAGVGKSATVYAIASHFGARISEWNTPTPTIWQEHLYNSSSGLRYTSKLEEFESFVERIRKYGFISSTVGPRSRVVLLIDDLPVVNGKVSYGRLHRCLHLLVQSVCVPTVILMTDYVKADSTDNSMRYWEDLHVSLQEAGACKVSFNPITVNSLKKTLSRICKEEECELSAEQIELLAKASGGDIRHAITSLQYFCLKPHQLPSLCLSDGSTPSLRERTDGLTDLYIEPSLSFGRDDTLSLFHALGKFLHNKRESEPSMVLDRDTTNLKEKFVRLPLKMDSPESILRQAHSQSRPIADFLHENVLDFVNDEAIDDAWVVASYLCDSDVLLASVNGRMARNFEAENVVRSAAASVAVRGVLFGNSHLAPTRWHAIRRPKLWQVEQSLWHYKCQMVSQQRDANNGLNLYDLSVVATEIKPTLERIGNRGASEDFEAHEASPEHMADDLDGLILDDDKSEMTSEDEIEDW >CDP02668 pep chromosome:AUK_PRJEB4211_v1:7:5692963:5697907:-1 gene:GSCOC_T00040133001 transcript:CDP02668 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNEEAAGRQGLPKIQTDKSHDEICHDDSVPTVKAQTIDELHSLQMKKSAPSTPTPDKTQDVAFAPPSGQERQKQQLQSISASLASLTRGYGPKLVKGDPARKAETAGVSHVTQHPAHGTPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPKDKRVVKDETTQDDLWWGKGSPNIEMDEQTFMVNRERAVDYLNSLEKVFVNDQFLNWDPQNRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGSNMGKDGDVALFFGLSGTGKTTLSTDHNRFLIGDDEHCWSDTGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFEEHTREVDYTDKSVTENTRAAYPIEFIPNAKLPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGRYGVGSRIKLAHTRKIIDAIHSGSLLNANYKKTEVFGLEIPSEIEGVPSDILDPVNTWADKDAYNETLLKLAGLFKNNFEVFVSHKIGIDNNLAEEILAAGPVF >CDP02445 pep chromosome:AUK_PRJEB4211_v1:7:3884210:3889527:1 gene:GSCOC_T00039823001 transcript:CDP02445 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLVTLRRRYCNHPKPLIQRAHYKPQKPQPPPAPPSPPKPPKKPAKFSLHGESWEDPYSWMSQLNDKVAMRHMDVYMEQEEKYIEAVMSDTERLQSKLQSEMASRFSFDLSTPPLRWGPWLYYRRAEEGKQYPVLCRRLASLNEEFISHKSPSAGFDFTSGQRIEQKLLDYNQEAERFGGYAYEELSEVSPDHRYLAYTMYDKDNDYFKLSVRDLNFGTLCSKPQADRVSNIAWAKNGQALLYVVTNHDKRPYRLYCSMVGSDEDDILLLEDPQENVYVNIRHTKDFQFVTVNTFSTTSSKIFLINAADPLSGMTLVWECETRAHCIVEHHQGFLYLFTDAAKEGQPVDNHYLLRSPVDPSPSLRKWESVFADDDELIVEDVDFSYSHLALIVRENRVFKLCSVSLPLPSGKGSFHLKELYPQFLPLPSNVTQISPGPNYDYLSSTMRFTISSPVMPDAVVDYDLSNGKWNIVQQQNLLHERTRVLYGSASSGSRGEKSPLSRVDEVNIANDNPWNDLVEYYGCDQYSVPSDDGVVVPLTIVYSHHRRKEDQSPGLLHGHGAYGEILDKRWRNELKSLLDRGWVIAYADVRGGGGFGKKWHHDGQRSNKINSIRDYICCAKFLVDNKIVQENKLSGWGYSAGGLLVAAAINSCPDLFRAAVLKVPFLDPTNTLLYPILPLTPVDYEEFGYPGDVEDFQAMRAYSPYDNIQKGVHYPSVLVTSSFNTRFGVWEAAKWVALVRENSIYDPKRPILLNLTTDIVEENRYLHCKESALETAFLIKMMDL >CDP02678 pep chromosome:AUK_PRJEB4211_v1:7:5788338:5789243:1 gene:GSCOC_T00040144001 transcript:CDP02678 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPPKYAYPYPSQGYYQGPPVMAPPQYQYAAPPPPRRSPGFLEGCLAALCCCCLIDECCCDPSIIFVS >CDP16643 pep chromosome:AUK_PRJEB4211_v1:7:169728:173030:1 gene:GSCOC_T00019099001 transcript:CDP16643 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGSSWNSTPLQVLHALLGWIAFASWSISFYPQVILNFRRKSVVGLNFDFVLLNLTKHSSYLIYNASLFFSSAVQRQYHQKFGFDQMIPVAANDVAFSAHAVLLTVFTLFQIAIYERGHQKVSKTATAIVTAAWFAAAVCVFVAVPHKKWLWLVSCFNTVQVVMTVIKYIPQAVMNFKRKSTIGWSIGNILLDLLGGVTNYAQMAVQSIDQKSWVNFYGNIGKTLLSLVSIFFDILFILQHYVLYPSKQKASPPKLDAVSKEPLIESSENAHSGNV >CDP01681 pep chromosome:AUK_PRJEB4211_v1:7:9590542:9594441:1 gene:GSCOC_T00036812001 transcript:CDP01681 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRWDLVVLGLLLSTVVTVVKSDASDHTYKPKDQVPLYANKVGPFQNPSETYRFFDLPFCSPAHVKEKREALGEVLNGDRLVSAPYKLEFLVDKDSELVCKKQLTKDDVAQFRKAVAKDYYFEMYYDDLPVWGFLGRVEKDGKSDPGEHKYYLFKHLHFDISYNKDHVIEIGARTERNDPNSQVDITEDKGVDVDFTYSVKWRDTDIPFEKRMDKYSHSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYANDEDTADDQEETGWKYIHGDVFRYPKYKSLFAAALGCGSQLFILVVFIFILAIVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYGQLEGKNWVRNLLLTGALFCGPLFLNFCFLNTVAIAYRATAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSKTEFQAPCRTTKYPREIPPLPWYRSTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACVCYGFFLMLGTVGFRAALFFVRHIYRSIKCE >CDP01684 pep chromosome:AUK_PRJEB4211_v1:7:9575113:9576259:1 gene:GSCOC_T00036817001 transcript:CDP01684 gene_biotype:protein_coding transcript_biotype:protein_coding MVISKTASQSDVSVHSTFASRYVRASLPRFKMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQASLLLLLLPFFFF >CDP02262 pep chromosome:AUK_PRJEB4211_v1:7:2575755:2578210:1 gene:GSCOC_T00039611001 transcript:CDP02262 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKAGDCMDNEKNSSSSSSSGDEGNVAWDEMVKEAAAAAAFGGARRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCSSSSSATPALPSKITNLLLSKLKARNNSLAAAAAAASSVPASDHEQQRESHEFPDDQSSDFPDAQFTDFLNDPEDYTPEKDGTTTSTASNLSTNNSSDSHLSSSPEYCLTQHDHHCEVIREPSLDLECWDDTVQSSNGHECLSWDGSTAEDETEEHAEEGNNVNPGIVDFNFVDEIESCYNCSPFEIAGQISQPVEIEDYGDDPSMLSEAMKRMNYERKFSASLYAFNGITECLKLRLRSVSVLQQERSEQLTRLRNACNKNIPDQEQQETLQGDNVELIKKQEEEATPADAGASSSNFDGDLSLWSSIDLPPICYVT >CDP04593 pep chromosome:AUK_PRJEB4211_v1:7:18088102:18097570:-1 gene:GSCOC_T00018581001 transcript:CDP04593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MPVAVDTRDGIRLVFQVEPNQEFQGLVCEGANVLPSKFIEDAFRDGYGKVVNIRRLDDVINSINGWYMERGLFGMVSGIEILSGGIITLQVSEAEVNNMTIRFLDRTGEPTVGKTRPETILRQLTTKKGQVYSMLQGKRDVDTLLTMGIMEDVSIIPQPAGDSNKIDLTLNIVERKSGGGISAGGGISSGITSGPLAGLIGSCAIYHKNLFGKNQKLNVSLERGQIDSIFRINYTDPWIEGDDTRTSRSIMIQNSRTPGTLVHGNQPDSNSFTIGRVTAGIEYSRPFRPKWNGTLGLIFQRAGAHDDKGNPVIRDFYSSPLTASGNTLDDMLLAKLETVYTGSGDPGSSMFAFNMDQGIPVWPEWLVFNRLNARARKGLVLGPACLRLSLSGGHVVGNFPPHEAFAIGGTNSVRGYEEGAVGSGRSCAIGCGEVSFPLTGPVEGVIFADYGTDLGSGSTVPGDPAGARLKPGSGYGYGLGIRVDSPLGPLRLEYAFNDQRTGRFHFGVGLRN >CDP16665 pep chromosome:AUK_PRJEB4211_v1:7:306011:313229:1 gene:GSCOC_T00019128001 transcript:CDP16665 gene_biotype:protein_coding transcript_biotype:protein_coding MPATWLSESLFRYIGRLGPCKSLNSRFNWKSPSKHLFTKICMDNNQCISSKWDPRGALIVFEGLDRCGKTSQCSRLLSSLERLGHSVESWRFPDRDTGVGQMISSYLSNQSQLGDHAIHLLFSANRWEKRSLMEAKLKSGTTLIVDRYAYSGVAFSSAKGLDIEWCKAPELGLWAPDLVVYLDISPEKAAERGGYGGERYEQLEFQRKVAQSYRALSDASWKVIDATLPIGVIEAQLREMAVDCISTCQEGKSLSELWSS >CDP01615 pep chromosome:AUK_PRJEB4211_v1:7:10219066:10221837:-1 gene:GSCOC_T00036717001 transcript:CDP01615 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAQKLEQLERVMGTTMEDGISCLSDTVHYNPSDLSGWVQSMLSELNNVNLPPSSATSIISYDEISPAIGGTDGATNDRDLIFDDDLRAIPGDAIFCNSNNNNNSATSKENAKESSPSCESNKRMKSSPSSTGSDFGGISVSSINPPSSDAARPVVLVDSQETGIRLVHTLMACAEAIQQDNMKVADALVKHIGILAVSQVGAMRKVATYFAEALARRIYKIYPQDSLESSYSDVLQMHFYESCPYLKFAHFTANQAILEAFSGASRVHVIDFSLKQGMQWPALMQALALRPGGPPAFRLTGIGPPQPDNSDALQQVGWKLAHLAKTIGVEFAFRGFVANSLADLDASMLEIRPCEVEAVAVNSVFELHRLLSRPGAIDKVLNSIKSMRPKIVAVVEQEANHNGNVFMDRFNEALHYYSTMFDSLESSGLTQQSNSQDLVMSEVYLGRQICNVVACEGVDRVERHETLTQWRGRMNSAGFDPVHLGSNAFKQASMLLALFAGGDGYRVEENDGCLMLGWHTRPLIATSAWQLRDGSESL >CDP08415 pep chromosome:AUK_PRJEB4211_v1:7:25173585:25182450:1 gene:GSCOC_T00027264001 transcript:CDP08415 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGSDLSSEMEVDAFRRLFPLHFHERHLLKSIRPDGRTLGKARDTIISLGAVTSANGSALTKIGCTTMLAAIKLEVMTPTVESPDEGCIAIDFHMPPICSPIVRPGRPADAAPVVAKQLSDTILRQLRYLWKNSGMIDLKELSLVSGKAAWMAYLDIYCLDADGSLFDAALLSAVAAFSHLQIPVVSLNDEGRIVLVSEDNGGGKLEKEPVNKEKRKLNLATIPFSLTCVLHKNYILADPTAEEESIMETLVTVVLDSSSQLVSLYKPGGPVLAHTSAIQDCVALTRQRLKELQKILNEAISDMEVD >CDP02604 pep chromosome:AUK_PRJEB4211_v1:7:5240702:5242347:1 gene:GSCOC_T00040045001 transcript:CDP02604 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLQQPSDQSFNSSRTSSSSRSSRQNHHYNQHQQEEEEECFNFFMDDEDFSSSSSRPHYNPYQQHQQQQTSSTPTPTTTNTSSTPTHHPYESADFSFSPARDINQEFASSFSGKWASDILLETARAIADKNSSRVQQLMWMLNELSSPYGDTDQKLASYFLQALFSRMTDSGERCYRTLVSASENTCSFESTRKMVLKFQEVSPWTTFGHVACNGAILEAVEGEPKLHIIDISNTFCTQWPTLLEALATRSDETPHLRLTTVVVSKAGGAGGGAAGVQKVMKEIGSRMEKFARLMGVPFKFNVLHHAGDLSELNLSELDIKEDEALAINCVGALHSVSTLGNRRDFLISIFRSLQPRIIAVVEEEADLDVGFDGYDFVKGFQECLRWFRVYFESLDDSFPKTSNERLMLERQAGRAIVDLVACPAAESIERRETATRWSHRLHASGFGPVSFSDEVCDDVRALLRRYKDGWSMAQCNESAGIFLSWKDQPVVWASAWRA >CDP01967 pep chromosome:AUK_PRJEB4211_v1:7:7352803:7353316:-1 gene:GSCOC_T00037162001 transcript:CDP01967 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIYIHLLKNQINGLNGFALNTSPAHRPKMSDVDRMLEGEGLAEKWAASHNYTNQSTNQLLQ >CDP02376 pep chromosome:AUK_PRJEB4211_v1:7:3400525:3401325:1 gene:GSCOC_T00039742001 transcript:CDP02376 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKFNKKLKHSIIQLRAELSEATITYVDMYRAKYDLIRNAKEQGFENPFKICCGLHGIDFDVWCSKKATINGSEVYAGSCAKPSAIISWDGVHYSQAANNLIASRIVNGSLSDPPMPITQACRRKI >CDP01673 pep chromosome:AUK_PRJEB4211_v1:7:9634821:9637632:-1 gene:GSCOC_T00036803001 transcript:CDP01673 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKICLMPTHFSRSNLVNLKVPSTFADQNAEENSGCSKYNLFNSKYKGCLYSVWSQVLLMILHYTK >CDP16740 pep chromosome:AUK_PRJEB4211_v1:7:840650:843338:1 gene:GSCOC_T00019221001 transcript:CDP16740 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFRVRVDRVFGSLVSSSPSNNRNSNHTPPSSSLTSLWSLSDQEIERKEWKRDSPDCPPRSPSPPSNLHHPLLSSSSSSPSTAALSLPPPHRQHLYPDRGNYDHQDHIRNNEEQEEDNPAGKGHQDHEDEQDWDIRSSIGLDCTLDFEDEEDEYDKVAVGRETAGDILCMGDVVDYGIEVNAHNELPSSFKDAPRDPRANHMAAKLRLREDAEAAGDFDTSKLSEMCMSSLTNRQGQQKVEDLVTPKPILRKRENPIHKKSPKRVRFHEQQQPNLGPNDSALGTCSPEEISSSEEALDLPGNQSLVVEAKASDVESSVPLKSSSSGESALLEDASTVPRVCNYIPDYLRNPTRYTRYDLASSYDTDEESNRKAYMEFLNQVNPAETHPDEASLTFQHPLTFTPKKKEHDSSMVKENGVKQNQVEVSKDRPIAVGIAAGDAYAQDSEVCAMEEDGSETVVCKNSQKPGRRYRSRTRTGMDSDDHVT >CDP02652 pep chromosome:AUK_PRJEB4211_v1:7:5566730:5567835:1 gene:GSCOC_T00040107001 transcript:CDP02652 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQGLTYSRLHHFAISVLILHLVFSSSHLRILFNAEGRPIAKTVSNSKIGSRPPRCDQKCNACGHCQAIQVPTNPQIRDGHQNYPRNSTTTVSTIFHSRGDDYSNYKPMSWKCKCGNLIFNP >CDP04577 pep chromosome:AUK_PRJEB4211_v1:7:18748347:18765044:-1 gene:GSCOC_T00018543001 transcript:CDP04577 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPQKHQKYVSRVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAASAAIASYLLNRPVKLTLDRDIDMMITGQRHSFLGKYKVGFTNNGKVLALDLEIYNNGGNSLDLSLAVLERAMFHSDNVYEIPNVRINGRVCYTNFPSNTAFRGFGGPQGMLITENWVQRIAMELKKSPEEIREINFQREGSVLHYGQKIEHSTLDRIWNELKTSCDLYNARKEVDQFNSNNRWKKRGIALIPTKFGISFTTKFMNQAGALVQVYTDGTVLITHGGVEMGQGLHTKVAQIAASSFNIPLSSVFISETSTDKVPNATPTAASASSDMYGAAVLDACEQINVRMKPIASTRNYSSFAELANACYMERIDLSAHGFFITPDIGFDWKTGQGIPFRYFTYGAAFAEVEIDTLTGDFHTTKADVTLDLGFSLNPAIDVGQIEGAFIQGLGWAALEELKWGDPAHRWIPAGFLYTCGPGNYKIPSVNDVPLKFNISLLKGAPNAKAIHSSKAVGEPPFFLASAVLFAIKDAIVSARAEVGCSDWFPLDNPATPERIRMACPDEFTKAFVDSDFRPKLSI >CDP02612 pep chromosome:AUK_PRJEB4211_v1:7:5284545:5290433:-1 gene:GSCOC_T00040054001 transcript:CDP02612 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNSTPIVSAHLMDRTDLRLGSTLRAKERESFWSKLRCGSCNGDWGVSGAAAATTNVAEEHYDSDTNNNSSEKDEKLFVKIMREAQPYFEAHRRSTFVLVFSADIDDSPFLPTILEDISLLHGLGIKFVLVPGTHVQIDKLLIERGREAKYVGRYRITDSDSLQAAIDAAGRIRIMIEAKLSPGPSLSAIRRHGDNSRFHDGVSVASGNFLAAKRRGVVEGTDYASTGEVKKIDVSRIRERLDQDCIVILSNLGYSSSGEVLNCNTYEVATACALALGAEKLICIINGPILDDCGHLIRFLTLQDADMLIRERAKQSETAANYVKAVGQDDLTGLGYNVSHGTSRSLNENGFSHKYSATFQNGVGFDNGNGLWSSEQGFAIGGQERLSRLNGYLSELAAAAFVCRGGVQRVHLLDGKIGGVLLKELFQRDGVGTMVASDLYEGTRMARKTDIPGIKQLIQPLEESGILIRRTEEEILEALDSLIVVEREGQIIASAALFPFFKEKCGEVAAIAVSPDCRGQGQGDKLLDYIEKKASSLGLEILFLLTTRTADWFVRRGFSECSIEHIPQERRKKINLSRRSKYYMKKLLPDRSGIRLDGAFT >CDP01713 pep chromosome:AUK_PRJEB4211_v1:7:9340129:9343522:1 gene:GSCOC_T00036851001 transcript:CDP01713 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMKEVLPKTGPFFVSSFRLIPAGLLLVGFAAFKGRRFPSGFNAWFPIFLFALVDAACFQGFLAEGLERTTAGLGSVIIDSQPLTVAILAALLFGESIGLVGATGLVVGVVGLLLLEVPAISFDKSNFSLWGSGEWWMLLAAQSMAVGTVMVRWVTKYSDPIMATGWHMVIGGIPLLAVSVLNHDPALSGSFKDLSSNDLLALLYTSIFGSAVSYGVYFYNATRGSLTKLSSLTFLTPMFASIFGFLYLDETFTPIQVIGALVIVAAIFMVNYRTSEEK >CDP01902 pep chromosome:AUK_PRJEB4211_v1:7:7890462:7892223:-1 gene:GSCOC_T00037082001 transcript:CDP01902 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTRRVTRSQTVAAAAANSSATSVSALRKIEESETGLTKSRHRKNGKQQDRSALIDITNDSPIVGLAMGSLETPSSAMSKKRFSSRAKHDGTPGSGEALLRGQVKTLLQKVEEEAELSKLSSENRPFLHLQGFINSPLTLAAPTPANTPQILNVSANGSSHNSGLASLTQSPVEENFIISQMVSEIFVGKKQEGNQSDKSLMITRSLILDFSEKSEGSECSSGGTYQGGESEGKERATTDDDDSSIWSIQVNASTIDDLEEDDEEGLDEVEEECDNDYYYDEEEEKEDDLELVDELCDAISKIRVNGEGTMGEFAGKHTRFVYNSDDELEGEEEVCGVQSESASTASPSVLKLKGLPTPKGKHLRFPDD >CDP01498 pep chromosome:AUK_PRJEB4211_v1:7:11424380:11427434:-1 gene:GSCOC_T00036571001 transcript:CDP01498 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGYGDANQKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIQHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRAHADKNIVVILIGNKTDLEDQRAVPTEDAKEFAEKEGLFFLETSALNATNVETAFLTVLTEIFNIVSRKTLAAGEDQGNGNPASLAGKKILVPGPAQVIPEKNRMCCASS >CDP12933 pep chromosome:AUK_PRJEB4211_v1:7:12862923:12864796:1 gene:GSCOC_T00037632001 transcript:CDP12933 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHWLWTALALAAIWFFLQDLFLMKKRKRFPPGPKGLPIIGNLHLLGKNPHQDLEKLAKKHGPIMHMRFGYVPAIIVSSPEAAEKFLKTYDQVFASRPYHESSWYVSYEQRNLTFGQYGPYWRNMRKLCILQLLSSHKINSFLPMRREEVGTLVKSLKQAASDGAAVDLSASISSLGANMSCLMIFGKKYMDKDFDDRGFRDVIQEGLHVAAMPNLGDYFPLLGVLDLQGLTRRFKDLAKVFDKFFEKIIDEHLQSQEHKQTKDFVDIMMGIMQSGEAEFEFDRRHVKAILLDLLVASMDTSVTAVEWAISELLRHPEAMRKLQKELEEKVGLERIVEESDIEGLEYLDMVIKESMRLHPVAPLLLPHESMEDCTVDDFHIQKKSRIIINIYTIGRDPNVWPDPETFNPERFKDSNIDLRGQDFRLIPFGSGRRSCPGLQLGLLLVRFVLAQLVHCFNWELADNIRPTDLDMSEAFGIVTSRATHLRVIPTYRLAK >CDP16706 pep chromosome:AUK_PRJEB4211_v1:7:560185:560932:1 gene:GSCOC_T00019176001 transcript:CDP16706 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYRWIPKDLIDCSQIHEWPLSTSYSRQLKLMEERGKSIHPDSEYEHIAYGCNKGSTTDGAEPL >CDP01523 pep chromosome:AUK_PRJEB4211_v1:7:11151568:11153365:-1 gene:GSCOC_T00036607001 transcript:CDP01523 gene_biotype:protein_coding transcript_biotype:protein_coding MMFFDHRKLLVSDKNTRVLLVKEAVTSSCFIHCPSLPHWNNGSEGDELSPPTPPSQKNSMPTFLVLMLCILGAVFVFIFYLAFRRRYQTNLRNSIRISSSADGARDEFLDENHGPRVHHPIWYIRTVGLEQSVIDSIAVFKYKKGDGLIEGTDCSVCLSEFEDDESLRLLPKCSHAFHITCVDTWLRSHKNCPLCRAPVVCDTDVNTSTDVPQMNTSQPVLDNRLDTSGPREDDRLDNQQESDAVAEGMTSELRIGVENIGPISHEEGKVLELLRKNCNNFAAGKNRVRVLSDLADHRVRIGEEMQPVRRSLSMDLPSASVIYAAVAKIHPVIDEGSSSIQDLEVKKQNLEIVAAKQGDKNSSRHRSRKSFSFGRSLQSVPMRMKRSFSSSGKVSIPRHGRSQELVRSF >CDP01678 pep chromosome:AUK_PRJEB4211_v1:7:9607860:9613112:-1 gene:GSCOC_T00036808001 transcript:CDP01678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing factor SR-like 1 [Source:Projected from Arabidopsis thaliana (AT5G37370) UniProtKB/Swiss-Prot;Acc:Q8RWB1] MAEIQTSGRPIDQLLEKVLCMNILSSDYFRDLLRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYYADPKTLWNWYEPFIKDDEEFAPGSNGRMTTMGVYIRDLLLGQYYFDTLFPRIPVPVMRSVVSNLEKLKLPTKHCGTTGESTRGSDETARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTIPPPSYDRDDGSRRSPSGRRSQSRELSDRDYIDRDRGRDRDRDRDRERYRDRERDRDSDRDRDRRYDYDRRSRESGRDYERRSRDGSRHYSEGSSRRSRSRSRSRSRSQSMYEHSMHGDHRSSPRDGNKEKTTASSNLAKLKDIYGDLGNQKEDTGKDRGPNRDSGTEEVIRLGGSTWR >CDP16693 pep chromosome:AUK_PRJEB4211_v1:7:499801:503578:-1 gene:GSCOC_T00019161001 transcript:CDP16693 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLKDLSSFAQRKVNLVGLVVEFSVPRRTNGTGGVLSSVFIIDQSQQTPEVTVNVFMRNIDGLPHLRSQKDIILLCSFKVEFYNQNYTAVYDHKASSFALFDGRITNDFTPYQVSPSFYSLHSDKHFVRRMRNWSQITPFDAGTSDYAVLLKDIKGHEFIDLVCMVLHLCEISNDNWMLFVWDGTDAPALHLEKEVGADKLPLQLEQTGLPPSVLNNFPRLGTVLRVMIDEKYENFGNHFKIVRDWVRIRNLHCRTVSGLWEGVLTPQTKIRHLSRNDNSVVGHLRDYKERIARQGCLPSCISPTSNFLTEVPNFEQARCTTLMELLTSPLVRGMFKCVVRFLAVLPAEVECFRSPTGSYRMRITLEDPTARIRALLQSGDADEFFGDELDIDALAVKMNRLLGMSESDNTWRNPPWSVCCIGFHSSNENDLWGSRHFHICNTRLVP >CDP02360 pep chromosome:AUK_PRJEB4211_v1:7:3286620:3300563:1 gene:GSCOC_T00039722001 transcript:CDP02360 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSVARSETLCIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELHHALCNMLSNILAPLADGGKGQWPPSGVEPALTLWYEAVARIRQHLMYWMDKQSKHIAVGYPLVTLLLCLGDPNVFLNNFGTHMEQLYKHLKDKNHRFMALDCLHRVLRFYLSVHGDSQPPNRVWDYLDSVTSQLLTSIRKGMLTQDIQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDNLSEAKVIGLRALLAIVMSPTSQHVGLEILHVQGVGHYVPKVKAAIESILRSCHRAYSQALLTCSRTAIDSVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLADDKFESDTMDVKRLQRNEGLKKSSFHQPQEAIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRELSVVERSDHLLKKDAEPIFLIDVLEENGDDIVQSCYWDSGRPFDLRRESDAVPPDVTLQSILFESPDKNRWAHCLSELVKYAAELCPSSVQEAKLEVIQRLAHITPAELGGKAHPSQDTDNKLDQWLMYAMFACSCPPDSREGGGSAATKELFHLIFPSLKSGSEAHVHAATMALGHSHLEICEVMFSELASFIDEVSLETEGKPKWKSQKSRREELRIHIANIYRSLSENIWPGMLSRKPVFRLHYLKFIEETTKHILTAPSESFQDMQPLRFALASVLRSLAPEFVESKSEKFDIRTRKRLFDLLMSWSDETGSTWSQEGVNDYRREVERYKSSQHSRSKDSIDKLSFDKELGEQVEAIQWASMNAMASLLYGPCFDDNARKMSGRVISWINSLFIEPAPRAPFGYSPADPRTPSYSKYTGEGGRGATGRDKHRGGHLRVSLAKLALKNLLLTNMDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGTEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASPEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLSQRMLEDSVESMRSSASKADANGNFVLEFSQGPAATQIASVVDSQPHMSPLLVRGSLDGPLRNTSGSLSWRTAAVGGRSASGPLSSMPPELNIVPVSAGRSGQLLPSLVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHSGTAMHGVNAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVENNDGENKQQVVSLIKYVQSKRGSMMWENEDPTVVRTELPSAALLSALVQSMVDAIFFQGDLRETWGVEALKWAMECTSRHLACRSHQIYRALRPRVTNDACVSLLRCLHRCLANPAPAVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDRTTENVLLSSMPRDELDTSASYGTDFQRLESKSAQEPFSNGKVPAFEGVQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGDAETRLLMHITGLLPWLCLQLSQDAVVGIASPLQQQYQKACSVATNIAIWCRAKSLDELATVFMFYSRGEIKSIDNLLGCVSPLLCNEWFPKHSALAFGHLLRLLEKGPVEYQRVILLMLKALLQHTPMDAAQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLPGSHPHDPISFENGLGVADEKILAPQTSFKARSGPLQLAMGLGLGAGSTPPMQNATESGLPPRELALQNTRLMLGRVLDGCALGRRRDYRRLVPFVTSTGNL >CDP11590 pep chromosome:AUK_PRJEB4211_v1:7:20732031:20733431:1 gene:GSCOC_T00033931001 transcript:CDP11590 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTNILLLIIVLVSFLSCTVRAKVSYDGRSFIINGQRKILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNVHEPSPGKYNFEGRGDIVRFLKLVKAAGLYAHLRIGPYICAEWNFGGFPVWLKYVPGMEFRTDNGPFKAAMQGFVTKIVNLMKSENLFEPQGGPIIMSQVGK >CDP02320 pep chromosome:AUK_PRJEB4211_v1:7:2948065:2949739:1 gene:GSCOC_T00039677001 transcript:CDP02320 gene_biotype:protein_coding transcript_biotype:protein_coding METKHEGGVNKHVELNTQLKQNSGEQTTEGTFSDVKKVHPVAQHDDTKTATEDSISGLKQHPEPKHDDCGKIIEGISSRLSNSSSSSSASDDSFNKSEKDGPQSPRSGCISSPDVSTQTPQWSMVSASPRGRSGNLSSTDVLPQTPEWAMFNSSQMKSPPIQAMGRGYDPNRIPSSIFSAKPATPMDWSVASNESLFSIHMGNNSFSKDSIFLQGLDFTKPEEGASSPLTQTSTSEAKSKELNSFTSNLPPVAEVAEKRSKNVYVREGLEDDLHETPIIVPVKKLAPDAEGVRLSTSSNLSDGRGVPHAEATSTPVRGPRVSNESAKSSDSFAFPVLLSDGGKGGSLKVNPEKPEATKPQPQDPEQAPEVASASWFSCVTCWPPRCC >CDP02626 pep chromosome:AUK_PRJEB4211_v1:7:5371241:5372695:1 gene:GSCOC_T00040074001 transcript:CDP02626 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFLKWAFDYTKKKKKWVLAFGAFYGAYKFYHLPSVVKKRKRLSKLLGALVAVAEMVSDSAEAVGVVSRDLKEFIQSDSDQIPSSLRQISKIARSDEISESLMRITTALTVGILRGNRQEKLRSGGSISPKSDFSDRILDKLFSEAGSGFASVVVGSFARNLVVTYYSNKQLNGSSNADHDIYESNSTAKWVNVLLEDKCREVIGDCVQMFVSTAVTVFLDKTMGINPYDELFSGMTNPKHESKVKEMLSSLCNGAVETLVRSSHQVLADAHSRDAAPDSKYLPSELLLSPSKDQKALIQRKLMSFGSKPSKLSCENEDSGWTRKMSSTLAVPNNRKLVLDVTGRVTFESVRSFLEFLLEKIAERLRSSIDAVHDEVIDRGIEVVQHVSRKSAAASICLCLCLHILSSPWILVPTY >CDP01901 pep chromosome:AUK_PRJEB4211_v1:7:7894294:7895553:-1 gene:GSCOC_T00037081001 transcript:CDP01901 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKACAFLILSALLLIHLTDAAQVVSKGVPSPSPSPLPAPKPIDCGAACGYRCSKTKRPNLCKRACGSCCAKCSCVPPGTSGNYEACPCYYNLTTVIKSTGKVVRKCP >CDP02307 pep chromosome:AUK_PRJEB4211_v1:7:2860737:2861603:1 gene:GSCOC_T00039663001 transcript:CDP02307 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPRSYMGTPVLMRKTARRRPNPHRRACKIQHSSSTRIRAGACVSEKLEALKNLIPVHTDHGEIKPDQLFQETADYIVLLRTQISVLQNLVDVYGSSAGQAQPHRLAAV >CDP02339 pep chromosome:AUK_PRJEB4211_v1:7:3141989:3147291:1 gene:GSCOC_T00039700001 transcript:CDP02339 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSNMLRTLERYQRCSYGAVEVSHSAKEIEQSSYKEYLKLKGKYESLQRYQRHLLGDDLGPLNINDLEHLEHQLESSLKLVRSTRTQVMLDQLSDLQTKEKLWLEANKALERKLEEIYAENHLHQSWGGGGEQSSTYSQQHSQSQGFFQPLECSSGLQIGYNPASSSQITAVTNAQNVSGLVPGWML >CDP02509 pep chromosome:AUK_PRJEB4211_v1:7:4444359:4447590:1 gene:GSCOC_T00039910001 transcript:CDP02509 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKEGEIDRLPIDLLAHIFTLITCFKDLAQASSVSRKWRQGVKESLARRDKLSFSGWKMDDDSTTRVVCLAFSLKELDISRSRWGCQITDQGLYQLATAKCISNLSSISLWGSTGITDRGVIQLISRATSLQHLNIGGTFITDTSLFAVADSCQELKTIVLWGCRHVTEEGLVVLVNKCRKLESINVWGLRVPLDCFVGLLTISPALQIRPKGMVNVERVSMWPVF >CDP02569 pep chromosome:AUK_PRJEB4211_v1:7:4935886:4936977:-1 gene:GSCOC_T00039997001 transcript:CDP02569 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDADADETRRHSNPVGSSHVVEREEEDEEALSFSDLPTNEENQFLVSRKESPVPIIIHDSQEEFNFCSSLATDSLSKESEMCVADEVFFQGQILPLRHSVSSESGLITKLQNDSRTTSRSDGTTSSTSTTTGTGSSCINRKPRPKTRNHFQFSHPSPKPQIRSSNSIKNGMNSSRNSTLWSIFRVGLVTTPEIAIQDLKFRNNSKNAGFGSRNSTSSTISSGSAGRIKAEEKDRIKKRHKFLDKKGVVFFSGCKCSSNAVAGPIPSRVAENGMRRSASVSEAHAKEEETESLTRTTRQHDQQQTGKQALSRHRTFEWLKQLSLEAAAVVDEA >CDP02167 pep chromosome:AUK_PRJEB4211_v1:7:1822871:1823985:1 gene:GSCOC_T00039477001 transcript:CDP02167 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEEKVVAVIMSADPLKALDFGHFLLILPSLFSLWLASPWFTIIFSACKRIKNLAQIFLIGFYEEGEFALYVSSISSELRVSVIRRTLAVWFLLILDSMLPFSLFWLPNFPKYLKEDGPHGSAFIPLEISCVVYVFFGQSHIFWLNCDVCCNFPSVRRDAGYIFSSLFVLFYFLILIEALWHVY >CDP04672 pep chromosome:AUK_PRJEB4211_v1:7:16213995:16217806:-1 gene:GSCOC_T00018721001 transcript:CDP04672 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLKPKLGSLGVMFHQSSGIASFYHHQESQSGLIKNLGAGSCNRPTLFTIWRSEHFKAEKQLDCKQNCGGPQVLNFPGGNVKFTSELDFLPEGLEERVHCYRILDENGQLTSSNFVQISDELAVRMYTYMAMLQTMDTIFYEAQRQGRISFYVTAIGEEAINIASAAALQLDDPVFPQVIEHKAILQAFLCIRNAYA >CDP16631 pep chromosome:AUK_PRJEB4211_v1:7:112801:114346:-1 gene:GSCOC_T00019083001 transcript:CDP16631 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHPAFQFVLFITLVLTPVLVISSSASSAASVKDPDLVVQDVQRSMNASRRNLGYLSCGTGNPIDDCWRCDPDWENNRQRLADCGIGFGKDAMGGRNGRIYVVTDAGDDDAVNPKPGTLRHAVIQDEPLWIIFKRDMVIQLRQELVMNSHKTIDGRGASVHISDGPCITIHYATNIIIHGIHIHDCKQGGNGNIRNSPEHSGYWTVSDGDGISIFGGQHVWVDHCSLSNCHDGLIDAIHGSTAITISNNYFTNHDKVMLLGHSDYYTQDKNMQATIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRFLAPNENFRKEVTKHEDAPESEWQHWNWRSEGDLMLNGAYFRESGAAGASSTNYARASSLSARPSSLVGSMTVAAGALTCRGGSRC >CDP02686 pep chromosome:AUK_PRJEB4211_v1:7:5836771:5837479:-1 gene:GSCOC_T00040153001 transcript:CDP02686 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFDFLVQFEATTKSKLATLNQKLDTLERRLEMLEVQVGSATANPALFTPN >CDP01729 pep chromosome:AUK_PRJEB4211_v1:7:9231416:9232001:1 gene:GSCOC_T00036873001 transcript:CDP01729 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSNPFLPNSKRFATVISHKFYCLSFIPNKKAKYILYQHVCSSIKPNIAQDEADSIAFYQVYNKKNRDKFKIPKFLEHIVDSNKTPVVTLRTGYKAFQVGMQGRQFTSYWEIFVKTHELELGDTLVFIPESINSFIVQIYKPNGVEKLFPWYHKYYVYSYL >CDP16751 pep chromosome:AUK_PRJEB4211_v1:7:909102:910637:1 gene:GSCOC_T00019235001 transcript:CDP16751 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEDHPTTSRRMTSSSGSVLCVCGAGVCLLLTSKSQANPGRSYYRCPASNRGCGFFRWLDQVRPDQLIFNIPQCGCGAGICRLDIKTTTGPNAGRKCFVCPIKKGQGACNFFMWLDAHSNAATAFQVK >CDP02805 pep chromosome:AUK_PRJEB4211_v1:7:6664086:6667103:1 gene:GSCOC_T00040306001 transcript:CDP02805 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGGGSSSGRLPTWKERENNKRRERRRRAIAAKIFAGLRAQGNYKLPKHCDNNEVLKALCSEAGWIVEDDGTTYRKGGKPPLVELTGASASISACSSIQPSPASSSFPSPAPSYHASPGSSSFPSPSRGDTNPPSYILPFLHNLASIPNSLPPLRISNSAPVTPPLSSPTRGSKRKPDWEPLSHIKLPPSLPHSLFAASAPASPTRRQHFTPATIPECDESDISPVESARWVSFQTAPAAPSSPTFNLVKPATLQNHQDVVDGRGQFPWGAGAQRGCGSEFAFESCTVKAWEGERAHEIGVDDLELTLGSGKAHG >CDP02746 pep chromosome:AUK_PRJEB4211_v1:7:6235508:6240192:-1 gene:GSCOC_T00040228001 transcript:CDP02746 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDLRSLLCVDNCRAVFHTQGILRPEWGNFPFRGDWFSHLYQPLIRYQNHQSRMREAQLGAHTVRTHGVTLARKHMHDWLILMLLAAIVVILNVINPFYRYVGKDMMADLKYPMKENTVPVWAVPVYAILLSMVVFLLFYLRRPDVYDLHHAILGLLFSVLVTGVITDAIKDAVGRPRPDFFWRCFPDGKDVYDQWGNVECHGQKHILKDGRKSFPSGHTSWSFAGLGFLSLYLSGKIQAFDCRGHVAKLCIVFLPLLVASLVAISRVDDYRHHWQDVFAGGLIGLIVAMFCYLQFFPPPYHVDGWGTYAYFRVQEEIHASMQPTDALNAEAQDENHQNESNNASMEMSSTVDPGTAVEDLESGRRET >CDP15703 pep chromosome:AUK_PRJEB4211_v1:7:23068973:23070178:1 gene:GSCOC_T00015700001 transcript:CDP15703 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFFGLAIFLFLTLDSDFTSSPISTASEGVQITYGSVIKLMHERTTFRLHSHDVPYGSGSGQQSVTGFPNVDDSNSYWIVRPVPDTNAQQGDTIKGGTIIRLQHMRTRKWLHSHLLNVSLTMMPIDF >CDP02630 pep chromosome:AUK_PRJEB4211_v1:7:5406975:5411155:-1 gene:GSCOC_T00040084001 transcript:CDP02630 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGVITTEGVGGDASCNGAGSEPFGISLEKRGGSGVLKTERSGSIQESLRVSKSARANEFCIFSQGQSPALLRSGSGLPGNNGQTMISFSSQSQVPYFSDEDASKTSAFPFFIAPPDPLCPRTSGYATEKSTEGMRGPFAKFRGPFTPSQWMELEHQALIYKYIIANVPVPSHLLIPLRKSFNPCAFSSLASGSYGSSSLGWGSFHLGFAGSTDPEPGRCRRTDGKKWRCSRDAVPDQKYCERHINRGRHRSRKPVEGQNGHAVSGSTASKVAPIASSSSASVMSSSSASNSLGAVHHSFKNLHTRADNASTEHLVSRMQDLHGLSAAGDSINLKSKDSPFSIQKQHAIFGGSSQTEFGVVSPDSLLNPSQRCSRMSSKTSDSLLGFNDHETPNQHLLRHFIDKCPKDQSHRASISWPEELKSDWTQLSMSIPMSTSDFSSSSRSPRQDKPTLSPLRLAREIDPIQMGLSVTKDVVGQNQKQANWLPASWGNSILGGPLGEVLNSTSSNAGGFKSSSTLNLMTDVWDNSPQMGCSPTGVLQKSTFVSLSNSSSGSSPRTDNKKAPEGATLCDDILGSTLAHSTSIPSM >CDP02424 pep chromosome:AUK_PRJEB4211_v1:7:3709406:3712060:-1 gene:GSCOC_T00039797001 transcript:CDP02424 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRVPKVEQGGQTKIKNVPIAVTPEGFWCCPSPVVFQKTPKTQSPLNKPKSSPPTPAPNTAIQKKSSVSEKKQAALAPKSNLVSSDQKAPNSDASLPGLPSITERTLRVKAENVQRKVSIEFGEPGTSDLKVILLGKQGFAVKLSVHKKILVEHSRFFANKISEQQLVFPCLEIDDCDDVEIYVETVGLMYCKELKQRLIKQSVPRVLRILKIAEQLGFTSCIQSCLEYLEAVPWVGEEEEDKVVNSVLRLQGEGIGVTPVLKRVSSDVSKPPKDTLSQILELMLKSNEERGRREMKSIVLKLLRESNSLPSCSSSVDACNAIIYGSCRSCMDVLLSAFRQATESELTSKLHDCKEPFLKQISLEADNLSWLLDILADRQAADEFAVMWASQQELASLHGKLPIMSRYHVSCITARLFVGIGRGELLPSKDIRLLLLETWLQPLINDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEEQQSILLGWLGTFLKAGDSCPNLQKAFEVWWRRTFVRPYTDSVNPPQSDTTVGLKMVEK >CDP12928 pep chromosome:AUK_PRJEB4211_v1:7:12889576:12890856:1 gene:GSCOC_T00037626001 transcript:CDP12928 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVSWLWTALLGRFTLVFFLNALLIKNKNKKLPPGPRGLPLLGHLHLLGKNAYQDLHKLAGISSLNADMSCLMVFGKKYADTEFDERSSNHIHEGGQLAATPNLGDYYPCLAKLHLQGLTRRMKATSKIFDEFLEKIIDEHEKSAKQDRPADDFVHMLALMKSGETEFQFDRRHIKAILMVSHMVHVRYMGSTFLCILKYSLLNKCYI >CDP12873 pep chromosome:AUK_PRJEB4211_v1:7:13438813:13441212:-1 gene:GSCOC_T00037550001 transcript:CDP12873 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFNLLISAISLLSLETIDVEGYLGMNWGRLATQRMIPSMVVDLLMQNKINYVKLYSASDNVLEAFSVTDIGLEVTMPNVYTQKLKNQADVNDWVVQIIVRHPNVHYILDMKGSCSKEEDGLQVLSDLSNKEAIQVLDWTQRALNLMNKSEIKATMSHFTDVLIPIKKPSEGAFRADLEPNMTRSCQILQSYNAPIGLDVFPLLSVNDLFNGDTEFAFFENNSTSVFKDGDKTYSNVFEVMYDMFDVALEKVGCPNMTIIIDAIGWPTYGIKDGNIPNAQRFHQGLAKFVASKKGTPQCPSPIDVYIRNLSNENKINKSTGSFKRHWGIYKFDGQPKFNFDVQGLGRDVKLVPTVGITHMPKRWCIINGDIANDINNTIREKFLDAHDSIVDCTAMEAGASCSNLTETQQLSYVFNMGFRKVAQNVYNKSCYYNGYGKIVSDDPSTPSCTFPVEILATEIPNFNGYARSLAHALMPSSISLMIAVVQMLFM >CDP12900 pep chromosome:AUK_PRJEB4211_v1:7:13209811:13213727:1 gene:GSCOC_T00037586001 transcript:CDP12900 gene_biotype:protein_coding transcript_biotype:protein_coding MILLASTVSGSIPVNQLINSSKQRPSSRSCVVNSAVAMTNEVKRSCEFYDMHKELIPYAEAWSWQKDIVKKRKELIEREKDCSDTLIILQHHPVYTLGTRSSEAYLNFSMKNAPINVYRTERGGEVTYHGPGQLVMYPIINLRYHKMDLHWYLRALEEVVIRVLSSTFFIKASRIEGLTGVWVGDQKLAAIGIKVSQWIAYHGLALNVATDLTAFGQIVPCGIQDRQVGSIKGLLQEHVSSNRRGAESKYDIDDNQLIDITHKSLVQEFCKIFQVHLRHKPIPAGIAWERPEVLHSITEKGD >CDP02275 pep chromosome:AUK_PRJEB4211_v1:7:2650893:2653538:1 gene:GSCOC_T00039626001 transcript:CDP02275 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLPSIVPALIQNPTKFTCVKAQLRILSETSSPLSEKFASFLDNCLDIDSLKKLHACILTHGLENNVGLGSKLINSCARFNLLTESKWVFRKIICNDLSLWNSIILGYFRAAHFGEVLGLYVGLRRRKIGINGSVITVGLKSCVELGSLDFGKSLHVDAFKSGLNGDRFVGSSLIGLYNKYDDVDEAAKVFDEITERDVVVYTSMITAYAQVGDNRSCEAFRVAGYMQKEELEPNRITLVSLLQAVSVCGAIKEGKSIHGYAIRKGIGWLDKVFETSLMDMYMKCGFPDKAALSFGNISWKTIGSWNAMITGHLQLGQPLKALQLFLQMVQQNYVPDIITLANGVLSCGYIGHLSGGKSIHGYLLRNGFQLDLVATTALIDMYSKCYQLIQAKEVFDKMHRKDDVSFNVMIAGYLENGFSSQAVEEFHEMVRMDLRPNVSTILNVLSAISDLKDTRQGKCIHGHAFRHGFGENTDIANQLINMYSKCCTMNYARKVFDRIGKKDTVSWTSMITAHVNGGRADEAVILFRLMQKEKLNPDSVTLISLLQALAQLGCLSLAREVHTRVYRILLNEDKPMINCLITAYSNSGKLSIARNLFEHVAKRQLATWNSMISAYGMHGDCVQALKLFDMMKKDKIPPDGLTFTSVLSACSHSGMVNEGLCVFKSMKEEYRLTPSGEHYSCMVDLLSRAGRLEEAYELLKFLPSDQATSALGALLAACRVHGNINMGEIIGRRLLELEPQNPSAYNLVSNLLAEQEKWEEVAKLRSIAERRGLKGFAGNSMIEFGCTGLLSGS >CDP01583 pep chromosome:AUK_PRJEB4211_v1:7:10572037:10573431:1 gene:GSCOC_T00036679001 transcript:CDP01583 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKKLHVVVFPWLAFGHMIPFLELAKSIAQKGHRVTYVSTPRNVDRLPKIPSTLISQLNYLKLPLPQIANLPENAEATTDLPITKVHCLKKAYDGLQIEVAQFLETTLPDWLIYDFASHSLPSMVGKLGISLAFFSSMNAWSAAFFGSAKTFHTRTQPEDFLVPPKWVPFPSKVAFRRHELMRMDAGNVENASGVSDWDRVAEALIGCDAILVRSCRELESDWLDLTEKMHEKPVITVGLMPPSARDREDDGDDTWHTISGWLNRHGKESVIYVALGTEVAPSQEELTELATGLELSGLPFFWALRKKEGLSEFESLELPDGFEERVKERGIVWTSWTPQLRVLAHDSVGGFLTHCGWSSVIEGLQNGRPLVMLPFQLDQGLNARALEEKMVGIEVPRDEDDGSLSRDSVAESLRLVMADQKGQVYRDKAKEMKLIFGDKDLQEKYEDNLIEYLEKIRGLI >CDP02777 pep chromosome:AUK_PRJEB4211_v1:7:6413964:6414814:1 gene:GSCOC_T00040271001 transcript:CDP02777 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLSSSDPPHLLKPTRKIISFSRTHSNNSFGRSPSHSCNGLTFVRSKKPLSDLNAWGIGGPCNYFVQVFDQSQLTTAIRYCREYSLRFMIIGKGSNCLFDNMGYDGCVILNWIDFLEKIRSVGYRVGRGYPFNRLGVQSATEGLSGLEFASGIPGTVGGAEIADAIDTVEIITSDGEYQILHQR >CDP12827 pep chromosome:AUK_PRJEB4211_v1:7:14133714:14136000:1 gene:GSCOC_T00037489001 transcript:CDP12827 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSPNWAACALVWVATVVIITQLSKHFHQKKLNLPPGPRQWPIIGNLNLIGTLPHRSLHHLSLTYGPLMHLQFGSFPVVVGSSVEMAKVFLKTMDLTFAGRPKTAAGKYITYNYSDLLWSPYGPYCCQARKIFLTELFSAKRLESYEHIQVEEMNSLLLQLFKSSGKPVVLKDYLSTGSLNVISRMVLGKTYIDESENSIVTPEEFRQMMDEFFLLTGVFNIGDFIPWIDFLDLQGYIKRMKILSKKFDRFLEHVLDEHNAQRKDETNCVSKDLVDVLLGLADDPTLEVKLERREVKALTLDLLTAGTETSAITIEWAISELLKNPEIFDKAGEELDRVIGQNRWVKEKDMPNLPYIEAIVKETMRMHPAALLTPRCAREDCKVAGYDIQKGTRVAVNVWSIGRDPELWEKPEEFWPDRFMGKDIDFKGQDCKFLPFGAGRRMCPAYSLGLKVVQSSLANLLHGYRWKLPNDMKPEDLDMEEIFGITTPRKIPLVAIVEPRLPCNLYSL >CDP02625 pep chromosome:AUK_PRJEB4211_v1:7:5359535:5363627:-1 gene:GSCOC_T00040072001 transcript:CDP02625 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR1 [Source:Projected from Arabidopsis thaliana (AT5G65890) UniProtKB/Swiss-Prot;Acc:Q9FHP1] MEIIYRPYVDPDIVSLIERMHPPRVSIDNDTCPDCTLVKVDSANKHGILLEMVQVLTDLDLVISKSYICSDGGWLMDVFHVTDQLGNKITDESLIHYIQQAISTSRESSREVQPSISKNVRPAYISAEHTALEMTGTDRPGLMSEMSAVLAEMGCHVSAAVAWTHNARAACIICVADECNGGPITDPCRLARVQAQLENVVEAHHCNGEKRSVRLACPVGGKTHTERRLHQLMAADRDYEACCSCSVQDDDGKDEEMNSSGKFDWQRRKEREATTRVKIENCTEKGYSIVTVRSEDRPKLLFDTVCALTDMQYVVFHAAISSKGSKAVQEYYIRHKDGCTLDLEVERRRVTRCLIAATERRVSHGIRVDVTTENRIGLLSDVTRVFRENGLSIARAEIGTNGDRAVGTFYVKDASGDNISRETLEMVQREIGGGTVLVVDKPLGLRSRATSSSSTSGRNSAGTEDRPKLSLGSLFWSHLERLSSNFRPIKS >CDP12922 pep chromosome:AUK_PRJEB4211_v1:7:12961657:12973273:-1 gene:GSCOC_T00037616001 transcript:CDP12922 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISAALSASRLPEVKFLSQEASGQRRRRLVRFAVYRRPNFELERSLGRRSWRILAAKREEGTAVVEEKESEILTQLNGSLNGSSSGSCGGISGLEVEEYTNGSVNGSLVKYVDGNGNGGVGVRSKEELVAVMVEDEEEKRKRNIEEIGREEAWFKQSEDQQVEVSVAPGGRWSRFKTYSTIQRTLEIWGFVLTFIFRVWLNSQKFSYRGGMTEEKKVQRRKVLAKWLKENILRLGPTFIKVGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVDDMFDRFDREPIAAASLGQVHRAKVNGQEVVVKVQRPGLKDLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECANVLYQEIDYTKEAANAELFAANFKDMDYVKVPKICWEYTTPQVLTMEYVPGIKINRIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIRKGLLDVFYGVYEKDADKVLQAMIQMGVLVPTGDVTAVRRTAQFFLNSFEERLAAQRREREMAATELGFKKPLSKEEKIEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVILKDFRKRWDRQSRAFYNLFRQADRVEKLAEIIQRLEQGDLKLRVRALESERAFQRIAAVQKTLGSAVAAGSLINLATILYINSITMPASIAYAICAFFGLQVLIGVVKVKKLDQRERLITGTA >CDP02660 pep chromosome:AUK_PRJEB4211_v1:7:5640028:5643826:-1 gene:GSCOC_T00040119001 transcript:CDP02660 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVLLLFLLLHLHSCFAARTPRMPEYKALLSIKSAITADPQSSLASWNDSTTHCSWSGVTCDSYGRHVTALDISGLNLTGSLSPDVSHLRLLLNLSVAANQFCGPVPPEISSISTLRYLNLSNNIFNLTFPRQLIRLKNLEVLDFYNNNMSGPLPVDVYQLTNLRHLHLGGNYFSGGIPAEYGSFPNLEYLAVSGNELAGRIPPEIANLTKLQHLYIGYFNTFSGGIPPQIGNLSQLLRFDAANCGLSGEVPPELGMLQNLDTLFLQVNALSGPLTSELGYLKSLKSMDLSNNMFTGEIPSSFAQLKNLTLLNLFRNQLHGSIPDFIGDLPELEVLQLWDNNFTGSIPQGLGTNGKLQNLDLSSNKLTGNLPPNICTGNKLQTLITLGNFLFGTIPESLGQCESLSRLRMGENYLNGSIPKGLLSLPQLTQVELQDNLLTGSFPETDKTSTALGQISLSNNRLSGPLPPSIGNFVGVQKFLLDGNKFTGPIPAEIGKLQQLSKIDFSHNSFSGPIAPEISECKLLTFVDLSRNQLAGEIPTEITGMRILNYLNLSRNHLVGSIPSPIASMQSLTSVDFSYNNLSGMVPGTGQFSYFNYTSFLGNPDLCGPYLGPCKEGIVNGSTKPHERGAFSPSMKLLLVIGLLVCSIVFAVAAIIKARSLKKASEARAWKLTAFQRLDFTCDDVLDSLKEDNIIGKGGAGIVYKGAMPNGEHVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEMLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGRKPVGEFGDGVDIVQWVRKMTDGNKEGVLKILDPRLPTVPLHEVMHVFYVAMLCVEEQAVERPTMREVVQILTELPKPANGKQGDSTDTESPPPASTVESPSTTPVDSKDHQQPPPPQSPPPDLLSI >CDP02423 pep chromosome:AUK_PRJEB4211_v1:7:3699124:3704791:1 gene:GSCOC_T00039795001 transcript:CDP02423 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEAPKFRRVSRNIIQVDRRYNHYCEQMQMVVNSFDLVMGFGAAVPYTALAQKAMSRHFRCLKDAIATQLKHSCELLGEKDAGTSGVTKGETPRLKLLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEAKEEAEEREPSQSNGSNIAQTPTPNATTTTTPSSTAATTTATTATATETTAAAAAAITATGKRSEINDSENDPSFFAINRQCFSENQAKNNCSSATSTSIMSISTSLATQTATVKPPTMSSQSFPTAYDSEAACRRSGIVTSANAEMGSTFIRFGTSAGDVSLTLGLRHAGNLPENSSTTFSVRDFGGS >CDP01543 pep chromosome:AUK_PRJEB4211_v1:7:10970271:10970658:1 gene:GSCOC_T00036629001 transcript:CDP01543 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSRNIFPDSDNSRQKSSTHSILTSYFQPLLLWTGVLLVCRALDPVVLPTEASQIVKQRLLIL >CDP01572 pep chromosome:AUK_PRJEB4211_v1:7:10715502:10719518:1 gene:GSCOC_T00036665001 transcript:CDP01572 gene_biotype:protein_coding transcript_biotype:protein_coding METDQPAERIFVAIGTDLNDGFATLEWVLKKWNSHPIKIVILFSDNYICRDYVFTPIGKIPASSVREEKLKVLEKSEEAKSDKILSKYIAFCGKVKAEGLRIDRYEEPIHKVVLGLITSLPITKLVMAFSLLRPLSWKSRTAMNASFYLQSKKPNFCELFVIFNGKLVYLKEETNEGFIEDDQGVIVARIKERPSFKGWIGKFFPENANGKNHCESPSSSSASNGGTPDQWEKYGEEIENYFNELSSSIANEGNSEEANDTSISISPELNMAENMAAAEKIEILEIKIRDTRESIQSNKEEARANAEKCAKAKWAIGLCTARANDLEARIHEEIAKRMDLQKDLDSTKEELFEIQSEVEEKRNKLNPILELQRELSNKLQLSTLAKSRVESQLEKAVRNRAEMVQEIEELRRQRDVLQRRIEFCKEKDAIGMANRLNDLCFDYRKFTAAEIRAATDDFSECLRLKSAADWTNVYRGRVNRTTVAIKLSNSDAALSQDTFLEKVKLLGHIRHPHILGMIGFCTEPKCIVFEYMHNGCLRDILFSNHRGRNHGLNWHARIRVAAEVCMGLSFLHKAKPKPLVHGNLNPSKILLDRNNVARIHGFKPCPCNDASRMRADIRAFGTLLLQLLTGRNWARVGEEAIMVDGASLTEALDKMAGPWPLDLAMELGGIASCCLAIDESLDKEFSSTSLMRRIERVRENADELLANGECLLAADGDSSTEVSVESNVPSVFYCPIYQDIMKNPHIAADGFSYELEAIEEWLRTGHDTSPMTNLRLKHKQLTPNHTLRSLIQDWHNKRSIPY >CDP02226 pep chromosome:AUK_PRJEB4211_v1:7:2283485:2288359:1 gene:GSCOC_T00039558001 transcript:CDP02226 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVTLTAANQPAAPPKTSFLGRRELCFDGISSICCSDQPSSDDAAMASASSDPQTPNSPAVKTEHGQCKDLSGRLVGKIFPVTTRRTLFASLSMYLGYHPSRYFSAYALGDPSVTVEQVTPPVFPSGPLFPSEERIVQLFEKNTYSVVNIFDVTLRPQLNLSGVVEVPEGNGSGVVWDGLGHIVTNYHVIGNSLSRNPSRGQVVARVNILASEGVQKNFEGRLIGADRAKDLAVLKVEASADLLRPIKVGPSSSLKVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGDGIQTDAAINPGNSGGPLLDSKGRLIGINTAIFTQTGIFLLSRTSAGVGFAIPASTVSKIVPQLIQRGKVVRAGLNIEFAPDPVANQLNVRNGALILQVPQNSLAAKAGLVSTSRGFAGNIILGDIIVAVDKKPVRSKSELNKVLDDYNVGDEVLLQIRRGSETLELPLVLEETA >CDP16014 pep chromosome:AUK_PRJEB4211_v1:7:21572208:21576806:-1 gene:GSCOC_T00016983001 transcript:CDP16014 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKIANCSLILVACRELSRTPQLLIYMYFCATYIWCFFQAYAVGRALTQKLKELIPRQMFKVPIQACVGSKVIASESLSAIRKDVLAKCYGGDITRKKKLLRKQAEGKRRMKAIGKVDVPQEAFMAVLKLEKEVL >CDP04618 pep chromosome:AUK_PRJEB4211_v1:7:17368090:17369265:1 gene:GSCOC_T00018628001 transcript:CDP04618 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQNPNRIIDGYSEEFEQAFLEHMKRSHRFSRIAATVVYNEYIADRHHIHMNSTQWATLTEFVKYLGRAGKCKVEETPKGWFITYIDRDSETLFKEKMKNKRIRADLAEEEKQEREIKKQIERAEQLMPAGNESGDGNEQEVQEKRLFEKSEEKIKLSLGSSSKSNLKEEGSRVVFDDVEHETKSDKGNKGSGKMGSSVLDELMREEEKAKERSNRKDYWLCEGIIVKVMSRALAEKGYYKQKGMVRKVIDKYVGEIEMLESKHVLRIDQEELETVIPQIGVLVRVVNGAYRGSNARLLAVDTDKFCAKVQIEKGIYDGRVIKAVEYEDICKLAQ >CDP04753 pep chromosome:AUK_PRJEB4211_v1:7:15086652:15090663:-1 gene:GSCOC_T00018839001 transcript:CDP04753 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSSSSTSCFHSALDYLGWLYEAPRHYYSPHNNISDLKGEVRLLQTFDLYLTKCRRRRRNRETCLEQNEEEKDVTSFRIQQLIVRKMVDLESARIEDERYPGPVSLIWVASELTIFRKAIKLFFETDIKESWIDFLLDYYWLRDPGPVIDFIDSVSENLEDLLSGRHKFRKALIVLVKALKEKLMFLKCFIGFSMLHGVEGQQLIDLLIHAEVVAFNALRLIYKCWFHGDDEKLCEEMELQISRLIREKIYPSSPQVRQTYFHVLTASKLSSSSNTSTLEKNKHLVADFMDCLVHIITELVQSCTSILVPIMKRMLKLLEGLRFLTILLRHQQKFKELRHEMKTLIAVVVRDAAIVIFSLSVNQLIKEGLANETDLALLHLLKVLKLIRAEVTQVYPLTSVSGFGFPRINELGSMDFFLKNLKKLASCDEINGSIAFPVDKIQKIQKDFEFLRSFLEKIKEQCNQSKKIQAFWSRVMEAAYKAELVIDWTLVGDERECCLDDVATDINLMKTEAQEIYGSISYDGETKRVTETFTCVSSQVIAATKNEEFVCLDDEVKTITDRLTGGSRKLGVVPIVGMPGLGKTTLANMVYSSPSVKLHFHIRAWCTVSQIYSTHNLLVQILHSIDSRSPEQYPEENEGDLALKLKQALLRNRYLLVLDDLWGVEAWNLLEKSLPDDANGSRILVTSRLQNLFLQLKLDSKVHHLRPLTDEESWKLLQNKLFGKEGCPAKLSGVGFQLAKSCRGLPLTVVLVAGILATTGQNCWQEVAKSLSSSIVLDDEYCMKTLELSYSHLPGDLKSCLLYFSAFRADKNVPIRRLLWLWISEGFVQKTEEKSLEDVADEYLKDLVDRSLVMVSEHRTRGGAKACRLHDLVHEFCVEKAKEENFLHIIHSQNDPIILTIPSNRHRVCDQNARNLMTWDLMLLFPNLRSLLLFKEDAFSLWLPQLLRVLDLRNLKFDAHFPMVVVLLVHLRYLALYITGINSIPPEISNLSRLQTFLVRGYSRYFLLPKTIWNIKTLRHLYYTTNGGFAFPVENLEVSPCLDHLDTLNLAIDPSSQSLQKILTKLPSIRRLKCSITGVRIGNGGGILEFDCLSQLESLNLSYFTGYGFKFPRNLKKLTLSDNKQPWSEISTIGKLPNLQVLKLKHEAFAGEEWVKEEGEFPSLRVLKLARLDFRRWTAASDNFPRLEQLVLYNCRELEEVPSCLGECPTLEAIEVGRCGESVVSSVEKIQEEQMDMGNEDLKIAIEKCGDAWIG >CDP01898 pep chromosome:AUK_PRJEB4211_v1:7:7920615:7927559:-1 gene:GSCOC_T00037077001 transcript:CDP01898 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCQSDISDSRQEDDLDSNGAVVAKTRHQAHGKKLRRNELNEHFQELGNILDTDSVRLKNDEATIVISPIQALKDLASEVSRLQSEQAAIRQDIKKLAKENKELREKTSLQFDVGAFEVQRQQRHRSMFPRSAIDPSAVMACAFSYPVAQSVSSGPYPRHTPSLHPKPFLANQSAVPSDPGVMMILRSAAATPHINKPSSRNASTPCIFGKEIARNGSSGQDRSGNGEKSGDIPDMVTDLELAIPGSTAQKPLPRDKGKRPLRD >CDP04776 pep chromosome:AUK_PRJEB4211_v1:7:14786123:14786959:-1 gene:GSCOC_T00018874001 transcript:CDP04776 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLAQNPSKRWGEIFFLLYTPFWLTLCLGIVVPYKLYETFTEWEYLLIGLVSAVPTFVVPLLFVGKADRNVSWSNRYWVKANLWMMIFSYVGNYFWTHYFFKVLGASYTFPSWRMNDVPHTTFLLAHVCFLFYHVVSNITLRRLQHAIAALPETIQWAFKAGWILALAYVIAYLETVAIANFPYYTFVDRASMYKVGSLFYAIYFIVSFPMFLRVDEKPGDPWDLPRVAIDALGAAMLVTILLDLWRIFLGPIVPIPDSKQCPQSGLPWFAEHTQQF >CDP02790 pep chromosome:AUK_PRJEB4211_v1:7:6573195:6575802:1 gene:GSCOC_T00040287001 transcript:CDP02790 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSEVGDRTFCVAAILAMRHPWSSVLCGCLSSVILTTTLSAVIGWAAPNLIPRELAHRITTLLFFGFGLWSLWEAYNEDDDDNEELEQVEKELNQDAAPKDTTGKGPKGKEGSKVAEDLKKQRKPFLTNFFSPVFIEAFSVTFFGEWGDKSQLATIGLAADENAVGVILGGILAQVLCTVAAVAGGKSLASKISERLVTMASGVLFLIFGVQSFLSTVY >CDP08406 pep chromosome:AUK_PRJEB4211_v1:7:25568152:25569196:1 gene:GSCOC_T00027246001 transcript:CDP08406 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPFTSVGHIRFDREIHPRHVIVLWQFFFATETTGPCSSITEGPSTKGSNKKLLILDLNGVVMANALGRRTRNRDFNFRPHCFAFLQFCFSYFDVAVWSSKQRHNIEPVLESLSTLLKQNIKDKLIFVWDQSRCIVAKTRLKENADKKVMFKELKSVWADYRSYNSSNTILVDDSPYKSFINSISIPDHPIAFGTYASIPVQMKLIHPEGGFVKYLKKLADTQNVQ >CDP02430 pep chromosome:AUK_PRJEB4211_v1:7:3764542:3767154:-1 gene:GSCOC_T00039805001 transcript:CDP02430 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKVGVAPRNNVLLRSSPNLSKAFGVEQSSARLTCSLQADLKDLAQKCTDAAKVAGFALATSALVVSGANAEGVPKRLTYDEIQSKTYLEVKGTGTANQCPTIDGGVDKFAFKPGKYDAKKLCLEPTSFTVKAEGPNKNSPPEFQKTKLMTRLTYTLDEIEGPFEVNTDGTIKFVEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFSGEFLVPSYRGSSFLDPKGRGGSTGYDSAVALPAGGRGDEEELVKENIKNVSSSSGKITLSVTKSKPETGEVIGVFESVQPSDTDLGSKAPKEVKIQGIWYAQLE >CDP01801 pep chromosome:AUK_PRJEB4211_v1:7:8659695:8661128:1 gene:GSCOC_T00036966001 transcript:CDP01801 gene_biotype:protein_coding transcript_biotype:protein_coding MEKADPAQKLYTRLRLWEFPDEYVIEPTDGSSGSSLSISRVDGSIKLIDDIPQCTSLRVPKIQTIFGVVGLLKLLAGRFFLSKT >CDP02055 pep chromosome:AUK_PRJEB4211_v1:7:1094126:1096249:1 gene:GSCOC_T00039333001 transcript:CDP02055 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWQTLLRLFQSCKDGKSLASLHSIVLKTGLISDVFWAAKLNHLYRLYAPLTTVRRLFDETPQRTTYTWNSMLNCYCADKQYQETLSLFFHMLSFEKPDYFTVAIALKACSALRALDFGKKIHGFIKKNESIDSNLFVGSRLIEFYSKCGNLDDASHVLGDYDIPDVVLWTTLVTGYQRNGEPDEALDTFSRMVMVGGLTPDPIVLVSVVTACAQLLDLNAGKSVHGFMIRKKFGGLSLLNALLHFYAKYGSVKAGANLFSVMDEKDVISWGSMISCYAYNGYARHALELFDEMMVRGVEPNSVVVISALQACEAAHDVELGKKLHKLAVHQGFELDVMVATALIDMYMSCSSPDDAVELFGRMVEKDAFSWSAILCGCVQNGLACQSMGVFKDMLCTQIQPDAVIMVKILTACAELGILQQACCLHGYLIRGGLDNSTFVGASLVEAYAKCGSLGDAIKVFEGMKEKDVVIWSSMFAGYGFHGQGRELLELFYEMINSSTVSPNNVTFLSILSACSHAGLVEEATKLFNMMINDYQLIPESKHYGIMVDLLGRNGDLNHAMDIINQMPLPVHPDVWGALLGASRIHQNMEMAEVAARNVFHLDPNHAGYYILLSHMYAVDGKWDNAARVRTFVKEKQFKKVSGQSIVELRDETCSFVANDRYHPNSEQIYDVVSVIHTKMREEGYNPDLA >CDP02375 pep chromosome:AUK_PRJEB4211_v1:7:3399244:3400364:1 gene:GSCOC_T00039741001 transcript:CDP02375 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPCGETYFHRFAGRGSDGCLIIDVIHLGLLLLSPYLDSVGTSFRHGANFATGGATIRRQNESWFQTGVSPFPLDIPVEHYTQFKARTAYFYDQAKIASDVNRLPRPQDFSKALYTFDIGQIDIPADHSMIRSDNQLHNKQMIYSSLLQDT >CDP01566 pep chromosome:AUK_PRJEB4211_v1:7:10754828:10757537:-1 gene:GSCOC_T00036658001 transcript:CDP01566 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSWTRFSWWWWSGKEKEPVPNGSSSMNTLPDWGFGLREPDNLKFRSVMAAKMAPSSRKVKRKWKSREERRRIDKEYDVVLVPSDGVCLSGSESDDSDWSIGWLEPHAPDFQSEDEADDSFAVLVPCYRHAYTELEREPRGSNQFLSAIKNLPNEYSDVSDGKKYVEQWLSSLQNF >CDP04621 pep chromosome:AUK_PRJEB4211_v1:7:17302818:17312897:-1 gene:GSCOC_T00018633001 transcript:CDP04621 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGGGVGAKFVSVNLNKSYGQPSNHNHQHYSGSYGQAAAGARARLGSGGGSGGMVEHERFDLSGSSSGTASGTGPGSGPRPTSSGMGWTKPVAVALPEKDVGDGDLQVEPTGHGIDGDSRVNSAYMPPSARASGIAVATSSASSARPFPKAVDRAVVLRGEDFPSLQAVLPVLPGPGQKPQDNVNQKQKLVTSEESTDLQRDSFLLNSLVDMRPHGQASRHTSGNGAMENGGEGHGLGSSHLADQPRKEEYFPGPLPLVKLNPRSDWADDERDTGHGITERSRDFGYSKTDRNSVVARTTAFNKDFGKDIKYMPPHVGDPLQDGGFSASEETTHLRRNGSHFVEARQQWNNIKESYNSRGSEWNTRERYGAEQSNRYRGDNFQNSNISKSMYASGGKMPPGTDPLLTSVRDKRVSSKTERSYFEDPFISSAGFDERDPFSGSLVGVIKRKKDVVKQTFLHDPVRESFEAELERVQKMQELERQRIIEEQERALEQARREEEERQRLIREEEERVRRLEEEAQEAAWRAEQERLEAIRRTEEQRIAREEEKKRILVEEERRKIAAKQKLLELEERMAKRQAEAVKSDSSVATTNLDDKFSAILKEKDVSPSTDVETWEDSEKMVERITASASFDSTVLNRPFDVSSRPYPTRDGSSGFLDRGKSLNSWRRDVFENGNTSSSQLLENEIGHFSPRRDSFANSRAVSRKEFHGGAGYMSSSAHVRGGKESYADEFGYHKDQRWNFSGDADSLSRSMEMDSDFQDNLAEKYSDIGWVQNRFRGNTPPHPERPYPHSEADELYSYGRSRYSMRQPRVLPPPIAYTQRSSFRSTNDHPGPSGFLDDNHYSHAERSEPTRQTAYYGSHQDGLQQSELDIPKDDLTLQDQNSNKDITPRCDSQSSVSVSSPPNSPPHLSHDELDDSSDSPMASITAEGKNVSVSENESISLNDNSGQHLRMTASSSVSATEDEEWTLENNEDLQEQEEYDEDEDGYEEEDEVREGDDENLDLTQEFEDLHLEEKGSHLMGNLVLGFDEGIEVEIPSDDFDRNFSNEERGFGISDSSIPIAGEEGLVDGVKGDEPSHEDVGGFSPVSVMVQETEITTQDSFLKPIEDPYTSIADTTGLSTQQALSVSVDMPSSAGLTAVSIGSAPSQIDSPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHTPIGAPLAHMHPSQPPMFQFGQLRYSSVSHGGPPIAPQSMPFVPPNVQTPYSVNQNAGGSLGIQPVLDTSAQNVVKNEVQSTAGIKQPGFVPGRKSEPNGNASSELSSGLVRQTVDSGALSQSANAKVLSGRDDKLKPESVGLAENRGQNDAVRKNRISSSKGTISEGQSLPIQPISESVSNEKNFGGIKAQGVVSGSKGRRFTYAVRNSSMRSSLPAADVSSSDTHGFQRRSRRTVERTEFRVRENVERRQPNGSFSSNSLNPGDKPNYNGRSNAQFARSGSKRGTMSSKSLKQIVHSESLMSGNFISQDVESGKLVVKESGRDMSLRDQNFSLSGEASLKRNISEEDVDAPLQSGVVRVFKQPGIEAPSDEDDFIEVRSKRQMLNDRREQREKEIKAKFRPPRKPRVTRQTFVTTVGSTNSKKVSGSLAGESSTNVNSGFASSEGRVLAYKEASAALVSQPLAPIGTPAVKSEIQADKTSQNIKHHQTSSVSIVSGGGKDLGPAMIFESKNEVVDNVQSSMSSWDTARINQEVMALTQSQLEEAMKPPRFDTPIASVGGHSSSVSDPLLPSSSMPTKERSFSSAASPINSLLAGEKICFGAVTSPPVLPPSSRAPGSSRQDIQISQSLSVAENDCALFFKKDKQTDDSCVHLQDSEAEAEAAASAVAVAAISNDEVVGNGIGSVAISDSKSFGGAGIDEGMARDQQLVGQSRGEESLSVSLPADLSVETPPISLWPTLPSPQSSSSQMLSHFPGGPSSPFPFYEMNPILGGPIFAFGPHEESAGAQSQPQKSSTTSTSGPLGTWQQCHSTVDSFYGPPAGYTGPFISPPGGIPGVQGPPHMVVYNHFTPVGQFGQVGLSFMGPTYIPSGKHPDWKHNSSSSAAGMAENDMNNANITAGQRNAANMAGAMQHLVSGSPIMPIASPLTMFDLSPFQSAPDIPVQARWSHVPASPLHSIPLSRPLQQVEGVPPPQFGHQHPIDQQLNVSFSESQTSTPSTSGPGFTVATEVNTAQFPDELCLVDSSRSATAGASTPNPVNQSSSNGAGAGAGAGAGADAGTAENLLTGGSNKSEGHTTSSSKARTSQQKNLSAQQSHSVGYNYQRGGSGPSGTSQRNNAGNEWSHRRMNFHGRNQTFGSDKGFSSSKMKQIYVAKQTSNGTPTPG >CDP01733 pep chromosome:AUK_PRJEB4211_v1:7:9193501:9201157:-1 gene:GSCOC_T00036877001 transcript:CDP01733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:Projected from Arabidopsis thaliana (AT5G37020) UniProtKB/TrEMBL;Acc:A0A178UFA9] MKLSTSGLGQQAHEGEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDAHIPNYPSLPAQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTYLPVELGTPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQTPPAQELIARDLHDVEWKFRHIFRGKFGFLLFGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTIFYNPRASPSEFVIPLSKYFKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGIGDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWYPGASSFQDAGNEAVNGMTWLRGETGEQGLHSLNFPSVGMLPWMQQRIDPSMLRNDLNQQYQAMLALQNFGSGDLLKQQMVQFQPPVQYLQHTGSHNTLLQHQQVIQQGVSPHILPPQTQMLSENLNRAQAQQVNNQSEEQQPHHPFPEAYVIQHEQLQQRQSSNIPSPSFSKTDFADSNTKFSASIAPSSMQNVLGSLCSEGSTSLLNFSRTTQTMLNEQPPQQSWVSKYSHGQVNTCSSAASLPPYPGKDGPSGQEACGLDAQNQALFGANIDSAALLLPTTVSSVGTSSIDAGVSSMPLGDSGFQNPLYGFVHDSSELLHGTGQDSPTRARTFVKVHKLGCVGRSLDISRFNSYHELRQELGQMYGIEGLLEDPQRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPEEVQKLGKQEGESLSRIAAERMNSSSGDGRDMVARFPSLGSLEY >CDP02040 pep chromosome:AUK_PRJEB4211_v1:7:6860939:6864054:1 gene:GSCOC_T00037256001 transcript:CDP02040 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIWERAVETALDGQTDVASVRTLTLDGAVKCVHGRLPPPSLFEKFHSLQHLSIANIGVSSLEQFPRLQNLQKLILSDNRIAGGLEFLVEAGLDSLRDLDLSNNRIQDINDLRPLAELRLVSLDLYECPVTRVKDYRSQVFGLIKSLKYLDKMDAEENERPESDDEEEDEEDDEDDPGSGEVDGEDRPFSLNNGHTGGSEGIVDVDEDEESDADEEETETSRGANGVSRAFHHQANGFRVEGVDGEDDEDDDGEGEDEEDNDSVEEIDEEEGDDEDVVEVHEIEDSDDEEDGVDDDDDDEDDDEEEDEEEVDNDEGDFGEPESTGRLTSTEGEIDGHEQGEDDVDEDDNGETGEDEEGIEEGGEYDDEEDAEDEEEDYGAGYLVQPVGQVEVDGGAGDLEPINEDEDPELEEEVEDEEDDEDGEVQEMPPSTTSHKRKRDDDNDDGGGDEDDDDVVEYSKSSKHR >CDP02203 pep chromosome:AUK_PRJEB4211_v1:7:2090263:2093719:-1 gene:GSCOC_T00039523001 transcript:CDP02203 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTTATVLILLLLIYLSTIAASAGILGLDSFLTQQYRRDPQGTNDSFLLLPSSLKRSISHPSVVNPPTPASLLSLQLSVPITVKLVGPTFTSSSPPLLSSFLTSAVSSDHFRVITPFSSDASHHLSLSHSLHLDVSLSSSSLSSHLSEALKTHLSNTPSSIRLPFASVPHSLIDEIIKQDFENEKPIDGIYIYILHLGSQSKSYAYSYTPGDPSPGVTKCMGSIWMGKDRYLWIDLGAGPVDYGPALSGDGVLPRGEFHPLAAMHGRPKSQKAMLADLASLVWSAYQVLFVPSLRIPVPFENSLTVQFIHIYGSSSDNFGLDWKSIERAFTDEINDKGLLLGEQKLSFKKYEVKLSECSICSFAIWRATTSYTSRYLFDNYTLIVSEYLDSRRLHQTISESSEEFRRLAGLPEEDFRSRVLPVYVFDLDASTILLLDRYHQSVAFKDMVIAVRTKNTQTVSDYSCNGRHVFTQTRQLERPLVGSILQSMWGVSPTHLVWSPWHNSTLVDYTWSVGQTPFGPFSDISSLSFVQKDAARRNVLLTSFNYTIGSALDVLESISAHGGEKKLLRQNQQTEFLQRWNLFKYKLDKAISALSHFDYDMALYYLRSSDHDLYAFHSLVYHASQDLEASLTCFKDPPFPWFSVSMAAGVFFTLVYIYAKRETLFQNKRKQF >CDP01647 pep chromosome:AUK_PRJEB4211_v1:7:9950503:9952367:-1 gene:GSCOC_T00036764001 transcript:CDP01647 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKGSRGSIDELALVKAAAWAWYQHGSGSEGRAVREYDLAMPKRAPKPSRYKIEAMKESEEAPIHRLSPPTSAPLSPFSTASSKQSEISLLDDYEIERISKELDRYMVESSRAEYLKSSLGSDHRANNKVVSLSESGTSERKSKQKPKNSRGFWIKYGAVCGSRKDDVVDGRSFKIAYSHGGRKNW >CDP12892 pep chromosome:AUK_PRJEB4211_v1:7:13271476:13276432:-1 gene:GSCOC_T00037575001 transcript:CDP12892 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGDEFLKAKCLMNCEVSLILEHKYEQLQQMSEDPMNQMSQVFEKSLQYVKRFSRYKNPDSVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKNRGRALDDDAIEKMLNDLSLVKKFE >CDP02064 pep chromosome:AUK_PRJEB4211_v1:7:1149549:1150650:1 gene:GSCOC_T00039344001 transcript:CDP02064 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDLTLIRGINLANSSLTDDTEIIQTNQLNYTNLFLDLYLYTGFLFFFFWVSSPSQPLSYWRTGQDSY >CDP02228 pep chromosome:AUK_PRJEB4211_v1:7:2297155:2299625:-1 gene:GSCOC_T00039560001 transcript:CDP02228 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLTYRKRHSYATKSNQHRVVKTPGGRLVYQSTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLASKS >CDP16720 pep chromosome:AUK_PRJEB4211_v1:7:673581:679548:1 gene:GSCOC_T00019193001 transcript:CDP16720 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPPPNRSDPLSGSTPSSDALRIYQTWKGSNIFFLQGRFMFGPDVRSLALTIFLILAPVATFCVFVARKLMDDFSHQLGISIMVISVVFTFYVLVLLLLTSGRDPGIIPRNTHPPEPEGYDGGAEGGGQTPQLRLPRIKEVEVNGITVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTILCIYVFGFCWVYITRIMNSEETTIWKAMIKTPASIVLIVYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGMVQNFKEIFCSPIPPSKNDFRAKVPREPTLPARSVGGGFVSPNMGKAVDDIEMGRKAVWGDVGPLTDNPEGHFSDNDGLNIKDGGFDGMSPGIRTTVDEGDRAGVHPRRSSWGRKSGSWEMSPEVLALASRMGEPNGMGGSSSGGLTTGRPT >CDP02082 pep chromosome:AUK_PRJEB4211_v1:7:1270517:1272662:1 gene:GSCOC_T00039364001 transcript:CDP02082 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLLSQFSFRRSPEIRLNGRNHGRAASGFVKVPQKPWHRRYVGTQPCLATARGGFSQGLPGVGDGDGVIIVDHGSRRKESNLMLSEFVTMFKEKTKYPIVEPAHMELAEPSIKNAFSSCVQKGARRIVVSPFFLFPGRHWNQDIPSLTAEAAKEHPGVSYVVTAPLGLHELLVDILNDRIKYCLSHVAGYADECSVCAGTGKCHLYE >CDP02394 pep chromosome:AUK_PRJEB4211_v1:7:3492464:3498425:1 gene:GSCOC_T00039760001 transcript:CDP02394 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSASLPSSKSCDAIKQMEIEPLCSPTGSTSSTLDHLHPRRRPIKKPLSPPSRQQSFSREMRHAAAETYLLTTLSFKLLSYLGVGYRWIMRLIALGIYAMLLMPGFLQVVFYYYFSSQVRRSVIYGDQPRNRLDLHLPPRQDCKKPVVIFVTGGAWIIGYKAWGSLLGQQLAERDIIVASLDYRNFPQGTISDMVKDVSQGIAFVCNNISGYGGDPNRIYLMGQSAGAHITACALMDQAFKESRGEDVAWSVSQMKAYFGLSGGYNLPNLVDHFHSRGLYRSIFLSIMEGEQSLKQFSPEILVQVLSSKDVVSILPQIFLFHGTSDSSIPSDASKAFVDALKRVGAQAELILYDGKTHTDLFLQDPLRGGKDELFDYVVAFIHADDTEALAKDAAARPRRRLVPEILLKLARIVSPF >CDP01955 pep chromosome:AUK_PRJEB4211_v1:7:7413811:7415160:-1 gene:GSCOC_T00037149001 transcript:CDP01955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66360) UniProtKB/Swiss-Prot;Acc:Q9FK02] MLHRCKSLPSKFSAAIIRRSFLIQQIRSKSSRRFNIRDSDKEDDEDDYDNNLGSDVKVSEKHLQLFKSRGQHLLTNPRVLDTIVRKSNIKPNDTVLEIGPGTGNLTLKLLEVAEKVNAIEIDKRMVEILHKKVAERGFEDRLTVIRDDALKVDFPQFDLVVANIPYGISSRLVAKFVFGGSKFRSATLLLQKEFARRLLADPGEPEYNRLAANVKLVADVEFVMDVSKRDFLPVPKIDSSVIKIWPKEDVPSVDLMEWWAFTRMCFSKKNKTLGAIFKKKRKLMELMKLSQTDVSFHGDDEKEVELNAESEDEHEDDKNEMETNLPMGYKKGMSSFKEKVVEIIRSGGVESKRPSKLSNEELLHLLSVFNQAGIHFHDQAEVKDTNNAEYYDKLITTFIKYELTPN >CDP08425 pep chromosome:AUK_PRJEB4211_v1:7:24733358:24733661:1 gene:GSCOC_T00027287001 transcript:CDP08425 gene_biotype:protein_coding transcript_biotype:protein_coding MHCCRHFLLVLMLLFRFALFHKDWSNELAANRRFIHQNRQTR >CDP02206 pep chromosome:AUK_PRJEB4211_v1:7:2123155:2123892:1 gene:GSCOC_T00039528001 transcript:CDP02206 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEVFLGAIIKVIFDKLASVDLKKLARSEGLDTQLKRWSQVLSLINAVLDDAEEKQNMRIAVKQWLDDLQDLAYDMDDVIDEFSTEACRRKLMEAQGSTSKFNRKMAPKVDEITRRLESLKEQIKILHLVETVAKRPNKTRDRLPSTSLVESYVYGRENDKKELLKLLLSNESSDDQVAVIPIVGMGGVGKTTLAQMVYNDDRVNEFFDSKAWACVSDDFDIVG >CDP08416 pep chromosome:AUK_PRJEB4211_v1:7:25169409:25169618:1 gene:GSCOC_T00027265001 transcript:CDP08416 gene_biotype:protein_coding transcript_biotype:protein_coding MINAKDIKAKFIIEAANHPTNPEADEILTKKGVVILPDI >CDP16623 pep chromosome:AUK_PRJEB4211_v1:7:62486:64262:1 gene:GSCOC_T00019073001 transcript:CDP16623 gene_biotype:protein_coding transcript_biotype:protein_coding MTASGDNELIEEAVSPRATAYPPKLFSSCETAEFPKPLQRRNFRLCEVMLNLRQNPNSFNSSLPSNPRGDLFSVRTSSSTLLSFKCNASLEENNNQIKQSSSSSSSVAAGKIRRLVLTQQGRTKLNPKPDPDFYAYPRFVTHVDRNFISILTNLYRNVLKPESEILDLMSSWVSHLPPEVKYKKVVGHGLNAVELARNPRLDYFFVKDLNREQELQFESCSFDAVLCAVSVQYLQQPEKVFAEVFRVLRPGGVFIVSFSNRLFYEKAISAWRDGTSYGRVQLVVQYFQSVEGFTQPRVIRKLPTLALQEKLSAPFGWIMNLVGLLSGSDPFYAVLAHKNFKPIHE >CDP02533 pep chromosome:AUK_PRJEB4211_v1:7:4628575:4635719:1 gene:GSCOC_T00039939001 transcript:CDP02533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MDTNSGDSANPHSSEGSDVEPRVVTATAVDSSPRGSSSMLSTSAISSWAKGLKLPQPLAPATPNSQAGNAPKSAFSRLASGFGLNFPLKPNDSSGDSSAAAQSGVFESLTKGIVDSSFSAVKAVQVKARHIVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGFVEGLYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDASLFQGKVASFPFDDHNCPPIHLIKLFCQSAYSWLKEDILNVVVVHCKAGMARTGLMICSLLLFLKFFPTAEECIDYYNQKRCVDGKGLILPGQIRYVKYFERILTHFNGETPPGRRCMLRGFRLHKCPYWIRPAITISNHSGVLFSTKKHPKTKDLMPEDFWLRAAKKGIVVFALPGEPGLTELAGDFKIHFQDRQGDFYCWLNTTMMENRVLLDSSDLDGFDKRKLPSPGFQVEIVMIDYDGTISSKFKADQVAKGSDGRVGSQASSSDRNDANTAQVEVTPKRDNDDDVFSDSDGEGSVPSKRSVDNTSSAVGTTSPLESGSKDNQITSFTDQTKQLSLGSKESAHFQTNTSKETKFDGVERVDSIPNLGSGDIKAIAADASVFSFGDDEDYESE >CDP12821 pep chromosome:AUK_PRJEB4211_v1:7:14215921:14219977:1 gene:GSCOC_T00037482001 transcript:CDP12821 gene_biotype:protein_coding transcript_biotype:protein_coding MMNISSSSSTSCFDYALDYLGWLEENFWREVSWLLHPQILKLKVGIRLLKTFDMYIRKCRRRSNQGTCLGYDKENRGDAKSDSLRLSSISYMIQDLVMVIPQGLHSAIVRYNQSGASDLSVIDCELAKLAENMRCFPEIDIEEFNITSLLLYYSLGDSQLVVDFIDSISENLRYLCRVEDEVDEAQRIVLKILEKKLMFLKSFIGFATLQGCEVQQSKDLLVHVEVAAVNAASLMCRYWFQINNEQVHNEMKPEIFELIHKKIDPIDPQVREMYINVLAASKLSRSSYTFTMKENKHLVTEFIDNLLQSLMELLESYTSFLVPVKDQMLKLHQGLRFLVIFLSGQQEKFDELNDEMKDLIGVVVSDAGIVIFSLSVNEMKEGLHMETDLALSHLLEVLKLIIAEVGHIYSVPSSSSLTFPRTNELGSIDSLLETLKELASSTAASIAFPNDQIRTILEDLVFLRSFLGNVVEQRKRNEKLQALWKIYDSIRYDGETQRLTKTTVHMPSQVTAPSFNEALVGLNDEVESIIDRLTRGSSWFDVVAIVGMPGLGKTTLANNHNLLLQILSVIDSNCSDQCHKKNEDDLAQMLYQRLKGKRYVIVLDDVWDIDGWNLLKHSWPDDCNGSRILLTSRFQNLSLQIKPDSQPHHLRPLTDKESFELLQKKLFAKEDCPPALREVVQHVAKDCKGLPLTIVLVAGILATTEQDCWEEVARRLRSSIFADDEHCMKTIEHSYNYLPDYLKPCLLYFGASEEDKDIPVRKLLWLWMAEGFVQKTEGKSLEDVADDYLMDLIGRSLVMATQQRSLGGIKACRVHDLVREFCVAKAREESFMQISHGDDLLTFIGQCNPHRLAIYPTTSQGLKKSMLFFPNLRSLLFFDDDYVKAEQGEIWFKLLSSKLIRVLDLGYNLAFGRYFSEKVEVFFVHLRYLSISWHDKDRIPSEIANLSRLETFFIKTYERCILLPNTVWNIKTLRHLVVSSYGSGFEFPIDNLEGSPDLEHLDTLTLAIDSSPQSLQKTLTKIPSIRRLKCVDVHDGVHVGILVLDHLSRLESLKMSSFKGYEFEFPLNLRKLTLANNGQPWSKISAIGKLPSLEVLKLCCDSFVGEKWEMKEGEFQNLRFLELSELDFRWWTASSDNFCCLEKLVLSSCESLEEVPSCLGEALTLDMIELEGCRASVVTSVKQIQHQQMDMGNNDLKLMKDGRSGRFRSQKELARLRSKIRIGLSAEASALRFIEASKMIPCASTVRN >CDP02627 pep chromosome:AUK_PRJEB4211_v1:7:5377743:5378887:-1 gene:GSCOC_T00040077001 transcript:CDP02627 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVSFFTVALLFSLLSLSFAGRPEPAFVDATLMKTQQHEVEAKQAEMEETCGGVGEEECLMRRTLAAHLDYIYTQNHKP >CDP01670 pep chromosome:AUK_PRJEB4211_v1:7:9671863:9674227:1 gene:GSCOC_T00036800001 transcript:CDP01670 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKKFKVIPPTLKKPPQEETRGPPTISSFFCQFCVCININPWPSSPFQSCSAAKIVLNPSLRTSDMAQEAGMFTVNQTIGSVLCCKCGILMQPNPANMCTKCLRSEVDITEGLQKHVVIVHCPECDSYLQPPRTWIKAQLESKELLTFCVKRLKNLNKVRLVHAEFIWTEPHSKRIKVKLTVQKEVLNRAILEQSYVVEYVVHEQMCESCTRVQANPDQWVAAVQLRQHVSHRRTFFYLEQLILKHDAAARCIRIKQMDQGIDFFFSNRSHAVKFVEFLGKVAPVRYRNDKQLVSHDPKSNNYNYKYTFSVEICPICREDLICLPPKVAVSLGNLGPLVICTKISNSIALMDPFTLRHCFLDADQYWRASFKSLLSSRQLVEYIVLDVEPVSSEVVVGGSRYVLADAQVARVSDFGKNDTIFSVRTHLGHLLSPGDNALGYDLYAANSNDIELDKYKGLVLPEVVLIKKSYEEKRQKKRGKPRAWKLKSLDMEVDNTAKGRDHEEKVNSEYEQFLRDLEENPELRFNMSLYRNKEYQPSEMASVTDGEEVPSVPLEELLADLELSDVEVDDDGMME >CDP01598 pep chromosome:AUK_PRJEB4211_v1:7:10361727:10363765:1 gene:GSCOC_T00036699001 transcript:CDP01598 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGVKTFGWAARDTSGILSPFEFTRRATGAMDVRFKVLFCGICHTDLGAARGDLGLPIYPLVPGHEIVGIVTEIGKQVTRFKVGDKVGVGCFVGSCRSCEQCSNDLEPYCPKNIMTYLSIGEDGAPTHGGYSNEMVVDEHFAIRWPESLPLDGGAPLLCAGSTVYSPMMYYGLNKPGSHLGVVGLGGLGHVAVKFAKAWGLTVTVISTSARKEEEAINRLGADKFLVSTDPQQMQEATGTLDGIIDTVSAFHPVMPLFGLLKTHGKLVVVGAPNKPLEVDILPLMIGRKMLASSAAAGIKETQEMIDFAAKNNITAEVEVIPANYVNKAMERLEKGDVRYRFVIDIGNTLVAAPST >CDP02620 pep chromosome:AUK_PRJEB4211_v1:7:5331773:5334968:-1 gene:GSCOC_T00040066001 transcript:CDP02620 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKISEMRDLTRIERIGAHSHIRGLGLDSALEARAVSEGMVGQTSARKAAGVIVKMVQQGKIAGRAVLLAGQPGSGKTAIAMGMAKSLGQETPFAMLAGSELFSLEMSKTEALMQAFRKAIGVRIKEETEVIEGEVVEIQIDRPAVAGAASKTGKLTLKTTEMETVYDLGAKMIEALGKEKVQSGDVIAIDKTSGKITKLGRSFSRSRDYDAMGPQTKFVQCPDGELQKRKEIVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVVATNRGITTIRGTNYKSPHGIPIDFLDRLLIISTQPYTEEDIRKILDIRCQEEDVEMSEDAKVLLTKIGVDTSLRYAIHLITAAALACQKRNGKIVEMEDISRVYELFYDVKRSTQYLMEFQSQYMFNEVPAGEGDEDEANAMVS >CDP02401 pep chromosome:AUK_PRJEB4211_v1:7:3547009:3551129:-1 gene:GSCOC_T00039767001 transcript:CDP02401 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRVPRAVSDPKVRQVGFFAPGAPPDRSQSGPPHPASSSPPVSDISPSGNSLSPVMIPPPRHLSADLARGPHFSPAAPLSPMRAARDSIPVGSYNPSEFVAPTASEFTEDALSPNWTARRSNSGKFASSLPAGGFDMSSLKQNNFPASSLTTVSIVNMPPGITEKDGGAGVEVQKERSKTGSKASSKPLKEQTTKAERRAIQEAQRAAKAATKGDGSKTPAASSGLGSSANANPGKAAKASPQKKDNSPVATSEKRGSDRPQDKDRKKDVPHPRMQFDDKSRVEKAKKRSVVKQTEAKNRVELFRHLPQYEHGTRLPELESKLFQLDPVHPAVYKVGLRYLAGDISGGNARCIAMLQAFQESIKDYSTPPEKALIRDLTSKINSYVSFLIECRPLSISMGNAIRFLKSKIAKLPLALSESEAKAILLSDIDRFINEKIILADKVIVRHAVTKIRDGDVLLTYGSSSAVELLLIHAHELGKNFRVVVVDSRPKLEGKLLLRRLVGKGISCTYTHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHQFRVPVLICCEAYKFHERVQLDSICSNELGDPDMIAKVPGRKEIDSLDDWANSDNLQLLNLIYDATPSDYVSMIITDYGMIPPTSVPVIVREYRREHLWT >CDP02087 pep chromosome:AUK_PRJEB4211_v1:7:1303386:1313887:-1 gene:GSCOC_T00039370001 transcript:CDP02087 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSKANPLISTLPHILTWPRFRFRTMCSGRLGFASYSSSSTSASVSPVTSETPVAGTKVLETFKEEFEIGSRPITLETGKIARFANGAVVLAMEETKVLSTVASAKGDGVRDFLPLTVEYQEKQFAQGVIPNTYMRREGAPKERELLCGRLIDRPIRPLFPPGFYHEVQVMASVLSSDGKQDPDVMAANATSAALMLSDIPWGGPIGVIRIGRICGQFIVNPSINELSMSDLNLVYACTRDKTLMIDVQAREISERDLEAALRLAHPEAAKYLEPQIRLAAKAGKQKKEYKLSMVSKETIEKIRNLAEAPIEAVFTDPTYGKFERGEALDRITQDVKKTLEEERDEEGLKFLSKTVDTVRKEVVRRRIISEGSRVDGRVLDEVRPVYCEAGNLPVLHGSSLFSRGDTQVLCTVTLGAPGQAQRLDSVVGPSTKRFMLHYSFPPFCINEVGKRVGLNRREVGHGTLAEKALLAVLPPEDDFPYTVRINSEVMASDGSTSMATVCGGSMALMDAGIVVREHVAGVSVGLVSEVDSATGEIKDYRILTDILGLEDHLGDMDFKIAGTRKGVTAIQLDIKPAGIPLDIICECLEPALRGRLQILDHMEREIDAPHAKDDRNSPRLATLKYSNDAIRRLIGPLGALKRKIEEETGARISVSDGTLTLLAKNQAVMEKVQEKVDLVIGREIEVGGIYKGTITSIKEYGAFVEFNGGQQGLLHISELSNEPVTRVSDVVSVGQQLSLMCIGQDVRGNIKLSLKATLHRIGSNADDTVGELKVSAPKEPNVLPPVNQLHIQQEKEDSPSEDQPFEHNMNVEISSSSSPAILIRSAAECEEEEKYSAVDLSSRNGSSTSRSSLKLKTEAPDLNFRSDDEDTDERHSADPSLLQNNPNDMETDNVANISARRLKIGMVLTAKVHQIRARGLVLDLGGGIRGMYRFETGVKRYFGVGEELRVKCSSFSGKGIPVMSLFEE >CDP01577 pep chromosome:AUK_PRJEB4211_v1:7:10668156:10672593:-1 gene:GSCOC_T00036671001 transcript:CDP01577 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDDGRGYELARKLESHGVWRTWLGESLHSSFVHFLASPSAWEAFMRADYPADSSTSSSALLHLQLRARALLFDKASISLFLRSPNNNPQLLSPSSSSNAISKLSPNYLRLHGDDVYFTLEEAAQRSDSVLASSTNATASKSKSSFGVGSRHTESEIGSLPQRFKFDELPETWYAQFFEKYRASKSYRLSFGDQETEKRTPEHMYNYLRVAENHKRKRVAFKVDQNIGVGNSMLDSGSNMLLTSIVEDNNALDDEAPFFPETMFSMNCVPDSAVLQKHRVSLNVKVEFNGILDTLPQIVTKSPIMIERLGIRPEYLSMDPKGNQNRGKIGYDGHGKLLGQEQASQLSQKVIARFLSKVGFEGSSEVPLEVLSQLLSSRVCKLGRTLKLLADSYRKQCSVMELLKMFLHTTGYSNLVVLSELVKDATRNPVPQTQQQVQGFQLQLQSQNQGPIRPSQQIPRQMLPQLQQMINSQNLAFQQHQQWERMRRRQQSTPRPGMNMNINMDKDRPMVEVKVENPSDFPMDNNTFATINSRHSQLQPFRQQQIAAMTSFQTSNQFRPMSSPQIPQMQSPNMGMARAPPVKVEGFQELMGGDATLKHDPEENKLTSPAK >CDP01842 pep chromosome:AUK_PRJEB4211_v1:7:8304526:8305323:-1 gene:GSCOC_T00037015001 transcript:CDP01842 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYSCKECGANLNLRTFYLYPPDFYFEAGNKGTLSFAMIDSSKFSYQKENKFVPFFETVNYWGIQRNRTKIKCNSCGTRVGYIYDDGPPMTDSPGQLHMGPSQVIPRAPRYRFKTKALRITSET >CDP16018 pep chromosome:AUK_PRJEB4211_v1:7:21881943:21888150:-1 gene:GSCOC_T00016993001 transcript:CDP16018 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEASNVKPPVSAIEEAHLDLYTIPSYSSWFQWGNIHEIERISLREFFDGSSITRTPRIYKEYRDFIICKYREEPSGRLTFTELRKSLVGDVSTLHKVFTFLEKWGLINFSGTVSAEPAEVQQRHKKEVRVEEGAPYGVRVVAAPNSLKPVAPLPPPVDVGGGGGGELAGNGSKFPPLASYSDIYGELLLQQQQGEEGKELVLCGSCKQQCDSGHYKHTKDEFLLLCKKCFGNGDYGSKSAHDFKYVDDTNQVVWTEAETLLLLESVLKHGDDWELVAQNVKTKSKLDCISKLLQLPFGDLMLGSALRKTKVWDRNAGQAVPTHGVQDTVTGDDQQHEHKNQGQQSANVEDPGPPHKRLCTVPNLDASSSLMKQVGRLSSVVGPPVTACAADAAVAELCYENRCSREIFDDDNFGDELGYSARTDEEERVLPVENSEGEKGPTVSGASEHRGNELREQTFRKNVVPLTLRMRAATATSLGAAAAHAKLLAAQEEREIEHLLASVIETQLKKLQRKAKYLENLEMIMEKQQDQFGEFEEDIVAERMEVLQEIISAGIPRWKDHTSMKSLTGNVL >CDP15695 pep chromosome:AUK_PRJEB4211_v1:7:22615294:22617003:-1 gene:GSCOC_T00015681001 transcript:CDP15695 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGWQTQSKKSIDMLTEISKTLLVISILFHLILSSCSSLIPQSFIHCLSHKVSPSNVSILNVMYVPKNSSYKSILDSTIHNRRFLTPTTPKPLAIITPLDYSHVQTTVKCCKQSALQIRIRSGGHDYEGTSYRSEVPFVVLDLKSLRSVSIDIEANTAWVESGATIGELYYWIAEKSRIHGFPAGLCPTVGIGGHFSGGGVGNLIRKYGLAADNVIDARIVDINGRVLDRKSMGTDLFWAIRGGGGASFGVIVAWKIKLVHVPPIVTVFKLTKTLEEGAISLIYKWQFEAHKLSEDLLFRIIISPSIGREGIEATFSSLFLGRADQLLKMMEESFPKIRLRKEDCIEMSWIESVLHFAEYQSGETADALKNRIDPRRLSYFKGKSDLVYKPIPYEALEELWKRCSDANSPFIHLELQPYGGRMSEIPVSETPYPHRKDVIFEILYMVLWMNDENGESSERNINWIRALYGFMTPYVSKGPRGAIWNGRDLDLGVNGVSGTVTFSKAKAWGSRYFKDNFKRLAVIKGEVDPNNFFYHEQSIPPLVFHAQRKCGIPNMKFLDKCSLWNDS >CDP01628 pep chromosome:AUK_PRJEB4211_v1:7:10113678:10122116:1 gene:GSCOC_T00036734001 transcript:CDP01628 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MRTRSKSRQTQQPNGNGLYGYNLLSGFYLGSVEEGSTKYPGNEGKRSSVSEKFDDSGTLTNISRHAVGRLLKRVKSCGSRGLSKEDDGYLRPCDSTGMLESESEKNSKLCFNITDRVEDGYFSGNVITNTPSESGARDGLDESEWEEGSLPTDALTKSNEEQTIGGVTVEFDVTPDSVKKKSIRRATAEEKELSELVHKVNLLCLLGRGRSFDSACNDPLIQASLLSLLPARLLKITEVPKLTAKALAPLVDWFHNNFHVRGPGSTEKPPHLALASTLEAQEGTAEEVAALSVTLFRALNLTVRFVATLDVVSLKPEVDRPEYNSQVTGKDDGCLTSAGGKSRVKARKVLENSFESKDMSGVNLKDRMAEPSTSKCQDADSHACLAAKSDRPKRKGDLEFEMQLEMALSATAIENSKAIMNSDLVDVCGTNSKQFPPAKKMKVVGAEGSSVSPHGMSTAIGSRKIGAPLYWAEVYCSGENLTGKWVHVDAVNAIIDGEQKVEVAVAACRKSLRYVVAFAGNGAKDVTRRYCTRWYKIESQRINAIWWDAVLAPLKDLESRATGDCLPDSFLMNGKSKKENCEDYSVQKFAYRNHQLYAIERWLNKYQILHPKGPILGFCSGHPVYPRTCVQTLHTKERWMREGLQVKADELPAKTLKRSPKQSKEQAGEDDEIGEGDHLALFGKWQTEPLCLPHAVGGIVPKNERGQVDVWSEKCLPPGTVHLRLPRVTLVAKRLEIDFAPAMVGFEFRNGRSVPVFEGIVVCAEFKDAILEAYEEEEERRVAEEKRRNEAQALSRWYQLLSSIITRQRLNNCYGNGTSQEASVGIQKPDDTLSAEAGCKEDSRKSGGCHQDKLKDNQPTSPQSVPTEDHEHVFLLDDEMFDEESSTRTKRCKCGFSIQFEEL >CDP02689 pep chromosome:AUK_PRJEB4211_v1:7:5845825:5849408:-1 gene:GSCOC_T00040156001 transcript:CDP02689 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSGHRRVRFNRIMELLHSQTIVSKNLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKQGQEVLYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQAQSAEYRAYQEQVLSNCSKFAQALVEKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEFFDAAVRLAVKIKGQTKGTKLKDFLATLQSSAAQSEAAKLRHDVEEYAKQFPTIGFDKETMKYKD >CDP04587 pep chromosome:AUK_PRJEB4211_v1:7:18335826:18344744:1 gene:GSCOC_T00018566001 transcript:CDP04587 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 [Source:Projected from Arabidopsis thaliana (AT5G08740) TAIR;Acc:AT5G08740] MTVAAAASAFSSLGFFNSGGVGRIQSKKLSPRISARFSIKPSNFSGKNARVQFRTSASGGIQSYDSENEAEPRTYAWPDKKRPRICILGGGFGGLYTALRLESLVWPDGKKPQVVLVDQCEHFVFKPMLYELLSGEVDPWEIAPRFTDLLANTGVQFFKDRVKCFCPSDALGMNPPTVSGAGGTVHLESGLLIEYDWLVLALGAQAKLESVPGAAEYALPFSTLEDARMVDEKLRALERQNFGKDSQIRVAVVGCGYSGVELAATVSERLQDKGIVEAINVQNTVLSNAPVGNREAAVKVLSSRKVKLLLGYFVRCIREVDKSGIHDKETAKSHRNLILELQPAERGVQSQMLEADLVLWTVGTKSLLPLLEPSDKPHEIPLNARGQAETDETLRVKGYPRIFAVGDSSAFRDSSGKLLPASAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRYDGAISPSFIEGLTLEGPIGHTARKLAYLIRLPTDEHRVKVGFSWLAKAAVESVAFLQDNLTKVVSGS >CDP02460 pep chromosome:AUK_PRJEB4211_v1:7:3978924:3980487:1 gene:GSCOC_T00039843001 transcript:CDP02460 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELLGEAASGVLTGGERSKLPVDNGVSGASSASPSLSPSPTPSPSPSPSPSPSPSSSAVTLPPPTGSSTPENLRCPRCDSTNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKSTMITSSVIKSSAGKFKTMATEIGKSSFLSAFEHELSSSSPSSNPILWASPQNSHLFSLLKANQSPNPNASPMCNSVVSTSMKKEEAAMPAGCYSLNACSRMVGFDSELGQQVPSVGLISSSSGWRNSEQHQQQQQIGFVLGQGEFTGVQDFYQRLRSSRNCTYPDHAPVVLGNIVSSSSSSSSSIQVLDSAPVAVAESGYWNSILSSWSDMPTTNGAYP >CDP02602 pep chromosome:AUK_PRJEB4211_v1:7:5223040:5228388:1 gene:GSCOC_T00040043001 transcript:CDP02602 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVTNKSLILLGCPELDFGKSTSSSSRKLGFPKQRVPVSFHFCGINKGLSGGPPVRSSFLVPLKAMDGSRTSSTASSSYLNDKVANFPEIHLSGCNSDDNVTVNDCKAVLKTSTVGNSTNIVWHKCSVEKSDRQELLGQKGCVIWITGLSGSGKSTLACALCRGLHARGKLTYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADAGVICIASLISPYRKDRDACRALLPDGAFIEVFMDVPLQVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNSEIVLHQKVGLCDSPHDLAETVISYLEEKGYLEP >CDP16625 pep chromosome:AUK_PRJEB4211_v1:7:72544:74023:1 gene:GSCOC_T00019075001 transcript:CDP16625 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSTFQKLATGQSQSPARRIILPLIYKPIPSHPSQPLPSLIPPTAVNNSAPFSTPSSESQTTAFHIPLWNQPWTENCWSFNHSPPSHRYLPSFCFGPFFLNPIGLNGVIKTDEDECFLEDSRTPTPAVWADSVKKKRKRKMNKHKLRKLRKRLRRKT >CDP12807 pep chromosome:AUK_PRJEB4211_v1:7:14269293:14271128:-1 gene:GSCOC_T00037467001 transcript:CDP12807 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP04681 pep chromosome:AUK_PRJEB4211_v1:7:15945991:15947297:-1 gene:GSCOC_T00018735001 transcript:CDP04681 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPLFKCPISLDLFTDPVTLCTGQTYDRSSIEKWLAAGNLTCPVTMQKLDDLSMVPNHTLRHLIDQWLQEDSQFCLDYREMINHVDCISVLKHRLDSEDSTLEEKLEIVEKVYILSEELPLQNSCLIQLDIFPLLLEKIFRKVNGSCSEDDLRFSERALACALKLLPFSDLTSLNMLKEEPVLDCFLSLFYRGNVNIKRNLCHIVEVISSSMETQQVSIMLGKSTKLQQELLNLLAETSEASEAGIKAISALSCLETSRENLVREGAVERLIAYILESEKYQRKLAPTAIATIERLLVVESAKEVVISNPSCVRALVKMVFRVSDHQGSESAVNSLLALCCDSNHAREEAISAGVLTQLLLLLQSQCSGRTKAKARMLLKLLNSTWVEQPKEAASP >CDP01704 pep chromosome:AUK_PRJEB4211_v1:7:9400886:9404704:1 gene:GSCOC_T00036842001 transcript:CDP01704 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAHNNLNAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTVSVNNSTVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSTDSFARAKKWVQELQKQGNPNLVMALAGNKADMEDKRNVTAEEARAYADENGLFFIETSAKTAINVNDIFYEIARRLPRAQPAQTTAGMVLVDRQGEGSRAATCCA >CDP02695 pep chromosome:AUK_PRJEB4211_v1:7:5892670:5897489:-1 gene:GSCOC_T00040162001 transcript:CDP02695 gene_biotype:protein_coding transcript_biotype:protein_coding MECQILISTGVRPFLARWNSNKGLKKLVRLNQASIRQNAANKISWKLHCTPASLEEEFSALRSQEFTEDDERDVEDLPDEASPKPLSSEELKALLLDSERSKIIKKLSDANQYNRVLKRQLQSKEDSLVNFKSELAVLELEIQALVSLAEEIANYEIPEGSRKINGRYIQSHLLSRLEAVQEKLREQTKDVDAAQSKEVPLYWYGVAESVQVMGSFDGWSQDEHLSPEYTGSYTKFSTTLMLRPGRRYEIKFLVDGEWNLSPEFPTVGEGLMQNNLLIVE >CDP02261 pep chromosome:AUK_PRJEB4211_v1:7:2562869:2571867:1 gene:GSCOC_T00039610001 transcript:CDP02261 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSVGINLALCFLFFALYSILRKQPGNAGVYAPRLVAHGKSQERGDFSLERLLPSAGWVRMAWQPSEDELLSVSGLDGVVFMRIFIFSLRIFTFAAVIGVFILLPVNYMGDQPSLDFTGVQNKTLETFTISNVGDGSNRLWIHFCAVYVFTMFVCCLLYFEHAYISAKRLACFCSSKPQPQEFTVLVRSIPVTSGRSVSNTVESFFTEIYPSTYLSHNVVRRTSKLKNLINDADNLYGKLVRLRSGNGSEQRFKRTGFMGLSGRRVDLLEQYEKQLEDVEDNVRTEQSSVAMKEVRAAFVSFKTRLGAAIALHIRQGINPTEWTTERAPHPQDVYWPFFSASFTRRWICSILVIVAFTVLTVLFLIPVLIVQGLTNLDQLEGFFPFLKSILRISFISHVVTGYLPSLILQLFLYFIPPIMVVFSSIQGYVALSEIEKSACIKVLWFSIWNIFFANVLSGSALYRFSVLLEPKEIPNLLAVAVPGQATFFIAYVVTSGWTGTALELVRFMPLISSFMQRKFCGSSNDELEVPSIPYHGVIPRILFFGLLGVTYFFLAPLILPFLLVFLCMGYIIYRNQLLNVYVPKFDADGKFWPIVHNSTIFSLVLMHIIAIGIFGLKDLPLASSLTIPLPILTLIFNNYCQRRFLPTFKTYSAESLIKKDGDDQNDPTMSSFYEELATAYQDPALVPVRYSTNGERINSPLLGIPES >CDP01978 pep chromosome:AUK_PRJEB4211_v1:7:7286133:7288654:1 gene:GSCOC_T00037177001 transcript:CDP01978 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVSEMQSTATSLFSAYASLAGTIMLFRSLVNDIIPPSLRSYIQSFLAYLFTFPSTQITIIIDEQNGMTRNQVYDSAEIYLSTKISPTTERFKVYKSPKQRTINVTIEKDQQITDTFGQIQLQWRFVLVEPRNDHGYSPEKRFFELSFNKKHKDAVMKDYLPFILEKAREIRDNDRVVKMYTRDCPYNDDDYNSYNGGGGAGIWGAINLDHPATFDKLAMEPEMKRAVIEDLDRFVRRRDYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTSLCSNSELRRILISTSNRSIIVIEDIDCSVEMHDRQQEQNVGYEPSTKLTLSGLLNFIDGLWSSCGDERIIVFTTNYKEKLDPALLRPGRMDMHIPMSYCTPQGFRILASNYHGINAYHRLFGEIDRLIENSQVSPAELAEELMRSEDADLALEGVIKLLKRKEMDQSVEVNGSSRPTTPEENNEIVEESSPLLEEFQEPKRKIRPEFVMRRGLRTTCRRRVNRGGNSRFTVGGFRGKWS >CDP12903 pep chromosome:AUK_PRJEB4211_v1:7:13181940:13183379:-1 gene:GSCOC_T00037592001 transcript:CDP12903 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGPEVVGKPSEEILEDTPVKKEESLEEMLSRHRKEISQLQNKEVGLKKAAAKGSKAEQKAKKKEVEQEISNLSAKLKERHAEELASAGYGSSNKKEKGDLDNLVKAIAGVSVTNQTEKSKPSKSVQRREKRAQQEAAREQRIREEQCNIVSERVAENERLEKKLEPLGLVINEMKPDGHCLYRAVEDQLALQAGDGESTDTLAEKFENYCTEVESTAAWGGQLELGALTHCLKKHIMIFSGSFPDVEMGNEYKFVGGNGLSNKSIMLSYHRHAFGLGEHYNSVIPTSVQ >CDP04702 pep chromosome:AUK_PRJEB4211_v1:7:15664072:15667205:1 gene:GSCOC_T00018764001 transcript:CDP04702 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRINADWSNRSAAYRAVLEGKKQSVETFRSFWREEGVKPLDKCGDTVLHFLAIYGNVAAFRLLLQDGLVTSENLKAKNVNGDTALHEAARFGHKDVAEIMLWTEKDLASECNKLGETPLFVAAACGKKEAFSLLEKYIGDCMMRRNDGCTILHAAVIGGCYSLAICILESYPDLAGKRNEKGKTALHLLAEKPESFRSGSAYTLKDLGRKSLIPLHILRTIIYSCIAVLYKESQPVNSAEDPSNSASIHKLNRSSFANFILGFPWLKEIDDAKQSHAVALMLAERLIRTEDWSHYVHAEDKDLEVSQFGMISSEKKYRLPDPLIQATRLGIIEVVQEILSVHPEAAYTFDGKGRNILQIAVEERKWFLYDYLMTSGTHMDRMLSAIDYEGNSIIHLAARLESPPSPSTPPAVVPQMMWEVLWFKRVQYDSYPYLWQLQNSDGKTAKQVFETNHASLRENAERTVRDLANTVLIVSVLIGTINFAAIFTVPGGFDQTTGEPIFLKNRRWEFGLLMFYLAGGLFSSLFTMGTLLVIIFLRFETEDFYVSLPCYYVMDMIAIFYSAVFTIVACCQALIVQKVVITDFRPFVVFFFIYVLVALVLMETSYRMFDYVYYLIRYSLSYRGQEP >CDP16747 pep chromosome:AUK_PRJEB4211_v1:7:882805:888589:1 gene:GSCOC_T00019229001 transcript:CDP16747 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLCLCRGAALCAGEFHPDDLLQLEQHIKATKKAYFSELQKYHNDMIEELRMWKDRWDGCKDPEEEILQKIWRPGRQAAKSMQFSETRFHDPEENNVATPESCSWATSEKACSNENNQNLPWDTQGRKGFSDSNLNNSSDGLKGIAKPKKVEKLQKRNIQFGDGAKYMSYIKVSKEQHQRVKRTMKHTSNSIQPRSLNNVLGNLETLHVQPFEVFEEEERQKLHDYWLQLVNKDIPVGYRSWITNKLKVQQVAKSLGQELEEKLKFQEKDGERDNYDNLELIDDIGATISPNSSAEELLYGEDEKREVSQSFLEDQKANQVIKAESSIQLEDEEDKETDNLPQQTLDVTENVEEEGESVSISAEEDREENIASISSAHQVKDMTVDSHDNSMLAKVDDLPLMVSEYPGNMNHIAIPVSHRDPSNSADDVWSAVSEPGSFYHSAAFGHQYVSSSELSLSHPQVMEEQPTNIINLEADAEEKDSERELLHREADELLMVCLSLVLILLKTEMSCLSLSSGAMMVAYLITIQIRKGWPWIFNNQII >CDP02151 pep chromosome:AUK_PRJEB4211_v1:7:1743552:1745683:1 gene:GSCOC_T00039454001 transcript:CDP02151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 14 [Source:Projected from Arabidopsis thaliana (AT5G14920) UniProtKB/Swiss-Prot;Acc:Q9LFR3] MALKVVMLLALSSFFLITTRVSSWGEELFVKDITQKAPPPPIEASESSPPAPATEASPPPPPIYKPVPVPPPAKKLPPPSPPLKALPPPPPVYKPVPPVPVKKPPVPLPPPPPVRAPAPPSPMQPPPRNTKECFPPCAVRCKLHSRKNVCLRACVTCCDRCKCVPPGQYGNREKCGKCYAGMTTRGGRLKCP >CDP01600 pep chromosome:AUK_PRJEB4211_v1:7:10345249:10348666:1 gene:GSCOC_T00036701001 transcript:CDP01600 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSPEEEHPMKAYGWAARDSSGVLSPFKFSRRATLEDDVRFKVLYCGICHTDLHFIKNDWGISTYPFVPGHEIVGEVTEVGSKVTKVKVGDKVGVGYFLESCRSCENCSEGLENYCPKVVLTSGAPYHDGTKTYGGYSNEMVCNEHFIIRFPENLPLDGGAPLLCAGASVYSPMKYYGIAKPGSHIGINGLGGLGHVAVKFAKALGAKVTVISTSDRKKDEALNHLGADAFLISQNVEDMKAAAGTMDGILDCVSAKHPLVPLLGLLKSHGKLVTVGVPAQPLELPIAPLVVGRKLVGGSNVAGIAETQEMIDVAGKHNITADIELVSMEDLNTAMERLAKGDVRYRFVIDVANTLKAP >CDP01592 pep chromosome:AUK_PRJEB4211_v1:7:10408471:10415215:-1 gene:GSCOC_T00036692001 transcript:CDP01592 gene_biotype:protein_coding transcript_biotype:protein_coding MENVGLNSSEQIPEEILIPDPHLSGVFSEKPINQESERVSAMDEDQTREPLADSMVCDMGSRLVPKGFTKPNCTEEIMLFINAGAQAPVEIDSSMKFLADDYFQGGNIFQTEEYITEGGDCPFIYQSARLGNCSYVIDNLPPGDYCIDLHFVEIINVFGPKGMRTFNVFMQEEKANNGPVFTFFSLLIYSLKMQVLSDFDVFSIVGANNPLQLVDARVSIKEEGPLIIRFEGISGSPVVSGICIRKASNSGPRLRREHLICNNCSSEIEVTSAQKKELRFQSTAKYEKKIQELTESLQRKTDECYQSWMSWTSANEQLENIRMELDNKSYQTYSLDQTLQKQAEKLNGISSTYENKKKFWAAAISDLDKKVQRMKQEHIQLSREAHECVDSIPELNKMVFAVQTLVEQCEDLKVKYNEEQVKRRKLFNQVQEAKGNIRVFCRCRPLSKSEALAGCSMVVDFDAANDGELGILNGGSMKKTFKFDRVYTPKDDQVDVFADASPMVTSVLDGYNVCIFAYGQTGTGKTFTMEGTVEKRGVNYRTLEELFKIANERSENFTYKISVSVLEVYNEQIRDLLDASSASKKLEIKQASEGFHHIPGIVEAEVENIKEVWDVLQAGSSARAVGSNNVNEHSSRSHCMLCVMVRAKNMINGECTKSKLWLVDLAGSERLAKTDVQGDRLKEAQNINRSLSALGDVISALANKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSEKDLSETLSSLNFATRVRGIELGPARKQIDTSELQKMKLMLEKAKQESRSKDESIRKLDESLQSIENKARGKDAILKSLQDKIKELENLLESMTSSHLQSEKQVSNLSDRLKGRDETCASLQEKVIELEKKLRKEGQLESASYEKKVKDLEIKLKEQVKESESHSIILQQKVKELERRLIEELEHNSEATSLHQKIKELEDKIREQEQQLACATVSDSAIDSTRSTPLEGKHSVRDELMNDCERRILRSSNSLSHHSSQGPTSQKDDLLHMARRKRLSRNGETENNAAISSDNKGRQSDPPKPFPRISRATAKPVITAQRPTLHGKTSRDPVQGIKERENKKRIWSR >CDP02605 pep chromosome:AUK_PRJEB4211_v1:7:5245789:5250136:-1 gene:GSCOC_T00040046001 transcript:CDP02605 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRNKYRKPTTLRCNAGSRCSLLAWSLVGFFLILQLYTFIHDTDVHNEENQMRISHRLQLHELEEVEEENIQIPTPRGRRSPRAAKRRPRKVHTSLIDEFLDESSQLRRIFFPDETMAIDPVKDTGNESSYYFPGRIWLDTDGNPIQAHGGGILYDEKTETYYWYGEFKDGPTYHAHKKGAARVDVIGVGCYSSKDLWTWKNEGIVLAAEEKNETHDLHKLNVLERPKVIYNDKTGQYVMWMHIDDTNYTKASVGVAIGNSPTGPFNYLYSKRPHGFDSRDMTIFKDDDGVAYLVYSSEDNSELHIGPLNEDYLDVTNIMRRILVGQHREAPALFKHQGTYYMITSGCTGWAPNEALAHATESIMGQWETIGNPCIGGNKIFRLTTFFSQGTYVLPLPGFPGSFIFVADRWNPADLRDSRYVWLPLTVGGPVDEPLEYSFGFPMWSRISIYWHKKWRLPHRWKGKQ >CDP12853 pep chromosome:AUK_PRJEB4211_v1:7:13763635:13765792:-1 gene:GSCOC_T00037526001 transcript:CDP12853 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFGFISNIIVSSPHAAEQFLKTYDLVFASRPPHEAAKYLMFGQRSLAFGKYGPYWRNMRKLCTLNLLSNLKIGSFQSVRKEELGLFVESLKQAALNQDVVDIGAEVAALSANMTCLMVFGKKYADKEFDERGFKAVIKEAMQLMATPNLGDYYPFLKVLDLRGLTRRMKTVGKIFDEFLEKIIDEHEQSANQARHADDFVYTILALMKSKETEFEFDRRHIKAVLVDLLTAAMDTTATTVEWILAELLKNPRVMKKVQQELDEKVGLHRMVEESELENLTYLDMVVKEALRLHPVVPLLLPHAALEDCIVDGFHIPKDSRVTINAWTIGRDPNAWSDPEKFTPERFIGSNIDVRGHDFQLIPFGSGRRICPGMQLGLTVVRLMLARMVHCFNWELPNGMLPSELDMTEEFGLVMTRAKHLMAIPTYRLSK >CDP01953 pep chromosome:AUK_PRJEB4211_v1:7:7432116:7434044:-1 gene:GSCOC_T00037147001 transcript:CDP01953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin H1 [Source:Projected from Arabidopsis thaliana (AT3G51030) UniProtKB/Swiss-Prot;Acc:P29448] MAEEGQVISCHTVEAWTEQLQKGNDSKKLIVVDFTASWCGPCRFIAPFLAELAKKLPTVTFIKVDVDELKSVAQDWAVEAMPTFMFLKEGKIVDKVVGANKDLLQQSIAKHLSAATATASA >CDP16696 pep chromosome:AUK_PRJEB4211_v1:7:519614:523912:1 gene:GSCOC_T00019165001 transcript:CDP16696 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETKLVCSCGGDSAAGFSFRRSLSPLLASSRVFFPNVTSDQRRRQLTALAVVKRSPKRIKYSAPRFTKEDGLTYVAVDPSGSDLWKLDPVIDLLKQGAVGVIPTDTVYALACDLRSHSAVERLRRLKKIEASKPLSILCRSFHDIDTYTTGFPRGNNQGLTDIFRAVKQCLPGPYTFILTASKALPRQCTRYGTTASKYVSRKNVGVRIPDDTVCQAILEKMDAPLIATSVKSMRESEWILDPVVIADVYGPEGLDFIVDAGIRVADPSTVVDMTGSSALILRQGKGPKQPWMVTDDEDSGVKRKELTLNFV >CDP01561 pep chromosome:AUK_PRJEB4211_v1:7:10799940:10803717:1 gene:GSCOC_T00036653001 transcript:CDP01561 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLSGLKRLYLTIYNWTVFVGWLQVFFLAVKTLKESGHQHVYDAVEKPLLLAQSAAILEIIHGLVGLVRSPVSATLPQIGSRLYVAWGILWSFPEVRTHVLVSSLVISWSITEIIRYSFFGTKEAFGSAPSWLLWLRYSTFLLLYPTGITSEVGLIYNALPFIKESAKYSVLMPNKWNFSFDYYYAAFVVLGSPHMYTYMLGQRKKALSKSKKE >CDP02112 pep chromosome:AUK_PRJEB4211_v1:7:1490812:1494579:-1 gene:GSCOC_T00039400001 transcript:CDP02112 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP1 [Source:Projected from Arabidopsis thaliana (AT3G27925) UniProtKB/TrEMBL;Acc:A0A178V823] MAASSAHSFVTATPTNTPSLSRLSAPPSSILLKFTLSTRALYYRRSLASGTGTSSTSPLLPIVCALGSNSSSSSSYLSDESDEKSSIKKQLLDSLFVACASLALSCSLFLSDVAPASAFVSTTTPRKLQSDELATVRLFQENTPSVVYITNLAAKQDAFTLDIFEVPQGSGSGFVWDKQGHIVTNFHVIRGASDLRVTLADQSTYDAKIVGYDQDKDVAVLRINAPKDKLRPIPIGVSGDLLVGQKVFAIGNPFGLDHTLTTGVISGLRREINSAASGRPIQDVIQTDAAINPGNSGGPLLDSSGSLIGINTAIYSPSGASSGVGFSIPVDTVGGIVDQLVKFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPPNGPAGKAGLQPTKRDSFGRLILGDIITSVNGKKVSNGSDLYRILDQCKVGEEVIVEVLRADHIEKIPVTLEPKPDDET >CDP04758 pep chromosome:AUK_PRJEB4211_v1:7:15003873:15004810:-1 gene:GSCOC_T00018847001 transcript:CDP04758 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCRRPLIQLLWLVVLIFFSIIGHSQGSRSTNAFKLNPKSQNSGHFFNSLPKRFPIPASGPSRKHNEIGLQSWRYP >CDP02453 pep chromosome:AUK_PRJEB4211_v1:7:3927073:3933089:1 gene:GSCOC_T00039834001 transcript:CDP02453 gene_biotype:protein_coding transcript_biotype:protein_coding MNHESAQSRLCRLIDHSLRPFGEIVSVSSTPEVVKQLLISLSQVYRQIKLWTLEFDSASDDGTAEQPADGGGAAVCSDSHPDDHRCMAKIVGHLMSLLSLENPLILHLTGNTLVAIAEFAAATESGWAEYMHLLCGWLKFAIHNTMLASGGHELSRAKDFGIGSFIPGSLMKLRMGDGSYLTVASIIRVFRNVLKILKLNMDDKLLIGYLDSITDLFSKLPWDSLNEAYVVSNGEPPAGPAGHDLLCKNATHLKSVGIYHGNVVQLFCSLVDISGLSEAGAGSNMHPIVFQIRNLIPKILVGCFVGREHLDDVRIFHYLRHKILMLMMRLTSILHLERSDLMTWLHLIEKYFHDLLCQPLDMQESNLNDCLEGSPFWSDDYDAEGQKMSSSHLQRLAVFLFLRCSFILVRLNEKADKQFKVANSDSCFTFDLYSNSNYSSRSEGPLMLEQWLQRHLPSDTFVDHEMYSQRCSSFALAFLKLYMHEDDILFEMLLQLFHLPEKWFNRGGSLLTAKNDIFCLASDLFHPINFFHLFLAEIFYDHEVLLDYLISKDTGAKCAEYLLRCLRMVCNSWNLFAEFSTWVKPPNSSCRKRRRVLADGIDFEGNRCHASLEDDSVPSPMDTEGKHSGKHGRTKTVLVEDAKGCLLCLKASIDSLYQKNLFPYNPQVLLRRLSRFEELSLQDIQAPTPF >CDP02817 pep chromosome:AUK_PRJEB4211_v1:7:6757223:6760641:-1 gene:GSCOC_T00040318001 transcript:CDP02817 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSTASGDASASSSGNQAAAPPTSSAKKKRNLPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVKKRVYVCPELTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEESAKAQTVEALPAPTADDEEPKLQTLASSSPPPSVAAQPPPPAALVSSALPVQNPEGPENPKQSPAPPQILEETSVVTSLTGSCGSSSSSSSHGSTSSSVFASLFASSTTSGSLQSQTPEFTDLFRAVARPENASEVVASSSTEPISLCLATSHGSSIFGTAGQERRQYAPAPQPAMSATALLQKAAQIGAAATNASLLRGFGIVSSASASSGQQEWSGRGIESDSASLAAGLGLGLPCDGGSSLKELMLGTPSVFGPKHTTLDLLGLGMAAGGGPSGGFSALMTSIGGGLDVAAAAAATFGGSGEYSGKDMGRGS >CDP01812 pep chromosome:AUK_PRJEB4211_v1:7:8560469:8562415:1 gene:GSCOC_T00036980001 transcript:CDP01812 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSVSSSTETSIDTTTRRKSLCSFANFLVISLVLPVVLAVVVYQVDPFNPAPYPAHELTQKKPLAVPKRNAQVLKGAEKIGVGELLGPEDIAYDPKSGKLYTGCADGWIKRNWLNTGGRPLGLVLGLHGELIIADADKGLLNATENGEIQLLTDEADGTKFKLTDGVDISEDGIIYFTDASSKYSISEVARDSFEGRPYGRFLSYNPSTKVTQVLVRDLYFANGVAVSPDQDFVIFCETRMRRCKRYYLKGERKGSVDTFVDSLPGMPDNIRYDGEGQYWIALTMEVTYALELVQRHAFIRKIMAIAGKYLDRPSPRLLQKNGGAFAVDLEGKPTARYYDHDLALVTGVVKIENYIYFGFIIKPYLIRLNMEQNPAVIP >CDP12793 pep chromosome:AUK_PRJEB4211_v1:7:14438457:14446256:1 gene:GSCOC_T00037446001 transcript:CDP12793 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIPRWRSILILRNSVIQSTAIASTSRTQLADFHSTTVSLERWNNKWPSAGKKTPDVRSGQKPSKDYIKYKIRQKRADTKKALKNLLFNSGASSSVFEETFAETDTTWDVDEEEPLDKNDQSKSSHAARRAARAHHRRMKRKLRRERKHEDVDDPENIFQASFGKRWCSWSYWREPSYQSSATGFDFREHSNWSSRRPRESDIESEAESDTEPCIDSTNSDRKVLGLPLKGPLQIQDVKNAFRLSALKWHPDKHQGPSQVAAEEKFKQCVDAYKSLCSSLSTA >CDP02800 pep chromosome:AUK_PRJEB4211_v1:7:6622841:6624640:1 gene:GSCOC_T00040299001 transcript:CDP02800 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWQPEEDALLRAYVKQYGAKEWNLISQRMGKNLDRDPKSCLERWKNYLKPGIKKGSLTPEEQSLVISLQAKYGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQLKQLQKSQHRQEYTDPVAVSSVSGRASPEKAVQAGKYDHILETFAEKYVQPKLFAFQSLPLPPAIMPNLSLPEPPPVLSLGSVAITEPMNGSAATIPSSTLPPWMNTMNITPTTSSLTSSSSTPSPSVSLTLSPSEPAVLDPVQPEIGLPSRFFPVQQMGALIQCCKELEEGRQNWVQHKKEATWRLNRLEQQLDSEKARKRREKMEEIEAKIRCLREEEMAFLGRLESEYRDQLSSLQRDAEAKEAKLMEAWCSKHAKLAKLVEQIGVHSHGFTTTLAKDLR >CDP02723 pep chromosome:AUK_PRJEB4211_v1:7:6079490:6083629:-1 gene:GSCOC_T00040197001 transcript:CDP02723 gene_biotype:protein_coding transcript_biotype:protein_coding MANINKNEPSTAPLPDRWYNLTLGSSFKDHQPSSKFCTLRYEFKPASIDKNQPGTLHKNKDNRITVEFQNNQAGKPKVTFEGSSEDYKENDAVLFFDGESFRLERLHRAVKRLRHVRLPGESASAALAAAAAAGSSSASGGPAVEAGSPPVAKGNKLPFNKTTIPAVPVEVERIEIGGFKSSGVDAKPRNEKVDDHPPVQENESNASPDKMDDLVEQLDILNDDDDDVANGGNNDVKECHTGIDINIPHQNDTDDEIADVDASDDEADKGRNAAEALRAQVNAEEREEQTSSSSGSSGSESSRSGSGSGSGSGSGSSSSDSESSDDDSVNSI >CDP02092 pep chromosome:AUK_PRJEB4211_v1:7:1347123:1350525:1 gene:GSCOC_T00039376001 transcript:CDP02092 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHLLRLSVVSTSTRPSKLKHEIFNSRRTNPAARYHCHSSLSLNLKSTSFHCDRKLQKRTTFLLNPALGLGGSNIGTHFSFSAANKTSCHVKLRATAVPDNDAGESAKPNELLKTLQLGVMFVVWYSLNIYFNIFNKQVLKVFPHPTTVSAFQFGCGTMLVLFMWALNLHKRPTINRSQFEAIFLLAVGHTVGNLLTNISLGKVAVSFTHTIKAMEPFFTVLLSVLFLGERPSVWVVASLVPIVGGVALASFTEASFNWIGFGSAMASNLTNQSRNVYSKKVMVKEDALDNINLFSVMTIISFILLVPITVLIDGIKLSPSHLQYAASQGLNVREFLVRSLLAGFCFHSYQQTSYMILQMVSPVTHSVGNCVKRVVVIVSSMIFFQTSASTINALGTGIALAGVFLYSRAKRIKPTPKVA >CDP02377 pep chromosome:AUK_PRJEB4211_v1:7:3402714:3403937:-1 gene:GSCOC_T00039743001 transcript:CDP02377 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNFPRIIFIAGNSDGSDPKGIIF >CDP02736 pep chromosome:AUK_PRJEB4211_v1:7:6144644:6155961:1 gene:GSCOC_T00040214001 transcript:CDP02736 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVLESQSLTLDSTTLYLGAHTTVSSQPPPISHPLPPLPSSLPQPKLIPKTRFIIDGFKHAGDFSVSYFLSHFHSDHYTGLNPFWSKGVIYCSSVTARLLIEVLKVPSAFVVALPLSERFLIDGCGVVLVDANHCPGAVQFLFKVPVNGGKFEKYVHTGDFRYSDSMKLVPLLSEFIGSDAVFLDTTYCNPKYVFPGQQESIEYIVGVIERVGAENEGKLKNVLFLVATYVIGKEKILLEISQRCKMKIHVNARKMAVLSVLGLGECGVFTEDETESNVHVVGWNVLGETWPYFRPNFVSMKEIMKDRGYSKVVGFVPTGWTYEVKKNKFAVRTKDSFEIHLVPYSEHSNYDELREYIKFLKPKRVIPTVGIDVEKLDGKHANALRKHFVGLVDEMAAKEEFLMGFHEKAKEGVGKDDNAGSSAPTVFKNIENDDVLNSSLSKEGSASHDIEIVNQEDIEESIQEFCDCLPSWVTRSQMLDLLNSSGRNVVEAVSQFYEHETEFYEQVASNISSACKSPSNSELLECKLPAKSTEGLFASSAKLSGDISFRERLKLPRTINPSKSGSSPGKRKRTPENKTSKKAKRKTIPESNGPKQYTITKFFQKLVPSVSQAEDIVAASGHSHDDDAVMLNHCTERYKVEVDQFIQIVNGDNALRRHAAEILEKTKGDVNVALDIYFNNSGGSIMEKKVSLSERINEFQTQPSKGNSSSDQCAQTLEGLTCETVTSLTVQPTDNVAVNYVSLLPEKYSPTEHACWKKGEPAPYLHLARCFNLLEEERGKIKATSMLCNMFRSLLTLSPEDVLPSVYLCTNKIAPDHENMELNIGGSIVVAALEDACGTNKSRVRDLYNSLGDLGDVAQLCRTTQPLLAPHAGLTVRGVYSVLRKISLQTGSGSTARKKNLIVNLMRSCREMEMKFLVRTLVRNLRIGVMMRTVLPALAQAIVITSSEGPVENLKDHLQCLSSAVVDAYNILPHLDLLIPKLMEKGVQFSSMALSMVPGIPIKPMLAKITNGSPQVLKLFQNKAFTCEYKYDGQRAQIHRLDNGSVHVFSRSGDETTTKFPDLLDIIGEACGSASITFIVDAEVVAIDRKKNMKLMSFQELSSRERGSKDSMVSVGKIKVDICVFIFDIMFANGKQLLSLPLRQRRMHLKDLFGQERPGYLEYAKEITVEAEDACPNNEATLTRMNCFLDNAIHSSCEGVMVKSLDVDAGYTPSKRSDAWLKVKRDYVEGLSDSLDLVPIGAWHGNGRKAGWYSPFLMACYNPDTEEFQSVCRVMSGFSDSFYKEMKDFFSGDKILAKKPSHYRTVEVPDMWFAAELVWEIRGADFTVSPVHHAAIGLIHPSRGISVRFPRFVGSVSDRNPEECSTSADIAEMFNSQTRKMNLDEIV >CDP12811 pep chromosome:AUK_PRJEB4211_v1:7:14260217:14262052:-1 gene:GSCOC_T00037471001 transcript:CDP12811 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP04720 pep chromosome:AUK_PRJEB4211_v1:7:15485156:15486977:1 gene:GSCOC_T00018787001 transcript:CDP04720 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKVDLQCPSCYKKVKKILCKFPQIQDQVYDEKQNLVTITVVCCSPEKIRDKLYCKGGKVIKCIEIVVAPPPPPSKAKPPPPRPCSHPPIPVVICCVLCSERYGGGPCRCRNWPPVPPHACGCGSRCSHCDYFSEQITGDCTIM >CDP02114 pep chromosome:AUK_PRJEB4211_v1:7:1506826:1512014:1 gene:GSCOC_T00039402001 transcript:CDP02114 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSIAPGNSKLHFGQTFYRGQGCKQPYWVSSVAVPRCMKKDLSWSYGVSKAFWINGLQNLHLHTNQKWKPRRTSISSSLRDGSAKYFDFAVIGSGVAGLRYALEVARHGTVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHMHDTIVAGAYLCDEETVKVVCTEGPDRVRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVDKDPNIFMFEHHFAVDLLTSQDGSDTICYGVDTFNTATQEVVRFISTVILLASGGAGHIYPTTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPIRPTNARENAFLITEAVRGDGGILYNLGMERFMPFYDDRAELAPRDVVARSIDDQLKKRIDRYVLLDISHKPRQKVLAHFPNIAAECLRHGLDMTKQPIPVVPAAHYMCGGVRAGLQGETNVRGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSVDHMKSSHIDHSASDAWPKPVMPISLGSAVLNNIICRTREVRKELQSIMWKYVGIVRSTTRLKTAEKKIGELELEWESFLFQNGWEPTMVGLEACEMRNLFCCAKLVVSSALARQESRGLHYTVDFPFVVESKRLPTVIFPGSPVNGTWSSRQLHRPQVFLLATPNFNSSKCENI >CDP12913 pep chromosome:AUK_PRJEB4211_v1:7:13047266:13049375:1 gene:GSCOC_T00037606001 transcript:CDP12913 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MALARPVFCELKSQNPVVEKSSNLGLNLQVQKARVPAEVTQTSGENGGSSTSSSSAKIVLQPRLCTLRTYGSDRVGVMKTKGVNGEDDQMPRFFATLSEYIESSKKSHDFEIISGRLAMVVFAATVGTEVVTGNSIFRKMDLQGIAGTAGFCVAAVTCAAVFAWFSSARNRVGRIFTVSCNTFIESLIDQIIDGLFYDNNDFDDWSDDI >CDP04751 pep chromosome:AUK_PRJEB4211_v1:7:15133220:15136840:1 gene:GSCOC_T00018835001 transcript:CDP04751 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFDEGKEVFFDAVESFSSEESFIVREDLDSVNLGYDIWLSELRSVKDRREKFLVSMGFKGVSSVNDVAPNEESGTMGADRVGECSGAVSCSSSSSVEENLVCDSRELNGEANCLVEESGEEWSQNLSIMTERETAGSPSSASAVGCSEMQAHVENYKIVDVKKKKTNGWLRSFLCKMKKSRCIDASTAQKFSDEERKITQVKVQQKNKKCKEFTAVYAGQEIRAHKGLIWTMKFSPDGQYLSTGGEDGIVRIWSVSSVEASCTTSARNLQSNNLKGSSTIKRKQSRIASIVVPEKVFHINESPLHELHGHTSDILDLAWSTSNHLLSSSKDNTVRLWQVGSNKCHSIFLHNNYVTSIQFNPVDESHFISGSIDGIVRIWGVQEKRVIDWADTRDLVTRVRYQPNGKGFITGFISGACRFYEASGCELLLRAEMQISGKKKSSGSRITGIQFLDNDSQRVMITSEDSKIRILDGLDIVHKYKGLAKSGGQTSASFTSTGQHIVSVGEDSRIYVWNYDNIMIQLSKAKKSARSCEHFSFEGVSIAIPYSGMGTEKRRAGNDSTSASSQTCSNQSLYSLARDQERFSLASWFSMDVSSKASATWPEEKLPMWEIQYPEHDDQTCDCPDDHLQQLHQHQNNSQSCGNFPASWGLVFVTAGMDGMIRTFHNFGLPMKT >CDP01859 pep chromosome:AUK_PRJEB4211_v1:7:8175953:8176991:1 gene:GSCOC_T00037033001 transcript:CDP01859 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFGRVLFKEAGTGISKTLSEILVCPLSKQPLRVCEKTNSLISDSIGVSYPVVDGIPRLVPSDGKIIDDEEASNSNSAVDPDAVKNDHQRCSS >CDP02811 pep chromosome:AUK_PRJEB4211_v1:7:6715505:6720365:1 gene:GSCOC_T00040312001 transcript:CDP02811 gene_biotype:protein_coding transcript_biotype:protein_coding MISEGPYSIVYEGLYNSVPVAVKVIQPDISSNVSPERMEKFEREVVMLSRVKHDNIVKFIGAAMEPALIVLTELMKGGTLQKYLWSLRPNCPDMKLSLNLALGISRAMQYLHANGIIHRDLKPSNLLLTEDKNKIKLADFGLAREEAAGEMTAEAGTYRWMAPELFSIDPLKLGGKKPYNHKVDVYSFSIVLWELLTNSTPFKGRGNVMVAYAAAANLRPNMDNIPTEIVPLLKSCWAADPADRPEFAQITDFLSKFIANMCSVQKTLPNLFDTEHSKTTGAEDSLVAVECLRKRRGNNKKKRSLLTCFSKCV >CDP01875 pep chromosome:AUK_PRJEB4211_v1:7:8079330:8086546:1 gene:GSCOC_T00037051001 transcript:CDP01875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 1 [Source:Projected from Arabidopsis thaliana (AT2G17820) UniProtKB/Swiss-Prot;Acc:Q9SXL4] MGLWLRSYVNRILASANSCRRNRSRCCKRTFNRDVEAEELQDTSTVCLSSYYSVFMVRLAIMVMLAILIGMLTLLTWHFTKVYTQRSLDTLAYGLRFELLQRPMLRMWNIINSTVEITTAQVKLSEYVIRRYSKPVNQAQQVELYEVMRDVTWALFASQRALSSITINYRNGFVQAFHRDHRSNKTSYIYSDLINYSINGYDADTLSSHQGWNDQSIHGNMSAIWYRQPLDPVTGEKIGKSSPIPPDELINIAGISQIPDGAASWHVAVSKYTDSPLLSAALPVWDSSKESIVAVVGVTTALYSVGQLMKELVGFHSGHIYLTSQEGWLLATSSNTPLLMNSTTGPKLMMAVDSEDRVIRSGAEWLQRVYGDKFPLNDEVHIENARLGHQLYYIDSFFLNLKRLPMVGVIIIPRKYIMGKVDERAFKTLVVLISASVCILVVGCVCIFVLTNGVSKEMKLRAELISHLDARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILVCDDCLTNEQYANVTQIRKCSTALLRLLNNILDLSKVESGKLVLEETEFDLGRELEGLVDMFSVQCINHNVEIILDLSDDMPKLVQGDSARVVQIFANLISNSIKFTTSGHVILRGWSENPNSVLSSGKCSISQKDSWPGQKMKLKLHGSHGKRSLKKENKMVLWFEVDDTGCGIDPSKWESVFESFEQADPSTTRLHGGTGLGLCIVRTLLSQQVNKMGGEIKVEKKNGPGALMRLYLILSTPADLNGAGKHCQFKFKEHKLTMVLALNGRMGRSTMAQWLQKNLVHTYEASEWNELTQTLQEHFKASYMHIPPGEFSESEQMHTQGISISVVVIVIDIGLLELSTDIWKEQLAYLDKYSSIAKFAWILNHDTPNAIKAELRWRGHLLMVSRPLYKAKIVQILEAVIKDQQMELQKYVTATIEGNLNECHEIDAIHSWTPSSDDSDKSERDDLRLASPFYRTPNASPSLNRTPNGHHAEFAHVRYEENACNKKSPGQTRQEEQQSPITCLKERDTVRISTSMDEQSSLKGLRILLAEDTPVLQRVATIMLEKLGAKVVAVGDGLQAVEALKFLLHSSELRKESPVEDDNSEIITANGLSLLPYDLILMDCQMPKMDGYEATKAIRKSELGSGSHIPIVALTAHAMSCDEAKCLEVGMDAYLTKPIDRKLMVSTILSLTKRTA >CDP12839 pep chromosome:AUK_PRJEB4211_v1:7:13947108:13948151:-1 gene:GSCOC_T00037508001 transcript:CDP12839 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSLTGLLLMGAEAIEAGNVRLASIVAPRLKDILSDQENGDNPMDRLALYFTQGLLDKSLTAPEHPENPVSRQTNAISAFQMLQELSPYVKFAHFTANQAILEATEGHREIHVIDFDFMEGIQWPSLMVDLAAREDASLRITAIVGDKGSSCNIQQTGFRLQDFANSINLSFSSDQVLIKKEEDFEEIKVGHTLIANCMINQLHMPHRESSLVETFFNGLRKLSPKILVFVQEELFSFSKAPSTSFAGFFCEALDHYTALSDSLRSGFFGGYKLALKIIEREFLRMQILDSVKHFPCGIMGNESNMLPDYPSMNGFRPIPMNSCNVIQAKHLISLFNGSYWVQNE >CDP02425 pep chromosome:AUK_PRJEB4211_v1:7:3718423:3719333:1 gene:GSCOC_T00039799001 transcript:CDP02425 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKISNKSLLFRLCSLLMASLFAVSASSQLNDPDWYFWFPLYACGCIVNLVNGLSKFPKMRLRIVAKFSLSLGIFLFIKPSIEDLGNGTGAGLWSLDMREKLGSGLVVASMFLNLQLPSSRHLPKGQETKVAKLTEHGMLILVGIGYGLSLLCHQKKMKF >CDP02816 pep chromosome:AUK_PRJEB4211_v1:7:6751322:6755276:-1 gene:GSCOC_T00040317001 transcript:CDP02816 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLLPKPNSAFCFGVQRGLRVKLAPTHKLIESLTVKRSQKFGACYTYSRTKHSAVEAFSQTPGGGVVVSRPSSQAFGKFSGAMAVSSSTAAPGDLVMDTFISGCGNVSSFAKPVVMYFGNRGLDNCQKASLSLRNGVTNSCCTDRAFSVLGGRWKCRSSNALVGSWFRSCSSSSSPCCSTGAASDVLCNGTLFDEQLSSLAIPPDQRSLSNRTLKLHSGSCYLPHPDKEKTGGEDAHFICSDEQAIGVADGVGGWAEVGINAGEYASELMSNSMDAIKNEPGEFIDPARVLEKAHSETNAVGSSTACIIVLKDQFLHAINLGDSGFIVVRDGSTTFESPVQQHGFNFPYQLERGNKGDLPSSGQVFKISVLPGDVIVAGTDGLFDNLYKREITTIVGAAVTSGFTPELTAQKVAALARHRAQDRERQTPFSTAAQEIGFSYYGGKLDDITVVVSYVSDLSGSTET >CDP02062 pep chromosome:AUK_PRJEB4211_v1:7:1136642:1140984:1 gene:GSCOC_T00039342001 transcript:CDP02062 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEPVKSKPRPIIRLGLFLMSHSLLVSVVCCTAGILALLLLPVLAKNTYISENALMPGSASPMLSSDDVSEASRFVGKILSLNSSSASLGIEIPRLIKQHITALGGEVNYHEFRSQLNTFRPLQFFASPDPGIIQENSSCMSYGLNTVGIIRAPHGDGKEAIVIVTPYNSGKVTAGEALSLGIGYSVFSLLTRVTWLAKDIIWLASDSQYGEYAGVAAWLREYHTPFFGGLGKLHSEICCEPSGFSEFETDAILETGISDTFRHAGTMAAALVIKVADSSSEFEKDALSIYAEASNGQMPNLDLVNIVNYLAVHGHNLRVKVEKIQSFLHSWWLWGLGGLFESLGKLARSLNPQWSFGISIAEYVEGSATLASSLYNQALGVPTGPHGAFRDYQVDAITMEFSRQLSPGYRNRQTEFLMRGGRLVEGVVRSVNNLLEKFHQSFFLYLLTSPNRFVSVGVYMIAFALLVAPLPMVAASLFSDASKLGSMNEQSTSGPFSKPALKFRSWKWLHAARTVLIVQFWGAFMTLLPYFYCHIPNSSPMTRLLIWIVLLIISLLLLWLNLGSIFSHGNNTQSQRTQWAYLKSVTIAAAFTGLCLMSVINFATAEIGALLLVPMCLMTVPMKLKGWTLRTSMQVACNLVLTFLGFPPVAFVVLKGALKGFDSISIGEFWTWMEALWEWNSATYVYICMVHLPCWVLCILTLLHPC >CDP02606 pep chromosome:AUK_PRJEB4211_v1:7:5252605:5256077:-1 gene:GSCOC_T00040048001 transcript:CDP02606 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSNRIVTPLLFFLISTTFTYNCLVFASPVPEQSLKRPDPLRHFKPYNGVYDIRNRHYWASAAFTGIHGYAVAGIWFLFGLGFGSYLILKNLFGSCFRIVNYPHSFYIATFSLVVLFTLLAIIASSFVFAASKSSQKRANRLVDTVFGAASDASGAMRRVINSLVDMQALLRPYDPQTCNLLNVTSHRLRRESLLIKDFVAKTKHPSHEAVEILYSTTVAVVTINLMLLVAALVLLILHWYPGIMVIIFCCWILTTLSWILTGFDYFFQTFARDTCSALVKFEENPDDSSLRFIHPCASPSDSNNLLVQIGKTVHNFISQANTKLTELKAVLGIQEGNEDTLGFQEICDPFASAPNYVYAPQNCHKDAIPIGDLPNILSRFTCYKGNSSEECLVDGRFLPEASYVMAEAYSHSIQDLINVFPDLLSLTQCTSVKQAFSDIVVRQCKPFKTSVRMLWSSALSLSLIMTVLVSLWVAKTCRDRHRSFAKFSIVPKPV >CDP01417 pep chromosome:AUK_PRJEB4211_v1:7:12362912:12364270:-1 gene:GSCOC_T00036459001 transcript:CDP01417 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTFNHKKKKSEVEICAFFLYWRRRCSKELNCFDRARRPSNVCDGFLSTRMRNSFDINDQPMYCDI >CDP02648 pep chromosome:AUK_PRJEB4211_v1:7:5524440:5529582:-1 gene:GSCOC_T00040103001 transcript:CDP02648 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPFPLVLSFLILMSLSSSANSYPPRSSKHAPRFLGKFSHLHQPTDQKYETRYFEQRLDHFSFADLPSFRQKYLINTQHWLGPSRLGPIFFYCGNEGNIEWFAANTGFVWEIAPRFGAMIIFPEHRYYGESMPYGSREIAYKNASTLSYLTAEQALADYAVFITELKRNLSAQACPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPVLQFEDIVPPETYYDIVSNDFRRESISCFNTIKESWDIIASKGEENDGLNQLTKAFHFCRKLDSSEDLSDWLDSAYGYLAMADYPYPADFLMPLPGNPIKELCRRIDSCPDGTSTLQRVYEGVNVYYNYTGTVDCFNLDDDPHGMIGWDWQACTEMVMPFASSKNTGMFPEFEYNYADDEERCLKDYNVKPRPTWISTEFGGHDLKNVLKKFGSNIIFSNGLLDPWSGGSVLEDVSETIVALVTEKGAHHLDLRAATDEDPDWLVDQRESEVKLIKKWLQEYYDNKRATFTA >CDP12921 pep chromosome:AUK_PRJEB4211_v1:7:12976446:12983606:1 gene:GSCOC_T00037615001 transcript:CDP12921 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGTKRKAPSRKDGAAKSKPEEAENEASKATVEENNKEEVVEKSQSAAAAAEEEESSKVEQTEENNKSEPSTKLAEEENEEKEKEEQSTKPVEEKKKGKEKVEPSKVVKRRGKRARITKQEDEPEYFEEKRNLEDLWKQVFPVGTEWEQLDLVYQYKWSFQNLEDAFEEGGELHGKKVYLFGCTEPQFVSFQGQQKLVVIPVVVAVVSPFPPSDKIGITSVQRESEEILPMKQMKMDWIPYIPFANRDSQVERLKSQIFILACTQRRAGLKHLKIDRVKKFEYCLPYFYQPLREDEFENKTDVDILFPDTEPPIVCEFDWELDELEEFTDKFIEEDQLPADKKDAFKEFVKEKVREAKKANREAREARRKAIEEMSDETKAAFESMKFYKFYPVTTPDSPDVSSVKAPFINRYYGKAHNVL >CDP02539 pep chromosome:AUK_PRJEB4211_v1:7:4680327:4684234:-1 gene:GSCOC_T00039949001 transcript:CDP02539 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSASCKSSDLHLWWRVIALVLLLLLEIQFSAGLNVDGVLLLSLKYSILKDPLGVLQSWDFHNETPCSWKGVTCGKPGSPEANRVTNLSLPNSQLLGSLPANLGMIPHLTSLDLSNNAINGSLPLYLFRASQLRVLDFSNNLISGPLPENIGGLKSLQLLNLSENALAGNIPEKLSALSNLTVISLKNNYFYGTLPGGFESVQFLDLSFNLVNGSLPSNFGGSGFRYLNLSFNRFSGEIPPEFGDNFPSNATVDLSFNNFTGEIPDSSVFLSQDVKSFSGNPELCGLPLKNLCPIPSSIDTQPNTSAPTSPPAIAAIPKTIDSSPAALSPGGAAEPSPQRGGGMRITTIVGIVVGDIAGIGILASVFIYVYQLKKRKSIKSSIKKEAESAKDFDWASSSSSSASSGEYNWLRAWTCLRKQRQEEDGEETSQATNSESEDQEDLRGGGQENNASLQQGQKNGALVTVDGEKELELETLLKASAYILGATGSSIMYKAVLEDGTALAVRRIGESGMERFRDFENQIRVIAKLVHPNLVKIRGFYWGADEKLIIYDFVPNGSLANARHRKAGSSPCPVPWEMRLRIAKGVARGLCYIHDKKHVHGNLKPNNILLGVDMEPKIGDFGLERLVAGDNSSKAGGSSRIFGSKRSTASRDSFQDLSFGPTPSPSPSTLGISPYHAPESLRSIKPNPKWDVFAFGVVLLELLTAKIVVSDETGPGPGPITGATALDDEEKNKVLKMADVAIRADLEGKEEALLALLKVGYSCISTVPQKRPTMKEVLQALDKFPCSSSSSYYYGH >CDP04633 pep chromosome:AUK_PRJEB4211_v1:7:17175798:17177720:-1 gene:GSCOC_T00018647001 transcript:CDP04633 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMQRRVCSAIILSIAQSQEAAAASLGTTATARCTTLASFLFAFPNPKAQLTFYSAVSGKVKSYPGKALKFQPGLRNDINNVDSLDDALSLYRQMVRMRPLPCVIQFNQLLDRIVKMKNHYVSAISLFRDMCVKGIPAYEATLNVVINCYCLLGRVDLGFPVLAAFMKRGLVADVVTFSTLLKGLFREHRVPQGQELFKKIIFEKLCKPDEVMFLIVIDGLCKLGNIQMAIEFLRVMEKRRCKPHVKVFNTIIDSFCKDKMVDEALALLQEMIEKGIPPNVVTYSCLIQGLCNLSRWEDVGKIFSEMKVYKIIPNVITFTIVVDALCKEGHIEDAEEVFQIMIQQGQNPNLVTYCSLMDGYCLQRRIDDARRVFNTMVASGLTPDLHSYGILINAYSKTKKMEAAMNLFREIQHKGLKPNIVVYTTVLQGLFSSGRCLSAREIFNEMQASGMKPDFHTYCVVLDGLCKTGHVDEALQLFHAMEADGTNIHIGMYNIMLDGLCKSRRLDSARELFNNLSLKGLDPDVRIYNTMIAGLLSEGLLIEAKELVKKMEGKGCLPNDFTYNIILQGLLKGGHYDDAMVYYEEMVHRGFLLDASTFSILLDSSAENQNNSSLLMLMLKIDPDCKKLMDGGQRGPSH >CDP02398 pep chromosome:AUK_PRJEB4211_v1:7:3521691:3531800:1 gene:GSCOC_T00039764001 transcript:CDP02398 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRCQNFVDTIFNYKGCHSNPEMLSTQFQNLVPHPAFDAHPLNKASSFYQSSQIAAPGLGWMPDFHSISSQYGDLMTTGIDHNGTGYVYHDYLPQGFSFSNQGASSRFIASDGNNMFSSLGKNPISAEVIASPEHIVLINEGYRFNNQDIACRFIAPDGDNMFSPLGKLSISPEIITSPEQISSITACSDVDLHSDGEYCDGGSTFPCIRLNYLSKPSSQSCNPVQQGELDLEDQRLMEANQNVYHEVLPIPSVQHGQIPYPNTCILEQVFDRIPQGSNSLSSQIAGNAISTHEDLLQDQLPQLNQLPGQLQFDELSKALIREVDSESQCDNYTSNERFLKLSQFSVEQECHSQQQQPSILQQIGVSKIADSTNLSIQDILLQYIHHSNDVQNKGKNQATFLKKLHDMRCQDKDCKCDRDRALICHYENCSYFFCSICKPVRELCSADRVQSGLGDLRSDPLMAVHGRECSVSGTYIDEVALPPPKRKRLEDCFLSGNVSSSPDADSVDESFRSGGTSQCKSWSESPLCNNESQEVTKTEDLCFRENPIPDMKREKLHSSEDTAFVTSNHNPENSQGVAYGDGPFLCGKLNYGTSNSGDLKSDILPVLEEPNVVQENGGIHYLSKPTQPELKAKADSREAATDNQSGTSLEDVKKLPVSLVDFLSSVEINEHICSLGQSMGQGITTESKENLMESCVGENKCQLCNMDQLVFSPTPMYCSSCNLRIKHNLIYYWTLDEMGSRHCFCTRCFKESRGGNITLQQGMSVSKALLQKERNNEENEESWVQCDKCGCWQHQICGLYNAKRDAEGKAKYICPYCRLKEIEIGEHVPLPAAFGAKDLPRTKLSDHIEQRLFGKLKREREERAKFLGKDLDEVPEAAELVVRVVLSMNKLLQVKQQFLDIFPDEDYPEEFPYKSRVILLFQKIEGVDVCLFGMYAQEFGSECGQPNQRCLYISYLDSVKYFRPEIETVSGEALRTFVYQEILIGYLDYCKKLGFATCYIWACPPIKGEDYILYCHPETQKTPKPEKLRQWYKSMLKKAVQEGIVVDYTNMYDRFFISNGECGTKITAARLPYFDGDYWSGAAEEMIMDMRKPKGEARGKVKNLTKRSLKAMGLDNLTDDATKDILVMQKLGHTILPLKEDFLVVHLQFTCKNCHNLILSGAHWFCNQCKNYYLCSRCLQVEQTPNETKTHTSSGGEEHFLSQVTVNDIPIDTAESDVILDNDIFENRHSFLSFCQGNHYQFNTLRRAKHSSMMILYDLHKQMPFTMVTTCSICFRDIMIGAGWHCESCPSFDICITCYHKSGKGCHNHKLVRHLTKNQAQRKKAFQVEVLNALDQASQCHVTRSSPCSHPRCLQVRKLFHHSSQCKIRHAGGCQYCWKVWFMIRTHSRVCKDSRCSVPRCMDVKEQTEMWSRKSEMRRRAAVKSLKLLEVSGDS >CDP01616 pep chromosome:AUK_PRJEB4211_v1:7:10205976:10210010:-1 gene:GSCOC_T00036719001 transcript:CDP01616 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MSTAKITPSATALSTTIKHLTCNLNLQNHACVRTLFTGAAYPTRTLRRTGFTVSMSAAAATEPVEVCVKASVTTPNKLGDCPFSQRVLLTLEEKHLPYDVKLVDFANKPDWFLQISPEGKVPVLKLEDTWIPDSDVITQAIEEKFPEPPLATPPEKASAGSKIFSKFIGFLRSKDPSDGTEKALLDELSAFNDYLKENGPLINGTEVSAADLSLGPKLYHLEISLGHYKNWSVPDSLTYLKSYMKAIFSLESFIKTRAQPEDVIEGWRPKVEG >CDP01710 pep chromosome:AUK_PRJEB4211_v1:7:9365121:9366719:-1 gene:GSCOC_T00036848001 transcript:CDP01710 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPTENISRNQVSMRAICIVFSSSLFESQFPNYIVLKTQTKRTFFKQRRVSLHSVDGASQFPFPTSLSLDHLLKWNLRGPCPPATARSEEDFVYFAGRRSIRT >CDP02219 pep chromosome:AUK_PRJEB4211_v1:7:2218254:2219752:-1 gene:GSCOC_T00039545001 transcript:CDP02219 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRQSSGSSRITDDQIIELVSKLQQLLPEIRTRRSNKASASKVLQDTCNYIRSLHKEVDDLSDRLSQLLSTIDADSPEAAIIRSLLAES >CDP02419 pep chromosome:AUK_PRJEB4211_v1:7:3671378:3675805:-1 gene:GSCOC_T00039790001 transcript:CDP02419 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKIFKGSSHKLWEGQYHGKYEDDAIWEGPSTSVDVWSDFDNEEIDHAIALSLADEDQRAVAEEEQKASDEEDQRAVAEEDHQEAPEEKDHKGKKVIEDEPNLEEDEQLAKALQESLNVESPPSPPRYDYGSFFPPYQFFYPSGYRICAGCKAEIGHGRFLSCMGATWHPECFRCHACQLPISDYEFSMSENRPYHKSCYKELHHPKCDVCKNFIPTNAAGLIEYRAHPFWLQKYCPSHEHDGTPRCCSCERMEPVDARYLILDDGRKLCLECLDSAIMDTNECQPLYLEIQDFYEGLNMKVEQQVPLLLVERQALNEAMEGEKHGHHHMPETRGLCLSEEQTVSTILRRPRIGGYRIIDMFTEPYRLIRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYSNLSPEVEEGICQVLAHMWLDSEIIAGSGSNVASTSSSSSSASPSSSSNSSKKGKRSQFEKKLGEFFKHQIESDTSVAYGDGFREGNKAVLKYGLRRTLDHIRLTECFP >CDP12865 pep chromosome:AUK_PRJEB4211_v1:7:13571364:13576902:1 gene:GSCOC_T00037541001 transcript:CDP12865 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGQRKRKVGEVSEENRKSIGAVMKKSANGSCKRRPKPAGECKLLLPKNDLKEKPSTTVHSPGETTKVTNPSNKETAKVQNVKVQKGDRLTSSKIKLQLFPVNSMTRLKLEKDGHNPFLELTLSVQKKVSSVIKHLNTKWGCSSAALGELMLFPYDVRLENIASSRSWTSDCGSFTAGELHEALETPSIFRLKYGWFTNLHVEACGVSLTSTATEEQSESKHSQNDCSLFSRMTHDQRKTITAASQGIQSPINMHEEEDVARTKQMPTLLSVDHVVNDVPLPPAVSWDDSFTSLSIGGLLSEASLLNKINNPTEGSDNKSNLQPIELVSDISIGALLSEASLLDKINNHEQRSCKSLSFQLTQPVSDSCRRDLMSGVSYQCNVENPDLKAENEKGFQPVYSASEIVIGGLQSEESLQGKINAFDAASSRPGPKPTMENGASQFLFPWDDSMISLSIGGLLSEASLQRYFSCCNPKSKEAASSVTLNSSEPFAASQLNLHPPAPKSLETNLRDPAMPSNQRHPHRLIQMSSTNQAKLANDPADQKLMTKVLPHRQGASDEASSLGLRANKCNEFMGPFDPSLPTAVQVTTGGDGLSLSGFGW >CDP01423 pep chromosome:AUK_PRJEB4211_v1:7:12273092:12276009:-1 gene:GSCOC_T00036466001 transcript:CDP01423 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRGRRSRDMSPERVKICTQSRLIRPAFKKVQVVYYLTRNGQLEHPHYLEVTHLANQQLRLKDVIDRLTLLRGKAMPSLYSWSCKRSYKNGYVWNDLAENDIIYPSEGAEYVLKGSEIVSDGCTEKFQQLRVGSSTQQNQQLPLGNVQQRPGVVEITNFHPKRRSLGHKQKQESQETMKNPRSEFILENESPPSTTSSSLSDKAMNETSNTSKRFEDGDPVGNEPLLSRNSMLFQLIACGGSLSFRGNTNGKNVPDLKQLQQPPPATTTTAATPAGARRSNCSDLHKGVLCKSAAAGYKAAAAMEEEDEIKYMSENPRFGNLQAEEKEYFSGSIVESMATDDRAQVEPSSLKKSSSYNEERSSKLAIEEAEATEEERREKALKGKCIPRKRSSSKQSKK >CDP01557 pep chromosome:AUK_PRJEB4211_v1:7:10820955:10821435:-1 gene:GSCOC_T00036649001 transcript:CDP01557 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSMSSACDRMLANWALLCIYEVTTLVHCLSFFFQNKTRGVSFGQWVGGQKVGNRVLLSLFVLILSPFLLLCHLRLSQVARLIKDLVNRAFEEALKDAQLIENIAQKSQEQSCQRLADISFLLAAFVSF >CDP08409 pep chromosome:AUK_PRJEB4211_v1:7:25372847:25394607:1 gene:GSCOC_T00027253001 transcript:CDP08409 gene_biotype:protein_coding transcript_biotype:protein_coding MERGECSTPVSNPSDNGDDIWAKLVPSNSQCSDIGLTSSETLICSEINITSSAKSEWCKIIRNMDLASATIQNKSENEIIVDEMVVSGEETAVIRCGSEIIPCSDSEGKGLLSYRFKVMPTSEPFKRDLKIFLDPEHAKCCICLNVWHDVVTVAPCLHNFCNGCFSEWLRRSQKKHSNVLCPQCRAVVQFVGRNHFLHSIEEDVLKADDSLKRSVEEIAVLDSYASIKSPLASYFVLVPYPLRLFGLEGLGCLVNFYKCNALSRVLNAGKKNRRKRLRSPPEDFPREAESDLELPCPQCGTEFAGFRCNQSTVHLQCHSCGGMMPSRTSAVPQHCLGCDRAFCGAYWNAQGVARSDSHPMCSSETFKPIIERTTTRLPFWVHEKNRHEQDITESCIRQMGRSLQDVISEWLTKMNNREIDRTRMPLNHAETITSQAHTCVDCYDKLVSFLLYWFRITMPKHCLSPEASQREDCWYGYACRTQHHNEEHARKRNHVCRPTRGSHR >CDP04614 pep chromosome:AUK_PRJEB4211_v1:7:17498188:17501846:-1 gene:GSCOC_T00018619001 transcript:CDP04614 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQDELVPHVLIFPLPIQSPVNSMLKLAELLCLAGHRVTFLNTKHNHNRLLRCTNIQSRLDQYQGRFLLEKVDDGLPDEDPRTAEQFTEILDSLQSVAEPFLREVLCGERFSCNSSKRPPITCIIADGSYYYVLDVAEEMGIPLIFFETISPCCLWVYMCVPKLIEAGELPFKGNDLDAEVRSIPGMEGLLRCRDLPDFCRRDCTADRNAQLVMAEIRNIPRAAGLILNTFEDLEGPFLSHIHSQARNLYAIGPLQLHLKTKLAAQSRELPTAVSNSFWVEDTSCLCWLDSQPLKSVIYISFGSLKNITKDEFLEFWHGIMNSGQRFLWVIRPGSIKGQKLEQHDDFLKELNRGTKGKGLILSWVPQEEVIGHSAIGGFLSHSGWNSTLESIIAGVPMICWPCYVDQQVTSRFVSETWTLGLDMKDTCSRSIIEKMVRDIIERRCDFDQSADKFSKLARQSVAAGGSSHIDLDRLIGDIKKLSLEGDTNASFHS >CDP02442 pep chromosome:AUK_PRJEB4211_v1:7:3867247:3870482:-1 gene:GSCOC_T00039820001 transcript:CDP02442 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQRGKKGSHQTTNNPAKNPPHFFKVVLSSVGQGIRIPTAFMREHGESLKKVVWLKVPTGASWPVALLQTDVGTWLRKGWREFAEYYTIDRSYFLVFRYEGNSQFYVIIFDPTASEIEYPVEAGKEQGVHDNDLDQLPRRRTNPVQIFDEIESDDDSIEILEEIPAAASSSHAHNRRKSAQVDGNRQYPMESGHHGMHDRQGQLPRRTSLVRKPEEIYSDDDDDDESIEIVEEISAPCKGRSALKKSTSIAYQRAKAFKSKCPFFIVFMQPSYVSGSFTVNIPLTFVRDYLTTTQSHLDWELRLSEGKKKWSARCTFHSRNAKIYRGWKEFVVENNLVTGDVCVFELVMGAKIFNVTVYRRC >CDP16692 pep chromosome:AUK_PRJEB4211_v1:7:495372:498978:-1 gene:GSCOC_T00019160001 transcript:CDP16692 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIPLSSSLNLPAAKVSPLARSFRGTTASTVGSKENLAVSFSLSSLRPRPPKIHRIFRLTVSGAASVVFRNLDADDFRHPLDRQNTLLLRAIPGLNDIGKALLGTVTEQVMLLENIGTSVLVTENQLPELHSLMIQASHILNIESPDLYVRQSPIPNAYTLAISGKKPFIVVHTSLVELLTRRELQAVLAHELGHLKCDHGVWLTFANILTLGAYSLPGLGGLIAQRLEEQLLRWLRAAELTCDRAALLVAQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKASSSPVGWYIRNAQTRQLSHPLPVLRAREIDEWSRSQEYKYLLTRAVVVNPMQRVAI >CDP02665 pep chromosome:AUK_PRJEB4211_v1:7:5676711:5680538:-1 gene:GSCOC_T00040130001 transcript:CDP02665 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNQKSSNNWNFQSLNVQRILTHVNVKNPTGSISFIGNKISGHEFVLLKCFTDRDQSGEDEIRERERERERYCILLGTASFQSLNVQRILTHVNVKNPTGSISFIGNKISGHEFVLLKEREVVLHWSSYSGNGGVVYSNKVIVIASMQTKAHLITELGHGMEPTLAIHKDKLLIAPYGFDKSVWDPSVDKFLPESYSEEDMKGKSVCKISLQKHLGLTKNASMILVGCMLTEVSDVYLENLKTLVWMASRKGIQFVFMLCSQNPGLRREVESLLLELKDGNVKFIDKYDEPLLHLILAGCDIILCPSLDDPVLQVPLKAIKYGAGLVALNFTDDKFGNFADHDFGRPEVSKYISNSFGNMSLSQAINEIEEDPSQWNRKITDAMAKDFSWDAECCDIHVSAYAAIKNL >CDP02475 pep chromosome:AUK_PRJEB4211_v1:7:4078639:4080975:-1 gene:GSCOC_T00039862001 transcript:CDP02475 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNQLILALALLTTFNLLQPPLTDAITKGRLATVLSIDGGGIRGIIPGTILAFLEAKLQELDGPNARIADYFDVVAGTSTGGLVTTMLTAPNKDSRPLYAAKDITSFYLQNSPQIFPESSRKDVVKSLTNLLGGPKYDGKYLHSLIKRLLGNLTMENTLTDVVIPTFDIKRLQPIIFSTIDAKANASKNALLSDVCISTSAAPTYLPPYYFETKDAQGNTRSFDLIDGGVAANNPTLMAITHISKQILTGQFQLTDLKPMESNRMLVLSLGTGMAKNEEKYTAAAANQWGSLGWVYNKGATPLLDVFGAATSDMVDIHVSTLFQSLGSEKNYLRIQEEGLTGDASSVDVATTENMETLVQIGNSLLQKPVSRVNLETGRSEAVQGEGTNEEALTQFAKLLSDERKLRLAN >CDP01951 pep chromosome:AUK_PRJEB4211_v1:7:7440021:7449912:1 gene:GSCOC_T00037145001 transcript:CDP01951 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPVDVVEIHSSDDEATIVVDAGGHRNLNNSNNNIYKPINDAQLQLRAPKLENPVVLAPPDSNYQPLDTRSFWKAGAYEIGPSKCSYIQGDLEHARVHPKFLHSNATSHKWAFGAIAELLDNAVDEIENGATFVKVDRIYNKKDNSPALLFQDDGGGMNPESIRKCMSLGYSSKTSNTTIGQYGNGFKTSTMRLGADVIVFSRASRSGRATQSIGLLSYTFLRRTGHDDVVVPMIDFDISDHWAEPIIYSSHNDWSANLKTILDWSPFSSKDDLMLQFEDIGSHGTKIIIYNLWLNDEGIYELNFDEDDEDIRLRDEANRGSLLKPTKQVIELQSHISYRIRYSLRAYASILYLRKFMKFKILLRGKAVEQFDIAADLKHPETIFYRPQLAGATKEVSVSTILGFNKEAPSIAVSGFNVYHKNRLIRPFWKVTADGSSKGNGVVGVLEANFIEPAHDKQDFERSSLFLKLEMRLKQMIFDYWKGHCHLIGYQPQRSRLHNVESKSAVSSRSEVAGMQKPMQTGKSMHEQPLVVDLSNESFEEERSMEPPSKVASIDQLCEENIQLFMRCEEYGQKENELKRTIEELEKELAETRMKCTKLSLHLETQRKQKLVEQQMDCT >CDP04654 pep chromosome:AUK_PRJEB4211_v1:7:16737562:16738323:1 gene:GSCOC_T00018687001 transcript:CDP04654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At2g13570 (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G13570) UniProtKB/TrEMBL;Acc:C0SV44] MADERCGIGPNVPIKGSLENQCSLSSSSNTNNDNNNNNSSSSSLSIATVIATYNHHNNNNSNNNNGSSSSCGNNSNNNKEQDRFLPIANVGRIMKKVIPGNGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDIIWAITTLGFEDYVTPLKQYLNKYRELEGEKLNVPKQQQQQQQQQQHHQRLQNHDQNPIMSPYHSVYSSTNLLSQPPFVPSDQPFALPFSQSSIQTQLPQQEHIDSVGHW >CDP16627 pep chromosome:AUK_PRJEB4211_v1:7:83591:86275:-1 gene:GSCOC_T00019078001 transcript:CDP16627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40610) UniProtKB/Swiss-Prot;Acc:Q9SCX9] MARQQLPIEEETPQDAAADNNSVAFHDAGASNKSKVTVVGSGNWGSVAAKLIASNTLKFPSFHDEVRMWVFEETLSNGEKLSEVINQTNENVKYLPGVKLGKNVVADPDLEHAVRDANMLVFVTPHQFMEGICKRLVGKIKKEAEAISLIKGMEVKMEGPCMISTLISEKLGINCCVLMGANIANEIAEEKFSEATVGYRGNKEIADRWVELFNHPYFIVSAVQDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRIGLREMKAFSKLLFSSVNDSTFFESCGVADIITTCLGGRNRKCAEAFARHGGKRTFDELEAEMLQGQKLQGVSTAKEVYEVLRHRGWLELFPLFTTVHEICSGRLPPSAIVETVK >CDP02367 pep chromosome:AUK_PRJEB4211_v1:7:3336816:3338322:-1 gene:GSCOC_T00039729001 transcript:CDP02367 gene_biotype:protein_coding transcript_biotype:protein_coding MPITRLPQQQKNFRKRNPQPHDFHDQGSLLSSLYVTVLTRIIKTIPHDFGHFSSQTDESGFIIVFIWTVNI >CDP16662 pep chromosome:AUK_PRJEB4211_v1:7:282353:287504:-1 gene:GSCOC_T00019122001 transcript:CDP16662 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAPTDHLHYDDSKTVDNRTAEEKAIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSKLGWGPGVTVMVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGVDIVYMVTGGKSLKKFHDLVCSKPCKDIKTTYFIMIFASVHFVLAHLPNFNSISGVSLAAAVMSLSYSTIAWGASVKKGVQPDVEYGYRATTTAGTVFNFFGALGDVAFAYAGHNVVLEIQATIPSKPEKPSKVPMWRGVVVAYIVVALCYFPVAFIGFWMFGNSVEDNVLISLNKPTWLIAMANMFVVVHVIGSYQIYAMPVFDMIETVLVKKLRFKPSWTLRFVSRNIYVAFTMFVGITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRYSLSWITNWICIILGVLLMVIAPIGGLRQIILEAKTYKFYS >CDP01579 pep chromosome:AUK_PRJEB4211_v1:7:10611927:10613001:1 gene:GSCOC_T00036674001 transcript:CDP01579 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGLGPEPRATVLGRIVTRAPEGSGDHPTEIGGDPPRRGIRAILGKSPNVRRSDSRTGINGNVHHLHKVRSLLDKYSRLFYFPFGTGSSISAPSSLVQLGPGKLSASSISNDGNDSWDSIREWLDVRNKGSVLYVALGSEVSLSQTDVTELALGLELSRVPFFCALRKPSGSTESIQVPDGLEERVKGRGIVWKGWAPQLNILSHDSLGGFLTHCGWSSRIEGHVFGHPLVMLPFLVDQGLNARVMEDRKVGTEIPRNEHTRDSVAESGRLIMVENEGKIFREKAKEMSGIFGDRELHDGYIQKFIDYLENNRHNPMAGFCH >CDP02733 pep chromosome:AUK_PRJEB4211_v1:7:6131990:6136667:-1 gene:GSCOC_T00040211001 transcript:CDP02733 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPNYHGDPTDVFDMQLIGNFLSFASRGDRVGLNQMLRNGISPNVQDYDKRTALHLAASEGQASIVELLLAYNAQVNLQDRWQRTPLTDARLYGHRDICRILEVNGGKDSTNDHSMTIRREEDSYEVNIDMSELNLQHSSMIEQGLFGESEKVKWRGTWVVKTIIKGHISHPDGTLLKELRHPNILQFLGSSVHDEEMILITEYLPKGNLNDILADKVRLDAQTALRYALDIARGMNYLHQHKRFPIVHNNLNPRNLLQDEGGHLKIGEYWIQMLYEQIHTNQDSRQNHDSLGSINIARNDPKKDVQSFGFILYQMLDGRHVSNIDTECMLADSEKKFHLSRCPGRIVQLIENCVSDDPSLRPSFEGIIVILEEVSVLLGKAGCPVC >CDP01821 pep chromosome:AUK_PRJEB4211_v1:7:8424264:8425142:-1 gene:GSCOC_T00036991001 transcript:CDP01821 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSTCHIRSISLPCRSHPTTLAVEEELNRFASWEASSTSEAICKDLCRLAELYKCMDDVLNLPLNIQSLSQHQKQKWGEQLLDNSVRILDICGITREIVSQFKENVKDLQSSLRRRKGDSSTETSVTKYSCFRKKMKKDAKRSIAALKQIDHEISASAIMDLDDQHISSVIRVLREVNTTSIAIFKNVLLFLTSQSPKAKPSKWSLVSRLVNKKKVGFEDQQEVENEFESVDSALHSLCRNDQSENEKIQSVQNRLETLEASIEGLENGLEGLFRCLIRSRTSLLNIISC >CDP01465 pep chromosome:AUK_PRJEB4211_v1:7:11801460:11803747:-1 gene:GSCOC_T00036519001 transcript:CDP01465 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSIASPTSVSFTGKLGLSKSSFHGVRIAHICPALAPSASTLRTSSGSSSSASSRVVMMAKKQEELKEIRAKTTEELSEEIVDLKGELFMLRLQRSARNEFKSSEFRRMRKRIARMLTVKRERELEEGINKRLSRKLDKKWKKSIVPRPPPSLKKLQEEEAAAEAKEAKESA >CDP01775 pep chromosome:AUK_PRJEB4211_v1:7:8841747:8848652:-1 gene:GSCOC_T00036933001 transcript:CDP01775 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSVLLLICFLASIFIFSGFAADSLDAYKSITGRKHLNGLNPPRTPGKRSLLSDSKEADTALVAALDGTIFLLELDSMKPLWSFESGSEIYSSYQAPVDEDKENTSGLGSDYYIDLGDDWELYAHNRLGKLKLTKTLEEYISSTPQIAEDGGIVLGSKKTTAFLVDAKTGRLIYTYRTPESSCPKQNNSENTVIHNSTVEGLGLSQSTDLKADELPLYITRTDYALTSFAPNSNKVLWNMTVAEIGAAFLCEEMERSFGRAILNSGFSEPGFNMPLPCQSMALVYRFRNHDMLEPFLRHGGLPEAHSPEIMLPTSIPKPMLPSQPNVDKVLEFLPSQQNVGKSLDSHDISGEEFVLSLPSVTEDGEMRNVQELKISPGGRLSVVLERIGAISSFPFAVTVGIVIYHLVARKFMLVDNPSNTSSGTVPSKRKKSRKSGKSGSSVEKKDIDTHPNGDSANMDDDDDKNMWLNLSQPTFNIEGRRIGKLFVTTKEIAKGSNGTVVLEGIYEGRPVAVKRLVRAHHDVAFKEIQNLIASDRHPNIVRWYGVEQDQDFVYLALERCICSLDDLIHMFSDISGNLSFSKNLDVEDMAKYQIHLDSVKVVIQDPRLWKSNGYPSPILLKLLRDVISGLVHLHELGIIHRDLKPQNVLIIKDRSLCAKLSDMGISKRLTGDMASLGCGSSGWQAPEQLLLGRQTRAVDLFSLGCVLFFCITGGRHPFGNRLERDVNITKNQVDLFLVEHIPEAMDLFSHLLNPNAEMRPKAVEVLAHPLFWSADLRLSFLRDTSDRVELEDRETDSELLKAIEATAPIALGGKWDEKLEPAFLYNIGCYRRYKYDSVRDLLRVMRNKLNHYRELPMEIQEILGSVPEGYDEYFASRFPKLLIEVYRVMSMYCKEEECFIKYFKCSMQ >CDP01805 pep chromosome:AUK_PRJEB4211_v1:7:8608477:8614453:-1 gene:GSCOC_T00036971001 transcript:CDP01805 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSLAAAKSKSFLSRLKTLLTFQNFNHTLTEMCPPPVFERGEIEFSKWRKLDARKMGINQSMIPMSPWIVLKILKNEGFEAYFVGGCVRDLVLNRVPKDFDVITSAALTQVRKKFHRSMIIGRRFPICQVNIKGSVVEVSSFQTGAQHNDGKDGKFSVSQLPKGCDKKDLARWRNCMHRDFTVNSLFFDPFVNKIYDYADALSDLKLSKLRTLIPAKLSFEEDCARILRALRLAARLGLSFSEDTESAIHKLSSSILSLAKSRILMELNYMLSYGAAEPSLSLLRRFHLLEILLPLQEAHLTQQAHISGQRPSMLMKLFSNLDKLVSCDRPADSSLWVAILAFHLALISNPQHPLVVLTVASVLFHGKWEEGVKFARQHAQEVQIYIPEIASCSDSISDDELADKVSELAVQVQNSVCFLSEAEILLKEMAKFPAFECPGLVSTFGLFSILVS >CDP01959 pep chromosome:AUK_PRJEB4211_v1:7:7381924:7386636:-1 gene:GSCOC_T00037154001 transcript:CDP01959 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWRAADRLLKFSSRFASAVNWNNSCKSKQEKYFYSVATTVHRNPLFSSISPDDISYFKTILGERGVVQDALALDAANTDWMGKYRGSSKLLLQPKNTEEVSQILKYCNSRCLAVVPQGGNTGLVGGSVPVFDEVIINVGLMRNILSFDKVSGVLVCEAGCILENLIFFLDDEGFIMPLDLGAKGSCQIGGNVSTNAGGLRLLRYGSLHGSVLGLEAVLANGTVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNIAFLACEDYTSCQKLLLEAKKKLGGILSAFEFLDSDAMSLVSSTTFLGTENHGQEVIKDKHGQDFTSIDLRALPLEFWYSYASGSIFM >CDP01596 pep chromosome:AUK_PRJEB4211_v1:7:10365442:10372928:-1 gene:GSCOC_T00036697001 transcript:CDP01596 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPETQYPVQVIGWAAHDSSGHLSPFKFSRRATGEHDVQFRVLYCGICHSDLSMAKNEWGWTVYPNVPGHEIVGVVTEVGNKVEKFKVGDKVGVGALLASCRNCDMCAQDLEVYCARKIFSTGAIDTDGNPTHGGFCDLMVADEHYVIRWPENLPMDAGAPLLCAGISTYSPLRYFGLDKPGIHVGIVGLGGLGHLAVKIAKAFGAKVTVISTSASKKQEAIQKLGADAFLVSSDPEQMQKPQGRFLILSQMQNLRAQAKGSEKGGQSFFTFGEEMAKSPETEHPVEVFGWAARDSSGHLSPFKFSRRANGERDVQFKVLYCGICHSDLHSIKNDWGFTLYPTVPGHEIVGVVTKVGSKVEKVKVGDKVGMGALGASCRNCEMCCQDLEAYCSEKVFTHGSIDKHGEPTQGGFCDLMVADEHFLFCWPENLPMDAGAPLLCAGITTYSSMRYFGLDKPGIHVGIVGLGGLGHLAVKFAKAFGAKVTVISTSASKRQEAITKLGADAFLVSSNPEQMQAAASTMDGILDTVSANHPIVHLINLVKPLGKFILLGLPEKPPELPIFPIIMGKYIMVLFSLFS >CDP02822 pep chromosome:AUK_PRJEB4211_v1:7:6793984:6800104:1 gene:GSCOC_T00040324001 transcript:CDP02822 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQDATAYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNSHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSVDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFISYDSFEASDAAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPNVQKSRPHTMFASGPPTLQNVAQASANIAAPVPPRPFANGNVPPTSIPPFRPPPPQASMFPPMPLPPPQSWQGQQPPGQTALPPPPMQQFRSMPPPPPQIAPALPRPPPPPVGMVTAPNVWRPPPPPQQLGGGPPSMPPHLPPPPPGNFPPPPPPS >CDP17957 pep chromosome:AUK_PRJEB4211_v1:7:24157732:24160266:1 gene:GSCOC_T00007211001 transcript:CDP17957 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIFSIGIYGMGGVGKTTLATHVHNNLLKEAKFSGHVCWITVSQEANIHKLQKDIAKFLPVDLSCEDNDRKRAAQLFQALKRRRNFVLILDDVWTHFDLENVGIPLRVDGSKLIITSRSSDVCCAMGCQKEVKVKPLCFQEAWTLFLEKLGCCIPLPPDIEEIAKSMVKNCAGLPLGIITVAGSMKGTDGIHEWRDALEELEDPVARQDCEVLKILHYSYSRLCDQRLKDCFLYCSLYPEDCEIPRDELIASFIRERLMDKRRTRQAEFDQGHALLNKLENACLLEGVVKIKEDDTEAKYVKMHDLMRDMALKITKTKPKYLVKAGIWLRDVPDKSECKEDLDNVSLRFNVVSSIPLGISPNCPKLSTLSLWGNELRSIPCSFFAHFGALQVLDLSCNRSLEELPNCISELERLTALLELDLSDTKISDVPEGLERLVNLKCLNMVQTNLEMISEGIISKLSCLQSLGIPRQVSVQVEELESLKQLEEFIGGFPKANSFCRYVRSRQRFNRPSFYVIQVGSGLLKGLSGHFQQMASKRVVFSFTNVNPGGKKRANILPDDIQELEICACQGLGSCLNDTFAEFNTQTRGLTHCLIEGSCEIRSLLKLSSSEDQFVIKGQNSACAPLQNLKHLRLICLSNFNGLFEWDSVANAITPPSTFSCLRSLFIDRCGKLKKLFTPRLLQSVQSLEVLKVWGCNELEEIVSNDEEGHFSFTSSNKDSCSRATLSCLPNLKKLAVLGNPKLRNICKGLLICNSIERIEVISCRNLESLPPFLPSINGQPSAPPALKVIQISLHGWESLKWDYPFMKKILQPFVRYGEFRE >CDP02658 pep chromosome:AUK_PRJEB4211_v1:7:5593908:5595621:-1 gene:GSCOC_T00040114001 transcript:CDP02658 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFQQEQPMPPFIQLNMPSWIPLLPGQHLPGASLPALYQPVPPPAGTTGITGGGPGYGATLRSQQQSPSYCYHVGYPYPGFPGPWAPSSWLSLPQHLLPPHSSAFPGHCPYFSPIQPPIPGSSSASTTSNQGSTMRPTTKLSLIHQQLWEAQSLENVHLRKSVGELQSELADCKGRLTKLEEDVLSLKPVVKEGTTTATGVSSGAKTSKRGRPEKLVTPDGRVPSTDTSCPRIWNRKSGLLETLCQTKKLHYEKVILKKVENEPSVRYTNDGKVNIPFINSSGSLEIPCSSGLCDTISDHHSAVLISKASIKPELKNYDTGGSASFTNSSQNVYRTGESNSSGNFVEMTSNANLLWTSTTSPEECGRDLLDFTAQISHNNMNILEQESKAVVGWNFGNKEDGSVVQTVEAELVDDENTRTGE >CDP01546 pep chromosome:AUK_PRJEB4211_v1:7:10938893:10943125:-1 gene:GSCOC_T00036633001 transcript:CDP01546 gene_biotype:protein_coding transcript_biotype:protein_coding MELCTARSISNHPYCRLFTAGASPIGRFRKLNPKFPASRISPSHFNPSGLRYCTNLSLRSSLSDEISTGASKYTKDGPDGVVTLEESRSVEKNVYGEKFPNEAPKEDSSEEKQVQAFEYGGKFPNEEPKQDSPEENQVLAFEFLEKLNIKFDSDDKYPILLFGGGALVAVYIATAVVGAIDSIPLVPKLMQVVGLSYTVWFGTRYLLFKKSREELAAKIEDIKQQVLGSDDD >CDP02156 pep chromosome:AUK_PRJEB4211_v1:7:1771848:1772844:1 gene:GSCOC_T00039461001 transcript:CDP02156 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTEAFPDLGRHCQHSDCHQLDFLPFKCGACHKVFCSEHRSYKAHDCPKSEHNSRKVVVCEICSTSIETTGYDGVDEKSALKKHEKSGDCDPKKKKKPTCPVRRCKEVLTFSNTSTCKHCQIKVCLKHRFPADHACSKHMISSFSTLAKDGKEANSKFLLALVSRNGKDCGNKTRGSTSPPAPSVKAH >CDP02214 pep chromosome:AUK_PRJEB4211_v1:7:2167710:2171253:-1 gene:GSCOC_T00039537001 transcript:CDP02214 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAIGDLHVPYRAPDLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKNLCPSLHITRGEYDEDAQYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTGTATSHSAH >CDP16713 pep chromosome:AUK_PRJEB4211_v1:7:625820:628188:1 gene:GSCOC_T00019186001 transcript:CDP16713 gene_biotype:protein_coding transcript_biotype:protein_coding MINSLCGSMGSLKNDSCATKLRPSSPNEPAVSESRKAPTPPSSEYDHASGTSLVPPSLKFPALKLQPDQDVEIQSPDRCLWEFFADQLEGDFMISSPVRNVPSSSPAPQAASGYQNTTTHDIINNNSSSYNFSHAQAQAMHGLSLMVCSPSPPRMSSPLGPYNRDKGKGLSPLHRVLNSPNHEYMQVESLSLPALEFLDDLDKDDDDFGGSYSNSCKGPDIAGISSSDCFDLSSVPELLECLTMPNPAASSRFCGSMAETEDNDTSTTTSNIYQLSSSGESAPLLQQLQQERQQEKQQQLGSISHRPNHQPPPPVEMNLNSSLMVQPLSIGPEQEQDSGLRLVHLLLACAEAVAKEEYMLARRYLHHLNRVVTPLGDSMQRVASCFAEALGARLAATLTAKPATAASRPFNPFPPNSLEILKIYQILYQACPYIKFAHFTANQAIFEAFEAEERVHVIDLDILQGYQWPAFMQALAARPGGAPFLRITGVGPYPEAVRETGRCLTELAHSLHIPFEFHPVGEQLEDLKPHMLNRRVGEALAVNSVNRLHWVPGNSLGNLLGMIRDQAPNIVTIVEQEASHNGPYFLGRFLEALHYYSAIFDSLDATFPAESAQRAKVEQYIFAPEIRNIVAEEGRERVRRHERLEKWRKIMEGKGYKGVGLSTNAVTQSKILLGLYSCEGYRLTEDKGCLLLGWQDRAIVAASAWRC >CDP02332 pep chromosome:AUK_PRJEB4211_v1:7:3033943:3037859:1 gene:GSCOC_T00039693001 transcript:CDP02332 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVPTSEEDPALSVVRFTAELSWADAGPEVSEAQVNSLCMEAQECMIEGRWLDLASLMLTSADLIFSKASEKDLECVFTVICNLVKKAENFDQVHKMADIISSKVTQQPNVKPALRVKILFNLYNLLENPASCFFVYMKALSVAVSGKVTEHVIPSFKNIDSFLREWNLGVKDQRELFLTISNALKENKSSAKDSFKFLTRYLATFSDEDAYALSEAKEEAVRAIVDFVKAPDMFQCDLLDMPAVAQLEKDAKHALVYQLLKIFLTQRLDAYLDFSTANSTLLKSYGLVHEDCIAKMRLLSLVDLASNESGQVPYSLIRDTLKIEGDEVESWVVKAITAKLIDCKIDQMNEIVIVRRGTERVFGPHQWEILRAKLVNWRTNIANVITSIQANKISEDSTQEGLMIR >CDP12897 pep chromosome:AUK_PRJEB4211_v1:7:13234970:13238640:1 gene:GSCOC_T00037581001 transcript:CDP12897 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPSSKGGGGAGEGFDVTKSGDSRVGLVGFPSVGKSTLLNKLTGTFSEASVTLISLP >CDP01413 pep chromosome:AUK_PRJEB4211_v1:7:12392228:12394840:1 gene:GSCOC_T00036455001 transcript:CDP01413 gene_biotype:protein_coding transcript_biotype:protein_coding MTINGRSPGPTINAQQGDTIIVELKNSLLLENVAIHWHGIRQHGTPWSDGTEGVTQCPILPGDTFTYKFIVDRPGTYLYHAHYGMQREAGLYGMIKVSLPAGESEPFSYDYDRSIILNDWYHKSAYEQMTGLNSIPFGWVGEPQSILIQGRGKFNCSTPGIEADLCNATNPECSPFSLTVVPGKTYRLRIGSLTGLSALSFEIEGHNMTVVEADGNNVEPFVVQNLFIYSGETYSVLIKADQDPSRNYWASAHIVSRNSNTTNGLGIINYYPNHPRRNPPTSPPVGPRWNDTAPRIAQSQAIKALKGYIHTPPQTTDRVIVMLNTQNRIDGHVRWSVNNVSFGLPETPYLIALKQNFLHAFEQTPPPEGYDAANYDIYSVAKNVNATTSNSIYRLKFNSTVDVILQNANTMTVNNSETHPWHLHGHDFWVLGYGTGKFNISTDPKKYNLVNPIMKNTVPVHPYGWTALRFRADNPGVWLFHCHIESHFFMGMGVVFEEGVEKVGRLPTSIMGCGETKRFYKP >CDP02388 pep chromosome:AUK_PRJEB4211_v1:7:3465323:3469390:-1 gene:GSCOC_T00039754001 transcript:CDP02388 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYMIAVPSGRSKSPNGLILLILTSFLLVSTTLFCLYENPVIVDRSSPPASENLAGNSTRLVRQCDLFSGNWVPVSKGPYYTNATKCVIDDRQNCMKFGRPDTEFLKWRWKPNECELPSFDATQFLELVRGKSMAFVGDSLARNQMQSLVCLLASVADPVDVSYGEDTRFRRWFYAEYNFTVAAFWSTYLVRSEEADPKGYSLTSLMKLYLDEVDESWAAHVQNFRYIIISAGQWFLRPLLYYEKGKIVGCYICNKKNVTSLTRYYGYKMVFRTSFRTLLNLDGFKGITFLRTFSPQHYENGEWNKGGNCMRRRPIGKQGMGMEEYILEFYWTQLAELTAAKREGRNRGLKFKPLDATRLMMLRPDGHPSHYGHGPRENVTIADCVHWCLPGPIDTWNEALLQIGKRTCPDVSSKRLSLLILSFLLLSTPFFCLYNYSFSPWRRDMELVYRRSSLPSSRAFGLVNQCDMFSGDWVPQVKAPYYTNETKCQIDDRQNCIKFGRPDTEFMKWRWKPSQCELPPFNATLFLELVRGKSLAFIGDSLARNQMQSLVCLLASAADPVDNSYTADPRFRLWFYAEYNFTLAIFWSVHLVKSEDADANIYPLTSQTLYLDEVDEIWANHIEKFNYVIISAGQWFLRPLVYYRKGKLIGCYACNKRKITGLSMYYGYQMAFRTSFRTLLNLENFKGTTFLRTISPQHYENGGWNTGGTCVRTRPIAKDEIRFEEYFLKLYSTQVAELIAADGKGKKRGLKFRILDPTDMMAERADGHPNHYAHGPGANFTNADCVHWCLPGPIDAWNEILLQVLQSEYNATSLMGKSTLPNQTNS >CDP02340 pep chromosome:AUK_PRJEB4211_v1:7:3155317:3163129:1 gene:GSCOC_T00039701001 transcript:CDP02340 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKISRQVTFSKRRSGLLKKANEISVLCDAEVALIVFSTKGKLFEYSTESSMERILERYERYSYAEKRLSGSGPGQQDNWSLEHPKLVSRIELLQRNIKHYVGEDLDSLSLRELQTLEQQLDAALRRIRTRKNQVMHESIAELRKKEKTLQEQNGLLAKQIKENDKIVMERLHLIQRSSALVLSEPAPGSVPVPSLAAGYNYNLRDSLSIFCANIHHVYC >CDP04591 pep chromosome:AUK_PRJEB4211_v1:7:18201852:18202405:1 gene:GSCOC_T00018576001 transcript:CDP04591 gene_biotype:protein_coding transcript_biotype:protein_coding MPMIKQLPNTAGKLSQNACSLDELPAGFMGKILFYRSGAVKLKLDDNLCDVSVGLDCAFAQDVVAVNIEERHCCTLGELNKRVLITPNMGSMLDGMANL >CDP02642 pep chromosome:AUK_PRJEB4211_v1:7:5476897:5479305:1 gene:GSCOC_T00040096001 transcript:CDP02642 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVLHVGLDTCSVVSNLLKDDDTEAWGVEPYDLEDPSGSCKSLVHRGIVRVADIKFSLPYRENSFSLVIVSDAVDYLSPKYLNKTLSDLARVSSDGLVIFTGFPGHSRANVAELSKFGRPAKMRSSSWWGRFFVQTSLMENEAAVKKFEQVATESTYTPRCQVFHLKSFR >CDP02784 pep chromosome:AUK_PRJEB4211_v1:7:6519600:6520114:1 gene:GSCOC_T00040281001 transcript:CDP02784 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNLPTDTPPTMQTTSDKHFFLPPFLMDGSLLSVPATTSIIIFILSERYNQFTICRLRAQPTAPC >CDP02487 pep chromosome:AUK_PRJEB4211_v1:7:4224175:4227877:-1 gene:GSCOC_T00039880001 transcript:CDP02487 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEHLKDCLRTLYVIFAFCSALCLGGLKSLLVGPIAGLILILGNVGVILGLFPAHILWTAYTIFKTNRFDAPLKVAFFLALPALFGIWLGLSIAGTVLVGVGYGFFTPWVSAFEAFRHDDESKKFFHCVVDGTWGTIKGSCTVVRDFADMCYHSYPLFLKELRESPSSEGLEALRLIHVPACILAGIVGLIVEIPLYVAIAIVKSPYMLFKGWQRLIHDLISREGPFLETACIPIAGLTILMWPLVVIGSIIMAVFSSFFIGLYASVVVYQERSFKRGIAYAIAMVAEFDEYTNDLLYLREGSILPKPRYRKKKISNSTEFSIRQRSSVQRKFGTDFTQAPAVIVPSLSSSRSVREAIHEVKMVQVWGNMMRSCELRGKELLDANVITPADLYDSLKAKNGNEAPIVDIGLPCYSFLQSILYSIKAGSAGLLLLDDLEITYLNRPQDRLLDWFFQPVMVLKEQIRVIHLEESETRFLEKVLLFGSNTERLKAWENGSLIPQEALRAAQIEGISRRMVGMLRSVSKFPTYRRKFRRIVKALIIYSITKEGSNKPTTSYPLTKDGSMRSASVRSVASVEIV >CDP02756 pep chromosome:AUK_PRJEB4211_v1:7:6289962:6292081:1 gene:GSCOC_T00040242001 transcript:CDP02756 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGVLILVGSKSGPGTPVVLAIVGAVLAGVGLGWLIFWCRQRRRRLAAAKAAESYQTQSKDNSTTAASKAPTSVPSTAFTKSIPSYPSSKTEFGKESSYFGVQVFSYSELEEATDGFSQSRELGDGGFGAVYYGVLTDGRVVAVKRLYENNFKRVEQFINEVEILTRLRHPNLVTLFGCTSKRSRELLLVYEYIPNGTVADHLHGKRASSGLLSWPVRLNIAIETADALAYLHKSDIIHRDVKTNNILLDNDFHVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYYQCYQLTEKSDVYSFGVVLIELISSLQAVDTNRHRHDINLANMAVNKIQNHTLHELVDSSLEFGTNNPMRRMTTLVAELAFRCLQQERDMRPSMQEVVDALRGIQNEGLNTAKVEVVHILVDEVAPLKDNALPTSPDSVVPDKWLSSSTPNSSG >CDP12779 pep chromosome:AUK_PRJEB4211_v1:7:14607493:14612505:-1 gene:GSCOC_T00037428001 transcript:CDP12779 gene_biotype:protein_coding transcript_biotype:protein_coding METDEIEEKHSSDSNELGRIQDYVLKSLLSEGSRGSVSRVWKARHRESGQIVALKQIQLSKLTRSLKNCLDCEVNFLSSVNHPNIIRLLGVFEAEGSIFLILEFCAGGNLGAYVRNHGRVQECIAKRFMQQIAAGLEVLHSHLIIHRDLKPENILLSSSDGDALLKIADFGLSRILHPDTFAETVCGSPLYMAPEILQFQKYDEKVDMWSIGAILFELLNGYPPFHGRTSVQLLQNIKESSYLPFSQLVLSELHPTCVDLCSRLLSVNPETRMSFDEFHEHDFLEIQKVER >CDP02187 pep chromosome:AUK_PRJEB4211_v1:7:1989998:1992634:1 gene:GSCOC_T00039505001 transcript:CDP02187 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGVVAGKRPKTISRFEEQGPRNMSLTFPRVLHAPGLLYRSLTCTNHTGYLLFLPRTRRFCAITISSVSKSSEELMVVVGGGAAGIYGAIRAKTLAPNLKVVVVEKGKALSKVKISGGGRCNVTNGNCVDNMILAEHYPRGHKELRGSFFKMHSPMDTMTWFSDHGVELKTEDDGRVFPVSNSSSSVIDCLLSEAKSRGVILLTGKVVTSASSIARGKFTVRVEKQTADIVEYVEAEYLLIASGSSRQGYSLAAQLGHTIVEPVPSLFTFKISDVQLAELSGVTFTKVKAKLKLDTMQKNVPQLTQASSCGYFYL >CDP02567 pep chromosome:AUK_PRJEB4211_v1:7:4917768:4919342:-1 gene:GSCOC_T00039993001 transcript:CDP02567 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEDAHNVKVLGSGEKTVVLGHGFGTDQSLWKHLVPHLVDEYRVVLYDNMGAGTTNPDYFDFERYASLEGYAYDLLAILEELQIQSCIFVGHSLSSMTGAIASIFRPDLFEKLIMIAASPRFINTDDYFGGFEKEDVDQLCNAIEANYKSWCSGFAPLVVGGDMDSVAVQEFSRTLFNMRPDIALSVFRTIFTFDLRHFLCRVTVPSHIVQSTKDLAVPLAVSEYLHQNLGGQSLVEVISTEGHLPQLSSPDITIPVILRHIRHDIGNE >CDP12837 pep chromosome:AUK_PRJEB4211_v1:7:13958471:13958935:1 gene:GSCOC_T00037505001 transcript:CDP12837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Egg cell-secreted protein 1.1 [Source:Projected from Arabidopsis thaliana (AT1G76750) UniProtKB/Swiss-Prot;Acc:Q9SRD8] MSYSSKVLLLALMACSISITPSMFMARPINSKSSTLMGRLKLEDEEGDNSLLATCWESLFELRSCTGEVILFFLNGETYLGPSCCRAIRTIEHHCWPSMLGSLGYTAEEGDILRGYCDAADEHDDSGGYGYPQPPSPPHLVNSTTNSSSHVLTP >CDP16017 pep chromosome:AUK_PRJEB4211_v1:7:21830358:21881449:-1 gene:GSCOC_T00016992001 transcript:CDP16017 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTVYEVMKLMMSVPSKTKKVKERSENNSWTQKERTMGTESIEDDEQLRRMKLEVALEVKSLRRIISAYLNYPEAAEEAVKRNERSFERLPPAHKALLCHLPSKFQKLRWCIPKNSFFIFEMLKMFEPPLDMSQDIDICEHELQSDVSDSHLLPGQRNLGPSELPSEDGRVPLLKTDGPNLGDVICRFPKEMRNEQSTETNAGSCGIGLEYKGDEGCPNNEATCSALGCTGNVMSSPPDWLDPSLQLHVPLVDVDKVRCIIRNIVRDWASEGQKERDQCYKPILEELQNLFPHRSKESPPTCLVPGAGLGRLALEISCLGFICQGNEFSYYMMICSSFILNHAQTAEEWTIYPWIHSNCNSLSDNDQLRPVAIPDIHPATAGTTEGFSMCGGDFVEVYSDPNQVGAWDAVVTCFFLDTAHNIVQYIDIISRILKDGGVWINLGPLLYHFADMYGQEDEMSVELSLEDVKKVALHYGFEFEQSSTIETTYTTNPRSMMQNQYHAAFWSMRKRMKNV >CDP02101 pep chromosome:AUK_PRJEB4211_v1:7:1413362:1416954:1 gene:GSCOC_T00039386001 transcript:CDP02101 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVVAVTKRTEISLAETRMTLGTGLEGTEKLGHGVALGLGSSDGKIGPANGSASVLAKSGIIEDLNADNGGNAIVKMDGETGAGDDADSIDGHGYSVGDLVWGKIKSHPWWPGQIYDPKHASDYALKFSHTGRLLVAYFGDGSFAWCQPSQLIPFAEHFEDMCKQSNSKSFVTAVQEAVDEIGRLVELEMICKCVPEENRKGLHSPLAANAGIKAGVLVPEGGIGKLLSFRYDSAELLATIQSIAESVSFAGVLELAILKSWLSAFYRARGGYWLPVYYEGLQIEGLEGNNRTAVEDKNDSIVPIEVPVQGPHEKDWSLALVGPGNGPAPSDDQNHHGRKQKSVAEIMAEGTDKKSKSRKRSFVTQGTNASSSAKQKRKDDEDGNQNGSVQSSGTVRKRSRKKISSAENGHVQPQEEIHKNSLSSKLNEDEIAVADDNDGEGAKGTEEISSPRERKKSKYLSPPYTNSRFRSGNPIFKNELQKESEKISKIARMGERMTKAAGILLEPPPLVKCNAQTVEEKLPLNGKQGQQKIIDSADVNAPVKEVLAGIKSGAVNHLHSSDGEFPDFIRGFISAFRSSVRSNQSNYTPKRLPGRKRKSVSSEQGDLGNLDVKSAEAKYPRTIDKRSARDKSDKPKLKKNARPKDRQVDGKSPPESLVVTFAPGFSLPSKDDVIRIFSKFGVLNEKETVVFPESASVQIAYSSPGGAEEALRESLKQSPFGSRSVNYKVRHSSASSMAVESSHNTSSDNPVASWPAAGEKSQLVSIRQKLEIMTSMLEKCDGKISTEEVYHLDAEIKPLLEKVRKMAEDVSQ >CDP12934 pep chromosome:AUK_PRJEB4211_v1:7:12853536:12855700:1 gene:GSCOC_T00037634001 transcript:CDP12934 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSIHWLWASLALAALCHFLQDLFLMKKRRGLPPGPKGLPVIGSLHLLGKNPHQDLAKLAKKHGPIMYMRFGYVPTIIVSSPEAAEKFLKTYDQVFAGRPYHEASWYIAYEQRNLTFGQYGPYWQNMCKLCILQLLSSHKINSFRPMRRQEVGTLVKSLKQAASDGAAVDLSALISSLGTNMSCLMIFGQKYMDKDFDDRERVPSLQDRQKRRGLCTLHVGSMPNIGDYFPLLGVLDLQGLTCQFKVLAKVFHDFFDKIIDEHLECKEQKQTKDFVDIMMEIIQSGTSEFEFDRRHVKAVLFNSSVGLTFMGADHFFVAAILELLRHPAAMRKLQKELEEKVGLERIVEESDLEGLEYLDMLVKESMRLHPVGPLMLPHEFKDSDIDLRGQDFRLTPFGSGRRICPGL >CDP04690 pep chromosome:AUK_PRJEB4211_v1:7:15867956:15874536:1 gene:GSCOC_T00018749001 transcript:CDP04690 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPRGLPFSVDTFSPNSKRKRHHFLTHAHKDHCQGILTHASYPIYSTVLTKALVLQYYPQLDDGVFVGIEVGKTLVIDDPDGDFAVTAFDANHCPGAVMFLFEGRFGNILHTGDCRLTPECLQSLPEKFLGKKGKEPMCQLDYVFLDCTFGRFSLKMPSRQSAIQQVINCVWKHPDAPRVYLTCDLLGQEEILVYISQTFGCKIYVDKVQNPGCYQALELTVPEILSQDSSSRFQIFDGFPKLCERAEAKIAEARANFQHEPLVLRPSAQWYACEEGYSEAENRRKRIHDQAVRDISGVWHVCYSIHSSREELDWALQLLAPKWVVSTTPSCRAIELDYVKRHCFNARTASDNSFSKLLNITLEDSSAPETTPSLGCLPIIEIPSKDDLETQPQSMLASTSHRRLLNLSPPSKRPSVTLFGKPRAGIQDSIFKHEKETVTEDDSSQKTSEDTSLRQVEVVEVECRKSFEESREEEDRKISESTQRRKRASDSPIGSSKSFSQSLRNFYRSMNVSVPQPLPSLVELMHANKCSRRRF >CDP08394 pep chromosome:AUK_PRJEB4211_v1:7:26512757:26515380:1 gene:GSCOC_T00027221001 transcript:CDP08394 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEATGHIVTVELKSGELYRGSMIECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLEARIKGKGSALGVGRGRAVAMRARAQAAGRGAPPGRGVVPPVRR >CDP16645 pep chromosome:AUK_PRJEB4211_v1:7:174491:176075:-1 gene:GSCOC_T00019101001 transcript:CDP16645 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLSGRRGLVGSPSNLDPDTLCRQKKKKEKKDNVACNICICHLSLTDDLCLQLFQKLEEKFNSKELQKVQLQTKLKEKAESELRKLRQSFCFKARPLPEFYKERETQNSQTRKALYLQATSSDSEPQNPNPVTQSQSPKLGRKLSSRTMQGTMPVSLPPPATSLNKNGGISKHVSGKNCRTATRSPASRPEIINHENASPNIPYWRISGRA >CDP01788 pep chromosome:AUK_PRJEB4211_v1:7:8744268:8757592:-1 gene:GSCOC_T00036947001 transcript:CDP01788 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKFVEKASFVKKPGGTDGLKPEDVDPRLIFHYGIPSGANLFAYNNPKKILAISVRDGQIKLFGKNGSQTLLESPEVLPSKFLQFIENQQILVNINPNNHIEAIVLLLSGKALRDYQVWDLEKRCLSYVHDIKKEITSCTSMLHAPYMYFGDSAGNVSVFKVNQEPTIIEQMKYHIPLSASHGNSGQVPADIAVIRILPQPTAESKRVLIIYTDGFMTLWDIQDSKAIFTAGGTTLQATSHETKKVTAATWACPFGSKVVVGYSNGEIFMWSIPAPLHSKVEQTKEKDPYAQNGPVIKLNLGYKLDKIPIAKLRWDYADGKASRLYVIGSSDYPSANLLQVVLLNDTIESRTIKLGLHTHESPIDLEIVSSFNPQCKQKNDSLLLLGKSGHIYTYDDYLIERYLLQCQSKSSPSLPKEIKVKLPFADPSITVARFVQDNPHLLYLKDQDYNSLAKDILPLFPFETTQKDGTSSNSTQPRGLSKAKNLYITGHDDGAIRIWDVSCPLMRPILSVTQQSEEDTSLSGVPLTALYCTSDLQIFVSGDQGGLIRMYKFKPEIFAPETSFLSLQGVSKKGSVIQSIKLLQVNGAVLFINSIQNAKYLAVGTDQGYVCLINLEGPTLLYERHFASELSTGIISLQSVTCSLHGFEKNVLVAATKDSSVVALDTESGNTLNTNMIRPKKPSRALYMQILDGLEVSSRCPNTSERTETIKGNSDSPQSKQQVVVVCSEKAVYVYSLVHILQGIKKVHNKKKFHSSSCCWASILESPGSGLILLFSSGKIEIRSLPELSLLKETSVRGLRPSIPKQNSISNTSVCFSVNGDMILVEGDQEAFFISVSLQKDIYRFLDDASQVHSHHLMVAQESSHIIHKEKRKGLFGSVIKDIKGTKAKSETDVEVEDAKESIEALSTIFSVANFPEEVDSEEKSAGKDDTDLDIDDIDIEDPGEKQKGYGVMAALNKQNLADTFQTFKGRFKHMKVKTDKKSTNEVMQDEKGDTVDQIKKKYGYTSTGEPCVATVAKTKLTENLKKLQGISLKSSEMQDTARSFSSMAKEVLRFTENDKRS >CDP04619 pep chromosome:AUK_PRJEB4211_v1:7:17364388:17367276:1 gene:GSCOC_T00018629001 transcript:CDP04619 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKINRRANKVHFVVYLMQNLLSQEILSFDLGNFIPCRCMKLFIGYDAVLVHQLSKGLTQRIPFKLHGLPVSTAFHPTRSIFFISTKKNVRIYDLLKQRLIKKLETGVREVSSIAIHPGGDNVIVGSKDGKLCWFDMDLSSQPYRVLNCHPKDITRVAFHRSYPLFASSSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHASVNGRGVLDCKFHPRQPWLFTAGADSVIKLYCH >CDP04573 pep chromosome:AUK_PRJEB4211_v1:7:18877318:18878884:-1 gene:GSCOC_T00018534001 transcript:CDP04573 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPGGVKIKMKAKQIEVEGPRRKLTRNFKHLNLDFQLITDEVTGKRKLKVDTCHVENLITGITKGYCYKMRFVYAHFPINASITNSNRSIEIRNFLGEKKVRKVDILEEVTVIRSEKVKDELVLDSNDIELVSRSAALINQVRNKDIRKFLDGIYVSEKGQIAEEE >CDP02486 pep chromosome:AUK_PRJEB4211_v1:7:4215637:4218648:1 gene:GSCOC_T00039878001 transcript:CDP02486 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIIVARMSKDKGIGEEVAETDVETTRAVPAVSATAGEGRKRKVGCGGELSYATSLVQLRSHRRLRVDDNVMLDDLTGNAAATGKLDDPCSSCCSSNGSSELDYDTSESVDPKVEEEEEGTVEVTTSTYELENCCRERRGTAPWAEVQAESGELESTTASRLRSMSSEENSSRCRTSTEEKNMPSKDELEEFFSAAEKNLQQKFAQKYNFDIVKEEPLQGRYEWVRVNP >CDP04683 pep chromosome:AUK_PRJEB4211_v1:7:15928825:15934774:-1 gene:GSCOC_T00018737001 transcript:CDP04683 gene_biotype:protein_coding transcript_biotype:protein_coding MISEGDQNSIFNTIKASFTRPSWAILLLIFAVLTLFTLQITNKSVFPIPPLFPATIENSGSGSGFGGPKSCSGFFAEVPPRKVVKSIVEFGGVGDGTTSNTAAFRAAVAYMESFTDRGGAQLNVPRGRWLTGNFNLTSNLTLFLEEGAVILGSEDPEDWPIIEPLPSYGRGRERLGGRHISLIHGNNLTNVVITGQNGTIDGQGKMWWDLWWNRTLEHTRGHLVELIDSHNILISNLTFRNSPFWTIHPVYCSNVLIKNMTILAPLKAPNTDGIDPDSSMNVCIEDCYIESGDDLVAVKSGWDQYGITMARPSSNIIIRRVSGTTPTCSGVGIGSEMSGGISNVIVDDLHVRDSAAGIRIKTDKGRGGYIENITINNIKMERVKIPLRFSRGANDHPDEGWDPKALPQVKGIVVSNVVSLDTKKAPLLEGIEGSPVEGFCMKNISIVGLAPSMAWSCEFVSGYSCDVWPKPCQQLQKNDSIPWCSCT >CDP02249 pep chromosome:AUK_PRJEB4211_v1:7:2458583:2462335:1 gene:GSCOC_T00039592001 transcript:CDP02249 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTLFSSQLNKPPAKQVRLQSLSQVSVFCYFTTCVESPELSLSPSLIQTNESNEGLPSINFRSIARSVISKSTNIWDSNKNKGEPSVNLSLKDYFLRLSNISPESIRRFWRVSALRPEDVLDILLGFESDSGIFDIEHKKIESLWGVYKWAGEQTSNFQHLPQSCKIMAKMLVRVGWFSEAECLLSRLDSEAIFLGYHEIFSHLIEGYLADCDLERALLNYDRMRRLGLSPSFSCYRSLLDSLVQINETHLAYEAYVDMIKVWMERSAGEKRICENVARLLCIEGRVQEARNLVNSILAFGIEPTNAVLDAIVNGYCEKKDYEDILSFLIETRGVPDVAVGNKVICSLSRSFGAERANEFMQELEQLGFSPNEITFGILIGQTSFEGSVKNAFIFLSEMLSRNLKPDVNTCNALMSALFMEGLWKQSLDVLVEMNDWGVIPKLSTFRVLLSGLLKARQFGQVKAIVGEMAGRGLIRLSLPEDHLSMALTSLGINSLAIKVRRDNDMQFSKTEFFDDLGNGLYLETDLHEFDKIMVNVLHDAMIPDFNSLVLKNCMDGDIKVAVKMVDEMSQWGQVLSTSSASILIKRLSGSHINIKTINSVLEKLPYLIYQLDQGALNKLVQKYSRRGCTCRAKLIFDNMIRMKLEIENETYSALLISLCKRANLRSFQLCWEVAHNSIWLPALKDGKDLLNCLCQPKLLKEAVELLEAILMGFRCKPLDACNVLIEKLCFKGFTNIADVLAKELLERGLVLDDVVYNHLLSGFCREKRLAEASLLVDAMVAKKFDPCLDVSLQLIPQLCKAGNLEKAVLLKDICIKKQSSAQLSVYHALIDGLCKAGRLVEAFHLLEEMSLKRQLLDKEVYNMLLQGYYQVNDLKKVGELLGVMIRKKVGMSTSTYCNLVQLACAAGKFSSALSLKELMLKENSLSQIATYNILLFHLSLVQNTTRVVDTIVDGIQSKGLQFDAVTYNSIVKGASYNNDVPLSLRYLETMITQGFRPSNRALRNVMCILCCLGELGKALQLSQEMELRGWIHGSVIQLNIVEAFLRTGNLREAVKFLDRMALKGLIPKSVNYDYIIKRLCQHGELEKASDLLNIMIKNGSILDSTSFDYLVLGCCVNHKLDTALDYHSEMLCRNLIPSSKTWNALVCSFSEAGRVVEAERLLHVMVQRGETPSREMYSAVINKYRSENNLGKASQLLKAMQQCGQEPDFETHWSLISNFSSSVNKDDKTKSGGFLSNLLSGIGFPRKD >CDP04703 pep chromosome:AUK_PRJEB4211_v1:7:15654183:15655162:-1 gene:GSCOC_T00018765001 transcript:CDP04703 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRIPYPPCFSGCSKRECCTPRAYKYEWPELKGANGQHAKEVIEKENPYVTVVPGHSQFILADFCCNRVFVFLDENDNVIVGPRIG >CDP02074 pep chromosome:AUK_PRJEB4211_v1:7:1211260:1217102:-1 gene:GSCOC_T00039355001 transcript:CDP02074 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPELNDDTVRSMAVAAVFSDFGGKISSLDFHRTSDLLVTASEDDSVRLYDIANGKLLKTTYHKKHGAERICFTHHSSSVICSSRYNLDSRESLRYLSMYDNRCLRYFKGHEKRVVSLCMSPINDSFMSGSLDHTVRIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVSMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLATKSNNIYVLDAYGGEKRCGFSLDPSPNTAIEATFTPDGQYLVSGSGDGTLHAWSIRTRNKVACWDNDIGVASCLKWAPRRVMFAAASSVLTFWIPNPAKPTTEPGNTHAEAGKPEQTT >CDP16619 pep chromosome:AUK_PRJEB4211_v1:7:31343:34731:-1 gene:GSCOC_T00019069001 transcript:CDP16619 gene_biotype:protein_coding transcript_biotype:protein_coding MESEIVAALRGNIDTHLSLQTPSEHVTPKVPFIIGVAGGTASGKTTVCNMIISQLHDQRVVLVHQDSFYHSLSDEQLQKVQDYNFDHPDAFDTGLMLSCLEALKLGQAVSIPNYDFKSHKSIEPARMVNPSDVIILEGILIFHDPRLRDLMNMKIFVDTDSDVRLARRIQRDTVERGRNIQNVLDQYAKFVKRSFEEFILPTMKYADVIIPRGADNEVAIDLIVQHIRTKLGQHDLCKIYPNVFVIYSTFQTRGMHTLIRDAKTNKHDFIFYADRLIRLVVEHGLGHLPFTEKQILTPTGSVYTGVVFCKSLCGVSVIRSGESMENALRACCKGIKIGKILIHREGNTGRQLIYEKLPSDIASRHVLLLDPVLASGYSAVKAISVLLSKGVPESNIIFLNLIAAPEGIHAVCKSFPRLKIVTSEIDAMLNEDLRVMPGLGEFGDRYFGTGAG >CDP02593 pep chromosome:AUK_PRJEB4211_v1:7:5151859:5154186:-1 gene:GSCOC_T00040030001 transcript:CDP02593 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLFYLFFISFALYASGQESSVELLKLYDRSIPVSREALSRTAAVVPNEQLKEVSSSILMAESWTRAHVLAHYPGINITTIVVGHTLLCGKGQEEYLTLILPSLKNIHYTLTRWGLHQEIKVSASFSSSCMHPNAGTFRSDIAETHIKPLLSFLQHINSPYLVNPPSHFPTLSDNANILLDSHLEAMENLGFFNLKKVHVIVKEAKEPKPTGRKLTFILDNTKTIEPFPARPTPLAPAVAPVGSCAPSHAAESPLPPGPGKVSPTPSSFPVSPELPPVINPANPPYGLHLPPCNPSGGGGSGAVAAPVASVRRGLWCVAKPSVPPETLQDALDYACGEGGADCEAIRPRGSCYYPNTVTAHASYAFNSYWQKTKKNGGTCGFGGTAMLIDSDPSYRHCRFILAQ >CDP01594 pep chromosome:AUK_PRJEB4211_v1:7:10387206:10389652:1 gene:GSCOC_T00036695001 transcript:CDP01594 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRIQSSLFGFFLRTYNLFFLACNHKRQTGEQDVKVKILYAGMCHSDLDGIKNLRGVSKYPFLPGHEIAGIVEEIGSKVTKFKVGNKIGYSDFIVVDEHFAVCWPENLPLDVGAPLLFAGITTYSPLKHFGLDKPGLHVGVVGLGGLGHVAVKIAKAFGAKVTVVCTSHAKRDEAIERLGADPFIISKDQDQLQAASGTLDGILDTASAIHPLLPLLSLLKFEGKLVLLGATKALELPKVLAGRKVVSGSGIGGMKEMQEMIDFCAKHNILPDVEVIPMDYVNTVGEIG >CDP16694 pep chromosome:AUK_PRJEB4211_v1:7:505546:509618:-1 gene:GSCOC_T00019162001 transcript:CDP16694 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPILCFGCSKLKLLKCWLDFPPPRHVSPVDFRFCSMESMHSYWQLGDELRGQAKVSEDHKWLMAASKLAEQTRSKGERRNNLDLSKGSAEIRPRDNFGFQEDNKFESLNFTMLNLDMKMNESLSRSPMGNGVYNMNPLYQKPGANGMGNLSVSKYITNSGSKDHNNNINNESVNANNAVDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAATFGGSNIDPTAWEDKKCKGESRFPAQVRIHTRKVCKPLEEDAFRPVLHHYDGPKFRLELSIPETLDLLDLCEQAGV >CDP01774 pep chromosome:AUK_PRJEB4211_v1:7:8850331:8862874:1 gene:GSCOC_T00036932001 transcript:CDP01774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II family protein [Source:Projected from Arabidopsis thaliana (AT2G17510) UniProtKB/TrEMBL;Acc:F4INI6] MLKSKTFVRKTRQGAIRKEVREHYLRDDIYCGAPFCKVCDVSGARLSDSASTLLILDTNVVLNQIDLLENPAIDDVVVLSVVLQEVKNKNIGIYNRLRALCSNSSRKFFVFSNEHHRDTYVKEMAGETPNDRNDRAIRVATHWYQSHLSNVVRILLLTNDRENKRKALEEGILADTVESYVKTLGQPELLDLIVQPSSEDVNMEDVEDLRPSKRKVIYTEHKPMSEITSGLLRGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIYGRANMNRAFDGDVVTVELLPQDQWQEEASIAITNEEDDEEDVHLPPSSSDDAPRATNLVQGSAGVSGSVPSRPCGRVVGIIKRNWHSYCGSVEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLDKRIVVAVDKWDILSRYPSGHYVRTIGVIGDRDTESEVVLLENDVEFKPFSSQVLACLPALPWSASTEDLANPIRQDLRQLRVFSVDPPGCKDIDDALHLVLVSILFFNNISNIADVTNFVHSGTPLDDEAAKRGTSVYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMTPRAEIISTKYTKSAIKSCAALSYVEAQARMDDSRLVDPLTTDLRNMNALAKIMRQRRIDRGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAEKILKHFPLCSLLRRHPTPTKEMLEPLIRTASAVGLQLDVSSSKALADSLDRAVGGDPYFNKLIRILATRCMSQAVYFCSGELTPPEFYHYGLAAPLYTHFTSPIRRYADVIVHRLLAASLGIYRLPDVLQDKAQLTSVSDNLNYRHRNAQMAGRASVELHTLIYFRNRQARIVKIRANGFIVFVPKYGIEGPVHLSKEGEWLVDEQQQRVRKSDNSVHYGVLQTVKIHMEVVEPQPHRPKLQLTLINDTDITIM >CDP02824 pep chromosome:AUK_PRJEB4211_v1:7:6811371:6812555:-1 gene:GSCOC_T00040328001 transcript:CDP02824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP7 [Source:Projected from Arabidopsis thaliana (AT2G18500) UniProtKB/Swiss-Prot;Acc:Q9ZU65] MASKSFKLRVSKLVTTTFHSCRSKDASTLPHDPVPTFFRLSPVNPNFLTIDHYTNPPKSSGSSSVRRHVSSAFSSIGCGFASMKPAAIKHSDDGRARSSSQQEFSWEQEEKWHVVAKIYDDKHQTPRRKIYNSCASGDSDQDKKILALPPPPPPSKKKRRGKKKRAPPARLRASTSSAESGLFSSEGGEVILDEEEEESMIETETLISSWRSSSSDDSSSEFNPNLETIRETPLPITRRHKRTSKKKNKKHSTKRGVSRSTTIYRPSSVSSAAENESPARLSVFKKLIPCSVDGKVKESFAIVKKSEDPYEDFKRSMMEMILEKQMFEERDLEQLLQCFLSLNSRHYHGLIIEVFAEIWEAMFCASDDQRISNRHRRRRGGGGVNSRNTNNLRV >CDP02667 pep chromosome:AUK_PRJEB4211_v1:7:5685918:5690928:-1 gene:GSCOC_T00040132001 transcript:CDP02667 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHDRYDEYEDLDEYEEDGDEQEEKDSGEDEYEEEDDPKPAKEVLNYLELRQRLKEEKRKKLKKELGTANGSSREKKNVISKDVISKDTYGSFFGPSQPVIAQRVIEESKSLLENPDLAARVIKFNQSGNKSSGSASSVSKSQAGAGPRAKVTNGLQKKVEMLKNTRDYSFLLADDSELPVPKKVPHSISAQKPEARSAQLSERSRDSLSINGQKVSSSREDKKPTAAINRMQPKVGSEKFGSRDKLVRPSVESGKHLSGKNGVLADHRKQPSISNGIGPGRPVVSKSVPTKPNSNGSGPGRPLVSKSVPPKPSVSTSDKKASVPVARTSAPGIHKPNPSKVQTSVSKQSSARKEEHQAPGKPKILPKQPIPPLKPKQVLRPAPKPSARDASRGEWPRKRPARHNEDDEDDPETALRMIRNMFGYNPSRYEDVDDDSDMEANFDDIQREEKRSARIARQEDEEELRKIEEEERRERLRKEAKKRKLSHR >CDP02095 pep chromosome:AUK_PRJEB4211_v1:7:1375329:1379792:1 gene:GSCOC_T00039379001 transcript:CDP02095 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASKASVV >CDP02164 pep chromosome:AUK_PRJEB4211_v1:7:1813001:1815773:-1 gene:GSCOC_T00039474001 transcript:CDP02164 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGHRLTAGLNKPIVLLIVIAGVERFAFKGVASNLVTYLTDVVKMSNSSAAKMVNSWCGFTSMVPLVVAPLADSYWDRYTTVQSAALLYALGLVMLASTALGWLLIPIGKVSSSALLHWSLYLISLGQGGYNPSLQAFGADQLDDGDELPSNKTDHSSDKRRLFFQWWYFGICCGSLLGVSLMSYIQDTLGWGLGFAIPAISFLASIAIFLLGNRFYKHKKAKNVDNKSTENMIQTVKTTLSRMFCGKIDLDRNSDVAELELQEKPLFDADPEGMEGLYEKSENENHLVQIAKMVLPLLPVWTMLLMFAVIFQQPPTFFTKQGMTMKRNIGSKFKIPPAALQSAITVSIILLMPLYDILFIPLTRILTQNEKGISVIQRMGIGMFLSVIAMVTAALTERKRLELSRQMVASDLPSETVPLSIFWLLPQYILLGISDIFTVVGMQEFFYSEVPLRFRTMGIALYTSVFGVGSFLSALLISLVECFTSSGGRENSWFNDDMRNSRLDSYYWLLAILDSVSFVGFVIFFRFHKSRI >CDP02129 pep chromosome:AUK_PRJEB4211_v1:7:1597760:1600359:1 gene:GSCOC_T00039421001 transcript:CDP02129 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP-1 [Source:Projected from Arabidopsis thaliana (AT3G01470) UniProtKB/TrEMBL;Acc:A0A178VBZ2] MDSGRIYFDPSCHGNMLFLGSGNPVFPGARSVLNVEETLKRRPFLRSPEELFDEEYYEEQLAEKKRRLTPEQVHLLEKSFEAENKLEPERKTQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDQVKSSYDSLRSDYDSVVKENEKLKTEVLSLTEKLQQGKEVVVEPRSKQKSDALPVDELLASDPQFNVKVEDRLSTGSGGSAVVDEDGPQLVDSGDSYFPCDDYAAGCVTPAADGVQSEEDDGSDNGQNYLSNVFVAAEQQNQEGEPVGWWVWS >CDP01424 pep chromosome:AUK_PRJEB4211_v1:7:12264833:12266725:-1 gene:GSCOC_T00036468001 transcript:CDP01424 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLVLVALCILPAIASAAIPEPFTVTGKVYCDTCRVGYETPATTYLPGCIVKLVCKKRDNPDQITFTKEATTDSTGKYEMQVAYDAGDDICEMEHVKSSDPTCATPNAGRDHARICLTRNNGMVSNVRHANNIGYFRDVPLARCPQILQRYQDAESD >CDP12794 pep chromosome:AUK_PRJEB4211_v1:7:14430163:14432212:-1 gene:GSCOC_T00037447001 transcript:CDP12794 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLIPGFTLVLALIFRLEKLDVRNSAGKAKVLGTVLGSGGAMILTFVKGKKIKILSQHVDIIPLHNHASVPSNNVVGSLLALLSCLSIAIWLVIQTKMSHSYPCYSSTAVMCFTGSILTGVLAICTERELSSWMLGWDCRLLAVAYLGIVSSGLCVAAVFWSSMMKGPLFVSSFSPLGLVFTALAGSLFLKEELCLGSLIGSIIITIGLCFVIWGKGKEATASQDGETESRRDEVTDGSQAAPPIVPGQCTDYLTNGVHQQFKHEHHFLLKPWFAISADTDTYRLSRIWNGRDRYDIHPRIADITIFATTRSDSADIDRFESVIHDISRYIRVNSESTPIFF >CDP02766 pep chromosome:AUK_PRJEB4211_v1:7:6346717:6353802:-1 gene:GSCOC_T00040259001 transcript:CDP02766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic glucose transporter 4 [Source:Projected from Arabidopsis thaliana (AT5G16150) UniProtKB/Swiss-Prot;Acc:Q56ZZ7] MQASIYAAAAGVGAKGSVGCVGVPRRGRSSRSSRALAAGFGESRSRRTTDSRNLSLCMSAERSSRSCFGLRLDSVYMGIELGRVGPSSVKSRSVYAQASTGGDIEDIEPAKIQKRSSGSVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGISENTVLQGKSHFTLLAGATVGSFTGGALADKFGRTKTFVLDAIPLAVGAFLCTTAQNVQTMIVGRLLAGIGIGISSAIVPLYISEISPTEIRGTLGSVNQLFICIGILAALVAGLPLAGNPLWWRTMFGIAFIPSILLALGMVFSPESPRWLFQQGKIAEAEMSIKRLFGKERVVEVMDDLKAAARGSSEPEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIQSDVAASALVGASNVLGTTVASSLMDKQGRKSLLLLSFAGMATSMVLLSLSFTWSVLAPYSGTLAVIGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWISNFVIGLYFLSFVNKYGISKVYLGFASICLLAVLYIAGNVVETKGRSLEEIERALNPAV >CDP01785 pep chromosome:AUK_PRJEB4211_v1:7:8779630:8783449:1 gene:GSCOC_T00036944001 transcript:CDP01785 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDKQPPQQPQATTAAAAAAAAAAEELNNGGMFVKVMTDEQMEVLRKQIAVYATICEQLVDLHKSLTSHHDLAGARLGNLYCDPLVTSAGHKITGRQRWTPTPVQLQILERIFDQGNGAPSKQKIKEITNELLQHGQISETNVYNWFQNRRARSKRKQQAAASNNIESEVETEVESPNDKKTKPEDLQSPHIPTSRNEELCFQNTDVSSGMLSIDPRSSKPEPMFPSDGSSKSAGSFGQMSFYGSMLSNPRMDQLLGKMEVPESYSPYLHAEDYNMTG >CDP12876 pep chromosome:AUK_PRJEB4211_v1:7:13406122:13413983:-1 gene:GSCOC_T00037555001 transcript:CDP12876 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVQDEIMLPNLIVVRVDGRDFNRFSEVHEFEKPNDERALNLMNACAFAVLEEFPDIVFAYGFSDEYSFIFKKDTKFYQRRASKINSLVVSFFTSVYITKWKEFFLQKDLMFHPSFKSRVICCATMEVLQTYILWRQRACHLENLFNTCFWKLIGCGKSQKEAKEMLEGTQKQEKNEILFQQFGVNYKDLPSIFRQGSCAFKTEVEDIVKYKENGAPVKRLRKKIALTHSENVAGRSFWNVHPCLCKELGHFEGDISKINPEYIKSFQFENNLMPSTWIVVRVDGCHFHRFSEVHEFEKPNDEHALNLMNSCAVAVVEEFNDVVFSYGVSDEYSFVLKKNSCFYERHASEIVSAIVSFFSSVYVMKWKLYFAQKEMKYPPYFDGRAVCYPSIDILQDYLAWRQVDCHINNQYNTCFWMLVKSGKSKSEAQNCLKGTQTQEKNGLLSCQFGIDYHTLPIMFRQGSSVFWDKESKTLLSNDEVVEKFRKKIVVEHCNIIEKSFWKAHPSVLEEDSR >CDP04608 pep chromosome:AUK_PRJEB4211_v1:7:17632852:17636032:-1 gene:GSCOC_T00018611001 transcript:CDP04608 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNQESSNTAGKPIHCKAAVARKAGEALVIEEIIVAPPKAHELRVRVLCSALCFSDIHFWRLKEPHGYYPRIFGHETVGVVESVGEGVEDVKVGDTVIPSFLAYCGECPDCTSLKSNQCSKLRFELSPYIRDGTSRFSDTKGQTIYHFGYTSGFSEYTVVDITHVTKVDPALRASRACLLGCGVSTGVGAAWKTADVEAGSTVAIFGLGVIGLSVAEGARLRGAKRIIGVDLNPEKAEIGKKVGVTHYLNPTDLGGKSASEVILEMTDGLGADYCFECVGLPSLGQEAFTCCRKGWGKTVILGVDKPDSQLILNSLVNSHSGKSITGVQYGGLKPNIDIPILAKRYLDKELQLDLFVTHEVKLEDINKAFKLLIEGKCLRTVIWMDKERASADGVVFDEI >CDP11594 pep chromosome:AUK_PRJEB4211_v1:7:21148630:21153218:-1 gene:GSCOC_T00033944001 transcript:CDP11594 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVLRHPQFIAILIVSSILCQTAIGTAAKNPYRYHTNKPSRPGNWLNHGGDIYNRRYASSESKISPSTASKLGLKWKFNAAGFVTGTPAVYDGIVYFTSWNGYVHAVKACDGSLVWKQNVEQLTGLTVPVLNSTISRSTPTIITDQDMIILGITGPAYAVAVKRSSGSLIWSTQLDGHPFAIITMSGTYYDGGFYVGVSSVEETATIEECCTFRGSLIKLDARSGRILWKTFTLPDNHGNRGEYAGAAVWGSSPSIDAPRNHVYIATGNLYSAPRRIRKCQERLNNQTNPTNPNECIEPDNHSNSIMALDMDTGKIQWYKQLGGYDVWFAACSNLSTPNCPPGPNPDADFGEEPMMLSIKVNGTKRDIVVAVQKSGFAWALDRDNGNIIWFTEAGPGGGLGGGTWGAATDTKRIYTNIANSNQLNFTLLPSQKVTNGGGWVALDPPTGKILWSTADPKDSMVNPVTIANGVLFGGSTYSTGPVYAIDAKNGNILWSYETGASVYGGMSVSDGCMYVGHGYVAPLYTAGTHLFAFCVQIS >CDP08385 pep chromosome:AUK_PRJEB4211_v1:7:26627261:26628785:1 gene:GSCOC_T00027210001 transcript:CDP08385 gene_biotype:protein_coding transcript_biotype:protein_coding MISREHIQLYSYEWVWEPLGVRRARPKKLGLGTAYIHGLKHASGHFVVIMDADLSHHPKYLPNFIKKQMETGADIAT >CDP02781 pep chromosome:AUK_PRJEB4211_v1:7:6495216:6500852:1 gene:GSCOC_T00040277001 transcript:CDP02781 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVKTLKGTHFQIEVKPDDSVADVKRIIETIQGSDVYPAAQQMLIHQGKVLKDDTTLEENKVAENSFIVIMLTKNKVSTSGSSSGTTANTAQPATAAAQATQPVTAPQASATNVSPPQTAPESTPAPAPAPAPAPAPAPDSSVTDVYGQAASNLVAGTTLESTIQQILDMGGGSWDRDTVIRALRAAYNNPERAVEYLYSGIPEAAEGPPPAQAPASAQSVNPSAQAPQPAVPSSGPNANPLDLFPQGLPSGGTNASAGSLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRLINEPVEGEGNILGQLAEAMPQAVTVTPEEREAIERLEAMGFDPALVLEVFFACNKNEELAANYLLDHMHEFDE >CDP01560 pep chromosome:AUK_PRJEB4211_v1:7:10805026:10808183:1 gene:GSCOC_T00036652001 transcript:CDP01560 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIESLVIKNGWGLYAGNKTNISFYALAQCYQDLAPVDCYFCYLTSRGIRHEACGRAASGRVYMDGCFLRFDNYNFFDESVDTTKGISNCSSRSAGVLSVEDVENFGKNVVKLIDKVTSVALKNGGFAVKGLNGVFGLAQCWKTLSEQGCKECLTKASRNAIGCLPSREGRGMDAGCYLRYSTVKFYNDPPKTIAGPSTWGKRVAIGSSVVAFSMLSLFAAYAFYARFKKSIQEQRKLARISHAYNKSYLYFKYEILEKATNYFDPRMKIGQGGAGSVYIGTLPDGKVIAVKRLFFSTSRWVEEFFNEVDLINGIQHKNLVKFLGCSIEGPESLLVYEFVPNKSLDHYLFDENRIKILSWKERFQIIIGTAEGIAFLHGGAGIRIVHRDIKSSNVLLDEDFSPKVADFGLARHFAEDRTHLSTELAGTLGYMAPEYLVKGQLTEKADVYSFGILVLEIVCGRKHNYASKEDSGSLLQTVWTFYQTDKLEELVDPCLKGNFPALEAWNVLKIGLLCGQASATSRPSMVEVVQMLTVPNCAIPEPNQPPFLNSNSSFPAANPSRS >CDP01783 pep chromosome:AUK_PRJEB4211_v1:7:8786802:8796315:-1 gene:GSCOC_T00036942001 transcript:CDP01783 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MGKIKPLPEAVRNSVRSGIIVCDLTRVVEELVFNSLDAGATKVSVSVGVGTCSVKVMDNGSGVDRDGLVLLGERHATSKHHQLADLDTANQNFGFRGEALCSISDVSLLEIITKAHGRPHGYRKVMKDCKCLYLGISDDRHDAGTTVVVRDLFYNQPVRRKLMQSSPKKVLHLVKKCVLRIALVHPRVSFRVSDIESEEELLSTSSSPSPLPLLSTNFGIEAACSLYEIKAVEREFKLFGYLSSPFGILSPKAFQYICIPLVRFNIGAKNEKQSRSQTCPTFILNLSCPRSYYDMTFEPLKNSVEFTEWGPVLVFIQNTITHYWSENISNENRYSKCDLPGKKWIVGGRNKEIFLCKEFYQTGRKRCRTKDWLASPEVHCSQSQKLMRECKNLPAWEEGLSSCQKSDGDASELQKHKTDALFEDCDIQSFNASPFHCGVTTNKKIRNHMQSSADYFSDGDDILVKEESAKGTGKKKLNYFFDSQWQDESFEMDDEMNMSIRSPFYTHSEEYGEDAFVNQLFRRPSQLSCLTGRVLQDVGSSHLTKVETGFGSYDFRTQHNWINFDEGSDGGKEYDTDQIFIESLSRNERVKSLWSLSRCTNQPDKITNANSSSQDLRSSMIAGECSGEENNLPSGSLKHIKQSDGDKLSSTSEWSPRVLPSLFGTEIPDVDHLIGQDVCCDYSKGTTSGYIPDQEGDYGHFNRDPSVNSWELENCTDLSSFKFYSDHLGDVSEARGRKHNDFTFANCSSVFPDEMDWLLMQSCGKNSLPKVSNPLDQTSLPAQFDRNKFGRIHTSNGKSIGQKKGARRSHSAPPFYRPRRKFIGLNYSWKMEGGRTDSPAVNNDSALPETSNSLHQQQSLGQCHSPLKASYANNCSSVCSGSLLLQQCYPLVRLNGKDFQKKGQCINCCNFKLVEDSTSKETRDDLDSGLKWRKSSPQAVDVMKSQVARDQDSILDVSSGFLHLAGDSLIPSFIDKNCLKDAKVLPQVDKKFIPVVAGRILAIIDQHAADERIRLEELRQKVLDGEMKQVAYLEAEQELVLPEIGYQLLHNYVSLIENWGWICNISGRGASSFGKNLNVLCRQPTVAKLVAVPCILGVKLTDIDLLEFLQQLADTDGSSTIPPSVHRVLNSKACRGAIMFGDTLLPSECSLIVEELKQASLCFQCAHGRPTTVPLVNLDILHKQIDKLGLRSSGTCELWQGLCRQEISLERAASRLTSAME >CDP04580 pep chromosome:AUK_PRJEB4211_v1:7:18644942:18646526:-1 gene:GSCOC_T00018554001 transcript:CDP04580 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKRRRSLSGPTTPPRLSEASSVHSVTDSNDYIQSLINYFRMPRDHTTAKAHWDENMEIHFCMTFVE >CDP16709 pep chromosome:AUK_PRJEB4211_v1:7:568287:569931:-1 gene:GSCOC_T00019181001 transcript:CDP16709 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGEVEEQDEQSRVLYELCAMIFHVLQTPPLPISSFPGIVRPAVSSRTFLASPAAFASLFLGISVALMLFGCVTFVVGFCLMPVIIALVMLFHFVGMLYNLSELGRTILWADCSTTPPVPGDLLGWNLEDVLLRGCFLDSEL >CDP02551 pep chromosome:AUK_PRJEB4211_v1:7:4775121:4784133:-1 gene:GSCOC_T00039971001 transcript:CDP02551 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQAIEHSLRKHQTRRKIPKIALVVALTILLSAISIYSPSTQFNTKKASKLPSEFDSAEEPVKSTAPSGPPSLKAEQVEVTKSAEIEAQGSQEEEYCDLFSGEWVPNPEAPYYTNSCYGIQEHQNCMKYGRPDIEFLKWRWKPDGCELPIFDPHQFLELVRGKSIAFVGDSVARNHLQSLMCLLSRVLYPQEISNSTDENRQWEYQGYNFRMSIFWAPYLVRSVEITGPKDRTRSFSLYLDEFDENWTTKIEEYDYVIINAGHWFFRPTMFYEKGNLVGCQYCPQENATRLTTYFSYQRAFRTAFGAINSLQNFKGVIFLRTFAPSHFENGTWDSGGNCPRTRPYKRNEAVLEGYNLELYKIQLEELRIAQEEGRKRGLRLRLFDATKAMLLRPDGHPSVYGHLPDQKLELPRDCVHWCLPGPIDSWNDVLLEKSQYLEKLSRTVYFPPSCCVIIIEVMKRQAGELSLQLKLRRIPKVATFLIAITVLLSLVISVYSPPSFWYKLGEISEATPSLEESTIRRPKDPEWPESNDTTKSKEILGGDEPGSQKAAQETAAAGSTRSSSIPSPSSPAQIDHSYNRTNSQNPTGTTQENYCDLFSGEWVPNPEAPYYTNATCYRIQDHQNCLKYARPDTGFLKWRWKPDGCVLPIFDPNHFLELVRGKSIAFIGDSVARNHMQSLICLLSRVLDPLDVSTGNDENQHWVYKDYNFNISIFSAPYLVRSEKTDPNDVTRPFNLYLDEFDEDWTTKVEGYDYIVISAGQWFFRPTIFYENRTLIGCLACQLDNVARLRMNFSYERAFRTAFKAINSLANFKGVTFLRTITPSHFEGGSWDKGGDCVRTMPYKRNEAVLEDYMVEMYEIQRRELRIAQEEGSKRGLKFRLLDATQPMLLRPDGHPSKYGHWPNEKMANDCVHWCLPGPIDTWNDFLQELIEREEARKSLV >CDP02775 pep chromosome:AUK_PRJEB4211_v1:7:6407578:6409889:1 gene:GSCOC_T00040269001 transcript:CDP02775 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPRQNLDDRGGGASSFQSANFRRTPLQIIHVFGNFMRIWSVYTMYRYLSQTGASVVLFIFSCLVPASILFLVLQKPWKGRSLSSTQVVPSVINGGITALYFILWGKGVKSCGPLRAILAEYSGAVLGVLSGVLYGSRGHVWKKVGGLVAMMASFYFLSQGWAMATYSPFNILSF >CDP04774 pep chromosome:AUK_PRJEB4211_v1:7:14801851:14803302:-1 gene:GSCOC_T00018872001 transcript:CDP04774 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLLFLLSSVSCLATALAQQSHLNISLGSSLTPTGNSSSWSSHSGLFAFGFYQQGNGYAVGIYLAGIPGKTVIWTANRLSPVFPSNVSLVLSSDGRLILQHTQGQDIVIADPSESISSASMLDSGNFVLYNSDKKIVWQSFEHPTNTLLPGQQLATGHELYSSASETDDSMGIFRIKMQDDGNLVQYPVRKPGSPGYAYYASNTFGNGVTLNLDGDGHLYLINSSLTILSNLTKGGYPQDGRVYMAKIDVDGIFRLYSYSSNQGNRSILWESVEDKCTPKGLCGPNGFCTMMDNVAECRCPPGFDFVNPGNWSSGCERNFTTESCKSNSITNVKYEIRSLANTVWEDNTFATMETSTQEDCEKQCLDDCNCEAAFYKDGECRKQRLPLTYGKRTSDSNVALVKVGTLVSISESVIPSSPPKSIKKEIRVDILVIGISLAVFGVMISVTAGVYVHRNQVRAYKKITQSGNVEFVEDAAPKAC >CDP08430 pep chromosome:AUK_PRJEB4211_v1:7:24475166:24477062:-1 gene:GSCOC_T00027310001 transcript:CDP08430 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFYRNQEKLSDHGDENSTKILLMAKLKRLIEANPLIGDKLQFPTLQMSRLETLVKQSLCWQIQQCNTKGSNHKLPNNLLYEDPYSEYVSNGDWEEVKKYLSQFANAEDNPESFSIFFEIRRQNYYDAHDKGDRKMMLDIFKRELEVFTLSQADLYRGLVPFFQLNSFRCILQLDASDYGDSLSARILLMAKLKQLTQANPLIGDKLQFPTLQKSRLETLVKLRYTKDFLSSSFAS >CDP01400 pep chromosome:AUK_PRJEB4211_v1:7:12655876:12656545:-1 gene:GSCOC_T00036435001 transcript:CDP01400 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAMLVAEEYERRVKNSRKSTHQGANDEEIEYFPHVSVSAKRVDLESSFCMMKKEIGGEKMERVKRVFEPKSDIGVAASKCFFSA >CDP02230 pep chromosome:AUK_PRJEB4211_v1:7:2318578:2320264:1 gene:GSCOC_T00039562001 transcript:CDP02230 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDIMLVTYVQEHGPGNWRAVPDNTGLRRCSKSCRLRWTNYLRPGIKRGNFTDQEEKMIIQLQALLGNKWAAIAAYLPERTDNDIKNFWNTHLKKKLKKLQTGSDSSSARNALSSSHSISKGQWERRLQTDIKTAKQALHDALSLEGSIPAPDSKPCDGHRSYTEPGQGSSTYASSTENIARLLKGWVKNPSPRKSEQSKSSSTQQSFCNAATDCTSSDGTPSAESKSGIDLSEAFESLFGFESFESSTSEFSQSTSPEASIFQGESKPDQGGAQVPFSMLLENWLLDGKDDLTNFPFEETANLF >CDP02239 pep chromosome:AUK_PRJEB4211_v1:7:2386357:2391151:-1 gene:GSCOC_T00039573001 transcript:CDP02239 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSFVPTRFDIFEIYRQYCAIMSRPYDSGSEGCLSNEEYQNGRYSRNALTQLLKLVDSRSQTRFSVFEEIYKLMMRLDLLADFSEFSRFYDFVFFICRENGQKNITVSRAVMAWRLVLAGRFQLLNQWCNFVEKNQRHNISADTWRQVLAFSRCVQDNLEGYDPEGAWPVLIDDFVEHMYRIGCNDTSYSLCSCGDSDERPFDDSLPGSKSLPGLKRKLGDNLQKEHESLNGYVHSNGARLPKRRQLISADILFNCDENCGSAADDCMETAKQNSALGCTKSPCSIEGCLSKGFAGLFSGRPYLQCNRHRGVS >CDP02299 pep chromosome:AUK_PRJEB4211_v1:7:2791572:2793548:1 gene:GSCOC_T00039654001 transcript:CDP02299 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHQQQLHHCHPTTTSTVCFCYYCCPNYTSSHLHPVSDPHPLHHLHHPPQTYYSPYGDGSNPNPPSTTQSGHHHHHQGPHYRPPPTDPEIERYFHHDQQRELTHTHPIVCSLLRRIAALESSLRHHSSSHSHSLRDSAARIIQTHFRAFLVRRSRTLRQLKDLASIKSTFNTLKSSVSKNPHLDPQLLFRKALGLLFKLDSVQGGDPMIRDGKKSISRELTGFLDLIDGVTVKKSEISAKLVTKNAPRKCGVLYNEHKSGTFACRNLAKNKRLEDLVDRIDALSTKVPIGDIAVDEEDLEIPGISRNRAARSGHPSDGLGAKVKKSVSFVENGKVYRIHRKGFEPVPAGDCDSSGDESYSVGAEGELKDDIRRKAEEVGEISFKDTLAEEEDESQDVESSKSSDGVEGPGYELRNEDGGFTFSAPLPMKMETKADIMDRRKGVKIVG >CDP16664 pep chromosome:AUK_PRJEB4211_v1:7:295628:301424:-1 gene:GSCOC_T00019126001 transcript:CDP16664 gene_biotype:protein_coding transcript_biotype:protein_coding MARKERFPDVYSTGVLPNYESEGSGSSARLQAEITASEDSTAPRQKWVDINSALRDGFDVPVQVVPLSKLSLSEKKSLILRLRSELEKIRVLQRKFQLLKTNAVTLSSSSDILSCSNVQRAAPPVLDSRKSSAQVSRSGKKSSSSGNKARGWNRGTSGRFESVKQGTISNSNVGMMKQCEVLLKKLMSHEYAWVFNTPVDIVKLNIPDYFTVIKQPMDLGKIKSRLGSGVYSSPLDFLADVKLTFSNAMTYNPPGNDVHIMADTMQKFFEARWKTLEKKLPGNSSQSVPHKSELQEEAEVVKPTAPSKKRKLSPLLHSVVQQPVKPRMTDEEKCNLSRELESLLGDLPDNIVDFLREQISTAGESGEDEIEIDIYDLSDDVLFTLRKLLDGYLKEKQKNDAKAEPCEIELPNESGLSSSSMQVDKGNDLVDEEVDIGGNEPPVSSYPAVEIERDGGLRSNRSNYKSDLGSTDHSDGEAEIKAYTATKPTLDESDSPRVLQEKEGGEDLTEGNQSVSGLDQLEQSSQEKPNSDESDSRQDGESAPARRPVSPEKLYRAALLKNRFADTILKAREKTLGQGEKGNPEKLRREREELELQKKREKARLQAEAKAAEDARRRAEEEAAAEARKKRELEREAARQALLQMEKTVEINENSRFLEDLEMLRSGPLEQLPSSVDETSPDHLQEGIGSCFKFGGNNPLEQLGLYMKVDEEDEEGEPPNALGSVNDDVEEGEID >CDP02223 pep chromosome:AUK_PRJEB4211_v1:7:2256561:2259117:-1 gene:GSCOC_T00039554001 transcript:CDP02223 gene_biotype:protein_coding transcript_biotype:protein_coding MASETAIPDGDEGNAVHRHSSSKKKQGKPSKRIHKAEREKKKRDHMNVLFVELRKAIEPAQLSSGKACIVNDTIRLLKDLLAQVDSLKKDNAALLSESHYVAVEKNELIEENSALKSQVEKLQSEITERTQSQSSVNLNIWPSQSPIAASELQEDSLRLPSTDHQLLSSSVVAPIFVVPLHHDSPIYHKGSQNDTAEDFQCNAFLSNVKKPRPRYPSPSDSWPSQILDEQLSGREITQ >CDP12886 pep chromosome:AUK_PRJEB4211_v1:7:13316552:13319011:-1 gene:GSCOC_T00037567001 transcript:CDP12886 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSRTNNLLNGLCKKFSILFQLSNPICTKANPDQLQLANSLISIFTRRPFIPEGQELNVLGSKLTTETVETVLKGLKNWKIADRFFKWASNQCGFRHNCYTYNAMASILSHARQSASLRDLTTKLVSSRCYMTPGAFGYFLRCLGSQGLVQEANALFDEVKRLNLCVLNGYSYSCLLEVIAKSGNVDLIDFRINEMRGLGWQLDKYSLTPALQCYCNAGKFQQALDVFNEMHQKGWLDAHVFSILVVSFSKLGEVDKAFELIERMDNLKINLNEKTFYVLIHGFVGEGRADKAIQLLDRVQKLGISPDISIYDVLIRGLCKNKEINKALQLYSEINELGIHPDVKTVAELISCVLEERDIMRLLEERPQDLDSESILLLYNSVLKGLVNIGLVNKAHCLLRSMMHDGLGIDLDVDKLFGGQTIRPNTTSFEIIVDALCSSGKLDDALGLFRDMDRINCSRSVILYNNMIDFLSNADRLTECYELLIEMKEFGFQPTHFTYNSIFGCLCRQMNVTGALHLVRDMRACGHEPWIKNYTLLIKKLCKHGRAVEACNFLDEMVIEGFLPDMVAYSTAIYGLLKIKEIDRALQLFRDICVRGYGPDVVAYNILIHGLCKAQRLPEAQDLLNEMLEKGLVPSVVTYNLLIDGWCKNGDIDQAMLCLLRMAEKEREPNVFTYTTLIDGLCNAERPSDALKLWMEMEETGCAPNRITFMALINGLCKCNRTSDALVYLLKMEEKDMIPDAFIYVALMDAHLSNLDPGTAFDLLTKMIENRIFPDSTDKNNVILKQAISSLSEDPRTSSSVKHLMALGSIPAHLCF >CDP02136 pep chromosome:AUK_PRJEB4211_v1:7:1644853:1645799:1 gene:GSCOC_T00039430001 transcript:CDP02136 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHNNSNNNNIPEFSSTETDSDEEMQEELAFANRRGCCFWLPCFGSGQSDTVWERISATPEKEETSRWRWDWWDKSLNALKKIRGWSELVAGPKWKTFIRRFNKTRGLKGGGKFQYDPVSYALNFDEGPGSNGPLFGDDDRFFRDFSSRFALTPGRVTAKSLVAVAVAVAEAGKDGNSVHVSYT >CDP16007 pep chromosome:AUK_PRJEB4211_v1:7:28584107:28590905:1 gene:GSCOC_T00016965001 transcript:CDP16007 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCVTISGKERQGRSLKEESQLVSFPLFWGTRPIVAASLDQAYIL >CDP12815 pep chromosome:AUK_PRJEB4211_v1:7:14251141:14252976:-1 gene:GSCOC_T00037475001 transcript:CDP12815 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP01562 pep chromosome:AUK_PRJEB4211_v1:7:10788125:10793511:-1 gene:GSCOC_T00036654001 transcript:CDP01562 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYPPRQNNRRGAMPILFVLLLPILFPSLFAPLSHASPSVISEWNTPKPRHSRLLKGALQRETSLEQQSELWTPLPKQEWKTCKVSGMASRLPESSEGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWQDSSTFMEIFDVDHFISVLKDDIRVVKELPDEYSWSTREYYAAAIRSTRIKTAPVRASAHWYLENVLPVLQSYGVAAIAPFSHRLDFDNMPMEIQRLRCKVNFQALVFVPHIRALGDALVSRLRYSPSRNEMVGDNYIREVSGSRDDQAAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRSQGRCPLTPEEIGLVLAALGFDNNTRLYLASHKVYGGEARISTLRSLFPLMEDKKSLASSEERVKIKGKASLLAAVDYYVSMHSDIFVSASPGNMHNAMVGHRTYINMKTIRPNMALLGPLFLNKNLTWPEFQEAIIEGHKNRQGIIRLRKKEQSLYTYPAPDCMCQA >CDP11554 pep chromosome:AUK_PRJEB4211_v1:7:19300787:19312035:-1 gene:GSCOC_T00033866001 transcript:CDP11554 gene_biotype:protein_coding transcript_biotype:protein_coding MQELTKVWKEYEASQADKMSESSSNGPTLDIRIPAEHVSAANRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPLEATIQELRVTIRVLPPQDCYLSMLRNNVRSRSWGAAIGCRVRVERCCVVKKGGAVFDVQPCLTHSSSMEPTLAPVAVERTMTTRAAASNALRQQRFVREVTVQFNLCGEPWLKYSISVVADKGLKKPLFTASRLKKGEVLYLETHSRRFELCFAGEKMVKATSVSQAQEAEADKSVTHSSHTSNGEKGLMDGENVVIDAFRWSRCKNLLRQTKMISIGIPLPLEHVEVLADNLEWEDINWTSTGVVIAGKEYHLARAHFMSPN >CDP11570 pep chromosome:AUK_PRJEB4211_v1:7:20045981:20046643:-1 gene:GSCOC_T00033894001 transcript:CDP11570 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMLYSFKQAKKPKDTRIRHTKLYKSCSIEPGKNIEPQQTQNMQKYIGIAFFLVAFPFILSQAKRMTPDKPCKHFTFYMHNIFLNGTNAANATAATIVGHTTLGDKFFGEMTAFDNPITEDQSLLSTPIARAQGFILNDSKTTFSSLLAYSLVFNSSEHKGSINIMGADQRNEQTRDLSVVGGTGDFFMTRGIATFWTEYLDPHTYFRVRMDIKLYECY >CDP16708 pep chromosome:AUK_PRJEB4211_v1:7:565424:565636:-1 gene:GSCOC_T00019179001 transcript:CDP16708 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDWGPVVVAVAMFILLSPGLLFQLPARTRVMEFGNMYTSGISILVHAVLYFCIYTILIVAIGVHIRAG >CDP02052 pep chromosome:AUK_PRJEB4211_v1:7:1083448:1086187:-1 gene:GSCOC_T00039330001 transcript:CDP02052 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPSSLYTGWEGETRKEEMTEEERRGIINQQQRSRKRKRGKRHRRKQQVASDSPSPSLEQTLNANTKNRSSPAAAVVKCNSKARIASSSFLDKMKAKLSGGHFRMINEKLYTCTGQEAFNYFKEDPALFNMYHAGYQEQMSHWPEQPVNVITKWLKDHSPSLIVADFGCGDACLARNVKNEVFSLDLISRDSSVIACDMSNTPLDSSSVDVAVFCLSLMGTNYSSFVQEAHRVLKPRGWLLIAEVKSRFDPSTGGADPNKFSKAICQLGFTALSKDFSNKMFILLYFKKKEDEKVNVNEIKWPGLKPCLYKRR >CDP01495 pep chromosome:AUK_PRJEB4211_v1:7:11451364:11454004:1 gene:GSCOC_T00036568001 transcript:CDP01495 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVYDNWERLVDATLRREELRRIALRTPSNVSSVSASPSFNASVLEGASGGEETRTEGETSACNDLRIFSFSELKLATNNFAHRTQLGCNELGMLHRGSLCDKASSICTNESLIAVRRFHSESVQEFHEWQSEVNLIGRLSHPNIIKLLGYCREDNELLLVYEYLQQGSLEKLLFERDYTNHPLPWDRRLKILIEAARGLAFLHASERQGLFNQKEEREGFYEYFDTSDILLDHSYNAKISGFSLATISEDPPQPDVEVYPGTGWPRCSSHSAPEYVMTGNLYLEGDVYGFGIVMVEMLTGLRVGYWALRPGQCVQVDRIKHDLATRRRLDKIMDSRLEGKYPAKAAKKVSELALRCLEMQPKRRPSMQEVAEVLELIDSADRN >CDP04750 pep chromosome:AUK_PRJEB4211_v1:7:15139465:15144052:1 gene:GSCOC_T00018834001 transcript:CDP04750 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSESQARTVCLTCGDKGDEGLLIYCINCQDSAAHFYCLDDFSSTDDQSGWKCWDCAPRIYKVDSFRKSERISMRIDRAIDVRMKLEKKLYSRSSLAGRKFVATNHGMVETPQLSGDSSSPVLCMKNSSDSNDGNIELRDYDRLKERFDKVEAESLPFTSNIHDSGFSSPKRINYGMTETAQLEDDSSTLIDRITNVHSFAELPRNEELRNRRRRRRLMIDDSCSSEEDNPINVKESSLAFQGYVEHVGPLNTSNGQLSSQPHRQLFARSLMNPIWRGSFSITREKNQTNLGILAHLSRKACSKVSDIAIMLPPELVAEVLAKRRVWPKSFQNVPPTDGSIDLFFFPEYERDEKVYDGLLDDMIEGDNALKVMMKDLELLIFSSRELPLEHWRFQQKYYLWGVFQKVHSSLPVNNSSNETITPSKQSNQSGHSSPSHNKPKPDPTFQICHRPSSTSGCPFPNTQYTAFLNTSRSSSEDSSISSTTISVAEKTASS >CDP12875 pep chromosome:AUK_PRJEB4211_v1:7:13415293:13416918:1 gene:GSCOC_T00037554001 transcript:CDP12875 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMALARALGWAIFVLLVTANFAECIGVNWGTQAAQPLDPSMVVQMLQDNKIHKVKLFDSDHWTVKFFAGTGIEVMLGIPNDQLSKLSHYRNAKDWVKQNVSTHIYDGGVDIKHVAVGNEPFLKSYNGSFTHTVFPALKNVQRALDEAGLGDKIKASIPQNADVYDSGSGGPSEGNFRSDVRGLMIEIVNFLKENKSPFLVNIYPFLSLYENPDFPIGFAFFDGNAQPIRDHNVQYTNMFDANLDTLVSSLRKAGAPNLEIIVGEIGWPTDGDVYGNATMAKKFYDGLFKKLVGSKKGSPLRPEPVEVYLFSLTDENLKSIAPGYFERHWGIFRYDGQPKFALDFSGQGNNKMPIGAKNVRYYPSQWCVFAGAKDMNMVIPNLDYACQRSDCSAMIAGGSCSKLDKNKHVSYAFNMYFQMNNQDFEACNFQGMAKIIEGNASTSECLFPIAIESAGGRLENGIGASILVAILISLVFF >CDP01746 pep chromosome:AUK_PRJEB4211_v1:7:9074273:9079711:1 gene:GSCOC_T00036897001 transcript:CDP01746 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALFIVALMPVLKTLIVTAVGLFLALEKVDILGATARHHLNNLVFFVFTPALVSSNLAETITMSSLIKLWFMPVNILLTFLIGSALGWVLVKVLRTPQHLHGLVIGCCAAGNLGNLLLIIIPAVCEENDSPFGDSSTCSTNGEAYASLSMAIGAVFIWTYVYNIVRAYGIRSTGSTINRTNSANGGEIVTESSLEESLLSKDIETDHSHDTPQPIDSIENDEKVPMRKKIKQQLKIWAERMNLKMMFAPSTIATIVGLAIGATSPLRKLLIGDSAPLRVIDTSTSMIGDASIPAMTLIVGANLLKGLQRSEVGLGIIIGVQVVRYIAMPLLGILVVKAAVHFGLVGSDSLYQFVLLLQYALPTAMSMGTITQLFEVGERDCSVIMLWNYAVAALALTLWSTYYLWSVS >CDP02041 pep chromosome:AUK_PRJEB4211_v1:7:6854080:6856882:1 gene:GSCOC_T00037257001 transcript:CDP02041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MRCPYCSAAQGRCTTTSSGRSITECTSCGRVVEERQSQSHHLFHIRAQDSPLCLVTSDLPTLPVPATTVSNPTSNSDDDDPFEPTGFITAFSTWSLEPYPLFAQSSISFAGHLAELERVLETTSSSSSCSSSSGSNSSGPSVVVDNLRAYLQIIDVASILGLDYDISDHAFQLFRDCSSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANLPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYSPVVPPEKAFPMATIASGRSSTPRADLVEVPSSDKQTESKNPRTSDVLDTCHVAKNKEETENRDSIHRSQSLPMHRTPILWKSQPPVRSATVNTPADKIHSITQEMDIDPRSQTGSDEKVVASSTRPVLFSAPTSSAGSLSWPLHTTSSGFSPSGQQLVHPRNMASNGLDEMTPRQNENKKGDQRFDT >CDP17959 pep chromosome:AUK_PRJEB4211_v1:7:24252540:24255860:-1 gene:GSCOC_T00007214001 transcript:CDP17959 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYNIQSVGPKFQEKQTKVVMALNETFFISHGTPAIAVDDSLLPRHFLKSFAKKVLNQRPKAILIISSHWETLEPTVNVIHGNNDTIYDFHNFPKPLYQLKYPAPGAPELAKRVKELLEGSGFKQVNEDKERGLDHGAWVPLMVMYPEADIPVCQLSIQPSQDATHHYNVGKALAPLKDEGVLIIGSGSATHNLKSARPDNKDDSVASWALEFDNWLKEALISGRFEDVNHYLEKAPYGKLAHPEPDHFYPLHIAMGAAGQNAKAELIHSSWFAHTLSFSSFKFTSTI >CDP16006 pep chromosome:AUK_PRJEB4211_v1:7:28508904:28510204:-1 gene:GSCOC_T00016958001 transcript:CDP16006 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGTMERRIQNNSERIQLFYMCKFTHLHELDVQYHLSQETGALDHIIDQRSHAINFILSSMVFNLILTILEISMVSGILAYKSEHYLHGSLPPLQQHMLLTL >CDP02727 pep chromosome:AUK_PRJEB4211_v1:7:6102583:6103367:1 gene:GSCOC_T00040202001 transcript:CDP02727 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPICVYIHCAFNVASALPCEVRKCESVCWPNRLLKLLHLIGGTGTQLLDSDIYSLRFFFFSLFFGFVVLIRAS >CDP08428 pep chromosome:AUK_PRJEB4211_v1:7:24510445:24517640:-1 gene:GSCOC_T00027308001 transcript:CDP08428 gene_biotype:protein_coding transcript_biotype:protein_coding MMNISSDSSTNCFDFALDRLESFNQSFKYGYDFSFWELKVGISLLKTFDMYIRKCRRRRSSQDTRLENEMEDRGNAKSDSLRLSSISFKIQDLVSGISLGLEYALSRCNDDQPDESDFSDIDIDCECARYEENMRCFFETDIKESGIIDLLLCYSLGDLQLVIDFIDSISENLKHLCKCYYGVDEALNTVMETLEEKLMFLKSFIRFATLQGVEGQQLKDLLVHVEVVALNAASLICGSWFKRNDKLAYKEMESEISQLIHKKIDSFDPQVRETYINVLTVSKLSRPSYTLAMKKNKHLVAEFIDYLMRSLMKLLESYPSFPVPVKDQMLKLHEGVRFLIILLSRQQEQFDELNDEMKNCIGFVVSDAGIVIFSLSVNEMKEGLCNETGLALSRLVEVLKLVIAEVGHIYALPSSSSLGFPRTNELGSLDFLLETLKELASSTADSIAFSNNQIHTILEDLVLLRSFLGNIVEQRNQNEKLQALWSRIMKVAYSAELEIDSALVGDQREHCLDAVAGDIKLMRIEAEGIYNSIRYDGETQRVTKTTIDMPSQVTVPISSEALVGLNDEVESIIDRLLRGSEQLDIVAIVGMPGLGKTTIVNKVYSDPSVKFHFHVHAWCCVSQAYSKRSLLVQILCCIDGGSSIQYVEMNEDDMADKLHKFLKRNRYLIILDDVWDIDGWDLLKHSLPDDCNRSRILLTSRFQNLSLQMKPDSKPHHLRPLTSKESLELLQKKLFGKQDCPPTLSEVVLHVAKYCKGLPLAVILVAGILATTQQDSWEEVARCLSSTIFVDNEHCMETLEHSYNYLPDYLKPCLLYLSAFQEDRDIPVRELLWLWISEGFVQQTNGKSLEDVADEYLMDLIGRSLVMATQQRSLGGIKTCRIHDLVHEFCLAKAKEERFLQIFHADDLPTFTGPCYLHRLCIYPTTSEGPLNSSLFFPNLRSVLLFDFGSLLLDRSSVKFPLSKLLRVLHLGGRFYWGTCFPREVLFFVHLRYLKIAWQKGEIPSAIVNLSRLETFVVGRRLTINYLLPNTIWNMKTLRHLVVFPNYVPNYGIGFRFPIDNLEGSPDLKHLDTLTLAIDPSSRSLQKILSKLPSIRRLTCVEVNRRASARNHNDILVLNCLSFLESLKISGFAGYEFEFPLNLKKLILSSNYQPWSNISAIGKLPNLEVLKLRYNSFVGEKWEMEEGEFRNLRFLKLSYLNIRWWTASSDNFCCLEKLVLHACHWLEEVPSCLGETLTLDMIEIKWCHESAVNYVKQIVQEQMDMGNNGLKIVIE >CDP02146 pep chromosome:AUK_PRJEB4211_v1:7:1705432:1707805:1 gene:GSCOC_T00039447001 transcript:CDP02146 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEHFPTLLLFLPVPGRSDRKKFLGLLFPTPPRLSYPVCFAPNPFLFPWVPSIPCVLFLVKASKLQHRNFLIRIPPPPQFNSLFSFCFSIFFPFLLARARPAARIVAILFLQSPNCLPAIHLPLISIGRLNLEPMSQGYALELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPLVDVSKLENIVKNFASKQEPLPLSFSSIGSLANDNNVLFLAPTPSLSLIQFHLQLCDAMRKDGIEIGEDYRPDSWIPHCPVAEEVPKARMAEAFTVLRDLKMPVTGYAMDIALVECPPVRELCSFVLGGGTVEP >CDP04757 pep chromosome:AUK_PRJEB4211_v1:7:15023464:15024753:1 gene:GSCOC_T00018845001 transcript:CDP04757 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRNDLCITAPNFFRCPISLDVMKSPVSLCTGVTYDRSSIQRWLDGGNNTCPATMQVLQSKDLVPNHTLQRLIQIWSDSSRTRSPATESTAVNSVTQAEAKSIIQQLKSNLLLPPGSHGENISGFISISLQCMGRLILFAKQSEENSNFLSSAGSELLPALVSKFSKLPMQIQASEKVFQLSYLLFKNHKSALEQQEIIIDDKFVAAMLNFLQLGSREFRISVAKLLKIIANLSSEKRHLLGENDDVYRDLLRLMISSEWDQEAMESCLSCLLTISMPKKNRLRLVRGGAVVVLSKALDEAELSVGSTEKVLKLLEIVSTCKEGRVEICGNEKCVEAIVKKVLKVSTLATEHSVTILWSLCCLFRDQRAQEAVTKSNGMAKILLLMQSNVSGAVRQMCSDLLKVFRVQSRNSCLSSYDTKTTHIMPF >CDP01835 pep chromosome:AUK_PRJEB4211_v1:7:8340896:8345123:1 gene:GSCOC_T00037007001 transcript:CDP01835 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTQLNFRSIAGVGASWTDQMNFCRCPIWSSHCCSLRIQFSFELTLNSAKPHSSGVISGRKSKLNRRVWCMSSLGSFSKKNGGDDDDDYVEAILLISETISHHRMRMHGFKEERTWQPSLHLGPFSIQPKDPRSQVHPIGSGFLRRFESPTIFLKISCDGDFILPIIVGESAVEKLIQSFYEDDAGDCPNQYQLVRNLMENSGYEAKGVRITERVGNIYFSRIYFHKPGETKILSVDARPSDAINVAKRCKAPIYVNKQIVLADATRLSYGAGRMSTKTVFDVSMDSPADGPDLLSEELNMLTNMNLAAEEERYGDAALWRDKLMKLRKSKYGS >CDP01945 pep chromosome:AUK_PRJEB4211_v1:7:7503215:7507688:-1 gene:GSCOC_T00037136001 transcript:CDP01945 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPGLARNLAELRQTFRSGKTRGLEWRKAQLRAILKLLDENEDSIFDALQQDLGKHPVESYRDEVGVLKKSAKYALASVEKWMAPKKGQMPLLFFPARAEVLPEPLGLVLVLASWNFPISLALDPVIGAISAGNTVVLKPSELSPASSSFLANTIPRYLDSAALKVIEGGHDAAEQLLQQKWDKIFFTGSSRVGRIIMAAAANHLTPVTLELGGKCPTILDSLSISDLEVAIKRIVGGKWGLCSGQACIGIDYVLVEEKFASILVELLKKCIKAFFGDSMPNLKNLCRIVSKSHFDRVSNLLGDPRVAASIVHGGSWDEQNLMIEPTILLDPPLDADIMSEEIFGPLLPIITLNKIEESIEFINLRPKPLAIYAFTKNERFKKKLLSETSSGSITFNDAVVQFVADSLPFGGVGQSGFGRYHGKYSFDTFSHEKAVLQRSFYLELEPRYPPWNDFKLDFIKLAYKFDYLGLLLLLSGLRRIFKRSN >CDP08419 pep chromosome:AUK_PRJEB4211_v1:7:25087408:25091463:-1 gene:GSCOC_T00027273001 transcript:CDP08419 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMRPLPSVIDFTQLLDRIVKMNKHYCSVIHLFRDMCVKGIPVNEYTLNVVINCYCLVGRVDLGFSILGGFFKRGLVPNVASFSTLLKGLFREHKVPQAQELFKKIIYNKVCEPNEVMFGIVIDGLCKDKMVDEALALFREMIEKSLPADVFSYNCLIQGLCSLSRWKEVKELFSEMKDYKIVPDVFTFNIVVDALCKEGQAEDAEEEVEEAMNLFRELQHKGLTPNIVVYTTVLQGLFSAGRYLSAREVFDEMQAAGLNPDFHTYCVMLDGLCKTGNVDEALQLFHSMEVDGVDLHVQMYGIILNGLCKSRRLDSARDLFNSLCLKGLDPDVRTYTIMIAGLLSEGLLIEAKELVEKMEEKGCLADGATYNVILQGLLKGGHYDDAMVCYEEMVHRGFSLDASTFSILLDSSAKNQNAKNQNNPSLLMLMLKIDPDSKKFMDGGQRGPSHYSIVWCGNPQNLVQLSGFVLLLFKTSCLSFHGEPQCGISLKWRGVEFVLQSVKI >CDP16738 pep chromosome:AUK_PRJEB4211_v1:7:822599:824244:-1 gene:GSCOC_T00019219001 transcript:CDP16738 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRTFHTSELENGKVLLRLAHLYETGDDRDYSVMEKVELKKLFPGKKAIEMLLFLNIYLSKMNLSANQERSEMEKKRLEWRVEGASEERRGLRGGAFDPTRLEVELAPSEIRTFEVEFDYIRMFGS >CDP02650 pep chromosome:AUK_PRJEB4211_v1:7:5550610:5554725:1 gene:GSCOC_T00040105001 transcript:CDP02650 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNMEDADGNRCQKLGKSSSFYRRIYSEVEDVGWEHLVRMGEDLTYLSFRVIDKKGRAHILEITLDTNYPKCPPSISADVPHIFNLDWSGNSKLKDAVVQFQHHVDKLQDFWSTLDDIDRSLWVVDPKDPHFAMSYRQINIGNDCYITLSVNASDPRSLPQCRFLGSDANVNLLRRKWKINCKRWVKDRSFSENLTSILDIELPQPPEVRKDDRQTECGVCYAQYLPIDDELGSKSGSATDYTCENNNCSRAFHSVCLRDWLRSITTTRRSFDVLFGNCPYCSNPVAVKIINKK >CDP01680 pep chromosome:AUK_PRJEB4211_v1:7:9597323:9603803:1 gene:GSCOC_T00036810001 transcript:CDP01680 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSCRNHYSVLILAVILLSATEVRSDASDHKYKVSDQVPLYANKVGPFHNPSETYRYFDLPFCLPAQVKEKKEALGEVLNGDRLVVAPYNLEFLHDKESEVVCKKKLSKEEVAQFRNAVSKDYYFEMYYDDLPLWGFLGKVEKTGKTDPSEYKYYLFKNIHFEILYKKDRVIEINARTDPGSIVDITDDKEVDVEFMYSVKWKETTTPFEKRMEKYSHSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYEHDEETADDQEETGWKYIHGDVFRFPKYKSLLAASLGSGSQLFTLTIFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYCQLEGTNWVRNLLLTGGLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRGTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACVCYAFFLMLGTVGFRAALFFVRHIYRSIKCE >CDP11583 pep chromosome:AUK_PRJEB4211_v1:7:20547112:20548708:-1 gene:GSCOC_T00033919001 transcript:CDP11583 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTGEKTSTTEALLQKNSVHKNIPVLIHDGKPVCESLSTIVQYMVWLTDFILFYFIFVSFHIDSKFFFFLRQKLELIRIVCQKSLADPCKVYQYVFTLKKNPEANILFTTGEKLESFGVKYEFREENLPNKTPFLFQMNPIHKKVPVLIHNGKPICESLIALQCINEV >CDP04739 pep chromosome:AUK_PRJEB4211_v1:7:15277915:15282677:1 gene:GSCOC_T00018818001 transcript:CDP04739 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSARANRRWADEEDDDNQLDRLRRPRRVRKYRSIVAIMRVARRVQLINEDDDDGFDQTVEIKKEENVDDGDGDDCYSKLGCQECGRGDNEEEILLCDKCDKGYHLDCLRPIVPRVPFGHWYCPSCSDHIRPSMALAKSLTQTSILDFFRIQKCSESMADFTSALDTRKRRKRSGPLVYHKKRRRLLPYIPTEDADLRLKQMGSLASALTAMNIEFSAELTYVRGMAPRSANQAKFEKGGMQVLCKENVETLEYCKLMEKSGLCPPLMVVFDSCEGYTVEADGPIKDLTLIAEYAGDVDYMKKRECDDCDSLMTLLSAKQPSRSLVVCPDKRGNIARFINGINNHLPESKKKQNLKCVRYDVDGKCRVLLVATRDIAKGERLYYDYNGHEHEYPTHHFI >CDP12857 pep chromosome:AUK_PRJEB4211_v1:7:13709598:13715156:-1 gene:GSCOC_T00037532001 transcript:CDP12857 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQGRIDIQSLVEKLKFGAKFLPQVNWSSPTGLHISVAASLLGATGLILAIKDGKVGSFINFGLPWSSSDGLVSSDKHWVVPGLQNLGNNCFLNVVLQALASCSSFQRFLDIVFEKYKFPSVEESAKALPLTAAVASLLEELCMVQYVRSVRSPRKVMVAMETYMPSFSLTSQQDAEEAFFHLLASLREELSESYVHWTSSLADATVLNNSRILAPRKRLRESEQQRWRRSFLGPFDGILGSILTCQSCSFQISLDFQLFHSLHLSPVLSSGGTIMTGCSLGSCLSQFFVSERLDNYFCSHCWHNAAIKYLSLTRENKTDIEKLKLCSEDDSCDCKSLCSLRPLPWSNSYSRSFKQLSMARSPQILCVHLQRASVNLFGELVKIQGHISFPLILDLSPLMKRGLVIKSLEENLQRGQVLSKCQPPFPCPMQPSMEHTTGLINCNGETANKFPLEAENPDHEQTVYDPPKNVHALKTWPKMPGNGSSVDFMMHFRPIHSNDKISLEVDGPSTSTSSKDHTYRLVSVVQHFGKVGSGHYTVYRRVKAETCDEDPIALLEPTHARWFCISDSEVHGVSEKDVLEAEASLLFYEKIH >CDP01503 pep chromosome:AUK_PRJEB4211_v1:7:11387931:11390430:1 gene:GSCOC_T00036577001 transcript:CDP01503 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRIFWDKRISQEVSGDALGDEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDIEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKAGKKVSKAPKIQRLVTPLTLQRKRARIAQKKMRIAKAKSEAAEYQKLLATRLKEQRERRSESLAKKRSRLSAASKPSIAA >CDP02560 pep chromosome:AUK_PRJEB4211_v1:7:4857532:4860600:1 gene:GSCOC_T00039982001 transcript:CDP02560 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKTDDVGAKPSGKDGKKEKLSVSAMLAGMDQKPEKTKSSSSSLSSVTSKPRSKSAPKVSSYTDDIDLPPSDDEEEEGCGSDEEQGQNASRTHPSRQNRIDGRPLEILVTDKELKKREKKDMIAAQAAEIAKQEALKDDHDAFTVVIGSRASVLDGQDEADANVKDITIDNFSVSARGKELLKNASVKISHGKRYGLVGPNGKGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDRTALEAVVAANEELINLRQEVASLQNASSDSVGDEKDDDDDDAGEKLAELYEKLELMGSDAAEAQASKILAGLGFTKDMQARTTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLIVVSHDRDFLNTVCNEIIHLHDMKLQYYRGNFDSFESGYEQRRKEMNKKYEIYDKQLKAAKRSGSRTQQEKVKDRAKFNAAKETSRSKAKGKADEDEPQHEAPQKWRDYTVEFHFPEPTELTPPLLQLIEVSFSYPNREDFRLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVATEGEVRRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPDQEGLSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEERSEIWVVENGTVETFPGTFEEYKEELLKEIRAEVDE >CDP01792 pep chromosome:AUK_PRJEB4211_v1:7:8724730:8726472:1 gene:GSCOC_T00036953001 transcript:CDP01792 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSLFSFHFMVMIYFCHSFLDNTEFVLLILQITGLAFSSLSSDYIYVQGVDYEVLCGNWYESEKAFSFRGDSNWLGFSKCPSRDIVGGWCDSGNVFVADIGLEKRY >CDP02406 pep chromosome:AUK_PRJEB4211_v1:7:3582250:3583679:1 gene:GSCOC_T00039773001 transcript:CDP02406 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEVVNVILQCKKDIWKDQDLYDLVNDYFENSLQSLDFCTALEACLKRSLHTQSIVLLALQKFEEEHENAQAHSQEESVNPYPKTLQELSNFKATGDPFTQEFFSLFQDVYKQQVLMLEKLQAKKRKLDKKLKSMKGWRKVSNVIFIAAFVSVLICSVVAAAVTAPPVVTALAAAAAVPLGSMGKWLNSIWSKCERDLKGQREVIFSMQIGNYVVIKDLDSIRVLVDKLQIEIEALLQTADFAMREDEAVVIAVTEIKKKVSGFMKTIQDLNDHADKCSRDIRRARAVILRRIINYPSGSDQSNGMPFLS >CDP02655 pep chromosome:AUK_PRJEB4211_v1:7:5575794:5579589:1 gene:GSCOC_T00040110001 transcript:CDP02655 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSPRRNQRNKGFKVKHALQICVLVGVCIWLLYQVQHSRSKKASYGESSNILEKVQKFGRKDIQPKNIEMITEDENQKEEREEASKLLEDELKPGENDHDRDKLGEEGEKETESTEQEVKDEENGENKDAEGEGGNEMQNQEKENDGNKNNGEEEETREDDRGKHDEEQSGEETGENKENGGAEKENETKEENNENANEDTQENGAEQKGNEEKDAKEENGENEETRENKNDLDGGEEQEVKEQVNDESKATEKEEKKQIGNEDQGREKQEDHEVKEQQEGKSEESPDEKVHQANEQTNEAAARENTNGWNAQSEEVQDSQSMKDTEKVNKENVNGEEQSTEKVQDKSEGNSENVTGANKVHDNESGSSAKEGESNEQNSPSTVATDEGNDSENTQQGSAHDSNPAEGRREANQEQGNGNETTQQESGESSNPTEGTHEANEKQGNANETTQQGSWDNSNPTEGTKEANQQQSISDNSKADADQNQRNAVGDVLPGGDGAQSTQEEQTENKDAATNNDKSDTSSNMKEGSAYGEGSNDVGNRQNAGSDTVGGTEKSSENSSANQVNEKVEIQKSDAHSETGPEEKINPSNDNDNTDSSENRSVDSSGSSSATKEEASSNSNENADAEQNSMVDSSSSTIPQEEKEARTDLETLPEMGNEGTSQEDAVA >CDP16635 pep chromosome:AUK_PRJEB4211_v1:7:139663:142020:1 gene:GSCOC_T00019088001 transcript:CDP16635 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRGFFASLWSFICFLPYFIGLLILGFIKGIFLCPLICLLMSVGNSAVTLGLWPVHLCYTYYCILSTKQLGPVLKLVLCPLVLVLLIFWPIIAVLGSILGGAAYGFFSPMLATFQAVGEGKTNQFFHCIYDGTWDTVKRSFTVVRDFLDMCYYSYFSIMDDLRVQGLSAGKYYEIRHGSLLLTVFSIELGAN >CDP04656 pep chromosome:AUK_PRJEB4211_v1:7:16696078:16702736:-1 gene:GSCOC_T00018689001 transcript:CDP04656 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEMEPVFGQLKAEWCSAPQQTTPLEPFLFLAGPLPNNPSTLRIHVSDFHSNTWAAVKSHSQLEDMRDSIGIGGSWSEFVEYVVASIKSDDVKLVMEGESKSGGAAYAKLIAQKAKGMPRISVSLVKLVDVNASEAMANLSLELYKAYKNMHISLIKEETRCCQLTNRIAAEQAKSETLQKQLNSMLFSKKQKLQKMNDDTTSDVVLVTPSQDSPDKQAAQISASTKATTRVVPAYRRAKVRGVFLQDSDDDDA >CDP02428 pep chromosome:AUK_PRJEB4211_v1:7:3756409:3756894:-1 gene:GSCOC_T00039803001 transcript:CDP02428 gene_biotype:protein_coding transcript_biotype:protein_coding MGICSSCESTNVATAKLILHDGRLQEFSYPVKVSCVLQTNPACFICNSDEMEFGDVVSAISDDEVLQPGQLYFALPLNRLKHRLLPEEMAALAVKASSALGTSGGEKCGCRKKRTLEVSCQDYRSRKVGDYGDDGLRRGRKGGDGGGRRRKYTAILSAIPE >CDP16651 pep chromosome:AUK_PRJEB4211_v1:7:213421:218745:-1 gene:GSCOC_T00019110001 transcript:CDP16651 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNRLPRKPSKSAEGRDGGPPTFSSNASTSSRNNDLSNSRSGNSNATSLAVASNPGLNHGNKLPQALNMKVNGNLAVSPYEALPSFRDVPNSEKQNLFIKKLNLCSVVFDFTDPTKNLKEKDIKRQTLVELVDYVSSANGKFTETVMQEIIKMVSTNLFRTLSSQPRENKVLEAFDVDEEEPLMDAAWPHLQVVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPPEFQRCMVPLFRQIGRCLSSSHFQVAERALFLWNNDHIENLIKQNRKVILPIIFPALEKNARSHWNQAVQSLTLNVRKIFSDTDPELFEECLLKFQEDEAQDKEVKMKREATWKRLEEIAAMKAASNEPVLVPRRLPSHTPSG >CDP02402 pep chromosome:AUK_PRJEB4211_v1:7:3551358:3554040:-1 gene:GSCOC_T00039768001 transcript:CDP02402 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLKTITQEIGEPKFDTLRFGLQSVKGEIVGSHPLESAYHTTHVRQQQMKRQILANAYGSAFPLKMEFDREILSKRFQRPAGAIPSSYLGLEAMTGSLEDFGFEDYLNDPRDSESFTPADMHHGMEVRLGISKGPVCRSFT >CDP02706 pep chromosome:AUK_PRJEB4211_v1:7:5967084:5968862:1 gene:GSCOC_T00040174001 transcript:CDP02706 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKDHAPKRSTLCLIQPHPHYENDQFREVSQNAFPGLSSSDKSTGIPRNFGVTSPSSLSSPSSTNSDGLGYQQGIKTLPEDGNSVINFRGVYGDFANAGGSLLSFDHSQNSFPGIITTQDDYSVWEDNLHFTYQDQLNNSRCSTPNPRPLENSNNNQSSSSYASTSNGVPFGWHSTEVNANASKNNSIQDLGRQEACTSAKRPYTEESTQALKKQCTSVTKTTALKSKSTPSKDPQSVAAKNRRERISERLKILQDLVPNGSKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGAKAPDLSQVKEAIDAILASQRDRNSSSSK >CDP12905 pep chromosome:AUK_PRJEB4211_v1:7:13172378:13172781:-1 gene:GSCOC_T00037594001 transcript:CDP12905 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVMRLGSEKPVVIFSKSNCGISHAIKMLICGFGANPTVYELDQHPVGTEMENALVALGCYPSVPAVFIGKQFVGGSNEVMDLNVQGKLKPMLIKARAIWM >CDP02748 pep chromosome:AUK_PRJEB4211_v1:7:6247996:6250724:-1 gene:GSCOC_T00040230001 transcript:CDP02748 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMI15 [Source:Projected from Arabidopsis thaliana (AT5G38150) UniProtKB/TrEMBL;Acc:A0A178UJM4] MNAGDVYHRSSRPVKAAATVYGERMLENHHSLKKPPQIYSEKPSPLTREPKFAMGETVKYNGSRSNGKPAEPQAESELLVANQTVKDLTSRIEISNSGAKERIQYLEKLTKTKKVEAGLGRNVENHRYPQLMAELKDVKQELKMLKEEMASLLEEKRRAEKETEASNSKLGSYSGTVDALKREIEETNEEQVLVELARMEALKEHEAIETEGKKEAERHSAAMEETRKKIKEMTQEIDAAKEIERKLAITTSDVKTLESELKQVKKMGTVNRGKETMRNSECSSQDGHVSSTPELLKSITKELEETKKELASVREEGFKFMASMDIVRTELKNVKEEAARSKKREEKTDLTVQNLNAKLLRGKAKLVAASAAEEKAKEIVSNLSRALEQMKTEAEAAKKERSLIDEETVNIKAEIEKTESEIDLAEERLQAAIEELKSAKSSEAAALGKLKTLIDNTVRRRVSVSQRSPVIMISSFEYEYLRNRAARAEEIADKKVAAAQAWIEALKASEREILIKLEKTKKEIRELKVEEDQEADNTQESLHEKPKVESEFKSWKQKYEKIIAQETPGPQAGLPAKSTNRSGRTTPMRRAKLRKAASPAPRSTPRSASFAVRRRKKVMPNLAKFFSGKSTNKGIEAH >CDP12805 pep chromosome:AUK_PRJEB4211_v1:7:14273831:14275666:-1 gene:GSCOC_T00037465001 transcript:CDP12805 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP02243 pep chromosome:AUK_PRJEB4211_v1:7:2427252:2427929:-1 gene:GSCOC_T00039580001 transcript:CDP02243 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSGLNHLPIEHMPLDNTIPLLVLVLGFLQVCLYIILDWDGKVYSFFLGSKSSTSSLQEQSSDGLKNSGVVGEEKKKKKKQKKNQVSEFLVKQQQPGFKVDKHDESVCGEDVKLVFTSLGLLGHHDHDGARIVEERLNCNDLFNLFEEKEPSEDEVKATFDVFDQNKDGFIDANELQRLLCALGLREGSELENCRRMITAVDEDGDDKIDFIEFVKFLENTFC >CDP02740 pep chromosome:AUK_PRJEB4211_v1:7:6172075:6175698:1 gene:GSCOC_T00040218001 transcript:CDP02740 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALLPYENVEDTRSRKAETCKPYVEELKRVNSIALPMIVATVAQYLLRVSPIFMLGHLGELQLSGVSIATSFSNVTGFSVLFGMSSALETLCGQAFGAEQYQRLGAYTYGAIMGLFMVCIPISLLWIFTDKLLILTGQDPAIATEAGLYLIWLIPTLFPYVILQSLVRFLQTQSLIFPMLYSTVASLCLQVPLCWALVFKFNLGNTGAALSIGISYWLNVILLGLYVKYSPSCKDSRLPFSMDALQTMGEFLRFAIPSAVMVCLEWWAFELIILLSGLSPNPQLETSVLSICFTIASLHYHIPYSFGTAASTRVSNELGAGNPQAARVALCMVLLVSVSEFLVASTTLFLCRTILGYAFSDEKEVISYVKDMTPLLCISIMMDSTQGVLSGVARGSGWQHLGAYVNLGAYYLVGVPMALILGFALHLRGMGLWGGLLSGATVQTLSLSAITSLTNWEKQSMEARRRIFEGQKEEPASV >CDP01558 pep chromosome:AUK_PRJEB4211_v1:7:10819406:10820263:1 gene:GSCOC_T00036650001 transcript:CDP01558 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSHSRYSESGKIFGSAPSLRILAPTTVVDLTSLLRVDSFGASAAAPPLEPFFAFPLAGEGEIRLEEKCL >CDP02011 pep chromosome:AUK_PRJEB4211_v1:7:7048089:7052974:-1 gene:GSCOC_T00037217001 transcript:CDP02011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRLSSLAAMAVAAAAAGASYSSVSNIAYADNPLRFPPFSTSRSAPSPPQIPESSSSDPASKSTADAEDSRGGFDPESLERGAKVLREINSSPYAKQVFEVMRKQEQTRQAELAAEKAHFEAIQAHADIDKQRKWADDQRNLYQQQAQTKAQVLRYEDDLARKRMQTDHEAQRRHNAELVKMQEESSIRKEQARRATEDQIQAQQRQTEKERAEIERETIRVKAMAEAEARAHEAKLTEEQKRRMLIDRINGEREKWLAAINTTFSHIEGGFRVLLTDRSKLVMTIGGATALAAGVYTTREGARVIWGYVNRILGQPSLIRESSITKFPWSGIVSGGVNKVFKYGTVAGEQSKSPLGGIVLHPSLQRRVEHLARATANTKSHEAPFRNMLFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVAPLGPQAVTKIHEIFDWAKKSNKGLLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAITDRIDEVIEFPLPREEERCKLLKLYLNKYLCGEDQSEDESKWGHLFKKRAQKITVKDVSDDVIREAAKKTEGFSGREIAKLMASVQAAVYGRPDCVLDSQLFKEMINYKVAEHHQRVKLAAGDGEPDKVAE >CDP04626 pep chromosome:AUK_PRJEB4211_v1:7:17245199:17246719:-1 gene:GSCOC_T00018640001 transcript:CDP04626 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLEVALEEARLEVLRESRPSSESSPEGTSGDFVGLLLEDWAFSLPCLLRYLPSSSKMVGLCDTNSAILAMASSLLGWVLWDPGSSEMWSCWAPEVCLAPFEGTLPLLERVDLISSSDLVPTDGAN >CDP16702 pep chromosome:AUK_PRJEB4211_v1:7:541334:542870:1 gene:GSCOC_T00019172001 transcript:CDP16702 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIFGVLLVLMVMSIGEAELKENFYSSSCPNVESIVLQAVNAKLAETQTFTPIPATLRLFFHDCFVEGCDASVLIASPNGDAEKDAPDNLSLAGDGFDTVFKAKQAVEAQCPGIVSCADILAIAARDVVVLAGCPSFSVELGRRDGLISAASRVAGNLPEPTDDVDQLTAHFAAKNLSQSDMIALSGAHTIGFSHCTRFANRLYSFTPSNPIDPSLDPNYAQQLIQECPQNVDPLIAVNLDPTTPTIFDNVYYQNLVGGKGMFTSDQVLFTHPSTKPAVTNFANSPSRFNAAFAAAMRNLGRVGVKTGRQGEIRRDCTTFNS >CDP01393 pep chromosome:AUK_PRJEB4211_v1:7:12723071:12724517:1 gene:GSCOC_T00036423001 transcript:CDP01393 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIFCNRYLLQCDHGVILCRYAVVTGANKGIGLGICKQLASHGITVVLTARDESRGLDALHQLKETGGLSGYLLFHKLDVTDSSSVDSLAEFIKTQFGRLDILVNNAGIAGAIEDVDALRAALVSGGLTDFKAFCTETYDLSVACLETNYYGPKRMVEAFLPLLQSPRIVNVSSILGKLQFTPNAWAKGILSDADNLTEERVDEVLNEYLKVFKAGTHDAQGWPMAYTISKASLNAYTRILAKKWPSVKVNCVCPGHVKTDLGHDFGALTVEEGAESPVRLALLPDDGPSGLFFSRKEAIFYE >CDP04712 pep chromosome:AUK_PRJEB4211_v1:7:15567804:15571285:1 gene:GSCOC_T00018777001 transcript:CDP04712 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGLRHPIFVQQWAVLVPILAFLPFSQCFNYGEALSKSILYYEAQRSGQLPYNQRVTWRHHSGLSDGFQEGVIFMKIQRVDLVGGYYDAGDNVKFGLPMAFTITMLSWSVLQYQEHVVAAGGEHGHALQAIKWGTDYLIKAHSEPHVLWVQVGDGKNDHQCWQRPEDMRTSRRAYKIDEKNPGSEAAGETAAAMAAASIVFRKVNPHYSHILLEHAQQVTYLNHLLVRMYGNFLNQKKKKKMVNSLVILIAFIFTYLIIRAYFFFFNIWYFLGCSLQKREIKRYIYSKCSRKDNVFTKLLINRVFIECIFVNSNFQIFHKSIFVSPCPKKKKKKKEKLPGAKNEEQNHILQKYRSKADYYICANLNKNRNASNVPRTTGGLLYTRKWNPMQYVSTAAFLLMVYSDHLKATNQNLNCNGIPVTADQIYESSKFQVDYILGSNPISMSYLVGYGSTSPRRVHHRGASIEGFQNWFRRQDPNPNVLVGALVGGPDEDDQFSDHRGNFRESEPCTYNTAPLVGIFAKLHALEKN >CDP01420 pep chromosome:AUK_PRJEB4211_v1:7:12346686:12352351:1 gene:GSCOC_T00036462001 transcript:CDP01420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 2.1 [Source:Projected from Arabidopsis thaliana (AT5G10180) UniProtKB/Swiss-Prot;Acc:O04722] MPSTSLNERGNFQFSEAHEKTAAEAVARAAEDLSQFPQTLQTTTVPSAQETSIMVADDKLPERFQWVLNAPEPPGLWCKLQNGMTETIFPLKNKFQHLREHQMSKSLGNLFPILSWGKAYNIKVFRKDLLAGLTLASLCIPQSIGYATLAKLDPQYGLYTSVVPPFLYAILGTSREIAIGPVAVVSLLLSAMIQKIEDPVANPVAYTGLVITVTLFAGIFQAAFGAFRLGFLVDLLSHAAIVGFMAGAAIMIGLQQLKGLLGTTKFTNKTDIISVLAAVWRSVHDSWNPLNFILGCSFLSFILTTRFLASNILQTGRKKKKLFWLSTIAPLTSVVLSTLIVFLTRADKHGVKIVKHIKSGLNPISVHQLQLNGPHTAEAAKIGLIVAVVALAEAIAVGRSFASVRGYHLDGNKEMVAMGVMNVAGSLTSCYVATGSFSRTAVNFSAGCETVVSNIVMAVTVIISLELFTRLLFYTPIAILASIILSALPGLIDLNEAYNIWKVDKLDFLACIGAFLGVLFASVEIGLLVAVGVSFVTVILSSIQPGTETLGRIPGADIFVDVNQYPMAVEIPGILVTRLKCALLCFANSNCIKERILQLATGKQEVGDKGNANGKTQLIVFDMSNLMNIDTTGLASLKELHNSLASEGIEFAVTNPRWQVIHKLRLANFVDNIGRRVFLTVGDAIDACITAKIA >CDP12909 pep chromosome:AUK_PRJEB4211_v1:7:13144803:13145111:-1 gene:GSCOC_T00037599001 transcript:CDP12909 gene_biotype:protein_coding transcript_biotype:protein_coding METVRRLGSEKPVVIFSKSNCCISHAIKILISSFGANPTVYELDQLPKGREVENALLTLGCNPSVPAVFIGKIFVGGSNEVMSLNVKGKLKPLLIEANAIWM >CDP02587 pep chromosome:AUK_PRJEB4211_v1:7:5088324:5093043:1 gene:GSCOC_T00040022001 transcript:CDP02587 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPRRVVTVDLKKKPGEQMQPLHNRWHPEIPPVAEVKSGEVFTIEMLDWTGGAIKDDNSAVDIKILDLSIVHYLSGPIKVTDADGIPAKPGDLLAVEICNLGPLPGDEWGFTAIYDRENGGGFLTDHFPNATKAIWYFEGIYAYSPHIPGVRFPGLTHPGVIGTAPSMELLTIWNERERTLEETGLHSLKLCEVLHARPLANLPSTKGCVLGKIQDGTPEWEKIAREAARTVPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVAFCGAIEMSGFLELKCEIIRDGMKQYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHFLDASVAYKRAVLNAIDYISKFGYTKEQVYLLLSCCPCEGRISGIVDCPNALATLAVPTAIFDQDIRPKANKVPAGPRVVRYPGIPQCPYEGNLPTTKNPGATL >CDP02614 pep chromosome:AUK_PRJEB4211_v1:7:5297420:5300863:-1 gene:GSCOC_T00040057001 transcript:CDP02614 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIQHPGNKVERIYWSVSAHEVMSSNPGHYVALVISSSTATRSENGTPVKQLKLLRPDDSLLMGQVYRLVSFEGMTLLLSYVLKEFAAKKSVKLGKLLKESGGLVLDTKTNLSALTPNSNSRPRLVNGTSSLIKGAHEGYGAGGSSSSGMGSNSGSSSSNRGVGRHHIGHVGQWKPALQSIAEIGT >CDP01492 pep chromosome:AUK_PRJEB4211_v1:7:11463881:11468895:-1 gene:GSCOC_T00036564001 transcript:CDP01492 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKDGVKLPSYKGDNINGDAFDEKSRLPDPQRLIRAYCQSAATLNLLRAFATGGYAAMQRVTEWNLDFVEHSEQGDRYQELAHRVDEALGFMAAAGLTMDHPIMSSTEFWTSHECLLLPYEQALTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSQKMDPKELVNIIEILNPMNKPGRITVIVRMGAENMRVKLAHLIRAVRGAGQIVTWVCDPMHGNTIKAPCGLKTRAFDAILAEVRAFFDVHEHEGSHPGGVHLEMTGQNVTECIGGSRTVTYDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRIGTQRLLSLSM >CDP04658 pep chromosome:AUK_PRJEB4211_v1:7:16611794:16614204:-1 gene:GSCOC_T00018696001 transcript:CDP04658 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVFFRQVIADLSLPNSSPFAKLLDSCIKSKSARDVVGVHARILKSQFSHEVFINNRLIDAYGKCGSLDDARKMFDGMPQRNTFTWNSMISALTVSGFVCEAEKYFSLMPEPDQCSWNLMVSGFARHEFFIDSIEYFVKMHREDFVVNDYSYGSVLSACAGLRNLKMGTQIHASVAKSLHSADVYIGSALVDMYSKCGRVGCAQKVFDGMGERNVVSWNSLITCYEQNGPAIEALRVFVKMMNCGIKPDEMSLASVVSACASSNAIKEGKEIHARIMKFDGFRNDIVICNALVDMYAKCGRISAARCIFDSLQMRTVVSETSMVTGYARVASVKSARALFSRMTERNVVSWNALIAGYTKNGENEEALGLFLQLKRESVLPTHYTFGNLLNACANLADLKLGKQAHAHVLKHGFRFQYGPEPDVFVGNSLIDMYMKCGSVLDGSSVFRSMVERDYVSWNATIVGYAQNGHGIEALDFFRQMLRAGEKPDHVTMIGVLCACSHAGLIEEGRLYFYSMEEYGIDPLKDHYTCMVDLLGRAGLLNEAKSLIESMPMPPDGVVWGSLLAACKVHGDIDLGRFVAEKLLELDPNNSGPYVLLSNMYAQLGLWTDVKRIRKLMRQQGVVKQPGCSWIEIESNMHVFLVKDKRHPQKREIYLLLKTLTKLMRLAGYVPDVGAFDADEEYRPEYTSVDELSSPLLASAAL >CDP01861 pep chromosome:AUK_PRJEB4211_v1:7:8163547:8170382:1 gene:GSCOC_T00037035001 transcript:CDP01861 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWEHFGEIANVAQLTGVDAVRLIGMIVQAANTARLHKKNCRQFAMHLKLIGNLLEQLKISELKKYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQTEIDRYLKIIPLITLVDNARVRERLEIIDRDQREYTLDDEDRKVQDVIMSRDPSVKDTVVLKKTLSCSYPNLPFTEAIKKENEKLQLELQRSQANYDVNQCEMIQHLLEVTEAVAATSVPEKVSPKKTSKEMDHSFSDLNNDNEHYGERYTKSVDKHPPSRNTSSVSSKHDLLDSQGSHQHEEWHSDLLGCCSEPLLCIKTFFFPCWTFSRVATVATSRRVSSSEACNEMMAYSLILSCCCYTCCIRQKLRKQLNIAGGLCDDFLSHLMCCCCALVQEYREVKIRGIHGFEKTKTSPPASQYMES >CDP01410 pep chromosome:AUK_PRJEB4211_v1:7:12416200:12417168:-1 gene:GSCOC_T00036452001 transcript:CDP01410 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGTLEYLSDLVSSGHKHKKKKQMQTVELKVRMDCEGCELKVKKALSSLSGVKTVEINRKLQKATVTGYVEPNKVLKKAKSTGKKAEIWPYVPYGLVAQPYAVQSYDKKAPPGYVRKVEYPTTGTVTRYDQDPYISMFSDENPNACSIM >CDP02202 pep chromosome:AUK_PRJEB4211_v1:7:2087563:2089893:1 gene:GSCOC_T00039522001 transcript:CDP02202 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGSKSYAFDSLGWQRVELVTTHCLSSNLLPFVALIACICCLFVNRQFYSWRGSEKKEKQNPSDTRRPMSTFIKSEENPGRRRMCTADAGAPDGRSVKRRRRDQQTAAADAFPLACPGQQMQQQQLEQLVDLSSAPTTVKRSSRFRGVSRHRWTGRFEAHLWDKLSWNIAQKKKGKQVYLGAYDDEEAAARAYDLAAIKYWGTSTFTNFPITDYEKEIETMQTVTKEEYLASLRRQTALNLFYRVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPGVNPAASAQESEPIIHPQTPPSHDFRAGETNQSFIFQRSFSTGDLNKEEICERQLPVYSCNKSSSPTALGLLLRSSIFRELVAKNSIVSDEENDSESGKVQPQVVNDEYLAEMFDDGNGATPFGVTSESYGIDLEEPFRFNYDCLENPLQTRL >CDP01510 pep chromosome:AUK_PRJEB4211_v1:7:11325598:11327413:1 gene:GSCOC_T00036585001 transcript:CDP01510 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CDP01485 pep chromosome:AUK_PRJEB4211_v1:7:11530752:11530895:1 gene:GSCOC_T00036557001 transcript:CDP01485 gene_biotype:protein_coding transcript_biotype:protein_coding MENPIKKPHAICNPYPVQSHISAMLKLAKLFHHKGFHKTFVHTEYDC >CDP02770 pep chromosome:AUK_PRJEB4211_v1:7:6373218:6374000:-1 gene:GSCOC_T00040264001 transcript:CDP02770 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEICSETSSMGASPRISFSHDLSQTEGVPIEQYIRTTSSSSIDFDFCVFRESFDQESSSADELFSDGKILPIEIKRKLGPSSPSKSSTSSSSEATPTPISAQTPQPSSDDHDIVDPIKSPKSDKTSSEKRRGVSESDEKPNGKSFWRFKRSSSLNCGSGYARSLCPLPLLNRSNSTGSTPNVKRSSSGKDSNLSNKQNSLKQSQSALSAGGYQKPPLKKSYGSYGNGVKVTPFLNVPSPSMFGLGSIFSPGKDKSKKK >CDP02761 pep chromosome:AUK_PRJEB4211_v1:7:6315609:6322375:1 gene:GSCOC_T00040251001 transcript:CDP02761 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMKDLLSTTSLLFIITSFTLLHIPKSFSQDQQQFETCSEPFRCGNIDFSYPFWGGDRPESCGYPGFNLSCQGNVPRFTVGPVAYRILSSVDTSSQTVTVARDDLWDSNCPQSLHNTTLNFNIFSYPNTVDNITLYYNCTQLPNNLFQLQYQFNCTVNSTTPSTNFFQTSGAAFWSACAANIRVPINRAAFPSLFNTTAILNPTDLRTALTTGFPLRYEANNTACNNCSNTGGQCGYDTRSNSFTCYPNNKNGEPSSSKSLVSVSLQRRRRLAAAAAGVGTLCCFLKRCSAWEAVIYWKSDEGNNQQVEAFMRNYGSVAPKLYKYSEIKKMTNSFAKKLGLGGYGSVYRGKLSDGRLVAVKVLNDNNGNGEEFINEVASISRTSHVNVVNLLGFCYDRTKRALIYEFMPNGSLDKFIYQKRSSGDMTKDCQLEWKTLYEIAVGTARGLEYLHKGCNTRIVHLDIKPHNILLDKDFCPKISDFGLARLCKQKQSILSTIGARGTAGYIAPEVFCRSFGGISHKSDVYSYGMMLLEIVGLRRKIGTDSEQTSERYFPDWIYEHLELGKDLEIQGVMNEEEEDTSRKMILVGLWCIQTNPTDRPPMGKVVEMLEGSLEHLKIPPKPFPESPPMARDRPLPNSFHCEAGAKNTTNFFTTNTKLAPRPDCKSMITVPVDRRIAQVIGNTTRATGNDLNEALSGGFPLRWMANNTSCDFCIASGGRCGSIPNSNTFACYCPDRSYAFSCNDDQTGSGSVTGNQSISGHRGRIKLAIGLSVSLGLAGIVTLSAATIYGYTKLKTHTKQDKGKNQKVEASFKNYGSPAPKLYSFSEIKKITNSFIDKLGQGGCGSVYKGKLSDGRLVAVKVLSDTKGNGEEFINEVASISRTSHVNIVTLLGFCYKDDKKALIYEFMPNGSLDKYLYEKGSSNPSLLLDWTTSHKIAISVPKSLILAWLN >CDP01844 pep chromosome:AUK_PRJEB4211_v1:7:8294795:8299213:-1 gene:GSCOC_T00037017001 transcript:CDP01844 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGRHLRGPSRKATSSSTLIFAMFIMFSFFLLIMLALGLLSVPSTSRDSQKAHDLSTIAHNSIDRGDEDDEGRGDQWVEVISWEPRAVVYHNFLSIEECEYLINLAKPHMQKSTVVDSATGKSKDSRVRTSSGTFLARGRDKTIREIEKRIADFAFIPVEHGEGLQILHYEVGQKYEPHYDYFLDEFNTRNGGQRIATVLMYLSDIEEGGETVFPAAKGNISAVPWWNELSECGKGGLSVKPKRGDALLFWSMKPDATLDPSSLHGGCPVIRGNKWSSTKWMRVHEYKV >CDP02568 pep chromosome:AUK_PRJEB4211_v1:7:4922419:4926917:-1 gene:GSCOC_T00039994001 transcript:CDP02568 gene_biotype:protein_coding transcript_biotype:protein_coding MLGACYRPWESCLGGGRGGDGLLWHMDLKPHASGDYSIAVVQANSSLEDQGQVFTSPSATYIGVYDGHGGPQASRFITNRLFPFLQKFALEQGGLSEEVIKKAFNAIEEEFLHFVKSSWLSQPQIASVGSCCLVGAIANGVLYVANVGDSRAVLGKRVIGCPESNSSTVVAERLSTDHNVGIEEVRKEIEALHPDDAHIVVHTRGVWRIKGIIQVSRSIGDIYLKKPEFNRDPMFQQFGYPVPLKRAVMSAEPSILIRKLRPQDLFLIFASDGLWEQLTDEEAVEIVVKNPRIGIAKRLVRAALQEAAKKREMKYNDIKRLEKGARRHFHDDITVIVIYLDHQGSPTFRLKDTGIFDCTSVPVDIYSLNSDHADNTPQVSP >CDP02657 pep chromosome:AUK_PRJEB4211_v1:7:5584850:5585880:1 gene:GSCOC_T00040112001 transcript:CDP02657 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGVDFSSNSLTGNPIGEKFLISAGSVNRLIYNFYWWNYITRRYKLPEFGSLYLQINNLNGQIPESPIPNEIGNLLKLESLDLVENSLSGSIPVAIFNISTLRMLNLANNHLSGILPSNMCHGLHNLEYIDLLLNNFSGAIPASISNCSKLTEIYLGDNKLSVNLHLQNWASSLPS >CDP02093 pep chromosome:AUK_PRJEB4211_v1:7:1350692:1356308:-1 gene:GSCOC_T00039377001 transcript:CDP02093 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNFPLSNLRFSFFGSVREREREGEKNKVGRRKWSISSRFFCIGLRGPTPFNSRTDVVSCTVRKESVFKNKSWRMAATATVSAGIRYAPEDPTLPKPWRGLVDGKTGYLYFWNPETNVTQYERPVSSSHVGSAPLHKPLSSSVHVQKSSQGQRHESSPIDDDDRYSRGGNGASMKVFSGVGSSQDLHSGPYHPRDVANVTVGTGGVKGYSSSSAGTGLSGEAYRRRHEISVTGDNVPPPLTLFDSTGFPSEILREVYNAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLIPGFIHLKRRHNNPQLGPTVLVLSPTRELATQIQDEAIKFGKSSRISCTCLYGGAPKGPQLKEIDRGVDVVVATPGRLNDILEMRRVSLDQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMYTATWPKEVRKIAADLLVNPVQVNIGNVDELVANKSITQHVELLSSMEKHRRLEQILRSQEPGSKIIIFCSTKKMCDQLARNLTRQFGAAAIHGDKSQSERDFVLSQFRNGRSPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVAYTFFGDQDAKYASDLVKVLEGANQRVPVEIRDMALRGGGMGRSRRWGSGPGGRDGGRGGRYDSDYSSRDGRGSWANSSERGGGHGYDRDSRDSERYGRNSRDAEASGSYHYGSFHEKMTQKHSRSRSRSRSRSPIRGSGWGDNRSKGRSRSRSADRFDQAPPVRSFHEAMMQRARSPPKFGDLDFPSFNGSKSKEDSDNHWGSLQSPSHGSERENVGGSCEQQWGHSPVKRQDNQSNLHIGEEEEEGMIQAEEALAPHDEDGLFPRNK >CDP12867 pep chromosome:AUK_PRJEB4211_v1:7:13542846:13547253:-1 gene:GSCOC_T00037543001 transcript:CDP12867 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNITWHVSNSCVHSSLSILPQQPKAFPKSKSLPLFSLQFSTSLAPIYRHLTFLTPSFDSLSPLSISLKTPIISLSLYNGSKTAEILRGATHLTEEMKMKAENSTCTHLFFSSAKLFLLYHHLYLQHLIVFLTTIFFFLSTISPAAASSAASASGSSINGLSRDSQQLLSFKASLPNPTQLSDWLPANSPCNFTRVSCNKNSRVSSIDLSDLPLGIDFSLVSSSMIVLQSLELLVLRNTSLTGALTSLVRSQCSAFLSSVDLSENSISGPVSDILSFEVCSSIVSLNLSKNSLDPPMKEDKASTFGVQELDLSFNNISGQYVVPWLLSNQFPGLQHLSLKGNRVVVDFPALNLKNLSYLDLSMNNLSAGFPSITDCSNLEHLDLSSNKFSGDVGSSLSSCGKLSFLNLTNNLLKGAVPELPSGAGGVMQFLYLGRNGFQGVLPPYLSDLCPSLVELVLSYNNLSGNVPESFGACSVLELFDISNNTFFGELPVDTLVKMSNLKNLSLSFNNFLGSLPESLSKMVSLETLDVSSNNLSGVIPSGICQDPRNNLKVLYLQNNLLTGSIPESLSNCSKLESLDLSFNYLTGTIPSSLGSLSQLRDLIAWLNRLHGEIPQELMYLQRLENLILDFNDLIGSIPASLSNCTNLNWISLSNNQLSGEIPVSLGRLAYLAILKLGNNSLSGNIPAELGDCRSLLWLDLNTNFLNGTIPPGLSKHAGNIAAARLTGKRYVYIKNDGSKQCHGAGNLLEFGGIRQEQLDRISTRHPCNFTRVYRGITEPTFHHNGSMIFLDISHNHLEGSIPKELGFMYYLQILNLGNNNLSGPIPPELGGLKNAAILDLSYNRLNGSIPQTLTGLTLLGEVNLSNNNLSGPIPEVAPFDTFPETTFANNSGLCGYPLPRCGTNSGPGPNEHQKSHRRQASLAGSVAMGLLFSLFCIFGLIIVAIEMKKRRKKKEAALEAYMESHSNSATANSNWKLSARDALSINLATFEKPLRKLTFADLLEATNGFHNDSLIGSGGFGDVYKAQLKDGNVVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKFGSLEDVLHDRKKIGLKLNWAARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGRQPTDSADFGDNNLVGWVKQHAKMRISDVFDPDLMREDPSVEIELLEHLKVACACLDDRPWKRPTMIQVMAFFKEIQAGSGIDSTSTIAADDGSFNAVEGVEMSIKEGNELNNHL >CDP02501 pep chromosome:AUK_PRJEB4211_v1:7:4348705:4363190:-1 gene:GSCOC_T00039899001 transcript:CDP02501 gene_biotype:protein_coding transcript_biotype:protein_coding MACNKSFCSNYMLLSPEKAGLVDLVCFLFSSNIYNRKFVDVPKGTKMPFAPRWIIFLTVVAQILLRLVAKPVAWLGNLLEQWLNLLHMNTNIFVLIYNLVTGQVIGIPDETSAKYLSVLAFLDLRQDLDENIAAGDSRYNPHLSIMAAKVAYENKAFIESTVTDHWKMDFVAFYDFWNDYQKKATTQGFLFRKDENTDTETIVVAFRGTSPFDTLDWVSDVDLSWYEFPGLGKVHAGFLKALGQQKLTGWPKNLIQIFGGHDYAYYTVRGKLKELLKKNDKAKFIVTGHSLGGALAILFPAILAYHGETSMLERLDGVYTFGQPRVGNEQFGKFMEDQINNNLLPNYYRTVYCNDIVPRVPSDNSVTEFKHFGTCAYFNSFFKGKTVDEAPNKNYFSILWLIPKIINSIWELIRSFIKGCVWGSDYKETGLMQLLRVVCLLFGGVPAHCPPDYVDSTRLASTELYGTGGSSTEGKTKNKWATELDPLVDHNCLLQIILKLFAKPLKWLGYAIQQWLNLLHVNGGFLGLIFKILREKIPDRNTSKYLSIVGLLDDREKLEENIPIGDTRYNPALAIMAAKLSYENSACNEAVVSQSWRMKFLGFYHFWNGNLREKSTTKALLFRQNENTDSELIRVAFRGKSPFDADDWITDLDISYYDLPNVGRVHSGFMKALDLQKCHGWPKDITQPHKEYAYYATREILKDTLKNNPKAKFMVTGHSLGGALAILFPAILAYHKEKELLERLDEVYTFGQPSIHGTQH >CDP02382 pep chromosome:AUK_PRJEB4211_v1:7:3426986:3429555:-1 gene:GSCOC_T00039748001 transcript:CDP02382 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGVACPKLLQNLPYFLLRRFSSAPSPIAAAVSPLNLVERADSEANFSINPTVTPTQSSRDSIVDFQDVKGLFSTLSTPRLLKSLITLEMAAVDPMVDMGLWVMNSRLMETPILKQVISEAVKHTFYDHFCAGKNLEEAGRTITRLWDPAGLQGMLDYGLENAVDYESCDQNTMEFIKTVEYSSSLPPSSVSFVVVKISAICPPSLLRRVSDLLRWEYRDSAFHLPWKLNCFPIFSDSSPFYHTPKKPEPLSPEEERNLLLTHERLFKICEKGMEANVPLLIDAEDTALQPAIDYLTYSSAALYHRDDEPLLFGTIQAYLKDAKDRLVKAKKAADKAGVPVGFKLVRGAYMSSERGIAASLGAESPIQNNIQETHASYNSCAEFLLEEVASGSGAVVLATHNVESGKFAAVKATELGIGKDNRKLQFAQLYAMAETLSFGLKNAGFRVSKYLPFGPVEQIMPYLLRRAEENRGLLSTSNMDRLLMRKELNRRLKSFFTEEQGY >CDP04709 pep chromosome:AUK_PRJEB4211_v1:7:15604506:15609835:1 gene:GSCOC_T00018773001 transcript:CDP04709 gene_biotype:protein_coding transcript_biotype:protein_coding MASCYLVLPSPVRTIPNCLTSFTRSLPSVQLACQPVVFKPISCSLGPKEELSVTNLNFKKQLCRAKASMAGVSDEKTQDKVQVFDSEEDLALELAKYVAHLSNQFVRERGAFTVVLSGGSLIKSLRKLVDAPHIDSVDWARWHIFWVDERVVPKDHPDSNYLLAHNGFLSKVPIPAGNVYAINDALSAEGAADDYETCLKHLVHDKIIELSGTTGFPKFDLMLLGMGPDGHVASLFPGHPLVQESKKWVAYIKDSPKPPPERITFSFPVINSSAHVALVVAGAGKADVIRKALGSNDQKSDLLPVQMVSPEGNLAWFLDKDAASKL >CDP02308 pep chromosome:AUK_PRJEB4211_v1:7:2865864:2868262:-1 gene:GSCOC_T00039664001 transcript:CDP02308 gene_biotype:protein_coding transcript_biotype:protein_coding MANPHVLVIPFPVQGHVIPLMELAQCLVEHGFMITFVNTEVTHERVLDALADKGLLDDRIRLVAIPDGLESEEERKIPGKLAEAIYRVMPGKLEELIREINASEEEPITCVIADQGLGWALEVAGKMGIRRAAFLTAAAASLVLGFRIPCLIDDGIIDHDGTPIKKQTLQLAPTMPLMNTSDFVWARVANLALQKIIFGAMVQNNRLVKLADWLVCNSTYDLEPGAFDLAPEIKPIGPLLASNRLGNSAGHFWMEDSTCLEWLDKQPPGSVIYVAFGSFATFSKPQFEELALGLELTNRPFLWVLRQDTKDGTNATYVEGFQPKTATQGQIVSWAPQQKVLSHPAIACFLSHCGWNSTVESVSNGVPMLCWPYFADQFFNQSYICDIWKVGLGFKRDASGAIAHGEIKNKVDELLGTMTHCTDRIQGRGPLVGWAPRQKVSSHPSVACFLSHCGWNSAIEGVSNGLPFLCWPYFADQFLNQSYISDAWKLGGRLNRDENGIVGREEIKNKVELLLTDQGFKARAALDLKAKAMNNTAAESGRSGKNFNNFVKWVKDERNDSCSQEA >CDP02162 pep chromosome:AUK_PRJEB4211_v1:7:1804042:1804910:1 gene:GSCOC_T00039469001 transcript:CDP02162 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNLVQKFVELSSSAKTIVFLPPKEVPSSLWVAAVQILCGSFGSPPPPSLCCTQNHLHYSLESEASVLRHKLTEKDAIIADLQSQLQSLDAPFSDASDKLTLADQEKDKLLKENETLLNIVKKLNRDVAKAIDSI >CDP16000 pep chromosome:AUK_PRJEB4211_v1:7:28169000:28172617:1 gene:GSCOC_T00016943001 transcript:CDP16000 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNYGSEERARKLRADLAVEEHRGQEFSRILKETLPDPRTSNPQKSRAGRKRSTERKKISKCLAEEAMAYFDECVSISTFDSSDFSASEDPSHISAGPSTAVHDIVPVLQGSLSINLPLHAHDSFSKEKQTLGGHGQLMQSREDSNLTAHSSSNALTVDQVDKRSKSRGSSEPCRFSFDQKPKENTGPQNNITNYVKHFERGLGTKAAGSDYTRTVYDTGEFDLHGCLESFLFERVFFRKRVESGSLHLCGGSGSVTFSSFGSVL >CDP12803 pep chromosome:AUK_PRJEB4211_v1:7:14289597:14291489:1 gene:GSCOC_T00037463001 transcript:CDP12803 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVWMLLLLARDIKLMKIEAEEIYDSIRYDGETTRLTKTTIHMPSQVTAPSFNEALVGLNDEVESIIDRLTRGSSWFDVVAIVGMPGLGKTTLANNVFGYPSIKFHFHICVWCTVSQVYSKHNLLLQILRVIDSNSSNQYHEMNEDDLAQMLYQHLKGRRYVIVLDDVWDIEGWNLLKHSLPDDCNGSRILLTSRFQNLSLQIKPDSLPHRHRPLTDKESFELLQKKLFAKEDCPPTLREVVQHVAKDCKGLPLTIVLVAGILATTEQDCWEEVARHLRSSIFADDEHCMKTIEHSYNYLPDYLKPCLIYFGASQEDKDIPVRKLSWLWMAEGFVQKTEGKSLEDVADNYLMDLIGRSLVMATKQGSLGGIKVCRVHDLVHEFCVAKAREESFLQISHGDDLLTFIGQCNPHRLAIYPTTSQGLKKSMLFFPNLRSLLFFDDGYEEAELGEIWFKLLSPKLIRVLDLGYNLVFGRYFSEEVVVFFVHLRYLNIRLDGKAGIPSAIAKLSRLETFVIEPVGSCFLLPNTIWTIKPLRHLVVSGPFETGFQFRIDNLEGSPDLEHLDTLTLAINSSPQSLQKILTKFPSICRLKCVDVPDRVHDGILVLDHLSRLESLKMSSFKDMSLNSH >CDP01576 pep chromosome:AUK_PRJEB4211_v1:7:10686330:10686956:1 gene:GSCOC_T00036670001 transcript:CDP01576 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENTSSSLPVKPNFQPLKAHEISSGQVQFRKVPVPPHRYTPLKKAWMEIYTPIYDQMKIDIRMNLKGRKVELKTRPDTPDISNLQKCADFVHAFMLGFDVCDAVSLLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENSTRTRIVIADTKIHILGSFANIKIARDSLCSLILGSPAGKVYSKLRAVTARLAERF >CDP02012 pep chromosome:AUK_PRJEB4211_v1:7:7044246:7047633:-1 gene:GSCOC_T00037218001 transcript:CDP02012 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAKDKTDSWADWALGKFSEGFGLDEDNTKDTVQRMADKAKDAASKSTDAMNSAAEETSKYASQKAGDMADSAYEKMRDAKNFASEKADQTRHMASDKAYNAQEMAGDAKDLGKERAYDAYNIASDKAGEAMNVASDIAHEAKGRGKEKMLDAYDFSAEKVGNAMNKASEMGSEAKEAATDKAYNAYAYASDKAGDAMNKMSDMVGNAKEIGKDKAQNAYSYNSDKIGQAMDEASDLATSTKEKLKDKASDKANDGKEAMHNAMGSMKDEVVGRYEGAKSKVHDTYLNAKDTMTKDAKGSYEAAKEKASQATGDIGELMRSETAEL >CDP01823 pep chromosome:AUK_PRJEB4211_v1:7:8415010:8418597:-1 gene:GSCOC_T00036993001 transcript:CDP01823 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAGAATEQCKKAMKIGLSLFGRRFNSSKCKTMAKMAVARIKLLRNKREVVIRQMRRDIAMLLEKKQDATARVRVEHVIREQNIMAANEFIELFCELIVARLQIIAKRRDCPADLKEGISSLIFAGPRCSEIPELLAIRDVFEKKYGKDFVSAATDLRPNAGVNRMLIEKLSVRTPSGEVKLKVMKEIAKEYQVDWDTTESEVELLKPPEERIEGPTTFASASGLPVKPVMNQSVAPEPPLTSKHPGVGESKTLHFQDSASAAEAAAKSAKEAIAAAEAAAYLVGKEAHASGFHNSKNGANMNKFISSVRSNSAGIYMPHDMAQDDEMMGDDEYKVQKSIKRRSSENSHSSSAEHNNFDTPKVYRRHSYNVPSARSDIKFDDSDCDDEIDVEEPPLNLRRHSYTVPPAKSDVKFDESDVDEEIEMEKPGQGMNQPPHRPAPQAPGMQGKRDSFPRVHPRLPDYDTLAARFEALKYRKS >CDP12887 pep chromosome:AUK_PRJEB4211_v1:7:13314198:13316362:-1 gene:GSCOC_T00037568001 transcript:CDP12887 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQLRLQSSCLSFRTKSASSPFLVLEIGINDDCLIGCTSKPSMGRSFSRLNISAVMGIQ >CDP04704 pep chromosome:AUK_PRJEB4211_v1:7:15645814:15646026:1 gene:GSCOC_T00018766001 transcript:CDP04704 gene_biotype:protein_coding transcript_biotype:protein_coding MTSILFSGTSMACPHGAGIAAHLKCAHPDWSPAALLRKFFINSLKCADGSRLVRQHIQFLRIFLVVLINN >CDP12795 pep chromosome:AUK_PRJEB4211_v1:7:14426085:14429361:1 gene:GSCOC_T00037448001 transcript:CDP12795 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTSKPKVLKGDVPEEKVKAPEPAPKKVVVEEEEKKDDAVEKAAAVVVEEEVKKDEDVAAAAGDEKVTRSRSLGNLFKENQERKDSSENEAVKESVGSEVEPAEAETVKEVQPEEVKPAATEQVAASVVDAAEKEVQPEEVKPTAEAKDAVRSDETPEEKSEKSEEKKQEESQPATVTGKDEM >CDP01509 pep chromosome:AUK_PRJEB4211_v1:7:11336249:11344392:-1 gene:GSCOC_T00036584001 transcript:CDP01509 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPGIGGEQNISIPHGGPIYSPHMLGRLTRVSEFESSVFEQLQNLKAEIGWDSLDISDDEICVNDLKIIKEEDLVNRAFEEALKDAQLKENIAQKSQEQSCQRLEGGKDTLVTCGSSTGAPRDVKKTVSNDNVSEKRERKRRVNRETVNLEEGYVAKVRELAKIKQKQDEDKTAARLHSFNSSCRNQSAASSQIKNDKLTSLKSTSFSPKVRSSKTPEQVRVHFPEVILCLEVYHNRRTWSKTQEFLVLGRQFLTEVRDMIYCLTDEIMKKAGKHDASGYFLVEDVFCNDTRDPYAIDYCQPIFKWLEHSRNVALEKWEFIVAGEQQQHQKRKAFLDSNEKLQLPHFKVVDMQKTRFCDLGFRLGAGYLYCHQGDCKHLIVIRDMRLIHPEDVQNRAAYPLITFQSKVRFRKCSVCNIYKATKVTVDDKWAQENPCYFCELCYYMLHYADGSLLYSDFSVYDYLHE >CDP04655 pep chromosome:AUK_PRJEB4211_v1:7:16728922:16735170:1 gene:GSCOC_T00018688001 transcript:CDP04655 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKYSRVDGRKSSTNYCSTVTIVVFVALCLVGVWMMTSSSNKNVNSESSSKGNENKPNQFEDNPGDLPEDATKGDNNVSSNSQEKLPQENQEKPGEENREEEKRSEDGSKSGNENGETKTDEKDSEAGETNGSNASGGESGDDNKSVENSDETKDVDKEDSQKDKKDTVSDQDSGQKKENNQTTEVFPSGAQSELLNETTTQNGSFSTQAAESKNEKESQQSSEPENQSGTSWKLCNVTAGPDYIPCLDNLEAIKNLRTTKHYEHRERHCPDDPPTCLVPLPEGYQRSIEWPASREKIWYHNVPHTKLAQIKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQQSVPDIAWGKRSRVVLDVGCGVASFGGFLFDRDVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGRVFDVVHCARCRVPWHIEGGKLLLELNRLLRPGGYFVWSATPVYQKIPEDVQIWDAMKKLTKSMCWESVSITKDRVNGVGIAIYRKPTTNECYVQRSQNEPPLCEESDDPNAAWNVPLQACMHKVPVAESERGSQWPELWPARVDKPPYWLSSSQVGVYGKPAPEDFAVDFKHWKRVVTNSYAKGLGINWSTVRNVMDMRAVYGGFAAALKDMNVWVMNIVSIDAPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKVKNKCNFNAFVAEVDRTLRPEGKIIVRDKVEMINELESIFKSLHWEIRMTYSKDKEGLLCAQKTVWRPKESEIVSYALA >CDP16735 pep chromosome:AUK_PRJEB4211_v1:7:806291:807398:1 gene:GSCOC_T00019214001 transcript:CDP16735 gene_biotype:protein_coding transcript_biotype:protein_coding MACHIDFRCLDEGFGGKTLKRKRSLHLQQQHQHQNHDHSAAAATATDDMELEDFEADEDNTNLPSNKRQAVTSSDNPNKPVGLPTSSGSRNVSGRNWKQVRTLRASARNASRKRSTTAEEQRKREREIKKAYQERMKELKEEIRQNKAEKRKKREEREKRKQDNILRSGTKLQKITNPKTLKKISKSAKQRKLLKLLPDADPPAK >CDP01718 pep chromosome:AUK_PRJEB4211_v1:7:9301285:9308206:1 gene:GSCOC_T00036857001 transcript:CDP01718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nijmegen breakage syndrome 1 protein [Source:Projected from Arabidopsis thaliana (AT3G02680) UniProtKB/Swiss-Prot;Acc:Q0H8D7] MVWGLFPVDPLPGEDRYYFFSKGTYKVGRKGCDIIINKDKGVSRIHAEIVIDEMVCFDNPQRQSSDISSKVRIRDCSKYGTFVKKNLGSKEKVHEFPEKETMLKDGDLVSFGTGNATYRFGYVPFIILYCCSKDLQVKEPLRQKILSIGAFVAQEWSLKCTHVLIDDLVPLKEELIDAIVAKKPFISFDWIEFIAGKNICTEIPSYLSYSPTLLLEEASVRVADPQSRENCLNGYTFLLESRNKYRHKDKLQPLLEVGGAKVASLEGFCIDSQVLEEEGIRHVVRVIPTGATSSSNGSQSIRSLPSVNEMDLISVVLSGHLDPFIIVSPPVLVTSSCSTDETVVAESDEEVETATAVHTSTAVYSVESSEHDSKEMTDLHMIESTQHDSGEETAINVIESTETDRKGNITLASVNAIEHEIKEEIPCNNVSIKWSEGASFTGLRNRDGRTGSRLEKVEESECGNVDVIYSQDLIVRDSLSASVHSSTNDAVINFKCFRKRETPSGNSFSSLIPFSKDPYKESDYGNEEVAEYLKEEKKRKQMEALAEDLFKYEVGRRRNAAGSRTGLNV >CDP04764 pep chromosome:AUK_PRJEB4211_v1:7:14906218:14909806:-1 gene:GSCOC_T00018859001 transcript:CDP04764 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLFFFLLSTFHSRIAAQQRPLNISLGSSLTPTGNSSSWLSPSGIFALGFYQQRNGYAVGIFLAGIPQKTAVWTANRDSPIFSSNVSLILSTDGRLILQQPEGQDITVVDPSESISSASMLDSGNFVLYNSDKEIIWQSFEHPTNSLLPRQQLIPGQELISSASETDDSRGIFRLVMQTDGNLVQYPVGAANGPENAYWVSKTFGDGPNVTLNLEDDGHLYLTNSSVNLVKNLSDGGHPKNKMIYLMKIDVDGIFRLYSYSVDQGRNWSIIWESSTDRCDPKGLCGFNGFCTKIDNLVDCKCLPGFQFVNQGNWRLGCERSFVTDSCNSTDSNVNYTIEFLENTVWEDNTFSMVNTGTREDCAKICLEDCNCEAAFFKDGQCKKQRLPLTYGKRETDSNIALVKVHKHATIDEGKNRKEEDRVYILKIGISLAVFGALISLFAGVYVHRNRARACKQVLGNGNVEFDKNVAPRAFTFAELEQATNEFREELGRGAFGTVYKGILPNSNKVVAVKKLEKGLAEGGEREFQNEISVIGKTHHRNLVQLLGYCLDGAKRLLVYEYMRNGSLEKILHKPENHPSWDERMKIACDIARGILYLHEECETQIIHCDIKPQNVLMDESRCAKISDFGLAKLLKNDQTRTYTGVRGTRGYVAPEWFRNLPVTVKADVYSFGIMLLEIICCRKSVDCTSPENEAILEEWANQCFEAGELYKLVGDEEVDDVRELERMIKIALWCIQEEPALRPSMKKVLLMLEGTGDIPIPPSLPSFSSLIRHANKHFKSKTRFDLLLEQDQIEPSHQARVEIFTRHEEFGIMLLEIICCRKSVECTSPENEAILEEWAYQCFEAGELYKLVGDQEVDDVREPERMIKIALWCIQEEPALRPSMKKVLLMLEGIVDIPIPPSLPSFSSAISL >CDP02194 pep chromosome:AUK_PRJEB4211_v1:7:2038638:2042109:-1 gene:GSCOC_T00039512001 transcript:CDP02194 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISWMKLKGSQNLRLRLLLSTLSSTPILIEDIRADATWPGLRPHEVSFLRLLEKISDDCLVEINETGTKLKYKPGIVMGGKHLIHDCGVIRSIGYFLEPLILLGLFGRKPLCIRLRGITNDSKDPSVDTFRSTTLPILKRFGVNPEGLELKIESRGVAPGGGGEVILSVPILQDSLRAVTWIDEGMVKRIRGVTFSTRVSVQFENTMIHAARGIFNRLLPDVHIFTDHKAGLLAGRSPGYGISLVAETTSGCFISADNSVCYAQGEEEADIEDEAMQDLMPPEDTGEQAAGVLLGEIEQGGVVDSTHQGLLFLLCALCPQDVSKVRVGKLSPYGIEVLRHLRDFLGVKFVIKPDPSTETVILKCVGCGLKNLSRKVS >CDP02639 pep chromosome:AUK_PRJEB4211_v1:7:5468483:5473138:1 gene:GSCOC_T00040093001 transcript:CDP02639 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTISRIRHIQSLLSPKSLVYTTLTNHIPGYDTHPSLLSPSNSFFESPKLHSFLSTLSFPFSSSQILQRRHQDGESIENNELVSRPPKLFVVQPRFRPEPVLQWKLEEALNLANSLEEQRDGYYDTEFSEKELPPHVVVQNPIDRSPRADTYFRKGTVDTVKCHLYALDSKDEVDAIFVNASLSGIQQRNLERIWGKPVLDRVGLIIEIFNAHAQTKEAKLQAELAALMYKRSRLVRVRGPGGRYTFGGAGEAEVVSARGRGSGGRGFISGAGETELQLQRRRILERRSQLLSEIKEVRRTRAVQRAARKRHGGSDDDVIATVAVVGYTNAGKSTLVGELSESYLYCDDRLFATVDPKLRSVVLPSGRKVLLSDTVGFISDLPVQLVEAFHATLEEVVEADLLVHALDSSSPFLDEQRKTVLQVLRQIGVSEEKLDNMIEVWNKIDLQDEEFQRDEYGEDEEVGDLSDATDGENVSDQLSGLDVSEADDVDAKAELSGNCEEGLDDQQGDYSDGWLLSGEEQESLVDYEGCSVGQDTPDNLRSFTYRSWRNSRKDPQFDLGPTAYVKTSAIMGVGLQELLELIDERLKIQNEKVVERSIFDRKWRPPRSEDPIAAEQ >CDP04616 pep chromosome:AUK_PRJEB4211_v1:7:17476795:17477103:1 gene:GSCOC_T00018623001 transcript:CDP04616 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKKGWLVVWVGLEEGEDGGFQRFVIPISHLKHPLFISLLDNAHEVYGYHATGPLRLPCSVDDFLHLRWRIEKETNNYHNHFHQQNHFHHHLPGSLSFNSC >CDP04676 pep chromosome:AUK_PRJEB4211_v1:7:16152521:16159416:1 gene:GSCOC_T00018727001 transcript:CDP04676 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRKGGFWYKELFPFTAMVATQCINVGVSIIFKAATLKGLDFRVFMLYSYCISALLFLPLCYFSHRKSQLPPLTIGLLGRFLFLGFLGFSGQYLGYIGIEYSNPTLASAMTNLTPASTFILAVLFRMEKLEMKSWTTQVKIIGSVITIAGALLVVLYNGPVLIRSSTSSASVLAQHPALVTIAGGTKHSDWVKGGALLAVEYVIVGLWCISQAKVIADYPAELAVVFFYNLSCLILAAPACLMGVTNSSAWNILKPDVRLYSVVYSGVMGSGFGILIQTWGVHIKGPVYIASFMPLSIAIAAIMGFIFLGDDLYLGSVIGSLIISLGFYALIWAKAKEDCEKGDEFGASSSQNAPLLGQYDDSTNEGRIISQTSPEVSHNYKKLHSNFKNYIYLPYFYCLVTL >CDP01497 pep chromosome:AUK_PRJEB4211_v1:7:11435401:11439960:1 gene:GSCOC_T00036570001 transcript:CDP01497 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLFQDIFTVQEVDPGGKKFDKVNRIVAKSEQLDMYMHLDINTDIYPMRVGDKFLMGLASTLNLDGTPDSGYFVQGGRKSLADRYEYVMQGKLYKISEEKKKRRAEILASFGGLLMMLRGDLSVASKFELDQRLFILIRKV >CDP12799 pep chromosome:AUK_PRJEB4211_v1:7:14358478:14361948:1 gene:GSCOC_T00037456001 transcript:CDP12799 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQLLSELQELRKDDRFFDMDIQELRMILIGQYSLNMPLPDLKRKIKSIAGSLNFCLTKRGSTKPNLSHLSKDLSLRLTLLFGLLHFAKMHGKQIQSIDLVVHCAFLAVNAKCMCKLYKSDHEEEELRGDISQLQQKVKLLGPQIREISVRVLTALKSESISSVTFTLEKNRHRAAGIVYLHLDVLMQLLECYTTFTFQVQDQMLKLHKALRFLIVILAYNGNVLPGGNSAQFNELRDKMKDRIRVVVIDVGIVICSLSVNEMKYGLAKETDLALIDLLKELQFVREAFSKMFPLPSSSSLSFPRTNELGFVEFLLENLKELTRSRANSIAFPIHKIQAVQEDFLSLRYCLEKIAEQRNQNQAIQTFWSHVVEVAYKAEVIIDSALVGDKHESCLDAIARDISILKVEAKEINDNNRNDGKALRATKTFIHMPSQVTAQPSHEDLVGRDDEVKIIINRLKRGSMQLDIIPIWGMPGLGKTTLANKVYCDPSIEFHFYIRAWCCVSQVYSMRSLLLQILHGTGFKSSNQSLEMSDDDLEEKLRKVLRRNKYLIVLDDLWDIEAWNLLKRSLPDDANGSRILFTSRFENFSSQIKQDSKPHHLRLLTDEESWQLLQNKLFGKGVCPPTLSKVGLRIANNCRGLPLTIILIGGILATTERDCAIWEEVAKSLSSGNVPDTERWMKTLELSYSHLPDYLKPCLLYFSAFQEDRDISVQRLLWLWISEGFVQKSEGKSFKDVADEYLMDLTARSLVMVTKERTMGGAKACRLHDLVHEFCVVKAKEESFLQIFHGDDVVTYTGLSNPYRLFIYSMKSSTLEELKLFLPNLRSLLFFVDYDGIHHKLDLSPHGFLLPRLLRVLDLRKLFLGEDFPMELVSLVHLRYLAIRGHIEYIPSAIANLSKLESFLLKGSGANVVLPNTIWNIKTLQHLRTSSFYGFIFPFDNLEVCPDLNNLDTLSLAVDPHSQSLQKILKKLPSIRRLKCVGDKSGESTANCNKILTLSYLSRLESLNLDAFVGYEFEFPSSLKKLTLWSNHQPWSSISRIGKLPNLEVLKLRYQAFVGEKWEVEEGEFPNLRFLKLSSLDIHRWTASSDNFSLLEKLVLHDCVKLEEVPSCLGESPTLEMIEVSSCGKSTVNAIKQIQQEQMDMGNEALKIVY >CDP12894 pep chromosome:AUK_PRJEB4211_v1:7:13260348:13266235:1 gene:GSCOC_T00037577001 transcript:CDP12894 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASKLQLIDLRSTFLSGTSRRGFALRPPHLPMLPTTTATTLKTATFPKRQPKTSAKLQAVAVETAETEVKDEIESLFTEDSDTQLGSKRVNKQSSSGASSVSSGIRLENVSKSYKGVTVLKDVNWEVKKGEKVGLVGVNGAGKTTQLRIISGLEEPDSGNVIKAKANMRISFLSQEFEVLGTRTVKEEFMSAFKEEMQVAERLEKVQKAIEKSVDDLELMGRLLDEFDLLQRRAQAVDLDVVEVKINKLIPELGFVPEDSDRLVASFSSGWQMRMSLGKILLQDPDLLLLDEPTNHLDLDTIEWLEGYLQKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTYDGNYSDYTIARAVWIEAQFAAWEKQQKEIQQTKELMNRLSAGANAGRASTAEKKLEKLQDEEQVDKPFIRKQMKIRFPERGRSGRSVVTIKNLEFAFGDKTLFKNANLTIERGEKIAIIGPNGCGKSTLLKLIMGLQKPDRGEVLLGEHNVLPNYFEQNQAEALDLDKTVLETVAEVAEDWRLDDIKGLLGRCNFKADMLDRKVSFLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAINEYKGTVITVSHDRYFIKQIVNRVLEVKDGHVQDYVGDYNYYLEKNLEARKRELEREAELEEKSPKAKAKSKMSKAEKEARKKQKMQSFQAAKQKSKGLKNAKRWN >CDP02165 pep chromosome:AUK_PRJEB4211_v1:7:1819381:1821981:1 gene:GSCOC_T00039475001 transcript:CDP02165 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHHHHNHHHHRPSRLSLPPRTTVPHTTPTTSRPTPYQGFPFPPATPTPTPSKHRLSSLSTKTSSSKTSLSFLFLLFSLRSLYSLLPFLRSSPSSFSIFPFSFLVSLLSFLLTLSFPLFISLSSSSFRDHFHQKQRQPIFSFTSITQSQYRLLVAKSLLLAVIFLLRFQALRYCGTAALILAELSGNVAARFIAEGKNRNFIGKTQIGMSKVRGFIALFSGLFLLSISWDRLDCFPFSSINVHKFGLSFFPGQNCIRIWPMLLPFLSGFLGCYERVSMNWGTVRQLGPKRVRLLSLFFTTVVLFVPAVISMFIFEVEGDSISIANLGWPLANTVVFGVLLSENYTDEKVAASKDFQREYLVTFVCTVILELFYFPELSLWGLLICGLLLWVSVSELDPVHPNYIELGVESSDSFAMSIMKPIRHILSERKSRKIALFLLINAAYMVIEFVAGFMSNSLGLISDACHMLFDCAALVIGLYASYISRLPANGQFNYGRGRFEVLSGYVNAVFLVLVGALIVLESFERILDPQEISTNSLLAVSVGGLLVNVVGLIFFHEEHHHAHGGSGTCTHSHSHSHSNSRSDSHSHHSHHHHSHDHKCQIQHKTHECVPVVHNSHVKPCSGDAEHHVGHHEHHDCDNHQKSDQKEGEECGTMQCDGHDDLHRYDHGAGTLICDGSLCVNGQSHGSHAGQSVHVLGDLHGHDHSHLEELNRKQQHHHHIDHNMEGIFLHVLADTMGSVGVVVATLLIKYKGWLVADPACSIFISVLIISSVIPLLRNSAEILLQRVPRALEQDLRQAVNDAMKVDGVRGIQNLHFWSLTNTDVVGTLHLHISTEASKASAKAQVSHVLEDAGIKDLTLQVECVK >CDP01974 pep chromosome:AUK_PRJEB4211_v1:7:7310722:7314334:1 gene:GSCOC_T00037171001 transcript:CDP01974 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVMEPANEIRQRGKHYYTMGQTLFEIDTKYVPIKPIGRGAYGVVCSSIDMETHEKVAIKKIQNVFGNRIDALRTLRELKLLRHLKHENVIALKDVMMPVHRSSFKDVYLVYELMDTDLHHIIKSSQPLSNDHCKFFIFQLLCGLQYLHSANVLHRDLKPGNLLVNANCALKICDFGLARTGNDSGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIIDVLGSQTEANLAFIDNLRAKKFIMSLPYSRGVNFASLYPHADPLAIDLLQRMLVFDPSRRITVTEALYHPYLSGLYDPSRNPPAQFPLSLEIDENMSETMIRETMLREILVYHPPQVTYTNTNTVINLI >CDP15704 pep chromosome:AUK_PRJEB4211_v1:7:23078836:23079849:1 gene:GSCOC_T00015701001 transcript:CDP15704 gene_biotype:protein_coding transcript_biotype:protein_coding MFCDDFVLSLMNNFLVVAASEAGGITQGMGAYKVQVPFDGKPQTCVFLDTPGHEAFRAMRARGAKAIDIVVIVVATDDGIRPQTEEAIAHAKAAGVRIVIAINKVRLHLF >CDP16749 pep chromosome:AUK_PRJEB4211_v1:7:891666:898133:1 gene:GSCOC_T00019232001 transcript:CDP16749 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEERRRKAAKNKEVIRLEPESVIPVLKPKLIMTLANLIEHSSDRSEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVHGAQKLQQQNLSLDEIDILEQNFLTYLFQVMEKSNFKIATDDEIDIAHSGQYLLNLPITVDESKLDKKLLKRYFEEHPHENLPEFADKYVIFRRGIGIDQTTDYFFLEKVDMIIARLWTWFLRKTRLERSFSRRSVSRQKSDQKKSDEKTADNEEDCIFVERIRLENMEISFRSLLSKITIQEPTFDRIIVIYRQAGTQLKPERGIFVKHFKNIPMADMEIVLPEKKNPSLTPMDWVKFLISAVVGLVAVVGSLEVPKADLWVIFAIVSTVLGYCAKTYFTFQQNMATYQSLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISFFILMEQGKATLQDLDLRCEELIKDEFGETCNFDVNDAVQKLEKLGIVARDTIGRYYCIGLKRANEIIGTTTEELVLKARQGGAAS >CDP01613 pep chromosome:AUK_PRJEB4211_v1:7:10239386:10244131:1 gene:GSCOC_T00036715001 transcript:CDP01613 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDVKQRLMPQPVDRQTGQVLDFPEAVLLTKPVDPVFKGEVDDKYFYAGDNFDNRVYGWVCSDPTIGFWMITPSNEFRNGGPFKQDLTTHVGPTVLNMFVSTHYAGDELALQFTSGEPWKKVFGPVFVYLNSDVKAKENPSLLWQDAKQRMLKEVEAWPYTFPSSKDFFKPNQRGTVGGQLQVLDKFTNKAPVPGINAYVGLAAPGAAGSWQRESKGYQFWTKTDAKGNFVIKGIIPGKYSLYASVPGLIGDFKHSQDVTITPGSNVNLGNLVYKPPRNGATLWEIGVPDRTTGEFFVPEPEPTLKVHVFTTGDGESGTYDDKFRQYGLWKRYNDLYPTRDLVYTVGVSNHTKDWFYAQVQRCKDKQTCIGTTWQIVFNLQELAASGNYTLQLALASASFAELQVRFNDRNAAPLFTAGLIGRDNAVARHGTHGLYWLFSIGVPRNLLVKGKNTIFLTQARNSDQFRGFMYDYIRFEAPATP >CDP12809 pep chromosome:AUK_PRJEB4211_v1:7:14264755:14266590:-1 gene:GSCOC_T00037469001 transcript:CDP12809 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP02295 pep chromosome:AUK_PRJEB4211_v1:7:2761659:2772693:1 gene:GSCOC_T00039650001 transcript:CDP02295 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQEQKFVCKFCNKCCFSGKSLGGHMRRHLALIAAAKKEKVKADINAGFGGGYDHDDHHDHEKVDNHSSSMNLENDDHDQDQDNYGLRENPKKSWKISDLRACGPPRKGNICKECGKWFPSSRALAGHMRTHSGKFKGRHPCKKCGKAFDSMRAMYGHMKSHPKRSRVSSESADAISDFDIVYPRKKRSVMRYKSSHSFSYSTANASSSLNENDEVEDAAICLMMLSRGVSNWDGVDCPLVYTDNATSAYFEAKSVSLPEESTKVDAEDVVGNPDEVEMPEKPIEELYSCFFGSANSLSNQNVSQFNELSSEFASGNEKKAELEFRVYEPLCRGEPNESRLLDVSGVELDGSDSAGVVEPLKDQSKAVGMDQEDIQLMDPNPCKKVEINAHAPELEICSSDRADTADEIFKISEKKREYRCRACNMSFSSHRALGGHSNKHKTNGHCSASGTESSHLTDIECNGTSAEAGSDGVAMADLQLTKTKDHECPICFKVFSTGQALGGHKRAHYAGFSESRTKEMTAANQELRDIQNVFDLNLPGITHPGARDDGISLKAWYEPLRAQVLDTLCGRHTITYSLPSHFDIQPPGVDPSTPVTVKPIGSHVPSGYEAPQLSLAYTYSQAMASASAPYYQDPNAAITPYCLNTYAEGITMPSTAAQKLVTANSGSILWTNSTTGSHKTSAWKKCPKKIKVVQSAWCEICRVDCNSKNVLDQHKLGKKHKKNLEKLIVANTSMVAPATISASVPPAASTVSDNPVIGPQENPDKAKSAVSQNGRKKAADAEDLETKRKKIVEGGAAVDAVRTCAICNVVCNSETVFRYHLAGQKHAAMMKKHAQHAFATGVAAAT >CDP02500 pep chromosome:AUK_PRJEB4211_v1:7:4348169:4348605:-1 gene:GSCOC_T00039898001 transcript:CDP02500 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLQQPLQGQMYTSTTKQELLFSPRVHSQKTKLSLGADEGFWDGIVLGREYRELNLMPAVRFLGFLFVVGIPAHCTSSKLYVNSTRLASLDLYLPNHGFTYRKTSGSP >CDP01412 pep chromosome:AUK_PRJEB4211_v1:7:12407106:12408636:-1 gene:GSCOC_T00036454001 transcript:CDP01412 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLPISRIVNNTNRQVTFSKRRNGILKKAYELAVLCDIDIALFMVSPSGRISYFSGTKRIEDVMLQYFNLKDEERESDHDSEGIVHDKEVRQILICNDTYKFGRERTRSSNSNEYKQEIMNLQHQLEIAKEQLRQSSEERLSEALNLVEKRKRHLLTDHSSSYQETVEVSPQLFVVWLVVVFFVYDSACFWIPYRDIDDHFFLWIQSTSFNQCH >CDP12845 pep chromosome:AUK_PRJEB4211_v1:7:13842582:13849272:1 gene:GSCOC_T00037517001 transcript:CDP12845 gene_biotype:protein_coding transcript_biotype:protein_coding MLICSSHCRVYTYYFLSHLCRRFKEKKEAVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFFDSMSVPMAIALSGHLLLGQPVMVKPSEAEKNLVPSNASSNSSVVGPYGATDRKLYVGNLHFNMTEFQLKQIFEAFGPVELVQLPTDPETGHCKGFGFVQFAQLEHAKAAQVLNGKLEIAGRTIKVSSVTDHVGVQDSGQKTADFDDDDGGGLALNAQSRAMLMAKLDRSGIASSVAGSLGVPALNGATPAQQSITMPMVAPTAMSAPVLPAQVLMPPEPIGNPSECLLLKNMFDPATETDPEFDLDIRDDVREECSNYGPVKHIHVDKNSAGYVYLRFENVEAAARAQQAMHKRWFARRLISAIFLQPYEYDAKFKGAA >CDP02456 pep chromosome:AUK_PRJEB4211_v1:7:3945070:3946190:-1 gene:GSCOC_T00039839001 transcript:CDP02456 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNSKFHCYIIPARIGGPHKLPRISNCKMEAIKEQPKHFVAVHGVGHGAWVYYKLKPRIEAAGHRFTPVDLAGAGRNEKKLEEIRTMVDYTRPLLEVLASIPENEKVILIGHSGGGMAAAVGLEKYPNKVSVGVFLNAIMPDAKNRPSYVFEEYTARTPIEKWLDTKFFPYGDPPIMALLCGPEFISNSLYNRSPKEDQALGKLLVRPGSLFMEDLLQTEKLFTEERFGSVPQAYVIASDDKTITADFQRWMIQNNPCVKEVKEIKGADHMPMFTKPDELCQCLLEIAKTYA >CDP12796 pep chromosome:AUK_PRJEB4211_v1:7:14402561:14415269:-1 gene:GSCOC_T00037450001 transcript:CDP12796 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPLIHHSPRILSLPSSNSSFINTNYTGKNSSNNSNNNNNHNQNNISNSCSSSPYCSCSSSSSSSADNRQRSNSDFIAFCGIKKIWKHQGFRAHAMSSTQSNVASPKGIYKLKDEPDHLLVLVHGILASPSDWAYVEAELKKRLGRNFLIYASSCNSYTKTFTGIDGAGKRLADEVMQVVRKQETLKKISFVAHSLGGLFARYAISVLYTPNNSGHPYDLSASTKGLIAGLEPINFITLATPHLGVRGNKQLPFLLGVPFLEKIAAPLAPIFIGRTGSQLFLTDGKPNKPPLLLRMASDCEDGKFISALGAFRCRVLYANVSYDHMVGWRTSSIRRETELVKPPQRSLDGYQHVVDVEYCPPVSSSSPHFPPEAAKAKEAAQNAPTMQNTLEYHEIVEEEMIRGLQQLGWKKVDVSFHSAFWPFFAHNNIHVKNEWFHNAGAGVIAHVADGINQQAKQQESSAYITASL >CDP02190 pep chromosome:AUK_PRJEB4211_v1:7:2017634:2018282:-1 gene:GSCOC_T00039508001 transcript:CDP02190 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDLNFLESCLVGGSSCKISNETVVGIEIIFLKKSQIFRVFFFCILYDDPTNKKNCDWKLFDLLGPLFESSVKNTINMNSGIVSGPLFESSAKHWYKSSK >CDP08382 pep chromosome:AUK_PRJEB4211_v1:7:26661711:26662721:1 gene:GSCOC_T00027204001 transcript:CDP08382 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSFCASTAFALFPLPSLSHYILSLSFFGQCCSVTCPHALEPGTKVELSSSAKAIVFLPPKEVPSSLWVAAVQILLRFVWFSSSSFIKNKKTKRKKLSKESGGPNNNFDLPEEVLEVLLPDPFEQLDVACKITSIALSTRVSSLESEASVLRHKLISKDAIIADFQSQLQSLDASLSDASDKLTLADQENEKLLKENEMLSNTVKKLNRGVAKASGSI >CDP12829 pep chromosome:AUK_PRJEB4211_v1:7:14010354:14012153:-1 gene:GSCOC_T00037493001 transcript:CDP12829 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYHLIFLFFLPFLLYTSQLVIVYSIDPTDGFVELPFNTSFYHIQKPHDLPVDQRYSFVDGVHKLWVYSTDNPLSRNSPTMPRTEIMIQGYIYSSGVWQFEGYGFVPNGTSGVCIMQVFGASLPHNTTLMLRVYNGSLAYFEQANVLVHNIYDRWFRVNVIHEVAASKLKVYIDGVFTFEAAGRGGNSHYFKCGVYSQAGDSYYMESRWKGIKVLKQM >CDP12804 pep chromosome:AUK_PRJEB4211_v1:7:14276100:14277935:-1 gene:GSCOC_T00037464001 transcript:CDP12804 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSQPSASFLTKYNRGDENKKQMKLKRRHLSYTGMKGGNNCQENLFFGCTSASLMVMVSIRKN >CDP02266 pep chromosome:AUK_PRJEB4211_v1:7:2592155:2598949:1 gene:GSCOC_T00039616001 transcript:CDP02266 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTPSHNIKARRRPYYRPRKHKGKLSNNVADGIRKRNSDARVADFSLSEFVHTTTTCRRSEVSNATFHLTQLQWLHSQVDANVICHEDAWFDTLSILDSDSDDDFSSVHGDVLPIKSSGQVLQYETSSCFMDSKCTYKEYHERYLKIDNGKSEKRMSIDGVKEPNRYALVSTQGYELPCLAQTEELGTKRKKLLDRAYGSFSGLKEDKHEMEEKTQEHMLKSVLPRLVSSVSFNDKIITGLSAHPQSQRKKSTVIRLSIKRTSVDGEEPNEFCESKKYLYRPRAGLLIPCCTEEKPTLGSWSAIEPSKFSLRGESYFKDKKKCPAPNYCPYTPIGVDLFACPKKINHIAQHLELPSVKADGKAPPLLIVNIQLPTYPAPMFLGDSDGEGLSLVLYFKLSDTFEKDISPQFQESIKRLVEDDMEKVKGFAKESTVPFRERLKIMVGVVNAEDLISTSAERKLLHAYNQKPVLSRPQHNFYQGPNYFEIDLDIHRFSFIARRGLDAFRGRLREGILDLGLTIQAQKQEELPEKVLCGVRLNKIDFVNHGQIPTLVRLEDD >CDP01743 pep chromosome:AUK_PRJEB4211_v1:7:9097858:9101439:-1 gene:GSCOC_T00036890001 transcript:CDP01743 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLGCAHLIGLIVLASFIILPPSKALVVIALLILFTVLPTCEGSKWGRNLSRYICKHACGYFPVNLYVEDYKAFDPKQAYVFGYEPHSLVPIGEIALSNLTGFMPLPKTKILGTSAVFYAPFLRHIATWLGIKPATKKNFLTLLESGYSCIIVPGGTQETYYMEPGSENVFLKKRKGFVRVAMETGKPLVPVFCFGQSEAYKWWKPKGKWFLQFSRAIKFMPVIFWGTLGSPIPFRRPMHVVVGKPIVLQQNPQPTVKEVDEVHGQFVAALQDLFERHKERVGYADLQLRIF >CDP02098 pep chromosome:AUK_PRJEB4211_v1:7:1404102:1408443:1 gene:GSCOC_T00039382001 transcript:CDP02098 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVILGGGVAAGYAAQEFVKRGVSHGELCIISEEPVAPYERPALSKGFLLPEDPARLPSFHCCVGSNEERLTPKWYKEHGIELILGTRVKSADVRRKTLLTVTGETISYKILIVATGARALKLEEFGVTGSDAENVCYLRDLADATRLVSVMQSCKDGNAVVIGGGYIGMECAASLVINKINVTMVFPEAHCMGRLFSPKIASYYEEFYKSKGVKFIKGTVLTSFDLNSDGKVTAVSLRDGSKLPADMVVIGIGIRANTSLFEGQLTLEKGGIKVNGKMQSSNTSVYAVGDVAAFPVKMFAETRRLEHVDSARKSARHAVAAIMEPEKTGEFDYLPFFYSRVFTLSWQFYGDNAGEVIHFGDFSGKTFGAYWINKGHLVGSFLEGGTKEQYEGIAKATRLKPAIEDLGELERQGLGFALTASQKPSSSSPPLVAGSSNLVIEKPLHAWYATAGVIVAASVAAFAYWYGRRRRRW >CDP02175 pep chromosome:AUK_PRJEB4211_v1:7:1885233:1887978:1 gene:GSCOC_T00039487001 transcript:CDP02175 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDSEKQLLSLIRNFASENSHGEHEIVNLKKRIEELRSELIKANAELEGAKLGKESSEQELKGYEVELAMNEASIQTLEARINSVQDAISAVGSELEALRNQGDAESRDDFIDKMLELNARIRKFHESVVSDPNKEDSCGTTSSKEGVSKTAAEVEIVQSELDQTVSRIKLEKRAYETEQGVHKLLLVLLQVQQDLSDLERKASLMESIMKEDTELRENVVGVVLLP >CDP02405 pep chromosome:AUK_PRJEB4211_v1:7:3581916:3582200:-1 gene:GSCOC_T00039772001 transcript:CDP02405 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTGVAALSMRWGERALSLGFAKLTTHLKNWRNPNSESAVFVCVGVGVCVSLLFLGGLSREADY >CDP04643 pep chromosome:AUK_PRJEB4211_v1:7:16980141:16982620:1 gene:GSCOC_T00018663001 transcript:CDP04643 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMKRRASSAVIVSIARSQGAGASSGTLAPAGCTIASFLSAFPNHKSHLAFYSAISGKVKSSSGKALKFQPELRNDLNNVNSLDDALSLFERMVRMRPLPSVIQFNQLLTCIVKMKNHYSSVISLFRDMSAKGIPIDDYTLTLVIKCCCFVGRVDLAFSTLAGFFKRGFVPDAVTFSTLLRGLFRECKIPQAQELFKKIIFEKLCEPDEVIFLVVIDGLCKAGNTQMAIEFLRLMEKRRRCKPNVYVYGAIIDSLCKDKMVDEALALLQEMFEKGIPPDVVIYNCLIQGFCNLSKWKEVKRLFSDMKDYKIVPDVITFNIVVDALCKEGHAKDAEEVVGIMIQQGQNPNVVTYNSLMDGYCLQRRIDEARRVFDTMVASGLDPDVITYNTMIAGLLSEGLLIEGKELVEKMEEKGSLANSVTYNVILQGLLKGGHYDDAMVYHEEMVHRGFLLDASTFSILLDLSAENQNNPSVLMLMLKIDPNSKKFMDGGQRGPPHYLCHKGLDLDEKTYTPMIAGLLSESLLAEAKELFEKMEENGCWHSVMFNVILQGLLRGDHYDDAVVYYEEMVRREFLLDSSTFSVLLDSSAGGQNNPSLLMLMLKIDPDSKMSMIGG >CDP02700 pep chromosome:AUK_PRJEB4211_v1:7:5928477:5930154:1 gene:GSCOC_T00040167001 transcript:CDP02700 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLVLVNQVLPPIIFLNSSKLTQPSPSTSTDLIILRQSLSEHFSPRLLRTECNSFAEIFPFLSLSYKSKASRSSLLLAVWFTPAKYTSNSFKSMKPSPSVSISSIIRLTSSGDVFEPSMFKMLPKSDDEILPSPLVSNLLNISLTSFTCSLPMLFSFSLVEPLNFSGSAINDFFSLK >CDP01501 pep chromosome:AUK_PRJEB4211_v1:7:11400850:11403655:1 gene:GSCOC_T00036574001 transcript:CDP01501 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQDIVIVGAGISGLTASLALHSYGLRSLVLESSECLRTTGYALTLWPNAWRALDAVGVGDHLRQNSVPFRGIQVGSVDTGFPTGELAFEQNKYGKHECRRVRRKGLLEALEKELPQGTIWYSSKVVSIEESGHSKLVQLADGCVIRTKVVIGCDGVNSVVAKWLGLPTPISAGRSSIRGFAEYPAGHFFKPNFYIYFGGGVRFGFVPCDDKSINWFCNFNLSNATWHQNMSEEPVKLKAFVLSKIVNIPREVSDIVQRTEVGSISCAELKMRLPWDILRRDIAKSSICVAGDALHPMTPDLGQGGCSALEDGVILARCIGESFLKIPRKDVGDGKEDVDPKVVAFKKGVENYAKERRWRSFSLIAAAYAIGFVQASENKFICFLREKFLSMLTVAAVLKMADFDCGNLDIYRQFS >CDP01506 pep chromosome:AUK_PRJEB4211_v1:7:11364037:11366013:-1 gene:GSCOC_T00036581001 transcript:CDP01506 gene_biotype:protein_coding transcript_biotype:protein_coding MASPREENVYKAKLAEQAERYEEMVEFMEKVVSAADGGEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEGHVAAIKSYRSKIESELSSICDGILKLLDSKLIGSAATGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAENTLSAYKAAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGTEEIKEASKPDNE >CDP02715 pep chromosome:AUK_PRJEB4211_v1:7:6022489:6023709:-1 gene:GSCOC_T00040186001 transcript:CDP02715 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKWSELEEQTLLTKYSDLLNSGILAKLKTREKKFKPIADHVNSIHHLRDTSNFPFKWTWRDVSIKVQNMRHQYLGVKQKIRISDSEFNWDDGENHWENFLKYKEVFGDVELEVKGNGNSVAEFFEEEGGMGLNFGIDSEEMDEEEEEEDGEDVVGRGERNEIEDSGFDHRRKWKKGFDGKRFRMVGAQVVELRDVVLRREEKRREREWTREEELIEREQNKKEKELVWERRNLKRDEDMEMRELELEERQMMWARRELERRVRLEREIDEERRSRMRLEERWEEEKMEWKEKMVGMQLEHEKQMIQMHADSCQNQLQMLGVIARLMCQFLGSASDALGGGLGTLHPQVLQNLQHPGGLGEGVKPDANSPSEFL >CDP02334 pep chromosome:AUK_PRJEB4211_v1:7:3049514:3053141:1 gene:GSCOC_T00039695001 transcript:CDP02334 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSWDEDLNHLPQNGSLVIKRVIPIKYTRAPSYIAPKKVYQTARSMVPHTNLRRRNLMWKIPVDLGFRYLIRLHFSELELGITQCGEREFRIIINNQVAEDNADVIKWGAEHGVAVYRDYVVLMEGDRMEGKRYLNITFQPKLVLSGKETDGILNGMEIFKLSNPDNNLASMRTIEFVRSSRSQKQEDKKILSFGRKNAVATALTVIITLLNVTVYYIRRLSETSARNLRSSSSEKFCRLFSIHEIRSATNDFSHEFLIGSGGYGRVYKGSIDGGATTVAIKRLKSESRQGEKEFWTEIKMLSRLRHEHLVPLIGYCNEGQEMILVYEYMPKGTVADHLYKIGRHGGCAPPLSWEQRLKICIGAARGLYFLHTSRQRVIHRDVKSSNILLDENWVAKISDFGLSKMGAPNESITHMSTNVKGTFGYLDPEYFLTRKLTRKSDVYAFGVVLFEVLSGRPAVDLRLEEEQHSLAAWARYCIRKGKVDNLIDRNLIGQISPACLKVFVGIAGRCVDTHPHERPAMADVVIPLELALVLQQSPGSTEQAEEDDDINNVARSSSEQKTYVLKNSKKDNSSNNATSSRWWWDPFGLVPRSPSKTKASALHEGLRQFHIQEIRKATNNFQNSFIVGFGGLDSVYKGLVDDIPRIVAVRRSSSRESRLSMARELQSKMEMVPSLRHAHVVTLIGYCNDEPELMLVYEYMANGSLHDHLCDPNKDPLPWKRRLQICIGAARGLSHLQSTVKLTNLHRNLKSTNILLDENWVAKVSDFGLSRRRGVSGAHTIVRGDLGSLDSDYILDDRLTEKSYVFSFGLLLFEVLCATKESTHWLDEDHVSLAQWIKSGIRNNLSGSNIDPYLAGKIAPECCRIFAETAIKCLLDKGSERPSMNDIVASLEAALKLQEASDNDEGV >CDP08405 pep chromosome:AUK_PRJEB4211_v1:7:25586900:25589754:-1 gene:GSCOC_T00027245001 transcript:CDP08405 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDALIKIKNEIDPSLTFRRSCREGICGSCAMNINGCNGLACLTKIDSEGSSATTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKTSLATPGKEIPQSKSDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWIMDSRDEYTKERLDAVNDEFKLYRCHTILNCARACPKGLNPGKQIQNIKKLELLGS >CDP01918 pep chromosome:AUK_PRJEB4211_v1:7:7717273:7721514:-1 gene:GSCOC_T00037099001 transcript:CDP01918 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNTGATPKKTPPAKKTSLKSQSTPSSAESAALAEALGTSTLPPKSSEARPSPALKGQQTKPFETISAPVTTALPTQEPASAVEGAKATNDGAVQVTGESKPALGTKTTKRVVKRIIRRTVARPASRLKKRSGEAENAIADESKKENDVLDAANRGPVAKGAETAKNDGISLGNIDRPVISEGPNVEKVEGVDVEKNFLHVEESLKNKAEESVTESAPFDQKEQGSTSVDSEHFEDDVPTKPNEKENMIDQQEEQEKLIEDKVENMTEEDKMEEDPKEEMTDFDGLLAEADDDRSEADQEIHDELGQEEFAEDDMIGQGEEALEEERAELNAAAKERKLRKELEIFVGGLDRDVTEEDLKRVFKYAGEVVDVRLHKDPSTNKNKGYAFVRFSTKEEANRALSEMKNPVIRGKRCGTAPSEDNDTLFVGNICNTWTKEAIKDKLKEYGIESVESITLVADPKHEGLSRGFAFLEFSCHAEAMLAYKRLQKPDAIFGHAERTAKVAFAEPLREPDPAVMAQVKSVFVDGLPPYWDEDRVRETFRRFGEIARVMLARNMSTAKRKDFGFVDFTTHEAAVSCVEEVNNMELGDGNSKAKVRARLSNPLPKTQAVKGSMSGGFRIARSSGGAFPRFGRGFGRGGHAFNRPSFQHGRGFYPRGPGRGGRIGSLNDRELDNEHPLHGRQSFAHGGRWGFRPQQGYSGGPLPRRPDMDRSRHGGHGVHDPLRRQPFPPEEGFNEPYFRRHFDDPYYYDDPAHGIKRPYFMTDQDPDYVEPSTRRPRFEYPDASASFHGSRYRGGFEADRGPYRQDYYGSDHGAGAYPSFYGGDRPYGRGYYY >CDP16741 pep chromosome:AUK_PRJEB4211_v1:7:843776:855247:-1 gene:GSCOC_T00019222001 transcript:CDP16741 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQCTLKVTNDRLEISDMAADAKCNHEDVVPSHDDCSSRGARRSSARLKLLQEKKSHQQPQKKRTLSPPPCHNLKVNKVSQTPSTPNHKKRKLGDEDAHPPGPTATPGPHHRHLDHDFHQTQDAHADADADAHGNPVPTERSASASVTETLRQFNKCYLHFVQEEEKRCGKLDDRQKNKASCPKENIAEDDTKRGSKRPDLKAITKAWIDVGHQFFSRAEMVVLGFHSHWLNGIDYIGQARRSDLGDYKLPLAVSIVLSGQYEDDLDNFEEIVYTGQGGNNLLGDKRQIKDQVMRLGNLGLKNCIEHSVPVRVVRGHKCTNSYVGKVYTYDGLYKVVNYWAEKGVSGFTVYKFRLKRLEGQPPLTTSQVHYTRSRVPDSIAEIRGLVCKDITGGQEDIPIPATNLVDDPPVPPTGFTYHKDMKFAKNVKLPLNASGCSCRGTCTDPGVCACAKLNGSDFPYVFRDGGRLIEPKAVVFECNPNCGCGPGCVNRISQRGLKYRLEVFRTPNRGWGVRCWDYIPSGAPICEYIGRLTKTDDIDSAAGNSYIFDIDCLQTMKGLDGRERRLRDVSLPVNLENDDDKMSESVPEFCIDAGSAGNVARFINHSCQPNLFVQCILSTHHDIKLARVVLIAADNIPPLKELTYDYGYALDSVAGPDGIIKQMPCYCGAADCRKRLF >CDP02135 pep chromosome:AUK_PRJEB4211_v1:7:1640260:1640833:1 gene:GSCOC_T00039428001 transcript:CDP02135 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSEGMSLAGTWARTLDSLKNKKNVVEAIPISSLSVIRCCLSMIRMMVPMVWEIKTASFDGGDLKQAEAQA >CDP04697 pep chromosome:AUK_PRJEB4211_v1:7:15718697:15719376:1 gene:GSCOC_T00018758001 transcript:CDP04697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G60430) UniProtKB/Swiss-Prot;Acc:Q1ECJ7] MVYHSSFVVDEGIAKACGCPLLPLKSHIKGPAPASDTTDIVDEAISFFRANVFFKNFDIKSSADKLLIYLTLYINVALKKLEGCRTLAEGTKAIINLGLEKVPVPGESGFPFPGLFTLPQSQKEAELFRNYLRQIREETSGRLLSVAYRHNGTPNKWWLAFAKRKFMNISNP >CDP12844 pep chromosome:AUK_PRJEB4211_v1:7:13851642:13853597:1 gene:GSCOC_T00037516001 transcript:CDP12844 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKSTVTPILNRFSKFSRVTSMNSGVRDAVSQGYPDKALILFRQMKQNGLEPNKLTFPFIAKACTKLSSLKCSQMVHTHMLKSPYYSDKYVQSGLLNMYVKCDEVENFAYAVFDRMHAREIAAWNAMLMGFVQASCFDRALCLFNEMMVDGVRPDSVTVMGLAQLASGLKDVKLLTSVHCLGIRIGVEADVSVANTWIAAYSRCGDLSLSEMVFNEIDLDVLTVVSWNSMITGCANAGEGFMAMELYHNMLYGGFRPDLSTILNLLGSFAKPNALLLGKLVHSHGVQLGCCSDVSVLNTLVCMYSRCADIDSARSLFDSIVDRTRVSWTVMIGSYAEKGDLEDAMALFHAMEAAGEQPDLVTVIYLISACGQVGALDYGRWIDSYAISRGLKSDIRVSNALLDMYSKCGSARDAEELFSSMNERTIVSWTAMISGYALNGQSGKALDYFNVMLMSGLEPNHITFLAVLQACVHAGLMEKGWELFHRMTELYKLSPGLDHHACMADLLGRQGKLKEALEYIQCMPIKPDAGIWAALLSACKIHRNADIGEYAAHHLFELEPQAAAPYVEMANIYASTGRWDGVASIRTKMKSNQVRKYPGESTIQVDGKSYTFRVDDKFHPKGCLIYEVLSSLGLYSKKQIDTFRSEEFF >CDP02806 pep chromosome:AUK_PRJEB4211_v1:7:6670078:6671712:1 gene:GSCOC_T00040307001 transcript:CDP02806 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAKLHVAIVSSPGMGHVIPVLVLGNRLATVHGVRVTILVITTSNSDEERRFLKTLTLSTLVRVIALPPVDISAKITPATAAVTQLCMSVREALPIIRSSIASMNCGPDALILDLFCPSAIPIAREFSLPVYVYAPTNAWSTTLFMYIQVLDKEIEGRYVEQKEPLRIPGCKSVRPEDVVDPMLDRNDQQYHDYIELGIGLTRSDGILVNTWADLEPTTLKALRENETLKPAVKVSVYPVGPLTRPVEPSSLKSKVLEWLDEQPVDSVIYVSFGSGGVLSADQIKELAFGLELSQQRFIWVVRLPLDGGLSKSDDPLDYLPGGFLNRTKNVGFVVPLWAQQVEILGHPSVGGFLSHCGWNSTLESISAGVPMIAWPLYAEQKLNAAMLTEDLGVAVRPEVLPTKKMVEREEVEKMVRMVMQQKEGQEMRQKMKQLKSSADDGLSNRGSSFISMYNVLDEIRLNFRNQNH >CDP01988 pep chromosome:AUK_PRJEB4211_v1:7:7201995:7204130:1 gene:GSCOC_T00037189001 transcript:CDP01988 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLRPCIRRLYATSSIAYAAEPRSCLASGTSTDYLTRKTHEGSHVDILLINSRLKELVKMGHLCNARRMFDELPQRDEISWTNMIAGYVNGSDPSEALSLFSRMWVDPNLQMDPFVLSLALKASGISMNKKFGDSLHGYSVKTGFVNSVFVGSALVDMYMKIGKVWDARIVFNEMPLRNVVSWTAVITGLVHAGSDMDGLMSFAEMWREGINYDSYTLAIALKACANLGELNYGREIHTHTIKKGLDASSYVANSLATMYNKCNKLNYGLQLFKKMHAKDVVSWTTMIATYVQMGQEHLGIQTFLQMRESDVSPNGFTFSTLISGCANILKLDWGEQLHAHVLCLGLAHYLSVTNSLVTLYSKCGQLDSATKMFQEMSTRDIVSWSTIIAGYAQGGYGEEAFDFLSRMRRSGPKPTEFALASLLSLCGSMAILDQGKQLHAHVFIIGLDHTAMIRSALINMYSKCGSIGEASSVFYKMENDDVISWTAMINGYAEHGYSHEAIKLFEEMSKVGLRPDPVTFIGVLSACSHVGLVDLGFHYLNLMSKMYKITPSKEHYGCMIDLLCRAGRLHDAENMIKTMPLDQDDVVWSTLLRASRLHGDVECGRRAAEQILKMDPSCAGTHITLANIYSSAGKWREAAKVRKLLKSKGVIKEPGWSWIKVKDQISAFVAGDRSHSQSEEIYYILDLVASKTEVAVFELDCLLHDLED >CDP16640 pep chromosome:AUK_PRJEB4211_v1:7:155461:159774:1 gene:GSCOC_T00019094001 transcript:CDP16640 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYEDDHVSINITTSQLKRQAQETELGFSSSGLNSQTERALVAKFQNVGLNSFDNDNRGGFNSAHNDSGGPQRLPLRPYAGDCPHYVRTGICKFGLTCKFNHPVKKTSLVVKDKDWSSDNASQIECKYYSTAGGCKYGEACRYSHSKKESQVADPKFNFLGLPMRLGGKECPYYMRNGSCGYGTRCVFHHPEPSSMGGLDLQKSSLNEASQPNQVPWSTHPLSSTLSYRNDYSSHTIANSVPQWMHGHSEFNECQAEEVPQQRSRHTHLVTGADRADMLERDIPVQVEEFPERPGQPECAYFMKTGDCKFKSACRFHHPKGQPTCILSEKGLPLRPGRNICRHYERFGICKFGRLCLFDHPVHPNLSTFKDWPSSELSGYDAGSWMQ >CDP02431 pep chromosome:AUK_PRJEB4211_v1:7:3772001:3774472:-1 gene:GSCOC_T00039807001 transcript:CDP02431 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPVDYLAMKTDQNPVTAELIDSDINELKMAAKKLFNHATRLSGLAVGTSFLKWVASFAAIYLLILDRTNWRTNMLTSLLVPYIFFSLPAALFSFLRGEFGKWVAFIAVVLRLFYPRHFPDWLEMPGALILLLVVSPSFFAHALRNNLVGVFICLIIGCYLLQEHIRASGGFRNSFTQKHGISNTVGIILLLVYPIWSLVLHFL >CDP16669 pep chromosome:AUK_PRJEB4211_v1:7:343874:349151:-1 gene:GSCOC_T00019135001 transcript:CDP16669 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNASTNSVEALVAKIQGLSGGTARDLAHLHSLLKESEDLLVSSDSTRPSPASFLSELDPSKHSLGYLYFLEASTSRPISKEGSGEVALSITRFIDACKADQIRLAPDKFISVCRRFKDQVLLAEAPIRGVAPMLIAVRKLQISSEQLTPLHPDFLLLCLLAKCYKTGLSVLEDYIYEVDQPRDFFLYCYYGGMICIGQKQFRKASELLHNVVTAPMSTINAIAVEAYKKYILVSLIYAGQFSTSFPKYTSSVAQRNLKNFSQPYLDLAISYSTGKISELESCVQMHKEKFEADNNLGVVKQVVSSMYKRNIQRLTQTYLTLSLEDIANSVQLKSSKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPEQYKTCQMIEHIDSSIQRIMALSKKLSAMDEHISCDALYLAKVGRERHRFDFEDFDSVPQKLNM >CDP12931 pep chromosome:AUK_PRJEB4211_v1:7:12866954:12879578:1 gene:GSCOC_T00037630001 transcript:CDP12931 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYTTCMRFGYVPAIIVSSPEAAEKFLKTSDQVFASRPHHEGSWYIAHELRNSTFGQYGTFWRNMRKLCILRLLSNYKIKLFLPMRIGEIGILVKSLKQAAFHGAAVNLSAAISSLGANMNCLMIFGKMCMDKDFDFDDRGFREVIEEALHVAAMANLCDYFPQLRVLDLQRFARWLKALSKVFDNFPKKIINKHLESREHKQTCHVQKEKVWRKMWGMDIKGKLKHFLWKCLNKALPVNELIYYRARMGEPICQVCGEGEETIEHLMFFCTIAKEMMSVHWLWSTLALAAVCYFLQDLFLMKKRKGLPPGPKGLPIIGNLHLLGKNPHQDLEKLARKHGPIMYMRFGYVPAIIVSSPEAAEKFLKTYDQVFASRPYHEASWYIAYEQRNLTFGQYGPYWRNMQEVGKLVKSLKQAASDGASVDLSAAISSLGANMSCLMIFGKRYMDKDFDDRGFREVIQESLHVAALPNLGDYFPLLGVLDLQGLTRRFKALAKVFDKFFDKIIDEHLESKEHKQTKDFVDIMMGIIQSETSEFDFDRRHVKAILLDMLLASMDSSATAVEWAMSELLRHPEAMQKLQKELEEKVGLKRIVEESDLEGLEYLDMVVKESMRLHPVGPLMLPHESMEDCKIDDFHIQKNTRIIINVAEVAALNANMSCLMVFGKKYAEKEFDERGFKAVMKEAMQLAATPNLGDYYPYLGVLDPQGLTRRMKAVGKVFDEFFEKIIDEHEQSANKVRQADDFVYTMLALKKSGETEFQFDRPHIKAILLDMLAGSMDTSATTVEWTLAELLKNPRVMKKLRQELNEKVGLDRMVEESDLDNLQYLDMVVKEALRLHPVAPLLIPHAAREDCTVDGFHIPKDSRVIINVWTIGRDPNAWPDPEKFIPERFVGNNIDVRGHDFQLLPFGSGRRGCPGTQLGLTVVRLLVAQLVHCFNWKLPNGMLPSELDMTEEFGLVVTRAKHLVAVPTYRLSKQLSSF >CDP08408 pep chromosome:AUK_PRJEB4211_v1:7:25394686:25395614:-1 gene:GSCOC_T00027252001 transcript:CDP08408 gene_biotype:protein_coding transcript_biotype:protein_coding MYEILAWVYFLDFAKQFSTQVLLDNVFFLSKNIHTPSVEGILYLQVIIFHDFNMRKSIISI >CDP02570 pep chromosome:AUK_PRJEB4211_v1:7:4943643:4945985:-1 gene:GSCOC_T00039999001 transcript:CDP02570 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKFSRLFLVVTFLVFLGVCRVSVAASAVQQKKNTYIVHVAKSQMPASFDDHTRWYDSSLKSVSESAEMLYTYNNAIHGFATRLTAQEAKSLQDQPGILYVLPEVKYELHTTRTPSFLGLQQSANLFPESDSEGDVIVAVLDTGVWPESKSFDDTGMGPVPASWKGACETGTNFTSNHCNKKLIGARYFSTGYEATLGPIDVTKESKSPRDDDGHGTHTSTTAAGAIVGGASLFGYASGSARGMAYRARVAVYKVCWIGGCFSSDILAAIDRAIEDSVNVLSLSLGGGTADYYRDSVAIGAFSAMEKGIFVSCSAGNAGPSAYTLSNLAPWITTVGAGTLDRDFPAYVSLGNGKNFSGVSLYKGDSLPAKLLPFVYAGNASNSTNGNLCMTGTLIPEKVKGKIVLCDRGINPRVQKGAVVKGAGGAGMVLANTAANGEELVADAHLLPASTVGQKSGDAIRDYVLSDANPTATILFEGTKVGIEPSPVVAAFSSRGPNAITPEILKPDLIAPGVNIIAGWTGAVGPTGLAEDPRRVEFNIISGTSMSCPHVSGLAAFVKGAHPDWSPAAIRSALMTTAYTAYKNGGEKLIDVSTGKASTPYDHGAGHVDPVAALNPGLVYDLTTDDYLNFLCALNYTSLQIQGVARRNYSCDAGKTYSIGDFNYPSFSVSLQTQVIGGGGGSRSGGGSKSVVKYTRTLTNVGGGSGGSTYKVSISTTSPAVKITVEPDTLTFTKAYEKKSYTVTFSATSMPSNTNEFGRIEWSDGKHVVGSPVVISWI >CDP01879 pep chromosome:AUK_PRJEB4211_v1:7:8047000:8057701:1 gene:GSCOC_T00037055001 transcript:CDP01879 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLAASVSTLLCLVSLLYLHGRVGGSGYMKYNTGGGIVGGKLNVHLVPHSHDDVGWLKTIDQYYVGSNNSIQGACVENVLDSVITSLGRDPNRKFVYVEMAFFSRWWETQSSEIKQQVRRLVDSGQLEFINGGWCMHDEAATHYIDMIDQTTLGHQLIKSQFNKTPRAGWQIDPFGHSAVEAYLLGAELGFDSVHFARIDYQDRAKRKVDKTLEVIWHGSRTFGSSSQIFANAFPVHYSPPNGFHFEVDDDFVPVQDNPLLFDFNVERRVADFVSAAMAQANVTRTNHVMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAANETWPLKTADYFPYADSANAYWTGYFTSRPAFKRYVRTLSGYYLAARQLEFLIGWRSKGPNTYSLGDALGIAQHHDAVSGTAKQHTTDDYAKRLAIGVSEAENVVNSALSCLITSKSKGQCSAPGLAFSQCQLLNISYCPPTEEDIPAGKSLVVVVYNPVGWNRTDVIKIPVNDPNLVVQDSTGNKVEVQYVELDNVTGSLRNFYVKAYIGISPTQVPKYWLFFQVSVPPLGWNTYFISKASQKGSSSTHVSMADIPRNETIVVGPGDLKLSFSSTSGQLVRMFNSKTGVDIPVQQSYLWYGSSAGDTDGQPSGAYIFRPDGSPPRIVSRLVSLKVIRGPLFDEVHQEFSSWTHQVVRVYKEKEHAEFEFTIGDIPTDDGIGKEVITRLTANMATNKVFYTDSNGRDFLKRVRDFRPDWPLRVTQPVAGNYYPLNSGIFIVDNSSEFSVLVDRACGGSSIKDGQIEIMLHRRLIHDDGRGVNEALDEEVCVGTLCQGLTVRGNYYASINQQGAGARWRRRTSQEIYSPLLLAFTHEESGDWKSSHLTKATIMDTNYNLPPNVALITLQELNDGSVLLRLAHLYEAGEDTVLSTLAAVDLKKLFGGKTMKSIVEMSLSANQEKSKMKRMTWKVEGENAKDPAPIRGGPVNVREPVVHLGPMEIRTFLLKF >CDP12235 pep chromosome:AUK_PRJEB4211_v1:8:31270455:31273226:1 gene:GSCOC_T00035660001 transcript:CDP12235 gene_biotype:protein_coding transcript_biotype:protein_coding MMINSINIYVLLLLLISPAVVIAEIRSSEIRNDARGIIPLDEFGFTHRGRLDLKVSSISLSSDPSSLDLSRLGFFLCTRDAWFHVLHQIDDSQITCALQSDAVDLVFTFDSLPNPPISFSKPHNISIPDQYSLVFASCLPNLRVSMKIHSAMYNLQPGPDSNRRDYLSAGKTPLPTIYFLFSLVYFALAALWIHVLYKKRLTVFAIHFFMLAVVILKALNLLCEAEDKSYINRTGSAHGWDVLFYVFSFFKGITLFSLIVLIGTGWSFLKPYLQDKEKKVLMIVIPLQVVANIAQVVIDESVPFDQDWVMWKQVFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALETITSYRYLWTSVVAGELATLAFYVFTGYNFKPEAHNPYFVVDDEEEEAAAEQLKLEDEFEL >CDP13473 pep chromosome:AUK_PRJEB4211_v1:8:1088304:1100010:-1 gene:GSCOC_T00038430001 transcript:CDP13473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G21930) UniProtKB/Swiss-Prot;Acc:B9DFX7] MRESGLGIQEKVKKWREMVARKEALLLESRNRVAFAWTLVALCCGAHASHILHSLGIHVAHGSVFEVLHNSYVKCGLALGALLGPGRDLLFDGLRAFRKGSPNMNSLVGFGSIAAFAMSMVSLLNPELEWDASFFDEPVMLLGFVLLGRSLEERARIRASSDMNELLSLVSTQSRLVIAPSGSESADDSVLCTGAMCIEVPTDDIKIGDSVLVLPGETIPVDGKVLAGRSVVDESMLTGESLPVFKEKGLLVSAGTINWDGPLRIQATTTGSNSTITKIVTMVEDAQGREAPIQRLADSIAGPFVYTVMTLSAATFAFWNYIGTHAFPDVLLNDVAGPEGNPLLLSLKLSVDVLVVSCPCALGLATPTAILVGTSLGAKQGLLIRGGDVLERLAGIDHITLDKTGTLTEGKPAVSGVASLSHGESEILRMAAAVEKTASHPIAKAILTRAESLNLDLPPTRGQIAEPGFGTLAEVDGLLVAVGTLSWVHERFQQKTDPSHLKILEESVMRQSSMNSSSSVHSITAVYVGREGEGIIGAIGISDSLRSDAKSTMLGLKQRGIRTVLLSGDREEAVATVAKSVGIENEFINASLTPQQKSGVISSLQAAGHSVAMVGDGINDAPSLALADVGIALQIEKQENAASNAASIILLGNKLSQVAEAIDLARATMAKVHQNLSWAVAYNIIAIPIAAGVLLPNFDFAMTPSLSGGLMALSSIFVVTNSLLLQFHRSDRKEKRADDTHKPV >CDP07191 pep chromosome:AUK_PRJEB4211_v1:8:10431702:10433496:1 gene:GSCOC_T00024358001 transcript:CDP07191 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLFFAFLFFIYLSTIHVLRKNWLARRIIQIYDGCSKWICCLFAFDSLFIRRGLMFEKKFEWQEVLVQI >CDP13061 pep chromosome:AUK_PRJEB4211_v1:8:3922892:3926657:1 gene:GSCOC_T00037822001 transcript:CDP13061 gene_biotype:protein_coding transcript_biotype:protein_coding MPASDYSFLKLPRTLEDLQILRDHLESYTSDYTAQVLIGYSMVYIFMQTFMIPGTVFMSLLAGALFGIFKGVALVVFTATAGASSCYFLSKLIGRPLVFSLWPDKLSFFQAEVAKRKKRLLNYMLFLRVTPTLPNTFINVASPIVDVPYHIFFLATAIGITPAAFVTVRAGIALGELKSVGDLYDFQSIATLFLIGAITVTPTLIGSKGE >CDP13486 pep chromosome:AUK_PRJEB4211_v1:8:964405:967276:-1 gene:GSCOC_T00038445001 transcript:CDP13486 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKQAENLLYKSSKFLRTKQNRITHLRFLSYSCSEESHEQELFPAEWYENTFLRLKKLAQSLKHVDLIDGRLVKVNEDARVFDRKLEEKMLAFKSLARDYIGCPAMQETMRTNVVKTFGDSQCALPMYFGKSSERGPLTLNSLTKISDILNVSAQQRKLVRLTVCPQVTQHQIWAGALEGTLNELRSEIDYRIQECPRKEIKMAQQIVASCLKLLTAAISYDPESTSWMRIAPTKVAKSSDHHKWEDVLEMVIDLVNCLNDQEEFVLHVKKLESMKEGLYQIRDILIDKSIGYKESRHQESLVQKKLTKTLGHPSRCLFTLLLYYLYGSVLDIEVEVRGGCYPIEGQNQFCLFMGKILTSNEENMVWGGVKQLDRALGLFKFVWETAGMKGDLKLQGHLWCIGAESRTVTYKGNTFLLHGINYLPNGLD >CDP12944 pep chromosome:AUK_PRJEB4211_v1:8:2319817:2321663:1 gene:GSCOC_T00037650001 transcript:CDP12944 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFLKVAEAKEDDDPRYGWLQEWIKQVREAAYDIEDVLDEFVLRFAGYRHHGFYLIRQLNKDLDKSVPQLIESMTTAELKEFVKDFLRRAGRYAIVFDDVWDVEFWNEIKFALPEGNYGNRVMLTTRNADVAPASCTKSQDYVYKMEPLSIEDSWTLFCNKIFKRNRCPAHLTDVAKAILDNVRGCLWRLLRSVGSWLQRTRAE >CDP08276 pep chromosome:AUK_PRJEB4211_v1:8:25580325:25581498:1 gene:GSCOC_T00027055001 transcript:CDP08276 gene_biotype:protein_coding transcript_biotype:protein_coding MREANSSSVVVVDFWGNGFGMRVRIALEEKGIKYEYREEDLTNPQRSQLVLDMNPVRKSVPILIHQGQPVCDSAAILGYIDETWKDGFPSLLPRDPYDRAIARFWTHFLDNKVRLLKMISTFLVFSTQAMFLKSKSDRAKEESKNELIGELKQLEAVLGDEQYFGGANFGYLDVVLIPFSSMFYGYESHGNFKLEEECPKLSAWVKRCLARDSVRKVLPDSVEMYELHKKFYGIE >CDP07162 pep chromosome:AUK_PRJEB4211_v1:8:9626747:9628385:-1 gene:GSCOC_T00024305001 transcript:CDP07162 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILILSSLYLFIHILCGHKGVEVSRTPIVMKSGEWVLKVVPWIGGRIISMEHVMTSLQVEVSVYEEYSGTYYQSAGCQVPRSTMLLSELNE >CDP09993 pep chromosome:AUK_PRJEB4211_v1:8:27492788:27493459:1 gene:GSCOC_T00030518001 transcript:CDP09993 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNEQQTSSTSYDPSIRPDAAKEDTRSKRAKKNDHLDARKGENPTYHGVRRRSWGKWVSEIREPRKKSRIWLGTFATPEMAARAHDVAAIAIKGHSAFLNFPDLAHQLPRPASKLPKDIQAAAAKAAALAAPTSHDDRELSPDKLEEPYSPEGTVTSDSSASPVLSHNGRDDDPFLDLPDLFFDLSDHQFDEFCYKSPWQLAGYESTEGELWTEDLFLWDHC >CDP07848 pep chromosome:AUK_PRJEB4211_v1:8:18716856:18718025:1 gene:GSCOC_T00025304001 transcript:CDP07848 gene_biotype:protein_coding transcript_biotype:protein_coding MANWSDLQHDMLGLIAQHLDKIEDYVAFGAVCKSWRAAATEKNFKGLRLWQQIPCLMLSAKDDFNREFYSLMKNQVVAKVSLPQLKGKKCYESQGWLLTIGQQGEMSLLNPFSGVEIELPNQNTFPEYDLYEMDPDIFVRRMVLSSRPSRESPEDDDFVVMIICGGVGSLAFWRPKDLRWNRIETRNSPYADVIYTNGQFYAIDHMGNVVVCDVFEANPNDQARIIARFSPELWDKKELYLVKSSSTDDEPFLVVTRDNIPNYEDEQGFELDKPIYGTTEFQVFELVSTAGREKEITSRWEEIKNLGSRSIFLGHSSSMCLQNNKLAPGIKPGHIYFTDDAWAAYVAIPEGGGKDMGVYNLEKGVTAPLYDAPLRLSRTCPSLWITPNF >CDP11699 pep chromosome:AUK_PRJEB4211_v1:8:4991874:4994867:1 gene:GSCOC_T00034157001 transcript:CDP11699 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLIKQLSTLLSQESTLLGGLRPDVHFSGGNGGLGKTTLAKKVYDDAAVKKQFQSHAWITVSQNFQCDVIIRNLIQQLYEEIRQPVPPQVESMKGIRLSEFVKDFLKERRYILVLDDVWSLNAWQTIKYVLPDCNIASRIVLTTRITDVASASCLASHDFIHKMSPLSYDDSWTLFCNRTFQSNGCPSYLEEVCRKILKKCEGLPLGIVTMGGVLALKDKDKIDEWEMILREFGREVDGSGKLDRIRRILLLSYSDLPHHLKNCLLYLSTYPEDHPIDVAKLLDKWIALGFIEKEEGMIATDIAMRYLKELVNRSLIQVKVTWADGKLVKCGLHDFLREIIVSKSKEQSFTTVATGYCTRWPDKVRHLAIHNFTDNLSQGFSRLKCLRSVETFGYEDPLTTSFLSKFLCGGPKFLKVLNLYKAELDNIPKEVFKLFHLKFLNLSETRVKVIPKSIGQLQNLEFLFLDETTITEVGDYSNNFALWGFKSPDGIGKLTSLETLRIIEADGGNIVREIGKLIQLRQLFITKLRREDGKELVSSLLRLTNLRELHIGSIKEEETLDLQHSISPRLGFLTRLSLTGRLERVPEWVMSLQSLGTLFLQNSELSEDKNAIDCLGHLPNLVDLILHRAYEGETLCFKAGRFPKLQRLELGQLKRLKWVRVEEESMFSLEVFVIAGCKLMEGLPLGLQNLTKLKFLGFYDMFDDLIHKVQNLDNQSDYYQTISHIPQVCTGH >CDP13430 pep chromosome:AUK_PRJEB4211_v1:8:1460011:1463948:-1 gene:GSCOC_T00038372001 transcript:CDP13430 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSSTSFINFKDSSSLKTPDDYSGMVCFAQIRPTCRLRARNSMQEAPISRERPSQLEGRKNEQGEKLHGLPAPRSQNGSRVPVFVMLPLDTVSVGGNLNKPRAMNASLMALKSAGVEGVMVDAWWGLVEKDGPLKYNWEGYAELVNMVQNHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTDRSGRRNPEYISLGCDSLPLLRGRTPIQVYSDYMMSFRDRFKDHLGEVIMEIQVGMGPCGELRYPSYPETNGTWRFPGIGEFQCYDKYMKSSLEASAEAIGKKDWGNGGPHDAGHYNQFPEDTGFFRRDGTWNSEYGHFFLEWYSTKLLEHGDRILAAAEGIFQGTGAKLSGKVAGIHWHYKTRSHAAELTAGYYNTRHRDGYLPIANMMGKHGVVFNFTCMEMKDEQQPEYANCSPQGLVRQIKMATRTAGIELAGENALERHDAGAYAQVLATSRSDAGNGLSAFTYLRMNKHLFEPNNWQNLVEFVKSMSEGGRHTRLPESDTSRTELYVGFIKGKSEQKINEAALV >CDP13523 pep chromosome:AUK_PRJEB4211_v1:8:659447:662121:1 gene:GSCOC_T00038492001 transcript:CDP13523 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPIRGSLIQEIFRIVNEIHSSATKKNKEWQEKLPIVVLKAEEIMYSKANSEAEYVDINTLRDRANDAINTIIRRDESTETGELLQPCIEAALHLGCTPRRSSRSQRNITPRCYLNPEKPEAISVSLTNLDNKVQGNYATNCSFIPQSPNLLMNSADTCLNYDNSGIQKTDSEYPKLRNSPSINHQSRPRLAPSLSSSCSVYPLYHGNHFQFQDSSKSNSHLMKTDKKGVMKRRSTCGQDALNVISQASSHYVSETPHGSGCDLSLRLGPLGVSCLGEENSCPQEVEDGGGLGTCKVGSKDNDLSSQSDKDFSFFPKPNGHDMLDSSSNKWSHKTQNVNIEATLRKRKVAVSHPSEDRQYRWPLKFPFKQVNGRINSSDQQQT >CDP08291 pep chromosome:AUK_PRJEB4211_v1:8:25729399:25737829:-1 gene:GSCOC_T00027078001 transcript:CDP08291 gene_biotype:protein_coding transcript_biotype:protein_coding MESHQPATTEVPQQAQPQIQIYGSSNGEISPFWREKYERDAKKYWDIFYKRHQDKFFKDRHYLDKEWGHYFSGAGRKVILEVGCGVGNTIFPLVARYPDILIHACDFSPRAVNLVKKHKEFNEGQINAFVCDLTVDDLSEQIPPSSVDAVTMIFVLSAVSPEKMSIVLQNIRKVLKPSGCVLFRDYATGDLAQERFSCKEQKISENFYARGDGTRAFYFSDEYLTKLFSENGFHTEEHLLCCKQVENRSRDIVMNRRWVQAVFRMNGDDDDNLSCVETEQVKPQVKDLIPKIATNGLEVDISDGLACEMFGIESSSEEIIEVIIRDHMFKIKVLSREHQHTCKSTGLMLWESARLMASVLAANATIVAGKRVLELGCGCGGICSMLATGSADLVVATDGDAKALELLTQNVASNLKASSSGRLITKRLEWGNEDDIESVKELNGKGFDVIIGTDVTYVREAVSPLFQTAKELIAASQENEEDRRPALILCHLPRRVDEPCILAAASEYGFKLVDRLPKQNTRSSPQSIISSWFHEDGYEDYFPTKALSIMYFTT >CDP08258 pep chromosome:AUK_PRJEB4211_v1:8:25410942:25420076:-1 gene:GSCOC_T00027030001 transcript:CDP08258 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARKLANRAILKRLVSEAKQRRGNGEANELLSAPSPFYRPSRYVSSLSPCSFRGQYQRTYVNPKKNAFLHSGIGQVRFVSVEALKPSDTFPRRHNSATPEEQIKMVENCGFPSLDSLIDATVPKSIRLDGMTFSKFDEGLTEAQMIDHMQKLASKNKVFKSYIGMGYYNTFVPPVILRNLLENPAWYTQYTPYQAEISQGRLESLLNYQTMIADLTGLPMSNASLLDEGTAAAEAMAMCNNIQKGKKKTFIIATNCHPQTIDVCKTRAEGFDLKLYPGTEGEVVDYEGFVKNAHANGVKVVMASDLLALTVLKPPGEFDADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKTIAHRVHGLAATFAAGLKKLGTAEVQDLPFFDTVKVKVADSRAIADLAYKNEINLRIVDKNTITVSFDETTTLEDVDKLFQVFSGGKPVAFTAATLAPEVQNKIPAGLLRESPFLTHPIFNSYHTEHELLRYLHRLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFANIHPFAPTGQAEGYQEMFKDLGELLCTITGFDSFSLQPNAGASGEYAGLMVIRAYHQSRGDHHRNVCIIPLSAHGTNPASAAMCGMKIVPVGTDSKGNINIEELRKAAEANKENLAALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVIPTGGIPAPGKSQPLGSISAAPWGSALILPISYTYIAMMGSKGLTEASKIAILNANYMAKRLENYYPVLFRGVNGTVAHEFIIDLRGFKHTAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMVEPTESESKAELDRFCDALISIREEIAQIEKGKFDLHNNVLKSAPHPPSLLMANVWTKPYSREYAAFPAPWLRTAKFWPTTERVDNVYGDRNLVCTLLPAAQVVEEEKAATA >CDP11745 pep chromosome:AUK_PRJEB4211_v1:8:5950688:5953049:1 gene:GSCOC_T00034229001 transcript:CDP11745 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKLQGDSPQVGTRVWILLQYDIFRRSSSSRRMERRVCLFHEDLFKEITQLLTLENFRQNEQLSKYGDTKSARNVMLVELKKLIEANPLFCDKLAFPAFKASRLRTLINQSLNWQHQLCKNPQPNPDIKTLFTDHTCSSSNGACAPPPSNSFLDGPAPKPGTFLPLEAHGPFQRVVSPSPSAIAGWMSSANHSVLHPAVVAGPPAIVRAPGAATFLKHLRTPPGASAMDYETSDSEHLMKRLRVSFSGSTHSPNIYSPHDLPKTVARNLSQGSNVMSFGLPSSATDCSSSWNKCW >CDP07885 pep chromosome:AUK_PRJEB4211_v1:8:19735887:19738179:1 gene:GSCOC_T00025372001 transcript:CDP07885 gene_biotype:protein_coding transcript_biotype:protein_coding MRELEIEIISREYIKPESPTPNDFKTFKISLLDQLLPHLYVVPLVYFFKNDGKSDISKRRELLKQSLSKTLVHFYPLAGKVKDNLHVDCNDDGMYYVEARVNAQLSDFLGHPQQELIHGLLPFHPSSTELFTKTYVAMVQVNIFECGGIAIGIYSSHKVMDGQSTVTFMNAWAATASGSSEEIHPSFISSSIFHPNPKLPNATSILIPPPQSEQSKSATRRFVFDASALNVLKNNANCAESNVTNPSRVTAAMGLIWQCAIATSQARFGFRKPSILTFVVNLRSRNSPPLPPYSMGNIFWVTYAKCLVNSDLKLPSMVRRVRNGIDKLDNSFLEDIKGKDGSLVNVMKHLKELEEVHTGNLDTEYLSLSSICNGGIYNADFGWGKPIWTCIGSAGIDALGLPNLVVFMDTRSGDGIEAWVTLKENDMAIFEKIPELLNFATLDPSPLEISLSA >CDP09953 pep chromosome:AUK_PRJEB4211_v1:8:27849813:27850471:1 gene:GSCOC_T00030465001 transcript:CDP09953 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLMAMRRTAASPASSTLFTRFLNGTVLKPSAAGVAPVTTSSITSSIPIILGDEPRVTLNALGGSYPRSEQFPIDRGGYSDEYINPFQTSGSRGAYEINHVDEGLYVRMEMPGIGKEDVKVWNEYGTVYVKGIGNNKQSRFEKLRRAYSATIEIPSDTFHAGKIEVDVKNGVLRMLIPNKESTNLGQV >CDP13522 pep chromosome:AUK_PRJEB4211_v1:8:662340:666950:-1 gene:GSCOC_T00038491001 transcript:CDP13522 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMKWSPWPTLATRRYKVKVKSMKLLLGCSDDDDDDIDHSACALDQGDVEEKVIAIKTRWKGEPKFGLGPFHLPSSKQCRHFSREKVVKKLEEGNIKAIEWNDDEVLELENFCSFTIVSTSQDGRPKFAPWDVSFSLLYGEKKKKTESSKGKLVAIGRASLNIAEMVAGRMMEPSPDDYDLHQEVEAKLPINLQIGGITREAHFLVLMDVAGIRDSHDSVPNSVDSSGELNGGGGNSDESSGGVDKEDGGEANSVNDSGPCSDAQMEPAKKMGLFSWKRKRLSFKPTPVKGEPDDKKPARLKNEIDNDPQCSGSSKLDPVTYGSLQVEDKGDWESKEVISRDGQTELKTNVFFASFDQCSNKAAGESACTALVAVIAYWLQLNRDAMPTRPEFDDLIMEGSSEWRKLCENDAYTYDFPNKHFDLETVLHADVRPIAISHDDSFVGFFSPEKFEALKEAMSFDEIWEGISRVAEDHDPRVYIVSWNDHFFVLKVEANAYYIIDTLGERLCEGCNQAYILKFDDSSLMHGKSAKEDVNKAESSDGKSTNPSDIGEIICSGKECCKEFIKRFLAAIPLEELEEEEKKGAVSYYSLHQRLQIELNFSYVLPSSFTSSPFSSSSPTSASSSLTDVSYKMFH >CDP13437 pep chromosome:AUK_PRJEB4211_v1:8:1384251:1388878:1 gene:GSCOC_T00038383001 transcript:CDP13437 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKFELQIGKDTNKGLSSDLNITVPTHLLPQSHQSQSQSTPPPLPPLPSATQHSPTQQKPSHLHIKIPPFSSNFSNYHEPICQNSSKNKSLFSSPHKRPMMSQSSSLSRSPTLSALHHNVNQDDVPVQAPLPLQPHHFNKKLAVLKSDSSNHQSYLCSPSFHVLLATKRITLRFIHHSCHASWFRVHLKVFILLSLPGVYFFTSTHHWPFLLHFLYLLAFSAFIVVSLNLALPRFPSVRLFLEKTLCIKLKSLAPPPKHHHSPPVVWSIGSKPKSEKRQNSGSWVQVYSNGDVYEGEFHKGKCSGSGVYYYHLSGRYEGDWVDEKYDGYGVETWAKGSRYRGQYRQGLRHGVGLYRSYTGDVYSGEWCNGQCHGCGFLTCEDGSSYIGEFKWGVKHGLGRYHFRNGDTYAGEYFADKMHGFGVYNFANGHRYEGAWHEGRRQGFGTYTFRNGETQSGHWENGILNASSIQGILSGSSVALDNSKVLHAVQEARRAAEKAAELPNTEERVKRAVAAANKAANAARVAAVKAVQNQMPQNNNNVNVPKPVCESQ >CDP16146 pep chromosome:AUK_PRJEB4211_v1:8:23381318:23382778:-1 gene:GSCOC_T00017226001 transcript:CDP16146 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLLLSHLTSKILGSSTVIAGSYVLAFYRGCYYLMDLLKLLCFLISVSLGVAAKHADLEVYWKSKLPNTPMPKAVRDIIQNGKPPGVGGLSASPQAIPIRFGRRYFTYGHGVVRDGKNPTEAQLRNHQNVTVFFLKRDLHWGSIMNLQFVNLLDNTAAFLPRQVADSIPFSSKSVPEILNKFSVNPDSVQAEAIKELIADCEEPVIEVEDKYCATSLESMVDFTTSKLGKNVGAISTEAQKTDPKISKYVIVDVFKLNDDDKAIVACHKQDYVYAVFYCHTLRRTDAYRVNLVGADDGAKVKAVVVCHEDTSAWTPKHVAFQLLKVKPGSVPICHFLPEDHFVSWALKH >CDP12163 pep chromosome:AUK_PRJEB4211_v1:8:30746061:30749459:1 gene:GSCOC_T00035564001 transcript:CDP12163 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEICRSAARAARTVLSASTRQSSRAFSEGRAAAAAAAVSLRGRATSLAAHSRVDPGHASRSWISGLLALPAAAYMLTEQEAHAAELERTFIAIKPDGVQRGLIAEIISRFERKGFKLVAIKIVVPSKDFAQKHYHDLKERPFFNGLCDFLSSGPVIAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEISLWFKPDELVSYTSNAEKWVYGVN >CDP13483 pep chromosome:AUK_PRJEB4211_v1:8:996479:996946:1 gene:GSCOC_T00038440001 transcript:CDP13483 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKKVTLLFDFWKTDSWTSYAFTLLACLIVSIFYQYMEDRRLRFRLLSTNKTTVAPPPQDGFAANSTTTPLLYSRLLTPNAGKLSVARFAGAVLFGVNSAIGYLLMLAIMSFNGGVFVAVVLGLALGYFLFRASDEGLVVVDNPCACA >CDP07154 pep chromosome:AUK_PRJEB4211_v1:8:9448574:9451860:1 gene:GSCOC_T00024288001 transcript:CDP07154 gene_biotype:protein_coding transcript_biotype:protein_coding description:AOX1D [Source:Projected from Arabidopsis thaliana (AT1G32350) UniProtKB/TrEMBL;Acc:A0A384LFI3] MKFSGLFLRQLQQHVLPSSKFGNIARNWSSMAPNVGKEEKTSTAPTGAVDSSEGQADHKAVVSYWGVAPPNITKEDGSPWRWNCFRPWETYKADTSIDVKKHHEATTLNDKLAYWIVQALKYPTHLLFRRRHMCHAMLLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIKALLEEAENERMHLMTFLELSQPKWYERALVFAVQGVFFNGYFLAYLASPKLAHRITGYLEEEAVNSYTEFLIDLEKGLFENKPAPAIAIDYWRLPPDSTLKDVVTVIRADEAHHRDINHFASDIQCQGHDLKDFSAPIGYH >CDP09763 pep chromosome:AUK_PRJEB4211_v1:8:29418633:29419448:-1 gene:GSCOC_T00030220001 transcript:CDP09763 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQKVVLKLMTMSDEKTKQKAMEAVADIYGVDSIAVDMKEQKITVTGQMDTVAIAKKLKKVGKIDIVSVGPAKEEKKEEKKEEKKEDKKDDTK >CDP07201 pep chromosome:AUK_PRJEB4211_v1:8:10601989:10624355:1 gene:GSCOC_T00024371001 transcript:CDP07201 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAEHEVLKQEEYLDVLTKTRQKTGISKPRGDVHRDGDYHGAVHVWIYSESTHELLLQQRADCKDSWPGLWDISSAGHVSAGDSSLVSAMRELHEELGILLPKDAFELIFVFLQECVINGGTFINNEFNDVYVVTTLDPIPLEAFTLQESEVSAVKYLSVEEYRDLLAKEDPDYVPYDVNGGYHQVFEIISERYRENLESRSLTLEKQLSRYAQISLSAELTGLTSADKEALALLIKAAAIMDDIFCLQVWYSNPSLRNWLKEQANKSQLDKLKWNYYQVNKSPWSCLDENKAFLTTADSTIKLLPEATKPVAGWKGLQYRTAFPVVKPPGANFYPPDMDKKEFELWKNSLSEDQKKEAIGFFNVIKRHSEIFLDKAESLDVVVGTNYAHDLYIVPYSKEYKSLLGKAADLLQKAGDLASSPTLKRLLHGKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDGLFGYKATFEAFIGVRDDKATEQVKLFGDQLQFLEKNLPMDDAYKSEDVIAAPIRVIQLLYNSGDVKGPQTVAFNLPNDERIVNDRGTSMVMLKNVSEAKFKLILQPIAAVCISKEQREFVDFDSFFTHTICHECCHGIGPHTIKLPNGKQSTVRLELQELHSALEEAKADIVGLWALKFLIDKELLPKSLVKSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNYLFENGAFVLHPDETFSVNFDKVEGVVESLSREILTIQARGDKNMARIILQKYSVMTQPLKDALRKLELVQVPVDIIPEFPIADEILRKFTED >CDP12088 pep chromosome:AUK_PRJEB4211_v1:8:30154067:30154898:1 gene:GSCOC_T00035467001 transcript:CDP12088 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESHVTPLAPPQIYRRSDEEWGATATTKPKVIHHKHGRSSKCFVYLLLFLVLLSIASLAFGLVVLRINAPKLKLELVEIKNLKYTAPDFASLNMTMVAQVKIYNQNFGGFTFHNGSTSVVYGNTTLGMTYCKSGLVRGRNSERMTVAVQVKANNGLAENKNFSSDMGSGLVKLSSYANLRGEIRVLKHFTRRRTSFMNCTMSLNLTSQAVQDLRCM >CDP14979 pep chromosome:AUK_PRJEB4211_v1:8:8071875:8073716:1 gene:GSCOC_T00042498001 transcript:CDP14979 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCHSDHFEFLWVSGKYDSAEVFQFEDHGKEEKRNCLITNDDEREASKGIGSFYTGALGLNQVHGSTAEKGIIFSKLHQEQQLKELTGFACFNDPYFDMETPPFQSREEEITKLADDVAFANSELNHAKKERPYAGSLQILKNYRSRFRKLNVEKMDLPSYQGCTLITSHKLSTDAILKLAAQNFIQSSSKGTTVSFELNHPYASSFGGLCVEDTKDVKLVQYLLASAELVTKKQFERAGKLLLRCDKLSSDQGNTIERLVYYFSGALHERIDRTTGTVSPEGLGKMQSLDMLDMMSGLTSAKIAMQKSVPFGQVSQIAGTQGVLDHVADATKIHIVDLEIRTGMHYTILMQALATRSQNPIEYLKAKIEETGRQLASFAQALKLKFSLNIIMNRMIVESIYLSQAIRNIVATEGEERTIRQVSVNVWKAFFAHFGMVQVELSMSSMYQASLVLKNFECGSSCTLNKDGKGLIIGWKGTPIHSLSAWKFGCDSKNEDLDFDLLNLSSSS >CDP18493 pep chromosome:AUK_PRJEB4211_v1:8:22836044:22837432:1 gene:GSCOC_T00009066001 transcript:CDP18493 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMQLGKNQFQGEIPQEVERLFRLRYLNLSGNILSGEIPVNLSRCSLMRTIDLSKNTLQGRIPAQLSNLQKLELLYLSKNNLAGQIPSSIGNISSLMKITLAFNNFEGNLPQEMGFLTSLSKLGDGTNNLSGEIPLSIYNISALYLWLGGNEFSGKIPISITNISGLISLDLPVNNFSGEVPTSTGDLPFLETVNLEWNLLGSNSVGDWDFFASFTNSSNTMSLEVNHNNFGGKIPKVMANLSYQLTKLGIAGNQLSGTIPIGLGNLVNLYSLDMSTNSLSGPIPGGIMNPQFFSIHLSLIYLDLAHNSLSGPLPLEVGRLNHLEVFNVSYNKFSGQLPPSILDCLNLQHLHMRANFFQGTIPPNLAS >CDP07205 pep chromosome:AUK_PRJEB4211_v1:8:10646478:10646651:-1 gene:GSCOC_T00024376001 transcript:CDP07205 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYQVSRLIGAPPGYIGFEEGGQLTDAVRRSPHSIVLFDEIEKAVPDVFNMCGKNS >CDP15683 pep chromosome:AUK_PRJEB4211_v1:8:7338134:7341376:-1 gene:GSCOC_T00015649001 transcript:CDP15683 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGPLVSQIFPIMEASPVYSFTRNASADAWKVLLDTAGTMIPDYDPEVAVSVNKFVDHLPAVFNQLAEGISEFRPTPSENLDCFQKSYNVQHTLLVKFNVDAIDQTDLLEETLKPRVESIGAKLQKIILNGTHITPCIQEPRWQVGDIYSPVDAVAQGLKTISLNDTRVLTRTITDWFSQLEG >CDP18326 pep chromosome:AUK_PRJEB4211_v1:8:17667134:17691749:1 gene:GSCOC_T00005441001 transcript:CDP18326 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTALNSGCNVGGAVVNRNPLFLRPPSSTLVKQSRRRSPKLRFTVRASETHADGPTKKLGLSDAECEAAVVAGTVPEAPPIPSKPAAPSGSPMVPSLPLSRRPRRNRKSPALRAAFQETTLSPANFVYPLFIHEGEDDTPIGAMPGCYRLGWRHGLLEEVSKARDVGVNSIVLFPKVPDALKSPTGDEAYNENGLVPRAIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAIAQAGAGADVVSPSDMMDGRVGAIRAALDSEGFQHVSIMSYTAKYASSFYGPFRDALDSNPRFGDKKTYQMNPANYREALIETSEDEAEGADILLVKPGLPYLDIIRLLRDNSSLPIAAYQVSGEYSMIKAGGVLKMIDEERVMLESLMCLRRAGADIILTYFALQAARCLCDEKR >CDP08329 pep chromosome:AUK_PRJEB4211_v1:8:26068567:26071596:-1 gene:GSCOC_T00027129001 transcript:CDP08329 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNWLCIISAHLLILQSAIASSFSVHHLCHHDEGLALLQFKEQFKISASNEFSSYCSYFGQHPHPKITSWNESTDCCTWDGVTCHEITGHIIQLDLSCSQIEGVISPNSSLFGLSQLRKLNLAYNDFQQSLISHEFSGLTQLTHLNLSTSNFKGQIASEISQLSKLVLVDFSLHPPSSTTLRLQKHDFQMLLRNLTEISVLCLSSVHIASEVPLNFSSSLTYLDLSSTGMHGNLPDHVFEIPNMQALVLGSNENLTGILPKFNSSISSLEVLDLSFTNLFGELPVSIGCLKSLNSLILYGCQFSGSLPESIGNLSKLTDLELGSNNFVGQIPRNSFNNLQKLTSLSLFSNPLVGPLPPSVVNLTGLVELDIRFTSLSGPLPSNASGLQNLVSLLLTHSFLDGTLPSWLFHLPSVILLDLAFNNFTGQLPDFTGNSSLTLFFLDHNKLQGPIPKSISTLRKLIWLDLSSNNLICRIDSDVSLLNLGQLGLSSCGLKEFPGFIQNSKNLSYLDLSSNNIRQIPSWLPSTAWDSLTYLNLSYNAISTPFMPPWKSLSVLDMRSNQLQGPLPISICNLEVLFFLDMSENKFSGEIPHCFGNFSSELAVLNLKNNRLQGSIGMTFAWNNGLRYLGLQGNLFEGQLPRSLVKCEKLEVFDVGNNKINDTFPTWVENLKELQVLVLKSNRFFGTIDNNFKTKSPFKKLQIMDLSNNEFTGVVPIRLLTSLRAMMNSDRTESRAMYMGAGYIGYDNDYRYSLSISMKGLSMELPQIITTLTAIDLSSNRFSGEIDDVIGNLAGLEVLNLSHNRFSGHIPSSFGNLSSLESLDISCNQIDGEIPQQLTMMTSLEFLNLSQNHLVGRIPQGNQFNTFSNDSYKGNVGLCGLPLTKKCSESDFEVPPPLPIDQEEEQSDFFSGFTWKPVMIGYGFGIVLGLALGWLMFATGKPQWIVKFVEDATYKQKTR >CDP07219 pep chromosome:AUK_PRJEB4211_v1:8:11053967:11059540:-1 gene:GSCOC_T00024401001 transcript:CDP07219 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLQKSSERIPLSQRPEYADVKPVPQDDGPNPIVPISYTDEFRETMDYFRAIYVADERSLRALQLTTEAIKLNPGNYTVWQFRRLVLEALNADMNKELDFVDGIVEGNSKNYQIWHHRRWVAENLGTDASTRELEFTKTILSKDAKHYHAWSHRQWVLQALGGWKDELTYCELLLKDDIFNNSAWNQRYFVVTRSPLLGGLGAMRESEVTCTVNAIMEHPENESPWRYLRGLYRNDTHALVKDPQVASVCLKILTAKNNYVHALSMLLDLLCHGFQPSLEIRNAVYGLSDSGAQGSDLVKVVCSILELVDPMRANYWKWRRNIVPAQAAQCLKDDGLTGLSL >CDP07910 pep chromosome:AUK_PRJEB4211_v1:8:20751370:20751531:-1 gene:GSCOC_T00025414001 transcript:CDP07910 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGKASLLSENYSCWLLEWMVVLHLWTTGQETCDPAPRKVIGKMRRTKLHL >CDP07850 pep chromosome:AUK_PRJEB4211_v1:8:18781653:18783332:-1 gene:GSCOC_T00025308001 transcript:CDP07850 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEDWRNNADTQKMSSEDVRKAGADNSKRPPGHNPGGVLHQRRNLPYSPTTMALIGFTIAGSIFYFTLYAKKKPEASARDVARVSTNTADPHDTGPRK >CDP13042 pep chromosome:AUK_PRJEB4211_v1:8:3588556:3589863:1 gene:GSCOC_T00037794001 transcript:CDP13042 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMASPEPWVAYTNTKDCSQGFCSVYCQQWCYKEFPPPPPFEFPHASAGICFPPFVIAIIGILGSALLLVSYYAIVSRYCKKRDSSRRRETHFPIVESVENQHPSNHEPWIVASGNGLDEALIKSITLLKYKKGDGLIEGTDCSVCLSEFQDDESLRLLPNCSHAFHVMCIDTWFKSHSNCPLCRANIAFANASSPSQLPPVMESPPGNESTLHSNHEIENVASEEDLEMGIREAESQNDITRISTRQATNLGTSGDHSRLNGATIEECEKITRSVSMDCTSQRRLSLADVLQIDRDEEDQSMEKIALRDDVGTSKQPHVDMIRSSNRNIVLHCVSSPAILKKPFSSARFLFTNAGREGMN >CDP12239 pep chromosome:AUK_PRJEB4211_v1:8:31296011:31297194:1 gene:GSCOC_T00035665001 transcript:CDP12239 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDSTPPPHHPSFRFSPTPSISTSTTKENSNPNLPSCFTQSNQIPTLHDPRDAVLAPARSCSSSSLSLVEFEKLCPPNGESKVVIYTTTLRGIRKTFEACNAVRSAIQGYGVLICERDVSMDRGFRDELRELMLMIKPRPCHNGNSSLMIPPRVFIKGRYIGGVEEVMRIAEDGALGGLLAGLPKLRPGHNNVCDGCGGARFLPCFQCNGSCKMVSPMEDEMDQTHNSLERTVVVRCSHCNENGLVLCPICT >CDP12190 pep chromosome:AUK_PRJEB4211_v1:8:30930710:30935842:1 gene:GSCOC_T00035601001 transcript:CDP12190 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPEEPDKNIARHKKSEGLLTSPAQPPDRDSLIMDLDLDFDASWSFDNFFSAVASSSASPFLLSTSDHPCSPLWAFSDGSNNNEDKAPVNTAPLSDFSKILPCNTDLITENICGNADKRKPTSSTLELAPLDYPDGYCTIKEKMTQALRFLKDSTEQHVLAQVWVPVKNGGRCVLTTSGQPFVLDPKTNGLYQYRMVSAMYMFSVDGETDGDLGLPGRVFRQKLPEWTPNVQYYSSKEYTRLNHALHYNVRGTLALPVFEPSGQNCVGVLELIMTSQKINYAPEVDKVCKALEAVNLKSSEVLDQPMIQVCNEDRQNALTEILGILTEICETHKLPLAQTWVPCRHRSVLANGGGLRKTCSSFDGSCMGQICMSTTDVAFYVVDAHIWGFREACAEHHLQKGQGVAGRAFAFHNLCFCEDVTQFCKTEYPLVHYARMFGLSSCFAICLRSTHTGDDDYVLEFFLPPSIRDHTGQRALLDSLLVTMKQHLGSLRIASGNELQQDWRSVEIVKSLVGEKLDSGPKLFQASKPATTSTALTVPEMLQLDSIELQRPTLGDNCANDVAHGSGAARVQNAVSIAENKDTGKKTERKRGKAEKTISLDVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGAFTLTSLATSSLPVAVGSACRPSSSSEPHQLGHPGSAPSHDFSGDKNESSLPNGFEHDEKAETSNELPGGRIGEDGFLPGEGSHRSKIGGGSREESAGTPTSHGSCQGSPCIRNGSSPLNELVVSPSLEQNMRVGGSVGFTCQPMGEMNLSAGFSADAFVSVEPQEPFGGMLIEDAGSSHDLQKLCSAAEALFDERVPEYSWNDPPCSAGIPKESTAVATERMPGFSAKLELKTITIKATYREDIIRFRLPLDSGIDRLKEEIAKRLKLELGTFEIKYLDDDNEWVLIACDADLQECADVSISAASNLIRLVVHDLMPLLGSSCESSA >CDP12958 pep chromosome:AUK_PRJEB4211_v1:8:2560778:2561446:1 gene:GSCOC_T00037670001 transcript:CDP12958 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLGYIILCACEANWQIILCLFKKSRIDVIIYLLYLNNLVYYYWNSNTKIIGSFGCVWSDLVPLNSCLSSIVLVKFIFQYAFLQVLSFTLYQKMHFHVIFFFFFFFFWGQTPIWAYIKGLKEVGPSINYCILRNF >CDP10016 pep chromosome:AUK_PRJEB4211_v1:8:27190773:27198160:1 gene:GSCOC_T00030554001 transcript:CDP10016 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKSTLALEAVSKETVDLEHIPIEEVFENLKCTEEGLSSDEVRARLGVFGYNKLEEKKESKILKFLGFMWNPLSWVMEAAAIIAIAVPRGEKKEVDYQDFVGILILLLINSTISFMEENNAGNAAAALMARLAPKAKVLRDGKWNEEDASVLVPGDIISIKLGDIIPADARLLQADPLKIDQSALTGESLPVTKNPGDVIYSGSTCKQGEIEAVVIATGLHTFFGKAAHLVESTTNVGHFQKVLTAIGNFCICSIVTGLLFETIVMFAMQHRKATEGIANLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEVFAKGVDKDTVVLMAARASRLENQDAIDTAIVSMLGDPKEARAGITEVHFLPFNPTDKRTALTYTDGAGRMHRVSKGAPEQILNLARNKSEIEKKVHSVIDKFADRGLRSLAVARQEVPEGTKDSPGRPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSFLLGDHKDESVAALPIDELIEKADGFAGVFPEHKYEIVKILQGRKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTAFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGVVIGSYLALMTLIFFYVTYETSFFAKHVHVTDFNKGLYNLTNEDARKHLNAQLASAVYLQVSTISQALIFVTRSRGWSYTERPGLLLLAAFIIAQLFATILSAVATSEFCGIRKIGWGWTGVIWLYNILSYLLLDPIKFGIRYALSGKPWNLLLSQRMAFSTQKDFGKEVREAAWAAEQRTLHGLQSAEAKAFNEKHNFREISIMAEEARRRAEIARLRELHTLKGKVESFAKLRGLDLDVNSHYTL >CDP08205 pep chromosome:AUK_PRJEB4211_v1:8:24884714:24890943:-1 gene:GSCOC_T00026965001 transcript:CDP08205 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigC [Source:Projected from Arabidopsis thaliana (AT3G53920) UniProtKB/Swiss-Prot;Acc:O24621] MGFRLTPKWGFSIQLSFSTKTSSWPSSNSLFPMHTKFAIFSNLLSLVQDSKGEKVYYSAGLLGPYCRFRVVKGWEAVYESAKMSSFSVAYGDTTTWLSDPMRVKMCSSATQARENDNSETEDMEDAVSRWSYHGSCNMAHNDISSSRENNNSIYLASLRNTKALHFGLLMKNLDVLEETIADSGVLRLEEDILVQLERLGALELFQTCLCRMLKPSTLYDLSDKATKPVEASQINCPVEDVVGKKVVHSVKKEKRKSRRKRPLDKGNGKFMQEKYSSTLEDAQQVEFSPGRKSSDSRSRSLKIARTEAEMSQGVKLVSELERIRTIVEENTGQVVGMSNWAEAAQVNIQVLQQHLQFGWYCRDELLRSTRSLVLYIARNYRGLGVAFEDLVQAGNLGVLQGAQRFDHTRGYKFSTYVQYWIRKSISMVVAQHARGIRIPSSLSKAISQIQKARKALKSSHGKYPDVNEIAKFTGLSTAKIMSVSKCLRIVGSIDQKVGDSSSAKRLELISDTSMRSPEETVVRQHMLQGMYGLMKDLEPRERQVLVLRFGLRSHQRKSLEEIGRLYSVSKEWVRKIERRALTKLRKNDVFQDLSQYMNM >CDP12475 pep chromosome:AUK_PRJEB4211_v1:8:16282318:16283331:-1 gene:GSCOC_T00036063001 transcript:CDP12475 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTSGLRVDSSLRFTSMSCIPYFSGFMALVLLVFTMGKWIMICLKNNKPRFPLPPGPKPLPFFGCIFQMLRNRPTHRWIYKVMDDMNTEIACFRIFGVHIIPVTSPELAREFFKKHDSIFSNRPVCMSAELSSEGFLTTGLSPLGDQYKKMKRMIVSSVLSPAKHQWLHSKRAEEADHLVNYVYNQCKDDATSGLVDIRLATRHYLGNVIRKMIFNKRFFGKGMEDGGPGAEEVEHVNALFKLLAYMYAFSLSDYMPWMKIFDFDGHRKVLTMAIACVRRLHDPEIEKRIKTWESGLKNEEEDLLDVLIRLKDNKGRPLLTTEEIRAQITVRNPLS >CDP08359 pep chromosome:AUK_PRJEB4211_v1:8:26347997:26352197:1 gene:GSCOC_T00027164001 transcript:CDP08359 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLESQRVVAYDTAKAFADEIGIPFMETSAKSATNVEQAFMAMAAEIKNRMASQPAMNNARPPTVQIRGQPVNQKSGCCST >CDP08146 pep chromosome:AUK_PRJEB4211_v1:8:24318726:24321572:1 gene:GSCOC_T00026887001 transcript:CDP08146 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREREISFENRKLLWFIGLVLASVLLLQYFELPYGHVISNFFSAGKNYSHFTEKDRADGVANFSVALDKSSSYSMNQSASEKNGGSAASNKINDDRASEVEFTRKRNNSVETEKGREYEYRFAATHVNTDMNSASGSIQVEDIALSDEMRKLNDSVILPSSDRDKQLLKARLELEKVETVKDKSGLYAPIYWNISRFERSYELMEQTLKIFIYKEGKRPVFHQPRLTGIYASEGWFMNLLQESKQYVTTNPEEALLFYFPFSSQILEEIAYVPNSHSFDTLKQYLRNYLDMIKARYSFWNRTSGADHFLVACHDWAFLTNFFHMLF >CDP12103 pep chromosome:AUK_PRJEB4211_v1:8:30261849:30267965:-1 gene:GSCOC_T00035489001 transcript:CDP12103 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLTIPSQRISFLKKASTMTNTSLPSRLNPNFNWICISPKVSWSTHSLAFSRKLRKQCRDMHEGNLGKFLCKVFDSSKDGECRITEGKSCTGIRFLHSVEEHNIMATTKHTTASNFTSNQSHLKLMMLCGFFTLQGAQQAVASSDIASQLSSISFLGDTGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAAVVFLGTFGALGAMTIISVILGRTFHYVDGILPFRFGDADLPIDDIAAAFLLVYFGVSTLLEASSGDGQKTEEEQKEAELAVAEFSGNGAGILAAASTVISTFLLVFVAEWGDKSFFSTIALAAASSPLGVVGGALAGHGVATLLAVLGGSLMGTFLSEKVIAYIGGTLFLVFAVVTLIEIVS >CDP18538 pep chromosome:AUK_PRJEB4211_v1:8:4416497:4418394:1 gene:GSCOC_T00010775001 transcript:CDP18538 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEPVSLDDEQLAELREIFRSFDRNNDGSLTQLELGSLLRSLGLKPSPDQLETLIQKADRNNNGLVEFSEFIALVAPELLPAKSPYTEEQLKQLFRMFDRDGNGFITAAELAHSMAKLGHALTAEELTGMIKEADTDGDGRISFQEFSQAITSAAFDNSWS >CDP08313 pep chromosome:AUK_PRJEB4211_v1:8:25909693:25912770:1 gene:GSCOC_T00027107001 transcript:CDP08313 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLTKTAKAVPGSHPSPGQSPQPASSLSFSCLPTVFFGTCWSLAAFSSNFLTCFSSSFSSMLTYFHLNHIRLLGLRRG >CDP13069 pep chromosome:AUK_PRJEB4211_v1:8:4001318:4006639:1 gene:GSCOC_T00037837001 transcript:CDP13069 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPSTDKIVSWSATNNSFVVWDPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKSISRRKPAHGHPQPQQQPHAQSPSVGPCVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQTTDGQLQAMVQRLQGMEQRQQQMMSFLAKAVNSPGFLAQFVQQQSDSGRRITEGSKKRRLKQDGLSDDHSVVPTDGQIVKYQPMMNEAAKAMLRQLMKVDASPRLENFSNSSDNFLLSDGSTQSTAMDCGNSSNRVSGVTLQEVTPSAGQPFVPAASSVIGQSASAAISNSHSSTLGATSDLNESNVDILGTETENGAFMDATSLAANGKLALDIDDEQIEWDSSLLDDMEDLPGSIDPFWEKFLQSPQQSIETEDLNSSQMEDLSKGSQIKPVDNGWNRTQHMEQLTEQMGLLTSEGKKV >CDP12111 pep chromosome:AUK_PRJEB4211_v1:8:30344933:30355148:-1 gene:GSCOC_T00035500001 transcript:CDP12111 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWIVVCGKNECQKNNFESGCSSGIMAITDPSSCANHILVISANILLSFILIFAFFRGNSSRRVVADSGLQGRRFISLLSFSCLFVNAGIACIYIGLGTWMIGKKLSSNQSLSPLHSWLVLLFQGFTWLLLSVFVLSKKSQYRAPFISVVKLCFFTAFLAGFLCILSLMQLIVDKLVSLKGVLDVLRFPGALLLLFCAVHVKKHSEIGKDTSVEAYYEPPRGEEAGFSSTMSLNDRITQFAEAGILHKMSFSWLDPLLKKGKEKTLEDEDVPLLRPQDRAETCYSLFKDQLNKHERNKICDQSSILRSLVYCQRKAIVISGLFALVKIVTFSSGPVFLYAFIDLSEGKEVFKYEGYALTAGLFLAKCLESLAERQWFFWTRLIGLQVQSSLTAAIFKKQFRLSNAAKHIHSSGEIMNYVTVDAHKIGELPYYFHQIWTTGLQIGMALVIMFYAIGQATFPALVVIVLSMLGNSPVAKLQHKYLHQLMVAQDRMLKAITEALAHMKVLKFYAWETHFKDIIGLLRKEEWKCLVKVQAQKGYYMLLFWSTPIIVSAVTFWSCYLLNIPLTTSSAFTFLATIRIVQEPIRSIPDVLGVFIEAKVSFSRIAKFLVAPELQSSPIHHGPQGFDIEHSILINAKRISWDIHSLNPSLVYINLLVKPGQKVAICGEVGSGKSTLLAAILGEVPCIDGRVEVHGKVAYVSQVAWIQTGTIQQNILFGSTMDQQKYQDVLKQSCLLKDLDMLPFGDKTVIGERGVNLSGGQKQRVQLARALYQEADIYLLDDPFSAVDAHTASTLFNEYVIGALFKKTVLLVTHQVDFLPVFDSILLMSKGKILKEATYSKLLDSSQEFRNLVHAHSEAAMSAGHSSQKRQKTSQDVIQQIHSGEQLAAPLWEQLIKEEEREIGYTGLKPYVQYLSQSNGFFYLSLGVLSHLVYIIGQLIQNLWLATNLQDSSLSELKLLSIYSFIGCGMALSLLLRSCVIVLLGLRASTTIYSKFMDSHFRAPMSFYDSTPLGRILSRVSSDLSVVDLDLSPRFSMAFASTMNTYFSLGILAFLTWPILFVIIPTVCVTILIQIYYYSSVKELIRIHGTTKSSVASYLSESIVGAMTIRAFGEEDRFFLDSLKLIDRNQSSFSHSFSANEWLMQRLELLCAIILSSSALALTLLPLGASESGYIGMALSYALSLNLYLASSVQTQCMLENSIVSVERLEQYMHIPSEAPEVIESNRPPPNWPYIGKVDIQDLKVRYRPNAPLVLKGITCTFEGGHKVGIVGRTGSGKSTLISAIFRLVEPADGAILIDDQDISTFGVHDLRSHLAIIPQDPTLFGGSIRYNLDPLSEHNDQEIWEVLRKCQLGDVVEKKEGGLDSFVTQDGSNWSMGQRQLFCLGRALVKRRKILVLDEATASIDNATDSLIQKTIRSEFSDCTVITVAHRIPTVMDCTMVLALRDGNVVEYDEPMKLITEENSLFGQLVKEYWLRSEHAKPDFYCNFKIYNVFHPSQTGFLQWCWNVLLCASPHSTTGLLALVLPTMGRKVNFPP >CDP07906 pep chromosome:AUK_PRJEB4211_v1:8:20712857:20713762:1 gene:GSCOC_T00025407001 transcript:CDP07906 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNIMHLQGPKVLQISSVRDIGKSNMAESLENSSNRCLLRFNLTDDQTEIVAVEYSHLSSIPDDVVPGTKVYHCTFLLENNAKVRNGIVCLDAKVTRVLGGWVQSLYEEWEMNKKYSAFSRSALRSIPTPYLDISKMKGLIYGLEILYIINFRYNLTGILIL >CDP09898 pep chromosome:AUK_PRJEB4211_v1:8:28330413:28332356:1 gene:GSCOC_T00030383001 transcript:CDP09898 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDKKLISFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTEAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLQKETKVAETSPDAESEKHQKLELPEINSGSTISASASSEDQNSCSPTENSSSDESRLLDNILENVSDYDPLISYLLEEEAPVPPVVDAPWEFPAAAQNFDNPGMPLLDDNCSWLLDCQDFGVHDFGFDCFSDMEISNVLDTIEMGNKERAVNLPSWPGEREGE >CDP12084 pep chromosome:AUK_PRJEB4211_v1:8:30115168:30116264:-1 gene:GSCOC_T00035463001 transcript:CDP12084 gene_biotype:protein_coding transcript_biotype:protein_coding MILFFKSASRSRTISVEDNPLDQRESKNNTANETCTSLTVWRKSLIFSCEGFTVFGSDGSLVYRVDSYTGRGRRPGQVILMDGSGKPILTISRRKKLGLVDSWLVYEGEVDEYCSNKKSVIEPIFCVRKSLNVLQPNFNVLAYVYRGGGMSDKRHSYVVEGSYAHRSCKVLDGSRRVVAEIKRKEATVGGVSFGLEVFLLIVQPGFDSGFAMALVLLLDQIFS >CDP09963 pep chromosome:AUK_PRJEB4211_v1:8:27770980:27771230:1 gene:GSCOC_T00030479001 transcript:CDP09963 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQLHGGVKKSGIMGFCDPCPGEPKQLYMEYTYGGNKYEVTVDDYEELVIPKEAHRI >CDP13062 pep chromosome:AUK_PRJEB4211_v1:8:3927428:3928654:-1 gene:GSCOC_T00037823001 transcript:CDP13062 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKIADFGMARIFKHDKTQAITNRVVRTYGYMSSVYAMEGTFLVKSDVFSFGILILENLLLGNPYPSIHGNHGWYG >CDP08241 pep chromosome:AUK_PRJEB4211_v1:8:25262504:25266474:1 gene:GSCOC_T00027009001 transcript:CDP08241 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPPLEITWFYSAVTFHSSKVSTTPDITSNRMMLLVKDLFQLLVSSSSLSNGWKRTALLAPVVFRLYDMVRDSLTNGFPLREEIEDLLEKIVSYISVCCCLDVEKGNYSDLPMVCFEDLVRVWTVDRVDEGCDYRDNLRVFFPVLSDEVRGGVDGRSGIECLAGIVLCEVFWLRLCLKFNQGIRRDELEMDMRNWVVQTINGFENIYFLDMLLRMLLEPSLPIANLLSSDDAMSLMKVLYDAVLIVDYSCLNSRGWMQLCDNQFGNLALMWLLVADDAVQYARDICDHSRALSYVNSFTESHLSNQLIKWISNQACVEDGLTHDIGTPRDLIRWLLVLEDQGLGVFYHNLSRFLTKTKLETIITWKSILSCSGNLGKREYKVNMDEEMADPSCRADPASIVTHEASIEGTRKRKDGTREEVETGVKHVKYNLHETSIYKDKFGSGVDMCNPVCDEDMEVMVTG >CDP13489 pep chromosome:AUK_PRJEB4211_v1:8:953678:954586:-1 gene:GSCOC_T00038448001 transcript:CDP13489 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQRSTISFRREGSSGTVWDDRKLIAEMDEQGKRDLSGLRHSYSIGCPSIVASSSGAADADPVYIRSRSAPAVKIMLIPKSVGRKFKGVLAKPKSKLSEAEPERRG >CDP11763 pep chromosome:AUK_PRJEB4211_v1:8:6342734:6345120:1 gene:GSCOC_T00034257001 transcript:CDP11763 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGEVLVVPFFGQGHLFPCMELCKHFSSDNYKAILIIPSHLSSSVPSSLRGLSLVEVPSPPEMIPPPAALLPEMLDGSRPGPGFGPGPGPLRHHHQQMGSGLEAYLSQKCGELGQTRPVCAVLDVMMSWSKEFFVKFEIPAVSFLTSGACSAAMEYAAWKAQVGDMKPGEIRKFDGLPEDMELSYSDLKRQEHHGPHRRGNGPPSGMKFGPPGAGSRPRWLDEVEGSSALLFNTCNDLEQPFIKYLADQIDKPVYGVGPLLPEKYWKSSGSILHDGEFRSNRESNYTEDQVVQWMDSKPHQSVIYVSFGSEVGPNLEECAELASALGELNRPFIWVIQPNSGRAGFYPHGLEEKVGDGGLVINGWAPQLLILSHPSLGGFLSHCGWNSTVESIARGVPILAWPIRGDQFHNAKLVVKHLKAGHMLMTGEDPKEMVKKDGIIRGIDLLLDDKEVYKQAVAVRSRFKNGFPASSVAALKSFLEAIAKKN >CDP16186 pep chromosome:AUK_PRJEB4211_v1:8:23988185:23989253:-1 gene:GSCOC_T00017277001 transcript:CDP16186 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCSERNGSFMANNYDSTSKASPCDEANDNCSSTTTSQLFLLCSCFGLMSIGSGGIRSSSLAFGADQLRRADNMGWQVGFIIPVALMFISALSFCLASPFYVKLKANSNLMVEMLQVAIAANRKERIKVSTESSNMLYHHQKGSSNLPSEKLRFLNKACIIIDPEKNLTTDGIVADPWTLCTVNQVEDLKTLLKVIPIWSTGMIIVIFQANIC >CDP13547 pep chromosome:AUK_PRJEB4211_v1:8:360240:363203:1 gene:GSCOC_T00038533001 transcript:CDP13547 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIYLSLLYSRSVTINDPQLCRFYRLGFQSLHFHTDFDQEIPAPKHTIQAGNPDRDVFFPGYYAVARPFAYAIDLMDSILPRGQSKNQKILKGADVSSCSNVPDRISTLPDAVLGQILSFLPTRFAAQTGVLSKRWKKLWISVPTLDFDIKLQDRGNMAKFDGFAKSDDIQSFTNFVDRLLFVRDHSSIREFRFSFDDRFDPKRFYAWMWIVIMSNIEVLDLDMRSLVGIRELPWSLFSSKSLVVLKLSGRFLLAIPCTASFPRLRTLCLKYVMYVSDASIEELLSACPVLEDLQITRERWDNAVNIVIAQPSLKRLTFESYGDTSMQSSNRAGGRINYKLLVKAPNVEYMKLVDFASDDMAVEHMPCVNEADINVNNLGEEVGWTNIQRRNYGKRICGILGSLCKVKHLSLGGETLKARQDALRAASESRVLLFHNLVHLVLGFDYLHGPILLPGFLGLCPNLESLVFPDGMTCTCLDVGVENRGHAPYFWEPPEVVPGCLLHTLKSIEIESFCGEEPEELKLVKFLLENAMVLKEMTLVCHADCIDMYSFRDELMAYKRGSAACQVCILPEAGDE >CDP12984 pep chromosome:AUK_PRJEB4211_v1:8:2846446:2846969:1 gene:GSCOC_T00037704001 transcript:CDP12984 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSALEKEASLEVARESLIAISYSVPDTILSSVVVETLNTGHQVVATNSDGADKFRSKLISISDLRSPDIGIIRCNGHHEISYDHM >CDP13047 pep chromosome:AUK_PRJEB4211_v1:8:3624175:3636324:-1 gene:GSCOC_T00037801001 transcript:CDP13047 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRDSGFSSGNVVQVLSGSSGNGVAGNWGRGSVDQAAWATEDDYRVWNRETSVEINSNSNYDGRQSQSFSGGEPPNKKSRSSQMEDLTASSRSKAIGKMFYKTKLCCKFRAGTCPYVTNCNFAHSIEELRKPPPNWQEIVAAHEEERAASMEPREEFQIPSLVGGAESQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKARESEAISLGPGMGGGYAGNPTGTNLKPSNWKTRICNKWELTGYCPFGSKCHFAHGAEELHQFGGGPVESEVPTTPSDTKQVAVPSKVVADAVVGSVTPVPHSDSYHVGVPAQKLSNVIQKTGQKPHLKWKGPDKISKIYGDWIDDLE >CDP09777 pep chromosome:AUK_PRJEB4211_v1:8:29297646:29302878:-1 gene:GSCOC_T00030238001 transcript:CDP09777 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLNKWQWKRMHEKKAREKEKRLLDHEKQIYQARIRSEIRAKLSAASQKPNSVSHPDQPGPNYGPVSPQEHIKALADRFMKEGAEDLWNEADGPIRAPAPREMANKRVIGEPIDLRKLISRKSAFAGNCSADESRNPKSLGDIASTARKRISSYTAGLMKGGLDLMCDHGSQTSVNMDGLSRVRCYSVDTISNKGESFARNQRSKSKEGSDSKGSLVSSRGSGRVRWPRFHTNRNVINSSDDESDDDDYYKIDSDDEDKTAEERRSWGNGRRNASSAALGKYDVKIKKKRVPLNALEQEDDLSQQVEMIRREVVKRSLEKQRGEGKSEEETIYSSKRFDEYDISPLTVKALNAAGYVQMTRVQEATLSTCLQGNDALVKARTGTGKSAAFLLPAIETVIKASSNSTNQRTTPVYVLILCPTRELASQIAAEATVLLKYHDGIGVQTLIGGTRFKVDQRRLESEPCQIIVATPGRLLDHIENKSGFSLRLMGLKMLILDEADHLLDLGFRKDMEKIVDCLPRKRQSLLFSATIPREVRRISLLVLKREHAYMDTVGYSLETHPKVKQSYLVAPHEQHLEIVYNLLQGHISKVPDYKVIVFCTTAMMTSLMYALLREMKMDVREIHSRKPQIYRTRISDEFKEAKRLILITSDVSARGMNYPDVTLVIQVGLPSDREQYIHRLGRTGREGKEGEGILLLAPWEDYFLDDVRDLPLEKCSSPQLDPDVNVKIEACLTKIDHGVKEAAYHAWLGYYNSIREIGRDKTTLAELANEFCKSIGLQKPPALFRKTALKMGLKDIPGIRIQK >CDP13435 pep chromosome:AUK_PRJEB4211_v1:8:1396891:1399155:-1 gene:GSCOC_T00038381001 transcript:CDP13435 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLIGPIWEVLKLSPETKTGELGLLVSQSFVFLFSSRCLPLRYQTSVTNRSKMTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYLCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >CDP13490 pep chromosome:AUK_PRJEB4211_v1:8:948620:951857:-1 gene:GSCOC_T00038449001 transcript:CDP13490 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQATSSLAASSRPSSSERSSSSALHVEVKEGMESDDEIRRVPEIGGEVAGASASGRNGGSVAGPVQPSAAGSRKRVRSPADKENKRLKRLLRNRVSAQQARERKKAYLIDLEARVKELETKNAELEERLSTLQNENQMLRQILKNTTAGAQDGRK >CDP12169 pep chromosome:AUK_PRJEB4211_v1:8:30781248:30783812:-1 gene:GSCOC_T00035572001 transcript:CDP12169 gene_biotype:protein_coding transcript_biotype:protein_coding MSVADVALSPISEESVRSSGYIINRLNLTSGESIVIYLTLAGSVIPMKVLESDSIGSVKLRIQKCKGCVVKRQKLVFGGRELARSDSLVKDYGISSGNVLHLIVKLSDTVQVTVRTICEREYEFHVDRHRNVGYLKRVIAKKAEGFTNTEDQEVLYNGQKLEEHRLIDDVCKFSEAILHLVVENSAKVRAKPIEKDVEFSIVAANCSERTHEEKVQEEKEQTTDYQVTSWKPQDKSSLLEPVIVNPKVKFPLFVQQMIDSVLEGLENGRPPLRSSEGTGGTYFMQDASGDKSVAVFKPIDEEPMAVNNPHGLPLSLNGEGLKKGTRVGEGALREVAAYILDHPKNGPRCLSNWDVGFAGVPPTLMVQCSHKEFYHPEGYENPHNYMKLGSLQMFMSNSGSCEDMGPRDFPVEEVHKISVLDIRTANADRHAGNILVTREGKQGRIELIPIDHGYCLPEIFEDCTFDWLYWPQARVPFSPETTSYINTLDAELDIRLLKFYGLDLSLECARTFRISTMLLKKGAARGLTPFAIGSMMCRESLNKKSMIEEIIHEAQESMLPGMSEAAFLEMVSDIMDIQLDKLIESPS >CDP13442 pep chromosome:AUK_PRJEB4211_v1:8:1345306:1346786:1 gene:GSCOC_T00038392001 transcript:CDP13442 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLYDVAADGDVSTFVHLLQEDPLLLDKVSLNCQDKNPLHIAAMLGHVAFVQAILGVNSDMCLVPDRFGRNPLHIAAIKGRLAVLQELIHARPLAAREKTEGGGNILHLCVKYNQLGALQILVQTIRDHEFLNAKNDDGMTILHLAVCDKQNETIKYLLRNKVVDVNTKTANGNTALDLVQGDIYSELARSLEDAGAKRAKDISPSATAGNDGHENKSHSQPSQGGDWLARKRDALMVVASLIATMAFQAGVNPAGGVWQDDKTDYPNPHNAGEAVMAHSHPKYYKNFIRVNTVAFVSSLSTIMFLISGLPFRRKFYMWCLMVIMWLTISAIALTYGISIVIVTPKDYRKQLSHVIETAVTVWCGVMALLLLGNTIRLINRWFKGRGNNVDRRIKRGIDSSVQSQSNDKNDGLYMC >CDP12955 pep chromosome:AUK_PRJEB4211_v1:8:2538206:2540469:-1 gene:GSCOC_T00037667001 transcript:CDP12955 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPGYVRACLQTGKLAMLAILVSGGIVLQILACALYNNWWPMLTVIMYVVLPMPLIFLAGSDTSSLFSESQSGWADVTTYLHEPSCNYNDTKKIAPATTT >CDP11744 pep chromosome:AUK_PRJEB4211_v1:8:5936736:5939882:-1 gene:GSCOC_T00034227001 transcript:CDP11744 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVEILVDTLKSMIEEERGLLCGVATDMEKLARLLSAIKAVLEDAEQKQFTDKAIQLWFQELNGVACEIDDVLDDYAAEASRIKYKNSGCFSLMCYPVAGNLVFRHKIGKRMKEILEKFNAIADERTKLGLSDQKRGSYFNASLETGSMVNEPKVLGRDEEKEQIVHILMKEKDRDDQNVSILPIVGVGGLGKTTLAQLVFNDERIAKHFEPKLWVWVSEDFDGKRIIKALINSIQRTTTGELELAPLQSNLQELLREKRYLIVLDDVWNENPWEWEKLKSVLECGSRGSSIVTTTRKQKVAEIMRTLETRYLSSLSDDQCWSLFRQQAFDCQEKQERLEAIGREIVKKCGGVPLAAKALGGFLRFKSEAEWNSVKCSEVWNLPEDETRILPALRLSYLNLPVELRGCFAYCAVFPKGSIIKKEEVIHLWMANGLISSNGTMEVEDVGAAAVTELHHRSLFQAVEKDVLGRARDHSFKIHDLVHDLARSVMEAKHGGTESNRTMMLDMPNDRLTVAFPIKITGTDQFCSFLPKCGSLRALIVRSPWWRAEKFTELRPAVSKLKHLRHVNLSRSDIILLPNSICDLWNLQILNLNDCDKLWSLPKGMRFLRNLRHLCLHRCRNLTHMPSGIGKLTCLRTLSMVVSGGKSGFQLSELRGLNNLREEELTIKHLERVEDKKHAEEACLTEKQSLRKLNLHWDSKRTLQRYNDQEVLEALEPCPSLQYLNISGFKGSSLFPSWISTVTEVLVWESAVDYIVGAQESTAADAAMSPSLKQLKLWNMPNLKGMLGREVQGTPGIFSQLQYLTFIDCPTLTLPLPRMPSLKKLCVVYCGPNVAWASISNLTSLQCLIIESIEGLSCFPEEMLQNLSLLESLDIGAIKDLRALPRNLACLTALKTLTVRECPKLESLRGLASLQELHIEKCYNLESLPMGTKALKSLTHLSIKGSYATALSEEVKHLHAVQVLDLRLFDNLTSLPDWFGDHLTSLRHLTLRFCPELETLPSSIQMMTTLQSLTIVGCDLLKPRCERGGEEWHKIKHIPDLMILT >CDP08204 pep chromosome:AUK_PRJEB4211_v1:8:24855681:24876531:-1 gene:GSCOC_T00026964001 transcript:CDP08204 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSFTLLLVVILIIGLLPIPSCGYGTLVQDGGGDADFDLDDDSGDFDSFLFHRDYSPPAPPPPPPHPPSVTCEADLGGVGSLDTTCNIVSNLNISDNVYIQGKGNFNILPNITVNCMFPGCELVINVSGNFTLGQNSTIFAGTFDLTADNATFSNGSVVNTTGLAGDPPPQTSGTPQGVDGAGGGYGGRGAACLLDQKKLPDDVWGGDAYGWSYLQDPCSYGSKGGTTNREVDYGGGGGGIIQMKVLKLLEVNGSLLADGGDGGSKGGGGSGGSIYIKAFKMIGSGYISACGGNGFAGGGGGRVSVDVFSHHEDPEIFAYGGSSRGCQENAGAAGSIYDAVPRSLTIDNYNKSTDTDTLLLDFPQPFLTNIYIQNQAKASVPLLWSRVQVQGQISLLSGGELSFGLAHYSMSEFEILAEELLMSDSVIKVFGALRMSVKMFLMWNSRMLIDGEGDENVETSMLEASNLIVLKESSIIHSNANLGVHGQGLLNLSGPGDCIEAERLVLSLFYSIHVGPGSVLRGPLENASTEAVTPKLHCDQNDCPVELLHPPEDCNVNSSLSFTLQICRVEDILVEGLVIGSVVHFHRARTISVPSSGTISTTGMGCIGGVGQGTFSDSGIGSGGGHGGRGGMGCFNNSCIEGGMSYGDANLPCELGSGSGNESLTSANAGGGILVMGSWEHPLVGLSVEGSVKADGDGSGGQLKSKDSFHPGGGSGGTILLFLHSLDLGESGAMSSAGGHGSVGGGGGGGGGRIHFHWSDIPTGDMYQPIATVNGSILARGGIGVDQCNAGEAGTITGKACPKGLFGVFCEECPAGTYKNVSGSDRSLCFPCPTNELPHRATYVSVRGGITETPCPYQCLSERYHMPHCYTALEELIYTFGGPWLFGLLLLGLLILLALVLSVARMKFVGVDELPGPGPTRHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFLGPNTFGEPWHLPHTPPEEIKEIVYEGAFNTFVDEINAIAAYQWWEGSVHSILCIVAYPLAWSWQQWRRRIKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATSDLMLAYMDFFLGGDEKRSDLPPRLHQRFPMYLLFGGDGSYMAPFSLHSDNITTSLMSQAVPPTTWFRFVAGLNAQLRLVRRGCLRSTFRPVFKWLETFANPALKIYAIHVDLAWFQTTTGGFCQYGLVLDAVDGDTGRLSFQDLDGALRTGPQLRGSTINWEVPSSLTEDSFFGLTRRSSESNVRRKIYGGILDVNCLKKIEEKRDILFALSFLMHNTKPVGHQDLVGLVISMLLLGDFSLVLLTMLQLYSVSLADVFLFLFILPLGILLPFPTGINALFSHGPRHSASLARLYALWNVTSLINVVVAFVCGYVRYTTQSRRKIPYIQPWNMDESEWWIFPFALVLCKCIQSQLINWHVANLEIQDRSLYSTDFELFWQS >CDP07172 pep chromosome:AUK_PRJEB4211_v1:8:9979044:9989091:1 gene:GSCOC_T00024323001 transcript:CDP07172 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIWLIRWILVLVVTLDIHGNLILMDASDAIKDSGIGSSKDNLFDQSLSMDIDDIDFDDFDDMLNSITLRELGEDFLKKFCKKAATAFFEQYGFISHQINSYNNFVKYRIQEVFDSVGEIVVEPGFDPSKRGDGDWKYASIKFGKVILEKPDIWIGEKLFGEGKNEYLKVLPRHARLQNMTYSARIKVETHVQVYTKKLLRSDKAKTGMAEYVENHCEKEEKKEIIIGRLPVMVRSELCWMNEAEKDDCEFDHGGYFIIKGAEKTFIAQEQICMIRLWLSRVPNWMVSYRPIAKRKRVYLKLVSNSKFESIIGGEKVLSVYFAVAEMPVWILFFALGASSDREVVDLINLDIEDTKIANILTESIYDADDNCTDFRRGKNALDHVHKLLKNCKFPPAEPVEECIRNLLFPNLTGFKQKARFLGYMVKCLLEAYTGRRKVDNRDDFRNKRLELASELLERELKVHLKHAERRMVKAIQKDIYGDHELRGIETYVDASIISNGLSRAFSTGAWSHPYKKMERVSGVVATLRRTNPLQMTADMRKTRQQVSYTGRVGDARYPHPSHWGKICFLSTPDGENCGLVKNLASLGLVSTTVLESLLDKLLDCGLKLLLDDTSSSTHEEHKIFLDGDWIGTCKDSALFVAELKNKRRSKEIPQQVEIKRDEKHREIRVFSDAGRVLRPLLVVENLKRIKDLKGEDCSFQSLLDRGIIELIGPEEEEDCVTAWEIGLLYTGSKEKPPMKYTHCELDQSFLLGLSCGIIPFANHDHARRVLYQSEKHSQQAIGFSTTNPSIRVDTNIHQLYYPQKPLFRTMLSDSLGKPSYPRHRGMLPRPEYFNGQCAIVAVNVHLGYNQEDSLVMNRASLERGMFRSEHIRSYKSDVDETENLGKRHKPEDFVKFGKMPSKIGRVDSLDDDGFPFIGASLQTGDIVIGKYSESGTDHSVKLKHTEKGMVQKVVLSANDEGKNFAVVSLRQVRSPGLGDKFSSMHGQKGVLGFLESQENFPFTAQGIVPDIVINPHAFPSRQTPGQLLEAALAKGIALGGAQKYATPFSTLSVDAIGDQLQRLGFSRWGNERMYNGRTGEMIHTMVFMGPTFYQRLTHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGAASNLHERLFTLSDSSEMHICRKCRSMANVIQRPVFGGRKIRGPFCRLCESAEDIVRVNVPYGAKLLCQELFSMGISLKFDTELC >CDP12101 pep chromosome:AUK_PRJEB4211_v1:8:30243890:30246807:1 gene:GSCOC_T00035483001 transcript:CDP12101 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKNGSIEINIPQVSKVIFHDAEKSSGFSCRGWIMEVWKFCKEDTNRIIFALKGGLAVLLVSLLILIRAPYHVFGSNIIWSILTVAIMFEYTVGSTFNRGFNRALGSLLAGVLAIVVAQIALNTGPVAEPIIIGISIFLVGAITSFMKLWPPLAPYEYGFRVILFTYCLIIVSGYRMGNPIRTSMGRLYSIAIGGIVAVSVNVLIFPIWAGEQLHQELVTNFKSVADALEECVKKYLEDDGSNHPEFSSTVMDDFPDEPAYKKCRSTLSSSAKLESLANSAKWEPPHARFSHFFYPWSEYVKVSAVLRYCAYEVMALHGVLHSEIQAPYNLRITFQQEIQDATTEAAELVRCLGNDIRDTQKSLKAPILKKVHATAERLQRAIDMHSYLLISTYDQPPDRQLPKLSHTFMRKHSRRLHSWPSREVDVFEEEGANSADFVPKMQALESTAHLSLATFTSLLVEFVARLDHLVEAVDDLSKMAKFKIQTA >CDP12057 pep chromosome:AUK_PRJEB4211_v1:8:29922048:29926687:1 gene:GSCOC_T00035431001 transcript:CDP12057 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTGYCYSDPSNVNPSIQTHIVTQLQSFESNPEIYNLTTGMEMIGFPSKNLQPTSHDNNSVMWKEFFGKPSGNHHHHYQAGGASSSKTINEPSSASDQFYQQDFTSQESLMVTQNHHNQSSAAWHVNRSVLLGDPSLRVVFPCEGNERPSQGLSLSLGSSNNPSTIGLQSFELKHQDDMRFAPSTSRDGQFIGKSLNIQQQQQMMEDGFLGKAANLHHQGLFQIRSSKYLAPAQELLNEFCNLETKQTDRTSKLKLHKTSQWQDQENASNPSKMQSLCSLDLMELQKRKAKLLQMLEEVDRRYKHYCNQMKAVVSSFEAVAGNGAAAVYSALASKAMSRHFRCLRDGIVGQIKATKKALGEKDTAAPGTTRGETPRLRLLDQALRQQRAFQQMSMMESHPWRPQRGLPERAVSVLRAWLFEHFLHPYPTDVDKHILARQTGLSRSQASPFLRTII >CDP10008 pep chromosome:AUK_PRJEB4211_v1:8:27277011:27284829:-1 gene:GSCOC_T00030540001 transcript:CDP10008 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVQILTLFCALSFHSLAISALDFQEQSNLETYIVHVEFPESDTQLSTASSSIPNEDLDGWYNSFLPTVTASSNDAPRMIYPYHNVFKGFAAKLSAEDIKAMEKKPGFLSARPQKVLLLHTTHTPNFLGLHQNMGFWNESNYGKGVIIGVLDTGITPDHPSFGDEGMPPPPAKWKGRCEFNTSVCNNKLIGARFFRIDILSLSLGGSSEPFYADNIALGAYSAMEKGIFVSCSAGNDGPTSSTLSNEAPWILTVGASTIDRKIRATAVLGNKEELEGETLYQPKDFPSTLFPIYYPGANQSDFNADRFCTPALLNKTGIKGKIAVCEVGANAMLDKGKNVKAAGGVGMIIVNPQQYGYTPRADAHVLPATHLNYADRLKLIEYIKTTSSPMAAISFKGTIIGDGHAPAVAYFSSRGPSQASIGILKPDIIGPGVNILAAWYRSVENNTNTKSNFNVISGTSMSCPHLSGVAALLKSAHPDWSPAAIKSAMMTTTDLVNLAKNPIEDQRQLPANIFDSGAGHVNPARASNPGLIYDIEPKDYIPYLCGLNYTNREVALVLQRKVNCSEIPSIPEAQLNYPSFAIVVRSAVQKYTRTVTNVGEANSVYSVQIVAPAGVNVTVNPTTLSFTKVNQKLTYEVTFSPSRLASNITVSQGSLTWISSKNAVRSPIAAIFRAVGFFQEKRKKIYCIAQIFVQLITCEQSNLETYIVHVELPESGTQLSTTNSSIPNEDLDSWYNSFLPTVTASSNDGPRIIYPYHNVFKGFAAKLSAEDVKAMEKMPGFVSARPQKVLSLHTTHTPNFLGLHPNSGFWKASNYGKGVIIGVLDSGITPDHPSFGDEGMPPPPAKWKGKCEFNTSVCNNKLIGARFFRHGDGSSIDGIGHGTHTASTAAGSYVEGANVFGNANGTAVGIAPLAHLAIYKVCTTSSCSERSSEPFYADNIALGAYRATEKGIFVSCSAGNDGPSSSTLSNEAPWILTVGASTTDRKIRATVVLGNKEKLEGETLHQPKDFPSTQFRIFFPGANQSDPNADRFCTTALLNQTGIKGKIAVCDIGEIPLLDKEKNVKAAGGVGMIIINPEEFGYTTSVDAYSLPATQVTYADRLKLIKYVNTARSPKAAISFKGTMIGDNHAPAVAYFSSRGPSQASIGILKPDIIGPGVNILAAWHRSVENNPNTKSNFNVISGTSMACPHLSGVAALLKSAHPNWSPAAIKSAMITTTDLVNLAKKPIEDQRQLPADIFATGAGHVNPASASNPGLIYDIEPKDYIPYLCGLNYTDKQVGLILQRKVKCSEISNIPEGQLNYPSFSVVVRLAVQKYTRTVTNVGEASSVYHVQIVAPAGVNVRVNPTMLSFTKVNQKLAYEVTFSPSGSANNIAVSQGSLTWHSSNSKYSVRSPIAAIFQAVGL >CDP12460 pep chromosome:AUK_PRJEB4211_v1:8:15301859:15301972:1 gene:GSCOC_T00036039001 transcript:CDP12460 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFLFTSKSVNEGHPYNSRDKKSDTVLDACLKQDPE >CDP08166 pep chromosome:AUK_PRJEB4211_v1:8:24511456:24512151:-1 gene:GSCOC_T00026913001 transcript:CDP08166 gene_biotype:protein_coding transcript_biotype:protein_coding MESIASSSSPPHDQQHHRFEPTQPLAERIFRALRHRLRLLHRFDSSFFVLGATGNVYTVNLSATPSCTCPDRTAPCKHILFVFIRVLGVPLDDSCLWRRSLRPCQLNRLLASPTSTDALAGATVREMFHQLFFQAGPSTSGGPGVDVEDGTACPICLEEMGKGEKIVACGTCRNPIHEECLMAWKKSSRRRSTSCVLCRARWRNTADQDKYLNLSAYISEDDMVEDEGHCS >CDP07227 pep chromosome:AUK_PRJEB4211_v1:8:12280431:12282774:1 gene:GSCOC_T00024429001 transcript:CDP07227 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDRCLGDLIWKAISGLCLSFSSVASVPPAEPISITPYRHPHISPLDFVPRFCRYKFFSWQNAIPILLQLLALVKCLFS >CDP14471 pep chromosome:AUK_PRJEB4211_v1:8:13834720:13836585:1 gene:GSCOC_T00040966001 transcript:CDP14471 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNLTHLKELSLWSVKISSEFRVNFSSSLTYVDLLDTKIRGNLPSNVFYLLNMQILSLGGNENLSVSLPKLNCSISDSLRQLNLFNTNFSAALPDLIGCIRSLNALDLNSCQISGEVLEILRNSKSLVFLDLSNSMIHGKIPSWFMSKTRHILTKLNLSHKFLTGAMDQLPGQIPPSICDPGYLRILDLSNNNFSGPNPQCLGNFSYLEIVDLGNNMLFGSIPTTFLEGNSLQFLMLNDNQLQGPEFVQSMIGFTNGAYYYIHSIGLFIKGIEYSLERVLITQTAIDFSRNRFEGQILEIIGSLHSLQLLTLSHNNFSGGISRALGHLNMLESLDLSWNQLERTIPRELLNLDFLEFLNLSKKIM >CDP10015 pep chromosome:AUK_PRJEB4211_v1:8:27202141:27205882:1 gene:GSCOC_T00030552001 transcript:CDP10015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCREVIMQSFSCRVTYPKASYSSISHNTIRSVGEEILFESFPYRVNGTNSSSMYSEDARVLDAYDDEYNGVIVHSEGLPSNPTSFASILRSSLSHWKAKGKKGVWLKLPITKSEFVPIAVKEGFQYHHAESEYVMMTYWIPEEPCLLPSNASHQVGVGSFVINEKNEVLVVQEKYSPTGLAGLWKIPTGFILESEEIFTGAVREVKEETGVDSEFVEVIAFRHAHNVAFEKSDLFFICMLRSLSTQIMVDDQEIQAAKWMPLVEFVKQPLIQEDTMFKKITDICIARMGKRYCGLAVHQLASKFDGRLSSLYFNIVEDANSTCQAS >CDP13548 pep chromosome:AUK_PRJEB4211_v1:8:331911:339527:-1 gene:GSCOC_T00038534001 transcript:CDP13548 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKDLLFLIRQFCHEEKLQKTAHMLEQETGFFFDMNYLEELVINGKWDEAETYISGFTAVEDNQYSVKMYFEIRKQKFFEALDKDDVALAVDILLKDLKIFAPSNAELYKEMTLLLTMDDFRNHHSLSSYGDAISARRQIMDEIRLLVEANPHFHRKLESPQIENSRLRRLINQSLNWQHIQCTYPQSEPQIQTLFFDHKCSGEPKGQNPLPSQALSASLTTLSGKSIVCPSESRISVGTHSLGHQTNPGMLYVHCLSLIVTMEGVKGSGNASQISRSTLLHKMVPQRSIPMQRQHLKFRLPADFPNTVERCMDMNSSPSSMDFHPAKDSTLLVGNCIGDVEVWDISSEVKLFGNAFMIWNREAISMLLLNDLDKDPHMSVNRVLWSPDGSVFGVAYSKNIVQLYSYHVNANYAEKQLEIDAHVGAVNDLAFSLPYHQLLVITCGDDMSIRVWDTKSGSKHYTFEGHSAPVYSICPHVKEDIHLLLSTSTNGEIKAWLFENMGARVAYDAPGNSCMRMAYSADGKRLFSCGTNKDGESFMVEWNETEGYITRFYHGLSKPSAGVVQFSTSRNQFLVAGDEHLIKVWDMDNAQVLNAVDADGGLPETPYVCFNKQGSLLATFADKNKIKILANDVGCQLLQKSNPTSPGVTVQDKSVPMVCTPVNVSLNLNDLQQEAKMKPQVPLELSKALNSQKCSKILQVSFCKSLRLPSEVKTNKICRLAYTNACNGILALAADGIHLLWRWLENEFNFFQATTNHAPQSLQPRKAFLMINDLADNNFESISPCFALSKNDSYLLSASGKMVSLFNTMTFKRMRSCLRSPPAASCMAFYPPDNNIAAIGMDDSTIVIYNLRRNEAINKLNGHSKRITGLAFSTTLKVLVSSGVDTQIIVWDFNTWEKKQSTSLQISNGWSPSKTSETAVQFHQDQKHFLAVHETQLAIYETTSLRRWMIGDFCARISYATLSCDDQLVYVVMRDGIVMILAASDLSPRFELDPSVYLPPTLSSCVHPTVVAAHPQKPNQFALGLTDGGVVVIDPPEPKRTWTSFS >CDP13049 pep chromosome:AUK_PRJEB4211_v1:8:3660265:3667401:1 gene:GSCOC_T00037805001 transcript:CDP13049 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNDNSNPTAAAAAATDGSGNIGLVISTTETIRSFLMTASTDPNLPQDLRDLASSLSPHSSLSYKSLKSIWIGSDPKTRPSLFRLFSGSNFIFTSPKPREKSEELKARLRKLAEVAEKKEYEELVKDITPKKGVEEPFSSYKDQLGLGLHVAVTMFTGYLVGYAAFRALFSRNVGMSAAGGILGLVGGMLVETLLFIIRSTNQDRRPSAFVSRIKKDQ >CDP18440 pep chromosome:AUK_PRJEB4211_v1:8:22066907:22096276:1 gene:GSCOC_T00007259001 transcript:CDP18440 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVEGEEETEGVAESVEEIGEEEMMVLKRVILENAEVFDVLCVNIEKQLGMIQKDDSGMAITLRTEGKRMEVEDRVFRLVQRCVQIVHLDAMKELLDKNELDGVVSHLKYLHLDFGVEDMDYRMVLEGLLRKVLPRRVNYGDSWFAVRDKLLSVYGEALSSSCIRLVQMIQVIQDELLSEEIETFKASENGRIHLPFHRLENFSAEMTPETTSNIKSVQLNIATSACTRDMYHYARVSGLHVLECTMDAALTAVRDEQLEEASHILSLAPRLQPLVAVMGWDLLSGKTAMRRKLMQLLWTTKSQVLRLEESPLYGNKSDEVSCVEQLCDTLCYQLDLASFVACVNSGQSWSLKLSILLSGKDSKDGGDEDFQGDPFVENFVLERLSVQSPLRVLFDVVPSIRFQDAIELISMQPITSSLAAWKRMQDIELMHMRYALESAIFALGSMEKCITAGPGENEMTMGYLRDLKSHMDAIHNNTRKILMVNIIISLIHMDDLCLDLTPAVSHSSSGVVSVPVAEQDAAIHEGGNKMVVLFTGQFLDILRQNLPSSVLDSDDKVDPDIPTGGKQALEWRISKAKNFMDDWEWRLSILQRLLPLSDRQWRWKEALTVLRAAPSKLLNLCMQKAKYDIGEEAVHRFSLPPEDKATLELAEWVDAAIKKAYVEDAVSRAADGTAIQELDFSSLCSQLGAVAAILLCIDVAASQYNAQIMLSEIYPGGSPKVGSTYWDQIHEMAIISVTKRVLRCLIELLEQEKYPALQAILTGEIIPLVPKEFQRQGHRERALVMLHQMIEDAHKGKRQFLSGKLHNLARAVADEETERDFVTGESPNTEKKRGFQYGPDVALGLGLRTSKQLASVSPTGDSSVLLNSYDVKETEKRLFGSLSSKPTTYLSQFILHIAAIGDIVDGTDTTHDFNYFSVIYEWPRDLLTRLVFERGSTDAAGKVAEIMDADFVHEVISACVPPVYPPRSGHGWACIPVVPTFSRSYPESKILSPSSRDAKPGSYSRSSGTPGIPLYPLQLDIVKHLVKLSPVRAILASVFGSSILYSGSDPTVSNSLNDDLLTTPETDRLFYEFALDHSESRFPTLNRWIQMQTNLHRVSEFAVMSDQTTSKNVDKLESKTAIKRYREHDSDTESEVDEISVGKNIPVALPEHKDQISVASDPWHDSPKSRTAEHDTTVFLSFDWENEGPYERAVERLIDEGKLMDALALSDRFLRNGASDRLLQLLIECGEDANLMSEQSQGYSSHRMWSNSWQYCLRMKDKHLAAILALKYLRRWELDAALDVLTMCNCHLLDSDPVKKEVVQMRGALLRYNRILCADDHYSSWQEVEAVCKEDPEGLALRLAEKGAVSPALEVAESAALSIELRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDTDDALPVAMSAMQLLPNLRSKQLLVHFFLKRRDSNLSEAEVSRLNLWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSASLILKEFPSLRDNSVVLVYAAKAIAVSISSPFREHRISVSGPRAKQKTRTGTPTRSSFTSSLSNFQKEARRAFSWTPRHTGDKTAPKDSHRKRKISGLTHSERVTWEAMAGIQEERVSLYSDGQERLSSVSIAEEWMLTGDPIKDKAVRFSHHYESAPDITLFKALLSLCSDESVAGKGALDLCINQMRNVLSSHQLPENASMETIGRAYHATETFVQGLLFAKSQLRKLSGGVDLSSNSERVKDTDDASSDAGSSSVGSQSTDELSEVLMQVETWLGRAELLQSLLGSGIAASLDDIADKESSSRLRDRLITEERYSMAVYTCKKCKIDAFPVWNSWGHALIRMEHYAQARVKYKQALALHKGDPAAVVLEIINTIEGGPPVDVSSVRSMYEHLARSAPAILDDSLSADSYLNVLYMPSTFPRSERSRRSQEAANDSSTNNLDLEDGPRSNLDSIRYLECVNYLQEYGHQHLLGFMFKHGHYKDACCLFFPLNSVPSPPQPSSLGIVTSSSSPQRPDVLATDYGTLDDLCGFCIGFNAMPVLEEIISTRVSTAASQDDSVKQHTAAALARICLYCETHKHFNYLYKFQVIKKDHVAAGLCCIQLFMNSSSQEEAIKHLEHAKMHFDEGLSARYKSVDSTKVVTKGIRGKSASEKLSEEGLVKFSARVAIQVDVVRCFNAEGPQWKYSLFGNPNDTETFRRRSEIAESLAEKNFDLAFQVIYEVNLPAVDIYAAVAASLAERKKGGQLTEFFRNIKGTIDDDDWDQVLGAAINVYANRHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASKSGSVADVQYVAHQALHANALPVLDMCKQWLARYM >CDP12042 pep chromosome:AUK_PRJEB4211_v1:8:29772208:29776563:-1 gene:GSCOC_T00035406001 transcript:CDP12042 gene_biotype:protein_coding transcript_biotype:protein_coding MARLILPCKTPTLSKTCFLGLVSSTPFQVTRRVACRHSFNDILKHRWIVGFEATQRRNYRVPSYTLSGAKKFNQRLICSVATEPVPKQVEEPSMETPKEIFLKDYKQPDFYFDTVDLKFSLGEEKTIVSSKISVYPRVEGGSSPLVLDGQDLKLISVTIDGKALKEEEYCLNPRHLTLVSPPSGRFTLEIVTEILPQKNTSLEGLYKSSSNFCTQCEAEGFRKITFYQDRPDIMAKYTCRIEADKSLYPVLLSNGNLIEQGDLQGGRHYAVWEDPFKKPCYLFALVAGQLESRDDTFITRSGRKVLLKIWTPAQDLPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETASDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSKLRIYQYPQDAGPMAHPVRPHSYIKVLILLFLLIVTLMDRLLSKLLHQ >CDP13033 pep chromosome:AUK_PRJEB4211_v1:8:3413415:3414060:-1 gene:GSCOC_T00037776001 transcript:CDP13033 gene_biotype:protein_coding transcript_biotype:protein_coding METKVTSSGINKFASLLESYFRPGSERPRLSEVSDCENVPVIVLGFGDRNLVFRRIGDACRDYGFVQVINQAVSKVAVDKMLEAATEFFSLPVEERLKWYSDDPSKTTRLSTSFNEKKETVHNWRDYLRLHCYPLEKYVPEWPSNPPSFL >CDP12114 pep chromosome:AUK_PRJEB4211_v1:8:30374367:30379052:1 gene:GSCOC_T00035503001 transcript:CDP12114 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGRGSSNHRLPSASSFQSRICFMMLSMFATMASLYVAGRLWQDATNRVHLIEELDRRTGQGHSAISVDDTLKIINCREQQKKLVALQTELEKARTEGFVSNYFSENSGTHRKKKLLAVVGIFTRFGRKTNRDAIRKAWMPAGAASKKLEEEKGIIIRFVIGRSANRGDISDKDIDNENRQTSDFIILNDHLEAPKESSKKAKLFFAHAVENWNAEFYVKVNDDIYINIDALGAALASHLDKSRVYIGCMKSGEVFSQPSQKWYEPEWWKFGDGKSYFLHASGEIFAISHPLAHFILINRSILRTYAHDDVSAGSWFIGLDVKHVDEGRFCCSSWSSGSVCAAS >CDP08300 pep chromosome:AUK_PRJEB4211_v1:8:25818658:25823916:1 gene:GSCOC_T00027091001 transcript:CDP08300 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMCVEVAVPFRIGNFVGERPTEESCVDVVGTRLMDDRMSSFSDSEAKSMADSVSGGDEDCNFGDSGSEVSVAMSSLPEGNTSREASLLDMTSEIESNWLASDSVVRESEEDDSLSLEGDCSLSVISDSSSICADEIFPYDATSEIGTSNFIEVERSICNVELDVKAGDTRQSNVGEIVHDSLAITVGLEEEIAEGSTSKPSTVVVELPSKRGLSVTVGRSIFEIDYVPLWGVNSVCGRRPEMEDAFTTVPGFLKIPLQMLVGDRVLDGMTNCLSHLTTHFFGVYDGHGGSQVANYCHDHMHSVLVEELEAIMARPSDGSNKETCQEQWKRAFTNCFLKVDAEVGGKSGLEPVAPETVGSTAVVALVCSSHIIVANCGDSRAVLCRGKEPMALSIDHKPNRGDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVMFIPRTKDDECLILASDGLWDVMTNEEVCDTARKRILVWHKKNGVTLPLGRGEGVDPAAQAAAECLSNRAIQKGSKDNITVIVVDLKAQRKFKSKS >CDP13406 pep chromosome:AUK_PRJEB4211_v1:8:1873680:1875624:1 gene:GSCOC_T00038337001 transcript:CDP13406 gene_biotype:protein_coding transcript_biotype:protein_coding METAQAMELQIRNKQLNSQKSSKDTTQQQLPTFKGYKWWSRVCICIVFLLAGQSAATFLGRLYYDKLLDCYLVANFISWFVGVDFGVSSLFSNVISTIPVLAIPILAVIFFHDKMDGVKIIFLILAIWGFLSYVYQHYLDDVKVK >CDP18487 pep chromosome:AUK_PRJEB4211_v1:8:22786350:22787951:1 gene:GSCOC_T00009059001 transcript:CDP18487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g20540 [Source:Projected from Arabidopsis thaliana (AT2G20540) UniProtKB/Swiss-Prot;Acc:Q9SIL5] MRGVEDMLLPMLRNCKNMSHLKRVHSQMIKFSLAQSNFLVTKMVDICVQIGEIQYASLLFRQVLEPNIFLCNAIIRAYTNKQMYLLTINVYKQMLKQPQGEEPIFPDKFTYPFVLRSCGGLLNVCLGKQVHGHVCKYGLRSNRVIENSMLDMYVKCDDMREAHKLFDEMGERDVISWNSLISGHIKLGHLRRARSLFDEMPNKNIVSWTAMISGYTKLGCYGDALDVFRRMQMVGVEPDWISLVAVLPACAQLGALEVGKWIHFYAEKKGFLRKTCVCNALIEMYAKCGSLNEAWQLFDWISERDVISWSTMIGALANHGRANEAIELFEEMIRARVEPNEITFVGLLSACGHAGLLNEGLRYFDSMRNNHTIEPGIEHYGCLVDLLGRTGCLERALKLIKSMPMAPDSAIWGSLLSSCRIYRNLEIAVVAMERLLELEPDDPGNYVMLANIYADLGKWDSVSRMRKFIRSKSMNKTPGCSSIEANNSVQEFVSGDDSKPFTKDLYWILNLMASQQSETNDQIDAITMLEDIR >CDP11695 pep chromosome:AUK_PRJEB4211_v1:8:4848595:4938993:1 gene:GSCOC_T00034153001 transcript:CDP11695 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLIKQLSTLLSQESTLLGGLRPDVQFIKDELGDMNAFLRQAEAKEDNDSQLQQWVKQVREVAYDTEDVLDDFAIRFAHGDADGFFGRVGKIYNSIKNLKARHRISLEIKDIKARVVEVSARHQRYQSLYGTQEIGSSSSHVASADCDIRDQALLIEEAKLVGIDQPKKELISKILDDHSHLKVVSVVGMGGLGKTTLAKKVYDDAAVKKQFQSHAWITVSQNFQFKVIIKNLIQQLYEEIRQPVPPQVDSMDGIRLSEFVKDFLKERRYILVLDDLWTIDAWEAIKYVLPDCNIASRVVLTTRITDVASASCLTFHNFIHKMSPLSYEDSWTLLCNRTFQSNGCPSNLEEVCRKILKKCEGLPLGIVTMGGVLALKDKDKIDEWEMIFRGFGSEVDGSGKLDRIRKILLLSYSDLPHHLKNCLLYLSIYPEDHPIDVDEILGKWIALGFIEEEEGMTATDIAMRYLKELVNRSLIQVKETWDDGKLVNCGLHDFLREIIVLKSKERCFTTIATGYSTRWPDKVRHLAIHNFTGNPPQGFSSLKCLRSVETFGNEDSLTTSFLSKFLCGGPKFLKVLNLTGAELDNIPKEVFKLFHLQYLDLSGTRVKIIPKSIGQLQNLEFLILAETTIMELPVEILKLRKLRSLNLYGIGDYSNNFALWGFKSPDGIGKLTSLERLHNIEADSELHICSIKEEETLDLQHSVSPRLGFLTRLWLNGHLERVPEWVISLQYLGTLVLLNSELSEDENAIGCLGHLPNLVHLFLLRAYEGETLCFKAGRFQKLQRLDLVQFQRLKWVRVEEASMPNLQEFAIVGSKLMTGLPLGLQNLIGLKFLRLFDMCDELIHKVQNLDKQSEDYQTISHIPQVCTGHWINGDWKTEILSEKIGKG >CDP13426 pep chromosome:AUK_PRJEB4211_v1:8:1561388:1565045:-1 gene:GSCOC_T00038366001 transcript:CDP13426 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNVLLFNRAVEEGKSPVANDYSLQPQPPGGVGGGGGISFFDQTNMSFNHGVGINNSRKRGREVGATTTATGGGAGAGTGGVPAAMNRPLISMAPHPQLMDLTQLHSATQRNGVSIGLGLAFGEQPPPLSQQQHSISPQSSAQSSVLLSILSDDLASHIKQQRDEIEHFLLAQGEQLRRTLAEKRQRHYRSLLGAAEESVARRLREKEAEVEKAARRNAELEAKAAQLSLEAQAWQQRAKMQEHMAANLQAQLERAIITSGGYNGAAQQVQERDEWGGGGGGNNSAAGTVGGEAEDAESAYIDPDRVVERAGPSCKACRKRVAAMVVLPCRHLSLCTECDAVARACPVCFATRSSSLEVFLS >CDP07845 pep chromosome:AUK_PRJEB4211_v1:8:18617795:18627048:-1 gene:GSCOC_T00025298001 transcript:CDP07845 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTHTYVVKNQPPNCGNLITILSIDGGDIRGLIPATILAFLETQLQYLEVVLFSWQELDGEDARLVDYFDVIVGTSTGGLIATMLTAPNDKIRPMYAAKDIKPFYFENGPKIFPQKRQKTYKTLKVCFCFQTFKVKLFLEWLFIHKNHTKDQRMSFILLFLMLSIRFFFLLNYKLEQFFFCAEFCCFLAFWVLCTFFFWSKYYCHISWIIFLFKFLVLWLHISSNKLPFYLILIFFYHIRATKYVPAIGKNIKICSTQCHKNTNIHRKHMHACVCWYTNVRVFIQGICVPFNALVAISQVTKKVFQKDPDFFPMKPLDCGRFLVISIGAGSSKREEKYNNQMASKWRVFGWLLQGSSNPLIDIFLQASAEWLIIIYFFFSKLFIQRRAIFVFNIVFQQRSIRSINYFWFILDLVLQKISRLLFGILPENTGLEGRKLGIIDTEIFVGFKSKNHSQTVKRFRKIAYIRYGLSVLTLSDDELTGTTSSADDTTKENLEKLAKIGENLLNKTVSRVNLMTGLSEPIENGDTNADALKRFAKLLSDEKRLRQSKSQEMNKEMK >CDP11750 pep chromosome:AUK_PRJEB4211_v1:8:5981743:5984757:-1 gene:GSCOC_T00034235001 transcript:CDP11750 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVGILVDTLNSMIQKERGLLCGVATDMEKLARLLSTIKAVLEDAEQKQFTDKAIQLWFQELNGVAYEIDDVLDDYAAEASRVKYKNSGCLSFMCYPVAGNLVFRHRIGTRMKEILENFNAIADKQTKLGLSDQKRGSYFNASRETGSTVNEPEVLGRDEEKGQIVDILMKEKDRDDQNVSVLPIVGVGGLGKTTLAQLVFNDERIAKHFEPKLWVWVSEDFDVKRIIKALINSIQRTPIGELELAPLQSNLRELLRGKRYLIVLDDVWNENPREWEKMKSVLQCGSRGSSIVVTTRKKKVAEIMRTLETHYLSSLSEDRCWSLFKQQAFDCQEKEERLEAVGKEIVKKCGGVPLAAKALGGFLRFKSEAEWNSVKCSELWNLPEDETRILPALRLSYLNLPVELRGCFAYCAVFPKGSEIEKEEVIHLWMANGLITSNGTMEVEDVGDAVLTELHDRSLLQAVRKDVFGYGSVPAFKMHDLVHDLAQSVMEAKHGGTESNRTMMLDMPHDRLTVAFPITITGIDQFSSFLSKCGSLRALIVRSPWWTANKFTELPPAVSKLKHLRHVNLSRTDIVELPNSICDLWNLQILNLNDCGKLQSLPKGMRFLRNLRHLCLQGCGSLTHMPSGIGKLTCLRTLSMVVLSGKKGFRLSELRDLNMLRGELTIRYLERIEDKKDAEEACLIKKQSLRELRLEWDSERMFQRYNDEEVLEALKPSPNLQRLHIRGFKGSSLFPSWISTVTEVLVRNSATEYIVGPQESTATTPGVKKLTLQIMPNLKGMLGREVQGTLGVFSQLQSLSFFNCPTLTLPLPHMPSLKKLHFNMCTKMAWASISNLTSLNSLRIEDIEGLSCFPEEMLQNLSLLESLDIWGIKDLRALPRSFSSLTALKMLAIMECPELESLPEEGLRGLASLQELDLVDCYNLVSLSMGTKALKSLTHLSIDGSNATALPEEVKHFPALQKLELIDFHNPTSLPDWFGGGHLTSLQHLTIGSFRIFMYIT >CDP16198 pep chromosome:AUK_PRJEB4211_v1:8:24185536:24187155:1 gene:GSCOC_T00017297001 transcript:CDP16198 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSYSSGTVPLSSSARDWVDRVSNIFRREFAIDIDHLPPVSVFEVPKILKLQKPEAYTPHLIAMGPYHHLHPELYHMERHKLAAIKEISTPDQLSNFQHVVINRLKEMDPSIRACYNKFMDYDQDTLAWIVAIDGCFFLHILHSYLVQDENTDRRLLDNTIVTRDIVMLENQIPFVLLKKIRKSLQVSPNSNGQEEGDDIELILMLFQLCEAQSPVKFSSDKTNQDRYRRPLHLLDMMYHLIVNVPAIPTYTEFRNSLTSSSSSSSTDGENPGVFRNNNLEAILELVETFGNKRTQDLLRPVKLVSSIPWSTISGLFRKGNVGTKEQNSEDDEIEIPSVSHLWRYAKVQCKPFIGSIKEIKFVEEEAALYLPVMNLNASSEVIMRNLVAYEAAMSKPTLEFARYVNLMNGIIDTAEDVKLLKQNGVIKGGLTDDEIADQFNGMKRCYAGSDHKSNIEVAVEKVNKFYGKKLLVRTVRRLNRSLFASWKHLALVSTVVLLVVLSLQTFCEFYQCSKIWNFHQEPS >CDP07846 pep chromosome:AUK_PRJEB4211_v1:8:18639078:18640739:-1 gene:GSCOC_T00025299001 transcript:CDP07846 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTLVTTFLALLVIVHIGADSAAPDDNGFIYEGFQSSNLSLDGIAKITDNGLLRITNITKLQMGHAFYPDPINFKSTPNGSAFSFSTQFVFTIVPQVSGLSGHGMAFVIAPTRGLPVGLPTQFLGLFNDSTNGNASNHVFAVELDTIQTHEFNDINDNHVGIDIDSLFSTASQPASYRANNKNSFDNLTLSSAQRMQLWVEYDGESKVINVTLAPIAVAKPNTPLLSLSYDLSPILRQTMYVGFSASTGSLAAAHFVLGWSLKMNGVAQALDLSRLPKLPRFGPKKVSKFFTVGLPLICTFLLLLVISGVAYHLRRKWKFAEVMEEWELAYGPHRFKYKDLYIATKGFREKELLGAGGFGRVYKGVLPTNKMEVAVKKVSHQSRQGMREFIAEIISIGRLRHRNLVPLLGYCRRKGELLLVYEFMSNGSLDKFLYNQPKSTLNWSQRLRVIKGVASGLFYLHEEWEQVVIHRDVKASNVLIDAELNGRLGDFGLARLYDHGTLPQTTHVVGTIGYLAPEHNRTGNIYIYIYIYIYIYIYMGGYQFSCMKNYA >CDP14957 pep chromosome:AUK_PRJEB4211_v1:8:8951984:8952433:-1 gene:GSCOC_T00042466001 transcript:CDP14957 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCLITFLTLLMFYSLLEESVAKTHKYGWWQWVPLESRSISTDATYSCDGNLLYASFRDGNIFLFTAAALELRCQISPSAYLPSNRSLHPLVIAAHPSEPGQFAVGLTDGGVYIVKPPVRTP >CDP13066 pep chromosome:AUK_PRJEB4211_v1:8:3978712:3984743:1 gene:GSCOC_T00037831001 transcript:CDP13066 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G32340) UniProtKB/TrEMBL;Acc:Q9LQM5] MSSRKGGYRRGGKNSHFYQHQNDENWTLKRVQDHNLPPQFDQQFAPSNSELNVEHNHFEQPTTTSKNQKYRQNRRNPGWGLRNRRPQAVKPQFVKKSEVSSLGIDERNDEKREDFRDGLGEELEKIASKSEVVEGKDEVEEKIEGSGLNEEDVDVVQKRLEELLLSAQEAELSEEQLRINDQAQEDELLAMESIYGDNIFFLDKQNGLRSFQIHIHIETPSEISVAVKLNSSAVSKANDDSSEFSYSFKVEYLPPIILTCILPKSYPSHLPPHFTISAQWLSSTKISDLCNVLDSIWNEQSGQEVIYQWVESIHSSCLSFLGFDQEIILGPYAVKQERDRRAISGSVSPEVDIPYMKSYSDEQRHENFSKNIHECCICFSEFAGTEFVRLPCQHFFCWKCMKTYSDIHVKEGTVSKLQCADAKCGGMIPPGLLRRLLGDEEFERWESMTLQKTLESMTDVTYCPRCETICIEDEEQHAQCSKCYFSFCTLCREKRHVGVACMTPEMKLLVLQERQNSSQLKDDQRRREKEIINDLLSVKEINISAKQCPSCKMAISRTEGCNKMVCGNCGHYFCYRCNKEIDGYDHFRDGSCELFPPETIQHWEERMNARQVVGQIQAEMFPERGHSCPNCGQINLKAGNNNHIFCWACQNHYCYLCRKMVRRSAQHYGPKGCKQHTVG >CDP14461 pep chromosome:AUK_PRJEB4211_v1:8:14118628:14121020:-1 gene:GSCOC_T00040952001 transcript:CDP14461 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLVKSYRITQPGFSMMNLMKDICEGFLEVGTNTIHDDIVSCADAHSSPVAPKEWETHDLTNSERSKNNLALDDLKSSTSRSTVIVQKQHFPHDILSSTYYIDDITRGEEDLEISLINDLNNGQHPMFKYIPRNITYHRAHAKVAFSSISDDNCCSNCFGDCLSSEIRCACADNTGGKFAYLPGGIVKEEFLEDFILMNCSPHQKNLCYCEECPLERSKDSCLSGTCNGHLVRKFIKECWYKCGCAQGCGNRIVLRRVHRLQVFMTPEGKGWGLRTLEDLPKGAFVCEYVGEILTHMELSERNSLNTGKKNTYSVLLDAGWSTKRVLKDEETLCLDATFYGNVARFINHSCDNANLVGIPVEVETPGHHYYHLAFFTSRKVDALEELTRDYGIDFSDHTHLVNAFRCCCGSQFCRDKKA >CDP09741 pep chromosome:AUK_PRJEB4211_v1:8:29573526:29575733:-1 gene:GSCOC_T00030194001 transcript:CDP09741 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQAGQCGNQIGGNGGRYVPRAVLMDLEPGTMDSLRTGPYGKIFKPDNFVFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSFFVEWIPNNVKSSAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAAASGDEDYDDDQEAVLES >CDP09861 pep chromosome:AUK_PRJEB4211_v1:8:28627740:28629181:-1 gene:GSCOC_T00030340001 transcript:CDP09861 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGNKINDGTSYQPSEPTLCANGCGFFGSPATMNLCSKCYNDFQMEEQQKAAAKVALEKLVTSQKKADDAFHVGSPESYGTAAVQVERQEEAASAPAAVVKSNRCFCCNKKVGVMGFTCRCGSTFCGLHRYPEKHDCTFDFKGQGRDAIAKANPVVKGDKIQRF >CDP09896 pep chromosome:AUK_PRJEB4211_v1:8:28342240:28346424:1 gene:GSCOC_T00030379001 transcript:CDP09896 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRDACWEHCVLVDATRQKVRCNYCQREFSGGVYRMKFHLAQIKNKDIVPCSEVPTHVRDHIQTILSTPKKQKTTKKQKVDQVANGQQHSSSASGGVHPNHGSSGHNDSTSPSLLFPRSSPSAQPTIDNAQNQKHDYADIKIAAFFFQNSIPFSAAKSTYYQEMVDAIAECGVGYKAPSYDKLRCSLLDKVKADINVTYNKLKNEWKEMGCTLLCDCWSDGRSKTLVAFSVTCPRGTLFLRSIDISGHADDPHYLFDLLESVVLEVGVENVVQVITESTVSYIYAGRLLMEKYPSLFWSPCASHCINKMFEDFNKQDWVNRVLEEANTITKYIYSNDSILAMIKKFTSGAELIRPKFSGVVAHFLSLRSLVIQEDNLKHMFSHTEWLSSLDSRQSEAQAVISLLHLERFWKAAHEAVAVSEPLVKILRIVDGDMPAMGYIYAAMERAKISIKTYYRSLEEKYVPMWEIIDRRWYMQLHSPLHAAAAFLNPSVFYSPTFRIDSRVRNGFQEAMIKMATEERDKIEITKEHPIYVNAQGALGTEFALKGRTLNAPGDWWAGYGYEIPTLQRAAIRILSQPCSSHWCRWNWSTFENMHNNRRFRAELDKSSDLVFVHCNLWLQAISRSRDGKNRPINFDEVDVSSEWPTESEACSPLFDDAWLDYLHPESRGNSFANYENLN >CDP08156 pep chromosome:AUK_PRJEB4211_v1:8:24426286:24430072:-1 gene:GSCOC_T00026898001 transcript:CDP08156 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGTQRLDDEYEVTDVLGRGGFSVVRRGIRKSSGGKIQVAIKTLRRIGPWQPSPGGRGRSVTAPNSRQALVSDALLTNELLVMRKIVEDVSPHPNVIHLHDVCEDSNGVHLILELCSGGELFDRIVAQERYSEAGAAAVVRQIAEGLNALHKAKIIHRDLKPENCLFLDKDENSPLKIMDFGLSSVEDFTDSVVGLFGSIDYVSPEALSQDKITPKSDIWSLGVILYILLSGYPPFIAQSTRQKQQMIMQGYFSFHEKTWKSISSSAKQLISSLLTVDPQLRPTAAQVLQHPWVTGDLAKQEQMDAEIVSRLQSFNARRKFRAAAMASVLSTSFSLRTKKLKNLVGSYDLKPEELENLRLHFKRICTNGENATLSQFEEVLKSMEMSSLVPLAARIFDLFDNNRDGTVDMREIIGGFSCLKYSQGDDALRLCFQMYDTDRSGCISKEEVASMLRALPDDCLPVDITEPGKLDEIFDLMDANSDGRVTFEEFKAAMQRDSSLQDVVLSSLRPT >CDP08270 pep chromosome:AUK_PRJEB4211_v1:8:25526437:25530385:-1 gene:GSCOC_T00027045001 transcript:CDP08270 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHEGKEHHHHHNDSSHQPGTHEQKRVRIQNRYGEKLVGILHETGSKEVVIICHGFRSSKDRIPMVNLAAAFEKEGISAFRFDFAGNGESEGSFQYGNYHREADDLRAVIQHFQEEKCFVAALVGHSKGGNAVLLYASRDNDIQIIVNIAGRFNLERGIEGRLGKDFLEKIEQNGFIDVKNRRGKTKYRVSKESLMDRLATDTRAACQKIDRNCRVLTVQGSVDEFVPVSDAKEFAKHIPNHILHIFEGADHYFTSHQHELASVVLDFVTNGLTECRRVHRFIHSRF >CDP19692 pep chromosome:AUK_PRJEB4211_v1:8:17407306:17407956:-1 gene:GSCOC_T00005815001 transcript:CDP19692 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGPRSSLFALCKRLQWPMPTFHTTERKSRSQMVIGEGSEQRTGFNSFESDITLIIPNSATIVVKGDQRADKKSSFDSAALTMLYELQQQKRIVIRAQ >CDP08191 pep chromosome:AUK_PRJEB4211_v1:8:24746817:24750674:1 gene:GSCOC_T00026947001 transcript:CDP08191 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADKMQFHLEACEYGLEVQKGTNLLLDDDGKPKRTGTVWTASAHIITAIIGSGVLSLAWGVAQLGWIVGVTTLVVFSGITLYTSSLLADCYRSPETGKRNYTYMEAVKNNLGGKMYIACGMVQYANLYGTIIGYTITASISMAAIQKSDCFHKRGHEASCSVSNNPYMIGLGILEIFLSQIPNFHKLSMLSIVAAIMSFSYSSIGMGLAFAKVISGKGERTTLTGVEVGINLSAAEKTWRMFRAFGDIAFAYTYSQILIEIQDTLRSQPSENKVMKKANIMGVFTTTTFYMMCGCFGYAAFGNNAPGNLLTGFGFYEPFWLVDMANICIVVHLVGAYQVFSQPLFGAFESWALWMWPNSKFVTEEYLISIGGSAKYRFSTSFLRLIWRTLFVVLATVLAMAMPFFNDILALLGALGYWPLTVYFPIQMYIAQKKVGRWSSRWIALQLINFICLLVAVAAACGSVQGLSKDLNAFKPFKVED >CDP07832 pep chromosome:AUK_PRJEB4211_v1:8:18148368:18149158:1 gene:GSCOC_T00025273001 transcript:CDP07832 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSGTTWLKSLSFSIIYRKKNSILKGPLLTTNPHELVRFLEYDLFLECAKPDLEAFLCPRIFSTNLPCHALPKSILNTKRRTIYMRGNPLDQSAKALPIDEAFELFCKGIYPLGPFWDHAEGYWNASLNDVQKVLFLKYEDLKIDATSHVKKLAEFLGFPFSPEEDENGVVEEIVRLCSLENLRNLEGNKNGGVNTPATKFKASSFLRKGKVGDWTNFLTHSMAERYKKIMEEKLGNVAYHLNCSNG >CDP12028 pep chromosome:AUK_PRJEB4211_v1:8:29652556:29656648:1 gene:GSCOC_T00035386001 transcript:CDP12028 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDSIALEPGTNEQQPRYQNSVTPKFNEQEEAEDNSVNRNEKEEPKTTSFPECKDVLKAVEVVERDSVAIAQSFASLFASLRLALSQVTRTSVDHMSCFSDAAGRLQESALDAATKGNRYINSCLRLNEEMKGIDNLASQLKILRRNVDALDSAVNRMVRLP >CDP12126 pep chromosome:AUK_PRJEB4211_v1:8:30470289:30476174:-1 gene:GSCOC_T00035519001 transcript:CDP12126 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKESDPSLGYLTHKETEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDPSELADYRLRKRKEFEDLIRRVRWNKSVWVKYAKWEESQNDFNRARSVWERALEVDYRDHTMWLKYAEVEMKNKFVNHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMSWQPDQQGWLSYIKFELRYNEVERARAIFERFVECHPKVNAWIRFAKFEMKNGDIARARNCYERAVDKLADDEEAEVLFVAFAEFEEKCKEVERARCIYKFALDHIPKGRAEDLYRKFVAFEKQYGDREGIEDAIVGKRRFQYEDEVRKNPLNYDAWFDYLRLEESVGIKERVRDVYERAIANLPPAEEKRYWQRYIYLWINYALYEELDAQDMKRTREVYKLCLKVIPHEKFSFAKIWLLAAQFEIRQLNLQGARLTLGAAIGKAPKDKIFKKYIEIELQLGNIDRCRTLYEKYLEWSPENCYAWSKYAELERSLAETERARSIFELAIDQPALDMPELLWKAYIDFEISEGEFERTRALYERLLNRTKHLKVWLSYAKFETSAMEEVEEDLEQKEKCIQRARGVFERALSYFRTSAPELKEERAMLLEEWLNLESSYGELGNVDLVRVKLPKKLKKRRQIETEDGPSGYEEYIDYLFPEETQTTNLKILEAAYKWKKQKTSHDED >CDP12040 pep chromosome:AUK_PRJEB4211_v1:8:29757549:29763121:-1 gene:GSCOC_T00035404001 transcript:CDP12040 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVNGIGGERRAGEVNNTLETINAAANAIAAAENRVPQVGVQKRRWASCWSLYWCFGSYKHTKRIGHAVLVPEPIAPRADPPAVENQTQAASVALPFIAPPSSPASFLQSEPPSATQSPPGLLSLTSMSASMYSPGGPASMFAIGPYAHETQLVTPPVFSTFTTEPSTAPFTPPPESVHMTTPSSPEVPFARLLDPIDQNCQDGQRYPLPQYEFQSYQLQPGSPASHLISPSSGISGSGTSSPFPDGEFVYGRPHFLEFRSGDPPKLLNLEKIAPHEWGSRQGSGTITPDTVAPRYRNGFLLDNQKSDASTVSNSYNVTRVDETAVDHRVSFEITAEEVVRCVEKTPAVFPKAVLATTPSNTECVVKTEDNPKEMANGHEGCAGEASRIGSGRASVDGDGGQWHQKQRTITLGSAKEFNFDSVDEGNSDTPNIGSDWWANEKVMGKDGVAGKSWTFFPVMQPGVS >CDP12262 pep chromosome:AUK_PRJEB4211_v1:8:31491627:31498389:-1 gene:GSCOC_T00035698001 transcript:CDP12262 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGTPRASAPPTPGTPTPLFSSVRVDSMSGDRKSMPRCKCLPVEVPTWGAPHTCLTDFPAPDVSLTRKLGAEFVGTFILIFGAAAGPIVNQKYNGAETLIGNAACAGLAVMIVILATGHISGAHLNPSLTIAFAALRHFPWVQVPAYIAAQVSGSICASFALKGVFHPFMSGGVTVPSVGNGQAFALEFVITFVLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRTLGPAIAAGNYKSIWVYLLAPTLGALAGAAVYTFVKLQGDETETPRPVRSFRR >CDP12234 pep chromosome:AUK_PRJEB4211_v1:8:31266646:31269358:1 gene:GSCOC_T00035659001 transcript:CDP12234 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCLPKFTIKLLLILCFSLSSSHAFTTRDYSDALEKSILFFEGQRSGKLPSNQRLSWRGDSALSDGSGYHVDLAGGYYDAGDNVKFGLPMAFTTTLFAWSIIEFGSSMHNQLENAKAAVRWGADYLLKAATATPATLYAQVGDPNIDHRCWERPEDMDTPRNVYKVTSQNPGSDVAAETAAALAAASIVFKDSDPHYSTKLLHTAMEVFDFADRHRGSYSDSLNSVVCPFYCSYSGYQDELLWGASWLHTASENSSYLAYIQSNGHTLGADNDDYSFSWDDKRPGTKVLLAKGFLEKSTQEFQLYKAHSDSYICSLIPGMPNFQAQYTPGGLLYKQSESNLQYVTSSSFLLLTYAKYLRSNGGVAACGVSTITADKIIETAKRQVDYILGDNPAKMSYMVGFGQRYPQHVHHRGSSVPSVHAHPGRVSCSDGFQYLYSRLPNPNILVGAILGGPDSRDNFADDRNNYQQSEPATYINAPFVGAVAFFSDESTTV >CDP09944 pep chromosome:AUK_PRJEB4211_v1:8:27915865:27918144:1 gene:GSCOC_T00030448001 transcript:CDP09944 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGCTIDGSLDDSKFNQPIPWIGLYVAAASAACAIAMAIDTLHGLRYKKIWFPSKFFSLNATSLTLLAVATKLSVDLNTSMPQRQDQLAKLSSTVFICTVMANFMPSLGNMESKELLANLVALGIFAITVIVNICIQLGTGVIYVFWREYAVTMAVMIILLALMISSALTIPMTKSYFDLKYKKKHKLAVKECNDKSNRCPSQKASHRLKEDLTKYWIMAHTCNPQFVMARIATCSASGAFCLLSTATLAEAMIHCFFMPWDFKFCAGDSDYKWSTTLILIIQTVAIAVGTVAPASRWFATINFRCPKRAHKACKKKFKLEKYWTLKLKQLKECPLESRLYSRFCRKLAHNLKGKILDLCIWMQIGVVFLSKQIRFISLFFIIRFLISYRSFSNMIRRLNTSNSMSLYNSELESQPNPMQDLGRFVMHLEGEEEFIHMMTTKNCDATGHWIRMGQKQQPKHAIQLLEKLKSSKEFEGVIKFDSDLVHSLDSEEPPNCWALPVVTLTSIAVALPKVDRDLVKQLINSVHEALKYINFIEDNLDAKKDLINVRKAAEVLWVGVDLHYKWMDVDLHQLSLQDKCPKVIFEELAEKAKQRFKEFKEKDPIAALRENASKWPTNLLVANSMYRICQTLVLSSDSGEWECGKTAFEKLSAMITEIAGACFTNLHHAISEQCHQSAIEEREDRVRNAILLLGKTEKILEILEQQPLPSSNPEHLLYIDHWRMLSKRKDLLECNSSPTKTDTASSNSPDLYLTID >CDP14466 pep chromosome:AUK_PRJEB4211_v1:8:14045347:14045880:-1 gene:GSCOC_T00040959001 transcript:CDP14466 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFMAKTLKRAHDNILHRPMAKLNYLRQLCHPYLMKLVGHCLEDELRLLVNDFMPSGAAYIKHGILLLTILLESPIRGCSLRCKRTSFSTWC >CDP12026 pep chromosome:AUK_PRJEB4211_v1:8:29641904:29645113:-1 gene:GSCOC_T00035384001 transcript:CDP12026 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVQSTSDVLELMNIGLMNRAVGATALNERSSRSHSVLTVHVRGTDLETNVVLRGCLHLIDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQVLQGSLGGQAKTIMFVQLNPDVESYSETVSTLKFAERVSGVELGAARSNREGRGVRELMEQVAFLKDSLAKKDEEIGRLRLKVNATGERLGMSTQRYGSASPRRHSAGTSQQSRRLSAAKSSGVKATSDLDNSSEYSDKHSEAGSQQSLDDLKHHKDFFQQSRLAVAHVGQNFGEDLESKHVVTEGNQNLIDDVELLGFGEADSEERLSDISDGVLSMGTETDGSINSIVEYTLFPETAKPPAEGTEKPDVPSKLPRPQQKHSRTASSQLSSTKSSSKVAGSRKATTGSSSKVKPSKRWQ >CDP12205 pep chromosome:AUK_PRJEB4211_v1:8:31027238:31031051:-1 gene:GSCOC_T00035618001 transcript:CDP12205 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSESEDQFFDSKDESDSSSDCSELCSSSGRAEFDFGYEFWNRIPESVIGRRTQFLKWMGVELDWSKGIREEVEDAIGDDFVEGLGRLRDNSEAVLANSDSEDGFSSAQSFLSFQSNESDGMLSLLREVGSNKTITIEELQRSFGSSSLVQQYFRKMSNTIDLKKKLKKGWLQRLSAITRSTEWAKGVNLKLRKTNSSTGNNTQIVRSHSYRKRSKELSLLQVGQDFPAHKGSILTMKFSPDGQHLASAGEDGVVRVWKVMEDEYPNGINVEDTDSSCIYFSIDQFSKLAPLSFDKEKVAQMKNLRKLSESTCAILPRKVFRILEKPLHEFCGHKGDVLALSWSKNGYLVSSSVDKTARLWLVGLDKCLGVYAHNNYVTCVDFNPVDDNYFISGSIDGKLRIWKVHDFRVADWTDTREIVTAVCYSPDGKGGIVGSMDGNCRFYDVVDNELQLGAQICLQGKKKSAGKRITGFQFCPADVSKVMVSSADSQVRILSGPNVICKFKGMKNSGSQASASFTSDGNHIVSITEDSNIYLWNYTNQDQTSAEVKNISAHESFLSHNAAIAIPWGGLKTKPGALHGGRGFFLDSLYKGSATWPEEKLAKSSPAAVSPSVYKSEFKSLKGALQSALSSPHLWGLVIVTAGWDGCIKTFLNYGLPIRF >CDP09946 pep chromosome:AUK_PRJEB4211_v1:8:27905760:27906984:1 gene:GSCOC_T00030453001 transcript:CDP09946 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKSSSSSNTNTMLPGPLTSKRINPIGNVFIEAGNNLVKNEFGAYGKKIFGSSSSFLQSNYVSRHLSNPQYYLEVNDDYVKNKIKMILFPFLHKGHWIRTTDMVGGEILYKPPYCDINAPDLYIPMMAFGTCMVLAGFFLGINGNFSPEALGVHFTTALLCWIL >CDP09832 pep chromosome:AUK_PRJEB4211_v1:8:28816550:28818873:-1 gene:GSCOC_T00030306001 transcript:CDP09832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-inducible chloroplast protein, Activation of the chlorophll-degrading pathway during leaf senescenc [Source: Projected from Oryza sativa (Os09g0532000)] MGTLSTSPLLPKELRPSSLFREHRSSVLVYPTRRRKSKKSQSLNPVARLFGPAIFEASKLQVVFLGVDEEKHPGQLPRAYTFTHSDVTSKLTLAISQTINNSQIQGWYNKLQRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLCARLRYWIFCKELPVVLKAFVYADGGLLNNYPELQEALVWVYFHSNIPEFNKAECWGPLQAAAAPSGGIQMENKEIPASNCWDMPKPCQEACSCCFPPMSLIPWSQSFSSGSKENDGTKPQGKSLQQQQQS >CDP07215 pep chromosome:AUK_PRJEB4211_v1:8:10974172:10977857:1 gene:GSCOC_T00024395001 transcript:CDP07215 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPTASLNVALSLLVQVINISIIHLFCKHQKIGIKINNSSDVTALAKDTAYAQIQLVD >CDP07829 pep chromosome:AUK_PRJEB4211_v1:8:18045591:18046803:1 gene:GSCOC_T00025235001 transcript:CDP07829 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGGDFTHGDGRGGESIYGDNFADENFQLKHTGPGLLSMANAGPDTNGSQFFITTVITSWLDGRHVVFGKVLSGMDVVYKVEAEGRQSGTPKSKVIITDSGELTL >CDP12957 pep chromosome:AUK_PRJEB4211_v1:8:2550106:2558613:1 gene:GSCOC_T00037669001 transcript:CDP12957 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHHLLGSRGRSPVSIFVVLGLCCFFYLLGTWQKSGFGKGDRLAMEITKRTDCTVFPALDFESHHKQEPPVESSEPKAKVIEPCDVRYTDYTPCQEQDRAMTFPRENMIYRERHCPPTEEKLHCLIPAPNGWTTPFPWPKGRDYVHYANVPYKHLTVEKAVQHWVEFQGNVFKFPGGGTMFPQGADAYIDELASVIPISDGSIRTALDTGCGVASWGAYLMKRNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSIQLPFPSRAFDMAQCSRCLIPWTENEGMYLMEVDRVLRPGGYWILSGPPINWKTYYQTWKRSKEDLKAEQTRIEKLAELLCWEKKYEKGDVAIFRKKINSRSCGRKSANMCESGDADDVWYKKMEACITPSPEVKSVAEVAGGELKKFPARLFAVPPRVEKLMVPGVTVESYEEDNKLWKKHVSVYKKINSLLGTTRYRNIMDMNAGLGGFAANLESPKLWVMNVVPTIAQNTLGIIYERGLIGMYHDWCEGFSTYPRTYDLLHASGLFSLYQDKCEFEEILLEMDRILRPEGTVIIRDGVDALNEVRKIAGGMRWDIKLVDHEDGPLVPEKIFVAVKQYWVGSAGNSTTDDA >CDP18490 pep chromosome:AUK_PRJEB4211_v1:8:22800257:22804711:-1 gene:GSCOC_T00009063001 transcript:CDP18490 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTRQRETATAKNLQQLEDDDVVFIKEVEAEAEASPPPLHSEMEIQRKKLRQRWELASILNFLNVFEPVIKSNLKISAEEIESALIEPNDSLAQLHICLLKGILPPSKMQHVSDAWVSILSKKLSVWWPWVAEGDFPLTAGKGEEMNRYKELDPTIRLFILKALCEIRADQDDVVFYINDNIKSGGEVSTFQKEKLGGDGNGTLYWYDGNAVTGHRLYKEVQMFDFKPKAKVKGNKLGITSHWETLATNFEEFRKVLNAFSSSKVKLEVAVGKAVEADIMPALEKLQKKKEREMKQQQRKERLLNVSRISATTRSSRRLRPVSYKFEEYDRAIEEAIDVAQNKKTTEQLRCEVKHDVRGRKDQILSIDVSNSGRSRESQSTESDTDSEKHQFTYEGLNANDDSEDKNSLNPVFRNLDGWLVHESNASRGCKRHGGNTNVKIPEIVSLTTKNRLRQRPVVNTAMESGIVPDSDDEKSPKDMNKRVRSCRNASQDAATDIDASGSSKNWDWK >CDP12220 pep chromosome:AUK_PRJEB4211_v1:8:31155887:31159622:1 gene:GSCOC_T00035641001 transcript:CDP12220 gene_biotype:protein_coding transcript_biotype:protein_coding MMQITPVEPMDVEKRTIHNQKEEIIEREALDEPQKEAEVQRRLQPWKNQITVRGVIASIFVGTIYTIIIMKLSLTTGISPNLNVSAALLAFIVVRTWTKLVRKIGLVSVPFTQQENTLIQTCAVACYSIAVGGGFGSYLLALNKKTYEQAGVSTAGNPPGSYKEPEIGWMIGYLCAISFVGLFVLIPLRKILIIDYKLSFPSGMATGVLINGFHSMADKMTKKQVRGFTKMFSLSFLWGFFLWFYSGQGQCGFSQFPTFGLQAQRQTFYFDFSLTYVGTGMICPHIVNLSMLLGSVLSWGIMWPLIRKQKGDWFPAALPEDSMKSLSGYKVFVPIALLLGDGLYNFIKITGLTLSSMYVKFKERKIRSGESKNNNAIDDLKQDEFFIRESIPTWIAPCGYITLAIVSAIAIPSIFPALNRAFSDCCIGWKRTWCCGRISWGRSHQVGHRCFLYSDARF >CDP08216 pep chromosome:AUK_PRJEB4211_v1:8:25028105:25031712:1 gene:GSCOC_T00026978001 transcript:CDP08216 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSLGTDQSALLALKAHITSEQHEFLSKNWSSAASASSVCDWIGVQCGSRHQRVTGLNVSNMGLTGTIPPDLGNLSFLVSLDLRSNSFHGSLPEELSHLRRLRLILFSNNRFTGAIPMWFGHFPELQFLLLDINGFSGFIPSSISNLSRVEKLDLSENFLQGKIPEKIGNLSALKLLNFYRNYLAGQIPLSLCKISQLKALDLSSNRFGGYIPKEIGNLEKLTYLSLMNNNFTGVIPRELGKLHGLNVLVLGRNNLTGTIPREIGNLQNLQRLNLEWNKIAGSIPKEIGNLTMLTELYFANNSLTGTIPREMGNLYLLENLQLPYNGLNGSIPRGIFNLSALWNIALSSNLLSGNLPRDLGYRLPKLLFIELAGNDLGGVIPVSITNCSQLRMLELSINRFTGSIPDALGDLRLLQVLALYSNNLTSDPTSMELSFITSLTKCKNLDYLALGPNPLNGLLPASIGNLSATLQKLYIGTSEIKGTIPSQAGNLTNLILLALQSNQLTGGIPAAFKDLQNMQTLDMEDNNLNGTLENLCNLQRLAYVYLTANRFSGSILECFGNMTSLRELDLGNNFLVSAIPNSFWNLKDLLQLNLSSNSLNGSLPLEVGNLKAITSIDVSANQFSGDIPRTTGDLQNLVILNLSQNQFHGSIPESFSNMLSLQGLYLSHNNLSGSIPKSLEALRDLKELDVSYNHLSGEIPSGGHFRNFTAESFLFNDALCGDSRFHVPPCPRPNSLHRSRTKKVLLFAFAPLGIASVVVAALAIVFRRYWKRCQDPKGTNMVLVPTQERVSYYELLRATDGYGESNLLGIGSFGSVYKGILNDGRSIAVKVFNLELEGVLKSFDVECKVLKNLRHRNLVKVISGCWNQDFRALVLEYMCNGSLEKWLYSDNYFLDTLQRLDIMIDVASAVQYLHEEYSTPVIHCDLKPSNVLLDEDMVAHVSDFVVTFLHKIEIWSGRRPKNRNLAFVVWRKC >CDP12032 pep chromosome:AUK_PRJEB4211_v1:8:29693653:29699003:1 gene:GSCOC_T00035391001 transcript:CDP12032 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTFHSLPSFLPPFHVSSSPIPEHNSLPFPLCLKRSFPTTTTTSRSSAVSAIGPDGKFYPNPSDDDPPVAPEDSMHGVSKFQQIQLQAARARKLQEEEFKKNQSIFVDALAEIEDPPESSISANDETSGDDLFGEIDKALALKRKEFVKQGLLKPNPKKNESQAVSGAQTEADIDELEPEEEVDLEEIKELSGLTEISEDDDAERSDFEASNELNNAEFSDLSSFDIDFDEFGKSKARIVEPRFRMSLAELLDESKVVPVSVYGNLEVEISGIQHDSRLVESGDLFVCCVGRNTDGHLYLSEADKRGAVAVVASKEIDIEETLGCKALVIVEDTSVVLASLAASFYRYPSKNMSVIGITGTNGKTTTAYLIKGMYEAMGLRTGLLSTVAYYVHGDNKLDSSSTTPDAVLIQKLMAKMVHNGTEAMVMEASSHGLALGRCDEVDFDIAVLTNMTRDHLDFHGTEEEYRNSKAKLFSRMVDPERHRKIVNIDDPNATFFIAQGSPDVPIVTFAMDNKNADVHPLKFELSLFETQILVNTPQGILEISSGLLGRHNIYNILAAVAVGIAVGAPLEDIVRGVEEVDTVPGRCELIDEEQAFGVIVDYAHTPDALSRLLDYVRELNPRRIITVVGCAGESDRGKRPIMTKIATDKSDVTILTSDNPKNEDPLDILDDMLAGVGWTMQDYLKHGENDYYPPLPNGHRLFLHDIRRVAVRCAVAMGEEGDMVVVAGKGHETYQIEGDKNEFFDDREECREALHYVDELHQAGIDTSEFPWRYADYSYAGMQFI >CDP10018 pep chromosome:AUK_PRJEB4211_v1:8:27162420:27163274:-1 gene:GSCOC_T00030556001 transcript:CDP10018 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGNGDLQADLALLESIRQHLLEESEASAPALCFYNSRPVSYSGSNPSEASFFPSFPDHEERIEVSQMSNAATAQPEARVVPPKGKHYRGVRRRPWGKFAAEIRDPAKNGARVWLGTFETAEDAAIAYDRAAYRMRGARALLNFPLRINSGEPEPVRITSKRSSVSPDHSSSSASENGTPKRKKKAAAQVVEPPAVQRGWEELYVGSNSNGERIFATGTQFGSTLL >CDP08149 pep chromosome:AUK_PRJEB4211_v1:8:24330360:24334052:1 gene:GSCOC_T00026891001 transcript:CDP08149 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSQFICASHLKTRRSFWVLGITFGIFVAIQFSALPNSSTISSLFSAGKFTLFGRWDFEAKNQSDSRTAGNPKNTYLVKDSASSSTFEDSRNSKLDNSSPSVPEIFDSSASNKQIEEFSSSIAPHASSGSVPQQLTVAFPPAVSSPITTSQINMDLVSPAMSVQNHEKHIMKTDEKGSLMQRNVSLLRNNSSAGHGKSSLPTSAVYSISAMNKLLLQSQSLPRAVIVKWNSTADQELLYAKSQIQDAPVHRNSTELYASLYRNVSMFKRSYELMDKVLKVYIYKEGEKPIFHESILEGIYASEGWFLKLMESNKQYATDDPAKAHLFYLPFSSRLLQLTLYVRHSHSRNNLIEYMKRYVGMLGQKYPFWNRTNGEDHFLAACHDWAPAETRGPMLSCLRALCNADINVGFEIGKDVALPTVYVRSAQNPLKDIGGKPPSQRPILAFFAGYMHGNVRPLLLDCWGKDPDMRIFGRMPHVKGNKNYIEHMKSSKYCICAKGYAVHSPRVVESIFYECVPVIISDNYVPPFFEVLNWESFAVFVLEKDIPKLKDILLSISEEKYLEMQKRVKEVQKHFLWHADPVKYDMFHMILHSVWYNRVFRIQSP >CDP09965 pep chromosome:AUK_PRJEB4211_v1:8:27748243:27754436:-1 gene:GSCOC_T00030483001 transcript:CDP09965 gene_biotype:protein_coding transcript_biotype:protein_coding MMYMGTPRDYEFYVATRVMLRSLLKLGVEADLVVIASLDVPPNWVKTLEEDAVKVRRVQNLNNPYTNQGNFDWRFKLTMNKLYAWSLVEYERVVMLDADNLFLRKTDELFQCGQFCAVFINPCIFHTGLFVLQPSMTVFKDMVHELEVGRENPDGADQGFIGSYFPDLLYKPMFQPPPNGTKLDGQYRLSLGYQMDASYYYLKLRWSVPCGPNSVITFPGAPWLKPWYWWSWPVLPLGTRWHEQRHRTVGYNAEMPFVFIQVIFYLGIMAVTRIARPGLSKLCYRREEKSVFLIQTGLKLVAFWSILAAYIAPFFLIPYTVHPLVGWSLYLLGVFALSCIAVNAFLLPMLPVLVPWFGILGSLLVMAFPWYQDGVVRALAVFGYAFCCSPIVWASVVRIVSSLQVSLEREGFLPRLAESTAPSGCNKLY >CDP07159 pep chromosome:AUK_PRJEB4211_v1:8:9576264:9579406:1 gene:GSCOC_T00024300001 transcript:CDP07159 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRGATRVARSVIGHMGPRYFSGAAMGPHDTSLGKLFACGTTGFLHGNPAKPSENVMVAWFGYGGIGSRQASTLALGDKKVEDEEEVKAVKSGAAGGATAAAGDGGNGNKEVVSYWGVEPPKVTKEDGSEWSWNCFRPWETYKADLTIDLEKKHVPVTFLDKFALWTVKTLRWPTDLFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEHSGGWIKALLEEAENERMHLMTFMEVSKPRWYERALVFAVQGVFFNAYFLTYILSPKLAHRIVGYLEEEAIHSYTEFLKELDKGTIENVPAPAIAIDYWRMPPDSTLRDVVMVVRADEAHHRDVNHFASDIHYQGHELRKAPAPLGYH >CDP12960 pep chromosome:AUK_PRJEB4211_v1:8:2587485:2593775:1 gene:GSCOC_T00037672001 transcript:CDP12960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication protein 2A [Source:Projected from Arabidopsis thaliana (AT1G32400) UniProtKB/Swiss-Prot;Acc:Q9C5W7] MAVSLAANIFDKLPKAWFIYLFIGVGVVLFVISCFGCIGAATRNGCCLSCYAVLVILLILIELGVAAFIFFDKSWKEEIPADRTGTFGMIYDFLEEHWKIVKWVALGAVVLEALVFLLALVVRAANRPANYDSDDEYIGGPRQQIRQPLINRPQPAPATGVPVAGTLDSRPSRNDAWSTRMREKACYGLDTSEFTYNPSDSNRYPQGTAQPTEERSRCTIM >CDP15686 pep chromosome:AUK_PRJEB4211_v1:8:7417749:7418846:1 gene:GSCOC_T00015655001 transcript:CDP15686 gene_biotype:protein_coding transcript_biotype:protein_coding MGINGLPNNTHLFKWVLLDNPSNPINPFRILFPSSLFFSPTFFFSKFSFCHDVNYFCFIXXXXXXXFIFLLFNY >CDP18476 pep chromosome:AUK_PRJEB4211_v1:8:22621860:22623773:1 gene:GSCOC_T00009040001 transcript:CDP18476 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain protein RD22 [Source:Projected from Arabidopsis thaliana (AT5G25610) UniProtKB/Swiss-Prot;Acc:Q08298] MEFRPVHLFIFVALACVSSHAAQPAETYWKSVLPNSPMPKAIEDLIQSETVDDKSTSVGVSGGGVDVNTQGGNPGGTNVNAGHGGVDVNTPGGTNVNVGPGGVGVNTPGGTNVNVGPGDPGGSETQGRNPEGTNVNVGHGGGVTVSSGHHRGKPVYVGGRPGTSPFLYNYAATRDQLHDNPNVALFFLENNMTRGSKMNLHFFKTSHGATFLPRQEAEVMKNTIKECEKPGIQGEEKFCATSLEAMVDFTTSKLGKNVQAISTNSEKDTPLQKYTIAGVKNMTNDKAVVCHQQNYAYAVFYCHKTQATRAYTLSLVGADGTKVKAVAVCHEDTTKWNPKHLAFKVLQIKPGQVPVCHFLPEDHVVWVPK >CDP07908 pep chromosome:AUK_PRJEB4211_v1:8:20732622:20735824:-1 gene:GSCOC_T00025411001 transcript:CDP07908 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVMLLLVLLIVHHPAVSDDALQRFGVKQKKKIASFKTSVDVLTLSATPIPRTLYLALTGFCDASLISTPPPERVPIRTHLSSYSKEKMISATKYELDRDGQVFYVLPRIKGLEEVMEFLEESFPEVEIAIAHGKVIHCLKRRARPMTTSLSMGKIQNYKAPNHYVRTDTIKNKF >CDP18416 pep chromosome:AUK_PRJEB4211_v1:8:22338860:22339373:-1 gene:GSCOC_T00007227001 transcript:CDP18416 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLPLIIQAKQILRRSILTSNAAISASVDVPRGYLAVYVGENEKKRFVIPVAYLNESAFQELLSQAEEEFGFDHPMGGLTIPCREDMFIDLTSRLSRCTS >CDP09774 pep chromosome:AUK_PRJEB4211_v1:8:29316264:29321812:-1 gene:GSCOC_T00030235001 transcript:CDP09774 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPLYSTTATTSGATVNSITAQFCLPSSLHPRKSRNVFFEKKPRKVSILAAKDEPPKLDPLDQMELKFGRMLGEDPKLTLAKIMARKSNPDATHLEIEKAFEKKKRKSSDSKIEEVPFDVEEEKRSVSSVGGLNLVRPVTRKGVKVEADKKPSEGQDKKPTQPVANVVENSHRSVPNVLLRKPSIYDDVDSEKSSRLSIRPNLSLRMGKEPQKERFSDITLLKKPEPLKMVPDLEGENGHSGGSKAEDGRETGNSNLDPALLRMPEQLETNFISDRADESSGGYANRSNDVNSITDGNLNNTNSYAEIAAKGNLNQALESRVTNSFEENESATGLQPSDLWSSGKSSDSSEPSATKLAESDPGFSLDASLLGKPKRMDTSVVTTSKISKEEVVPVNPESNGNALDLENFLSSPIKEREENDWTKAEGLVNLGGRVEVEIISSSTGGFTVSFGTLIGFLPYRNLAAKWKFLAFESWLRRRGLDPSKYKQHLGVIGSFETASVTPSSESQLDIDIDDKLYSEISPDTKLEDLLRIYDQQKSKFLSSFVGQKIKVTVVLADRKSRRLIFSTKPKEKEELIEKKRNLMARLSVGDVVKCCIKKITYFGIFVEVDEVPALIHQTEVSWDATLDPASYFKIGQIMEAKVHQLDFSLERIFLSLKEITPDPLIEALEAVVGGGHDSLDGKLEAAQADSEWAEVESLIKELQQGEGVQSVSKGRYFLSPGLAPTFQVYMASMFENQYKLLARSGNRAQEVIVETSLGKEEMKSIILTCTNRVQ >CDP11715 pep chromosome:AUK_PRJEB4211_v1:8:5285851:5290709:-1 gene:GSCOC_T00034184001 transcript:CDP11715 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYSKKLSLVYEDPSVKRRLQSHAWLTVSQTFHMDLILKNLIRQLYNEYQEQVPRYVEALDGDQLRAFVKDLLRKRTYIIVLDDIWRLDSWEAIKYAFPDASCRSRIMLTTRIADTAFRSCTQSHAWFKMMPLDSVLQMLDISKLSRENGNSICSIANLRSLYLNAINDDEILDLQHPISRSTLVLQGLQLRGRLEKVSQWLPSLQRLTTICLFGSGLRKDPLEHFQDVLNLEKMILLEAYEGEELFFKAGGFGKFKKLELRRLQKLKRVRVEKNAMPCLQELMIVECKQVDELPWGIQYLNKLQSLHLYIPGDQLIMKLQDKGSEEYRKIVQIPTTTDLGNWSSLSMKSASNYWRRANFHVFSSLKSIVLLQCNCINISTCHRFSFCSSLLVFVFTCGEIICGINITILSFSISSAHLPLIMREVMAENSVAFLLKELSTFLLQQNTNLGSGLEKDVQFIKDELGSMRAFLRDAEAKEDDVYELQEWLRQVREVASDTEDVLDEFEMKFGCHHVDGLLGRIKKILCSVKNVRARYEISSRLKNIRSRVEDISARHQRYQSTYSTFRRGSSSSDATEDGTSYVRGNALLVEEAKLVGINEPKRELISRVLADDSHLKSMKILL >CDP09854 pep chromosome:AUK_PRJEB4211_v1:8:28682006:28689174:1 gene:GSCOC_T00030331001 transcript:CDP09854 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEMEESEIYTFTAVEIDLDYEFDAVRYFDFSRQESLAEAHEAELWFETATTYPPSPFVARLFNVNDGLLENVNTSPKPKHVEEANMLESDSDIEVDEETSAVDKDGGQKEFNSRGTSASLRNSNQQRFQNHQQLASGLTFYNHMKKDSLKAKTKSSTKPYLSRTSTLMKPTASQLAKQNEPRQMYSSRFQRQLVDKNEKSTNNSFGVEIQAAKRQKLEGGHLSKVIDTKHQVNFVHKEPKRDGIVVGNTMQTKLRITIPREPDLETTYRAHRTRPKTVEEPQSLTSTVHRFKALPLNRKILEAPSLLAPKRSTPRVPEFREFHLKTSERAMQHTAIASVSAASFSNSNKEVESRNSTSQQCRDESRRCNVVDTSKERSELSHNFKALPLNRKILSSKGDIGVFRNSKREITVPMEFNFRTEKRVQHNPPIELFNKLSLTSEPKSKIKQPHPANLPAKGSKENRWDSFWEEQQVKHDMKEKLPLNGGKQFGNNLKKADDGLVSGINRSLGVR >CDP07165 pep chromosome:AUK_PRJEB4211_v1:8:9782967:9789643:1 gene:GSCOC_T00024308001 transcript:CDP07165 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAVNASSTAMASLGIFSRCDFDGRGGACWIGNEKGKRNQLTATTTAKAPKKRRLSISPLASSALADNQNSSRANFYQEVLKTAREKFTQEISFQSKDKDISLAKALLYVAAEDEAFMSFNREMDAQSLQKERRETLPLHDVEDWDCVEALPLAGKSISQWLAELDAIAKEVEAELVEREIGCHLVEVLEAVNTVLFKSRGFKRSPVLIDSKCAYLHTVLSSGCCSAILLSVIYIEVCRRLKLTIVGSRVGEEFLIWPPTGNPEELFRVTSGHSLFGVVNGKCVNDPRSKASDINSNSLSGLEIATNRDIIGIALANLIRLYWKRASRTNHGLMLTSPLRPADNPDEKFNNIDSSNRPLLRPQDLRLAIMASQRLLILQPHNWALRRDYGMMLYYSREYEEAVRELSICMVFAPEEESEVLEPFVEKLHLLQLESSWKSLGHKGRLSIS >CDP08188 pep chromosome:AUK_PRJEB4211_v1:8:24717095:24721091:1 gene:GSCOC_T00026943001 transcript:CDP08188 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNQLQRQATHMRRTLFDQGYLDEQFIQLEELQDDANPNFVEEVVRVFYNDSARLIRNIEMAMGKNPMDFGKLDDVMHQFKGSCSGIGAKKVKRECTRFQDYCKEEDPEGCMGAFQAVKQEHATLKGKLDAYFQLTRQC >CDP08161 pep chromosome:AUK_PRJEB4211_v1:8:24493915:24494235:-1 gene:GSCOC_T00026908001 transcript:CDP08161 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLFWAIRGAGGACFGVIVAWKIKLVHVPPVVSVFTISKALEQAAIDLIHKWQYLGHKLSEDLLLSIVKTSGNDGTIQATFNSLLLGKADHLLNMIDDSFPEIH >CDP12156 pep chromosome:AUK_PRJEB4211_v1:8:30694587:30699302:-1 gene:GSCOC_T00035555001 transcript:CDP12156 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPQVRFPFRLKGIQDQSCGYPGFDLSCNNHSQTILSLPRSGEFRVNRINYSEQTIYVNDPGDCLPRRVSNFSAVDSSFRAAPYSYTYFNCSLEWSAYRAMDNLMPLFCYGDYNSTIMATPSRYSSEIPPSCRLIRNASIALRLSYAEFWSETIVEEDLELVWDQPAGCRSCEKRGLYCGFKSNSGLEIGCGRLPRKGLRRGAMYGIIIGVGIPVLVCLVGLACYTCNKVRDFGQRRSLNTELSTAGTSLRPPASVTVTGLDAPTIESYPKTVVGESRRLPNPSDGTCPICLAEYQPKETLRSIPECNHYFHASCVDEWLKLNGTCPLCRNSPESASTTPCSSTPSAFSASSSA >CDP13034 pep chromosome:AUK_PRJEB4211_v1:8:3452667:3459157:-1 gene:GSCOC_T00037780001 transcript:CDP13034 gene_biotype:protein_coding transcript_biotype:protein_coding MATHKVLRGGYNEGSGGVTGGGGFGHGIGGGYGAGGGTGYGHGGGAGAGGGYGGGGHGNGGGAGAGGGYGGGGYGKGGSGGAGGGYGGGGEGGGGHGKGGGAGGGGGYGKGGGVGVGGGYGKGGGAGAGGGYGGGGESGGGYGKGGGVGGVGYGKGGGAGGGGGYGKGGGAGTGGGYGGGGEGGGYGKGGGAGVGGGYGGGGEGGGGYGKGGGVGGGAGGGSGGGEGGGKGGGYGAGGEHGGGAGGGYGGGGASGGGYGSGGGAGGGHGGGSGGGYGGGAGGGSGYGGGGYGSGGGVGGGGGYGGGGEAGGGYGKGGGAGAGGGYGGGGEGGEGYGIGGGAGAKRGEAGGGYEKEDGAGAGGGYGGGGEGGEGYGIGGGAGAKRGEAGGGYEKEDGAGAGGGYGGGGEGGEGYGIAWRRLWWRRRRW >CDP09860 pep chromosome:AUK_PRJEB4211_v1:8:28639076:28639808:1 gene:GSCOC_T00030338001 transcript:CDP09860 gene_biotype:protein_coding transcript_biotype:protein_coding MCISSHNIYTFMHNKQSRRCKIQLPRLTRRRIRCQEEVGKDMVLKNLKLYIENITILEENEKLRKKASLLHQENLELMSEIQKKFAPSDRVSTTLDLLLHNKENQ >CDP11701 pep chromosome:AUK_PRJEB4211_v1:8:5079232:5083554:1 gene:GSCOC_T00034160001 transcript:CDP11701 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLDIKDPEHPYSLEELKVITEDAIEVDDNHSYVRVTFTPTVEHCSLAAVIGLCLRVKLMRCLPSRFKVDIRVAPGTHATEAAVNKQLNDKERVAAALESPNLLNMVDECLAPSYAS >CDP18551 pep chromosome:AUK_PRJEB4211_v1:8:4181677:4187696:1 gene:GSCOC_T00010793001 transcript:CDP18551 gene_biotype:protein_coding transcript_biotype:protein_coding MESRFELFKKQVAITKSCRGDANVRYAWLPSSKETAASIMKYGLGFSLPTKLRPVYGIGVHLIPANCTELSANYSDVDENGVRHMVFCRVIMGNTELVHPGSEQFHPSSEDFDSGMDDFQNPSHYVVWNMNANSHIYPEYAISFKISSDAEGVLAKTEGKIDLKGTSICHQVPEGQVNMDSSTTDLASECNQVLNGRPPEKAGNQVSNSLRTPKSPWMPFPMLLDAIADEVPEKDMNVVRSNYDEFRNKKMTRDDFVKNLRMIVGDSLLRSTITSLQSKMQLKSKVELVTPKPESEAWVRST >CDP11696 pep chromosome:AUK_PRJEB4211_v1:8:4943641:4945415:1 gene:GSCOC_T00034154001 transcript:CDP11696 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMLNPCGNSLLFFFSNEMDVIKHFALFPEWGKLNIDTNITVYLRMLLCLSCLVQDSSGLQSLMVFSLFFFGVLFRVGIMVFSLSLLWLFCKFCQMLVLGTLHVFLHMCEFKCSGVSKNSFLWGQNAEYLSLSYRTITRSFFWCMLVSKSFSWDDRPLI >CDP18812 pep chromosome:AUK_PRJEB4211_v1:8:311464:315971:1 gene:GSCOC_T00005591001 transcript:CDP18812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium uptake protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32060) UniProtKB/Swiss-Prot;Acc:Q9SZ45] MSVWSTLRRSSQPLIDHHRRCIIQSLPNRYFSSLPPLLPPATASHLGRCTTSRPFLRWVVPGIIASSTIALALYSRTTSSPPANFPSLSFADDGSVSVNSPSLSLPTPPDDCDADYDNDDGRPNQSKFLFGDAYRRKVFFNYEKRIRMRSPPEKVFEYFASHCADNGEIFMTPADLMRAVVPVFPPSESNLVRDGYLQGERTPGELRCAPSQFFMLFDTDNDGLISFKEYIFFVTLLSIPESSFSVAFKMFDLDCNREIHREEFKKVMALMRAHNRQGALHRDGLRAGHSLGGSVENGGLVAYFFGEDGKRCLHHDKFVQFLRDLHDEMLKLEFSHYDYKCRGTISAKDFALSMVAAADLKHLGKLHDRVDDLDKMPHISNVRITLEEFKSFAELRKKLQPFSLAIFSFGEVNGLLTKGDFRRAASQVCDVSLTDNVIEIIFHVFDANRDGSLSSDEFIRVLQKREKDIAQPTEAGIFNFLSCCWNCRSKYSISRLLS >CDP18445 pep chromosome:AUK_PRJEB4211_v1:8:22011668:22011932:1 gene:GSCOC_T00007266001 transcript:CDP18445 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCRTCGMLLQYELQHMDHPARFFCPTCPYVCHIDGKVKIKRKHRLVKKELDPITY >CDP09743 pep chromosome:AUK_PRJEB4211_v1:8:29541862:29559050:-1 gene:GSCOC_T00030196001 transcript:CDP09743 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKVVLGPSTCVLSSGSLNVPAGPVYYPTEDEFRDPLEFIDKIRPEAEQYGICKIVPPKCWKPPFGLDLDSFTFPTKTQEIHKLQARCSSCDPKTFKLEYNRFLEEHCSRKAKKRVVFEGGDLDLCKLFNAVKRFGGYDKVVKNKKWGEVFRFVRPNGKITDCAKHVLSQLYLEHLCEYEEYYCNINKGKEKTCKRGLQGGRKRGREIEVSSFKRMRKNSEGEKVEVRKQEKEEFDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPLGNWYCLECLNSEKESFGFVPGKEFSLEAFRRVAERAKKKWFGSTPTSRVQLEKKFWEIVEGSVGEVEVMYGSDLDTSVYGSGFPRVADQRPSSVEAEVWDEYCASPWNLNNLPKLPGSMLQAVHHGIAGVMVPWLYIGMLFSSFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEACAFEKVMKNSLPDLFDAQPDLLFQLVTMLNPSVLQESGVPVYSVLQEPGNFIITFPRSYHGGFNLGLNCAEAVNFAPADWLPYGGCGAELYKLYRKPAVLSHEELLCVVAKSNFDSRASVCLRKELIRVYENEKVWREQLWKNGILRSSTMSPRKRPEHVGSEEDPTCIICQQFLYLSAVVCRCRPSAFVCVEHWEHLCECKASKHRLLYRHTLADLKALVLMTDKLSSGDQDRSLQGQLSSSNESVALSKKIKGGCITHVQLAERWLSKSCKILQRPYSADSYASAIKEAEQFLWAGSEMDPVRDTVKNLIEAQNWAQDVRDSLSKLESWSHDCHQGTGRVQMDHVNKLLSVDPVPCKLPCHLKLKEYQQEAAKLIEEIDRALPMCGKVSVTDWEILYSKTCVSPMYVKESEKLFQRMSSVKVWVESVRKCFNEKLPGAVNADILYELQAQMLELKVQLPESEILLDLITQVESCRSRCNEILKDSISLKELQLLIEGYDDFTFDIPELTLLRCYHHDAMSWKSRANQVLANIDCREDQENVVDELTSIQRDGVSLKVRVEELPLVDIELKKACCRVNGLKALQSKVQMNLLEELMEEATMLQIEKEKPFVDISAVLVVAKHWEEKAKDVLNQEAGMSEFEDILRISEDIRVILPSLDDVKDAMSMTKTWLSKSKPFLFSDSSVSHASSSLLQLDTLKELVSDSKFLKISLREREMLQTILKQCMEWEQNAYSLLNVAVSLLNTDVMPCGISGSLVSKIESQLLLLKSITQAGLKFEFAAMPKLQDACSTLQWCSKALSFWNVIPTLQEAEACLEDSHHLPVTFASCTLRTSLFSGIDWLRKALEILPPCSSRQIKLSDAVEVLELSEKTVVSFPLMIGHIQKAVEKHNLWLERVHLFFNQDCSDRSWLSLLHLKEVGSTNAFNCPELDMVLAEVQKVEQWKQHCRNVAGASAGDANLLTSSLLEIKKSLDRSFYIYNKFNCCKTTALCICCSQNSDDQKLVNCYICNDCFHLQCSGSSLEDAKSDTTYVCPYCMFVRSGKISRSRCGILRFGRKCPDLNKLIELLSDAEGLCLWIEERSVLDQIVKKALECRACLREIVDYALSYQDRDLSGFSDKLVVALKALDSAGICDGEGNSKFELVLARNSWKVRAQKLLNGPQKPSLQQVQRHLKEGLAINVPPEDYYTRRLTEVKHIGLQWADTAKKVSMDGGALGLDKVFDLIAQGEDLPLVCEKELKLLRDRSMLYCICRRPYDQRAMIACDNCDEWYHFDCIKLSSPPKTYMCPACDSHAGEDACSSTPMTQERQVPSALNTSHLLEGAFGVFSLYVQFVALGCYRQKTIDSLVLSSRSTSGRVEEPQTPSPSRTEFRKKSGSTKSSRKSHVPVIKDASRHASGIERLLWRNRKPFRRLARKRAELKSLSPFIYVRNS >CDP08255 pep chromosome:AUK_PRJEB4211_v1:8:25389611:25391122:-1 gene:GSCOC_T00027025001 transcript:CDP08255 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPIHSIGSKSSVRRTQHEFWPLGEIDPKYSKFPCCIVWTPLPVVSWLAPFIGQVGICMEDGTTLDFSGSNFVNVNDFAFGSVARYLQLDREQCCFPPNLAGHTCMHGYKHAENGTAITWDDALQSSMRHFEHKSYNLFTCNCYSFVAYCLNRLCYRGSMQWNMIKVAALILFKGHWVDVLSVLRSFLPFVAVMCLGVVVVGWPFLVAMFSFSLLLIGWYILANYCLNNVLES >CDP07894 pep chromosome:AUK_PRJEB4211_v1:8:20232648:20240540:1 gene:GSCOC_T00025385001 transcript:CDP07894 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSSNVSCLIKAIAYIRRILSTVEDGRISISPYDTAWVSLIENIDGSGTPQFPSSLEWIAQNQLSDGSWGDALFSVCDRLLNTLACVIALRSWKFHPDKSEKGTRFFKDNLSKLGKEDLDSMTCGFEVVFPPLVERARSLGIGIPHDAPFLREIFRLRSLKFARIPKDLMHEIPTPILYNLEGLEDLNWEKLLKLQTEEGSFLTSPSSTAFALMQTKDNNCLKYLNGIITKFNGGAPTVYPIDLFSRLWAVDRLQRLGISRFFKLEIKDCLDYVYRYWTENGVFSGRNARVYDLDDTSMGFRLLRLLGYNVNPDVFKHFRKQGKFVCLGGELNESPTTILNLFKASQVQFPGENILEEAKRFSYNFLKERVANNKVVDKWVISKDIPGEITYGIGIPWYANLPRVEARFYVEHYSGADEVWVGKTLYRVAEISNNIYLELAKLDYNECQAQHQLEWINMQQWWAESNLPEFGISIENLLDAYFLAAASLYEPERSIERLAWAKSTVIAQSLTVYFDEVATSTEQRNRFIAAFKGNSFSSLKKIDKGEKINKANITLLQNIQKYIHHLSVQTFQGLERDLTQQLNDAWEAWLTAALNMKMGEYPNEATLIVQILNLSTGRIMCSKEMLYNDDYKHLSHLTDKVCNQLRQHQKNQKEIHMVCPEMNGGSHGCIRSTEIEADMQEIVRLVLQSPPENVCQMVKQTFLIVAKAFYYMAYCSKETRSSHISKVLFERLL >CDP09812 pep chromosome:AUK_PRJEB4211_v1:8:29032381:29033307:-1 gene:GSCOC_T00030280001 transcript:CDP09812 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSSGSPSRPSGGKHPMYRGIRSRSGKWVSEIREPRKTTRIWLGTYPTPEMAAAAYDVAAIALKGSDIALNFPDRVSSYPAPASPSPSDIRSAAAGAAEMMKEKTAGGGDDDGKFPHDQNQPGSSDHQELAFDQDRGLAGEDEFIDVEALIDMPNLLVDMAEGMLVSPPRIHSPPSSDSPEHSDVDSLWSYF >CDP09840 pep chromosome:AUK_PRJEB4211_v1:8:28782072:28783526:1 gene:GSCOC_T00030314001 transcript:CDP09840 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQWLSNQDELFKFISPPRPQQQNDKLLVDVNNPPFNDLDLSTVAKSKPKQGRRRKISALENIEESPRDYIKKIIHRDVERQRRQETAGLHQTLRSLIPSQHLEGKRSISDHIHATVKYIRFQKKKVDELKSKRAKLKEWFINPTTSKVVENENPQEDFEQPSIAVKTCRAGMEITITTGSKVDLPLSRILNFLISEGMSIKSCISTRVNERLLHVIESEVLIFCHIYICLFLWMYIVVFSR >CDP12469 pep chromosome:AUK_PRJEB4211_v1:8:15711066:15712254:-1 gene:GSCOC_T00036052001 transcript:CDP12469 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSEISGVIVVGASIMGSSTASQLAKSGKQTLLFEQFDFLPHRGCSHGESRTTPLHLWHSISGVPRTDQNSSARWPPLQARKRTWAASPAALNALKEWIQSKFGDFIDSSGPVLRQSCMYSMTPDEDFVIDFLVGEFGKDVVVGGGFSGHGFKMAPVIGRILADLAIDMHTKDVELKHLSIERFEGNSEGNPEDFGH >CDP11764 pep chromosome:AUK_PRJEB4211_v1:8:6345815:6363973:-1 gene:GSCOC_T00034258001 transcript:CDP11764 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVYEGWMVRYGRRKIGRSFIHMRYFVLEHRLLAYYKKKPQDNVVPLKTLLIDGNCRVEDRGLKTQHGHMVYVLSIYNKKEKYHRLTMAAFNIQEALIWKEKIESVIDQHQESQAVNGNKYQSFEYKSGMDNGRKSSSSDHESQFSAAEDEDDSRHSLMRRTTIGNGPPESVFDWTKELDTDLANQSTNNQAFSRKHWRLLQCQNGLRIFEELIEVDFLPKSCSRAMKAVGVVEATCEEIFELVMSMDATRFEWDCTFQYGSLVEEVDGHTAILYHRLQLDWLSMLVWPRDLCYVRYWRRNDDGSYVVLFQSRQHENCGPQPGFVRAHIESGGFNISPMKPHHGRPRTQVQHLTQIDLKGWGVNYISSFQQHCLLQMLNSVAGLREYFSQSDERAAAPRIPVMVNMTSASVSSKKSEKLYGSSVHKRSMSLDQITSSNKNVSMMDDYSDEDEDFQVPDQEVLPPSLDSEVKKSASEEELADNIDLSIFSGNLRRDDHEKARHCWNISDGNNFRVRSRTFCYDKSKIPAGKHLMDLVAVDWFKDSKRMDHVARRPGCTAQVASEKGLFSLVINVQVPGSTHYSMVFYYVTKKLIPGSLLQRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYVRGNNYLEIDVDIGSSTVANGVLGLVIGVMTTLVVDMAFLVQANSAEELPERLIGAVRVSHIELSAAVVPKLGPDPSDLPKS >CDP18612 pep chromosome:AUK_PRJEB4211_v1:8:16690557:16707690:1 gene:GSCOC_T00007425001 transcript:CDP18612 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFGNFQWPLLQCILLLCTLAKEIRTLSPDGQALVNFRTAILSSDGVIQQWRPEDPDPCGWKGVKCDPKSKRVISLSLPGHKLSGSISPDIGKLDQLQFLALHDNNFYGTIPSDIGNCTYLGSLFLQGNYLSGLIPSELGNISELENLDLSSNSLSGSIPPSLGKLNKLVLFNVSTNFLVGQIPSDGHLTAFSNNSFVGNRDLCGQQINKVCKGAGSRPFPGSPVSPDNQAKKKYSGRLLISASATIGALLLVALMCFWGCFLYKRLGKTDGKNLAMVVGGGASIVMFHGDLPYSSKDIIKKLETLNDEHIIGSGGFGTVYRLAMDDGNVFALKRILKLNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLPGGSLDEVLHEKSEQLDWDARLNIIMGAAKGLAYLHHDCAPRIIHRDIKSSNILLDASFEARVADFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVVSGKRPTDASFIEKGLNIVGWLNFLISENRQREIVDPHCEGVQAETFDALLAVAIQCVSCVPEDRPTMHRVVQILESEVMTPCPSDFYDSASE >CDP12208 pep chromosome:AUK_PRJEB4211_v1:8:31059718:31063865:-1 gene:GSCOC_T00035622001 transcript:CDP12208 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHAWSYSFKLGDQSTCDVIICLRNKEGKHEAFHAHSSILKNKSKFFANKLSQPNSSTQIEIQCTESDYDHYVELVKRLYCPRDLLLDSWDSVRSVIGVLQVAALLNCEEITESCIQYLEAVPWEDKEEEEIVKIALKLGPLAMPILARIEPVDVNATKKVFISAVRFATSTAGPCPPLFGDELKTSAQEQIDYMLGDDEGVPLITGDDEVKVEARSGLSKLCSYFKSNVSSLLLESETTYESAEKRVLRSLSDLEWMFNVLPKMDLMKDFVGNWVDTSDNILVVVEDKKLDSAFWGLKVKLIEVTAKVLEVVGYGNVILPAQCRVHLLKTWLPYIRKMKALLDSMADKETEFPYKLDEDLCQSIEGAMVSLILALPSSDQADILADWMGAEQLRYPDLSEAFEVWCYRTKSAKRRLVEGLGNVGNTTLSL >CDP14467 pep chromosome:AUK_PRJEB4211_v1:8:13988966:13995041:1 gene:GSCOC_T00040962001 transcript:CDP14467 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWIGKMGLSCISMALSDFYSSHSDYKTRIVLNNRDSKKDVVGAAAAALDLLKNTEVQAIMGPVSSVQAEFINDLGDKARVPIISFSTTSTFLSPLSSPYFIRATQNDSSQVKAISSIVKAFGWREVVPIYVDNQLGEGILPFLTDAFDKINTRIPYRSVIPSLATDEQIVAELHKLMTIQTRVFIVHLLPSLGSRLFAKAKQLGMMAAGYAWICTDAITDELNSIDPSIIDTMQGVLGVRPHVPNTAELQSFIKRWKLKFQQSNPDIVNPQLNVFGLWAYDSATALAMAIEEAGVSNIGFDQMSDISGNTTDLESFGVSRNGPKLLQAMLGTAFQGLSGDFNIVDGQLESPVYDIVNVIGNGIKEIGFWTADKGIVRQINPITIKKLGTILWPGDTATPPKGWAIPKNGKKLRVGVPVNARFSQLVKVTRNSQTNTTMVEGYCIDIFDAVMALLPYAVPYEYVPFATSDGMSAGNYDDLAYQVYLGNFDAVAGDITITANRSLYVDFSLAYTESGIGMIVPTDNQSRNTWIFLKPLTWDLWLTSFLAFVAIGLLIWVLEHRINDEFRGPPLHQIGMILWFSFSTMVFAHKEKIVSNLARFVLVIWFLVVFVLTQSYTASLTTSLTVQQLQPTIRSVDELIKTRAYVGYQNVSFLSKILLQMGFDESRLVAYHSPEELNDLFTKGSRNGGIAAAYIIIL >CDP09884 pep chromosome:AUK_PRJEB4211_v1:8:28424918:28428696:-1 gene:GSCOC_T00030365001 transcript:CDP09884 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRVTASMITREIFRPVVRSLSHLPSRPAGSQFSGVHLPVVSRGISYCPVRCSAASNENATGEKKAPARLALVQEFLVAANERAQSAGNEPIPKITLDHVTVNFARSGGPGGQNVNKVNTKVDMRFNVRNADWLSERVKERIMQMEKNRINKDGELVISSTRTRTQKGNIEDALAKLQAIIDEASYVPPPPSEETVKKITKLAAIGEQKRLDKKKAHSQKKAFRRSRDSWD >CDP13056 pep chromosome:AUK_PRJEB4211_v1:8:3744689:3745804:1 gene:GSCOC_T00037816001 transcript:CDP13056 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPENGVKKGPWSIEEDKKLIEYIEKHGQGNWQTLPKQAGLNRCGKSCRLRWTNYLRPGIKRGGFSAEDEATITALHKQLGNKWSRIAAHLPRRTDNEIKNFWNTHLKKKLLRKGIDPTTHKPIPDFNLLNLSRTQLSSVSNLYNVISPLDTALNFQASANDLIKFQLLQNMMQAINPNPLPYVQGNTNLADLIQLNWIFNGANTDFTTSTSHSLQCSDGEMIPGPIRDDNVKVPSNVYNSEYSLPSLFSVTPESSVVNPLENFMHTSILAEAPEQSNVFDALESLVDDEASSSFWKDILG >CDP16181 pep chromosome:AUK_PRJEB4211_v1:8:23859599:23870876:1 gene:GSCOC_T00017269001 transcript:CDP16181 gene_biotype:protein_coding transcript_biotype:protein_coding MANAFSMSNMFQTLPFPCLNPKPNSSNSESVSPLKSHQQFFPKFFIANSKKAGAAASTMDAESGVAEEEQRSKAAKFKESAAAVNPVYKPTPSNRPLRTPHSGYHFDGSARKFFEGWYFKVSIPECRQSFCFMYSVENPAFSKKLSTLEVLQYGPRFTGVGAQILGADDKYICQYTEDSPNFWGSRHELMLGNTFSAKKNMQPPSKEVPPQEFDQRVLEGFQVTPLWHQGFIRNDGRTSYVETVKTARWGYSTRPIYGWGNVDSKQKSTAGWLAAFPVFEPHWQMCMAGGLSTGWIEWDGERFEFENAPSYSEKNWGGGFPRRWFWVQCNVFEGASGDIALTAAGGLRQLPGLSDTFENAALIGVHYRGVFYEFVPWNGVVKWEVSQWGYWFMSAENKTHKVELVATTKDPGTTLRAPTSEAGFASACKDTCSAELRLQLWDRRSDGSKGNIILDVTSNMAAVEVGGGPWFSPWKGQTNAPEFVSRALSLPVDVEGLLDSVPLLKPPGL >CDP16199 pep chromosome:AUK_PRJEB4211_v1:8:24194233:24199009:1 gene:GSCOC_T00017298001 transcript:CDP16199 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYPVHLQPMLGKLEHILKDVNQTMKMVPVKLLDAFVDIAFEIVDQPLLPSQNNFAPVEELGEAFRITDIEGTIPYDFPEGVYIRNGPNPLFGGYKSAISIFGKSSHTWIEGEGMLHAVYFSKSNQSSWTIFYNNRYVESDTFKLEKQRRKLAFLPAAEGDPAAVFSAHLLNLLRFGMVDKYLSNTNVFEHAGRFYVTAENHIPQEINIKTLETLGKWDVDGTWTRPFSSHAKKVRSTGELVTFGFYPQKPYFEIGIISADGKKVVQKVDLKFKRCTLSHEIGITQRYNVIMDFPLVIDINRLLAGGPLIKYVKKEYARIGVMPIYGDADSIKWFEVEPAAAFHIVNCCEDGDEVVVIACKARESIIPGPEFGLDKYEWFSSGFKHIKQVRKSDQDSQDGAFFTRVYEWRLNMKSGEVKGKYLTGTEFSMDFPIINEKFTGVKNKYGYTQVVDSAASSISGMAKYGGLAKLHLEEKTSEFCQADQQPEELIKVEYHKFPEGTFCSGATFVPKFGSLEEEDGWITAFVHDEKSNISQVYILDAKNISSKPIAKIKLPSRVPYGFHGAFLSTCS >CDP12268 pep chromosome:AUK_PRJEB4211_v1:8:31574013:31580276:1 gene:GSCOC_T00035708001 transcript:CDP12268 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALTSSLNLRLRPNPPRKAHNQSPFTSLKPKFQFNNENKWQKFSHFTSRKFDGKTFTNISPSTERRGLIVKAAAASAASPGNPSASSVPVEMKQPPQQPWQGAAMKPLIASIATGVLLWLVPAPAGVSRNAWQLLAIFLATIIGIITQPLPLGAVALLGLGASVLTKTLTFAGAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVKLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNTGDGTERKLGSWLMLTCFQTSVISSSMFLTAMAANPLAANLTLNTINRPIGWMDWAKAAIVPGLVSLVVVPLLLYLIYPPMVKSSPDAPKLAQEKLEKMGPMSKNEIIMAATLVLTVGLWIFGGALNVDAVSAAILGLSVLLITGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPSYLGALVLSFLSNLMGGLTHYGIGSAPVFYGAGYVPLAQWWGYGFLMSVVNILIWLGVGGVWWKAIGLW >CDP10017 pep chromosome:AUK_PRJEB4211_v1:8:27181697:27182938:1 gene:GSCOC_T00030555001 transcript:CDP10017 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDEVLALRQIQEHLFGELSPTALFFGPELVIDGDSNLGASNYSSQSQSQSDSSFGSDSASYDHDHNSNSNSSPSSPVPITDYLSTADEAERKQNHCFDHFAQNSVSFERNDPDFFKHCQTNTIINFSRAPKFETIDFSEFEQKPQVIDLTSSPKPPQSARDGKPSPTILPPVKQCARDRKPSLTILPPVKKFEWIEFSESTQSKQVIEQARQMSLPTQSTQPKKAAEDQRHYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTFDTAIEAAKAYDRAAFKMRGSKAILNFPLEAGKHASESRASSSNKRRREAEERGREAEEVAVKEAKKCQTPSAVKSEPESEWPFTPSSWSAMWEQNVDGVFNFSPLSPVSPHPPLGCAQVRVM >CDP18486 pep chromosome:AUK_PRJEB4211_v1:8:22783843:22785921:-1 gene:GSCOC_T00009058001 transcript:CDP18486 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGASLLMSERQRLAELLRKCSKNFLYDQGKEVHGAVVRKGYGLDLMINNDIIDLYGKCGRIEFARAVFDRMPDRNVVSWTALMCGYLQAGDARRPLLLLREMGLSSVKPNEFTFSTNFKACGILGALVNGKQIHSLCSKRGYEWYPVVGNSIIDMYSRSGQISDAEYLFHVMPIRSLISWNVMIAGYALEGEGAKSLLLFKKMQKQGEIPDEYTFTSTLKACTVSGAILEGTQIHAFLTVRGIPFLNQKIVSGSLIDLYVKCGRLFEAHKVFNDVEQKSVISWTALIIGYAQEVNLSVALDLFRELRNSSIPVDGFVVSSMMGVFADFALVELGKQMHAYAEKKPTRTDISVANSILDMYLKCGLIGEAERYFCDMLAKNVISWTVMITGYGKHGLGTEAIHLFKRMQLENVEPDAVAYLAILSACSHAGLVEEGQEYFRRFCNDHRLKRRVEHYACMADILGRAGRLREAKHLIENMPLKPNIGIWQTLLSACRVHRDVEIGREVGEILLRLDSDNPVNYVMMSNIFADACCWKECEKLRGIVKAKGLKKEAGRSWVEIDKQIHFFYNGDDAHPLFESIHDILKEMEKRMKEEIGYAYDTSFSLHDVEEESKQEGLRLHSEKLAIGLALVCGGLDDEKPIRIFKNLRVCGDCHEFIKGLSMILCKVFLVRDANRFHKFENGICSCKDYW >CDP16160 pep chromosome:AUK_PRJEB4211_v1:8:23607901:23616337:1 gene:GSCOC_T00017242001 transcript:CDP16160 gene_biotype:protein_coding transcript_biotype:protein_coding MESDRTSTTRSDGGSDSLPRVRPAHGRTSGPTRRSTRGQWTPEEDEILRQAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIELVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPGINKEAWTQDEELALIRAHQIYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYLASGLLAQFQGLPNAIHPNQSAAAASSKTQQSSEDDSVPRDGAEMEDVSQCSQGSTAVGCSSSTSEMANTNVYAREDCFEHNLQDWDPSIGKDWQLTQNELPDMSSLDLGQEASGQFMLSSSGQFIHELVNFPLQSSMGLSNSTSMVNMVLGSDKADQFLTTEVGCSRIVYPEVRNDGSFAPESSPVNEATDSLLYQTSSYHVTFPSQSCNPLQSDVLGTSFGEPFSVPSQLPSDDGSLIFNIDPNLFNDSSVGNSEKECFTGRYDGFIYSNDSGGSTNNHTEFGMVVQPVEGKDSAKLVPVNDFGLTPPKDIQTSPPVEEHPVISDEQKDSGALFYEPPRFPSLDVPFFSCDLIQSGNDMQQEYSPLGIRQLMMSSVNCLTPFRLWDSPSGDDSPDAVLRSAAKTFTCTPSILKKRHRDLVSPLSEKRCEKKLESDVNQESFSTLTRDFSRLDVMFDETQNENQSMLSPTNQGSNCEMLLTEDKENLVPATTEGDKEDVKVDSPSSANIVSEKHTNANFPLDKMNQPSGVLVEHDMNDTLFFSPDRCGTTSDKAFGLSAKACGNKYSGRLDAGLHKGAASSETRCLSIVCSPRISGKKDGLNVVTTASMQLASSSTPMGKKVETSGNICGVENISIFGETPFRRSIESPSAWKSPWFFNTFLPGPRVDTDITIEDIEYLMSPGDRSYDALGLMKQLSEHTASAFANAQEVLGDETPETILKKSSKILNSDKQQNDLLICQQEHHSTVGSNVMTECRTLDFSECGTPAKGAETGKLSTGVSFSSPSSYLLKGCR >CDP12994 pep chromosome:AUK_PRJEB4211_v1:8:2938971:2945792:-1 gene:GSCOC_T00037719001 transcript:CDP12994 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEIVINGDSPYGTSARLEKMKKSLNMYVEKVKKFPGLAWKMIWKVGREDPRRVIHSIKVGLSLTLVSLLYLLEPLFEDIGQNAIWAVMTVVVVLEFTAGATLCKGLNRGFGTLLAGLLAFFIEYIATASGQIFKAIFIGAAVFLIGMSATYVRFVPYIKKNYDYGVVIFLLTFNLITVSSFRVENVLKIAHERIYMIAIGCGICLLMSLLVFPNWSGEELHDSTAFKLEGLAKSIQACVNDYFSEEEPKAANGESLEDPIYKGYKAVLDSKSTDETLAMYASWEPRHSRYCYRFPWQQYVKLGAVLRHFGYTVVALHGCLKTEIQTPRSVRALFKDPCIRVAREVSKALMELADSVSKRRQCSPEVLSDHLHEALQDLDTALRSQPKLFLGPNANSNTNMLALAAAQARQKSGKDFGISLSSVKTDTSALLEWRSKRASEQSKENDRKVLRPTLSKIAITSLEFSEALPFAAFASLLVEIVARLDLVIEEVEELGRLAHFKEFEPGDAVVSMACFFSNSLSHSCKKWPQNLFNWDFGNKKNDSRPQPKYHDIQLPFPPSLVSKTYLKGRELRCCYKATVDGFSATDFHNSSDFKGPCVIIGYTNKAFKFGAFNPEGYRSTDDYYDTFDAFLFYWREKEEKERDGDPIILPKVGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGIGDLRQAKSRLGLSYARRPDGKESLFGDESKASLDEVLVFCSPEIASLY >CDP17883 pep chromosome:AUK_PRJEB4211_v1:8:21103423:21104101:1 gene:GSCOC_T00011866001 transcript:CDP17883 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNKIGAMAILFCGMILLGANVEVAAVRPGPGPVTPCIPRCFLVEYVICDGVKTGPKCTNCCFSNGCTLHHFSGWEEYCTWPPVQKLGFGKGIYNI >CDP09779 pep chromosome:AUK_PRJEB4211_v1:8:29282704:29285456:1 gene:GSCOC_T00030241001 transcript:CDP09779 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHHCRKVVEHVCLLKARKDMSDEVEKDMLDYLYTTQYQMRGIVAISLGRISDQELAKYTHAVYIRFQKKEDLSKFYENPFYVGVLKDRVFPYCHDIVNVDYESEVEDDIMPIFRKGEEFNYGVEFVLLIAFDKNSLGGPAEDAMAALAELTAEFPSLIVQATKGSNFNLNNVEYTHGAVIRFRSSEACETFLKSSQYNDVWGSKIQPISEKVMSVHYSVDPVGTELM >CDP08378 pep chromosome:AUK_PRJEB4211_v1:8:27048507:27048701:-1 gene:GSCOC_T00027192001 transcript:CDP08378 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQAAVSFLTNIARAVFGLGIGATVLNSSLNIVDGGQQAILFDRFRDVIDDTIGEGTHFLIP >CDP09739 pep chromosome:AUK_PRJEB4211_v1:8:29589562:29591882:-1 gene:GSCOC_T00030192001 transcript:CDP09739 gene_biotype:protein_coding transcript_biotype:protein_coding MVESFATTSLLGNLPLYGGYCSKDVSGKRRAIDIIKFPPMEFRFRKLVSSSSLPRLKAHRQLIKCQAMELTKEAYREEGQSYQDFRYQIDAGVDRMPGLWPPPNKADNPGLQNPLLRQERMGCGWLAAIFEWDGVLIEDNPDLEKQAWLALSEEEGKSPPPAFILRRIEGMKNEQAISEVLCWSRDPVQLKRMAGRKEEIYQALQGGIYSFRPGSQEFVNILMHYKIPIALVSTRPRKNLETAIGAVGIEEVFNVVVAAEDVYRGKPDPEMFVYAAQLLQYIPERCIVFGNSNQTVEAAHDARMKCVAVASKHPMYELGAADLVVKRLDELSVVDLKNLADIESAEFEPELEMELEEEDDPHPTVDVDDGFW >CDP11747 pep chromosome:AUK_PRJEB4211_v1:8:5954896:5955456:1 gene:GSCOC_T00034231001 transcript:CDP11747 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYSGFRKWSLGVVQFDTTRNHFLAAGDEFWDMDNNNMITFTNADGGLPASPRLRFNKEGSLLAVTKSENGIKILVNTDGQYLLRMLESRSFEGSRAFSEQVNVKPAIAGSLGPTGHVAAPVASILE >CDP07160 pep chromosome:AUK_PRJEB4211_v1:8:9579653:9583139:1 gene:GSCOC_T00024301001 transcript:CDP07160 gene_biotype:protein_coding transcript_biotype:protein_coding MPPITRRAAKAMKLNSIQTTHSSISSWGENDLTQCISNYYKMRKQKRNTSERVHFSEDHLMENDHDAHGGEISDESDEEELLLIYAMVVLLGFALFNPYLNPLQQRRIRDGAQSGAQRVVELINGYRDRIFDNLRMEAPLFLQLCDLLLERGYWEPHPTQRVGIHESVAICLLCLSHNERHRVLAERFQQFSETVDLHLRRCLRSLVRLGRDFVRPIDYHIIHPRIQNSALFWPWFKDCVGAIDGTHVSAWCRAEDRDHYRNRLGGLSHKILAACDHNMRFTYVRVGWEGSARDSRILQDVLLDPNCAFPMPPAGKYYAVGATYTNMSGFMAPFRGARGTPQERATKALFNRRHASLRNITERTFGVLKKRFPILQGPMQNYLMATQNNIVLACCALHNFMRDHVPNDAYFVEEEADAALADNLDQGNQMFGPQPIDMSAQGIAGWNEDRRAIADHMCYHQYA >CDP13488 pep chromosome:AUK_PRJEB4211_v1:8:955960:960536:-1 gene:GSCOC_T00038447001 transcript:CDP13488 gene_biotype:protein_coding transcript_biotype:protein_coding description:OCP3 [Source:Projected from Arabidopsis thaliana (AT5G11270) UniProtKB/TrEMBL;Acc:A0A178UCN6] MGTSTAAWLPHMSSFVALKPHCCLDKKPLFVDTKSIRTSFILPRQSFSSSSILTFARRRGGHQASSSPVKSKKQKKKEQTFGSKSTQEDEDDDAFEALFRQLEEDLKNDNLSSENGDDDDEISEEDLAKLERELEEALKDDELFGALDLVGDGKTEDESDEDEKEEDNEDDNTANYHVEDLEDDDNDEEMPVKLKNWQLKRLAYALKNGRRKTNIKSLAADLCLDRAVVLELLRDPPPDLLMMSAALPDKPVSTVVEPVNKLKEACPLEMTPVTTKAEAEVKVPVHVMQSNWSAKKRIKKAQLDTLERVYNRTKRPSNAMISNIVHLTNLPKKRVVKWFEDKRAEDGIPEHRLPYERSASTFT >CDP09972 pep chromosome:AUK_PRJEB4211_v1:8:27689768:27691036:1 gene:GSCOC_T00030493001 transcript:CDP09972 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHAVGDVRMHQSSRDQEIGTSSFMRSNKGEGGSKHSYFLRTNSTNRFTGACRAGEDLQEFNNKRTFTRSAFHDEREKFSSSVSEEEDLANCLVMLSNESFVQSDKEQENTDKHVEKGTFQCKACKKVFNSHQALGGHRASHKKVKGCYAARFNSSTNLNDQDNDNNYEDAFYQHEEHLAPSENSSEPGLDLDFSPHHDHLPKNTTLSKRKSKAHQCSICQRVFSSGQALGGHKRCHWLTSNLPDNTIIQNLRELQFDSQQLFKKPMLTKPEPLPLDLNFPPLLNNAVDNLHMNSADDPLNYEAPARIFLQLWGNEEADNSTSNNNHQQNYTTKSQTAKLSNLKDMNLDGGSSRWLQVGIASTADITATP >CDP12037 pep chromosome:AUK_PRJEB4211_v1:8:29733748:29738437:-1 gene:GSCOC_T00035398001 transcript:CDP12037 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFEREGPSGFSASTTAEEVTQGIDGTGLTAIVTGASSGIGKEATRVLALRGVRVIMAVRNTKAGSAVKDAIVKEIPAAKVEVMELDLSSMASVRKFAAQYNATGLPLNILINNAGVMVSKFNLSKDDIELHFATNYLGHFLLTNLLLDVMKSTAAESNVEGRIVVVSSLGHRFVHKEGIQFDKINVDTRYKYGQSKLANILHAGELSRRLKVLYYLWFQKTSELYFVFSFTLPSKFYFFPFQSGRRDSSNWASSGIGLETARVLALRGVHVIMAVRNTDAGRAVKDAILEEIPAAKIEVMEIDLSAMASVRKFAAEYKAMGLPLNILINNAGVATLDFLLSEDNIELHFATNHLGHFLLTNLLLETMKNASAETHIEGRIVNVSSLAHGIVCHEGIRFDKINVDNKFKYGQSKLANILHGTELSRRLKEEGVLITANSLHPGIIVTKNFRHSKFLSGLFNKIGKFACKSVQQGAATTCYVALHPQVKGVSGKYFADCNLSQPSSLARDPELAKKLWDFSLNLTAAPTDVYHC >CDP08200 pep chromosome:AUK_PRJEB4211_v1:8:24826419:24830221:-1 gene:GSCOC_T00026959001 transcript:CDP08200 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNLGQPGVPRQPPNSQPNPFGSSFYGAGSDLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKVVLFPFLHRGHWTRITEPVGGLSLGLNGKFRPEALNWLFAKGLVGWFLQVALLKMSLFSLSGGEAPLLDIVAYAGYTFTGLSLAVVGKLIWSYSYYALIPWISLCMGIFLVKTMKRVLFAEVRSYDSSRHHYLLLFIAMAQFPLLIWLGNISLNWLF >CDP12064 pep chromosome:AUK_PRJEB4211_v1:8:29970485:29972176:1 gene:GSCOC_T00035439001 transcript:CDP12064 gene_biotype:protein_coding transcript_biotype:protein_coding MANWCELQYDMLEVIAQHLTKIEDYVAFGAVCTSWRAAAANKKKNFRGIPLWKQIPCLMVAAKDENDVGDREFYSLLENEVVAKVNLPKLKGKRYLEALGWLLLIGEEGDMSLLNPFSSGPEIPLPNQNTIPGYDRYEPDAFIFVEKFALSARPSEAEEEEEKCEDYVVMLICGSVRFLAFWKPGDQSWNRVVLRVSVYSDVTYYNGQIYAVDKKGNVVVCNPKNNGEARILATLCYRFWDLKQLYIAESEREESLLVVTRDNFPFFDDDAEDREPRYGTAEFKVFEMDLSAGGRGGAQGGSWSSSRLCLKEIKSLGNRALFLGHSATVSFEVSSNGKLAPGIKPNHIYFTDDCWLGYGATAGGGGKDMGVYNMETGTVEPFYHAPKVLSYICPSAWITPNF >CDP13507 pep chromosome:AUK_PRJEB4211_v1:8:778819:784083:-1 gene:GSCOC_T00038468001 transcript:CDP13507 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGEKNLVVTQISVGGFDDYVTAKMLLDYFEDNIGTVLGPENPYRMNERRRTITPFRLSNVSPEIGVLLASSPRIHYRTADDDIEESVPFDLLDDDDPWIRTTDFTPSGAIGRCNIYRISIPPRNGGTLERALVYLKQSRVHVQYMSPANKLLIKDEPDFGMASSDPFFCIQYKQGITFKVLFLVNAVMHKGIINQHQMSDRFFDLLRLESEEVNLAALKHIYSYKWPLFDASKKLESVQQWLHKNPKLTERPKQLDDIVEVRRVIITPSKAYCLPPEVELSNRVLRHYRDIADRFLRVTFMDEGMQTLNKNVLSYYAAPIVWDVTSNSNLQRTSMFKRVKDILSKGFYICGRKYSFLAFSANQLRDRSAWFFAENKNVRVANIISWMGRFADRNVAKCAARMGQCFSSTYATVEVPPSQVDSELPDIKRNTFVFSDGIGMMTSDLAAEVAERLRLTENPPCAYQIRYAGCKGVVACWPSKTNGHRLYLRESMRKFDSTHTILEICSWTRFQPGFLNRQIITLLSALGVQDNIFWRMQESMISRLNLMLEDTDVAFDVVTASCAEQGNTPAIMLSAGFNPETEPHLRGMLTSVRAAQLGDLREKARIFVASGRWLMGCLDELAVLEQGQCFIQVSGPCLENCFFRHGSKFSETKKNLQVIKGLVVIAKNPCLHPGDVRILEAVDAPELRHLTDCLVFPQKGDRPHANEASGSDLDGDLYFVTWDGNLIPPSKRSWMPMDYASAEARKLPREVNHLDIIDFFSKNMVTESLGAICNAHVVHADLSEYGALDEKCIKLAELAATAVDFPKTGKIVNMPSEYKPKMYPDFMGKEDFQSYKSKKILGKLYRQVKDACDEGEAATSDLTFPSNKIPYDTDLEIPGSEVFIEHAWNTKCSYDGQLNGLLGQYKVNREEEVVTGHIWSMPKYSSKKLGDLKERLKHAYNNLRKEFRRVFEQMEPNFELLTDDEKNVMYEKKASAWYQVTYHPTWLQRLLELQLPDGVEETVMLSFAWIAVDYLAQIKIRCRGSWNLDSSKPIDSLGRYLSDKI >CDP12990 pep chromosome:AUK_PRJEB4211_v1:8:2894157:2901313:1 gene:GSCOC_T00037713001 transcript:CDP12990 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLL1 [Source:Projected from Arabidopsis thaliana (AT2G35350) UniProtKB/TrEMBL;Acc:A0A178VV40] MGGGFSQLFPCFNPAVDGRGEPEVLFTATEPLDETLGHSFCYVRSSARFLSPTHSDRFVSPSQSLRFDAEQVPKQSRPAGLPPETGFRTISGASVSANTSTPRTVLQLDNIYDDATATEISNTTTTSSFSALPLQPVPRGGAGEPSGQMERAFFMSGPIERGALSGPLDSTGGGSDGGNRVPFSAPLGGMYVKKKRRKGISGIRKAFYRNFPEKKRPWVVPVRNFVGGRKDVSGGGSGEPEMPCDSNVQWALGKAGEDRVHVVVSEELGWLFVGIYDGFNGPDAPEFLMSNLYKAMYKELEGLFWDNEDTSSSTQEVTLTAENIASVEDSNDPLSSNQLVEGRAVGRVTEIEEGESESNLDEATSRASVKRVTFQSGEIQVRRRRLWEFLAEEDPEDGLDLSGSDRFAFSVDDAISVSNAGSAVSRRSLLLSKLKHGLLIKHKESGSLFPWKFVLAGKEKQEVEVEENRVAEQISSRSGRMRKVGPVDHDLVLRAMSRALEVTEHAYLDMTDKVLDRYPELALMGSCLLVALMRDEDVYVMNVGDSRAIVAQYEPDEVSSSAQLKVPGGSGSNSEGGIFDELADATERKTEVANEASFQAMKLTALQLSTDHSTSIQEEIIRIKNEHPDDNNCIVNDRVKGRLKVTRAFGAGFLKQPKWNDPLLEMFRNDYIGTAPYISSSPSLRHHKLCTRDQFLVLSSDGLYQYLSNQEVVSFVENFMEKFPDGDPAQHLIEELLLRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYP >CDP16155 pep chromosome:AUK_PRJEB4211_v1:8:23497221:23498666:1 gene:GSCOC_T00017236001 transcript:CDP16155 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTELLFIPGPGMGHLASAVEFGKLLINRDEHLSIVYIIIDLSYNTGVDAFMEPQLTDAYSCIRFVNIPYQIDSSDHQEPKSKNRNVVAVELINRQKPLVRDAVLDIISKANSDREIAGIVVDMFCVGMIDVAQEFELPSYMFFTSSAGFLGLMLHVQSLHDEYNEDITHYKDSDAELLVPSFSNPVPAKVLPTTMLDKNWGSAAVVTSARRMLEVKGIIVNTFHELESYAIKSLSGQAGKIPRIYPIGPIINWNSKQDDGIMSWLDTQPSCSVVFLCFGSLGSFSCEQVKEIAVALEHSRCRFLWSLRQPPAKGEIDAPSAYANPEEVLPEGFLQRTSGVGKVIGWAPQMAVLSHPAVGGFVSHCGWNSILESLWAGVPIAAWPMYAEQQTNAFEVVAELGIAVEIKMDYRNDANMESKIIVSADEIENGIKRLMMDRNKDEIREKVKDLKEICRQAIVEEGSSYISLAHLIENFKEKM >CDP13408 pep chromosome:AUK_PRJEB4211_v1:8:1868159:1869797:-1 gene:GSCOC_T00038339001 transcript:CDP13408 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDAAIDPHDKMRSRDVNKVARGEQASRPPNDHPIVSKAPPPTSTDPKPNKAGEKDDVEDLGAAPADDAPRFTNQLRNCCIRYYEFYRCIMENEEDTSKCDKFSEYYRALCPYEWVEKWDVERELGIFPQPI >CDP12087 pep chromosome:AUK_PRJEB4211_v1:8:30151190:30151810:1 gene:GSCOC_T00035466001 transcript:CDP12087 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKYQQQQQVYPLAPSTIVPRSDAQESGTYESMELRKKKRMKRLAYIAAFAVFQTIIILVFALVVMRVKSPKVRLEDINVITNGNDNIRLNAQVRIKNTNFGRYKFDSSRATLTSGGVSVGEFVIPDGRARLKSTKTYYVIVDVTTPSSSGRAGSNSGLLELNAKAELTGKVEFLMVLKKKKSAEMDCTMSVNLSTNFVQDLTCQ >CDP12074 pep chromosome:AUK_PRJEB4211_v1:8:30048590:30053168:-1 gene:GSCOC_T00035451001 transcript:CDP12074 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMLGDWPSFDPMNFSQLRPSDPSSPSRMTPVTYCPTHDRCQPPPNQVIASESRNILLRNMYKRTEDKLRSKRPASEILITDQGLKHPRTSTAESPF >CDP09901 pep chromosome:AUK_PRJEB4211_v1:8:28311699:28312580:-1 gene:GSCOC_T00030386001 transcript:CDP09901 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGEAATPTTHPAVQPLSFLLGTWKGQGEGGFPTITPFQYFEEVTFSHHPSKPVVAYSQKTWKLKDSGEAQPMHGESGYWRPKPDGTIEVVIAQSTGLVEVQKGTFDAKEKIVALRSELIGNATKVKEISRRFKVVNDELTYVVEMATTATSLQSHLKASLKKL >CDP11704 pep chromosome:AUK_PRJEB4211_v1:8:5097904:5098403:1 gene:GSCOC_T00034164001 transcript:CDP11704 gene_biotype:protein_coding transcript_biotype:protein_coding MISLRVRLDEKKSTNENNAEDEKNDKVGLWYSNPGTGTSGSQGLGGGVGKYLKARNSQVESTTAVDSSLPAVSVTKKRKVGVSIGEYKDVSSW >CDP12181 pep chromosome:AUK_PRJEB4211_v1:8:30850596:30853493:-1 gene:GSCOC_T00035588001 transcript:CDP12181 gene_biotype:protein_coding transcript_biotype:protein_coding MQENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPSAWEDKKNPGESRFPAQVRVMTRKICEPMEEDSFRPILHHYDGPKFRLELSVPEALSLLDIFDDSN >CDP13549 pep chromosome:AUK_PRJEB4211_v1:8:325179:331076:1 gene:GSCOC_T00038536001 transcript:CDP13549 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRRASHAGSWYTDNPTQLAGELDGWLKAAGLTKSSDVRGVIAPHAGYSYSGRAAAFAFGNIDPEKISRVFLLGPSHHYYTPRCALSRATVYKTPVGDLPIDLEVIEELKATGKFEMMDLRVDEAEHSMEMHLPYLAKVFEGYSVKIVPILVGALSAENEAIYGQLLAKYVDDPSNFFSVSSDFCHWGSRFNYMHYDKKHGAIYRSIEALDRMGMDIIETGDPDAFKEYLLETDNTICGRHPISVFLHMAKNCSTKIKIKFLRYEQSSQCKSTRDSSVSYASAAARVDA >CDP12068 pep chromosome:AUK_PRJEB4211_v1:8:29994853:29997251:-1 gene:GSCOC_T00035444001 transcript:CDP12068 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHGAVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPSAAAAPPNISATSTDHQQSGQFFSYNANQIDLINQQDFAMKPQNIQDTLFTSPPLFMFDTSSSSSVLQGLAGDGSNADRMLPDHDQLFQETAGVLNSSIWPQMNQHHQDHQSTVLVQPASFTPGLDSINYLPPLIDNVENMVHIDHVQSCSNINNVEGEITTLDCLQRQELNQWVETTQQCPSYLFWDQTDDQGPLGGDESLPSSSSNMGAMLSYPSPL >CDP08338 pep chromosome:AUK_PRJEB4211_v1:8:26188961:26191195:1 gene:GSCOC_T00027140001 transcript:CDP08338 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYYAAFLCITVLLPAALCYYGGDYSRATYYGRPDFLGTPTGACGFGEYGRFVNDGRVTAVSRLYRGGSGCGACYRVRCTIPEDCSEEGTRVVVTDYGEGDKTDFILSQAAFESLARPYKAQHLFAYGVVGVEFKRVPCLYYRRFIFLVLPQSRYPAYLAIVPLYQPGTFDIIAIEIFQTDRGEWRPMRRAYGTVFDITDAPLGVITLRYKSVDYGDPESWVQLNEVIPSDWKAGHAYEIEIPA >CDP09976 pep chromosome:AUK_PRJEB4211_v1:8:27660976:27661866:1 gene:GSCOC_T00030498001 transcript:CDP09976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloendoproteinase 1-MMP [Source:Projected from Arabidopsis thaliana (AT4G16640) UniProtKB/Swiss-Prot;Acc:O23507] MSELKKYFQRFGYLSIPNQNLTDSFDEDLESAVLQYQKNLGLPATGRLDSDTMKVITSPRCGVSDEKSRAAQKLHAKIHYAYFDGEPRWDRSSPMILTYAFSPDHTIDYISSADIRAVFERSFARWSSVIPVNFTEVDDYYSADIKIGWYRGDHGDGQPFDGVLGVLAHAFSPENGRLHLDGAEAWAVDFKEQKSKVAVDLESVATHEIGHILGLAHSAVKDAVMYPSLSPRTKKVDLRVDDVEGIQALYGSNPNFKYSSLYESDISSSRSIYMERRRFSKWTTVFLVMVVFIFSL >CDP09959 pep chromosome:AUK_PRJEB4211_v1:8:27803589:27806961:1 gene:GSCOC_T00030473001 transcript:CDP09959 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine triad nucleotide-binding 4 [Source:Projected from Arabidopsis thaliana (AT4G16566) TAIR;Acc:AT4G16566] MGQADNVQRNGKSTKNPSFYWLKNVREVLERPRAKLAEMMRAPSSASSCIFCQIARSSTSTVLLHSDEKVVVFQDINPSAFRHYLVIPIEHIPTVRNLQRRDEDFALVSHMLDVGQTLLRKDAPNSKHFRFGFHQPPFNSVDHLHLHCLALPFIPSWKSIKYLSLGPLGGFIKAEKLLEKLKPLSPLTS >CDP12250 pep chromosome:AUK_PRJEB4211_v1:8:31392976:31394209:1 gene:GSCOC_T00035679001 transcript:CDP12250 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGNLCGRHGCTWKAASPGASNLRGLGRWCTSSAEPYHHHLFCPRRVRVMAMVMMRLTIPFLPLLLALALVVKAENLEIGVQAL >CDP15679 pep chromosome:AUK_PRJEB4211_v1:8:7231268:7233314:-1 gene:GSCOC_T00015641001 transcript:CDP15679 gene_biotype:protein_coding transcript_biotype:protein_coding MTICRIQMEMVKPNSFNNTSFVNHNGRIYHRLESCLVIPPPRRGKPKAIIKFLGGAFVGAVPEVAYSYLLEQLANEGYFIISVPYNVTFDHEKVTRQIYERFHAGLDLILGSGLPEYDISPDDIVDLPLYSAGHSNGALLQVLTGSYFCEKIPKANEIISYNNRPASEAVPYFEQVILFQYQFKGLSCYPDYPKIKKGGF >CDP08362 pep chromosome:AUK_PRJEB4211_v1:8:26364772:26366376:-1 gene:GSCOC_T00027167001 transcript:CDP08362 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGSTIPAHNLSSFQARRASFKCNGSPLNPSTRAPQAHAQNIKAVQSTTEVTKKHLSNLEKLLQKESIPPDPEPVVKESSQQNGLPESKGRGLLEGLNLARIWPEMKAAEDMSPKHLNRLQRLLSMSQEYSPRSTLGSRWREYHGCNDWAGLLDPLDENLRREVVRYGEFVQAAYHCFHSNPAMSADEAPSQRNVALPDRSYRVTKSLYATSSIGLPKWVDDVAPDLGWMTQRSSWIGYVAVCDDRREIQRMGRRDIVIALRGTATCLEWAENMRDVLVQMPGENGSKDGQPKVECGFSSLYQTRGAHVPSLAQSVVEEIQRLIEQYRGETLSITVTGHSLGAALALLVANELSTCAPNVPPVAVVSFGGPRVGNRGFADQITENNVKVLRVVNNQDVITKVPGMFVSETLDKKLRESGAAAGVLNALDSSMPWAYSHVGTELRVDTKMSPYLKPNADVACCHDLEAYLHLVDGFLASNCPFRSNAKRSLVKLLNEQGSNVKRLYTSKASSLGRLNLERGGNLHMSSCLPSPS >CDP13031 pep chromosome:AUK_PRJEB4211_v1:8:3396569:3401820:1 gene:GSCOC_T00037774001 transcript:CDP13031 gene_biotype:protein_coding transcript_biotype:protein_coding MELEDRKASEASSWIGWPSPTDCGNKPSVSSWLSENKSPVVGAGLANLGNTCFLNAILQCFTHTVPLLQGLLSSNHKVPCDSNSEGFCVFCSLYEHIQFSLISTGKIISPWKFVNNLNYFSSSFRRFQQEDAHEFLQCFLDKLESCCSGFECNNTLHSGSDNMVKQVFGGRLISMLGCCNCGHWSDTFEPSIDLSLEIENASDLHTALESFTKLEKLEDPETKFTCEHCKEQVSVEKQLMLDQVPSVAAFHLKRFKNDGSFVEKIDKYVKFPLELDLQPYTICDQNEEELKYDLFAVVVHTGFSSTSGHYYCYIRVAPDEWYRFDDSKVRWVQEEYVLMQEAYILFYAKQGIPSFSSFIQTQKQWMDLSLLNTSPKSVLDMVDVSTGSSSLLLNHCSDVDEPSDVAETICAENLSGTRDSRVNDGEIKENSISSMLCVANNSCNVAKSEDHQSTSPVLNNSTDVPSCEADKSASISSDGPSCEADKSASSSYVPSCEANKSACLSVLNSSDDVPPCKADKTTPRPALQENNSNQEHGEFRNIAGVMPATPSRSASPEIYREDPPDTTFSISRGHLRSMEQVSCKRQLNKDLENLERKQAYTLAKKMPGSRGQQLMAAMRGSLSAGSLNKKRSRGLHTSPSSDVGNSGSRRRSSFPLHASSLR >CDP12083 pep chromosome:AUK_PRJEB4211_v1:8:30104537:30112614:1 gene:GSCOC_T00035462001 transcript:CDP12083 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSSRTGCCPAKFTVAEKSQMPKQRNNSNAKRNDKRNGRIPKSRCDSFCLKNGLVSFSSVAGGGNFVAVYGSKSDIFDGTKPVDELPLSELLDGSYNCPSLVKDKGKAVENSNENLLHLVRKAASLIWRQSPVHSQNPANINDVDNQNVSMGPFSIGACPTSRMDGEKEDSCTVNQPSNYMDSCGHMKPLAVAVDSPLCPPKDILERLALPPSKDLDSLLLDAVRPASSSRTGTDLRLGKPVFHRTGLPPFSWSHNSSGHVKSGSDTVKLSANRTTCPGRWVKVGNTLTPVKGSNLSMLDLKSLTYNHRLVPSGNLPSTPLGVENASSICLNISSREQGVSSSAAFSASQDPSDELSPRLLAAAQTLYEIASHSTRQNSHEMMKWLKQPSQKTMKACTLKLSQKSEKFFVPPKASIGPDNLVKVADGMFPGKKLRLSVDEKTDAICHIKPGKRAPTNWSAPRSIRSSPSKLFRDSVPEMKNYNRHIVNKSYMMPPPSRVADKACNSRQKLKKIVPMDWNSTASDLD >CDP08315 pep chromosome:AUK_PRJEB4211_v1:8:25924736:25927647:-1 gene:GSCOC_T00027110001 transcript:CDP08315 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMSARLSKLDNLYFPRAIQPSATTAAQRQSLLLDLLSRDAAVFLERYGSELTPDELMEFDVLKGDYEINWHLNHLRSVLSPTAEELKSRSTRIKNRRLAYLDKLISDGQYFSEDEMREREPYLHHEFVGKFQDPSGRSMARPGERWSETLMRRSEEAMLVQKIRGEQQRLGVAERDWVGNEEAQEEEESESESESESEEEEEEEEEDGNNDERECMKTRVANGTSQVQEVPIDDISAGNNGQPTASKPAEEESLSLEEMQDRMDQFIYIMKQKFLSGEDHQHMDYSKIDEDESLDDHWMKEANDDAEEKYFDDV >CDP14940 pep chromosome:AUK_PRJEB4211_v1:8:9233671:9237936:1 gene:GSCOC_T00042442001 transcript:CDP14940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Gag polyprotein [Contains: Core protein p15 (Matrix protein); Core protein p24; Core protein p12] [Source: Projected from Oryza sativa (Os05g0111000)] MERPAMQLTEGAIAMLSDRDAQQNPDLKPVLQVMDVRLVNTQNHQNATERYRLVLSDGVNTQQGMLATQQNVLVNSKRLQNGSIIQLTQFVCNVIQNRMIIIIIDLEVIRETCDPIGEPKPYVLRNSNDVSAQKPSASAHVVNQPVSVTGSPQSASAGSTIGGSAPRPNITGVTRMQPPEMDSGAVSHTYGSSFVGKPDSGRYNPTMAPPVYSKAEPGSGTSRSSVNSYLRPPQPSYQHPSPVFTNRGPIARNEAPPRIIPIVALNPYQGRWTIKARVTAKGELRHYNNPRGDGKVFSFDLLDSDGGEVRVTCFNMVADQFYNQVELGKVYMISKGTLKPAQKAFNHLKNEYEIHLDNTSIIQPCIEDDRSIPQQQFHFRSIGEIEGMDNNSVVDVIGVVSSISPSSTVMRKNGTETQKRSLQLKDMSGRSVELTLWGNFCNAEGQTLQNICDSGVFPVLAVKSGRINDFNGKAVGTISTSQLFINPDFPEAHKLKEWFDSDGKNTPSVSISRETAGIVRTDVRKTISQIKDERLGTSEKPDWITVCATLTFIKLDNFCYTACPIRIGDRQCNKKVTNNGDGRWKCDRCDQTFDECDYRYILQLQIQDHTGLTWVTAFQEAGEEIIGESAKNLYYMKYEEQDDDRFNEIMRSIVFKKYIFKLKVKEETFSDEQRVKSTVVRAERINFESETRYVLDLVDKMKNDDNGSLPVKTENAIPSSGQNIAGFGSGTRDPASSMSNYNASTVSIGRESGLNTNYQGTSHGSQYGGSRFPPTRQTGMYSNCTNCGGTGHSSVNCPSVASNQGQSYGGSFSNRVSSAANAGGSECFKCHQSGHWARDCPGLVNAPPAYGSGHETPGRYGNVSRQHVGGY >CDP11737 pep chromosome:AUK_PRJEB4211_v1:8:5868618:5875239:1 gene:GSCOC_T00034215001 transcript:CDP11737 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSRELVFLMLHFLDEEKFKETVHKLEQESGFFFNMKYFDDQVQAREWKEVERYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDKNDGAKAVDILVKDLKGFGSFSEDLFKEITQLLTLENFRQNEQLSKYGDTKSARNVMLVELKKLIEANPLFRDKLTFPAFKASRLRTLINQSLNWQHQLCKNPLPNPDIKTLFTDHTCSSSNESCAPPPSNSLLAGPVPKPGTFLPLGDHGPFQRVVSPSPSAIAGWMSSANGSVPHAAVVAGPPAILQAPGAATFLKHPWTPLGASAMDCQTADSDHLMKRLRVGQSDEVSFSGSTHSPNIHSPDDLPKTVACNLSQGPNVMSLDFHPQQQTVLLVGTNVGDISIWEVGSRERLLIKISKFGISQPVQCNGSILGVAFSKHIVQIYTYNPAGELRQHLEIDAHIGGVNDFAFAHPNKQLCVVTCEDDMVIKVWDAVAGCRLYIFEGHEAPVYSVCPHYEENIQFIFSTAIDGKIKAWLYHCLGSRVDYDAPGLWCTTMAYIASSICYCFRLFFCGTSKEGVSHLVEWNESEGAVKRTYSGFRKLSLGVVQFDTTRNRFLAAGDEFQIKFWDMDNKNMITFTNADGGLPARPRLRFNKEGSLLAVTTSENGIKILVNTDGQYLLRMLEIRAFEGSRDFSEQVNVKPAIAGSLGPIGHVAASVAPILERTDRIQQSLSIGNLAAMEGSTIADVKPRISDNADRIKCWKFLI >CDP16187 pep chromosome:AUK_PRJEB4211_v1:8:23996398:23996926:-1 gene:GSCOC_T00017278001 transcript:CDP16187 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTAECEKLITKPLLDDDHDASAGKGGFKTMPFILANESTFEQVASYGLHPNMILYLTRDYHLNMATGSNKLYLWSAATNFMPLLGAIVADSFVGRFRIDGCEIVI >CDP08283 pep chromosome:AUK_PRJEB4211_v1:8:25627081:25631427:-1 gene:GSCOC_T00027063001 transcript:CDP08283 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSIILSAWNEILEHKIFGLFNKAETVVRSVSFGRREGELVFRTFSFKKKELETIHKFDESKVSPRVDTQKLKTVGPTKDLVVDKESRNSLCRDNDQEAVNKSKLEVLIPAPVVVSSPRPITKLDDAATKLQKVYKSYRTRRNLADCAVVAEELWWKALDFATLERNSVSFFDITKHETAVARWARGRTKAAKVGKGLLKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDAWSESRSSQPFFYWLDVGGGKELNLPSCPRVNMQRQFIKYLGPKEREAYEVIVEDGKLAYKQTGMLLDTNDRSKWIFVLSTSRSLYVGQKQKGAFQHSSFLSGGATTAAGRLVAHDGILEAIWPYSGHYLPTEDNFKEFISFLEEHHVDLSNVKRYTVDDARTSFQEVDEESKSKKEKLQDLSTTATATDAIATDSDEPKFDLAKRLSCKWSSGVGPRIGCVRDYPMHLQFQALEKVNLSPRTGLEKSKSCIPIPSPRPSPKVRMSPRLSYMGLPSPRVSVPSAS >CDP12462 pep chromosome:AUK_PRJEB4211_v1:8:15419011:15423744:-1 gene:GSCOC_T00036042001 transcript:CDP12462 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTCNACNKEFQDEIEQKLHYKSEWHRYNLKRKVAGVPGVTEDLYLARQSALAEEKKNLNETPMLYSCGLCGKSYRSSKAHAQHLKSKSHSLRASQGVGNHEGANAIIKPFQPRVPNKLSQDNELDDEESEESEWEEVDPEEDLMGEATDSLTQLNMNEQNSMDEDEGSDPDQFLKELDPSCCFMCDLEHGTIESCMVHMHKWHGFYIPDIEYLKDPKGLLTYLGLKVRRDHMCLYCNDRCHPFGSLEAVRKHMEARSHCKVHYGDGGDDEEAELEEFYDYSSSYTDADGKQLVVADDSQNRIEFGSGGSELIITRSNKGGMSTRVLGSREFLRYYRQKPRPMPATDVAITAALASRYRSMGLATVQSREHIVRMKQ >CDP12171 pep chromosome:AUK_PRJEB4211_v1:8:30793276:30795194:-1 gene:GSCOC_T00035575001 transcript:CDP12171 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWCMKQRHQVYHEDPSVLASETHFTVREVKLLYKLFKKLSSSIIDDGFISREEFQLGLVQNSKKQSFFADRMFNLFDYKNDGVIDFGEFVRSLSIFHPDTPEAKKVAFAFGLFDIWQTGFIETEEVKEMILAFLDESDLTLSDDTVELIISKTFEEADSKRDGKIDTEEFKDFAARNPSLLKNMTIPYLKDITTAFPSFVLKSEPEDGITKAF >CDP18411 pep chromosome:AUK_PRJEB4211_v1:8:22469122:22471387:1 gene:GSCOC_T00007217001 transcript:CDP18411 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTSGLRVDSGLGFISISWIPFFSGFMALVSLVIFMMDKWLTICLKNNKPRLPLPPGPKCLPFFGCIFQMLRNRPTNRWICKVMDDLNTEIACIRIFGVHIIPVTSPELAREFLKKQDSIFSSRPVFMSAELCSEGFLTTILSPLGDQYKKMKRMVVSSVLSPAKHQWLHSKRAEEADHLANYVYNQCKGNATGGLVDIRLVTQHYCGNVTRKMIFNKRFFGKGMEDGGPGAEEVEHINALFKMLAHLYAFSVSDYMPWMKIFDFDGYGKILTEAIACVRKHQDPEIEKRIKMWESGVKKEEEDLLDVLIRLKDSNGRPLLTTEEIRAQITELMFAIVDNPSNAVEWALAEMLNQPEMLQKATEELDAVVGKDRLVQESDLPRLKYVKACVKESFRLHPLAPFNVPHVSTQDTVVGGYFIPKGSHVILSRPGLSRNPRIWEDPLKYKPERHMKDMDDARMDLNDPELNMFSFSTGRRGCPGVLLGSTLTVMLLARLLQCFSWKIPSGHSQIDLAECEDSGFLAKPLVAVAEPRFPQFN >CDP18792 pep chromosome:AUK_PRJEB4211_v1:8:156762:163374:1 gene:GSCOC_T00005567001 transcript:CDP18792 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIAGNGCKCNRPCHLSIYQHHKIILLLPSSAAALQERKRVLFFFYTTNRRNRSPPHSLTPFRTAVQSAALLPRDLGVEDHHQMASGMLSLTCPSSSSLSLPHHFKGGAAAAGKPKLLNQASVFGKRFVNTESFTRTTMAVALNVSRFESITMAPPDPILGVSEAFRADTNEMKLNLGVGAYRTEDLQPYVLNVVKKAEKLMLERGENKEYLPIEGLASFNKVTAELLLGADNPLILQQRVATVQGLSGTGSLRLAAALIERYFPGAKVLISSPSWGNHKNIFNDARVPWSEYRYYDPSTVGLDFDGMIADIKAAPEGSFVLLHGCAHNPTGIDPTPEQWEIIADVIQEKNHIPFFDVAYQGFASGSLDVDASSVRLFAARGLELLVAQSYSKNLGLYAERIGAINIVCSSADAATRVKSQIKRLARPMYSNPPVHGARIVANVVGDPELFNEWKEEMEVMAGRIKSVRQKLYDSLRAKDKSGKDWSFILRQIGMFSFTGLNKAQSDNMTNKWHVYMTKDGRISLAGLSSAKCEYLADAIFDSYHNVS >CDP20379 pep chromosome:AUK_PRJEB4211_v1:8:27089881:27093640:1 gene:GSCOC_T00012604001 transcript:CDP20379 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRLAASVYPLLVGEWRRDVCKSISLASMFPTFNGRCHFVSRFCYLGLQSPVRAMHTSTVEDDFSELGPPVAGNATMPLKLVTEKVEPFLRKTDFGGKASLSRSFPAECRSGIKDTNLNLHKSFQTSNFKLQDNNDVSTLAVNHKGPIQVDSTTFVNQKWRKDSSCSISVQNVPSTLSLSDLVQAISTFGKISGACIRSSPDGSTCCVVDFENSESSMRAVLAGGITVESVHLSIHPLHSPEIASIRIQGIGKETTDPTIHTICKSIGALEGLAKAGKDAVDAFFRVENDAELQTIIKRQV >CDP13001 pep chromosome:AUK_PRJEB4211_v1:8:3023957:3024887:1 gene:GSCOC_T00037728001 transcript:CDP13001 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVKEKVSNAAAAAQEKVEIMIAKGEEKAEKAAARTEAEKVIAKEGRKVKEAEAKMKLHETKAENAAEKLKHSHPVYDHHDPVVGGGQGHHAPVGTAANPTTVVPTAAYPPGSHPPGHHHTKHV >CDP07187 pep chromosome:AUK_PRJEB4211_v1:8:10399742:10403179:-1 gene:GSCOC_T00024352001 transcript:CDP07187 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLSVILDKILPLAADEISRAWGVKKDLQKLAKKVEMMEALISDAKCKQSTSKAVQLWLKRLQSIARDAEIVLDDFGYEVLRHKVENRKRDKVRNFFSSSNPISFRLEMANKIKNVSASLEEAYKEARDRSIVPFVDRSTVPFVRESGTVGREVEVSQVVSMLISSDYKKDLPVISIVGMGGQGKTTLAQMVLKNESVMKHFDKTIWVCVSDDFRVEKLLNEMLQSLEGKSAETTNKEALVRKFQGNLKGKSYLLVLDDVWNENREKWDGMRSCLLEIGGAPGSKILATTRSDEVASAMQTSGMHHLDILSDDHSWMLFEKLAFADGGARKTQDLVDIGRRILKKCGGVPLAIKVIGGLLYSKKDASEWLTIEKSEIWNESTNIANGVISVLKLSYENLPSLSVKQCFANCSIFPKDAYMEKQSLIQIWMAQGLITDAKGGGGHLQMEDIGSEYFNVLLRSSLLQAAPEYGIELCRMHDLVHDLSLHVSNNRKVLKNIEGIPPNLRTLYYLEGDGIMLEDILKRSRYLCVLILKCGDVTHLPNSVGNMKHLRHLDIRRTGITALPDSITKLYNLMILKVFSLKEIPKKFGNLINLRHFEFFEDSLDGSRCLFPGIGQLANLRTLPHFRVSQDKGCQLEELEYLRNLQGELKIFGLENVSSCESAAKAKLSEKSSIQSLTLSWDDTNEDCDDDNINSVMEGLQPHPNLKSLGICGFKGSRFPSWMVAKDHFMVLLRNLIEIKLTRLGKCEQVPPLGDLPCLESLEMDLEEWSDAMVPSDSSSSIKVFPNLRNLTISDLPKLAVLPDMENLTSLVELQIRGCGNASLDMNNPQSLLCLSISGCDKLNSSLSNNLEKFTSLNELELGGFSDILDLDHFPWPHSIANLVSLERLVLRGWPKITALPDQIQHLSTLRTLNIRKFEGLEVLPEWMGSLRNLRALSIYNCSNLRQLPSAEAMRHLTNLNFLGIASCPLLAERCTKGSGAEWPKIVRIPDVYID >CDP07891 pep chromosome:AUK_PRJEB4211_v1:8:19958913:19964322:-1 gene:GSCOC_T00025381001 transcript:CDP07891 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEELPIYAEQVQQNEHGKGICSCCYCVPVHWLRKLAREMHWSFVFGVVIVYGISQGLGGGFARLGTEYYMKEVQKVQPSESQVYLGITNIPWIVKPLWGLLTDVVPILGYRRRPYFILAGFVGVISMLFLSLHKNLHIVYALLLMTAGSAGVAIADVTVDACVAQNSGTHPSLAADMQSLCALSSSIGSLVGFSLSGIFVHLIGPNGVFGLLSIPAGLVLLVGVLLKEPQMPNFAYQQVSLKFLDAAKAMWNTLICPNVWGPCLYMYLSFALSLDIYEGMFYWVTDPEAGPALSKESMGFVMAVGSVGSLLGAVLYQYGLKNHPFRDLLFWTQLLYGLSGMLDMVLVLRLNLQYGIPDYFFVVIDASVSQMIGRLKWMPLLVLSSKLCPPGIEGTFFALLMSIDNAGLMSSSWVGGFLLHILNVTRTRFDNLWLAILIRNFLRIAPLTLLFLVPRADPNASILPDEVTSSKERSEPTVTENVELVSLVKEADD >CDP13445 pep chromosome:AUK_PRJEB4211_v1:8:1320573:1326388:1 gene:GSCOC_T00038395001 transcript:CDP13445 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSCNKDGQSLAPFLITCSSLWNSTEQSKFSPSKSVITGPESSNNEFFHVKQSDLLFQELDSLSTLSTCQSQYVMADAARNSPSGDETHGRRAEQYQRSPLLCVSADFTVSQVQLDYNKSLACIPFPGSESYFGGLVAAYGPNALVCPPMVGMLHARVPLPPLQCAEGMPVLVNAKQYRAILRRRRIRAKLEAQNKMLKFRKPYLHESRHKHAVRRPRGSGGRFLNMKDIKPSKLSSPSYTEDTPLKMLAGDISGSEARLSESCSWAASTPSGSDVTSIFNGDDIFQHPENQVSASSFHMGMRVGKDSTHLEAGNLLFLSIDGRARN >CDP12080 pep chromosome:AUK_PRJEB4211_v1:8:30077633:30086360:-1 gene:GSCOC_T00035459001 transcript:CDP12080 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSVACIWSASPPTHKVTATAVLNHPPTLYTGGSDGSIIWWTLPSSCTYPNQEMEAIAMLCGHTAPISDLEICFPTAVSGNGKLDHSSDFVSDSSSNVFGALISVCKDGLLCVWSRASGHCRRRRKMPPWVGSPSKIQSLPENKRYVCIACWDADSVHSSDYQSIDMENKGLVDRESHYGKSSKCTVVIVDSYSLTIVQTVFHGNLSIGPLKSMSILLYAGHMDNHSVMMVDSFSKVQCLPILKDSEATGANFPANSSHLVLKDWLDGSEEGEALMACANRGQLLVLIYSTHCTFRLVDDGNKIGEILFLDYQLYLKGQSHVIGGMFLVDDQTSIRLNFGKHDDVISEELAVWNSRGSAAVYWVSYSSSTFAFEPLLDIPAVARAPNLKLSISFVYVNCYLLRIESICLRDEEPLLWEPYMTIWLLPQQYHSKELSGGCKRLSEGKCFDGWISKSLHKTEGSIKEISSSAIGLQGEAESLHTGASCSKISEKYVSSSMVISENWCLPMALVYGFCNGDIEVVWFDMCFEGSGSYGQNQHNEANLHGPRQYLSGHTGAVLCLAAHQMVSMPKGRDFSHVLVSGSKDCTIRIWDLDSGNTIIVMHQHVAPVRQIILPPPLTECPWNDCFLSVAEDCCVALTSLGTLQVERMFPGQPYYPTKIVWDSARGYVACLCPNHTGILDTSDVLFIWDIKTGARERVLRGAAAHSMLDHFCMVMKKDSAPASLMSRNTSASSLNLPLTEENKYSHSRLRYTAKGTSTSSRFPVSRSVTESNRSQTHATKEAVIESVESTVSAFQSNKPPIEGLCPFPGITALCFDLKSMISICKSHDLTMAGSIDRRKTSSEVIGEDTPKDSPQKKIDSQRMYRETDIATTHHVSKEIYSASSGTSGGTVADHDLLYSLEESLLQFSLSLLHLWNVDYELDRLLQTEMKLKRPELFNVASGLIGDRGSLTLMFSGSSATLELWRSSSEYSALRSLTMLALAQHMISLSPSYSGASSALAAFYARSFAEKIPDIKPPLLQLLVSFWQDEFEHVKMAARSLFHCAASRAIPRPLCCNSANAPAKSLDRSTGITKLERENSNSLTPNCLPKTLMDSRSEESEILSWLESFDTQDWISCVGGTTQDAMTSHIIVAAALAVWYPSLVKPNLALLTVQSLMKLVMAMNEKYSSTAAEILAEGMESTWKACIGSEIPRLIADIFFQIECVSGASANAPAQKSALSHNIKEILVAVLLPSLAMADVLGFLNVIQSQVWSTASDSPVHVVSLMTLIRVVRGCPRNLAQYLDKVVTFILQTMDPSNSVLRRSCSQSSMAALKELVRVFPMVALNDTATRLAIGDAIAEIKNASIRVYDMQSMAKIKVLDASGPLGLPTLLRGASDTAVTTAISALSFALDGEGLVAFSENGLMIRWWSLGSVWWEKISRNLTPVQCTKVIFVPPWEGFSPNSSRSSIMASVISNDGQVNLQESKKASTEIDSVKLLVHHLDLSYCLEWVGERKVKLTQHGRELGIFQL >CDP18799 pep chromosome:AUK_PRJEB4211_v1:8:195959:205596:1 gene:GSCOC_T00005575001 transcript:CDP18799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MEPDVSIETSCMIRVAVLPIGPIPPHLFRHYSSLLLRHHTVSLSSISSFYTQHQKSPFSHQPWDSPSASLRFKFILAGSPPSPWEDFQSNRKILAVIGISHCPSSPDLHSLALHFASASKPYSSSLVHRCFAFSPGDSQLEDESHKGTNLILFPPADPQTQELHLLTMMQDLAASLLMEFEKWVLRAESGGTILKTPLDSQATLSSEEVIKAKKRRLGRAQKTIGDYCLLAGSPVDANAHYSTALELARLTGDFFWYAGAMEGSVCALLIDRMGQKDPLLEEEVKYRYNSVILHYRKSFIQENAQRVSPLSFELEATLKLARFLCRQELAKDVVDLLTTAADGGKSLIDASDRLILYVEIARLFGALGYHRKAAFFSRQVAQLYLQQENRFAAISAMQVLAMTTKAYRVQSRASIENTSSKNETSPAPHNVGKVHQNWVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALASSAERLPSGTRCADPALPFIRLHSFPLHPSQMDIVKRNPAREDWWAGSAPSGPFIYTPFSKGEPNQSSKQELVWVVGEPVQVFVELANPCGFDVVVDSIYLSVHSQNFDAFPISVDLPSNSSKVITLSGIPTKVGPVSIPGCIVHCFGVITEHFFKDVDNLLLGAAQGLVLSDPFRCCGSAKLKNVAFPAVSVAPPLPLLISHVVGGDGAVTLYEGEIRDVCISLANAGTVTVEQAHISLSGKNQDSVISISYETLQSSLPLKPGAQVTIPITLKAWQLSSVDTDPAVGKNISSGTGRQVKDRSSPMLLIHYSGPLTNPGEAPEDASALPPGRRLVIPLNICVLQGMSFIKARLLSMEIPAHVGDSHPKVVQLQSNSTKEATGSERKADSFMKIDPFRGSWGLRFLELELSNPTDVVFEIGVSVQLENSNSNDSSLDSSGTEFDYPKTRIDRDYTARVLIPLEHFKLPVLDGAFLVKDSHVNGSATSRNSSFSEKNTKAELSATIKTLISRIKVRWQSGRNSSGELNIKDAMQTALQSSVMDVLLPDPLTFGFRLAKDNVDHRVKLDSTETCDAQPHSAVCNSTVVAHDMTPMEVLVRNNTKEMVGISLNITCRDVAGQNCFEGEKATVLWTGVLSSINMEVPPLQEVKHSFSLYFLVPGEYTLLAAAVIEDANEILRARAKSNTHDEPIFCRGAPFHLQVSGTV >CDP16172 pep chromosome:AUK_PRJEB4211_v1:8:23721352:23722634:1 gene:GSCOC_T00017257001 transcript:CDP16172 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVNAINKCLYLFFSISKITVFPLYFHKHKTHAVDSDGKISSNADVDFYVDDDMIHVIESKPAKRYGDYFLRQIVKLEGVMTDVDRVKLE >CDP13498 pep chromosome:AUK_PRJEB4211_v1:8:897591:897907:-1 gene:GSCOC_T00038457001 transcript:CDP13498 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLKSHFRKKQTLNKAQVTTNKSSCQSLLLSPDPSNEQEERTLREGP >CDP09838 pep chromosome:AUK_PRJEB4211_v1:8:28790150:28790934:-1 gene:GSCOC_T00030312001 transcript:CDP09838 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRLLIFCSGINEIQSTAIQLDIEQRKDPSNPFSETQHKNLCLNLKNSKTYKGSPSTSVNHKNKWVLNA >CDP09808 pep chromosome:AUK_PRJEB4211_v1:8:29070308:29076313:-1 gene:GSCOC_T00030275001 transcript:CDP09808 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEMESMASAIGVSVPVLRFLLCFVATIPVSFLHRFVPGVTGRHLYAAVTGAVLSYLSFGFSSNLHFLVPMLLGYASMVISRKHCGIITFFVAFGYLIGCHVYYMSGDAWKEGGIDATGALMVITLKIISCVINYGDGSLKEENLREAQKKNRLEKLPSFLEYFGYCLCCGSHFAGPVYEMKDYLEWTERKGIWKASEKGSPSPYGATIRAIVQAAICMGLYLYLVPHYPLARFSEPIYQEWGFWKRLSYQYMSGFTARWKYYFIWSISEASMIISGLGFSGWSDSSPPKPKWDRAKNVDILGVEFAKSAVQLPLVWNIQVSTWLRHYVYERLVQDGKKAGFFQLLATQTVSAVWHGLYPGYMIFFVQSALFIAGSRVIYRWQQATSAALFKKILVFLNFAYTLLVLNYSCIGFLVLSLHETLAGYGSVFYVGTILPIALILLGNIIKPAKPARSKARKEQ >CDP13459 pep chromosome:AUK_PRJEB4211_v1:8:1215586:1218125:1 gene:GSCOC_T00038414001 transcript:CDP13459 gene_biotype:protein_coding transcript_biotype:protein_coding MGENHQQQPVFSANQSHQYNHQQPYIFQETQNFQTIPDFFHYHHHFQALLQQQRRLQDQLQQCVLGQENVVSTSATTAAAAGNNIRPSVSFFPLNFKPPDLNENITSSKNGGLDDDGSEDDLFRRNSAAAAALAMASPHYCWQNQEDSATAIRQPFWKPLCTNISDGNNNSQDKEVRLEMHQNKYYKSSEDTEQMNSSLENSKNRLFGELESICRSASVASEANKTGGASAGNLATTTSTCLPITLDKHNTNAGATAAAAAIGHCHDGSESISGHEAPVAVVSKNMKRKKLKDELSSMAIFFEGLVHQLMDHQEALHSNFMNAIERLDKERREREDAWRRQELEKLEQDMAARARERALASSREASIVSYLEKITGQSIKLPPRNHPSDFQPAMISSGAMNGELSPSTSKVCRDDLSINMTSRRWPKAEVEALIQIRSSLEQKFQRPGIKGPLWEQISNSMASMGFQRSAKRCKEKWENINKYFKKSRENAKQCRKKFKTCQYFDQLDQLHSKSQLANGGSYSCSSSSEHQAKPNNENQLPNPIEGLVPARNFRQGEFYFIPSMNVSEEEEEGEDGNVDYPDEGD >CDP12124 pep chromosome:AUK_PRJEB4211_v1:8:30442512:30452324:-1 gene:GSCOC_T00035516001 transcript:CDP12124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPA1-RELATED 2 [Source:Projected from Arabidopsis thaliana (AT4G11110) UniProtKB/Swiss-Prot;Acc:Q9T014] MDEAVDDDATGVAAADGMHIIHKQREYSLKPSNSSLLESHESDQNEGSSHLLTDILDGKNLDRMGSSEQASVSPHCMNNSGIMVEELTFTNYSGENLAIVGTSDNRDRVQSWKKRLHQKATGSGSAGSNGDAANRDRNWEAESAWEDTGHLFFSGFLDQNQKPSGENYQELLDNFPGNDNKSMLSNAFSSGVTRTKIVSKSGYSEYFIKNTLKGKGIIYKGPLDRGFGDESGNQSYSRSTSTGILIWSGISTFPNPDGVLLREWLRAGQNKANKMENLRIFRQIVKLVDFSHSQGIALKELRPSYFKLLPSNRVIYLGSSVHLSDNVLDHDVPWSEHDQIGKRPLEKNLLPFDHHFAKKQKFGDNMLHSGRLPHSSSSFDFKTASVDISRVDSFLGPNSGSQSSENQNIKVDFKSQSRSSVPQAPDMSPPILTSVNFMSEEKWYSSPEQHNERLLAFSSNIYSLGVLLFELLSSFDSRRSHEAAMLDLRHRILPPEFLSENPKEAGFCLWLLHPESSSRPTAREILQFEVICSIQELGGDELVSSIEEEDAESELLLHFLLSLKEKKQRDASNLVEEIRFIEADVQEVEKRQTRELPACTSLAEESLAAKRNRLLRRGHVSSDFRPRLPLLCDEKMTKNIRQLESAYFSMRSNIQLPRKDMTTRGDKGLLRIQENWSLGKDRGICKTTDCLGGFFTDLCKYARYSNFKVRGVLRNGDMADSANVICSLSFDRDEDYLAAGGVSKKIKIFDFHALFDDSVDIHYPVVEMSNKSKLSCICWNSYIRNYLASTDYDGAVKLWDAATGQGFSEFVEHDKRAWSVDFSWLDPTKFASGSDDHLVKLWSINEACFLPNLRNSLCTIRNNANVCSVQFSAQSTYLLAFSTADYKTYCYDLRNISTPWCILAGHEKAVSYAKFLDNETLVSASTDNTLKIWDLNKTNSNGLSRDACVLTLRGHTNEKNFVGLSVADGYITCGSETNEVFAYYRSLPMPITSLKFGSIDPISGKETDDDNEQFVSSVCWRRKSNMVIAANSSGCIKLLELV >CDP07835 pep chromosome:AUK_PRJEB4211_v1:8:18458061:18462018:-1 gene:GSCOC_T00025282001 transcript:CDP07835 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQASFHSNSLQETVRPLAAFPENIWADRIAPFTPDKEEHEMYEREIEMLKAEVNSMLLATGKTMMERFDFIDKIERLGVSHHFDIEIENQLQEFFNVYTNFGEYSAYDLSSAALQFRLFRQHGFNVSCGIFGQFIDAEGKFKESLCNDTRGLLSLYEASHVRTHGDEILEEALAFTTTHLTSGGPHLDYTLAKQVKYALEQPLHKGIPRYEAWHYISIYGEDESNNKLLLRLAKLGYHLLQMSYKQELCEIISWGKGLESVSKFPYARDRFVECYFWAVGTLYEPQHSLARMTFAKVAALITMIDDIYDAYGTLDELQILTDSAERWDSSGADQLSDYIRASYTTLLKFNKEVGEKLAKKQRTYAFDKYIEEWKQYMTTNLTQSRWFLKKELPSFADYISNGAITIGVYLTASAAFLDMDYASEDVINWMSTMPKHMFACSTHARLINDFGGHKFDKERGSGTALECYMKDYNVSEEVAAKKIREMCEDIWKVMNEECLRPTPIPRDILKMLLNIARVGETINKHRIDGFTQPHAIEEFVRAMLVDFMSV >CDP12175 pep chromosome:AUK_PRJEB4211_v1:8:30825269:30829512:1 gene:GSCOC_T00035580001 transcript:CDP12175 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYCHGDPANVELPNEGHPVKQKSLPLFFSVLCIVVLFCSKFRVAGNDEGKLLAYNESTSNFTECNNKLSNCTSPLAENEIKTYAKCMPVEFNVCVITDNSSIHDDHWKKLEYSPLKISRLEEVISSALGDSKCSCEVKQLEIHEENRSQQLENGTTHLAYPNLEDFRNMTGQSKGSSSPSQLINVTQRLEPDGKPYNYAAASKGAKVVAHNKETNGASNVLGKDHDKYLRNPCSVGGKFFVIELADETLVDAVKIANFEHYSANFKEFELSGSLVYPTETWTSLGTFVAANVKHAQCFKLPEPKWVRYLKLSLLSHYGSEFYCTLSLVEVYGVDAIEQMLEDLIVTSKESPTNALPNRNSTASLRVPDPGSSNNQVDDVVQSVVEPAGKAIDSVKEEVSIDMPRKSATISSSPDPILNVRQQPNGRVHADAAVKILLQRVRSLELNLSVLEEYIKELNRRQGNILPDLEKEMVKISLLLESSKLEIKYLLEWKGTMEKGITDLESWKATIAAQLDLLIQENGLLRLDVEQVTKDQASLEKKEIAVLAISFSFACAAVLQLVLNRSLTIFRGSSPDEIPHASTSSSRGWIVILLSSGLTILIILL >CDP08377 pep chromosome:AUK_PRJEB4211_v1:8:26919635:26923484:-1 gene:GSCOC_T00027189001 transcript:CDP08377 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQLNSTLRKILLVLSCFMLAIGNCGGPIIMRLYFVKGGKRIWLSSWLETGGWPITFIPLTISYFHRRKTEGPNTKLIQMKCPVFIATAVIGLLTGLDDYLYAYGFARLPVSTATIIVATHLGFTAFFAFLLVKQKFTAYSVNAIVLLSVGSGVLAMHTSSDRPKGESTKLYVLGFIMTLAAAALYGLVLPMVELMYIKAKQAITYTLLLEINLVMCFFATVFCTVGMLVNRDFQAMPREAKAYELGEAKYYVVVTWNAIIWQFFFLGVIGVIFCASSLLSAIIIASLLPVTGAIAVVAFDEKFPPEKGVALFLSLWGFVSYFYGAIKHKRLKEEIEDHKINQSQATEMSPIVAP >CDP09876 pep chromosome:AUK_PRJEB4211_v1:8:28488599:28492347:-1 gene:GSCOC_T00030356001 transcript:CDP09876 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGIIPPQLGNMSFLVSLNTSRNNFHGELPHEFARLRRLRVLDLDANNLGGEFPDWFGSLHQLRLLSLNNNSFTGFISPSLANVSKLETLGLSFNYLQGNIPTEIFNISSLESIFFLNNSLSGSIPDNMCQHLQRLKWIDLSGNKLNGQLPSSTYNCSQLQLLDLSSNYFTGFIPKKIGTLKALERLYLSWNSLEGEIPKEMANLTMLKELDVGYNSITGAIPQEISKLRNLERLYLLRNNLTGSIPMQIFNLSQIRIFTLARNKLFGNLPRMGFPNLEELYLAENNFWGPIPDSISNCSKLKIIEFAYNNFAGSIPNSFGDLRLLEILSLGANNLTSDYSSSSSELSWINSLANCKHLRILIVSENPLNGFLPNSIGNLSTSLQQLSAYNCHLRGSIPDEIGNLSSLIILSLFTNQLSGMPPITMKNLENLQGLDLHDNKLSKNSLDYLCVLQNLAVIYLGANQISGSIPACIGNVTSLRYLDLNSNVLSSSVPTTVWNLKDLLKLDLSSNSLSGTLPPEINNLKSAIYINLSINEISGGIPSSIGDMENLQNLSLAHNRLRGSIPASIGKTLSMERLDLSHNYLTGTIPSSLENLRYLVYFNVSYNNLRGEIPSKGPFTNFSGESFISNEALCGAPRFHVPSCPSTSGRQLRAKKLHRTISAALGAFISVAVAIFVGVMYLRCAKKEQIPSEGVLSSVATEERISYYKLLQATDGYDESNLLGTGSFGSVYKGTLDDGRIVAVKVFKIQQEGAFNSFDAECEVLRNLRHRNLTKVISSCSNRDFKALVLEFMPNGSLEKWLYSHNYFLEVMQRLDILIDVACALQYLHYGYSIPVVHCDVKPGNVLLDHDMVAHVTDFGVTKLLGHEDSITYTKTLATLGYLAPEYGLEGLVSTKCDVYSFGITIMEVFTRKSPSDEMFGENLSLKSWVSDSMPDGLVHVVDANLLRPNHEKLDCISSIMKVALNCTRESPRERSNMHDVLADLKKIKSQLLPCSKEGPCPPKNY >CDP09957 pep chromosome:AUK_PRJEB4211_v1:8:27827547:27833418:1 gene:GSCOC_T00030470001 transcript:CDP09957 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPLPGGHDQKSMAFDARKIHCFTVPASFSFIHCSAFFSVASHSSVDMQKSKGLLQEIVIAAGAGGTRRSPTSKEAQVAKQKAKSIHPPIEFHWHLPPPKEKKRSFVSKDSFKAILTNPLDSFRLKKSQSMKTVLEGVRNPKDEQIVDSFRELLSLEGHATGKHYDYHTLLRFLRTRDFNQNRAKEMFLNYLKWREEFGVDAIAKEFKFEEYREVKECYPHGFHGVDKYGRPVYIERLGMVDIDMLLQKTTIERYVKYHVSEQEKTLHWRFPACSLAAKKHIASTTSILDVKNVGTSNFSKPARYLFLEIQKIDSNYYPETLNRLYIVNAGSGFKVLWTAIKAFLEPRTLAKIKVLGSNYLSSLLEVIDPSNLPTFLGGSCTCYDSGGCLFSDKGPWNDPEITQMLHTMIATGEECEDGGMRGQEAEDAYGGDTDNLQVKDVYDVTPATDETSGGLAETEGPDRPSMQKIRAFEDLLTEAKIKIATLEAALEDTKLVLRGLSQHLEELKR >CDP12097 pep chromosome:AUK_PRJEB4211_v1:8:30220549:30222334:-1 gene:GSCOC_T00035479001 transcript:CDP12097 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGEVFSQSSQKWYELEWWKFGDGKSYFLHASGEIFAISHPLAHYLN >CDP13461 pep chromosome:AUK_PRJEB4211_v1:8:1208032:1209106:-1 gene:GSCOC_T00038417001 transcript:CDP13461 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVACELYYTRRAELIRLILSDKNGHLQKPPQNPLVDILTLGVSTLEGEKWTTCRRVINPAFHNEKIQAIHASSSYLTCIDGWKKSVPSDGSSEIDINPEVQSHFADVIARTAFGRSYREGKKIIELRGSERTSCTD >CDP13466 pep chromosome:AUK_PRJEB4211_v1:8:1137708:1144539:-1 gene:GSCOC_T00038423001 transcript:CDP13466 gene_biotype:protein_coding transcript_biotype:protein_coding MENDESEGFGLGLHSWGGTGAGVVAGGANEDGGGGLSDGGNGDLENEGFFEVVNWRHFDQLEKDSCKKGIKEVNLQLGLSDEPSSSSALFSAALDRENCDRDSHNKRPKVQSFSLDWGTHFDNEVSYLPLMCKDGSVDYKPDSGGTIDDEGNDSSTSKAEDLEARMDLTDDLLHMVFSFLDDINLCRASRVCRQWRAASSHEDFWRRLNFENRPISPAQFEDMCQRYPNATDVNIYGTPSIHLLVMRAVSLLRNLEKLTLGKGQIAENFFQALPDCPMLKSLAISDTALGNGIQEILIDHGHLHTLEIVKCRVLRVAIRCPQLQKLSLQRSSMPHAVLNCPLLRELNIASCHKLSDAAIRSAATSCPLLESLDMSNCSCVSDDTLREIALTCENLHILNASYCPNISLESVRLPMLTILKLHSCEGITSASMAAIAHSYMLEVLQLDNCSLLTSVSLDLPRLKNISLVHCRKFIDLNLRSSMLSLITVSNCPSLQRINITSNTLKKLVLQKQESLTSLALQCQSLQEVDLTECESLTNSICDVFSDGGGCPLLKSLILDNCESLTKVGFCSSSLVTLSLAGCRAITSLELKCPYLEQVSLDGCDHLEKASFCPVGLRSLNLGICPKLNVLNVEAPQMALLELKGCGVLSEASIKCPLLTSLDASFCRQRHKFLILLVIKFSCDI >CDP13436 pep chromosome:AUK_PRJEB4211_v1:8:1389550:1392475:-1 gene:GSCOC_T00038382001 transcript:CDP13436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g16610 [Source:Projected from Arabidopsis thaliana (AT3G16610) UniProtKB/Swiss-Prot;Acc:Q9LUS3] MPCPIPKKSVNAVQSYKQIPLYHFNDYLHLLEDCISSKSLTPGKLIHQNLLKNPNFNTKNKSCITLLDKLSKLFITCSKPELAHKTFNSIPSPERQKKGILWNQLIRSYAWEGPFENAIHLYYEMVDIGVKPTKFTYPFVLKACAALQDIDNGVKIHDHVKRDGLASDVYISTALVDLYVKCGCLLEARQVFDEMPERDVVAWNAMISGFSIHGMYGDVIHLVLEMQEVGVSPNSSTFVTILPVIGEANEVIAGKAVHGLSVRRGIDSDVMMGTGLLDMYGKCGWLVYARRIFHAMTFRNEVTWSAMIGACIACDCTQEGLELFEQMRVEDVGSLSPVTLATVIRGTAKLIDLKVGKQIHGYTVKLGSNLVLMVANTLLSMYAKCGILDDATKFFEEMSFKDSVSYSALISGCIQNGSAEEALQIFRAMQLSAVEPILATMMGLLPACSHVAALRHGYSCHAYSIVRGFTADVSLCNALIDMYSKCGKIDVARVVFDKMHKKDLVSWNAMIIGYGIHGLGVEAISVFQNMQVVRQNPDEVTFIGLLSACSHSGLVAEGKHWFQSMSQEFSIKPRIDHYLCIVDLLGRNGLLDEAFNFIQGMPLKPDVRIWNALLSACRVYKKIELVEEISNKIQSLGPESTGNFVLLSNIYSTVGRWDDAADVRVMQKGLGFRKSPGSSWIEVNGVIHAFVGGDRSHSQSAKINEKLLQLQAEMKKLGYMAEPDFVYQDVEDEEKEHILLYHSEKLAVAFGLLNLDEEKAIFVTKNLRVCGDCHTALKFMTLITRRRIILRDMVRFHHFSEGGCSCGDFW >CDP07183 pep chromosome:AUK_PRJEB4211_v1:8:10139289:10153095:-1 gene:GSCOC_T00024344001 transcript:CDP07183 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPGVSAGPINNANNNEAWRAHLALALVQVFNGGYHVITKVALNVGVNQIVFCVFRDLLGLCILAPVAYVREKRVRPPLNKRLLLSFFFLGLTGIFGNQLLFLIGLGYTNPTYAAAIQPAIPVFTFILAVMMGTETVNLLRTEGQAKVGGTIVCVSGAIVMAMFRGPVVFGSKESEFTVQNEISAKGQPEPVGWLMSSFLDYGIDHWHLGVLCLIGNCMCMAAFLAIQAPVLARYPASISVTAFSYAFGALFMVVTAFFTTSESTDWHLTQSEIFAVCYAGVVASAINYGLLTWSNKVLGPSLVALYNPLQPAASAFLSRIFLGSPIYLGSILGGFLIIAGLYVVTWASYREKHGAIGTSPHTSRSEPLIPYQIGNIFRGPSTSIPKIVD >CDP07164 pep chromosome:AUK_PRJEB4211_v1:8:9641000:9647435:-1 gene:GSCOC_T00024307001 transcript:CDP07164 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEGTAVTGDMRSGNMIFEPILEDGVFRFDCSTNDRNAAFPSVSFVNPVDRETPTFNGHRVPSYIPTFECVLGQQIVNIEFPHGTSFYGTGEVFTWNTIAWGYGPGTTSLYQSHPWVLAVLRNGGAIGVLADTTRRCEIDLRKELNMKFIAQTSYPIITFGPFSSPTDVLISLSHAIGTVFMPPKWSLGYHQCRWSYASDARVREIARTFREKNIPCDVIWMDIDYMDGFCCFTFDRASPPSLLVTMDEFTVYYLHSFMEQFPDPKCLVDDLHQNGFKAIWMLDPGIKLEKGYFVYYSGSEKDIWVQTADGKPFVGEVWPGPCVFPDFTQSKARSWWANLVRDFACNGVDGIWNDMNEPNVFQSVTKTMPENNIHRGDDELGGCQIHSHYHNRTMLQSLNCFNDRRPFVLTRAGFVGSQRYAVTWTGDNLSTWEHLHLSISMVLQLMSFLLVMVSNNLVCWRFSINLSFFQMALLHFGLSGQPFSGPDIGGFGGNATPKLFRRWMGVGSLFPFCRGHFEDDTNDHEPWSFGEECEEVCHLALKRKLFIMLKVCFSYISLIYLYK >CDP13050 pep chromosome:AUK_PRJEB4211_v1:8:3672335:3673831:1 gene:GSCOC_T00037808001 transcript:CDP13050 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMIVRTGSGSFPARNWTPPSPSSPRISVSMPARESDKKSGGSSPSISLHTDLSRRNIRRASSEPDMMRSAREGVKSTSRSELGSRALVARIPEEEDESTGSLTVVESPRARGSNAGGWPQRAMPLEEVEFPGGGIGKNWNSGGGGRDEFGTGGNADLSKIGAYYEEMIKSNPSNPLLLRNYGKFLHEVEGDLGRAEEYYGRAILASPGDGEVLSLYGNLIWENERDESRAKCYFDQAVQAAPDDCMVLGSYAHFMWEAEDEDDDDDNDGDIVAAPAAAAVVESF >CDP18796 pep chromosome:AUK_PRJEB4211_v1:8:177820:179811:-1 gene:GSCOC_T00005571001 transcript:CDP18796 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLLDQYDTTKRVQLLVFVAFLQCAAGFYLPGSFPHKYMVGDQLSVKVNSLTSIETEIPYGYYSLPFCKPKEGVKDSAENLGELLMGDRIENSPYRFKMRVNETDVFLCQSGPLSADEFKILTERIDEMYQVNINLDNLPAIRYMTKDGYFLRWTGYPVGTRVQGDYYVFNHLKFTVLIHKYEKNNVAGVIGTGDGAELITTNDQNLTADSPGYMVVGFEVVPCSVQHDSKLLKNLTTYSKYPSPIKCEPDKVSMPVKEAQPLTFSYEVSFVESDIRWPSRWDAYLKMEGARVHWFSILNSLMVIAFLAGIVLVIFLRTIRRDLARYEELDKEAQAQLNEELSGWKLVVGDVFRAPDNAEFLCVAVADGCRILGMAVVTIFFAAMGFMSPASRGALLTGMLLFYMFLGIVAGYVAVWLLKTFKSGELSGWFSVSWRVACFFPGIAFLILTILNFLLWGSHSTGAIPISTFAVLLLLWFCISVPLTLAGGFIGTKSPRLEYPVRTNQIAREIPTQRFPSWLLAFGAGTLPFGTLFIELFFIMSSIWLGRVYYVFGFLLIVFFLLVVVCAEVSLVLTYMNLCVEDWRWWWKSFFASGSVAVYIFLYSINYLVFDLKNLSGPVSAILYLGYSLLMAIAVMLATGAIGFLSSFLFVHRLFSSVKID >CDP13422 pep chromosome:AUK_PRJEB4211_v1:8:1632880:1636160:-1 gene:GSCOC_T00038360001 transcript:CDP13422 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLDQLSTFLREEGRLLGGLRQEVQFIRDELGHMRAFLREAEAKEEDAQPRLQEWIKQVRDAAYDTEDILDEFVARFARHRTTGFYGSVQRIFGSIKNLRARHRVASEIQSIKSRIKSISEGHQRYQSEYGIPAQGSSSLSAVNNTTWRYSRDDALLVEEAKLVGVDHPKKHLISQLLKRDDYQLKVVSVVGMGGLGKTTLVKKVHEDPEVRRHFPVRAWATVSQTCDFQDLLKDLIQQLHKEGKKPVPQSIESMSTSELKKIVKDFLQQAGRYAIVFDDVWDVEFWNTIKFALPESSHGNRVMLTTRKADVASASCTESLGYIYRMEPLSLEDSWTLFCNKIFKGNSCPGHLMDVAKSILDKCEGLPLAILAISGLLALKDVNRIEEWEMVRRSLGVELEGTGKLDRVKRILSLSYTDLPWHLKICLLYTSIYPEDYKIGCLRLVNLWIAERFVEWREGMSIEDIAWGYFSELVNRSLIQVTGVFYEGMPGYCRIHDLLREIIISKSREQNMVTITTGQPTRWPSEKVRRLIVHTSSSNNTQHHQQTQNCCFDHLRSFVTVGSTNPLLSRMLLSKVLESSKLLKVLDLTGQETPEEVPNEIFNLFHLKHLDLCGTRVERVPKAIGKLQHLEYLNLGNTGVRELPMEILKLQKLRVLKVHQRVDASHDDYGHHGFKAPSNMGGLLALEILNCIDVSSGSAILKEIGKLTQLRELGITKLRREDGKELCSSLANLTSLQVLRVASIAKGDDHEIIDLNHHPPPLSSSSSSFPQSLRMLILCGRLEKMPQWVAHLHSLVRIDLDWSRLRGEEDPLESLQHLPNLGEINFCGSYQGEGLCFKAGGFLKLKQLHLKRMEGLRWMRVEEGALPHVQKLFLQQLPSLEELPMGIQHLIQLQRLNLYEMSSQLREKLLENQKEDSEDYRRIAHIPEILIGYYTDDRKWRHRWLWAKKKKT >CDP13004 pep chromosome:AUK_PRJEB4211_v1:8:3041305:3046397:-1 gene:GSCOC_T00037731001 transcript:CDP13004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDAPMGEAGQVGGSGWWTICPRPTLLLFLLGQAIVIKEPSERVSNVITRTVKDEKNDEFKAGGLSCSTSYFSVGGSSSRQPSTEIEKETRRLRRVLANRESARQTIRRRQAMFEELTRKAANLTLENENLKKKKELAMKEYDSLKNTNDYLMEQMAKLVKPKSEEITGESNFNCGGCSVSACSRTPFSPYTPSPILPLHWPSAVQPLDATLFRSRSLCDIANPSLFAMTHEPRPELLSDQRESAMVANPEALLYVLPFPCFFPLHSPTNALRRQPSPQNDGQNQAYSNNQCAAIKTEASNSTVNSSVDDVHQAGFGYPPDGGGNIGGTYPDRMVLMPTPLRCVRPATSLPVGLSTAARRNDTLTKAEVAASSAGQREKGASEEYQKPVVYSRKMSEDATTAAEARRRRKELMKLKIL >CDP14964 pep chromosome:AUK_PRJEB4211_v1:8:8625247:8626277:1 gene:GSCOC_T00042476001 transcript:CDP14964 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLLVLVALIFAFLWPRHFQVRSVIAEVRERFQSHSMRPYRARFLRIYELCLNDNETSFLGMEQVQQFSSSSSVSLQESLMINTLQFNLSVPTPYVFMRRFLKAPSSFYLLGKKIF >CDP12209 pep chromosome:AUK_PRJEB4211_v1:8:31092190:31095617:1 gene:GSCOC_T00035624001 transcript:CDP12209 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASGVLSYSLGMIGLNSGRVGDSSTTTPLVSVSAAVSEAMDFVARFERDFGRTRPNFVAEGFMDALQRSRHAFKLLFVYLHSPDHPDTPVFCDRTLCNEALAAFINENFVAWGGSIRASEGFKMSNSLKASRFPFCAVVMAATNQRIALLQQIEGPQSPEEMINILQRVLEESAPVLVSARLDAEERRNTVRLREEQDAAYRAALEADQARERQRREEQERLEREAAEAERKRKEEEEARERAALEAAEKEAALAKLRQEKALSLGAEPEKGTDVTQVLVRFPAGDRKERRFHSTATIQSLYDYVDSLGCLEMGSYCLVSNFPRTVYGPEKLTLSLKEAGLHPQASLFVELNS >CDP19383 pep chromosome:AUK_PRJEB4211_v1:8:23057641:23061981:-1 gene:GSCOC_T00003997001 transcript:CDP19383 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPNTMWGFRSSTLASIFLLLSVAMNFSVSHVSASKQFQNETDRLALLEFKNQIYDGPFGVLNSWNHSQHHCHWEGVTCSARHQRVIALILRHKQLSGTISPHVGNLSFMRFIQLAENQFHGEIPQEFGRLFRLRALNLSSNAIKGKIPIDQLSYLKKLENFYLHANNLTGEIPSSIGNLSSLTTLTAENKLSGIIPASIFNSSAITVISVGGNSFHGNLPTNIGLTLPNLEALGVGGNNFYGNFPSSITNASGLEILDLPYNKFAGQIPTNLGDLTQVKLLNLGNQLSGAIPQGFGNFVNLIQLGLELNSFSGIIPRDFGKLPNLQGLRLDYNDLSGQIVSTLCNNTNLFYLDLSFNQFEGGNIFDNMHSSLMYIKIDENSFSGSLPPEVGKLIHLVDFNVSHNQFAGDIPISLADCSNLENLFMQANFFQGTIPPNLASWKSIQQLDLSINNLTGPIPKELEKLQYLRYLNLSYNDIEGEIPNTGIFSNASQISLIGNNKLCGGVPELEFPPCPVIKGKNGGKLKVVILRSIVVPATLLVLLTVLYFLVYRKRERRPVAGFSSMPARIDELLRLSYHELHRATSGFSPENLIGSGNFGSVYKGRLEKHGNKLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIVSYCSSIDSRGDEFKALVYEFMENGNLDLWLHPAETTDQATSSRSLNLLQKLNIAIDSFLFLLLPNNNMSRNKISEYGMGLAASTQGDVYSYGILLLEMITGRRPTDDIFVGDLDLHNYVNGALHERVSEIVDPLLFLEGDENSNMTPGGETIYGGREIECIISLLKIGLKCSARLPNDRMHMNEVVRKLHLIKDVFLGVRTTNLATNSRRLNLSQKLNIAIDVASALQYLHNHCEAEIVHCDLKPCNILLDNDLVARVGDFGLAMLLPEPINRSSEQGTSSNICCKRINQLCSHRFFQIFMVKMLLAVELILFFIVRQSTQFCFPL >CDP07844 pep chromosome:AUK_PRJEB4211_v1:8:18612284:18616511:-1 gene:GSCOC_T00025297001 transcript:CDP07844 gene_biotype:protein_coding transcript_biotype:protein_coding MEGANSSPVQILPPTNGNLITILSIDGGGIRGIIPAAILDFLESQLQELDGEDARIADYFDVIAGTSTGGLITAMLTAPNEKNRPLYAAKEIKPFYLGNGPKIFPQKSGILGLIKPVQNFIPGPLYDGKYLHKILKEKLGQTRLRQTLTNVVIPTFDVKYLQPTIFSTYEVKASPLLDALLSDICIGTSAAPTYFPVHYFTNQDDKGNVWDFNLTDGGVAANNPTILAMSQVTKQMIKNDPDFSQMKPTDYARFLVISIGTGSAKIEKKFSAEMVNKWNPLGWVLNGTVPPLVEMFAQASGDMVDYQISVIFQALHSEANYLRIQDDTLTGQSASVDVATKENMEKLGEIGSNLLKKPVSRVNLLTGAVEQVENGGTNEEALKRFAKLLSDERRLRRSKSQTTN >CDP12189 pep chromosome:AUK_PRJEB4211_v1:8:30912544:30916314:-1 gene:GSCOC_T00035598001 transcript:CDP12189 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKKTWSVTYTKHVKQKRKVYQDGFLHFHSSKNKVILYDDCENVLDSRFVKKDDTIASGEVLAFDFYLVNIGDRQQYHDPVSKSNSLPTASKINRTCESFQSPKFGIKKKPLKPLGPKRARLINLSPSQNVIREFKKSEMTKYGSSLGCPETTKSSNIEWQVLYTTQVTQKAKKYHDGFLQLLTCGSSCKQVLLYDETRRLLESRFLKKDEIIRSGESIAFDGHLVDIGECEEDHKPPIDSNIRKTNCNMDGKKKAACGPLHSLNQLPTGENEALARKQMTSKFKGSIDESNIFAEEVSKNPIRAGELLIR >CDP11739 pep chromosome:AUK_PRJEB4211_v1:8:5880525:5883680:-1 gene:GSCOC_T00034217001 transcript:CDP11739 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVGILVDTLNSMIQKERGLLCGVATDMEKLARLLSTIKAVLEDAEQKQFTDKAIQLWFQELNGVAYEIDDVLDDYAAEASRVKYKNSGCFSLMCYPVAGNLMFRHRIGTRMKEILAKFKEIADERIKLGLSDHKRGSYFNASRETGSVVKEPDLVLGRDEEKDEIVRVLVNQVSDNQNVSVLPIVGVGGLGKTTLAQLVFNDQRITEHFKLKLWVWVSEDFDVKSIIKALIESEQGTSTGDLPLNALQIKLQSLLEGERYLIVLDDVWNENRQEWGDLKSVLACGSSGSSIIVTTRKKKVAEIMRTLKTHCLSSLSDDQCWSLFRQWAFDCQEKEERLEAVGKEIVKKCGGVPLAAKALGGFLRFKSEAEWNSVKCSELWNLPEDETGILPALGLSYLNLPVQLRRCFAYCAVFPKGSEIEREEVIHLWMANGLISSNERMEMEVEDVGDAVVTALHHRSLFQAVEKDEFGHVLIFKMHDLVHDLARSVMEAKLGGTESKRTMIKLKHLRHLDLSESHIVELPNSICDLWNLQILNLNYCGKLRSLPKGMRFLRNLRHLCLRGCWSLTHMPSGIGKLTCLRTLSMVVLSGKKGFRLSELRDLNMLRGELTIGYLERIEDKKDAEEACLIEKQSLRELYLVWHSERTLQRYNDEEVLKALKPCPSLQYLSIRGFKGSSLFPAWISTVKKVVVNESAAEYIVGAQESTAAAAAMSLSSLKQLALRDMPNLKGMLGREVQGTPGVFSQLQSLSFAGCPTLTLPLPRMPSLKELCVTYCGPNVAWASISNLTSLNSLHIRETAGLSCFPEEMLQNLSLLESLDLWWVRDLRALPRSLASLTALKTLSITHSPELESLPEEGLRGLASLQELHLDNCPNLLETLPSSIQMMTTLQSLTILHCDLLGLRCERGGEEWHKIKHIPHLQIW >CDP18534 pep chromosome:AUK_PRJEB4211_v1:8:4439570:4444861:-1 gene:GSCOC_T00010770001 transcript:CDP18534 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTVKVSNVSLGASERDLKEFFSFSGDIEYVEMRSDTERSQIAYVTFKDLQGAETAVLLSGATIVDMSVTITPEPDYQLPPAACAPPVMERKTAGGAESAFRKAEDVVSGMLAKGFVLGKDAVNKAKTFDEKHQLTSTASAKVTNFDKKIGLTEKIGIGTSIVNDKVREVDQKLQVSEKAKSAFAAAEQTVSNAGSAIMKNRYVFQGVSWASGAFSRVAKAAGEVGQKTKEKVGMAEEEQRRKMVDDFAQVHLSESPKASESSEHQPSKPPPAQGLIL >CDP09872 pep chromosome:AUK_PRJEB4211_v1:8:28524951:28526553:1 gene:GSCOC_T00030352001 transcript:CDP09872 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSPPKHRNPKVLNSNSAAEGGKGRALLEFPNPRAKSKTPGGIPDATGKLASAAWLSNGRKPTKLLMNVNLQSSLGPVQVVMPQEDSVGDLIKAVVDIYTREKRRPLLTSSDHRIYELHYSQFCLEGLKPEEKLKNLGSRNFFLCQRPSHAVISISSSCSNQAKGGTPFPLTKLMDFLL >CDP14455 pep chromosome:AUK_PRJEB4211_v1:8:14564773:14567992:1 gene:GSCOC_T00040938001 transcript:CDP14455 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVLIAAEESHILRILNSSFQTLDSIKDRISHIFANFFCSKYFSIDLIDTRYRGIDEVKTSYNQNQCELSENGRRQSEPSDGDEGQCSGAVFNVLDTMLKDSLDRLKTMRQSISWDAIGRECCDFEVNYKRDITIIRALCLEGKLGVALSLWYMMIQKSVIPDIITHNYLINGFCKTGNLDKAEWLVKEMLFRGPPPNCATYNTLIKGYCLQKDIERALNLFSTMANSGIGPNRVTCNIIVHALCKKGLLEDARKLLTEILGDTYPKDTSNLITSTILMDGSFKNGDINLALTYLDGIFCGGIPLDTVFYNVAIHGFCLIGDISTAYKYVCEMFKRGFLPDIFSYNTLIGALCKEGRTTEACYIYNVMSRMGVSPDQITYKMIIQGLCFHGDVIKANLFLHAMLDDSVVPQPLIWNVIIDGYGRCGNMQKALSIREQMAAFGVMPNTFTYNALIHSQIKSGNVVEAHSLKKEMVLNGSFPDLVTYNLLLGASCNLGNFHSVLQIYDEIVRGGYYPDIITYTELLKYYCIQGYTEKAEDLLEKLQTLNLQVDHVPFLILMKKYCKMRELDKTFELYQKWLLRMS >CDP18856 pep chromosome:AUK_PRJEB4211_v1:8:17226811:17230642:1 gene:GSCOC_T00005864001 transcript:CDP18856 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLHIPYHLLTFVLFHLALFASSSYSVSFGFYATSCPTAEIIVKNTVREASSLDPTLPGKLLRLVFHDCFVEGCDASILLQGNGTERSDPANSFLGGFSIIDSAKRVLEFFCPGTVSCADILALAARDAVEFAGGPSVLIPTGRRDGRISLASNVRPNIIDTSFTMDQMLKIFSAKGLSLDDLVTLSGAHTIGSAHCNAFMDRFKVDSKGNFTLIDSSLDREYAAQLTKRCPGGEAATSPTTDNDPETPNLFDNQYYKDLLAHKGLFQSDSVLVSDKRTISKVQNFANNQDSFFESWEQSFLRLTSIGVKIDNEGEVRQLCAVVN >CDP13533 pep chromosome:AUK_PRJEB4211_v1:8:576982:580970:1 gene:GSCOC_T00038507001 transcript:CDP13533 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSPNSTASFPRHFADNKICYLRREGKQTRMSFLEASNRKCQVLWVVRSVLDNQKPSIHGNGATESTRILLERLFAQTQKLEEQIGRDPHLPEIAELGLSLGKLESDMQAALAALQKKEEDLRDAEKNVFLELNEVNRAKEELERREEEIAAASSRKERLEEELRQANLVLASQAMVIEDLKLCLRERDQQIFSAQSALSLKEDEISKMSNDLIRKSEEVANAESEFRSKAQLLNEANEVVRRQEVELLELRRSIQAKEEELEFIMTLQQTEQEKLRVMEDSLNKQTTDWLVAQEELKKLEKELSKHSGEANETLEDFRRVRKLLTDVRSELVMSQEAFALSRQRIEEQEQLLQEKLVEVDAQRKSVLSYMTSLKDATVEVESERVKLRVTEARNKELERELAMEKELVTELQKELNKERSSLEQALMDMAFLKEELDCRNIEFVETENLLHIKESELVNARLEIQHLESELISLQTLLEGKDSELVSAQKMFAVVNQEIAELKMLMKNRDDQLMEATSALKEKEDNVQTIQHELDSTKQKFSEAESVLAKIVQLTNDLGDEQCGRLLKETDRKLSSHLFDQSGDNFDWKKKQLETELKLTRESLKAKEMEVLAAQRVLAIKDEELKLVLSKLDNKNMELMQMKEEMNRDGAELRQLYALAQERIGEKSIGDLAIEKLQLEAAQLEVEAATSALQKLSEMSRDLLNKASLSIDADFDISIVPHSSSSDNRDNIDESGCLAKVKTEVANLSALTEQLLKEAGVK >CDP10005 pep chromosome:AUK_PRJEB4211_v1:8:27303304:27305986:-1 gene:GSCOC_T00030536001 transcript:CDP10005 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDKEDLGLSLSLSFPEKRATATNSSSSPLQLNLMPSASPSPFGHLLQQKSSWTDTLPPSDRSMDACRVETRAFLKGIDVNRLPAATADAEEEAGVSSPNSTISSISGKRSEREANGEDHELERASSRGISDEEDGDNSRKKLRLSKDQSAILEESFKEHNTLNPKQKLGLAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCESLTEENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVGAPPPSSSSTAVPISTPVDPRPRALVPAHPRPIPFNPWATTPIQHRPFDALHTRS >CDP12161 pep chromosome:AUK_PRJEB4211_v1:8:30729864:30738477:1 gene:GSCOC_T00035562001 transcript:CDP12161 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHKSHKQSKHSSKEAKDYYSDSDEDVKMKDRNSSKEDGGGSVRVSKDSSHSASGEKRKLSSSSLLKEGKDGKDLSGNGNVDVAEEYVSSKRRKDKAEGGSGGAGDRWHGGGGGDEKDDGGGIEKEFKGESSKIDLEKGAKFKESKGLGDSKSKSSKRHESGGEKEERNVGLLVEKEESRSSSRSESKRKSDKESGRKEGKDSKEMKDKDRGPDREKKGQESRRDSEMRQADGVLAKKQGSQWEDGSEDRQSKRGRDYTEFAIQDEFRNSESEKDLEKRIRRRRDGSGDGDRSQDARESDERHFSSRGDRAKDGKYKDERYKDGSYGDKNQDDVEKDDRHRDVKYRSDADKDVKFRDDGDRDGRHRDDKFREDGEKDNRRREDKYHEDADRDTRRKDDKYRDDGERDGWRRDDKYREDGERENKRRDDRYREDGDKDSRYHEDGERDDRYKDDKYREDNDRYKVEKRREDYERDSRRKDGKQADDVDKEKRLRDAKYKDERASRDRPGDKSDIKQSRDEIHVADLHSRKSSMRDSSPNYDDRARFKDDQGRKRSSDKDDQTDVKSRSTKDQRYDGDKRLTSGARVDLTSERGRSASRNADLELTPSRNRHQGSPSSSSHVTREHYRLSKQDESKYREYAYEDRSRHGVTSAREYSSAAGVTDKISASRVSEKVVQKDDSHLVEFSAERRLRTDARTSPRQLIDKSPSSTSADRRHGSRSDVRRSIDVEESGQRSGGSRDAKDYPGKESRGVRDSAVEMLPGDDLSQVDGDNVSVSSPFARTGNFSVSSKSLLPPPFRTGIDSPLNFGSSEDDSRVKFNARHRRISDSNMGRVQGSPWKAVPSWPSPMANGFIPFQHGPPPVGFPPVMQQFPGPPIYGVRPSMELNHPCVPYHISDAERFPGHGRPMGWRNPVDDSCPPPLHGWDANSAPFGDESHIYGRPDWDHSRTLSGGRGWETGGEMWKSSKSDISMDLPSISEKESRFTGVPVDVALAGHAGQQAQVEQVQPDIPAESIETSKLSGALHKKAPENSKVTTEKALDVPKKSEKDDCNLCRVYLSKLDISADLTDPELYSKCTGLLNVDEKIVSDSEDAKILYVEEALGAKEQVPTPNKKALLFATINDSVFQKAMTLYKKCREEFEVISGENTTLAQLCNKSIIKFDQEMHLSENNKAEQLSSADNEPQPQDAYPNCALEVKQQVFVPKLEEVSPEEYQKLDEPAVADVMEKSDKTIMNAKIKEELVNDMDFQEQVLVNSVSIKDVESSTCLEEVVMPSNSRANELASNSEVQILPDSKCGSLLLADVSSKAREVAIPESIESGSVNLSRIHHSPENTH >CDP19928 pep chromosome:AUK_PRJEB4211_v1:8:22952992:22961261:1 gene:GSCOC_T00006910001 transcript:CDP19928 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKRLSFFSAAENKLSGMIPASIFNTSAIISFSVAANSFHGNLPTNIGLTLPNLQKLYLGGNKFYGNFPTSITNASGLDVLDLPRNNFKGQIPTNLGDLTQLKLLNLAANFFGNNSTGDLDFIASLTNCSNLRILSLSANTFGGNVPKVMANLSHQLTELYMGWNQLSGTIPEGFGHLVNLDILNLEANSLFGLIPRDFAKLQNLQLLSLDQNELSGQIIPSSIGNLSSLTSLSLALNNLEGNLPMEMGLLKRLSFFSAPENKLSGIIPASIFNSSAITVISVGGNSFHGSLPTNIGLTLPNLEALALVHLVNFSVSHNQFAGDIPISLADCSDLENLFMEANFFQGTIPPNLASWKSIQQLDLSSNNLTGPIPKELEKLHSLSHLNLSYNDIEGEIPNTAIFGNASQISLTGNKKLCGGIPELEFPPCPVIKGKNRGKLKVIILLSIALPATLLVVGALLLYFLVHRKRERRMVAGFSSMPLRIDELLRLSYHELHRATSGFSPDNLIGSGNFGAVYKGRLEKYGNKLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIVSYCSSIDSKGDEFKALVYEFMENGNLDLWLHPSETTDQATNSRSLNLLQKLNIAIDVASALQYLHDHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPINTSSEQRTSSTIAIKGSIGYAAPEYGMGLAASTQGDVYSYGILLLEMIIGRRPTDTQLYRRYHHRQQHTINNEDTPKESQWTEDFFCFFGSHEFTFLLSMEDKETEFLCKLTANHLFLAQFEPLRATLRSLRVRSPELARLILQTIVAKGGRFDSVLWSHHSCPSPSLLAFLSTLELLQFNEPVLGLWSFDESSLKLRAEFLLYVQIVSYRVVESVKKHAEILDEENGKCLWVLDRISNVGLSRLRPDMTVVEGEEEREGVAESVEEIGEEEMMVLKREILENAEVFDVLCVNIEKQLGMIQKDDSGMAITLRTEGKRMEVEDRVFRLAQRCVQIVHLDAMKELLDKNELDGVVSHLKYLHLDFGVEDMDYRYVQL >CDP11712 pep chromosome:AUK_PRJEB4211_v1:8:5264094:5265002:1 gene:GSCOC_T00034180001 transcript:CDP11712 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLRALLTLLALFLLFCSSSAHSAAAGVHRRAQGKHGRQQKRPRFNHGSFRGPQKHLLDPTAENPFVFSKLAV >CDP08265 pep chromosome:AUK_PRJEB4211_v1:8:25478202:25479988:1 gene:GSCOC_T00027038001 transcript:CDP08265 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPSSKPNGTAATAATANPTPTNNPPQFPPAKSHVYNPMRHPYRPNPALHARHNHRRCSCRRCFCLCCFWSILVFLCILLLVAIACAALYVLYRPHRPAFSLSSLKVSQFNLTTTPDDVTRLTSKLNFTLSSKNPNKKITFYYNPITINLYLSDQQKVLLANGTFSNFTSQPGDVTILHSTLSTTSQVLDADSVTSLKSDLKRKNGLPMAIEMETKMKVKVEKLNSKKVGIKIVCDGIHGLVPTGKTPAVASTANAKCKVDLQVKIWKFNF >CDP12451 pep chromosome:AUK_PRJEB4211_v1:8:14877844:14879670:1 gene:GSCOC_T00036023001 transcript:CDP12451 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPLRNTRIRNQMDRRCPDAPRRCSNCLQPGHTAPNCPVLYLQSEHRFAAIFQGTGVDLDVRRCDRRFFQPLIDLDERVAQYIDAAGFYGIRRAGYLTVDHDLINALVERWRQETHNFHLPVMGEATVTLQDVEVLWGLRVDVLPVTLVHRRRNLAERKQLIYDILGYWPEDNMLNHDRLKITSISQRLSTPLPANASDVMVRQYARMYILILLGGLLFADSGQNLVSLNWLAYVRDLDAMRQYSWGAATLACLYSQMCHASRVSAITTGGPFLLLQFWAWERIPVIRPDVLPFSEMGDFPRGGRWAAKRTGIDPSSQSSIHYREQLALLRMDQFIWMPYTDDILARLPDYCKRGERIWRARLPLIFWYIIEFHCPDRVMRQFGMRQEVPEPVDTNRGGLHQLDLSGYPGRNWADFHKGWIGYWNARATAEVPGVPTTTFRPSNAYLEWYHNHTILYITPPIQQHTQHGQMLHGVSGQFEYLVSYLSP >CDP07881 pep chromosome:AUK_PRJEB4211_v1:8:19560271:19567748:1 gene:GSCOC_T00025366001 transcript:CDP07881 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLTGSRPHYALRKLAQKHGPLMHLQLGEISTIVVSSPRLAKEIMKTHDLDFANRAEFLACKIVCYNCSDIVCAPYGEYWRQMRKICTLELLSTKNVRSFGCIRLDEAKHLISSIQAHAAAGELINLTDHLSTYTSSMVLTAAFRKVSKVHQMTFLRLLNMVRRLGRAVTVSDLFPSYRILHPLSRVKPKLMKVHQRIDKIFDTIIAEHVENLKRTKRGMGESGNEDLVDVLLRVKESGDLTFPVTNNNIKAVLTDIFTGGTETSSTTVEWAMSEMIRNPNVMATAQNEIRKAFMGMKTIEETDVEKLPYLKLVIKETLRLHPPIPLLVPRGCREQCEIDGYIVPQLIRLANELFNIQLKIGIILETSLELPPSPWKLPLIGNMHRLIGSPPQHALRRLAQKHGSLMHLQLGEISSIVISSPRLAEEVMKNHDLAFASRAEILVTKIGRYNCSDVVSSPYGEYWRQMRKICTLELLSAKRVRSFGSVRKDEAFHLISSIKALVGTGEQINLSELVSLYTSSVLCRAAFGKVSKDDQNAFLQLIKESLLLSSAFDFSDLFPSLKILHPLLSVKSKMVHVHHMIDMMLDGIIDQHIDDQGRPKLASGESYQEDLIDVLLRVKDGDNLQVPITKDNIKAVLIDMFAGGTETSSTTVEWAMAEMIRSPDVMAKAQNEIRKALKGKKAVEETDIQQARYLKLVIKETLRLHPPLPLLVPRECREECELHGYVIPVKTRVMINAWAIGRDPEYWDDADGFKPERFENSAADFSGTHFEYLPFGAGRRMCPGISFGLANVELPLALLLYHFDWQLPNDLNSNDLDMTETIGITASRKNNLWLQARFADEL >CDP09991 pep chromosome:AUK_PRJEB4211_v1:8:27504344:27513082:1 gene:GSCOC_T00030516001 transcript:CDP09991 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHSYGMDAQEKATELETTILAAASPPQIAAACSGVEAFLQKHTPDQSRWFFSITFPTLICKTFGFDESSLPSKSQSPNGWIDIAMLSGDSELAGKIFSLLSPNGVLMSSISAVDRLSLVKYVFPIERLPEWVRYMLQNKRDCQVLMDLCPLFRNKVKEDSLKGSPCQVQLNVLEYFWFWFVYYPVCKGSSDGSDGARVRRSRKFRLENWAYSIPGLCSSKRGMEQKNDVNLYCRLLYAYLRAFVPMVDLSAHQPYRSSLLHYSWGHDTSVIERAEFLVNSLIHFWLVDNDFSPLPMSLCKSYGVTLPFRSIFGETPPASGLGEVITLFVKYLTLNSVLCIEGHDKFGCNGYPPRKVSGSVDVINSKEITLDFTSNNSWNIWVQRPLYRFVLRTFLFCPVESSIKNVSQVFTVWVTYMEPWKVNLQEFAELDATLGMPQKSTSEVTQTSENGYSTAWQTYVLANYLYYSSLVMHFFGFAHKFLHTDPEVIIQMVSKVINLLTSSTELMDLIKIMDTAYHSKPTGSSKLVPNALYSFVPAIREQLQDWENGLCETAVDGSFLHDNWNKDLRLFSGGEDGGQQLLQLFVLRAESELQAISGDNVAQSLKCLDSLKAQLSCLFGGPILGSSSRTPEKREHVHTRDEMFRPRSFGHHMVSEVKYKGDWMKRPISDDEIAWLAKLLVDLSAWLNESLGLNQVNSSQGWPAWSYVDLSGNAGNVSGFMDTMKIVFLSLFSWFTALGKAGLMFMRKRGLKVNLRILASKKIVMVLLMVAAFSISQHVYYHFISISNSTQYVKKFWTKISFYFITLLYCYCHFICLDYISPPKKKCLFCRKGAWTAEEDRKLAEYIETHGAKKWKTVATKSGLNRCGESCRLRWLNYLRPNIKKGNISPDEEDLIVRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKIYSTKITDGTTGAPAAPPPTTMAKVQVEVQATHHHESQADNTELSFGVGDSLDDSVEGTSAMDCIIKFLELDENPPPPGPKPLR >CDP18427 pep chromosome:AUK_PRJEB4211_v1:8:22228949:22231895:1 gene:GSCOC_T00007241001 transcript:CDP18427 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPEKPHFFKPILPGFKNGLKIPVSFSKYLCGERSNYVLLRRGVREWRVKMSGQSLEEGWRKFAVENNLEVGDFVAFKHEGNMVFDVRVFDPSHCEREYPWIHDENEESTPTSRKASSHDDEEPNKPCFVSSIKPYCIKNSILHIPLGFARANNLRNRSCEVILRDPQQKSWQVEMVPKASHVCFKRGWSAFFKANGLKLGDTFKIELVENGKIPVLDFVPCLTSSNKEPHLQRPTPPQPRPFSTSNNDHPNSFVSTIKAYSIKYSILHLPMKFARSTGLIKLNGEMIVRDERRRQWSVRLQQKGKHVLISSGWSKFRTSNGLKEGDTYKFELIKKGQRPLVNFYCKYCI >CDP08345 pep chromosome:AUK_PRJEB4211_v1:8:26247695:26251229:-1 gene:GSCOC_T00027147001 transcript:CDP08345 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFTSFFDSQSASRSGWSYESLKNFRQISPVVQNHLKQVYLTLCCALVASAVGAYLHILWNIGGFLTTVGCMGSMMWLLSTPPFEEHKRLSLLMAAAAFEGASIGPLIELAISFDPSILVSAVIGCAIAFGCFSAAAMLARRREYLYLAGLLSSGVSILFWLHFASSIFGGSLALFKFELYFGLLVFVGYIVVDTQDIIEKAHYGDLDYVKHSLTLFVDFVAVFVRVLIIMLKNASEKEEKKRKRRH >CDP13036 pep chromosome:AUK_PRJEB4211_v1:8:3507634:3508386:-1 gene:GSCOC_T00037788001 transcript:CDP13036 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYMDEEEVFKCPQHPSKRRRNGICPTCLRDRLITLCPDCANVRPCACCPATTTSSSSSSSSSTFSFFSSASSSRRGGRVSDLLDKESAFRRSRSVGVPFLFTRFAREKQAENSPARKKSRTAALLAKFGLNSSKSKKREEFEENKENENSKVAAAAAPAEQKFANSCNSDGNNECRQSCSNASIEEFANMMMRSRSVSVGMMNHSGERRHSSSSASGKGKGWSFPSPMKVFRPKTPKVANDRSPMCRG >CDP12998 pep chromosome:AUK_PRJEB4211_v1:8:2984636:2987020:1 gene:GSCOC_T00037725001 transcript:CDP12998 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPVPFTPPATGAQSQLVCSGCRNLLVYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTINLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSIGASASTIEQKFNS >CDP12993 pep chromosome:AUK_PRJEB4211_v1:8:2934309:2937063:1 gene:GSCOC_T00037718001 transcript:CDP12993 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC011 [Source:Projected from Arabidopsis thaliana (AT1G32510) UniProtKB/TrEMBL;Acc:A0A178WL27] MGGASLPPGFRFHPTDEELVGYYLKRKTDGLEIELEVIPVIDLYKFDPWELPEKSFLPKRDMEWFFFCPRDKKYPNGSRTNRATRAGYWKATGKDRKVVCQSAVIGYRKTLVFYRGRAPLGDRTDWVMHEYRLSDDVSQGSQVFKGPYALCRVIKKNEQKTNDVHGDSTLKQVGSSSHIGNVAPAATISNDPVVISEEIPMQASHIESNNSTPIASPHQASVMGEYDHSSTHSSMGMDPSSNWVSPDMILDSSKEYRQGQRLSGYYCPQFEFQNSTSWQTYDPYEVSPSSSNSNFREEGETSDDFTRYGCMSPYSVHGSYMGYYGNEDMLYDSFGPPNALNPNPF >CDP13044 pep chromosome:AUK_PRJEB4211_v1:8:3603575:3606510:-1 gene:GSCOC_T00037797001 transcript:CDP13044 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALSSNELLNFIIYDTISATPFSSNDSNNSCHENSTNFLLDNGGLKTDNCGGAEAGGGLENCSCMPPPPPPVQSQRQQNSLAVQGRKKRRRRQRVCKNKEEAETQRMTHIAVERNRRKQMNEHLAVLRALMPESYVQRGDQASIVGGAIEFVKELEHHLQSLEAQRFLLLQQHGGANDKTTTTMAAAIATATGNKCFPPAPTPFAQFFAYPQYTCSAQLPNKYTSKSKAAIADIEVTLIETHANVRILSRRRVRQLSKMVTGLQTLYLTILHLNITTLDPLVLYSISAKVEEGCLLNSADDIAGAVHHILRIIEEEAAPCCQA >CDP08293 pep chromosome:AUK_PRJEB4211_v1:8:25752768:25756928:-1 gene:GSCOC_T00027081001 transcript:CDP08293 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEIQMAPHSGTSSDESTTNNLTAVAIDRDKNSASAVKWAVDHLLLTDHSIILIHVKIRNPQPQSLGADGEVANAYNASGITDEQQLFKPSRLYCARKGIKVKEVLLEGVDVSKAILDYISDNHIKNIVLGASSRNPIARKFWTHDVPAIVNKAAPDFCSVYVIAKGKKQSFRPAVRSKSTPVTPKQESARNQSPIRFNHSEPEDLSRYMALSRREEQKGVGPAKVWPPAALPFGSMENIDISFVAKSNVPSRNSLSTSDSDSTAPFHFGPMEAIIKNVDFANMLDAANSGSSGSSSLEAEMNRLKLELKQTLEMYNTACKEALSAKQKAKELDRWKMEEALKIEQARHSGESAFAIVEMEKAKRRAAIEAAEKAQKLADIEAQRSKHAEEKAKREAEGKSRALKILSQNDCRCRKYTIEDIEIATNKFSSSSKIGEGGYGPVYKGKLDHTPVAIKILRPDAAQGRKQFQQEVEVLSSIRHPNMVLLLGACPEYGCLVYEYMNYGSLEDRLFRKGNTPPIPWAFRFKIAADIATGLLFLHQTKPEPLVHRDLKPANILLDQNFVCKISDVGLARLVPASVADTVTQYHMTSAAGTFCYIDPEYQHTGKLGTKSDIYSLGVLLLQIITARPPMGLTHHVERAIEEGRFADLLDPTVPEWPVEDALSFAKLALQCVELRKKDRPDLGSVIVPELSRLKKLGMNSMFNDS >CDP12949 pep chromosome:AUK_PRJEB4211_v1:8:2390829:2391657:-1 gene:GSCOC_T00037657001 transcript:CDP12949 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYHLCSWGIVLQILACALYNNWWPMLTVIMYVVLPMPLIFLAGSDTSSLFSESQSG >CDP18798 pep chromosome:AUK_PRJEB4211_v1:8:189938:195893:-1 gene:GSCOC_T00005574001 transcript:CDP18798 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGLLTPSLSTSFLLPFFFFPSLIQTGVDFRKSEQSLSDLVLFLNSISDSFVREPENQDLENASFQILTEIRLFITSSSVDQQAVIDALSFELPKTAARFACVSPRCMEVAQSIVDCFTDKCSPRDMLSILCEAIGSPRDAFTVPSYFAPLLSGFPKVFVSIRRRQFEQVKAAVPVILNALKAIVLDSDDKDADLGDIFHKANCIADSMKAVCLELEGKDNEKLCALLGLFVLQITALASMGIEYRLAHSSPLVLKLSHFLQSCGLSYLGLIMGTDVEKLLGIAVGDGGDDLVGCFSDIWLGASILVIWGYKSNEVALAAGADLVALKDELQSSRIKRWHAVGMLKHVLLCLYLPLELKKDAINFLLSIMYAKLSQMPNDENEDYSTYMPTLCSALQAIQVVIMYATDVILRKNAFAAFKELLADCPTSLRFDILRALIKDGDSSSMIAVLLDCVREEVRLESSKSPPASKVSKAESEGSQGTIFWSSSALELVELVLRPPGGGPPSLPEHSDAVRLSLPPLLVLIPETGKSNNSGVLSRDSLVKAHSEWLLPLRTLVTRMMAEAQCDSDELALCGLNPVEVVLYRCIELVEQLL >CDP12023 pep chromosome:AUK_PRJEB4211_v1:8:29611747:29615532:1 gene:GSCOC_T00035379001 transcript:CDP12023 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFDKYCIVDGSPTTVLPSPRCHSKVEKRKSKGYPRHGNDLLTVNEDFSDISFHRYRSVSCKNTSSRTTPLGYDEVRKRGSVYQSSKEVRLMRKTAATEGRTKIEFSQSSATALSFGILDSLCGSDEESSQVEQKRSSVMSQNSDFSTAAICKSDGSSELSFELDGRDDQSKLALPHSPARSESDSSRTSSPMTRFGPVRKVFDPFVKSKSQRSPLSASGETISRNPTGLVSISRSKTSRKSLLHDFSNTGQRMEFQSWSMKDNNNQVVQSTAAHLQGILKVNKKHECPIFEFSTKFPEDFFVARTWKVGNALNWIYTFHSVNERRKSNASGRGSKESHRVSSMVGQMQVSCYLSAELKEAGAFDNSMMTEFVLYDMLHPRKSVSSQDNCCFIEVAEAPKASDENSFVGSHNLDAGSVKTKIKGQAKHGCDSGHVESSMTYPLPAAELHPHLQIGAIVVQVPYEKRESLKFKSSDKKMDLPLLNFLDHSGGEHEKELVPDSVSPVKMNVVIPSGNHSLPTSESHGPSPLMDRWRLGGGCDCGGWDMACPLHIFSNLRIRMADSHLLMDNRQPLKLFFQGKKDTTPALTMAVMQDGQYAVDFHAQLSTLQAFSICVAVLHIMESSVSSRQEENKQLLQSNSLRLFIEEEVKNLIDAVTEEDKCKGNKKMAEVLPSFIVNPPFSPIARV >CDP12965 pep chromosome:AUK_PRJEB4211_v1:8:2648391:2651963:-1 gene:GSCOC_T00037677001 transcript:CDP12965 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLKRLEVTELFSTNPDKFFLRKTLTHNWLLNCIFQFRASTQDYSFIWQDSYYELLQATNGYDESNLLGTGSFGSVYKGILANGMSVAIKVFNLPLEYSFKSFTGESEVLHSLRHKNLTKVIGVCSNDGFKVLILDYLPNGSLDLRNDVASALEYLHHSYSIPVVHCDLKPNNVLLNEDMVACVTNFGVAKILAGEKNTAYTKSLATFGYIALEVFARLKHIDEMFSDTCLRNWVKSRVPNAVSQVVDATLLKPGYQHFTEKLESLTSIV >CDP11711 pep chromosome:AUK_PRJEB4211_v1:8:5239382:5245576:-1 gene:GSCOC_T00034179001 transcript:CDP11711 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLETLRNVHPELSEWYDSLADLYQRKLWHQLTQKLEQFVALSVFQAGDALIQLYHNFIADFETKINLLKLAHFAVIVSRQYSEREAAISYLEGVIEKLRNTRELRIEEPILYIKMQKALLKLQQGDQKECKKLLDEGKSTLDSMTDIDPSVYASYYWVSSQYYKARQEFAEFYKSALLFLAYTSVETLSDSFKLDLAFDLSLSALLGENIYNFGELLAHPIIKSLLGTKVEWLYYILEAFNTGDLVRYQELCNVHRAALSSQPALVQNEKKLMEKINILCLMEIIFSRPSEDRTIPLSLIAERTKLSVEDVEFLLMKSLSVHLIEGVIDQVDGLVHVTWVQPRVLGIPQIKSLRDRLDNWVGKVHTALVSVEAETPDLVAA >CDP11767 pep chromosome:AUK_PRJEB4211_v1:8:6414224:6426267:1 gene:GSCOC_T00034262001 transcript:CDP11767 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQRTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTPENCQTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIESQLDDESLFPQKLGAPFPANFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTHEFQLIDKKELAPLHELIESIIVPY >CDP09951 pep chromosome:AUK_PRJEB4211_v1:8:27874691:27875930:1 gene:GSCOC_T00030461001 transcript:CDP09951 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLLMFPSISLVKKKKACMLSPFLNLKASSHLFPIGPLHVNFFFIPDCSYYSTYGHVEKLAHEIKKGADSVEGVEAKLWQVPETLSEEILGKLGAPPKSDVPVITPHELPEADAFIFGFPTRFGMMAAQFKAFMDSTGFLWGAQKLAGKPAGIFYSTASQGGGQETTPLTAVTQLVHHGLIFVPIGYTAGAGMFEVEKVKGGSPYGAGTFAGDGSRQPTELELQIAFHQGKYIAGIAKKFKGSA >CDP09816 pep chromosome:AUK_PRJEB4211_v1:8:28985141:28991847:-1 gene:GSCOC_T00030286001 transcript:CDP09816 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTKRFAASDLNPLPNDSILSKRILAGSSLDTQRAEPSQRQIMTASPPLDMQRAESSRQHVRALNKQFASWIQTQLEKHPDELWEDGVQDYLNHAKTIMEKFDDVVSWLKANAAKNENNSITGLDTIQKKPVSESKEQANNFLFGKPGIPPASTTASFGTSWGSGPVFNSSSPFSFGIQGSVGNKYSVSMNNDASNDTVEEEDVEQPSSPSVKKTEEEGIIVVHEVNCKVYVKSSDPTDKDAWKDKGSGQLSIKCKEGVDKGTRESKPIIVVRNEVGKVLLNASLYTGIKTNLQRRSIIAIFHTLADGDNKETVVAQTFLIRTKTDEERDQLAEVIKEYAPAA >CDP08333 pep chromosome:AUK_PRJEB4211_v1:8:26109063:26122222:1 gene:GSCOC_T00027135001 transcript:CDP08333 gene_biotype:protein_coding transcript_biotype:protein_coding MDPILNSLLSSFRRVPPAAIPAMLDCILASMSSSPSSLFASLLNEFPNITKDRMVGSNERDAERDYCIVSYVAALCHLLKRSVWIFYAGSITSEIELFVCRILIPLLKLARSSHLDIFNEVASMFFDAVLEMNSWDAVEATLVPFLFRLIGLSMGMIQSQESAMFEWSSCPILQDSDDHQPRLHCFQQCSDKPTNELNRDLIQSQFDYFPLPISCYILALTLDASLQCKHVVDCTCSLQVFAKKLIWDLCNLTFQMLLHSVEHRSFALSILLPSILRVLASHCAFEVSSGVKSHILTRKHLLEEIWKCCKKLFSMGLSERRDAYTILSLSLSTGAFNRRTKDGNVIVTRETFDLTVDNDFWDEIKRGLVDKETLTRKQSLHVLKSILNLRAESCQYPGLSHTITDRKSLDLCGMTKRERWAEEEAKSLGVGKLYNLNDHHLDNRQRWEAFILLYEMLEEYGTHLVEAAWHHQMNLLLQSSLSLENSVSSHGGDFRQNWMENLEEIYEWLAVLWERGFCHDNPQVRCLIMQSFLGVPWKDYGSNVRLVPEEFILGPLMQGLNDPVHHQDFGLKRVYSSCTIDAAASFLCQYCFYLDPRKQIKFLIDLASTAKKHSFGRPGLMCLVECIASAACGVEQHNNPRVAGFNDASLNMIQVKSGQGSSWKDDKVDLLDFLRYIIESSKQHFNANYRLQVCERMLDAATSVMAAVDIPLEILLHFISNLPREFTDFGGPLRFKIQKWLIKSDDKHCTASCRIPNLELLTALDGFPKKFTYSYPVEARFTFDDADIDKWEYEAKRWVRVIFLVSKQENLETLFTFLRDHGKNSVASISRWCAQFTPATSLNSSLTFLWSFCWKIITTPSGRSETEAEIRLGSYEALAHILKELVSVFSPLSFDVVVDDGKSCVSEADDRPTLDTLVQTFLQCVNNLIETGNLVRTRRAILINWKWICIECLLLIPKYVLEKGVYLRSCNIFLSDVTANWIFSDLVDSLENAGEVSVLPLLRSVRLIMELFASDRKGLVVTSSDGMNTRMMWDLVKSSWILHVSCNKRRVAPIAALLSSVLHYSVFGDECMHEIDGAPGPFKWFIEKILEEGTKSPRTIRLAALHLTGLLLANPMTIKYYLRELKLLTLYGSVAFDEDFEAELTENQDAKSEVSMLAQSPDPELTEEFINTELYARVSVAVLFYKLADMADMVGFCNGGRNSLAALASGKIFLLELLQSVLNDKDLAKELYKKHSSIHRRKIRAWQMICILSRFVYEDIAEEVMCSLHKALQRNNLPSVRQYQETFAIHIYLKFPSLVGQQLVPQLHNYDVRPQALSSYVFIAANVILHAGEEYQSGHLDELLPPTMPLLTSHHHTLRGFTQLLVYQVLHKLLPGIDAGPSIVMPLEKRCLEDLKSYLTENPDCARLRASMEGYLDAFDPKSSVTPAGIFASRVEEQEFECVPKTLMDQVTCFLNDTRDELRCSMARDAAVIKYEGLPSGDYSNSPKEAKNSNQEQPSFHLQEDVSLDFQKKFTLSDQETQTTAVFSIDNSKSLKLLAAIEKEDELLDQLLHSRNLAMQKLKARRQQFILVASLVDRIPNLAGLARTCEVFRAAGLAIADKNILSDKQFQLISVTAEKWVPIIEVPVSSMKNFLEKKKKEGFAILGLEQTANSKPLDQYAFPKRTVLVLGREKEGIPAEIIHVLDACIEIPQMGVIRSLNVHVSGAIALWEYTRQQSPVLLTHWKWCKLSSHTAATAAGNHQIPVIANDFNYGYASGMAPGARIAIYKALYAFGGYMSDVVAAVDQAVEDGVDILNLSIGPSKVPSGPSAFLNLLEMELLFATKAGVLVVQAAGNGGPSSSSMLSFSPWITSVAASITDRRYNSSVRLGNGQSFSGTGLAPPTVGEVFFPLAAAADVGQINSTDGLLTIDSCQSSEQFVRSLVQGKIVICTYTLDFDSEASGIATVADTMSKVGAAGFILTRNPDIGFEQIKGAAVTLQVPGVILNNMEASSALWEYYNANTIRSRNGRAIGFRATARILDGRRAIYTGQAPTVASYSSRGPDVNNELLENADVLKPNIMAPGSSIWAAWSPNSEGDAHIKGQIFALLSGTSMATPHIAGIAALIKQKHPHWNPSAIISAMTTTADIADQTGAPILAQKTKQISAATPFDFGGGAINPSRAIDPGLVFNINFMQYVKFLCSVPGVDDMSVRQAVGISCPIQKTWCSDLNTPSVTVSKLIGSRRILRKVTNVGNADEKYMLIVKEPLGVKVTVIPQVFKISVNASRRITIQFNATEATNAYTFGEILMLGEKKHVVRVPMAVYVSSTIGC >CDP09772 pep chromosome:AUK_PRJEB4211_v1:8:29341917:29343322:-1 gene:GSCOC_T00030232001 transcript:CDP09772 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSGTQQKCKACDKTVHFAEMMSADGVPYHNTCFRCTHCNGRLTMSNYSKSPLDGSLYCKPHFEQLLRENGGFANKLANSGKPNGLSRAPSKVSTLFSGTQEKCNVCKKTVYPLEKVTVEGEFYHKSCFRCAHGGCFLNPSSYAALDGILYCKPHFSQLFKQKGCYNHLTKTTSLKKSGSEAKEQESSAEPKEEEAENAQ >CDP09968 pep chromosome:AUK_PRJEB4211_v1:8:27727905:27731692:-1 gene:GSCOC_T00030487001 transcript:CDP09968 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREDSDIDDDFSDLYKEYTGPGVSKPNTAAQERTTTNKRSHHGSDEEEDARDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPGREPHVKALFTEKVVSQLENDIGCKIKIEEKFIIVSGKDRLILKKGVDAVHKIKEEGEKKGSSSSQLSRSRSPERRSPVGSRFARSDSQRSNPSPQGASHFQNRFGRQDKAVEDIVRQNLQKLSRGSPQAYGNDGVRGRSSHSRSPARHPYQSNAYSSYDGHSQGRVGYRTDGWDAERRSSDIQLARKVEYPAFPQTLEEFELEYKKEAMDLERMRDKEEAEENYRHHETIREIRENYMKKLALLRETHAKQWEEFLQHDAQKRQQQVQQHMSASGFGGHKQSSYSDYENSVGNSHYSGSNMPMESRGRYPKPVEDYPSLRSHNTYGDFQRQRRDDYGKAYNRY >CDP09909 pep chromosome:AUK_PRJEB4211_v1:8:28267869:28271190:-1 gene:GSCOC_T00030398001 transcript:CDP09909 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYAIFWCLSMVLLQMVTTPSIAMGNRPRFPDQAWKSLSPPPADDPEPEKCRGKTWAVLVAGSNEWYNYRHQADVCHAYQILKKGGLKDEHIVAFMYDDIANNQENPKPGVIINRPHGDDVYKGVLKDYTGKHANTQNFYAVLLGNKMALTGGSGKVVNSTNEDRIFIFYSDHGGAGVLGMPSGDPLYANDFNEVLKKKHASGTYKEMVIYVEACESGSIFEGLLPQNLSIYATTAANAREDSYATYCSFPDTATGEPEVFPCLGDLYSVSWMEDSQFICSESHNLKKETIKQQFKKVKERTSNNHTYIDGSHVTEYGNTRMHAEKLYLYHGFNPATESFPPNDRDSPVHMAVVEQRSADLFFLRNRYKRMKDGSAEKVKLLEQITNMENYRKLLDGSVDIIGASLFGQERSPSVLTHVRAPGLPVVDDWECLKSMVRVFETHCGPLTQYGMKHMRAFANLCNDRITPSAMEAACKSACKGRDLGRSDPAKRHINA >CDP08349 pep chromosome:AUK_PRJEB4211_v1:8:26301108:26305595:1 gene:GSCOC_T00027152001 transcript:CDP08349 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDGKTDHHSRRGNPPGISVIRRIRGKDWSFNTYSYVVLLVTFMAYTCYHASRKPSSIVKSVLYPEPNRINTTGTGYDSPWPIGDLFVKEELVSYNDVLKRGKHSKGWEPFNDEDGTLKLGAIDVAFLACYAIAMYFAGHVADSWDLRLFLSTGMIGSGIFVGLFGVGYFCNIHVFWFYLVMQMLAGLFQSTGWPSVVAVVGNWFGKKRRGLIMGIWNAHTSVGNICGSLLAASVLEYGWGWSFIVPGGFIFLGGIMVYLFLPAYPEDVGFSSPNSSAVKPVGVPEDQAVEVVTVPNDVEAQRGDEIIVVNEQSNVKPQIASTNRKSIGIVGAWRIPGVQQFAFCLFLAKLVAYTFLYWLPFYLSQTAIGGEYMSVKSAGNLSTLFDVGGIVGGILAGYISDKLKARATTATSFMFVAIPSLILYRIYGSISKNINIFLMIIAGLCVNGPYALITTAVSADLGTHSSLRGDSRALATVTALIDGTGSLGAALGPLLTGFLSKFGWDKVFGMLIICAAGAGLCLSRLVVNELHEKFSHRAVSARDNVEALASQPLLSGQR >CDP12185 pep chromosome:AUK_PRJEB4211_v1:8:30893873:30895703:-1 gene:GSCOC_T00035593001 transcript:CDP12185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase RHA4A [Source:Projected from Arabidopsis thaliana (AT4G24015) UniProtKB/Swiss-Prot;Acc:Q84TF5] MGFDPQSPSPSHFYSQELQLKLYQAFIFSIPILFSIILFLLFYLFYLKKRVSTISSPSANLTESSNQAALVHSSGTDVEILKEKLPVILFDEHSKAKERLCCVCLGEFEVKEELHQLPSCKHVFHTECIRHWLHSNSTCPLCRCSVVISTKNSNPEPPASGNNLPTPDAQNSNQSPRVFYAEQQEQLSIVVTDVEDFTGEHRIVPKEGSSGSSDSVCIDNEQTNLHAESVIIRVQGSNT >CDP12254 pep chromosome:AUK_PRJEB4211_v1:8:31416385:31419274:1 gene:GSCOC_T00035684001 transcript:CDP12254 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQPGGGGGGGGGGYYEEDSSRATRPFSQPPSAAAATPQHHHHQYQQQRDLLLPPSYNHHQPRGPWDDRRVSFRGYSCGGASQLDLMAMDSSSSPTTNGSSCSNIVEDADEPRVGGGNTTSASLRIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSTNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGVGELGKDRLFIDWRRRPDAPDHPQLPPSINPHPSHPHHLSNNYAYGNVMTGNPCSGPFIYLRSAAAARPHPVNLGIGTEDGEGEEPMVFESVPLVQGKAAAKRLRLFGVNMDCPISESSSEDQEDDNCDILVLPSTAVAHPTMPSQFPHLPYNYASRPPLHTMPSNNKSSSSDSKGKASMSLDLDIYLDGVAPGTRE >CDP07886 pep chromosome:AUK_PRJEB4211_v1:8:19778834:19780441:1 gene:GSCOC_T00025373001 transcript:CDP07886 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFNFIALFLLVAFISTLIKQRNGSKAAQKLPPSPWKLPLIGNLHHLIGSPPHHALRNLSKKHGPLMHFQLGEVSSIVVSSSRLAKEVLKTHDLEFGNRAEFLATKILCYDYGDIASAPYGDYWRQMRKIYEALKLMSSIKTLAGAGKIVNLKEKLASYTSSMVCRAAFGKVSKDDHKAFLQLVMEALPLSSAFEISDLFPSLKILHPLFSAKTKLMKVHHKMDVILDKIIDQHIDNLARTRMAMGESGHEDLTDVLLRVKESGDLQFPITKNNVKAVLFDMFLGGTETSSTTLEWAMSELIKNPNVMTKVQNEVRKAFTGKKTIEENEIQQLQYLKLVIKETLRLHPPAPLSVPKQCREQCEIDGYSIPVKTRLFVNTWAIARDPEYWDDPESFKPERFENSSIDFTGNHFELLPFGSGRRICPGISFGIANVELPLTLLLYHFDWRLPNGLSPDDLDMIESVGIATTRKNDLCLLATLYDP >CDP12452 pep chromosome:AUK_PRJEB4211_v1:8:14888700:14892032:1 gene:GSCOC_T00036024001 transcript:CDP12452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT4G31540) UniProtKB/TrEMBL;Acc:Q7XYW9] MGEMVDKGIEHLVSARKSLKLSLDKSKALGLSLEKIGPRLDEINQRLPSLEAAVRPIRAQKDALAAVGGHINRAVVPATAVLKVFDAIHGLEKSLSDPQSDLPGYLGVLKRLEEALMFLGENCGMAIQWLADIVEYLEDHKVADSRFISNLKKALEHLRELQMNEERGCLDGGLLEAALDRLEIEFRRLLTENSVPLPMSSSPLPGEQACIAPSPLPVAVIQKLQAILGRLIANNRLDKCISIYIEVRSSNVRASLQALNLDYLEISVSEFNDVLSIEGHIAQWGKHLEFAVKHLFEAEYKLCNDVFERLGLDVWMSCFAKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGGACAEIQNLTRDLIRRVIEGACEIFWELSVQVELQRQVPPPPDGSVPKLVIFIADYCNKLLGDDYKSILTQVLVIERSWKHEKFQERLLIGELLSLMRSVELNLDKWSKAYEDAVLSSIFLMNNHWHLYKHLKGTKLGSLLGDSWLREHERNKEYHSAIFLSESWGKLPSLLSREGLILFSGGRATARDLVKKRLKAFNEAFDDMYKKQSNWVILDKDLREKTCQVIVQAIVPVYRSYMQNYGPLVEQDASASKYAKYTAQSLEKMLNSLFQPKPVKYGSFKVRQSSGKFNNGVTDHCHSTSPVAK >CDP12457 pep chromosome:AUK_PRJEB4211_v1:8:15265982:15267899:-1 gene:GSCOC_T00036035001 transcript:CDP12457 gene_biotype:protein_coding transcript_biotype:protein_coding MTVISNSIIYTLFFYFIRYKNNNVKGLDVDSFFISHIQVNQANKQRRRTYRAHGKINPYMSSPCHIDFVREGRGVAKNLPSTCTRYRDPTSMIDFHFLFQCFFPQNLIDYVKTPLFILNAAYDSWQVMQPLSLASLASPTGVWKLPIGVVNEVQFDISAAAIREVKDETGVIRFFFLLLLFLGDSENHKAFFGKSDLFFVCKMQPLSFDVQKQDSEIEAAKVIL >CDP07871 pep chromosome:AUK_PRJEB4211_v1:8:19312836:19328704:1 gene:GSCOC_T00025348001 transcript:CDP07871 gene_biotype:protein_coding transcript_biotype:protein_coding MSARKKTPFQKHREEEEAKKKRAEDETARLYQEFVESFQADNTPGSKAFVRGGLINPNERIKPDTEGGNSKDEVSGLKKGSRYVPSFIPPPLVTKGKEFDKKKEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDARHGDNSAPSSRFDELPDDFDPSGRPGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRPDAQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGQMAIRSKEGATVILSGPSGPPVTTVPSQNSELVLTPNVPDINVIPPEDDHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFGFLFELGSQEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTVKGPEHEKDGGSTYAAGKSRRVEVERTLTDAQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEVVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSVTGRITAEALKERVLKVLQVWADWFLFSDAYVNGLRATFLRSGNSGVTPFHSICGDAPELEQNTGSDDRGEGEKINQDAALAIGKGAAMKELLNLPLSELERRCRHNGLSLVGGREMMVARLLYLEEAERQRVYEVDDELKYQQSQSSSARYPSGKKEKSVDTDPMGFSGWNSHEDEMQLKGKGAVPLLPTNPVGHELSSYTNEGKNDSILPASKWAREDDESDDEQNGSARDLGLTYSSSGSENAADGLDKNEEPDFTTDVSNSSHSESGLNEEQRQKLRRVEVELIKYREYLEEQGTKSSEEIEREVESRRRRLLSDCGLLDMNEDASGRRRSSLERKDKRDNLHEPVRKRRRSRSRSNSPPRKSSMKDRDRDSEINRERERRRERERHGLESERGRDRERDREKSGSRERDDHDREKSRERDRERRRVK >CDP08250 pep chromosome:AUK_PRJEB4211_v1:8:25336417:25346452:-1 gene:GSCOC_T00027019001 transcript:CDP08250 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 6 [Source:Projected from Arabidopsis thaliana (AT5G11800) UniProtKB/Swiss-Prot;Acc:B5X0N6] MIDRALEKEFTESEDQNEANDAGSFNNSVAEQQAVLETVARVKPKKNETKAKEEKSFKLHHVFNLDNDNGAEETPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSVVGPGGFNVVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQILLFMCLCGITASLCGGKSSEGVFVGSFLSMSSTAVVLKFLMEKSSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGVLQGIISMTKSLVVLIVFLAILTILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWSSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLSSIGMLIHVHFLWNHIDILLASVILVVVVKTLVISAVVKGFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFPPDSQSELGSKADNLRSESAKQRIALISKDLLIHEG >CDP12242 pep chromosome:AUK_PRJEB4211_v1:8:31335241:31341483:1 gene:GSCOC_T00035669001 transcript:CDP12242 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQATTTAGKVIRCKAAVAYGPGQPMVVEDILVDPPKAMEVRVKILYTSICHSDLSAWRGENEAQRVYPRIFGHEASGLIESVGEGVSELKSGDHVVPIFNGECGKCAYCKSENTNLCQRFRVDPFKSVMTSDGTTRFSTQDGKPVYHFLNTSTFTEYTVLDSACVVAVDSQAPLKKMSLLSCGVSTGLGAVWNTANVQAESTVAVFGLGAVGLAVVEGARARGASKIIGVDINPDKRTKGQAIGITDFINPNDLDKPVHQEIRAMTGGGVHYSFESAGNLEVLREAFLSTHDGWGLTVVLGIHPSPRLLPLHPMELFDGRRIVGSVFGDFKGKTQLPAFAKQCMSGEVKLDEFITHELPFNNINEAFQLLIDGKSLRCLLHL >CDP08368 pep chromosome:AUK_PRJEB4211_v1:8:26452854:26455402:-1 gene:GSCOC_T00027174001 transcript:CDP08368 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVAKNGPDLGSLPVENVQELASNCSKEIPHRYIRPELILDEISTDESTQVPVIDMENLATSHSEYQNEMAKLHQACKEFGFFQLVNHGASMVIKKMKVVTEDFFKLPLEQKMACAQVPNHIEGYGQTFVVSEEQKLDWGDMLFLFPLPVSQRNMRFWPNSPTSFRSTLDEYSLQIHKVCMSLFKLIEANLGLEPGKLCSIYQDGIQGIRMNYYPPCRQADKVFGASPHSDGTGLTLLVQVNDVQGLQIKKSNTWVPIKPIPGAIIVNIGDMMEIMSNGEYRSIEHRAVVDFQKQRLSIAAFHNANLTAKIGPLPELVKENGTQYKTIGLLEFFGLIPSSKLDGKSPLDRLRIDN >CDP12456 pep chromosome:AUK_PRJEB4211_v1:8:15214097:15215013:1 gene:GSCOC_T00036032001 transcript:CDP12456 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQWPVRPIGPTVPSMYLDKRVQDDTDYGLNVFKPMAEACTKWLKGKASKSVVYVSFGSLASLDAEGMAELAWGLKMTGKYFLWVVRASEESKLPKDFINETSEKGLIVSWCPQLEVLAGKSIGCFVTHCGWNSTLEALSLGVPMVGMPQWTDQPTNAKYVTDVWKMGSKLNQMRRG >CDP07157 pep chromosome:AUK_PRJEB4211_v1:8:9560548:9561885:-1 gene:GSCOC_T00024298001 transcript:CDP07157 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRGRGIAGNGGIVRPSSLPSKQVSEKMGFMKGVRGFTPPPFLMKTFQMVEDTETNNMISWNFEGTSLIILDHLKFAAEVLPKYFKHSNLSSFIYQLNNYGFRKIGLRQYEYENKWFQRGQEHLLMNIRRRNDEDPTIRKRREPREQHVTAARPSIEAKLEIFEDHMNALKEDITRSKYHMGKLESSIAIFKKNVKKMEAKSKALIKVLARIFSPALVEKIIQRVEEEPELEILQTMKRRRVILPQSSKTTTKSMDDGACGMDQDDQEANTSMAESKKSADQKLWKKFMGDDSVSEDESEQQLLKQHSRIDMEFDDLMVSKIMNAKEPNLDVEDEVAVDLWT >CDP13496 pep chromosome:AUK_PRJEB4211_v1:8:905652:916328:1 gene:GSCOC_T00038455001 transcript:CDP13496 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSSLISLRFAHQTSKHNPCNCSAARFYCITSDSPHSSISNRPGPLAQYRSLVKQGKLQHDPYQEEVAFKLENLLGRMEQYEKDMEEYHEKLTKWEEKRENERRRLLMQEAETKREGGGMTSISKQRNLFQRWMSRKKHEIVEPGVGKWVSYLNREKKLDSLVGRRPTAPPAPRGLYLYGNVGSGKTMLMDMFYGATEGIVKHRRRFHFHEAMLLINEHMHKIWKDQVKNESLQSSISSWITNLPFDTKIKEWLAAEERYKQEVQMKNILPAVADKFLTEAHGNHAGASLLCFDEIQTVDVFAIVALSGILSRLLSNGTILVATSNRAPRDLNQDGMQKEIFQKLVAKLDEQCDNCLIGSEIDYRRLIAKRSIDQVHYFWPLNSIKTKEFEIMWDKLTRLSGGIITSKAIRVMFGRTLEVRLSCNGLARFTFEYLCGRPVGAADYISVAKNYHTIFISDIPTMSMQIRDKARRFITLIDELYNHHCCLYCSAASSIDDLFQGTEEGTLFDLESFQFETETEGAKLRRDVLAEGTVSSGGTPTGITSMLSGQEEMFAFRRAVSRLIEMQTPLYLEGVRHLHPYFQNQKESFGTLNAKATQFREPSLEII >CDP12952 pep chromosome:AUK_PRJEB4211_v1:8:2437664:2440546:1 gene:GSCOC_T00037662001 transcript:CDP12952 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVSFVSNHLATLLREEGSLLGGLRQEVQLIKDELGHMKAFLKVAEAKEDDDPRLQEWINQVREAAYDIDDVLDEFVASEIQSIKSRIKNISGGRQRYQVEFGIDDRVTGSSTMNDSWRYSRDDALLVEEAKLVGIDQPKQHLISKLLEGHDHQLKVVSVVGMGGLGKTTLVKKVHEDPDVRKNFPVRAWVTVSQACDFPKLLRDLIWQLHKDLDKSVPQFIESISTAELKEFVKDFLQQAGRYAIVFDDVWDVEFWNEIKFALPEGNYGNRVMLTTRNADVASASCTESQDYVYKKEPLSIEDSWTLFCNKIFKGNRCPAHLMDVAKAVLDKCDGLPLAIVAIGGLLASKDVSRIDEWEMLQQSFGGELEGTGELERVKRILSLSYNDLPSHLKPCLLYLSIYPEDYLIKCKRLMHLWIAERFVKRREGMSIEDVAWAYLRELISRSLIQVTEMFYQGSPGRCQIHDLMREVILIKSREQNMVTITTGQPMTWPSEKVRRLVVHSILRSSKLLKVLELGGEKIEETPTEIFNLLHLTYLCLSDTKVKRVPKAIGKLQHLEYLNLGNTGVRELPVEILKLLKLRMLIVFQQVDPSDSDYGFHGFKGPSKLGGLLALQVLDTIDASSGSIIVKEIGKLTQLRVLYITQLRREDGKELCSSLVNLTSLQELGDLPSGIQHLSHLQELGLYEISSRMMEKVGNQKEDSEDYRRIAHIPEIVIGFYADDGEWRIRRLWGKEKKTILA >CDP17901 pep chromosome:AUK_PRJEB4211_v1:8:21404649:21408402:-1 gene:GSCOC_T00011897001 transcript:CDP17901 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPMGRRKKDLTFQGLPKFSHLGLGHSFTLTNLEVATNKFSKENVIGQGGYGVVYNGQLINGFLVAVKKILNDIGQVEKEFRVEVEAIGHVRQKKLQTNFTLPLLDFPIKFRFGLYTSASPSTNFFLSLKLLLAQNDRDIKSSNILIDDDFNAKLSNFGLAKLLGAGKVILQPKLWVPLGGYVAPEYANSGLLNEKCDVYSFGVVLLEAITGRDPVDYGCPAPEGVHPCDKRSINQYQCLFLVIDFSLASDTLWKANIRETKEEVVVRGMNFKKWLLTRKEREIAIVTHGGFLFHTLSTFGNECHSSVKKEIAQQQVLIRLVSISKL >CDP12142 pep chromosome:AUK_PRJEB4211_v1:8:30567146:30569834:-1 gene:GSCOC_T00035538001 transcript:CDP12142 gene_biotype:protein_coding transcript_biotype:protein_coding MRASKRRRTSSQNLENDPKEQNAEEWREEMTVNTSASVKGKKDNSSPVIVFAHGAGAPSSSGWMVRWKKMLHKALNAVEVVTFDYPYIAGGKRKAPPKAEKLVEFHADIVRKTMQMYAGHPLIMVGKSMGSRVSCMVASGKEVDALAVVCLGYPLKGMNGAVRDETLLQLKVPVMFVQGSKDGLCPLEKLDAVKEKMTVVSELHVIEGGDHSFKIAKKHQQSSGTSQDEAEDCAIQAIATFVSNLLKGR >CDP17744 pep chromosome:AUK_PRJEB4211_v1:8:12664763:12665974:-1 gene:GSCOC_T00003130001 transcript:CDP17744 gene_biotype:protein_coding transcript_biotype:protein_coding MREQMFNQKKDGGVEDGKGLHLIHSLLISASSVDENDIESAVDNLCDLYQNVCLSGDSLQRVAAYFADGLVARLLTRKSPFYDMIMKTPTPEEEFLAFTEFYKVSPFYQFAHFTANQSIIETFEKEEPNNSRVLHVIDFDISYGFQWPSLIQSLSEIATANKRVSLKITGFGRSMEELQETETRLVSFAKSFRSLNFEFQGLLRGSMLGSLRRKKSETVVVNLVLHLNTLNNVSKISETLKCVNLINPSIVVMVEHEGSRTPQNFLSRFMESLHYFAAMFDSLDDCLPVDSANRLSIEKNHLGKEIKSVMNYDKDHVNISPRYERMETWKGRMESHGFSGAKLSSRSLIQAKLLLKIRSHCSPIQFDGGNGGFRIFEKADGKAISLGWQDRCLITASAWHCVQ >CDP12264 pep chromosome:AUK_PRJEB4211_v1:8:31511485:31512802:-1 gene:GSCOC_T00035703001 transcript:CDP12264 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPYLSLVTLLYLLTRTRIGQGCTSFPPLSVKIAYSKMMDMGWMLPSISSSALTGLALRENILRKN >CDP08227 pep chromosome:AUK_PRJEB4211_v1:8:25142428:25146870:1 gene:GSCOC_T00026991001 transcript:CDP08227 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQLYFYYPLAVLVLLHHLMMPGCTAMKRLSLGTDQSALLALKAHITSEQHEFLSKNWSSRAAPPSVCDWIGFQCSSRHQRVTALNISNMGLTGTIPPDLGNLSLLVSLDLRNNSFHGNLPEELSHLRRLRFIRFSKNNFTGEIPMWFGHFPELQFLFLDSNGFSGFIPPPISNLSKLETLNLLDNFLGGNMPEQMGNLTVLKELYSSRNEFVGPIPLSLCKLSQLQVLDLAFNRFSGHIPKEIANLEKLKELYLITNNFTGVIPREIGKLHGLKVLVLGRNNLTGTIPREIGNLQNLQGLNLEWNQIMGMIPKEIGNLTMLTELYFAYNSLTGTIPPEMGNLYQLENLQLPYNGLNGSIPPGLFNVSALRNIHLASNLLSGNLPRDLGYRLPKLLFIELGGNNLGGVIPVSISNCSQLEILDFAINRFTGPIPDALGDLRLLQYLVLFGNNLTSDPTSTELSFITSLTKCKNLVYLELGANSLNGLLPASIGNLSATLQKLYIYSSEIKGTIPSQTGKLTNLLLLDLQSNHLTGGIPTAFKDLQSMQTLAVGDNNLNGTLDNLCNLQRLAYVYLTANRFSGSIPECFGNMTSLRELDLGNNFLVSAIPNSFWNLKDLLQLNLSSNSLNGSLPLEVGTLKAVTSIDISVNQFFGDIPSTIGDLQNLLILNLSQNQFHGSIPESCGSMLSLQGLYLSHNNLSGYIPKSLEALRDLEELDVSYNHLSGEIPSGGRFRNFTAESFLFNDALCGDSRFHVPSCPRTNSIHRSRTKKVLLFVFVPLGLAAVVVAALAIVFRRYWKKYQDSKGVNIVLVPTQERVSHYELLRATDGYSESNLLGIGSFGSVYKGILNDGRSIAVKVFNLELEGVLKSFDVECEVLKNLRHRNLVKVISGCWNQDFRALVLEYMCNGSLEKWLYSDNYFLDTLQRLDIMIDVASAVQYLHEEYSTPVIHCDLKPSNVLLDEDTVAHVSDFGVAKMLEKEESFAWTKTLATIGYIAPEYGSEGLISAKCDVYSYGIMLMEVFSRRKPNDEMFAGNLNLKSWINDSLPNSILRVIDAKLLKREDENFSEKLEGFSSIMELALKCVRESPTDRLSMKVVLETLKKIKLKFLQVM >CDP18434 pep chromosome:AUK_PRJEB4211_v1:8:22162267:22166077:1 gene:GSCOC_T00007250001 transcript:CDP18434 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLQLPKPALSHPTNFGGKRQLSAEGSNLRNRNAQKTKAYFKRYQVQFKRRREGKTDYRARVRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSASIAGDIVLASAYAHELPRYGLEIGLTNYAAAYCTGLLLARRVLKELQMDEEYEGNVEATGEDYSVEPADSRRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHGEKRFAGFDKESKQLDPEVHRKYIYGGHVAAYMRTLIEDEPERYQSHFSEYIKRGLEADNIEELYKKVHAAIRADSSAKKSEKEPPKEHKRYNLKKLTYEERRAKLIERLNALNSAAGNDDDDEDDDE >CDP09814 pep chromosome:AUK_PRJEB4211_v1:8:29003195:29009086:-1 gene:GSCOC_T00030283001 transcript:CDP09814 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLAKPQTLRKPLMLKDYLLDDLSSCSSSGFRTYPRRQCCTSVGFLLEINLKNKPPKHIKRPPLKNPSKPLPSAFQRAIKAVKSLPFAAIKSSSSTSSNKLRLTLLPRSLSRKLLKRSFWKRNDRKEIPRLEPISQLIVQNTSPPSDFTASTATGDRYSSSSESNSWWDSDFTASDDSTASGNSSENTFRNKNYSYYLSKRLPNDKVVGSKRAAVKVGGDDSIAATSSSSDSCTSSNVIKKWPSEDEREQFSPVSVLDCPFDEDDEVSSPFQHRLARMEAGTKKRLMKKTNRFERLTQLEPVNLQKRIALSESDQESNESPQRHSSESVFILDSEEEENQASDKPFKLLQLVKATIPSISQHFKADHDNLLLDFFKEGMEEDSSSHEHAKLLKVANSWIMGQPRELFLEWDVQKNREAYIRDMERGWTWRKLNEEKQEVALELEVEVFASLMIELLNDLL >CDP12104 pep chromosome:AUK_PRJEB4211_v1:8:30269176:30269751:-1 gene:GSCOC_T00035490001 transcript:CDP12104 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSFLVSATIFYLLLFGCFATAATPSGKRILGRTPCKRLVFYFHDIIYNGHNSRNATAAIIGAPAWGNRTILGGQNHFGDLVVFDDPITLDNNLHSTPVGRAQGFYIYDKKDIFTAWLGFSFVLNSTEHKGSINFAGADPLMNKTRDISVIGGTGDFFMTRGVATLETDSFEGEVYFRLRVDIKLYECW >CDP18537 pep chromosome:AUK_PRJEB4211_v1:8:4419232:4421260:-1 gene:GSCOC_T00010774001 transcript:CDP18537 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADKAVGLLLSVISLSVFTYYTFWVIILPFVDTDHFVHKYFLPQEYAILIPVFALVALLCFLCMFVGYVMLKSKKKKA >CDP12140 pep chromosome:AUK_PRJEB4211_v1:8:30558864:30560906:1 gene:GSCOC_T00035534001 transcript:CDP12140 gene_biotype:protein_coding transcript_biotype:protein_coding MISAMTSVQPLWSLKSPKLSLGSSYSYSLRPSVSQTNLGSKIKRSKFGRRFLANRARLVVCGGFLLPVDPWAPNVDSQSIAPPLFALSLFPYIGFLYYITKSKSAPKLTLFGFYFLLAFVGATIPAGIYAKVQYGTSLSNVDWLHGGAESLLTLSNLFVVLGLRQALRKLKNADETSSSLASGIETEKSSNVKF >CDP19693 pep chromosome:AUK_PRJEB4211_v1:8:17408330:17423258:-1 gene:GSCOC_T00005816001 transcript:CDP19693 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQNVKNPLKRSFESMNSMAGITSEAMDVDKNQSLMPTSYQVEIFEVAKRRNTIAVLDSGAGKTMIAVMMIKELGQSLRLNGEKKLIIFLAPSVRLVHQACPLHMLVLHSQYEVIKCHTELEVEELFGAKGIDDWHKETWEKAINAHDVLVMTPQILLDALRKAFMSLDVVCLMILDECHHATGNHPYTKIMKDFYHDKFRNKPKIFGMTASPVIRKGVTSTIVSGWRISELESLLDSQIYATENRAELEESVPSAKDVRRFYDPPSSNLELEALKAEIERLREKFDAALLDLQCSLPCQYKDINDKTVMLQERLSCDYATILWCLDNLGLICAYEAIKICIETASNVKEECEAFSSEKALLQYNYFLEEVLSTILKSLPQDHEKLLGVGYNSSATICRGYVSSKVCELLEIFRSFGKDTKVSCLIFVGRTITAKVLEQLIKRTACLSHFGVSYMTGNNSLVDALAPKAQKETLESFRVGEVNLLFSTDVIEEGIHVPDCMFVVRFDLPKTVHSYVQSRGRACQLGSQFIVMLERGNIRQMDDLFNLIRSEFSMNNTAVSRDPDACVKKSCLLKEKDTYLMKEKNIYVVEATGASVAADSSVSLLQRYCDKLPGDKYFTPKPMYQYLLVGQSYQCQLTLPPTAAFQTMTGPLCRNTLLSKQLVCLEACKKLHQMGALSDHLLPTSEKPSQSSSHPNCKALASGAGTTKRKELHGTTRIRALSGTWGDKLDGFGFYVYKIDFSCKTEQLYSSFVLLLESKLDDDVGNIEVDLYLISKFVKSTVSACGQIHLDTEQVAKAKCFQELFFNGLFGKLFIKLSGERKLLFQTQETLWDPSNMYLLLPVELLINCSEPWRINWGGIESCVSVVEFFKKHAWISAERSESNRKNMLVHRPDPDGSDAESTIIIHLANTSISLNNLKDIVVVAIHTGRIYSIMAAVDNTSAESPFDANSDAEPSSYSSYADYFNKKYGIVLVHPQQPLLLLKQSHSSHNLLVDFRNEGTSRGKKFDGDSRMAVEKPRCYAHMPPELLASIDVSHDVLRSFYLLPSILYRLESLMLASQLREEIALNSQNIHIASSLILEALTTVKCNESFSMERLELLGDSVLKYVMSCYLFLKHPKKHEGQLSAQRSRAVCNSTLHKLGTDCKLQNYIRDSPFEPRRWTAPGQHSIWPNHCEHGVDTIEVPLDGKFITEDTKVVVGKCCDRGHRWIGSKTISDCVEALIGAYYVDGGLGAAIELMKWLRIDAELEPALVDEAIKVASLHSYDPKAKDIGILEMKLGYEFRVKGLLLEAITHATEQEQDASFCYQRLEFLGDSVLDILITRHLYQTHSDIDPGELTDLRSASVNNDSFALAAVRQKLHLHLQHCSGFLEDQISAYAKTVSDSCNSTKSLQVTKAPKVLGDLVESIAGAILIDTKLNLDEVWRIFKLLLSPIVTPDKLELPPLRELIEWCDSVGYFLKQICTTKGDLVKAELILQLEDNQLVKEGCGPNRKIAKGQAALLLLKDLEERGILSKRPKEEKEYVSCTSLPSSDGICCLKNEGTDLKFNEKQKIIQVNPGPESGDPQGFDSDRLNIPGSSYVE >CDP09882 pep chromosome:AUK_PRJEB4211_v1:8:28444063:28446971:1 gene:GSCOC_T00030363001 transcript:CDP09882 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPMATSSSSRPSISPHHPPQFTPIEEGNEDEELSRSSFRATTPSDSTDPRHHNLTPLHQNSSEKRSKEKSTRKKLENGEVAGEDDRGISCNKCRPGTREKISVVPVDNNGVNRQSLTSPNGIFRSIFSNLIKKSPRSSDDQGLAVPGEEQWKVAAAELSHKLIQATRKRDEAILEASRLKYSMAELEKKLNKLEIYCHNLKSGLEVCAVNYQLVKVGHDQGKVIEHFLVSVSEARSSVRLLSRSLTLQLRQMGNKVYDRISSLLQPYEVKISISRNPRGLLLYLEALLNRAFFEDFESIGFQKSASNLILNPIDSCEANFASFNRLQGLTWDEVLNRGTKHFSEDFSRFCDRKMSEIVAMLGWNRAWPEQLLQSFFGASKAVWLVHLLAHSVHPSLPIFRVDKGATFDSIYMEDMGGEKAQKLVPTIARIMVTPGFYVYDNVVKCKVLCRYYNSNNGYNIDSSGKVLVPSPS >CDP08238 pep chromosome:AUK_PRJEB4211_v1:8:25237534:25242933:1 gene:GSCOC_T00027005001 transcript:CDP08238 gene_biotype:protein_coding transcript_biotype:protein_coding MNATAVSGGVLPQMMQPISNLETFTNNNSSLVCSRNGVRAPSFKASHDGKQIYCQSNEASATQSCGSTAQKSNVLGGLSLKSHRQTVGKTFCSAGEYAYSGGAINYQSRTAEEKVGVLLLNLGGPETLHDVQPFLYNLFADPDIIRLPRLFKFLQRPLAQLISILRAPKSKEGYAAIGGGSPLRKITDEQANALKMALEVKKVPANVYVAMRYWHPFTEEAVHRIKTDGITRLVVLPLYPQFSISTTGSSIRVLQSMFRNDAHLSSLPVAVIESWYQRDGYVRSMADLIEKELANFSEPEEVMIFFSAHGVPVSYIEDAGDPYKDQMEECILLIMQELKARGTKNNHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVRSLLAVPVSFVSEHIETLEEIDMEYKHLALESGISNWGRVPALNCTPSFINDLADAVIEALPSAMAMSTSDTASEDELYDDPMRYVIRLFFGSILAFLLLLSPKAILAFRNNIL >CDP12054 pep chromosome:AUK_PRJEB4211_v1:8:29885044:29885541:-1 gene:GSCOC_T00035426001 transcript:CDP12054 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGCTDVFFPKLLIHILTILGLMRKFIYVLLSILGLGDFLEPEMPLQTWPESGGEPRSISASLIRELLPVVKFSEISDLAAPESCAVCLYEFEDDDEIRRLTNCRHIFHRSCLDRWMDHDQKTCPLCRTAFIPEDMQDAFNERLWLASGISDFYGEYSPMTL >CDP08178 pep chromosome:AUK_PRJEB4211_v1:8:24623493:24627937:1 gene:GSCOC_T00026930001 transcript:CDP08178 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSCIWFLELLLLSLLFNVNSAQTIVKSLPGYPGELPFKLETGYVSVGKNDTVQLFYYFIESERDAVRDPLVLWLTGGIGCSAFSGLVYEIGPLNFDAESYNGSLPSFILNPYSWTKIANIILLDLPVGTGFSYATTSQGYLSSDTKSTNDAYMFLQKWLLNHPKFINNRLYIAGDSYGGKIAPMVVLEITKGGNEAGLTPHMSIEGYLIGNPAMNVPKDMNWRVPYAHLLALISDEYYERAKSSCDGEYMNPNPNNTECQFALQLIKQCTSDICLSHILEPICKFRAPKLDGLKWDLTYFEEDPNDVLLLSSDQENPKCRFTDYVLSYVWMNNPSVREALQIRKGTKEKWKRCNGSLPISYDQDVTSVFDHHQVLSTKGYQALVYSGDHDMMIPYIGTLRWIRDLNLTLEEDWRPWFLNGQIAGFVFKFLALTYYYVCYIFSGWRSHRPRIQAKRMFCHDRPMVLLLSFVDQERKASREYFSTVTRKIQFKIPILLFQSSQKILVR >CDP14962 pep chromosome:AUK_PRJEB4211_v1:8:8792994:8796980:1 gene:GSCOC_T00042474001 transcript:CDP14962 gene_biotype:protein_coding transcript_biotype:protein_coding KVVDITLWISLSIRHDETSLYEHIAHQLSLLSTSVELENYDIQEVENNVGKEETLESLKEKVRARLSTDNVLQGVLVILDDEGNKMREGDGGLEQVLHSIEQNSHHHSTTAADGDGQQKFKVLITSRNEDGRHQTQGGKKVVEMMPLTPEMSISLFKQGAVAKVFEIPGVESVVAKFINRKTDLAPGEVSLLAKLLSYHQQVSELQGLEHTLEEAWYGDNYNCTQLLLSGYEKVSDGVLVDFSWQGSRFFRDNGSVHYNELISYWMLEGYLGPVNSLEEAYKEGHRILMHLVDCQMLKEVNDDFIHMVRVTGDVSYRHRRGYGGTANLGLANVLVKSNDWQGIGKLTKVDGMIRTLGSYKRVQQPLTLLLDGNCLSRENPNNLLLSNQELQILGLFSLEIVSLPHSFNNFKKLNVLVLRDCDFLEKIDDIRELMTLTVLEVSGSGLVKSMPKNFFQHLKKLRSLHFSDFQIEVMPDSFYHLTELSWLILKRFSHLTKLQSLKECQNLMVIDLSGAASLPTFPEKNLKSLSKLQTLNLSNSKIKSLPIFHETGELTHLSVSGCSNMDRVPSIRSLSNLQVLDLSWSTIVEFQDKSFQNNTSLKILDLSGTAIPSLPFNIGKPREFYLKNCSEIKYMNCVESSEELEILDFSSACNLVKIEGKFFECLENLRVLNLSGTKVKDLPSLSALHNLRQLLLSCCLNLEKLPRLTSSKLEELDLSDCKAMTMIEDKSFEHLPRLRRLVLNLSETLLKELLALTNLKSLKHLFLRGCGQLEVLPVLEVLHNLETLDLSQTALRQLPFVGSLSNLHKLLLRDCSKLENFKNHKRLDMSGVENLPCGIPRLTQLQHLALPSMKEDIQAADTNEVTSWTQKPSASHWSFSIVDRTVPNTGRSLLSYNGSLFLEFLDSNPSVLYSTSNHFHLFVHPSEVANGAGDMLFHKDELVFRDVYLLTRHCSKSQGRLVEIHHLSAFSEGIAAVLHNAEYIFLFDSLFFKSFSDLGAGNIKTLKGCWIEGCENMEFVIEMNDLVDSSERGIELEILWISNASSLRSIYSENLQLGSFQNLKCLYLDCCPKLSSVFFSSHLLQMLEILHVRFVRIWWLCLEMM >CDP18423 pep chromosome:AUK_PRJEB4211_v1:8:22275761:22279327:1 gene:GSCOC_T00007236001 transcript:CDP18423 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCLTLIPPRIPNRKMCFLYHIMTFSTSCAAQSLAFYEESRVPELNYDELKQKMQTHASSGCLKQALDTLSMMRCVPGKPTVYDYNSMIYCYLKSEHVLFDELVEVYNGMKRFGPCPNALTYNCLLNGMVKIGRLKYGIFVAEEMCKSGFLPSFSCLSNLLKRLILFGNLVDSLTVLEMLLRLSYVPTEPTLNTLVLGLSKAGMVREAYFVFSVLLDKGLVRGAYNFNSVLWAFSKLNGCRSALAFFCFLQKKGFVHNVCSYTALIYGFCKDGLWDDAFRCFAKMEADGCKPNVISYTVVVKFLCDNGKVDEALSLLGVMAKKGCNPDLVTYNIVLRELCHHNKVVEISELVRVIHQHGFSPDPYTHSALAGGMLRRRRTDIANKILLDIIPTSCSVDVVVYNIYIHTLCCNQRSEEALSKMMCMTELGFKPTHVSHNTILKGMCKEKNIYEALTFFGQIDWSRNGPDLISFNTILSAACKQHKSTIISRILYQMKYAGVKLDIFSYTSLIQHYCKVGKVQDCLKLLDSIMTNGPSPTTVTLNTLLSGLCRNQLVGLAQKVFKYLKSFGVSPDITTYNILICALIREGEYLSVYHLSADIYSQRLKMDVITYKSMIFVLCKEGKISSALQLRDQMLKSGIAPDISVYNVIMKAMFRRGKVWEIILLLKEISVEGCVLNAASFEILKCTVMKGHREGFPKAAKLLKLVMHSKRWSENQLPHGEIPG >CDP11765 pep chromosome:AUK_PRJEB4211_v1:8:6404330:6407675:1 gene:GSCOC_T00034260001 transcript:CDP11765 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPGHVLPGTLFLLVGIWHTWCSIERYVLNPKSFRVRVWNPIPGFDGKLKYLELYVITIGSFIDMCIELLYSTHLKWFVNGMLNPGHMNNFEHGGMLLMFFIFGLIALLSEKTSYLPLPDGALCLIASTAFCAEYFLFYFHSTTHQGLEGYYHLILVILIGLCIFSTVAGALMPTSFPVDLFNGIVITLQGLWFYQTAFTLYGPMMPNGCWLKADQVACRSKESEIRGELLANFQLFSQVFGVLVATIGAYCFAHSVNSHTDLRSSQTPEDG >CDP08192 pep chromosome:AUK_PRJEB4211_v1:8:24754014:24756679:1 gene:GSCOC_T00026948001 transcript:CDP08192 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAISPATRIEEQRILLKIKQIFGNPPSLESWKPSSSSPCDWLEISCSNGTVIYISLGRKNISTTLPRIICDLPNLQGIDLSDNHIPGNFPDFLCSCKKLNRLDLSWNNFLGPIPDDIQCLSGLRYLNLGHNNFYSIPKGIGQLQELTSLAINGNRLRTIPFIVVNLTNLEALDLSDNRLAGSIPGGFNKLHNLQTLLLGSNQFSGSLPSGIVNPNLKVLDLSSNRLFGVVPDEYEKADYTLSFSENLDLCTDNKILQLPLCTKKKAHMFFYILAAIFGFILASGLSVYFLAKKYGQHRRKVHTNDIPEWESIWFHKLNFTESDILSSLSENNLIGRGGSGKVYRIIINPIGEKVAVKRVWNDKKSDQRLEREFLAEVQVLGMIRHCNIVKLLGCISSKTAKLLIYEYMENQSLDKWLHKKAGSTTSEACDSTPGDVLDWPTRLRIAIGTAQGLCYMHHDCSLPIVHRDIKSSNILLDSGLNAKIADYGLAKVLVKEGEPETASAVAGTFGYIAPEYAYTSKLNVKSDVYSFGVVLLELTTGRQPVIVDEQMNLVQWAWQHYEEEKSIITALDEEIMEPYYLETMTAVLKLGLKCTSPSPSQRPAMNEILQILLSCPINFPSWQESSVVLTVH >CDP12188 pep chromosome:AUK_PRJEB4211_v1:8:30907313:30910363:1 gene:GSCOC_T00035596001 transcript:CDP12188 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABL interactor-like protein 4 [Source:Projected from Arabidopsis thaliana (AT5G42030) TAIR;Acc:AT5G42030] MGSETPSIPTNVPQETSNSDEYFLRHSLVFAESLKASSCPSPLDLKNLRVQLYSAAEYFESSYEKHEYKQLVVETLKDYASKALISTVDHLGSVAYKVGSFLDKKVNEMSATRLQFSCLEQRLRTCRGYTDRNGLLQQSLVIKTPRHYKHYTVPGNYVSLLTLADGKFTRKFDSKQGNVCFLNPHDDKPSSKQDDCRLENFQGAVARPRPTLMRRGRSEPPPSELSPRPLSFAFVKVTSDKEVGKRTASPFRLPLKRSGSVANRSTSPNSSAAQQRCPFETPRASSMSTNPDRNKGKEIELYSRKSKHLFRALLSIHRSRKQAGGARTTAMHIQG >CDP16184 pep chromosome:AUK_PRJEB4211_v1:8:23973857:23975304:-1 gene:GSCOC_T00017273001 transcript:CDP16184 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSALSFSLASPCYVKLKAKSTLIVELLQVAVASYRKRHIELSTESSNTIYHHQKGSSILPSEKLRFLNKACIIVDPEKDLTTDGRAADPWTLCTINQVEDLKRLVKVIPLWSTGMIMSVNISQNSFPVLQANSMNRRFGSSFEIPAGSFGMFTIISIIIWIALYDRVFLPIVSKIMGKHVHLSARKRMAIGMLLSFVCMVVTATVEAKRRNLAIREGYSDDSETVLDMSALWLLPQLCLIGFAEALSAVAQNEFYYSEFPLSMKSIASTLFLLEMSVANLVASFLMNIIDKLSKRGGKESWISTNINNGHYDYYYWVLAGLSMLNMIYFLTCTKAYGPGKEDEKENSSWTR >CDP08246 pep chromosome:AUK_PRJEB4211_v1:8:25314861:25317025:1 gene:GSCOC_T00027015001 transcript:CDP08246 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAELDLVPPSFVQEGARKMGEKSWIINVNDKVGHLADITETEMKDWEQHSIYRLPACVTDLNKNAYKPRAISFGPYHHGEPNLKPMEIHKERALLHFLNRSEKSLEDYIGPLREVLQDLKDAYDILDDEWLQNSDAFLELMIRDGCFMIEVLRTSFADTQTGVVDYTHNDPIFSNHGKLYMLPYIKRDMLMIENQLPMLLLKTLLAVDNQNAQTTDEESINNLILKFYFPHSRPKILGKCMHVLDAYRRILLWTDPDGQKPTQGVCKRGPDDILSARELEESGIRIRKSDSTSLNDIHFDCDTGILRLPQITVDDVSETMFLNLVAFERFHVGAGNEVTDYIFFMDEIIDNAMDVNILQRHEIIENAFGSDKAVAKLFNSLTQDVALDENSRLTAVRQAINGYCKTKWHKWRAALIQTYFRSPWALISVVAAIVLFALTIAQTAYGALQYYEGLDNSPSPPPPPPPPHRS >CDP09798 pep chromosome:AUK_PRJEB4211_v1:8:29158836:29164248:1 gene:GSCOC_T00030264001 transcript:CDP09798 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSQSHESDIYAVLMDRFHGLQASHEKLKEQFNILLQEKSIAGGFGKDSPGELAGEDSSWASYIHGAYYSGSPYKNVLECMGHAVHISRAGSEEIIYWNSSAEKLFGYKDYEVLGQRDAVLIIDEKHQALARKIMDRLSFGLSWAGQFPFKKRSGQIFVAIVTKSPLYEDGELVGTITVSSDSAVFNNLHLENTRVGQDPTDGKSRGQGINQKRIQLRAQPQIASSVSNLASKVLLRKHEDSGSDGSSNIGEKEQNELDNAYLKSERPPRAPATRPQVNCKSPNAKNKIDGDGFESEESTSGFPQPLKLAKKVLAKLHIGGIANLNKNKDAVVQCNSLNGMSCSKVLVESSSPGAPQATQATSSHNCIVDDVNHNEEHVTKGVSSAAKGLSRRSLTISDDLTEGLYPITAPVRVSEQLQTSDLQDLNTNGQNLEMEIEDTLQPQPDVQRFPNSREDSGSSIGSPSSKGDDESNLIVHCEIHWEDLQLREQIGQGSFAVVYRGIWNGSDVAVKVYFGNEYNDQTLLSYTQELDIMRRLRHPNVLLFMGAVCSQEKLALVTEYLPRGSLFKALHKSNQQLDIRRRLRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKSWNVKVGDFGLSKLKNATFLTNKSGKGTPQWMAPEILRNEPSTEKSDIYSFGVILWELMTESIPWKNLNSLQVVGIVGFMDRRLDLPVNLDPEISSIIHDCWHSKPENRPSFQDIIQKITDLIQTGANARRSPGL >CDP12191 pep chromosome:AUK_PRJEB4211_v1:8:30936239:30939032:-1 gene:GSCOC_T00035602001 transcript:CDP12191 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDIARTVVGIIGNVIALVLFLSPVPTFVRICKKKSVEQYSAVPYLATFINCALWVLYGLPMVHPNSTLVVTINGTGFVIEVVFLLLFIAYSDPKKRLKVVVIVVVESLSVAVLALLVLTLAHTTKLRSAVVGSICMAANIMMYASPLAVMKLVITTKSVEFMPFFLSLASFANGISWTAYALIRFDPFIAAPNGMGSLLGLAQLMLYATFYKSTKRQLAERKARGEVGLTEKAGNGHTKSTTIVAIDGSRGESPEWHESTQTDALGGRPFPCPALAQLADFHRLFLRRFNVCSQFLSPHFFLILIRAAIHIWSCITSKTCSQSLFACFLFLIFFSFSSLEEAWF >CDP09911 pep chromosome:AUK_PRJEB4211_v1:8:28257938:28258489:1 gene:GSCOC_T00030402001 transcript:CDP09911 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRGLRLGRKMGRVFKWFINRRAQTRAAYPRLGSSSCTGGALSKLCSWGRSLRLGAKGLCFAKRKPGYIRVGQEPVAESKNSVSTPKGHLAVYVGEDDDETCRVLVPVIYFNHPLFADLLREAEKVHGFDHPGGIQIPCQKSEFESVKMRIAAAGSGGVNSRGGRSWRQLLMSSRHEKLDN >CDP08195 pep chromosome:AUK_PRJEB4211_v1:8:24776232:24779945:1 gene:GSCOC_T00026951001 transcript:CDP08195 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVIHLKGLLVYGRQGREIFRSNLDPNVCREASMYSLKHQIPLIAFSEDRCLTLFEHPLVDSLHTVYSEPKAEIIPTVDQLLAGGDIQKLIFLDSAEGVATTLRPYWAEATGDYASVVQAVPDMLEIVPSGTSKGRGVRLLLDHLGASPTEVMAIGDGENDVEMLELASLGVALSNGSEKAKAVADVVGLSNDEDGAADAIYRYAF >CDP09793 pep chromosome:AUK_PRJEB4211_v1:8:29197399:29211620:1 gene:GSCOC_T00030259001 transcript:CDP09793 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSLLERYRLDRRKLLEFILSSGLVREIRTPSGSSASISDVNLDFISADYVLQSIKSGGVLDVALATKKYYEESELPIMMDLHSRDLYFLRTDPQSSGSPPRRPPPPVLANYFDNGRSSTSFLRDRSACHRVFVSGVESGVNHANVANTVSEPVLMVDVPDLGLPSLRTGLLDDDLRESAYEACLACMMFSGVDISIQSRKKDKNPKFLSGLKTKKGKYLQSQSPERHISEVMDTFARRRLVQFASVKAWGQFSVPEIALGFLNGTFRSDFPSVKSYTHWKNRQADILEGYFCSANNVADKQKIGQLVAKIRNSEEWDNNMSPSERSEILLALKQYLCVLSSKPGRFGIQGETYYWTSAYHMNIRLYMKLLFGLFDILEDGQLIEEADELLKIVKLTWPLLGITQKLHSALFVWVLFKQFVGTEETMLLEYAIRVMQKSLFSEASEPREDEYICSLSCSATSGSNEYKFNLVQSIFFSIGSWCEIKLQDYHLHFSQKSSLFKGVMNMAVKICRVDASKIFKVIHLIPNYNLSCLQFIESDDLGEITSRKVKGYVDRSLEAACMRVMDNIYFGSEVDRTHPLALLASELKIIAERELSMYYPVLCHWYPQAGIAASIRLHRFYGEKLRPFLQSVSCLSEDVRAVLPAANELENCLTELYCTACQENGLTLQFSEEFIHYQVYLYNLVDTLMCFIELIQVINGSVFLASFILVCKIGEISRPLILDWIIAQNKRVMEWTARAFDLENWEPLSHQQKQAPSAVEVFRIIEETLDQLFQLRLPMDITHLQALLSIIFHTLDAYLQKVTSELVHSMFICRIFIHLSLGKQNLYPSIPPLTYYKEMTFPIVKKKLVESTVLEDEVTNKLNELTTSKLCVRLNTLQYIQKQISALEDGIRKSWSFAGPFDNGEHSIEESPETSGRILDTCSESVDELFVATFDCIRDTAAHAIRSICEFIGVRVVFWDLRKSFLYRLYHGGVENSRLDSLLPSLDGALNQVCGLIDDALRDRVVSSIFRATLEGYVWVLLDGGPSRAFSDLDIPLMEDDLNMLKDLFVADGEGLPRSLVEEEAKFAHQLLSLFSLQAESVIQLLMSSSQHISVGLEVHKSGHRCLGDADTLIRVLCHKKDREASKFLKEQYKLPASSEYDEDGVEPNFKSVLVTDLIRRSASARWSDKGHSSFKSIKKKLQEATWR >CDP07843 pep chromosome:AUK_PRJEB4211_v1:8:18609196:18609576:-1 gene:GSCOC_T00025296001 transcript:CDP07843 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVKIGESLLNKMVSRVNPMTGLSEPIDNGGTNADALKRVAKLLSKEKRLRQSKSQQKNKELN >CDP08351 pep chromosome:AUK_PRJEB4211_v1:8:26320538:26322184:-1 gene:GSCOC_T00027156001 transcript:CDP08351 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNGNGPFGSKLEFHAIQTVMPVKPTDPRKSTRVCLSENPISGIFFRCFNVLLCYQKVASEEEDSGIASGSLVAGWIRESLGWALSEQPMLAGRLRRNQDVEGELEMVSNDSGVRLIEAQLAMTLSEFLDLKDKKKAEAELVYWEGLDREQNPQFSPLFYVQVTSFKCGGYSIGISCSLLLVDPVAMTSFLKRWRDIHVEMVSRTDVPKIPIFYRPNLRNPGPLPGLSIGSSKKAYAAKTMIFTIANKVLDLDSEIHNKFAAACIDEAEYKLGYKTAPSLNFSFLVKEPSEEVNVRNFTREGLVQKPSTTVNGIHTCSTWDDLGVTEIWFEEGNRPAHVSCWINSVPDEALVMIIPSPEKDGSGMKIVVTLPH >CDP07857 pep chromosome:AUK_PRJEB4211_v1:8:18925775:18927549:1 gene:GSCOC_T00025319001 transcript:CDP07857 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSNLYSDLIFEILARASLNTLDTCKLVSKDWNRLVYESNFMPSYCKNTSNVYGYFIQNLVANRHQFRFVSFNSQPPEGHDQTCIRISTPTPDDDMNIQASSKQGILCCVRRKGKQYRYYVYKPSTQQWQDLPNPKLRLTTLKVALVVLRSNPLWYKIIRISRPRVSRPDFYNYHCEIFDSERWSWRRAEDILLPHGEMFDNNQSVYASGLIYWLTTEDNVLAFNHEQETYHTFPLPELVCKNCEYSCKQLVEYEGKLGFICKTPEGHIHLWGVLDRKHPRWKLIKEVNIDLLAKEVSFPSPAGFYNADIALMKAFRKMIFYKLQDSSYNEIELLDGLCFAADVFPFRSDLEPVNLRGR >CDP09815 pep chromosome:AUK_PRJEB4211_v1:8:28995887:28998718:-1 gene:GSCOC_T00030284001 transcript:CDP09815 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEDQARSLFGISLSDRPRWQQFVICSSGFFFGYLVNGICEEYVYNRLSFSYGWYFTFVQGFVYLALIHLQGFTIKQMVNPWGTYVKLSGVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMVMGAFIPGLRRKYPLHEYVSAILLVIGLILFTLADANTSPNFSMIGVAMISGALIMDSFLGNLQEAIFTMNPETTQMEMLFCSTVVGLPFLLGPMIVTGELFKAWSSCYEHPYVYGVLVFEAMATFIGQVSVLSLIALFGAATTAMITTARKAVTLLLSYLIFTKPLTEQHCSGLLLIAMGILIKLLPENKPPTRVLIPSTNTKQGKSLLKEVKKPSDNKGDEEDQGKSPLI >CDP12198 pep chromosome:AUK_PRJEB4211_v1:8:30990200:30991206:1 gene:GSCOC_T00035610001 transcript:CDP12198 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGIEPRSVPWEGTMIPLHQMRDVGSLNLQILLEQVNEIISKATYYWRVRGRWAVHSKAMGGGGARVYALL >CDP14941 pep chromosome:AUK_PRJEB4211_v1:8:9219251:9221507:-1 gene:GSCOC_T00042443001 transcript:CDP14941 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLPISSFFQKQSKSLPIDTIFKFPSPIPTWLSEGGFASDYIDLGGLQVHQISTFNKVWTVYGGGPDNLGATFYEPSQIPDGFFMLGSYSQPNNQPFFGWILVAKDTSSDQSSSNETLKKPTDYALIWSSESLKTSQDGHGYIWLPVAPDGYRAVGYVVTATPDKPSLDKVRCVISELTDECEAENWIWGEGKTSSDSDFNVYSSRPSVRGIQAQGVGVNTFIVENESDDNSSSTTIACLKNNNFSTFSSMPNMQQVEALFQAYSPWIFLHPKENYFPSSVNWYFENGALLYTKGDESNPVAIQNNGANLPQGESNDGAYWLDLPVDENAKEKVKKGDLQSAEAYIQIKPMLGATFTDISIWIFYPFNGPGTAKLGLIDIPLGRTGEHIGDWEHLTLRISNFNGILYKVYFSEHSKGIWVDAPLLEFHDGTNKPVAYSALSGHSNYPKPGLVLQGAGDVGIRNDAAKSDKFLDTGAKYSIVSAENLGLGIVEPPWLNYLRKWGPDIVYETGVEVQKVEGSLPENLKSAFARLVNILPKEVYGEEGPTGPKVKGSWSGDERS >CDP13513 pep chromosome:AUK_PRJEB4211_v1:8:739825:744658:1 gene:GSCOC_T00038480001 transcript:CDP13513 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKSSSSELDLDRPNIEDYLPSGSIQEPHGKLCLRDLLDISPTLTEAAGAIVDDSFTRCFKSIPPEPWNWNIYLFPLWCMGVLVRYLVLFPLRVIVLTVGWIIFLSCYIPVHFLLKGHDKLRKKLERCLVELICSFFVASWTGVVKYHGPRPSIRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGSIWFNRSEAKDREIVARRLREHIEGADNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPVAIKYNKVFVDAFWNSRKQSFTMHLLQLMTSWAVVCDVWYLEPQNLKPGETPIEFAERVRDIISVRAGLKKVPWDGYLKYSRPSPKHRERKQQGFAESVLRRLEEI >CDP08259 pep chromosome:AUK_PRJEB4211_v1:8:25426176:25430427:-1 gene:GSCOC_T00027031001 transcript:CDP08259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G26100) UniProtKB/TrEMBL;Acc:W8PV11] MPRTHSHLPLSTSDEYSKTGKTKRPLQIPSRPRTPLPITVISALCFLIGVAGISLFLLAVLRPRPAPVFRCGRIQDTFRAFYSLSSAWRLGGGDSGVGNATGMERRKLLGFVGIQTGFSSADRREALRSTWLPSEPEGLLRLEQATGLAFRFVIGRSKDTKKMAELEKEIEKFQDFMIIDVEEEYFKLPYKTLAFFKAAFELFEADYFVKADDDIYLRPDRLATLLAKERTHKLSYIGCMKKGPVITDPKLKWYEKSGHLIGNEYFLHAYGPIYVLSAEVVASLAIARNNSLRMFNNEDVTIGSWMLAMNVDHEDNRAICDPRCTPTSIAVWDIPKCSGLCNPVTRMKELHNTSMCSKSPTLPPDDR >CDP09985 pep chromosome:AUK_PRJEB4211_v1:8:27574529:27577820:-1 gene:GSCOC_T00030508001 transcript:CDP09985 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTESIVKYGIIGVGMMGREHLVNLYHLRSQGVAVVCIADPHVPSQQLALSVAESFNWPLQVFSGHKQILDSGLCDVVVVSTPNMTHFEILMDILNYPKPHHVLVEKPLCTTVADCRRVVEAAKRRPDMLVQVGLEYRYMAPVAKLIDIVKCGTLGQVKMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAGANPVRVMASGAIDVNHKDEIYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSKNEQEISVVGDGGKGEAFVPESIVRWGTRVGGREGVQTLQASDDRIQYDGLHHGSSYLEHLNFLSAVRAKGAQAPAVNLHDGLISVAIGVAGQLSIEKGRFATIEEVINE >CDP09883 pep chromosome:AUK_PRJEB4211_v1:8:28429889:28434051:-1 gene:GSCOC_T00030364001 transcript:CDP09883 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRDPFFGFGDPFASGAFDGHRDLISSFFGGRDPFDDPFFTRPFGGMLESSFFGPSRGPFMHSQAPSLFGPSGVPFMDAQATGFLDHQSSHPPRSRGPIIEELNSDDEQDENEDQKEKRDNPRKHGRSINEPLVEDPDGDAGERRRKQVQFRNDYHRGSIPQSQPRAQSFSFQSSTVTYGGGNGAYYTSSSTRRTGSDGMTFEESKEANSATREAAHRVSRGIHDKGHSVTRKLKSDGRVDSMQTLHNLEEDQLAGFEEAWKGKARKHLPGWTDGLNTEVIGSGRSAVNGANQGGRALPYVGRSNQLESGAVPEMGHAAGPSRPHHAGRGRSAVGGMSGSSSRVKSRAADTANLNQAGKH >CDP16150 pep chromosome:AUK_PRJEB4211_v1:8:23450967:23452193:-1 gene:GSCOC_T00017231001 transcript:CDP16150 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTLCDSYTFNILATSQKSIQTSCDQLKSVMDHHPVKRVSRFFPSSLTSCQFRSVPDVVQSSVPVKKGTKFLHHEIEPPIPSSDIHKRPSVIKSQEPKTTLKKGKEASKHYQKKPLLMGSDPEGRRRPAVKPVSVMDSKKKERAKETKMNYSISSSNSTGRWFSSDDEAADDQCDGKSDTFLSLSSCTSSESFRLKSAKIHSKRFDSKMSTELGRCSSALTAYSLNAALSPCSQKTTKSGSNTAQKHGKSTRRDSKTDKTWHRWETDDDDFVIDNEILKGCQVSEASAELYYDGSGSHHCRKSTKSRRKTSKKHRGKKGQIKSDKDGQLLNAVVEDGVEDAYAVEKSTSDPYNDFRTSMLEMIIEKQIFGVKDLETLLECFLSLNSPYYHMVILEAFTEICDTLFAY >CDP09904 pep chromosome:AUK_PRJEB4211_v1:8:28294192:28298730:-1 gene:GSCOC_T00030389001 transcript:CDP09904 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEALLKMSSASSSTAASPLCNFNGSSRRPVPSKHLRRRISANSARVRSLTSSSLSEFFGTLRLSSTSKLSIMPQKQRQRSSFTVFAMAADAGKRTVPLEDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAVWDKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADRYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVIQIPVGAEDNFQGIVDLVKMKAVIWSGEELGAKFSYEDIPADLKEIADDYRAQMIEAVVEMDDGAMEKYLEGVEPDEETIKKLIRKGTISGSFVPVLCGSAFKNKGVQPLLDAVVNYLPSPLDVPAVKGTDPENPEVTIERSADDGEPFAGLAFKIMSDPFVGSLTFVRVYSGKLEAGSYVLNANKGKKERIGRLLEMHANSREDVKAVLTGDIVALAGLKDTITGETLCDPDSPVVLERMDFPDPVIKVAIEPKTKADVDKMANGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLEIIVDRLKREFKVAANVGAPQVNYRESISKVTETKYVHKKQSGGAGQFADITVRFEPMEPGGGYEFKSEIKGGVVPKEYIPGVMKGLEECMSNGVLAGYPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGMRKAAPRMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINTFGDKPGGLKVVDALVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLSQVKEEAVAA >CDP13525 pep chromosome:AUK_PRJEB4211_v1:8:643505:646120:-1 gene:GSCOC_T00038495001 transcript:CDP13525 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTIRKAIGAVKDQTSIGIAKVASNMAPELEVAIVKATSHDDDPAGEKYIREILHLTSSSRGYVNACVSAVSKRLGKTRDWIVALKCLMLIHRLLNDGDTIFQQEIMYATRRGTRLLNLSDFRDEAHSSSWDHSAFVRTCAFYLDQRLEMMVYDKKQSGSAHGEDRYRSPPGPSRGYGYDYDYNEFRDEPVYGMRRSRSSGDVREPKEEMKDVTPLREMKPERIFGKMGHLQRLLDRFLACRPTGLAKTERMIMVALYPMVKESFKLYADICEVLAILLDKFFDMEYQDCVKAFDAYTSAAKQIDELVGFYNWCKDIGVARSSEYPEVQRITGKLLETLEEFVRDRAKATKSPERKVEPQPVEKEEEPVPDMNEIKALPAPESYTPPPPAEPEPPKPVVPEVAGDLVDLRDDGVTADDQGNKLALALFAGPVANNGNGSWEAFPANGQPEVTSAWQNPAVEGGKADWELALVETASNLSKQKAAMGGGLDPLLLNGMYDQGMVRQQVSSSHLSGGSASSVALPAPGKTPVLALPAPDGTVQTVGQDPFAASLCIPPPPYVQMADMEKKQGLLVQEQVVWQQYARDGMQGQASLSKISAGGYYSPGQPPMMPYGMPPAYGMGVPPAGYYHTPI >CDP15671 pep chromosome:AUK_PRJEB4211_v1:8:6496481:6497498:1 gene:GSCOC_T00015623001 transcript:CDP15671 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIQPANSSSPQRSTSTPKETFLPSTEAPPPLLTPGFPREQPSEFSLTTWWPGQLHRTTKVLETLC >CDP13457 pep chromosome:AUK_PRJEB4211_v1:8:1240136:1241519:-1 gene:GSCOC_T00038409001 transcript:CDP13457 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhU [Source:Projected from Arabidopsis thaliana (AT5G21430) UniProtKB/TrEMBL;Acc:A0A178UN62] MAASATTAAAAAAAAAATPHFCSSTSSRTSNNGSRGSCHFKLPDARKWPRRVVVVRSSGSEVSAEETAATSETVESEETTPAEVPQGPPSLISPLNVDKALRGIAITDADHYGRLGLRRGCSYDQVFLAYKKKVDELLSQGLSEEELNTNLELLKESYSILSSVEERRLYDWSLARTEKPDRYMWPFEVDITQTPTGTPPPQACYVSLSVRKFPNSKSAT >CDP12135 pep chromosome:AUK_PRJEB4211_v1:8:30534913:30536047:1 gene:GSCOC_T00035529001 transcript:CDP12135 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKPVEQLKKESQTKFAKNESAETHTLVLVQQKEYQSKVNGKNESQATARPLENQAKISKSAEQPADAAADPLLWDCGSSLYDSFELKSFERQLDSAIHSRTLSMPHLSDRRVIDGGNHHQPPSQPPQPMSKKSSKISRSFHKFLRSVFKPKAQNGNKNPFFPANEQQATRDGFYVVYDIDKSGALSTIPEVSEFDGLSPEYKSLVRRTASDRFAVSSMGISCA >CDP09738 pep chromosome:AUK_PRJEB4211_v1:8:29600365:29601914:-1 gene:GSCOC_T00030191001 transcript:CDP09738 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQEEHPKASAVAAKRWPKKAPSEDTEASAVAQIARFLRWSNFNMDLGFTLLCFFPLDSSLIVPEGACCKCGCEDIAAAAAVDEFFFLPIATQIWKLNKSIKIRFKHLMRNRSHNIKNSNSKIQTSK >CDP13464 pep chromosome:AUK_PRJEB4211_v1:8:1177296:1184616:-1 gene:GSCOC_T00038420001 transcript:CDP13464 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLYLNNSAKDIIFSLLEDRFQFLNPNTSSDSLFSSLVGTVRILLCVANSPVNSETLDKYPSLECVVGSTTGLNHIDLAECRRRGIRVTNAGDVFADDAVDYAVGLLIDTLRRISVADRFVRGGSWAIKGQFPLSYNVWGKRVGIAGMGSIGRRISKRLQAFGCSIAYNSRKPKANISFPFYASVQELASNVDILVVCCALTNETRHIISRDVMKALGKEGIIVNIGRGSLIDEKEMVKLLVKGEIGGAGLDVFENEPVVPQELYALDNVVLSPHRGGAVPESLLRLQEIMIANIEAFFANKPLLSEIELESLSWIPS >CDP13009 pep chromosome:AUK_PRJEB4211_v1:8:3112403:3113113:1 gene:GSCOC_T00037738001 transcript:CDP13009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGLLSLTQLQKLAQFQPPQPQPPTSSATTSWMWNPKQVAEDEDDSWEVRAFEQDTGNINGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQAPPGMNNPTSPSTASSTLIIPAQEFITNGGLCLVYSLANPNGTVLTPTPMNSCMDSPSPLLSVSSFPTNTLISPCPYTYPATPHSMNSSICQSSNTERSASNSNENNILDNIGQNRKDSAIEELDLELRLGRQ >CDP13020 pep chromosome:AUK_PRJEB4211_v1:8:3254260:3268280:1 gene:GSCOC_T00037757001 transcript:CDP13020 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNNDEQQLRDAKRAYKEAKEVGNRAEEARWANYIGNIHKNRGEYVQALKWLRIDYDVSSNFLPDKQLLPTCNTLGELYLRLQDFKDALKIQKKHLQLAEDTNDLIEQQRACTQLGRTYHEMFMKSDDDHSSIKNAKKYFKSAMKLAKNLMRNPSSCKSTFVAEYVDAHNNIGMLEIDLDNLEEAQTILSRGLEICDEEELSENHDGRSRLHHNLGIVYMELRKWDKAREHMDEDISICNRIGHCQGEAKGYINLGELHYRVQKYDEAINCYHMALQQAESMEDEDVLVSQIEQNIKTVKAAINVMDEIKKDEQNLKRLARNMQLARGTAGERKCLLQQVASLDRLIEKSSMIFAWMKHLEYAKKRKKIANEICDKEKMGDSFLLIGESYQKLRKFKKALKWHTKSWETYNLIGNLEGQALSKIDIGNALDSNGNWMEAFKAFEEGYRQVSSPITFSLLIAVEANMPSAQLSALENMHYSQMIRFDDVDKARSLKSLIDKLKHLTPKETQGQDMPNDCSETETEIDDLSLNPPEVRISPERSISNASRSKSLSANDLSENAPLISFLRNGKSAEKLRAVHDATVETAVKLPESSPRKASIASGSQAAGRKRIRLVLSDDESENDGEHTSRRTAYNFHAEEVATSNGCKSIPILFLLYAYTSSEDKSNLKSPVHELQDLSPVASRHAISTCTPVNLEESSCSHKSETSALAAQDAKDFRDPYRNKFDKSGNLNYKHNMSNLDPSACCAESCQHMIFKVNDDFVHLEPDSCMLGDELSMEQLKVGVACLYYLQLPSVKRAKGLVPDVQDLKRDGKVLETLEAGDELKNHTFGNNIFEVSLGVWVPKPVMKLYVDCCKELSEQPDLKVLKKLYNLEVSEDEVIVSDCGLQDISVAPLLNALHAHKTVAVIDFSHNLLGNGTMERLKQVFTSSGQDYGALVLDLHCNLLGPTALFQICECPVLYNRLEVLNVSGNRLTDACASYLSTILKKCKALYTLNVEKCSITSRTIQKIADSLDSGSVLAHLSLGHNNPISGNVIINLFVKICSLKRFQELDLTGIKLSKPVVDSLCQLATNSCLSGLILGGSYIGTDGALQLTKSLANETQELVKLDLSSCGLTSDYIVRLNIEVSLIYGILELNLGGNPLMQEGGKALASLVANPQCGLKVLVLSKCQLGPVGILRILEELACNSSLEELNLAENIHPESNASECCLIPLKEGSNFKQTNPNLPESLLEAYASKEVQGSPQELCTVNAEYNQLEVADSDDDTTGEKVAPSGLSDNPIDSSQKKELRLESNFIPDILAAISRAKHLLSLDLSDNGFCQSVAEKLYTAWSASSRAGLAQSHIQDNMIHLSVRGHKCCGVRPCCRRI >CDP13482 pep chromosome:AUK_PRJEB4211_v1:8:997137:1002647:-1 gene:GSCOC_T00038439001 transcript:CDP13482 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MATVQAVMGNLSASDRRILTAVNTGASTFSFIGSGFIVLCYLLFKELRKFSFKLVFFLALSDMLCSFFSIIGDPSKGFFCYAQGYTTHFFCVASFLWTTTIAFTLHRTVVRHKTDVEDLEPMFHLYVWGTSGVVTVIRSISNDHGHISHIGAWCWAQTGRTGKASMIFAVHFITFYAPLWGAILFNAVTYFQVIRMLKNATRMAAGMSERIFQFDSRPDVKVLNRWGYYPLILIGSWFFGTINRIHDFIEPGHKIFWLSLLDVGMAALMGLFNSIAYGLNSSVRRAIYERMDLLPERLRKWFPKSLRSRGQQQESELVSLKIQDQQ >CDP12997 pep chromosome:AUK_PRJEB4211_v1:8:2966364:2972015:1 gene:GSCOC_T00037723001 transcript:CDP12997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eyes absent homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) UniProtKB/Swiss-Prot;Acc:O82162] MDETLILLKSLINGTYAEAFNGVKNVQNGVEMGKMWENHILQICDDYFFYEQIENCNQPYLDVMREYDDGLNLSGYDFHHDGFGPPFDDVNKRKLAYRHRVIAQKYKKGLHNIIDQDMVKSWEILYDSTDRYTDRWLSSARGCLEHCAAGNTDLIPPIDSTHRSNCARTGCDHVNVLVTSGSLIPSLAKCLLFRLDDLIACENVYSSWEVGKLQCFSWIRDRFSRPNVQFCVVGDGWEECEAAEAMRWPFVKVDPWSTSYHRFPGLTLKDLDLYRSVVYGNPEGENEE >CDP12134 pep chromosome:AUK_PRJEB4211_v1:8:30523367:30530018:1 gene:GSCOC_T00035528001 transcript:CDP12134 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSDGAPEEGSIPLLVSENPRDDQSIPEKNREHDAGAVFVLESKGEWWHAGFHLTTAIVGPTILTLPYAFRGLGWGLGFFCLTVMGAVTFYSYYLMSLVLDHCEKSGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGISIGAILLAGECLQIMYSNLSPNGSLKLYDFIAMVTVVMILLSQFPTFHSLRHVNLGSLLLSLGYTLLVVGACIHAGTSKNAPPKDYSLEGSTLSRSLNAFTSISIIAAIYGNGILPEIQATLAPPATGKMFKGLAMCYAVIFVTFYSAAVSGYWAFGNKSNSNILKSLLPDEGPSLAPTWVLGLSVVFILLQLFAIGLVYSQVAYEIMEKKSADVKKGIFSKRNLIPRIILRTLYMLFCGFFAAMLPFFGDINGVVGAIGFIPLDFILPMLLYNMTHKPSKSSLSFWINNSIIIIFTGVGLLGSFASIRKLVIDAKTFKLFSSDVVD >CDP14972 pep chromosome:AUK_PRJEB4211_v1:8:8222830:8228139:1 gene:GSCOC_T00042491001 transcript:CDP14972 gene_biotype:protein_coding transcript_biotype:protein_coding MREGDGGLEQVLHSIEQNSHHHSTTAADGDGQQKLKVLITSRNEDGRHQTQGGKKVVEMMPLTPEMSISLLKQGAVAKVFEISGVESVVAKFINRKTDLAPGEVSLLAKLLSYHQQVSELQGLEHTLEEAWYGDNYNCTQLLLSGYEKVSDGVLVDFSWQGSHFFRDNGSVHYSELISYWILEGYLGPVNSLEEAYEEGHRILMQLMDCQMLKEVNDDFVHMVRVTGDVSYHHRRGYGGTANLGLANVLVKSNDWQGIGKLTKVDGMIRTLGSYKRVQQPLTLLLDGNCLSRENPNNLLLSNQELQILGLFSLRIASFPHSFNNFKKLNVLVLRDCDFLEKIDDIQELMTLTVLEVSGSGLVKSMPKNFFQHLKKLRSLHFSDFQIEVMPDSFYHLTELSWLILKRFSHLTKLQSLKECQNLMVVDLSGAASLPTFPEKNLKSLPKLQTLNLSNSKIKSLPIFHETGELTHLSVSSCSNMDRVPSIRSLTNLQVLDLSWSTIVEFQDKSFQNNTSLKILDLSGTAIPSLPFNIGKPREFYLKNCSEIKYMNCIESSEELEILDFSGACNLVKIEGKFFECLENLRVFNLSGTKVKDLPSLSSLHNLRQLLLSCCLNLEKLPRLASSKLEELDLSDCKAMTMIEDKSFEHLPRLRRLVLNLSETLLKELPALTNLKSLKHLFLRGCGQLEVLPVLEVLHKLETLDLSQTALRQLPFVGSLSNLHKLLLRDCSKLENFKNHKLLDTSGVENLPCGISRLTQLQHLALPSMKEDIQAADTNEVTSWKQKPSASHWSFSIVDRTVPNTSKSLLSYNGSLFLEFLDCNPSVLDSTSNHFHLFVHPTEVQNGAGDMLFHKDELVFRDVYLLSRHCSKSQGRLVEIHHLSAFSQGIEAVLHNAEYIFLFDSLFFKSFSDLGAGNIKTLKGCWIEGCENMEFVIETNDSVDSSERGIELEILWISNASSLRSIYSENLQLGSFQNLKCLYLDCCPKLLSVFFSSHLLQMLEILHVRFCENLVALFGDDVEEHKLPNLRTLRLWELPKLKRIGCIMPSLQSLEVGECPMLGHMLSSRHVPEKLEVLKVRNCSELGNLLEGLTSDNCKLPHLAEVHLWGLPKLTRIGIESPLLRSLEIGDCSVLLHVSSLTDMPENLEVLKVRFCDNLEAIFVGMTSENF >CDP07880 pep chromosome:AUK_PRJEB4211_v1:8:19551283:19552906:-1 gene:GSCOC_T00025365001 transcript:CDP07880 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPFNFFAFSLFLAFIVGIGKRWKRSNAAKRLPPSPSKLPIVGNMNRLIVSQPHHTLRKLAQKHKALMHLQLGEISSIVISSPCLAKEILKTHDLAFAIRTQFLSAKIICYKCSDIAVREYGDYWRHMRKLCSPELYIIDHHIDNLAWTKTATGEFDHKNLTDALLRVKERGDLQFLSTNDDIKAVLMVMFFLVTFSSYALQWLRHKSKYENLHRKYTIEESDVQELEYLKLVIKENFRLHPRRNVKLMDISFPTNKMPGQLEDPKFWDDLDSNKPKRFENNSIDFNGSHSEYVPFGAGRRIFPGIPFGFANIELPLALLLYHFNRKLLNGPISSDFDMEDLVRIIAPTKKNLRLLATLYDPSPDLPTQTL >CDP10010 pep chromosome:AUK_PRJEB4211_v1:8:27253011:27256854:-1 gene:GSCOC_T00030544001 transcript:CDP10010 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEELGGEEEGLEIAERPEEEEKEVAKSAASGEAVHNVGDSGGGGGGGGDIVLWSTKKVLVGAGARALFYPTLLYNVVRNKVQAEFRWWDWIDEFVLLGAVPFRSDVSELKDLGIGAVVTLNEPYETLVPTSLYQAHGIHHLVLPTRDYLFAPSLDDICRAVDFIHENASCGQSTYVHCKAGRGRSTTIVICFLVKFKQMTPDVAYNYVKSIRPRVHLASSQWQAVQEFHYVQVRTTCASSLLTDLVLKSSKLFSSRDLLAFDDGSAVIITNSDLAGYDPNQDPVAVRNEIWADVNFIYRVKVAGAIALTKLSCLWLRCHTQQKVLGEELTGESSCLTGAEQLGDITVDIHVYSS >CDP08175 pep chromosome:AUK_PRJEB4211_v1:8:24584292:24584845:1 gene:GSCOC_T00026922001 transcript:CDP08175 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSTAQMVFDINAEHNPSLEGHVFPNPVDASGYMVMLWYKNGSLTREYIRNRCAEKS >CDP08303 pep chromosome:AUK_PRJEB4211_v1:8:25846416:25847138:-1 gene:GSCOC_T00027095001 transcript:CDP08303 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFFMQDDVSIVNHWLVNGKHYAQTSEEWLKRMDQNLSSIAPIMQSTYGKDSAVKWTVYWRTFFIAVAELFGYNDGEEWMVVHFLFKKKLSA >CDP11771 pep chromosome:AUK_PRJEB4211_v1:8:6447268:6450060:1 gene:GSCOC_T00034268001 transcript:CDP11771 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSFPLSSSFIIITRLLVYEFMTRGSLEKHLFRSNQSSTDIINPLTSIPLPWSNRIKIALGAPICGLIIQRAVLVETLDCFWRNHPINMNRLSLIRLHFRSSGHRNPLEDYKIDGW >CDP11687 pep chromosome:AUK_PRJEB4211_v1:8:4786562:4788178:1 gene:GSCOC_T00034144001 transcript:CDP11687 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLINQLSALLSQETKLLGGLRPDVQFIKDELGSMKAFLRQAEAKEDNDSQLQEWVKQVREVAYDTVDVLDDFAFRFARGHADGFIGRVGKIYNSIMNSKARHQISLEIKDIKARVVEISARHQRYQSLYGTQEIGSSSSNVANADYDIRDQALLIEEAKLVGIDQPKKELISEVLDDNSHLKVVSVVGMGGLGKTTLAKKVYDDAAVEKQFQSHAWITVSQNFQFNVIIKDLIQQLYEEIRQPVPPQVESMNRVRLSQFVRDFLKERRYILVLDDVWSLDAWEAIKYVLPDCNIASRVVLTTRITDVASASCLESHDFIHKMSPLSYEDSWTLFCNRTFQSNGCPSNLEEVCRKILKKCEGLPLGIVTMGGVLALKDKDKIDEWEMIFRGFGSEVDGSGKLDRIRRILLLSYNDLPHHLKNCLLYLSIYPEDHPINVEILLGKWIALGFIEEEEGMTATDIAMRYLKELVNRSLIQVKETRHDGKLKECGLHDFLREIIVSK >CDP18804 pep chromosome:AUK_PRJEB4211_v1:8:229296:235198:1 gene:GSCOC_T00005581001 transcript:CDP18804 gene_biotype:protein_coding transcript_biotype:protein_coding MYYWFKKFRDQPGSEMGGFTRILHSGKSDPFMDEIPTFVAQPLPSGMDQGYIVLNRPWAFVQWLQQADIKEDYILMAEPDHIIVKPIPNLSRDGLGAAFPFFYIEPKKYESLLRKFFPDEKGPITNIDPIGNSPVIVGKEALQKIAPTWMNVSLTMKKDPETDKAFGWVLEMYAYAVSSALHDVHNILYKEFMIQPPWDTEIGKAYIIHYTYGCDYDLKGKMTYGKIGEWRFDKRSYDNKWPPRNLPLPPPGVPDSVVTLVKMVNEATANIPNWGS >CDP07863 pep chromosome:AUK_PRJEB4211_v1:8:19117895:19121188:-1 gene:GSCOC_T00025336001 transcript:CDP07863 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQVIHLLSNAQNLSTELFNLQANCPSASRKFRTSMMRSSCLTSTSRIGGLPSLMTKLERRFFLSVANGDRVMTDNGKNEFNIANASDSDEQSSATDLPLADSLEGGDEIQSKAEGGSVPKLSDVNNGSLASINSKEESPSSNQMPAIKRSPLTARERLRAARVLSRYTESKASKPELGSKLLDALKESDKGKRSGLPEAPANLFDDSKRGMPKPGWTFEFPGGFDLFLIVFSFVFISTVMFATTYIVWKLGGIHFNEY >CDP12986 pep chromosome:AUK_PRJEB4211_v1:8:2860948:2862270:-1 gene:GSCOC_T00037708001 transcript:CDP12986 gene_biotype:protein_coding transcript_biotype:protein_coding MANLKPQTISKVLIKPSLPTSEHLKTYNLSVLDQLSPHFYLPIVLFYPPPDSDNSSNYTTISSHLKKSLSETLTHYYPFAGRLAPNKDSIDCNDEGLDFYEARIECKISDVLKNPDPETMDSFSPPGMLFNESFQGSPFIVQVTYFSCGGTAISVSMLHKVTDACALLGFINDWAALASGSMVSPMFLTQQVISPYKGEINVPGINLDKNINVVTRRFSFNPSKLSDLKAMASDDLGKIHSPSRVEVVAALIYKCAMAASEAKSGMSRPSMLMQAANMRPRVVPPLPDNCAGNFSWFFSIMTNDEKDKNMTTIISAVKKAAQGFSEKFGNGLSADNCYSLICESIEGARKVMRQNQGEIEVYRCSSLCRYPFNLINFGWGRPIWVGTSTSQMKNTFHLLDNPKSGGIEALVTLEESNMSMFEKDEELLKFAALNSTAIDD >CDP12255 pep chromosome:AUK_PRJEB4211_v1:8:31419350:31423158:1 gene:GSCOC_T00035685001 transcript:CDP12255 gene_biotype:protein_coding transcript_biotype:protein_coding MILNRRDVCSDLLAPPGRGVNEIHRQSLQPYYYRRRKMLLWSKRGCINSALVTTTAPQLN >CDP12027 pep chromosome:AUK_PRJEB4211_v1:8:29645196:29650972:-1 gene:GSCOC_T00035385001 transcript:CDP12027 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDSDVYENENGDPGSLNEILNGLSAAAIHHEGSKFSDIFPLKNGSFADLPAARISEMMKLNSLENASTPSLFSVVNAILDESIERKNGDIPQCVASLLKLVVQEIEQRVSKHAESFRKQSNLYKSREEKYQSKIRALETLTTGTTEENEIVINQLQKIKLEKLKIDEKRKVEEQDVIRLMRQKDQYEIEMSLLKQELELSKKSYEKSCAELVTQAMETKAELEKKLKHTESLLNDSRKKVKELEAFSESKYLRWRRKEHGFKDFIDSHAGALQELRAVSESIKKEVLKTKKTYREEINHFGWELKGLVDAAQNYHAVLAENRKLYNEVQDLKGNIRVYCRIRPFLPGQSQKQTTIEYIGENGELVVTNPLKPGKDSHRLFKFNKVFAPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPGVSAVEDWGVNYRALNDLFQISQRRKSSITYEIGVQMVEIYNEQVRDLLCNESTQKRYPFPPNGIWRTDFFFSSFSIEIFFICLYYFFHWRIIVFS >CDP13504 pep chromosome:AUK_PRJEB4211_v1:8:796036:797949:-1 gene:GSCOC_T00038464001 transcript:CDP13504 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNQNIRSPEFPYCHWRFITTGEEISISLSSSFTTSMAATPCSPQPISCFTSTQKQSSRTNNLCSQKILTGGKFCFLKVKASASKRSSDEPRRKPNSLLCADCNGNGAVPCSQCKGNGVNSADYFNGKFKAGDSCWLCGGKKNMLCGNCNGAGFIGGFMTSSDT >CDP17894 pep chromosome:AUK_PRJEB4211_v1:8:21282384:21284142:-1 gene:GSCOC_T00011881001 transcript:CDP17894 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDLSENYHILQSHFIDLVQKYSGDELPKSRKRMFEAENSFNTHTNDGHEDSVLEEGGSPKRPREIRTNISRVHVRVDLSDTSLVVKDGYHWRKYGQKVTKDNPSPRAYYKCSFAPSCQVKKKVQRSVGDPSILIATYEGEHNHQHPLNAETLVSSAHGAASAAFSPGLKCFEFSSRPKETVDFTNHGLCAKFQRSVSEIGSNCIEQLMVEQIVSSLTRNPSFTTALATAISGRLLSHDSDQKK >CDP16161 pep chromosome:AUK_PRJEB4211_v1:8:23618184:23624862:-1 gene:GSCOC_T00017243001 transcript:CDP16161 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTVVSASPTSAAGSSVFAHIVQAPEDPILGVTVAYNKDPSPVKLNLGVGAYRTEEGKPLVLNVVRQAEQLLVNDSSRVKEYLPIVGLADFNKLSAKLILGADSPAVQDNRVTTVQCLSGTGSLRVGAEFLARHYHERTIYIPVPTWGNHPKVFTLAGLSVKSYRYYDPTTRGLNFQGLLEDLQSAPSGAIVLLHACAHNPTGVDPTPQQWEQIRQLMRSKALLPLFDSAYQGFASGSLDADAQSVRMFVADGGECLVAQSYAKNMGLYGERVGALSIVCRAADVAGRVESQLKLVIRPMYSNPPIHGASIVATILKDRNMFHEWTLELKAMADRIISMRQQLFDALRARGTPGDWSHIIKQIGMFTFTGLNSEQVTFMRKEYHIYMTSDGRISMAGLSSRTVPHLTDAIHAAVTKVA >CDP07204 pep chromosome:AUK_PRJEB4211_v1:8:10644508:10644768:-1 gene:GSCOC_T00024374001 transcript:CDP07204 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKVKKTKRTAPKSDDIYLKLLVKLYRFLERRTGSRFNAVLLKRLFMSKIDKPPLSLSRLVKFMEGKEDKIAVLVGTIC >CDP08164 pep chromosome:AUK_PRJEB4211_v1:8:24504098:24505936:-1 gene:GSCOC_T00026911001 transcript:CDP08164 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQIGSHEENSSLPCLLEGFLGLGSPNLVTDDLETVTKTPFKVSSVKAGGMMSLAIDDLGGLWIWGNCPHPQQSMSPQGEFSLVSCSAPIPLWSLHGQTVVKVACGNEHVVALVSAEETYNGNDLLCYSWGSNSHGQLGLGDKESRLYPEVIQTFNLESPWAVYEVACGAFHTAVLTNKKEPSDTLESFCWTFGLGDNGQLGHGTTKSVLSPEPVLELPQNTFLISVDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGTDSGDAISPLLITSSSLYGPRNPEPVQVACGAAHTVLVGDKGYKLWSWGRGRSGVLGNGKSDDYFAPTLVLWPPLVEDFRKEVSGNGGGGGMKNEAKNPEAIAETEKMLSAAMEEMKLLASKLSVMERYASILHGSVFGKAFEEQDIPMSLQRSGTFDIAKEWENMLESLNHGNLVRLEKFYRNMLAGVKDKLMKRRIQELIKECLNSTSNGN >CDP08278 pep chromosome:AUK_PRJEB4211_v1:8:25587236:25589965:1 gene:GSCOC_T00027057001 transcript:CDP08278 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQSKNLENFLLIESSSCPTRIMDKWTNSDDLYKNILRGQGNPLISGPSANFIAGSTAGCTTLVIIYPLDIAHTRLAADLGRTGTRQFRGVSHFLSTIYEKDGIKGIYRGLPASLQGMIVHRGLYFGGFDTIKEIMSEETKPDVALWKRWIAAQAVTTSAGLISYPLDTVRRRMMMQSGLEQPMYRSTLDCWRKIYRTEGFSSFYRGALSNIFRSTGAAAVLVLYDEIKKLMDWTGL >CDP18480 pep chromosome:AUK_PRJEB4211_v1:8:22748865:22754184:1 gene:GSCOC_T00009051001 transcript:CDP18480 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTQSRIDNEESVSRCKERRNLMKEAVSARNAFASAHSGYAVSLKNIGAALSDYAQGEAPPSAAAVGIEPTLEPPPRPPPPPGSPLPPPPPLPSFSPSPSPPLQRSVTMPEFSSSKLMRVKMKGIDEDEDEEEGFEEDDERNGSSLRPRNRRNAVEEIAPETPVRTPYTPPPPESKGMAWDYFFMVGNMPGSDLGEVEEEEYIMEEQNGNEGGRFSNVGGSDDFKTPEKVGIDRRGMETEEEVTPVVVVKEKQFMHSKTAPAGISGVGNGNIEKVVASSSGVDFMKILSDVDDHFLKASESAQEVSNMLEATRMHYHSNFADNRGHIDHAARVMRVITWNRSFRGVPNGDVVNNDPDAEEYETHATVLDKLLAWEKKLYEEVKTGEHMKLEYQRKVTLLSKLKKRGASIESLEKAKAAVSHLQTRYIVDMQSLDSTVSEVNDIRDKQLYPKLVALINGMTKMWESMWMHHQDQKQIATDLKLLDVSAAPGETSKHHHERTCQLLHHVEDLHSHLEKLMTNQNQYIHFLNSWLKQNLIPIESSLKEKISSPPRSQNPPIQPLLQMWHDHLEKLPDDAAKSAIHTFSEVVKRILVHQEEEMKLKETCEETRKEYLRKSQAFEDWYHKYMQRRTPHDDADPDRPEETNPNDPLAEKRFVVESLKKRLEEEMEAHQKHCIQVREKSLTSLKIGLPDLFRAMSDYAEACLNAYGSLRSLAQSHKPNGVSS >CDP12091 pep chromosome:AUK_PRJEB4211_v1:8:30167419:30169025:-1 gene:GSCOC_T00035470001 transcript:CDP12091 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSLLKYWRGGGAVFKSDGPTNLRAADSSSSSSAATTTIVTALSPFSSDSDSDGGDDGPFFDLEFTLPEEDDDSDSEEQMKDHKDLVPNVDEQVENVKNDEEEEEGEEIKFTLSSSSSGDSNDPNVSLSPSDDLFFKGRLVPIDSSSMILSAAEALPKFHPVSSILKSATKFRVQMLNFNKSKSNSRENHKKSEPQEKPENQERKQQTSKLFTVKLKVEEVPLVSLFTRDNSSKGTNSKSIKRSNDVVVENTTDSNGPTDERKFSKDVMQKYLKKVKPLYVRVSKRYGDKLRFSGQLSFPKPGAGPPPTTAEVACEAAGGSNVVKSTQKQGNLQAGLRVVCKHLGKSRSASSAVVTAPAAPVASNRRDDSLLQQQDGIQSAILHCKRSFNASRDLEASILSRSVSDPSHEKSVS >CDP12999 pep chromosome:AUK_PRJEB4211_v1:8:3017392:3017653:-1 gene:GSCOC_T00037726001 transcript:CDP12999 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAFHLPPVDLLRNEDSSIIQRNIAEMFRGTSGCDKKKMFKRYHKLQLKAFLIWFVP >CDP09834 pep chromosome:AUK_PRJEB4211_v1:8:28806524:28807447:1 gene:GSCOC_T00030308001 transcript:CDP09834 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFHTVTFIVFLSLASSTGLQIEAQGIKSARLLDLVIRDYTFQSYDRFFGTGKLHAVSLPANLSGIKVDTVRFRCGSLRRYGAKVSEFHLGTGVTVNPCVERVLIVAQNLGSNWSSIYYDNYELSGYQLISPVLGLLAYNAGDNINFSSPFELGIQAGKDPIKIDFRNTTKLNATTGIIPLCARFERDGKVTLANQASPNVCVSTRQGHFGLVIESPLMPMKKQVSKWKIAVGSSIGAALGAFLLTLLLIAIFVKVKKKARMEELERRAYEEEALQVSMVGHVRAPTASVTRTVPIIEHEYTPPPH >CDP12940 pep chromosome:AUK_PRJEB4211_v1:8:2237620:2238065:1 gene:GSCOC_T00037644001 transcript:CDP12940 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSWFKGTKNDTNSKPQWRPGPPPADITVFEFGSVATSTDKVTLASFSPVSDELEPCRWEILPAQGSDAPQFRVVF >CDP18426 pep chromosome:AUK_PRJEB4211_v1:8:22236162:22259785:1 gene:GSCOC_T00007240001 transcript:CDP18426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) UniProtKB/TrEMBL;Acc:F4ISL7] MSATLHNPFFGGPLLSNTKRNLLNSNAVCPSRRNLRKCRCAEKDEWVSRGAKFTRFFGRNVELLWKNLGLRSAWVLNCVNEPLTRSRTLVESLAPVWEEGLLLVRCSVFCAVISGVCLLLWYGQLKAKSYIEAKLLPSVCALLSERIQRELYFGRVRGISPLSITLESCSVGPHSEEFSCGEVPTVKLRVLPFASIKKGKIVIDAVLSNPTLLVAQKKDYTWLGLPFSEGSAPRHLSAEEGIDYRTKTRRTAREEAAAQWARERDDLAKQAAETGYVVSEGSPALHGDDCFQENTIQPSRLATQGSFYSTDEKLHWRDHHCMDAGIEYDMKHADLEKSFGVKFSPTGVNFWSTVVPGPIRQKFKRKANERDLSKESVAATRRVLERSASAASAYFRSLSGGEFGKPTQSSEALAVPLPRSEGKAVAQPALPDITTATVENRGSAEDGRFESTEEGSTGKGLLENSLKNNILDEGSSKMLRLTNGKYAGEQSNLHLGSFSLRRDPFLFTLSLLIRARNSGENFSSVSNLGTTETDRVDVTTENSDASNVIERVMDLGNECNRSAEQIQFSDSNTMHDQEGYTPSSSNQIERDSSAMVDHPVMLPFTVKLGFPYFIRKVGDVWSRLFAGPVQSLKSNWGSRAGDIVALFEGEDQEHSVSTHNEIPVILDSVQFRGGTLMLLAYGDNEPREMDNAVGHVKLKNHYERVHVRLSGSCKMWRSDLTSEDGGWLSTDVYVDIIEQKWHANLKVVNLFVPLFERILEIPIMWCNGRASGEVHICMSNGETFPNLHGQLDVTGLAFHIYDAPSSFSDLSASLCFRAQRIFLHNASGWFGKVPLEASGDFGIDPERGEFHLMCQVPSVEVNALMKTFKMRPLLFPLAGSITAVFNCQGPLDAPIFVGSALVSRKMSQFASDIPSSVAYEAMMSNKEAGAVAAFDHVPFSYVSANFTFNTDNCVADLYGIRTNLVDGGEIRGAGNAWICPEGEVDDSALDVNFSGNFCFDKLMHRYIPGYLQLMPLKLGDLNGETKLSGSLLRPRFDIKWTAPKAEGSLSDARGDIIISHDYITMNSSSTAFELNMKVLTSYPDEDWLNQRDYDAKVALPLVVEGVELDMRMRGFEFFSLDSSFAFDSLRPVHLKATGRIKFQGKVAKTSSINNEQNMHTGHNLEASENNSYAHILSGDVSISGLKINQLMLAPQLAGVLSISNGGIKLDATGRPDESLAMEIVGPLQGISEENLKEKMLSFSLQKGHLKAIACYRPLLSANLEVRHLPLDELELASLRGTMQRAEIQLNFQKRRGHGVLSVLRPKFSGALGEALDVAARWSGDVITVEKAMLEQSNSKYELQGEYVLPGSRDRSPAGKERGSLFRRAMTGHLGSVISSMGRWRMRLEVPRAEIAEMLPLARLLSRSSDPAVRFKSKDLFIQSLSSVGIYAQSLQSLLEEIRGLSSPLDEIVLEDINLPGLAELKGRWRGSLDASGGGNGDTMAEFDFRGEEWEWGTYKTQHVLAAGAYSNDDGLRLERIFIQRDNATIHADGTLLGPKTNLHFAVLNFPVSFVPTLVQVIENSATEAVHSLRQLLAPIKGILHMEGDLRGSLGKPECDVQVRLLDGAIGGIDLGRAEIVASLTSTSRFLFNAKFEPIIQNGHVHIQGSIPVTFVQNTLMEEENTEREKNEGKWTHNWPVEKTKGSAEEPLDRKGSRERIEEVWDSRLTESLKGLNWSILDAGEVRVDADIKDGGMMLLAALSPYANWLHGNAEVMLQVRGTVEQPVLDGSASFHRATISSPVLRKPLTNVGGTVLVNSNRVRIGSLDGRVSRKGKFSVKGNLPLRTSEESLGDKIDLKCEVLEVRAKNIFSGQVDTQLQISGSILQPNMSGKIKLSHGEAYLPHDKGSGAASFNRDTSKQSRLPAGGYNRAVASKYMSRFLSLKPVASSAPFHEPSGKRAEVEKEMIPVNSKPKLDIRLSDLKLVLGPELRIVYPLILNFAVSGELDLNGIAHPKLIKPKGILTFENGDVNLVATQVRLKRDHLNIAKFEPDNGLDPMLDLALVGSEWQFRIQSPASKWQDKLVVTSTRSVEQDVLSPSEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGHARWRLVYAPQIPSLLSVDPTVDPLKSLASNISFGTEVEVQLGKRLQASVVRQMKDSEMAMQWTLIYQLTSRLRVLLQSAPSKRLLFEYSTASQD >CDP12137 pep chromosome:AUK_PRJEB4211_v1:8:30547986:30549457:1 gene:GSCOC_T00035531001 transcript:CDP12137 gene_biotype:protein_coding transcript_biotype:protein_coding METTKQEEARHLQPHIIILPGPGLGHLIPFTELAKRLALHHNFSITFIIPTDEDTPVEVRISLTVNRSLPSPRRALVGTNFSIGSSSCSCTRICALVVDLFSLSAIDVAKEFGIPSYVFYPTTATCFSLVLHMPELDKIYPGEYRDSPEPIELPGCFLLHGKDLMDPVQDRKGKAYKRLLQIRDRYNSAQGILINSFMDLEPGPIRALKVSRVLSLKPPVYPVGPLVQGSGIISSRGSVLFVSFGSGGTLSQKQLNELAFGLEMSEERFLWAVRSPNEFAAFCGRTKEKGLVVASWAPQIQVLSHGATGGFLTHCGWNSDLESIVHGVSLIAWPLLVKVGENGLVGKEEVARLAKGLIGGEEGKGLGLKMKDLKGAAARALRGDGSSVKALAEVAHRWSSSNVQK >CDP09916 pep chromosome:AUK_PRJEB4211_v1:8:28193689:28198078:1 gene:GSCOC_T00030409001 transcript:CDP09916 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGWHEPSNPAPSPYAPLLGDDDQRTGVKAKCRRPTKFILLLVAGLLAVGLLVALVDRDGYAKKLSSSMPNDEATSTSSGLESTPRPAVARGVAEGVSAKSNGPFLFAGEGTPFSWTNQMLAWQRTAFHFQPRKNWMNGNKFSPLLYKGWYHLFYQYNPDGAVWGNIVWGHAVSTDLIHWRHLPIAMVADQWYDQNGVWTGSATILPDGQLVMLYTGSTNATVQVQNLAYPADPSDPLLIEWVKYPGNPVLVPPPGIHFKDFRDPTTAWYTSEGKWRITIGSKVNKTGISLVYDTVDFKSYELLDGVLHGVPGTGMWECVDFYPVSRTDENGLDTSDDGSGVKHVVKASLDDDRNDYYALGTYDGVRGKWIPDDPTLDVGIGLRYDYGNFYASKTFYDQEKRRRVLWGWITETDSEAADIAKGWASLQAIPRTVLYDKKTGTNLLQWPVEEVEKLRLNGKSFDGVEVKPGSVIPLDVGSAAQLDVVAEFEIDKEAKEMVNGTDAEYVCSTSGGAAERGALGPFGLLVLADNDQSEQTPVYFYIAKGTDGNFKTSFCSDLSRSSKATDVRKGVYGSTVPVLEGEKLSMRVLVDHSIVESFGQGGRTCITSRVYPTNSVHENARLFLFNNATDAKVKASIRAWQMNSANIYPSNDSMNKFNFVVLKSCSAIFIVAILYGFFF >CDP13501 pep chromosome:AUK_PRJEB4211_v1:8:862527:863375:1 gene:GSCOC_T00038461001 transcript:CDP13501 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPTLSDVYTFYSIDRRIFTRLVMFLTRDPAQSLLVMAFWLCLEDMNFGSCITRKLTRLSNPTLNDVAEEAVQCLNCLELGTPPALRNRSRELPLTSMIVEKRLSLRLFYENKFTMICGIKAFLNHVCANAFADILAQFYPSQANFNYPLIIPGFPHPTFGSLAIIPRAPDYVFPCEGIWGWGLNIEAPEDDRTIFLTFSRGYPVTETEVRELFASYYGDNCVESVSMEPSPDSPDQSLYARLVVRNIKTIDRVLGKGPIAKFKINGKHVWARKFERRD >CDP13449 pep chromosome:AUK_PRJEB4211_v1:8:1298023:1305450:-1 gene:GSCOC_T00038401001 transcript:CDP13449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-amidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12040) UniProtKB/Swiss-Prot;Acc:Q8RUF8] MKSFLSHLRLLSFKIGLCQLAVTPDKERNILHARTAIEEAAEKGAKLVVLPEIWNGPYSNDSFPVYAEDIGAGFDKSPSTAMLSEAARLLKVTIIGGSIAERDGDKLYNTCCVFDTDGNLIAKHRKIHLFDIDIPGKISFKESKTLTAGESPTVVDTEVGRIGIGICYDIRFQELAILYAARGAHLICYPGAFNTTTGPLHWELLQRARATDNQLYVATCSPARDPGAGYQAWGHSTLVGPFGEVLATTEHEEAIIVAEIDYSQTELRRTNLPLEKQRRGDLYQLVDVHRLNSK >CDP12996 pep chromosome:AUK_PRJEB4211_v1:8:2959890:2962958:1 gene:GSCOC_T00037722001 transcript:CDP12996 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYKSLPPRPSIEEVAAAISVVKTVSYEEQERLEEISKQVAPQDVPPELFSVLQEVRKSKVLFRSHEQRKEAVHLVELDKIFQTFDELIQRASELVSGKTSPEKELNLGGPDGEIGIEVVISDDESVHRNEEPKIEDSKGMSLNASSEPSSIPSAAKYEESKQLSLMRVAAIIESSAKAEAEFLDLQGKLMDKIEWLPLSLGKLSHIIELNIADNNLMVLPSTIGSLKSLRKLDVHSNQLFNLPDSFGELLGLTDLDLHANRLRSLPASFKNLKDLINLDLSSNRFAHLPDAIGNLTSLKKLSVQLNELEELPYTIGSCLLLVELRLDFNQLKALPLSISKLQYLEILTLHYNRIKVLPTMGNLLHLKELDVSFNELESIPESLCHAVSLKKLNVGKNFSDLRALPKSIGNLELLEELDISDNQIFVLPDSFRFLANLKVFHADQTPLELPPTGIAKLGAEAVVNFMAEFVTTRDMRPQRPVKQRGFCLQFFVNCFQVETA >CDP11754 pep chromosome:AUK_PRJEB4211_v1:8:6074576:6076879:1 gene:GSCOC_T00034244001 transcript:CDP11754 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHPFPLKYILSPQVLLKSIRYVSSKPQWNSNPNPNLIISHPTLVLIESCNSMPELKQLQAHMTRTGLIFHLFPISRLLSFCALDPNGNIHYAYLLFSQILHPNTYIWNTMIRGYANSPFPEMALFFFNRMISEDVEMDNKSYVFSLKGCGFLSGIERGLLVHCRIWKVGFVDDLIVRNALVHFYSEKRQLCNAKTVFLESTVRDVVSWTSMIDGFVRKSMADEALNFFQKMCSSGFEPNEVTMIAVCSACSLKGDLTLARSVHELVARKGVKCSLNLMNSILDMHVKCGDLDKAEKIFQEMEVKDVFSWTSMINGYAKNGDIELARKCFNEMPQRNAVSWTAMVSCYSQNNRPREALEIFSKMEMEGFIATESSLVCVLSACSQLSCLHTGKRIHDYVKQERVTLSLILANALIDMYAKCGCIDAAADIFNKMPEKDLVSWNSLIAGNASHGHAEEALVLFEQMISIGFKPDSITFVGILSACAHGGLVNQGWNYFRNMKVHGLIPTVEHYACLVDLLSRVGHLEEAHGLIKQMPMQPDAAVWGALLNGCKMHGNLKLGNLAADKLIFLDPKDSGIYTLLASLCAKERKWSDVKMVRSMMKAKGTKKNPGCSFIEVDGKFHEFLVADETHPESKAIYLLLDEIFLLSELELYSSGHEYTCDFSPM >CDP11746 pep chromosome:AUK_PRJEB4211_v1:8:5953129:5954180:1 gene:GSCOC_T00034230001 transcript:CDP11746 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQTGLVKDATVSVNRCIWGPDGSILGISQFFYLTLRIVTALGSMLVLNYLLCLFIDAHIGGVNDIAFAHPNKQLCVVTCGDDKMTKVWDAVACSRLYIFEGDEAPVYSVYPHYKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGLWCATVAYSADGIRQFQKLYAV >CDP14465 pep chromosome:AUK_PRJEB4211_v1:8:14062027:14062977:-1 gene:GSCOC_T00040957001 transcript:CDP14465 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKVQISLSHIFPPALAPWLSFVGLLWKVVPFPLFEFQSKWIAGTLCGRLSLPSPKEMMADIQAFYSSMEASGTPKRYTHNMAGYQFEYDDWLAAQCGCLPTEEWRK >CDP08275 pep chromosome:AUK_PRJEB4211_v1:8:25577059:25579852:1 gene:GSCOC_T00027054001 transcript:CDP08275 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLIWILVVHILVIAYQGEVGASERIQKDFQYNPKQPYRTAYHFQPSKNWMNDPNGPMYYKGIYHLFYQYNPYAAVWGNITWGHAVSHNLIDWIDLEHAIEPTEPYDINGCWSGSATMLPGGNPVILYTGADFKNRQVQNLAEPKNPSDPYLKEWIKAKNNPLMTPINGIDPQFFRDPTTAWQGPDKRWRVVVGSQIDGHGTALLYRSKDFVTWTKSEKPLHFSNKTKMWECPDFYPVIVSRRNGLDTSVHSKNSKHVLKASFDDQDYYIIGTYNPETDKFIPDVDFMESYLKLRYDYGIFYASKTFYDSAKRRRILWGWVTEADDKLDDIKKGWSGLQSIPRSIVLDKNGKQLTQWPIKEIERLRRKEVSLQNKGVKGGTAFEITGITASQADVEVSFHLPNLHDAELMHPEKVDPKILCSEKNASAKGVIGPFGLMVLASKNLTERTAVFFRVFKSHDDKYAVLMCSDQTRSSLRKEVNKSSFGAFVDVDPKENISLRSLIDHSIVESFGGGGKTCITSRVYPELAVGQEAHLYVFNYGTKSVTISNLHGWSMGRAKIFPAHGKRMFPIPN >CDP08337 pep chromosome:AUK_PRJEB4211_v1:8:26177258:26179432:1 gene:GSCOC_T00027139001 transcript:CDP08337 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMNSYCVAFLCIIVLLPALCHGGDYYSSRATYYGTPDCLGTPTGACGFGEYGRTVNDGQVSGVSSLYRGGSGCGACYQVRCTIPEHCTEEGTKVVVTDYGEGDKTDFVLSTAAYGRLARPYMAEHLFAYGVVDVEFKRIPCKYSYNLLFLVQAHSRYPGYLALVPLYQPGAYDITAIEVWQEDCKQWRPMRRPSGAVFDMTDPPLGALTLRFQGTVYGYGDSNFWVQLTNVIPSEWKAGYTYDTGISA >CDP07912 pep chromosome:AUK_PRJEB4211_v1:8:20819933:20823552:-1 gene:GSCOC_T00025418001 transcript:CDP07912 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGYDYDEQNEKHQHHEAVDGLFNLFNKANNDLAMLHDRLDKEFKQIYPDNANPMKLVARIKKIQEEVSSLNEQCRELLAAKQDLIDKARVILVGNRSLLQRLQASTGVPVTDDSNDSAYTNFNQIIEEWTVQVRSRTGDEKQESGPEDINHLLFSAIVPGN >CDP11682 pep chromosome:AUK_PRJEB4211_v1:8:4716950:4725061:-1 gene:GSCOC_T00034138001 transcript:CDP11682 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEMDKSSKEAKEAKESKTPPQEQPSAPSTGTPDWSGFQAYSPMPPHGFLASSPQAHPYMWGVQHLMPPYGTPPHPYVAMYPPGGIYAHPSIPPGSYPFSPFAMASPNGVAEASGNTPGNTEVDGKPSEGKEKLPIKRSKGSLGSLNMITGRNNEPGKTAGASANGAYSKSGESASDGSSEGSDANSQNESQMKSGQRQDSAETSQNGSAAHGSQNGGPNTPHSMVNSVPMLPISAPGAPGGLSGPTTNLNIGMDYWGSAASPTVPAMRGKVPTAPVAGGMVTGGRDGVQSQLWIQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRADALKEENASLRAEVNRIRSEYDQLLAQNASLKERLGEVPGPDDPRSSRNEQHAGNDAQHSGQAEPLQRGQ >CDP12939 pep chromosome:AUK_PRJEB4211_v1:8:2234468:2236909:1 gene:GSCOC_T00037643001 transcript:CDP12939 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSWRYSRDDALLVEEAKLVGIDQPKQHLISKLLEGHDHQFKVISVVGMAGLGKTTLVNKVQEDPDVRKNFPVRAWVTVSQTCDFPKLLKDLIRQLHEEGNEPVSQSIESMTTARLKKFVNDFLQKAGRYAIVFDDVWDVEFWNAIKFALPEGNYGNRVMLTTRKADVASASCTESQDYVYRMEPLSIEDSWTLFCNKIFKGNRCPAHLMDVAKAVLDKCEGLPLAILAIGGLLASKDANRIDEWEMIQHSLGGELEGAGKLERVKRILSLSYNDLPSHLKPCLLYLSIYPEDYLIECHRLTLLWIAERFVEWREGMSIEDVAWAYLSELISRSLIQATKVFYEGTPHTCRIHDLLREVILIKSREQNMATVTTGQPMTWPSEKVRRLAIHSSSNILRSSKMLKVLDLNGEEIEETPNEIFNLLHLTYLRLCGTKVERVPRAIGKLQHLEYLNLGYTGVRELPVEILKLQKLRHLLVFHPVDPSDEDYGYYGFKGPPKLGGLLALQTLNTIDASSGSIIVKEIGKLTQLRVLYITQLRGEDGKELCSSLVNLTSLRELSIIDLNHHQHSLSSSSSCSFLQSLRVLIMRGRLETMPVWITHLQNLVKILMNWSGLRAEEDPLESLQHLPNLGEIGFCGSYQGERLCFKAGGFLKLKRLWLRRMEGLRWMTVEEGACPNLQKLVLNQLPSLEDLPWGIQHLSHLQELALYEMSSQLIEKVENQKEDSEDYRRMAHILEIVIGFYADDGEWRIRRLWGKKKKTFLA >CDP12229 pep chromosome:AUK_PRJEB4211_v1:8:31223262:31225340:1 gene:GSCOC_T00035653001 transcript:CDP12229 gene_biotype:protein_coding transcript_biotype:protein_coding MEDILAAAASSPASLLCEATLAAPKQRLQFIMQNQREWWVYSIFWQASRDRDGRLVLSWGDGHFRGPKDCSQRAKSDGFGDGRDVADSEWFYMLSLTRCFVSGEDLLVRTFNSGSYAWLVGDHQLLFYNCERAKEAHSHGVKTFVCISTSGGILELGSSELIKEDWGLVQLCKTLFGSEDQNSTSSTSTTTTTGDAILGGQPISTGSAGMAHTGPKLLETQNFMPEAAGKWSKVRSPSSRRRMREASMTGQEMALNHVEAERQRREKLNHRFYALRSVVPNVSRMDKASLLADAVAYINELKAKIQELEARVGVGSRGGAQYHDRKLLVTTATSCGDFIQETQSTVTADQNQSGIHEIHVKFVNGSVAILHILCPDVNYPSAKLMDALRQMELQVCSASFSPVQDLMLHDVVIRIPRNDALATEDALKTALLRRLRL >CDP13412 pep chromosome:AUK_PRJEB4211_v1:8:1836385:1838039:1 gene:GSCOC_T00038343001 transcript:CDP13412 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSLKKNYRCHQSLQQFYTGGPYAVSSDGSFIVCACDDTIKIVDSSNASIKSTIEGDSEPVTALCLSPVSNNSFLFSASHSRQIRIWDLSSLKCIRSWKGHEGPIMGMACHGSGGLLATAGADRKVLVWDVDGGFCTHYFKGHKGVVTCIMFHPDPNRLLLFSGSDDTTIRIWDLRTKKCVTTLEKHQSAVTSLDFSEDGQTLISAGRDKACHISLYEK >CDP13526 pep chromosome:AUK_PRJEB4211_v1:8:626505:627211:1 gene:GSCOC_T00038499001 transcript:CDP13526 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIDPCTKNATWAPDAANPRIACECSATVCHITQLKIYALDISGELPQQLFQLKELMDLNLGLNVLSGSMPAEIGQLSKMQCLSFSSNNFHGLLPTELGRLTSLGQL >CDP12240 pep chromosome:AUK_PRJEB4211_v1:8:31303050:31305564:-1 gene:GSCOC_T00035666001 transcript:CDP12240 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSVNGQSQVPPGFRFHPTEEELLHYYLRKKVASKKIDLDVIRDVDLNKLEPWDIQERCRIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNSKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNAATNAHDIVVPTHASSLVGDPTSAEEGWVVCRVFKKKNYHKAVESCQHSAASMDSRSFGYDSSASGNDGILDRILVYMGRTCKQENGAISSATTSHMFDSNDDSDSMLPMITNDPTNSSSSASALISDGLLRAKFMHLPGLADNNNNNNNSSSFSQQEDCSFGACEQSMSMNDIIVSRETEPSVSCTMINGQDANCSSINTPATADDQDPKVGGGLSDWVALDRLVASQLNGGQAAGDDEVFCFPLEQEHRGSYSTSNLRSSGTSSRSSSSRPNHQETSQVLLYGMGNEVDFWSFARSSSSSSSDPLCHLSV >CDP12223 pep chromosome:AUK_PRJEB4211_v1:8:31181659:31182445:1 gene:GSCOC_T00035644001 transcript:CDP12223 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRSSAADQERREGAEVVYGAEACYQHSIQLLEELGFPKGVLPLQNLEECGRVRATGFVWMKQKKPYQHFFTGSNTLVSYATEVTAYVEKFKMKKMTGVKSKQLLLWIPIAEMSIDDPDHKKIYFNTPLGIGKFFPITAFMTQEEKRKYLESTGE >CDP12961 pep chromosome:AUK_PRJEB4211_v1:8:2603413:2608152:1 gene:GSCOC_T00037673001 transcript:CDP12961 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRAKRSPPFWPSIVMKKWLNIKPKAHDFSEDEVDTETESEDDVDSLKNERIDQDHARRIQGSQSVCQNEDSGNYSKEYSPRHRRGKSETLRVQYISRRDVRVTIGTWNVAGRLPNEDLEIDEWLSTQEPADMYILGFQEVVPLNAGNVFGAENRRPIPKWEAIIRRTLNKSPEREAIQKSYSAPPSPVLRTSAAADMLAEVAETPILDTISMQSIGTNGICETEMTVAKDIQLQRIFGMDSNNRLDWPERSLDATPQVLSSRLKLRRVLSSSGRMNEMSEESRGLKRVHHSSENLAMMWMDQQDGLEVLNPLSDGSDQYSEEEDDSFLELSEAKQKLEAKDPVKLRPRYVRIVSKQMVGIYVSVWVRRRLRRHINNLKVSPVGVGLMGYMGNKGSISLSMSLYQSRLCFVCSHLTSGQKEGAEHKRNADVNEILRRTLFTSVFESDQPQTIPSHDQIFWFGDLNYRISMLDEEVRKNVANKKWNELLNSDQLSKELRSGHVFDGWMEGVINFAPTYKYEFNSDRYVGEDPKEGEKKRSPAWCDRILWLGKGIKQLSYKRTELKLSDHRPVSSMFSLEVEIFDHCKLHKALKSSAAVHPDIFLNEGGEFEM >CDP18473 pep chromosome:AUK_PRJEB4211_v1:8:22505101:22505899:1 gene:GSCOC_T00009035001 transcript:CDP18473 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIESVNDSPAFLPSQVADSTPFSSKSVPEILNKFSVNPHSAQAEAIKKTIAECEEPAIEGEVKYCATSLESMVDFTTSKLGKNVLAFSNEAPKNAGKIQKYGIVVVSKLNNDKAIVDDELAICHKQNYVYAVFYCHSFQNIDAYMVNLVGADGAKVKAVVVCHKDTSSWDPRHLVFQLLKVKPGTVPICHFLPADHIVWVPKH >CDP12473 pep chromosome:AUK_PRJEB4211_v1:8:15942000:15942875:-1 gene:GSCOC_T00036058001 transcript:CDP12473 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKYIKIFGFSHSYFSGHHTWPIVGNLPELCKKRLTFLWIESIIQKLATDIGCIRLGNVLVTVVKSLELALEFLVKKDNVFLSRPLTMATEYVSRGFLGMAVSPWGSHSNKDCSVVNLRFALRHYSVNILRGILFSRRFFGRGGGDEEAEHLDAVFTILRCMYVFTVSDYLPSLRIIDVAGHQKTIKDAMSVFNKYHDPIIEKRIEQRRNGKKHEPENLLDVMITLKDERGRPLLSPDEIKAQCTVS >CDP08190 pep chromosome:AUK_PRJEB4211_v1:8:24742698:24744872:1 gene:GSCOC_T00026946001 transcript:CDP08190 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEHSREPCPDRILDDVGGAFGMGAVGGSAYHFLKGIYNSPKGERLIGGTQAIRMNAPRVGGSFAVWGGLFSTFDCTMVYLRQKEDPWNSIFAGAATGGLLQMRQGFGAASRSAMFGGVLLALIEGAGIMVNKLMNAQQMQQMEMQVPHMAGAPGYPMGQLPGQAPVNVEGLRTGSSASSSSASSSSSSGSSWFGGFFGGGKEEEAGTSSGSKTQVLESFDAPSPPTFEFK >CDP07827 pep chromosome:AUK_PRJEB4211_v1:8:18025640:18026001:1 gene:GSCOC_T00025231001 transcript:CDP07827 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNIRTKTYGENFGISSNILVRLCKIFFPFFKIVF >CDP08230 pep chromosome:AUK_PRJEB4211_v1:8:25184018:25190463:-1 gene:GSCOC_T00026995001 transcript:CDP08230 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSNPNHHYNSSNETRSPATTSSDFVLQWGSRKRLRCMKFQAKVRDNGNNRDSSGLQPGGLRAVVGVDRRLVRSDHNKDLSQPSDANNSNGNSNNGGNVYLNLRQRPASPSHRILSPRRNNVRTLCSVFSDYKLLFLNSESSLAMRGQSNGVRGLASPDRVGAGGHDRKGGNNSLHHHSNGTKNNSNNNNDNSNNNNDNSNNINGSASSDSRKGGGTSSGSDAIPAVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKVSKKRPRGLRAMGNMDSDSE >CDP12121 pep chromosome:AUK_PRJEB4211_v1:8:30422941:30424786:-1 gene:GSCOC_T00035511001 transcript:CDP12121 gene_biotype:protein_coding transcript_biotype:protein_coding MEINKRMCNGLVVVVLIAVIFTVFMPAAAEGHVHHSFPPAPAPAPTSDGTTIDQGIACVLMLLALVLTYLIHTLEVPLNFQVI >CDP13514 pep chromosome:AUK_PRJEB4211_v1:8:732522:736840:-1 gene:GSCOC_T00038482001 transcript:CDP13514 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSRGCFGCCTKPPHIISVDEPSKGLKIQGHRVKRPSIKEDFWSSSTYEMENSAFPSQRSVSSISTSNLTLDQQGTSSSTSNPTEFVNHGLFLWNQTRQLWIGDKESKRPKQPQEPKLGWNATYESLLGTNKPFPQPIHLPEVVDFLVDIWEQEGLYD >CDP12186 pep chromosome:AUK_PRJEB4211_v1:8:30898310:30900770:-1 gene:GSCOC_T00035594001 transcript:CDP12186 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSLISSPSLNSPSPTVLSFTRSLNSPFPKFHKPLHPLTKIPVLSVKAQTLDFSGSFFEGGLGADDDPPISPGSGIAAVEDKEEPQCPPGLRQYETMAVLRPDMSEDERLTLTQKYEELLVAGGGMYVEVFNRGVIPLAYSIRKKNKAGETNTYLDGIYLLFTYFTKPESMEVLESTMKADDDVIRSMSFKVRKRKY >CDP07896 pep chromosome:AUK_PRJEB4211_v1:8:20328995:20335736:1 gene:GSCOC_T00025387001 transcript:CDP07896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MEDKAGTAGAVAVAGKTIQELAVEGQKHLEETIESAFQILSSMNDELCNPNLWSTNPNPNSSTAGAAASAAIANGIVNNGSTSAMSNGHHTASNGDVSSDSSSSSSHQLDIGVGVGALEDARMRYKSSVASLRSVLTAISNSQKVKASEMVSTSGSGSPTDQADIEKLEEQASALRKALVERNKYLKLLIDQQRDLISDICTWQSPCSV >CDP17890 pep chromosome:AUK_PRJEB4211_v1:8:21196759:21203058:-1 gene:GSCOC_T00011877001 transcript:CDP17890 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEAVFSIKSLLQQYYTSASPPLKNLFYTVVCRVATVLKTRYTAPGFWNAGLGLFLEGEQLISGTSERKHLQNCIAHAREHLGEAENRSEDMGSAQTRNTGFLFEGHLTVDPEPPQPDWLVQSNFLSAAATLFSGESSNEPVGNSNTSEEAANLVRQLFDRLDTMVPVILEDGPAAPRAPPASKEVVAKLPVTTVTADVLTKLGADSECAICTESLVLNDKMQELPCKHIFHPPCLKPWLDEHNSCPICRHELRTDDHDYESWKEREKEAEEERKGAANAVREGVSMYI >CDP12230 pep chromosome:AUK_PRJEB4211_v1:8:31240019:31244308:-1 gene:GSCOC_T00035654001 transcript:CDP12230 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKARSRSALLMTGRFLNHLLSLIMFSVLDLLDFALCYLYKVIDFFVEAERKPCYCSSAKEAITSSGKILVSEQGESKKVVCLTSTKLQLEEISDTLYTRPALLSEVSKSTVNRLTANNRAVIQKPDKNTKRGGGGRGTLRSTFTVNSTIVEMLQGKIGAAHQPPHPPMPRWSDCDCKTCNSWASSCKETLYVKVQGATDNVEEDVIFIHGFISSSAFWTETLFPNFSESAKSKYRFIAVDLLGFGRSPKPTDSLYTLREHVEMIERSVLEPHKVKSFHIVAHSLGCILALALSVKHPASVKSLTLLAPPYFPVPRGVEASQYMMRRVAPRRVWPLMAFGASIACWYEHISRTVCLLICKNHRLWDFLTKLLTRNRIRTYLIEGFCCHTHNAAWHTLHNIICGTAGKMEGYLDVVKNRLKCAVTVIHGRDDEVIPVECSYNVQSRVPRAQVKVVENKDHITIVVGRQKAFARELEEIWKISGL >CDP13025 pep chromosome:AUK_PRJEB4211_v1:8:3326336:3327358:-1 gene:GSCOC_T00037764001 transcript:CDP13025 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTNRQMNRHTDNLGVNKIGKNIKKSPMHQPNFTNAARQQPQPQVYNINKNDFRNIVQKLTGSPLHNQEPSAPRPPQNPPKPASMRLQKIRPPPLTPINRPPMVMQPPVPVPAPAQPPASVPYNNFTRPPLPQYGQPSPTMLPPFTPGDAWPNTAESPISVYMRYLQNSIIDSGPRQPFPQSIPQGPGPNQAQPSASGLLPNPHMPPFPSPRMNMPPPLPSPRMNGPPPFPSPRMNGPPPSLPSPRTNGPPPLLPSPTSQFLLPSPTGFLNLFSPRSSYPLLSPGFQHPPPMTPNFSFSPMAQSGILGPGPQVPPSPGYGFPLSPSGFFAIPSPRWRDQ >CDP09752 pep chromosome:AUK_PRJEB4211_v1:8:29491316:29494864:-1 gene:GSCOC_T00030205001 transcript:CDP09752 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVFADRGSQPSRAVLIFCKANGIEFEEVQIQLAKGEHKSPEYEAINPMKQVPAIEVDGKFRLFESHAILRFLATAFPGIAEHWYPADVFKRAQIDSALDWHHSTIRRGSVGVVLNTTLAPLFGQPLDPQAAAEAEKLLSASLAKIESFWLKGDGPFLLGNSEPSIADLRLVCEIMQLELVDEKLQKRILDPYAKVRKWVEDTRKAIQPHFDEIHVAFFQMKEMIKKALAGAGSGETKTG >CDP12047 pep chromosome:AUK_PRJEB4211_v1:8:29817104:29819551:-1 gene:GSCOC_T00035416001 transcript:CDP12047 gene_biotype:protein_coding transcript_biotype:protein_coding MLETELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGDEVKLQRNALSVLEPPTGNEEDDDYDFDDSSSGCEVGEKESHRFSAGIEFSKLSKARVRYARPLVPSASTKSISRNSGSELKSNSHAPQQHHGSNMAKLGTGSLWRYCQRFKLASINSNLTKEQLSNIVQQHFSSQQVDEVQVVVEFVRAAKRLRAIDAHRDRL >CDP08222 pep chromosome:AUK_PRJEB4211_v1:8:25084227:25090240:1 gene:GSCOC_T00026986001 transcript:CDP08222 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGTDLDFPNFGRLGGALDWQLESSMPLFGTKFSANPTYHKHFYFYPLAALVLLHYLMMTSCTAMKRLSLGTDQSALLALNARITSEQHEFLSKNWSSTAAPSSVCDWIGVQCSSRHQRVTDLNISNMGLTGTIPPDLGNLSFLVSLDLRNNSFHGNLPEELSHLRRLRFILFSNNRFTGEIPMWFGHFPELRFLFLDNNGNFLEGNIPEKMGNLSVLKDLSLSGNYLSGQIPLSLCKISQLQSLDLSSNRFSGHIPKEIGNLEKLTYLSLMTNNFTGTIPREIGNLQNLQGLNLEWNQITGSIPKEIGNLTMLTELYFANNSLTGTIPQEMGNLYQLENLQLPYNGLNGSIPRGLFNLSALRNIALSSNLLSGNLPPDLAYRLPKLLFIELAGNNLGGVIPVSISNCSQLRVLELSINGFTGSIPDALGDLRLLQYLKLLLKTSEIKGTIPSQTGNLTNLLLLDLQSNHLTGGIPTAFKDLQNLQDLTTNRFSGSIPECFGNMTSLRDLELGNNFLVSAIPNSFWNLRDLLQLNLSSNSLNASLPLEVGNLKAITSIDVSANQFSGDIPRTTGDLQYLLNLNLSQNQFHGSIPESFGNMLSLQGLYLSHNNLSGFIPKSLEALRDLKELDVSYNHLSGEIPSGGRFRNFTAGSFLFNDALSIAVKVFNLELEGVLKSFDVECEVLKNLRHRNLVKVISGCWNQDFRALVLEYMCNGSLEKWLYSDNYFLDTLQRLNIMIDVASAVQYLHEEYSTPVIHCDLKPSNVLLDEDMVAHVSDFGIAKMLEKEESFAWTKTLATVGYIAPEYGSEGLISAKCDVYSYGIMLMEVFSRRKPNDEMFAGNLNLKSWINDSLPNSILRGFSSIMELALKCVGESPTDRLRMKVVLETLKKIKLKFLQVRQADE >CDP08277 pep chromosome:AUK_PRJEB4211_v1:8:25583905:25587032:1 gene:GSCOC_T00027056001 transcript:CDP08277 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDGGGEAEEKSGSGSGVRFSSKAKALGLRESGQSNWLTNFHRDLLAGAVMGGVVHTIVAPIERAKLLLQTQESNIAILSGPHRRFKGMFDCIARTIREEGILSLWRGNGSSVLRYYPSVALNFSLKDSNVKFHHLDLIQLVPVPGTEFPMLSLHESSALSRLGHL >CDP12265 pep chromosome:AUK_PRJEB4211_v1:8:31514581:31518503:-1 gene:GSCOC_T00035704001 transcript:CDP12265 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGNCASTAFFFLFYCPCFFVRQRELSHTHCSSFSPSIFLFFSLILLIIFYLVLCSLFFLLVERLNIYFDSRFKGNASFSTSQSKPKFWPFSIVQFWKVPLKNASTI >CDP12128 pep chromosome:AUK_PRJEB4211_v1:8:30483783:30490692:1 gene:GSCOC_T00035521001 transcript:CDP12128 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHRWRESIKSFFGSHIDPDKDEELKESKAEMEDRVQKILKLLKEDGERDGNGPLANMIEDFHRHYESLYTRYDHLTGELRKRIHGKHGKDTSSSSSSSDSDSDHSPSKKGSKNGKIGNDFEKVVDDYKLGLETATLEVADLKRKLVVAIGEKETSDSEYQNALDKIQASEKVIKDLNVESERWSEEKLKLLGENEELNKRLEIAGKLEAELNQKLADINSEKDSLIFEKEATISRIEEGNKTAEDLRSYSSLLKDEKEALQLELEATKEKLSRAEEKLESSQMQVAELSSMLRAAEQENSSLSLKILQLSDEIKQLQHKLEDHVAESRQLRDKLDEKAKEILAHETHKSEVSVHVRGLETELDLLRTQREEIERQKEGELSDMLKKLEDKEKDSSSQLEYLTAKKKDMQVEIDTLLSQKSELEEELSRKSNEASATIKDLTDQINEKQQILDSLSIEKVELGRQLERRTQEMSESLIQMDALKEELASKSADQQKMLEEKESSMSQVKNLELEVSSLLLLKDEMEDQLRSKRKEITELHGEKEIIQTKISEMEQIIIEKESKVSSLQKRLENGEIEASARFAALTEQVNNLQEQLNSLSALKIESDALLEKKTAEIGEYANQVENLKEELASKLVDGQRLLGEKDGLLVQINDLELVVESLRNHKSELEGHINSKVDESNRLSEENKHLQSKISELEKVLTERMDELSCIQKILDDANIEASTQIDALNEQVKNLRQERDSLQSEKSQLELQMERRIEDFSANLAQAEDQNSELANQVANQERKLKEQEDAFNKLSDEYKQLELLFEKCKENFRVTEIKMTEIVEESQKNYESKNQTVNELEEVIEDLKRELEMKIDEISTLVENVRTLEVKLRLANQKIRVTEQLLTENEESYKSKEEKLHNEQALLEERIATLSGLVAAHKEAHLRLMRDVPEKVNDVMIEMDTFNMKFEEDYGHLESRIYEILNEFKVTTNWIKETNGEKEQLRKQISIIVQQLRDEKEHGLVLTEKVGDMEKSLQKGEDEKISLVKSLKGLEEKLGQLGRVVKEKDEMLGELEQKIKSKDDGISELGEEKREAIRQLCIWIDYHRNRYDDLKEMISKTSPARRQITA >CDP07207 pep chromosome:AUK_PRJEB4211_v1:8:10657412:10661543:1 gene:GSCOC_T00024379001 transcript:CDP07207 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDDHEKGLFSHGHYPPGQYPPPPGAYPPHGGYPPAQGYPPAGYPPQGYPPSGYPPQGYPPSGYAGGPSAPHHSGHGPGMGALLAGGAAAAAAAYGASHLAHGSHMGHGGHGGYAHGGHVPGGHYGHGKFKHGKHGKHGMFGHGKFKHGKHGKHGMFGGGKFKKWK >CDP11758 pep chromosome:AUK_PRJEB4211_v1:8:6180217:6184005:1 gene:GSCOC_T00034249001 transcript:CDP11758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor PHL11 [Source:Projected from Arabidopsis thaliana (AT5G45580) UniProtKB/Swiss-Prot;Acc:C0SVS4] MYGGVGGGGVGGSCYPFERNGGGGGGGLGGVVMTRDPKPRLRWTADLHERFVDAVTKLGGPDKATPKSVLRVMGLKGLTLYHLKSHLQKYRLGQQAKKQIPAEQSKENSGDTFGQYNSHASGSISSRVNTEKREIQISEALKCQIEVQKRLQEQLEVQKKLQMRIEAQGKYLQAILDKAQKSLSLDVNNPCNLEATKAQLSEFNLALSSFIENVNAEERNAEIMDKRMLSDMNRKMSEQNYLGLNHEETHDVKLRLEGASINFDLNTRSSYDFSGTS >CDP18853 pep chromosome:AUK_PRJEB4211_v1:8:17133591:17137520:1 gene:GSCOC_T00005858001 transcript:CDP18853 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQPFFSDKFLSQALYISRINSSPRRAIIINTKRTGTVAYLHQPPQKLYVSGQAKKRGTNCHAVADQVGGEVKQSGGSTAEAIKSVDDVVPYDWTEEWYPLYLAKNIPEDAPLGLTVFDKQLVLYRDGTGELRCFEDRCPHRLAKLSEGQLLDGRLECLYHGWQFEGDGRCVKIPQLPANASIPRSACAKKYEVIDSQGVVWVWMSHKTPPKPEKIPWFENFARPGFKDTSTIHELPYDHSILLENLMDPAHVPISHDRTDFTAKREDATALFFKLTERTGRGFAGWWGRESDQSTPNYVPNFLRFEAPCVLQNNREIIDKNGETHYFSGLFLCRPSGQGKSMLIVRFGSTRKPSGLLKIIPQWFLHQNSSKVFEQDMGFLSSQNEFLMKAKVPTKVLYLNLKSSDVWVAEYRRWMDRVGHGMPYYIGYSTISLPKVPAVIEQAPAGFVANLSASQPAKGGIGTMHAPNFANRYFRHVIHCPDCRTTVKAFEAWKNALSAVALVSTALAILASGKQWKALLLFSTSLCLVGIHGCSTVIAMNTTNFIRTHRRA >CDP15674 pep chromosome:AUK_PRJEB4211_v1:8:6640956:6643341:-1 gene:GSCOC_T00015632001 transcript:CDP15674 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDYIQPSRSSHRLSADLLWGIGGSGDLPDSKDIKKKKNTSNFYTKQLRSEIIDLDDEFEADFREFSDQAVEELEDVKPFGFSASKHSAILSRGTKCGNSSDSSDESGKYLKRKRKNHYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEAAARAYDTEARRIRGKKAKLNFPDDTQLSASRRTVVANPQKVRVKEDQSQVQPRSSSFGCSDFGWEEQCPKTPEISSIFSSAIDWEEPQFVEDANSSKNLKTSPLDLVPADGNNKKLSEEFSAFESQMKVAQMPYLEGNWDASLDAFLNGPAVKDGGDEMDLWTFDDISAMMGGVY >CDP11723 pep chromosome:AUK_PRJEB4211_v1:8:5470094:5477330:1 gene:GSCOC_T00034195001 transcript:CDP11723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 4 [Source:Projected from Arabidopsis thaliana (AT1G32050) UniProtKB/Swiss-Prot;Acc:Q9C6X2] MNRSSDPNPFDEEEQEVNPFSKGNAAPGSKSRVPQFVSNTLGFGQKHDATVDIPLDSMNDSKKKQKELADWEADIKRRERDIKRREDAVASAGVPADDRNWPPFFPIIHHDIANEIPVHAQRLQYLAFASWLGIVLCLVFNVIAVTVCWIRGGGVKIFFLSIIYALLGCPLSYVLWYRPLYRAMRTDSAFKFGWFFLCYLIHIGFCILAAIAPPIVFHGRSLTGILAAIDVFSDHVLVGIFYLVGFGLFCLESLLSLWVVQKVYMYFRGHK >CDP09930 pep chromosome:AUK_PRJEB4211_v1:8:28009980:28010372:1 gene:GSCOC_T00030429001 transcript:CDP09930 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRSKSTVIFLSINIFFFVLVSACNTPSPPMPKPIPNPTPTPAKGSCPRDALKLGVCAKLLSIGTVIGNPPDTPCCSILGGLLDLEAAICLCTALRANILGINLNIPISLSLLVNTCGKKLPSDFICA >CDP10009 pep chromosome:AUK_PRJEB4211_v1:8:27264127:27268239:-1 gene:GSCOC_T00030543001 transcript:CDP10009 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRSRSFTSLISPKRSNFSLSKFGLFSTFASSAEKLMTHLQKNESKVEKSLDRVKFKLNTACINEVLQRCSVDKPQMGLRFFIWAGLQPKYRHSAYMYGQACKLLEIKQKPHIISDVIEAYRMENCAVSVKMFKVLLYLCREAKDANLGLRVLRKMKEFNCRPDTTAYNVVIAMFCEKREVDEAMKLMEEMGLIDLYPDMMTYVAVLKGLSDIGRLEDACRLVKAMKGHGCVPNAVVYSILLDGICRFGSLERAMEMLGEMEKESGNGKPNLVTYTAVVQRFCEMGQSMDALRILDQMREFGCKPNKTTMSVLINGLCVEGRIEEAYKVIDKVAGESVSYDECFSSLVLSLLQIGKLEEAEKVFRMILVQGLRPDGAASSTVLRQLCLVGRWLDAFRLCEGIENSGNVSIDADIYSILLAGLCQEKNLVVAAKLAKLMVERGVQLQAPYVDDVVKHLKNSDEEELVSKISRISS >CDP07222 pep chromosome:AUK_PRJEB4211_v1:8:11240326:11245230:-1 gene:GSCOC_T00024408001 transcript:CDP07222 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVRTYLNCMSCFWGCNQEAILRFDVWLSYSTVISEVNVILEIDLFWENRCCNRLGYTSEGFLLACLRESWRELGRRPANPLVRLRGKWGRHNECYDSSFHYE >CDP09997 pep chromosome:AUK_PRJEB4211_v1:8:27454291:27459516:-1 gene:GSCOC_T00030523001 transcript:CDP09997 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSDEYTMARNTMWQILLQYSARLGAPVLIELFKWNARANSTLEDFCRSYFIFYKMDVHQLQLIFIYLPILSFTESYIYQINKMQLSLSLPLLYVAYILHFVVYINLRIQTLFNYEDDVLENNFNILHMFVRIYGASRAPTMLVTGGSLEESNEALAIAEIDARLFSTVAMHPRRCKVNSGDPEKHFHSLLSLAKEGVEKEKVAGIGECGLDYDRLHFCPSKIQQQYFEQQFELAHVIRLPMFLRMPAAAEDFRIILERNKERVDWYRVVLDEAHTIKSSKTLGAQAAFKLSSYCRWCLIGTPLQNKLEDLYNLLCFLHVEPWSITIQWQKLIQKPYESGD >CDP08240 pep chromosome:AUK_PRJEB4211_v1:8:25255044:25258457:-1 gene:GSCOC_T00027008001 transcript:CDP08240 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARNANRLGLTTASYQRAAGLASIHTTLPTASSQQSSAAPTTYARAPPPSTSSPTGLPKAAEYVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGAARYDMDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPSAEGLLYGLLQLQKKINRRKDFLHWWTK >CDP18414 pep chromosome:AUK_PRJEB4211_v1:8:22344503:22347953:-1 gene:GSCOC_T00007223001 transcript:CDP18414 gene_biotype:protein_coding transcript_biotype:protein_coding MILDGCRLNPGDASMPYMACNLKSYRLASSGIPCLSPNVVLASAGSSWAPHHNRISCSKGIQILSLSFASSPLLNSELGGLSHAVPRLPKRRQFFRPPRAAKDDVPYSYRYPAMTKKPRWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGVVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLSVYWGKIGMHFWTAVAFAYLFTVLESMRCALAGMYADIPFVCDAAYIQIPYD >CDP12041 pep chromosome:AUK_PRJEB4211_v1:8:29767146:29771881:-1 gene:GSCOC_T00035405001 transcript:CDP12041 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLSLSLFFSHTLSPFLSSSLSAGCYLSRLWFICNPVDQGAEVVRMYKTLLGKEGFRKGMDLYFKRHDGQAVTCEEFFAAMRDANGADFSNFLLWYSQAGTPSLKVASAYNPEARTYSLKFSQEVPPTPGQPVKEPMFIPVAIGLLDSTGKDIALSSVYHDGKLEQLGSNSQPVYTTILRVTKKEEEFIFNDVSERPIPSLLRGFSAPVRLHSDLTDSDLFFLLAHDSDEFNRWEAGQILARKLMLSLVGDFQESKTLVLNPQFVQGLRSILCDSSLDKEFISKAITLPGEGEIMDMMEVADPDAVHAVRNFIRKELASALKEEFLNTVKSNCSSEPYEFNHPNMARRALKNTALAYLGSLSDPEVTELLLNEYRTATNMTEQIAALVAIEQKPGEIRDQVLADFYNKWQHDFLVVNKWFALQAVSDIPGNVENVKKLLAHPAFDLRNPNKVYSLIGGFCGSRVNFHAKDGSGYKFLGEIVVQLDKLNPQVASRTVSAFSRWKRYDETRQNLAKAQLEMILSSNGLSENVYEIASKSLAA >CDP12259 pep chromosome:AUK_PRJEB4211_v1:8:31470685:31481369:1 gene:GSCOC_T00035693001 transcript:CDP12259 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSPFGQASNSPFGSQSVFGPTTNAASNPFAPKAFGSTTPFGAQTGSSFFGSTSTGVFGNQNSSPLGSTSVFGSSSSPAFGTSTPAFGVSSAPAFGSSSSFGSTSVFGQKPAFGAFGAGTTQTSPFGSSFQQSQPAFGSNLFGSSTPFGASSQQPAFGAASTPAFGAASSPAFGAASSPAFGAASSPAFGAASSPAFGAASSPAFGATGSAFGAASAPAFGAASSPSFSFGSSPAFGQSASTFGSSPFGTTTTPFGAQSSPFGAQATTPTFGSSGFGQSAFGTQRGGSRVTPYVATAEVDTGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPAPAGQSTAAIGGFGASGFGSSSAPAFGQSSASPFSSSTSSNPFAPKTSSFTSPGFGSSSSSAFGSSPFGAATPSNPFGSTTSSTPTLFNSNPPFGASTSPSLFGSSNAASFGTSTSIFGSSSAQATNPPFGSGLSFGNTQSSPLFQSTTPSLGQTSSPFGQATPSFGQSAPPFGQSSIFTSPSSGFGGNLFSSTSSLLTTSNPIGFGQTTPSISTPFQVVQPAQSTGAFGFGNFGQTPSAGTSALGVTSNIFGQTAFGQSSAIQSSMVGQSVPTNPFGTLPAMPQMSIGRTGTSPSIQYGICSLPVVDKPAPVRISSILTSRHLSQRRIKLPARKYHPKPDGPKVPFFDDDEETPSTPKADAVFIPRENPRALVIRPVEQRQPRMSVEKNTQYTSSATHENGKPPEFGSAPPVNGFSAEDTDKFSVENGFKDQVHPVKQNQKPNGVSDEHSTQKGDLYSITLTGHRAGEAAVAYEYGAEIEALMPKLRHADYYTEPKIQELAAKERVEAGYCRRVENFVVGRHGYGSIKFIGETDVRRLDLESLVQFNNREVIVYMDESKKPPVGQGLNKPAEVTLLNIKCFDKKTGQHYTEGPRIEKYKEMLKRKAEDQGAEFVSYDPVKGEWKFRVKHFSRYSLREDVDESSYLSTRC >CDP09996 pep chromosome:AUK_PRJEB4211_v1:8:27464606:27466787:1 gene:GSCOC_T00030522001 transcript:CDP09996 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLNPIRSNKWRKRENQNQRPKSKAFALFILLSCSLLLFITYKRLLPPSVLSISGNSEQVLVFPQCTSSEEFRGEKFLWYAPHSGFSNQLSEFKNAILMAAILNRTLIVPPILDHHAVVLGSCPKYVSMTDIIDISSIVSDSTVRVIDFRVFVSKWCGWSIDGVCHESYRTHQRGANNLGPERTLFEDIRKCGLLLSGYNGSMSNCVYAVDEDCRTTVWTYQKDVEDGILDPFQPDDQLKKKKKISFVRRRRDVYRALGPGSEAESAKVLAFGTLFTAPYKGSESYIDIHEARKDPRIQSVMQKTEFLPFVPEILEAGKEFALQKIKAPFLCAQLRLLDGQFKNHWKGTFLVLKQKLESLKLNGPPPIHIFVMTDLPMSNWTGTYLGDLLKDSDAFKISVLRGDDNLIVETANKLMDIARGKNLESVSKRSYTVDNHCDPQSFTDILLYVEQTVCSCASLGFVGTTGSTIAETIELMRKYKACSG >CDP08288 pep chromosome:AUK_PRJEB4211_v1:8:25674790:25682222:-1 gene:GSCOC_T00027071001 transcript:CDP08288 gene_biotype:protein_coding transcript_biotype:protein_coding MWESICLTIAATAGNNIGKVLQKKGTLILPPISFKLKVIKAYAFNKAWVMGFLLDIFGAMLMLRALSLAPVSVIQPVSGCGLAILSIFSHFYLKEIMNAVDWIGIVLAGIGTIGVGAGGEEQKSSSISMFRILWLACAVAILFVLLNGWLRMYRRQRREHELMQYEVVEEIIYGLESGILFGISSVVSKMGFVLLEQGFSHLLVPICILMSICCSASGFVYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGERLPEAPIARLCLLLGWLLIISGVVLLVTSARLVRYLPRPWRRVLRSSVERNVGIRHSSSIRGREQSPSTVIQASALHHLITSPKEKA >CDP18489 pep chromosome:AUK_PRJEB4211_v1:8:22791050:22791199:-1 gene:GSCOC_T00009061001 transcript:CDP18489 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWSKAPDSSSGPRERAWVQIPLLTRFTNLFSLVIYYIFKTKIYSFMR >CDP14478 pep chromosome:AUK_PRJEB4211_v1:8:13191374:13192294:-1 gene:GSCOC_T00040985001 transcript:CDP14478 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVDHGKTTLLDYIRKSKVAASEAGGITQGMGAYKVQVPFDGKPQTCVFLDTLGHEAFGAMRARGARVTDIVVIVVATDDGIQPQTEEAIAHAKAAGMPIVIAINKVRLHLF >CDP09932 pep chromosome:AUK_PRJEB4211_v1:8:27990608:27991593:-1 gene:GSCOC_T00030433001 transcript:CDP09932 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKATTTLALFLAFNLIFFTLVSACGDCPKPKPPKPKPKPSPPPTVPCPPPPYSPTPNPPQKSCPRDALKLGVCANLLNIIGISIGSPPTEPCCSLLQGLADLEAALCLCTAIKANILGINLNVPVSLSVILNDCGKKVPNGFQCA >CDP09975 pep chromosome:AUK_PRJEB4211_v1:8:27664756:27666890:1 gene:GSCOC_T00030497001 transcript:CDP09975 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCSSRIMNRATISSLKSSFNKSASSSSSLVNRSIPTSFPKSTNATTSFLRRFSLARAPSELGAVQSMLPLHSAVATARMTSCLSSTSRSCRALSQGT >CDP07860 pep chromosome:AUK_PRJEB4211_v1:8:19057045:19062552:1 gene:GSCOC_T00025332001 transcript:CDP07860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G40190) UniProtKB/TrEMBL;Acc:W8PUX5] MATAILLWIPIAAISTILALILKLASTIFSCRRYRKKAIGFFHPFTNDGGGGERVLWCAVKAIQEEYPDLDCVIYTGDYRDASPESLADRALKRFGVQLHHPLKVVHLYKRKWVDEATYPRFTMIGQSLGSVYLSWEALCKFTPLYYFDTCGYAFTYPVARVFGCKVICYTHYPTISLDMLSRVHGRNSMYNNDTLIAKSSFLSHCKVIYYMLFSWMYGIVGSYAHLAMVNSSWTKSHIEKLWGIPDRIQRVYPPCDTSGLQALPLERPMTPPKIISVSQFRPEKAHPIQLEAFAVAITKLDLNLPRPKLQLVGSCRNEADERRLQELQDLAVELKVADDVEFHKNVMYSDLVKLLGGAVAGIHSMIDEHFGICVVEYMAAGAVPIAHNSAGPKMDIVSAEDGEQTGFLAENVQEYAEAIFKVIVMPESKRCVMAAAARRRASRFSEDRFFEDFRAAIRPILCQTSR >CDP13032 pep chromosome:AUK_PRJEB4211_v1:8:3403940:3405159:1 gene:GSCOC_T00037775001 transcript:CDP13032 gene_biotype:protein_coding transcript_biotype:protein_coding MQASPGSFDIPKQVVIVMDGLKEFSMEPLEWVLKNVADGASPSCTVTLLGVLPWLNIPFSNYLSISFSFLIVSSKIWSDIWSIYLDDLWMIKEWKNDSKYHKVRVLLDICQHYGVELEIRTEMGHPLHLLVVEQISSLRATLVVFDRHHGSKHIDYYAEKLPFNMVKMKEDGEVDMIRGRSRIDSEEGTPAYDPSCIMAPSKVIFSEPIKKLLGKRS >CDP09776 pep chromosome:AUK_PRJEB4211_v1:8:29305525:29306377:-1 gene:GSCOC_T00030237001 transcript:CDP09776 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKKTTVQPSTRVTRSSTRQAEPIPETPKKRAKTIPENPKKKKAKLVPSKPKQEAEKAEETKGDVVDGSKKTIIVEHCKQCNSFKTRALQVKDGLEKGLDGGVNVILNPEKPRRGCFEIREEGENGEKFISLLELKRPFTPMKALDMDKVVSDILEKIKG >CDP09908 pep chromosome:AUK_PRJEB4211_v1:8:28272445:28276069:-1 gene:GSCOC_T00030397001 transcript:CDP09908 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHRIAFGVMWLVLLQLITLPFVSMSIRSRATGGPFGHRVWDPLIRSPVDDPGKPEAEENEGIRWAILVAGSNGFANYRHQSDICHAYQILKRGGLKDENIVVFMYDDIANNKLNPRPGIIINRPDGDDVYAGVPKDYTGKAVSPENLFAVILGDKSAVKGGNGKVVNSSSNDRIFIYYSDHGGPGILGMPQNTYLYGKDFVEVLKKKHASGTYKEMVIYVEACESGSFFEGLMPENLNIYVTTASNAEESSYATYCPDMDPSPPEHFPCLGDLYSVAWMEDSESHNLKRETIVQQYKKVKERTSNHHTYDAGSHVMEYGNKSIHAEKLYLYQGFDPATENYPANQIDIHPRMDVVNQRSADLVFMWDRYKKMEDGSAEKGELLKQITDTMLHRNHLDGSMDIIGAFLFGPERGSAVLNHVRHGGLPIVDDWDCLKSSVRIFETHCGSLTQYGMKHMRAFANICNRGVSRAALEEACEAACTGHDLGQWDPAKRGFSA >CDP12266 pep chromosome:AUK_PRJEB4211_v1:8:31527379:31556001:1 gene:GSCOC_T00035705001 transcript:CDP12266 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAATSLSASCSSSPITGSWRTAFLSLRDETQSLPSLRQSHIQTILQLLNRFIFFQADNLIHVASLLPPDEVGADIMLLMELARNISDDAAADFDDVTQSFIQLSNFIHGTISRVSFKLDAASWVLSLDCFRRVVKAFLGKAKMNAAFIENASVISAIKHCLQSSRLLFGVCQRTNLSSGNKELLEFLHVVIASFQMESLYSSCSSGSRKVSKNQCVWEVQNTAFSIIGEVYLKVGKSLHIDIWESTIKVLRHVMDFLASNGPLAEDSVMATFYNSVLHCLHMVLVDSKGCLSVHVAGFVAALRLFLSYGVANRNRFNLQRKDEESLSSAGLESSTGYHVSSDSDYSDGDGSARDLSIVCCDKTRLAAIICIQDLCRADPKSFATQWTMLLPQSDVLQPRKHEATLISCLLFDPYIKARLASASTITAMLDGPASVFLQVAEFKDTTKRGSFTALSSSLGQILMQLHSGTLYLVKHEKHGGLLASLFKILTPLISSTPYSRMPPGLLVTVISSVRERIEDGFLVRIDQTSLLAAAFDCLTVALSVSPPSVQVKHMLLEEVGRGFLEVQKKPGLLYTLFSYSEPFRSPSISSESLQALRAVAHNYPSAMFSCWKEVSSIVYAFLRYTPDVQARLRKINAGCTGGPSWEKVITAAVKVFDECLRAISGFKGTEDLSDERLLDDPFTSDYMKIKTISSAPCYGSESPASPTYEVNLFPQGCEQWSEAIAKHMPLILRHSSAVVRAASVTCFAGLTSPVFMSLHQAEQDFILSSSVDAALSDEVPSVRSAACRAIGVIACFPQVIQSEEILDKLVYAVVHNTNDSLVSVRITASWALANICNSLRHCVDLPSFTSGSGDSKGSSELISILINSALHLSKDNDKIKANAVRALGNLAGFVPFSGDLVNCNEGLGPKSKPLINSSVKHLSKRENLYQNSKSFQPGTSTSSDWLEKMVQAFVSCVTTGNVKVQWNVCHALSNLFVNKTLELHDKDWAPAVFSILLLLLRDSANFKIRIQAVTALAVPRTVDDYGRSFYDVLQGVVNVSENLNSDKISSPSNFKYKVALENQLTSTMLHVIGLASGTDCGPIEEFLVKKTLFLEEWLRALFSSLDEGSIVLQSERDAHVKGKKDVILRALRSLVKVFEVGKHHALAGRFQQLLIRIQ >CDP11697 pep chromosome:AUK_PRJEB4211_v1:8:4945930:4948667:1 gene:GSCOC_T00034155001 transcript:CDP11697 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLINQLSALLSQETKLLGGLRPDVYSSNVANADYDIRDQALLIEEAKLVGIDQPKKELISEVLDDDSHLKVVSVVGTGGLGKTTLVKKVYDEAVVKKQFQSHAWITVSQNFQFNVIIKDLIQQLYEEIRQPVPSQVESMKGIRLSEFVKDFLKERRYILVLDDVWSLNAWETIKYVLPDCNIASRVVLTTRIADVASASCLASHDFIHKMSPLSYEDSWTLFCNRTFQSNGCPSNLEEVCRKILKKCEGLPLGIVTMGGVLALKDKDKIDEWEMIFRGFGSEVDGSGKLDRIRKILLLSYSDLPHHLKNCLLYLSIYPEDYPIDVQERMIATNIAMRYLKELINRSLIQVKDTWYDGKLVNCGLHDFLREIIVSKSKEQSFTTIATRYCTRWPDKVRHLAIHNFTGNPPQGFSRLKCLRSVLNLTGAELDNIPKEVFKLFHLEFLDLSGTKVKVIPKSIGQLQNLEALVLLETTITELPVEILKLRKLRTLTIGGQGDYSNNYAIWGFKSPDGIGKLTSLEILGIIEANSGKIVRQIGKLIQLRQLSITKLRREDGKELVSSLLRLTNLRELYIYSIKEEETLDLQHSVSPRLGFLTTLLLNGRLERVPEWVISLQSLSTLSSLNSELSEDENAIDCLGHLPNLVDLSLHRAYEGETLCFKAGRFRKLQRLLLMQLKRLKWVRVEKESMPNLQQFLFAGCKLMEGLPLGLQNLTWLKVLGWLIFGLGHWINGEWKSKFL >CDP12947 pep chromosome:AUK_PRJEB4211_v1:8:2383575:2386766:1 gene:GSCOC_T00037655001 transcript:CDP12947 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVSFVSDHLATLLREEGSLLGGLRQEVQLIKDELGHMKAFLKVAEAKEDDDPWLQEWIKQVREAAYDIEDVLDEFVLRFAGYRHHGFCGSLQRILKAIKSLPARHQVASEIQSINSRIKNISELRRRYQDEFGIDDRVTGSSTMNDSWRYSRDDALLVEEAKLVGIDQPKQHLISKLLEGHDHQLKVVSVVGMGGLGKTTLVKMVHEDPDVRQNFPVRAWVTVSQTCDFPKLLKDLIRQLYEELKESVPGSIESKTTAELKKIVKDFLQKAGRYAIVFDDVWDMEFWNAIKFALPEGNYGNRVMLTTRKADVAPASCTESQDFVYKMEPLSNEDSWTLFCNKIFKENCCPAHLMDVAKAVLDKCDGLPLAIVAIGGLLASKDVSRIDEWEMIQHSLGGELEGTGKLERVKRILSLSYNDLPSHLKPCLLYLSIYPEDYLIDCQTLIPLWIAEKFVEWREGMSIEDVAWGYFSELISRSLIQAAEVFYEGLPDTFRIHDLLREVILMKSREQNMVTVTTGQPMTWPSEKVRRLALHSSSNSSNIQYHQQRQFYSFEHLRSFIAVSSTNPLLSKTFLSKVLRSSKLLKVLDLRGEEIEETPNEIFSLLHLTYLSLYGTKVARVPRAIGKLQHLEHLNLGNTGVRELPVEILKLLKLRHLRVYQQVDPSDSDYGYHGFKGPSKLGGLLALQRLNTIDASSGSVIVKEIGKLTQLRVLYITQLRREDGKELCSSLANLTSLRELRIASVGKGDDFEIIDLNHHQHSLSSCSFLQSLRVLLVRGRLETMPVWITHLQNLVKINLSWSRLRADEDPLKSLQHLPNLGEIGFSGSYQGERLCFKAGGFLKLKRLWLRRMEGLRWMTVEEGACPNLRKLVLYQLPSLEDLPSGIQHLSHLQELGLYEMSSQLMEKVENQEEESENYRRVTHIPEIVIGFYADDGEWRIRQLWGKKKKKTILA >CDP08189 pep chromosome:AUK_PRJEB4211_v1:8:24731693:24736482:-1 gene:GSCOC_T00026944001 transcript:CDP08189 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDKSTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFSKDPRLVKMFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRVMGTPNEDTWPGVTSLPDFKSAFPKWPSQDLATVVPNLDAAGLDLLRKMLCLDPSKRITARKALEHEYFKDIGFVP >CDP12077 pep chromosome:AUK_PRJEB4211_v1:8:30067407:30069300:1 gene:GSCOC_T00035454001 transcript:CDP12077 gene_biotype:protein_coding transcript_biotype:protein_coding MADFGSLEDWGLQAIVRGSTGYYCNTSATGSSTEHLTSCYGLLDTPKDEHHGLLLSFPGPFETAAMLTDELQDLYKPFYTVSHSSPAQNSPVGPTTPSDSVSVCKETKEEPEEVHQQKVKVHGDQPPAATATSTYMPKYKRRKNQQKRVVLQSTAKDLSSDMWAWRKYGQKPIKGSPYPRSYYRCSSSKGCIARKQVEQSCTDPSIFVITYTAEHNHSQPTRKNALAGTVRHKFSTTKTPTGSNSKAAKKGNSGGCSPNPGLVLSSPEARLLADEEESQERNIEREIKDENDGQIVDVKPDNGVLIPEVMFDDDFFSGICDWNAFMSELGDGHRLAETVSSESDLLQPIIPV >CDP13008 pep chromosome:AUK_PRJEB4211_v1:8:3092061:3093416:-1 gene:GSCOC_T00037737001 transcript:CDP13008 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTQSPTNDKANNGRGVGQFGEGRRGGGHRADVSYLKNMDLFLGFGKYKNKTKHWPDEQILIKETGRQIKEDK >CDP12463 pep chromosome:AUK_PRJEB4211_v1:8:15520801:15525725:1 gene:GSCOC_T00036043001 transcript:CDP12463 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDPRVTERELEDEFRVFGVIRSVWVARRPPGYAFIDFDDRRDAQDAIRELDGKNGWRVELSHNSRGGGGGGRGGGRGRSGGSDLKCYECGEPGHFARECRLRGGSGRRRSRSPPPRYRRSPSYGRRSYSPRARSPRRRSLSPRGRSYSRSPYRGRDEVPYANGNGLRDRRRSRS >CDP09981 pep chromosome:AUK_PRJEB4211_v1:8:27602314:27603735:-1 gene:GSCOC_T00030503001 transcript:CDP09981 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVFGSFGDSFSVGSLKCYLAEFIATLLFVFAGVGSAIAYNKLTSDAALDPAGLVAVAVAHGLGLFVGVAIAANISGGHLNPAVTFGLAIGGNITILTGLFYWIAQLLGSIVACALLKFVTNGLAIPTHNVASGMNGFEALVMEIVTTFGLVYTVYATAADPKKGSLGIIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGNFEDNWIYWIGPLIGGALAGLIYGDVFISYYQALPATQEYTA >CDP12107 pep chromosome:AUK_PRJEB4211_v1:8:30307311:30311387:1 gene:GSCOC_T00035494001 transcript:CDP12107 gene_biotype:protein_coding transcript_biotype:protein_coding MKRREKSGKGPAEKQENLGEKLKRVGKRGGHSTPVVPFWRLELQLQLQQQNLPRPLLAANSTISLFQFPSVSARKLAATLWELHHYKLPLSRMHQGVGIAPPRIRRLHYHQHHQHHPYDDKGDLQHPDPSPSSPDLPGSASSLRRHVALLMQHHRPTERSNHALQPVSPASYGSSLEVAPYNPAISPSSSIGFRGRIGETSYSLKTSTELLKVLNRIWSLEEQHASNVSLVRELKKELDHARARIKELVRDQQADRQEIDELMKQIAEDKLARKSKEQDRINLAIQSVREELEDERKLRKRSESLHRKLARELHEVKMSFATALKELEKERKSRVLLEDLCDEFAWGIRDSEQELRALRQRYDKDWTEKAGHDHLVLHMSESWLDERMQTKLERQNHLGGRTVVVDKLNSEIEAFLQAKRIAKSNKNNHLPARDVKFRKSTLESTPLNVGVSAPQDEDDADDSAGSGSHCFELHKPSALDIKSQENDVEEDHIDDRLKQNQTKKLPSNDKTKSRSPASLQVKFEEQMARALLHKEGSSVRRNKPDGTPEPNSNYMIDNLIRSHYLLSESGSTHPEKDYGLASGGSSVWRSRASPVRQWTERLPSNELEISESSSTLPPDLKENTLKAKLIEARTRSQRSRSRLKGSKISF >CDP16177 pep chromosome:AUK_PRJEB4211_v1:8:23828921:23832863:-1 gene:GSCOC_T00017263001 transcript:CDP16177 gene_biotype:protein_coding transcript_biotype:protein_coding MANHSCFLLNGILLILMSTIQAIPPPNPVQCNSTGCILHNSYAVWGDRKDCYVSGVVYPATEEELRFAVANANKNKQKVKVVTKFSHTIPKLACPTGTASIQADQNATLISTEKYRSDINMDAANMTVTADSGVPLRDLIDKVEAAGLSLVASPYWEGVTVAGLISTGAHGSSWWGAGGAVHDHVIGLSLIIPATASEGYAKVISLNHQDPLLNAAKVSLGMLGVISKVTFSLEPAFKRSITYNFASDDHIEDQVFDHAKKHEFGDIQWYPSRQTVVYRYDDRVPLSTAGNGVNDFIGFQPNAILLSQSVRASEKSFENARNVNGKCTLASSFVAYKKLTANGLKNNLIFTGYPVVGRQGKMQTSGSCLNSSPARIDQACSWDPRIKGLFFYESTAIFPATKFIDFLRDVRKLRDLKPENFCGVDIYNGFLIRFIKASKAYLGQSEDSVVVDFNYYRADDPSTPRLNQDVWEEVEQMAFIKYGARPHWAKNRNVAFLDVQNKYPNFNRFVAAKEQLDPQNMFSSEWSDQILFGRQSNKADGCALEGQCICSQDRHCSPEKGYFCRSGLVYTEARVCRYSMSAVH >CDP18810 pep chromosome:AUK_PRJEB4211_v1:8:301134:302547:1 gene:GSCOC_T00005588001 transcript:CDP18810 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSRRSSGPVLPIASAFQRSVSPSGRFYSPPTASDKSSFSSSTSSSFCSSTSSSFFHRSASPTRVNLHRLAPISPTPSVRMSIDRSISPRRSVAMSSPRDHHHQVVRKQSSCKRTCLCSPTSHPGSFRCSLHKNLNNAPPPQQQSPSYSLKNLTIQRSAMKNSLVRIGTVEGDLVKRALAALIRPSSHQIRRRSDFQRRPSRLSAMSKAGDP >CDP19028 pep chromosome:AUK_PRJEB4211_v1:8:21772479:21779739:-1 gene:GSCOC_T00013073001 transcript:CDP19028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIFY 8 [Source:Projected from Arabidopsis thaliana (AT4G32570) UniProtKB/Swiss-Prot;Acc:Q84MB2] MAHTQNNSSSAGAAAAISTSGADEVKASGTIFHDFLGKGSAPDYLSPAGGVAAGRVRPTSEASPSSASVSIGGSSGGGRAPISTTSDLGSERQGGNHFEGVPFYGARSDLIGHETSSRFSGTKRSNSDTYIGTTKDRFPQMVPDSREGSQLMKLIRHAGGERPRRPQDEDASFPMHLVRPISASLVSQPSSAARAEGNTSRLDRGIPMNVGPPWQYPPRSSQVFPFGYQGLSNKLRDSSVGPSVISQGAADEGSRTGIKGSGLLSSINTTGGISERNFTGAIVSSSKLKSGIHNSEPESSTTPNQHGFGSSGCQMTIFYGGQAHVFDNVHPSKADVIMALAGSSGGSWSTTFMPKTTSRPFTGENCTPSGKSNPGMTGSLVLQPEVHGKSSVRVNSSHELSSGTQREEMLKKDAKAPNHAADVCAEEKHEV >CDP08284 pep chromosome:AUK_PRJEB4211_v1:8:25636430:25640984:1 gene:GSCOC_T00027064001 transcript:CDP08284 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDEALKAYKGERQGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFQKVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLSNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTSKNTGSPTCKRGFVQFCYEPIKQIISTCMNDQKDKLWPMLSKLGVTMKSDEKELMGKALMKRVMQTWLPASSALLEMMIYHLPSPAKAQKYRVENLYEGPLDDQYANAVRNCDPEGPLMVYVSKMIPASDKGRFFAFGRVFSGKIATGMKVRIMGPNYVPGEKKDLYVKNVQRTVIWMGKKQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKNRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYAAQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSGTLRAATSGQAFPQCVFDHWDMIKRKGLKDQMTPLSEFEDKL >CDP12973 pep chromosome:AUK_PRJEB4211_v1:8:2731505:2732347:-1 gene:GSCOC_T00037686001 transcript:CDP12973 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNGRIKERDHIIIPLPGLEDYWTANTSVCDWIGVTCGIQHHRVTALNISFMGLTGSIPPHSGNLSFLVSLDLSVNNLPGEFVPFATPELIYPSFSKPETLELSYNPLKGKIPEVMGGQQNLRVLNLQYNQLTGSIPSSIFNISTLRGLYLTSNKLNGQLPSNFTACSVIQILSLSSNEFSGQIPREYGTLKKLEGLYLARNSLIAGFHLEARCLCLGCIF >CDP09934 pep chromosome:AUK_PRJEB4211_v1:8:27980359:27984003:-1 gene:GSCOC_T00030436001 transcript:CDP09934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 15 [Source:Projected from Arabidopsis thaliana (AT2G13620) UniProtKB/Swiss-Prot;Acc:Q9SIT5] MADEAATTANSTDETIVCYAPTMITTNGIWQGDNPLDYSLPLFILQLTLVVVVTRILVYILKPLRQPRVISEILGGIILGPSVLGQSAKFANAVFPLRSVMVLETMANIGLLYFLFLVGVEMDLAVIRRTGKKAFAIAVAGMIIPFLIGTSFSLMLHQKSQYMKQGTFILFLGVALSVTAFPVLARVLAELKLLNTEIGRIAMSSALVNDMCAWILLAFAIALAENASVSLASVWVILSSSAFVLFCIFAVRPLISWMIRRTPEGETISDFYICLILTGVMICGFITDALGTHSVFGAFVFGLIIPNGPIGLTLIERLEDFVSGLLLPLFFAISGLKTEISTIKGVGTWCILFLVIVLSCAGKIAGTLLVALYYKMPFYEGLTLGFLMNTKGLVEIIILNVGKDQKVLDDQSFAIMVIISVVKTSIIIPIVTLIYKPARKFAPYKKRTVQRSKPDSEFRVLVCVHTPRNVPTIINLLEASHPTKKSPICIYVLHLVELSGRASAMLIVHNSRKSGRPALNRAQAQSDHIINAFENFEQHAGCVSVQPLTAISPYSTMHEDICNLAEDKRAAFIIIPFHKQQTVDGGMESTNPAFRTINQNVLVNAPCSVGILVDRGLSSSTRLAAGQVSHHVAILFFGGPDDREALAYAWRMSEHPGINLTVMRFIPGEAAAEPSSSSGRRAEASAPGILTVVTDDDREKQLDEDYINQFRSRTMHDDSIVYTERVVRNGEETVAAIRSIDSIHDLFIVGRGQGTVSPLTAGLTDWSECPELGAIGDLLASSDFATTVSVLVVQQYVGMGVHGDMLGVQDSPNEQPEHFIYNHTSRRPPLPRGPELFHSQP >CDP16190 pep chromosome:AUK_PRJEB4211_v1:8:24005070:24005715:1 gene:GSCOC_T00017281001 transcript:CDP16190 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTNTPYLVSPIICIFPIISRAPAPAAGTQSLLWPDNSGSKEKMTSSFFGVIRALQTCSTDHINCNALILAAK >CDP09835 pep chromosome:AUK_PRJEB4211_v1:8:28803482:28804770:1 gene:GSCOC_T00030309001 transcript:CDP09835 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLQSLSSILFLMALIKLSIMSRISAAGYSPAVFRPSPWQLAHATFYGDDSGSGTMGGACGYGNLLSNGYGTDTAALSSVLFNNGYACGQCFQIRCVQSPFCFEGSPITTVAATNLCPPNWSLDSNNGGWCNPPRTHFDMSKPAFMKIAQWKAGIVPVMYRRVPCARKGGLRFNFQGNGYWLLVYVMNVGGGGDIASLSVKGSKTGWISMSHNWGASYQAFATLSRQALSFRVTSYTSKQTVLAYDVAPSNWNVGMTYEANVNFH >CDP09998 pep chromosome:AUK_PRJEB4211_v1:8:27395803:27396453:1 gene:GSCOC_T00030526001 transcript:CDP09998 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNIFAAGSEASATAMEWAMSEMIRKPQIMKRAQDEVRSLFDGQGNVDESRLHELKYLDAIIKEILRLHPTKARLFVNAWAIGRDPKYWIEAEKFNPSRFLDSRIDFQGDDFEYIPFGAGRRICPGIAFSQPVMQLALAQLLFHFDWKLPGDMKQEELDMTAKFGITMRRKNDLLLIPIPYSRSCLIMDNSTP >CDP09818 pep chromosome:AUK_PRJEB4211_v1:8:28952600:28965448:-1 gene:GSCOC_T00030289001 transcript:CDP09818 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase IV subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G63020) UniProtKB/Swiss-Prot;Acc:Q9LQ02] MICLLPFFFLEISFSACLIYESTRLLRPNLSISELNMDRATIDIEHSEKLEQKGRLMSISFNILSEADAVRKSVKLIGAASEVTDPALGFPNLINHCNTCGAKDRRECEGHFGLINFPFTILNPYFLPEVAQILNKICPACKSVRVNKVKSSGSTSVRDHSDTCKYCDGRSRDSYPPMKFKVTSKDVFAKTAISAEVSERSSSNRSSNGSMASDYWDIIPSDAQQDLSNLGSNKRLLSHAQVYNILKDVDPRFLESFLKRKSSVFLNCFLLTPNCHRVTELGQHITFDTKTKLYKKLIDFRGTANELSARVLDLIKVSKVRSEKSSAINSAFSVLGFKDSAVATSGLKYIKELLLGKRTDHAFRMVVVGDPNINLGEIGMPRHIAEKLLVSEHVNKWNFGKLKDYVALILVGGGEICVRRGGRLEKLSIIDKLCCGDVLYRPMLDGDIVLINRPPSIHQHSMLSLSVRILPINSVLSINPLICSPLRGDFDGDCLHGYVSQSVDSRVELNELVALNKQLLNGQSGRNLLSLSHDSLTAAHLILEDGVTLDKFQMQQLQMFCSCPMPIPAITKAPGNKCFWTGKQLFSLLIPPCFDYVSQSNGVQISKGEIVTSSSGSSWLRDNDGNLFHSLVRCCKNEVLGFLCAAQEVLCEWLAMRGLSVSLSDLYLTSDAYSRQNMIEEVSCGLQEAELLSSIRLLMMGSNQDFLVESTEANKRSVDFGEQHLSSIQQQNSSVLSEASVSAFKQVFLDVQHLVYHYVSKDNSFLSMLMAGSKGNLLKLVQHSMCLGLQHSVVPLSFSIPHQLSCATWNYHKISVHESHGTLDHSGSYIPFAVIENSFLTGLNPMECFVHSLTTRDSSFSGHADVSGTLTRKLMFFMRDLSIGYDGTVRNSYGNQLIQFSYNSRDTLTPCNCNDESPSETISAYDVGGHPVGALAACAISEAAYSALDLPISALESSPLLNLKKILDCGVKKSSGDKTASIFLCKKLGRQTYGLEYGALEVKDHLERLMLEDVVSSSMISYSKEKCSRSQISPWICHFHISKEIIKRKRLRVQSIIDALNMAWRSAKVKLKINLPDLQITGKACSLALKQNEKDTKICLTVSILEKSKKSSLRLDILRNMVMPFLLGTVIKGFPEFKKVDIMWKDCRNSSKSSKGSLGGVYLRVFMSEKCDRTKFWSVLVDNCLRIRDLIDWERSHPDDIHDMAPAYGIDAAVNHFLSSLNSAISDTGKTILPEHLVLTADCLSATGEFVALNAKGLAQQRKETAVSSPFLQACFSSPGDCFVRAAKTGIVDNLQGTVDALAWGMVPSIGTGARFDIIYSGKGHEPAKSIDVYDLLGSIVNLNQQVKFPNKDYEMSGKSIVQHLFAYDDLATKGCILPRTLLRNFFSLKDIQKLSHSLKHMLNKYDVDCQLSEIDKTIVMAALSFHPRSSEKIGIGAHEIKVGYHSEYDNSRCFVLVRKDGTVADFSYHKCVHNALQLIAPDWAKKYESKWLNGPKANSRVWPMGHRCRK >CDP12222 pep chromosome:AUK_PRJEB4211_v1:8:31171968:31175889:-1 gene:GSCOC_T00035643001 transcript:CDP12222 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKPLMRALRRSHTSFFSNTPSPSPSSSRPSTPSSSSSWVHFRSVLLVVASSSSSSSSSPVSADRGSLKSPWSRRRRKHALLQKQWKSFFDTDGRLLDGGVKFLKKARSGGVDPNIRAEVWPFLLGVYDLNSTEEERNSIKARKRKEYVNLRRQCQQLLSSSDKSFKSKETSGNDSNEDSGDFSQVGHSRGLEDVVSGGSSISDSDEVADTLKAGNSLVEHDGDHSGIANENASEPETASTDSESSEDPEETQPFFAQEGTEKSYIDEGSECCHHKSAGTLQPPASEDFATWQRIIRLDAVRANGEWVIYSPSQASVSEVKARRLAESVGLKDYDHLEPCRIYHAARLVAILEAYALYDSEIGYCQGMSDLLSPIISVVEEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLNIVSKIIKCKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELNFEQTLCLWEVMWADQAAIRAGIAKSAWGRLRMRAPPTEDLLLYAISACVLQRRKLIIEKYSSMDEIMRECNSMAGNLDVWKLLDDAHDLVVNLHDKI >CDP16193 pep chromosome:AUK_PRJEB4211_v1:8:24049319:24052634:-1 gene:GSCOC_T00017288001 transcript:CDP16193 gene_biotype:protein_coding transcript_biotype:protein_coding MITKPLLKDDHDCFSAKGGFRTMPFILANEAFAQVASCGLQPSMILYLTGEYHLNMATGSNIIFLWSAATNFMPLLGATVADSFLGRFRMILFGCVISLLGMALLWLTSMIPQVKPPPCHESNKNCSSATSFQLSLLCSCFGLMSIGFGGIRSSSLAFGADQLQKAGGHNNGRVLESYFSWYSALSTISLLIAYTCIVYVQENLGWQVGFGIPVMLMLLSTLSFSLASHLYVKLKAKSSLIVEMLQVAVASYRKRHIELPTESSKMLYHHHRGPSICLPSEKLRFLNKACISLDPEKDLTTDGTAADPWSLCTVNQVEDLKSILKVIPLWSTGMIMSVNNSQLSFSVLQAKFMNRKFGPNFEMPAGSCSMFAVVGAVLWIPFYLQIILPVASRILGRPVHPSTRERMGIGVALSFVGMIVAATVELKRRSLAIREGYSDDSAAVVDISLLWLLPQSFLIGAGAIANMVAQNEFYYSEFPRSMSSISCTLSLLGISAANLVSSFLMNAIDKLSKLGGKESWISTNVNKGHYDYYYWVLAGLSMLNMIYFLICNKAYGPGKEDKKETTFHEQDE >CDP09933 pep chromosome:AUK_PRJEB4211_v1:8:27986479:27987793:1 gene:GSCOC_T00030435001 transcript:CDP09933 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKVSAMFFICMFFMLIALPPAYAWEDCDCPPSHPPYTRPSPPKTPRQPHPPKRPHPPSTPRPHPPRAPPLRPPPTRPPPKVLPPIINPPVVVPPIIRPPPIISPPINNPPGIIPPIIKPPPIISPPITHPPGITPPIISPPIINPPVTVPPPPSGGGYPPYTPAPPGGGGGGGGGGGGGRGGGPPGVSPPGNATCPINALKLGLCLDVLGGLIHVGIGNPVENVCCPVLQGLLELEAAICLCTTIRLKLLNLNIFLPLALQVLITCGLTPPPGFVCPPLP >CDP13028 pep chromosome:AUK_PRJEB4211_v1:8:3353735:3355816:-1 gene:GSCOC_T00037771001 transcript:CDP13028 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYRVHTKMNLWGNQTPTTLTSDDNTSMMEVFMNSADPGSLWPPMSIHQSIPSTTSSTITAAGGGGMDSSSKSLPSSSFFNQETLQQRLQTLIDGAQEYWTYAIFWQSSVVDYGGPSVLGWGDGYYRGEEDKGKRKNPLSSSSTNSLLEQEHRKKVLRELNSLIAGPQGSSDDAVDEEVTDTEWFFLISMTQSFVNGSGLPGQALYNSSPVWVAGADRLASTHCERAQQAQGFGLQTVVCIPSANGVVELGSTELIFQSSDLMNKVRVLFNFNNMDMGSGSGSGSWPVQPESDPSALWLTDPSSSAAGVKESVNNNNNTTGQGSSIPSSANNKQMLFGNDNNPSSSTLTDNPRNILNAHHNSSQQSGGFYTRELNFSEYGFEGNSVRNATCKPETGEILNFGGESTAKRSCSANGNLFSGQSPFGVGDESKSKKRSPVSRGSNDEGMLSFTSGVILPSSGVVKSSGGGGDSDHSDLEASVAKEADSSRVVDPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELKSKLHNMESDKEELRNQIDSLKKELTSKEARNFAPPPPDKDLKLASHQGSKSLDMDIDVKIIGWEAMIRVQSSKNNHPAARIMGALKDLDLELLHASVSVVNDLMIQQNTVRMGKRFYTQEQLKIALTSRVAETR >CDP17885 pep chromosome:AUK_PRJEB4211_v1:8:21138154:21147102:1 gene:GSCOC_T00011869001 transcript:CDP17885 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPNFSNPTKRKKNISSSQPLKLCKPKISADDDDFQDPSPSLSVISSRSTLKQNPFKHLNSSDLPLPKKVKNTEQKINPGKENIWVSSNPSGPSFFREDDKTIDEFKLDLAGSCGLDSIESTIDCQANGKLKNNEERKESGLEESGKGQWGGNEYKEDSEGGTAHLDLLLKLCDADSDQDVECSEKVSTCSDDGLDFREACGFEEEEVDERLICCPLCGNDISGLSDELRQVHTNECLDKGETANENLRNQEKATHIVPFVLDGSPRQSSRKVVAAFPVLEWLHNLGLAKYEEIFVREEIDWDTLKRLTEEDLCSIGVTALGPRKKLVHALAELKRHEGNPVETPDIQNVVVDERNKLATNKLITDYFPGSVARRKRDCISAKEQKETLKSHPSSASRSQQKKNRINNVKHKTVPTWCCIPGTPFRVDAFKYLRRECSHWFLTHFHMDHYQGLTRSFCHGKIYCSSITAKLVNMKLGISWDKLQVLPLNQKINIAGIAVTCFDANHCPGAIIILFEPPNNKAVLHTGDFRFGEEMAKIPILQTCPIHTLILDTTYCDPQYDFPKQEAVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFLEIARVLRKKVYVSAAKLRLLECLEFPKEDAQWFTLNEHESHIHVVPMWTLASFKRLKYIANQYAGRFNLIVAFSPTGWTFSKGKKKSPGRRWQQGTIIRYEVPYSEHCSFSELRDFVKFISPANIIPSVNNHGPESTRTMVSHLLA >CDP18788 pep chromosome:AUK_PRJEB4211_v1:8:114418:118060:-1 gene:GSCOC_T00005563001 transcript:CDP18788 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFLHSCSWRKVPLLSLFRQYQSCYFRQQILVLPCNTTITTTTTGTGSIRNFTTDKPKLGMLLVRSANLQRYCHSSGGNKFETPAAAATNSPPPFESNILRLLAAHIHHRSLYHPPHRHVTEYNGFTVEDRPGEQWITLRGKSTEDEHIKIEATMFDGSVCSPPSAAECSGEDVRLHISLLVDIWKGDGNELLEFVCSAWPDSLEIQKVYIFRRDNSPMGPYMGPNVRGLKLALVSGLYEFLRTRGVNDDLSLFLHEYMMNKDKGELINWLGKVKKFFET >CDP08271 pep chromosome:AUK_PRJEB4211_v1:8:25532164:25537576:-1 gene:GSCOC_T00027047001 transcript:CDP08271 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRLISRVQRQQSQLRQLSNVLVRAYRTSPNAQKELGYAFGNTKRFRSSYAASLARRSDELSDAAYLKELYHRNEPEAVIRVFESQPSLHSNPTALAEYVKALVKVDRLDERITGAPSSQVEEEMVGGLSAFRNVGKSTKEGVLGTASAPIHMVAAEGGHFKEQLWRTVRALGMAFLLISGIGALIEDRGISKGLGLNEEVHPSMESNTKFSDVKGVDEAKSELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKAEDVDLTIIARGTPGFSGADLANLVNIAALKAAMDGAKAVALADLEHAKDKIMMGSERKSAVISDESRRLTAFHEGGHALVAIHTEGALPVHKATIVPRGMSLGMVAQLPDKDETSISRKQMLARLDVCMGGRVAEELIFGENEVTSGASSDLQQATNLARAMVTKYGMSRNVGLVTHNYDDNGKSMSTETRLLIEEEVKQFLERAYNNAKTILTMNSKELHALANALLEHETLSGSQIKALLAQVNSQQKQQQQQQMVTAQSTSQSGAVPPSTPNAAASAAAAAAAAAAAKAKGIAPVGS >CDP12102 pep chromosome:AUK_PRJEB4211_v1:8:30247849:30250412:-1 gene:GSCOC_T00035484001 transcript:CDP12102 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFHNLGFAANPALNALENLGNSFQIGVAGGGFCADTTLCLDSSGSPIPFVSASKGMKRKWGLIDGSVGQQLESSLCLRLGHSTSSSDSKGSSATACTSISSAKEIEESSSMDLELNFSLHLGNDKTFSPRKSTSASSKLVDVWPKVDLELSLSSGTAESDVTSIHLSSTPPQNVVDQMHVAVGGAFYSEEGSISSSLKMGSLFHPLPTVDNLQTSYLLNKAVRDLKPAATTPELSTSMVTTPKSSVTCTSGITQQQQQRSTSTKQCQFNGCVKGARGASGLCIAHGGGRRCQRLGCHKGAEGRTAFCKAHGGGRRCEFLGCTKSAEGRTDLCIAHGGGRRCSHEGCSRAARGKSGLCIRHGGGKRCQRENCTKSAEGLSGLCISHGGGRRCQYPECTKGAQGSTMFCKAHGGGRRCTSEGCTKGAEGSTPFCKGHGGGKRCSFQGGGICPKSVHGGTLFCVAHGGGKRCAVPECTKSARGRTDFCVRHGGGKRCKFEGCGKSAQGSTDFCKAHGGGKRCSWGQPGSEFGNSESPCNSFVRGKTGLCASHGALVQDKRVHGAVTLGAMVQDPEPSKPDKMKQVIIAEDMNADIMKMESSVITPFSGSGGKCVCPLQAGLPVGRSASSNAVAIPEGRVHGGSLMAMMVSNSALSFGSSNGMVSPSEQRKSWIRQSWV >CDP11683 pep chromosome:AUK_PRJEB4211_v1:8:4733694:4738608:1 gene:GSCOC_T00034139001 transcript:CDP11683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein IWS1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G32130) UniProtKB/Swiss-Prot;Acc:F4ICK8] MGYENDPYRDEDGEPLMDYDDDVNSDHEQDQQQHHHLLDEDEENDDGWNRRERSPPTPVHDEYKSKPRKRLIKKSSAREPVADFLPRDDDAGDFGVGEEDDVAGLVRDESEDGGGPSSSSGGGGGGKRKRLGKESGGGERKKKEKRREGKGEKKFKVRKAGHSGGGRSRDYEDEEGMWKTVAGENSEDDREGVRTLDDDNFIDDTGVDPADRYGSDREHSPSNAPQAEEGEEDEEIKQLFKKGKKKKKNEKSASEIAYLVESVMAELEVVAEADADLNRQNQPAIIKLKKLPLLTEVLSKKQLQQEFLDHGVLNILKNWLEPLPDGSLPNINIRAAILKILTDLPIDLEQHDRREQLKGSGLGKVVMFLSKSDEETTANRKLAKDLIDKWSRPIFNKSTRFEDMRNFEDERAAFRRPSVKKPMSKGAGMESRDDDLDLAGYSQGSKSGQSSSRQHASRPEAMSMDFVVRPQSKVDPEEVRARAKQIVQDQRRLKMNKKLQQLKAPKKKQLQATKLSVEGRGMVKYL >CDP12094 pep chromosome:AUK_PRJEB4211_v1:8:30190823:30193417:1 gene:GSCOC_T00035473001 transcript:CDP12094 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHHEEDAKAESLIEKTRDKVHGADDSSSSSSDDEGKTSSLKAKVFRLFGREKPVHKLLGGGKPADVFMWRNKQISAGVLGVATAIWVLFEILEYHLLTLVCHGLIIVLAVLFLWSNASTFINKSPPRIPEVSVPEKPVLQFASDARVAINQTFALLRDVASGRNLKKFLSVIAGLWIFSILGSCCDFLTLLYISAVLLHTVPVIYDKYEDQVDSFAEKALAELKKQYAVFDKKVLSKIPRGPLKDKKTD >CDP13484 pep chromosome:AUK_PRJEB4211_v1:8:989269:990759:1 gene:GSCOC_T00038442001 transcript:CDP13484 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKLLIIGGEIFRKKKKNPQICQLKKPCQTHNNISSPISLVCLSAGFKPQDRESIPKMTAIDTQTSPEASSLNMAKMSSSSSSSSPNSDLEFAKCECCGLTEECTEAYIKRVRERFRGRWICGLCAEAVKDEVVRSQRRTGDEEEALNSHMSFCKKFRSLRPPPNPTHDQLISAVKQLLLRSLDSPSPKKEALVRSRSCFSALDR >CDP08197 pep chromosome:AUK_PRJEB4211_v1:8:24787011:24790188:-1 gene:GSCOC_T00026955001 transcript:CDP08197 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQSYVDDHLMCEIEGTHLTSAAIIGHDGTVWAQSANFPPFKPEEIAAIMNDFSEPGTLAPTGLYLGGTKYMVIQGESGAVIRGKKGPGGITVKKTNLALIIGIYDEPMTAGQCNMIVERLGDYLIDQSF >CDP14960 pep chromosome:AUK_PRJEB4211_v1:8:8852420:8854196:-1 gene:GSCOC_T00042470001 transcript:CDP14960 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEGITQIDPLIIAQASLQSAVPVESSSIDVAVVISKSSEFPNDALFLEISRILKPGGTVLVHLTSQSTPLQETKSSLERKLLLAGLLDVKSSEAGQSFGI >CDP09956 pep chromosome:AUK_PRJEB4211_v1:8:27834287:27838026:1 gene:GSCOC_T00030469001 transcript:CDP09956 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGELLNIEPLELKFPFELKKQISCSFQLSNKTENHVAFKVKTTNPKKYCVRPNTGIVLPRSTCDVIVTMQAQKEAPADLVCRDKFLLQSTVASPGATPKDITGDMFNKESGNLVEECKLKVVYVSPPQPPSPVAEGSEEGSSPRPLIFENGSFGGSEVSRKFVDTYDRSSEARSVISKLTEEKAAAVQHSKRIRQELELLRHDSNKSRGGVSVVIVIVFGLLGIILGYTLKRT >CDP13013 pep chromosome:AUK_PRJEB4211_v1:8:3165842:3167003:-1 gene:GSCOC_T00037744001 transcript:CDP13013 gene_biotype:protein_coding transcript_biotype:protein_coding MADPIAAISFADPHVDPYGHMGLVRNPDGSVTRQFEHPKTPVSSYDGSPILLVKDVPINQSKNTGARIFLPKEALESFPGRKLPLLIYFHSGGFVICSVATTGFDDFHRALATEVPFVIVSIEHRLAPEHRLPAAYEDCLEALHWIKNSQDEWLEKYADLSNSFLMGSSSGGNIAYHVGLSASSCVDDLKPLNIKGLILHQAFFGGNKRTESELRALNDTFIPPCATDVLWELSLPLGADRDHEFSNPVLSIKPGQFDQIKALGWKVLMAGYENDALFDRQFEIAKMLEGEGVHVVANFVEGGYHGIDMFEFPKTKVLCEVVKEFVISFVTTA >CDP08147 pep chromosome:AUK_PRJEB4211_v1:8:24324717:24326752:1 gene:GSCOC_T00026889001 transcript:CDP08147 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNSTAAEVVENPSLAPEKAVEPNQTVPASDSNATSNNNISLDRIEGRNIAPVSVGNDILDSSLSNTSDALQPSDSPSSMDKDATNALQKTSIPAFLKTDLAPSVNYSSRNAIPKVKDSPEDAVVSLSKMNDMLLQSLTSYHSMRPLWSKGTDQELILARSLIEEAPSVQNEPKLNQFAPLYRNFSMFRRQVMEILLATVYY >CDP12180 pep chromosome:AUK_PRJEB4211_v1:8:30844819:30846417:1 gene:GSCOC_T00035586001 transcript:CDP12180 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKRIEAAIDVYRPPPTSFAVFDINAIEEPWMKALEAHQQQQQSDHPDEKEKPAHAVPPPLLEKLNAIEDAPRSWDEVSKVLNDLKPTLHNNSTPPPTHPKPILLLAAPKTPLLRSPSPPAPAPAPNEKENAPRKSFSFHTLEELDAKLSSKSKPAADDDQLMPKKTSAPATKPAVELKKRESGKHQSSEPAKPEAPAGYDYKPVKENIFILRDKLEREKEGKVPNIARFNPLNDFPEMCPPGGEESVVIYTTSLGGVRRTFEDCNKVRLIMESHRFVFDERDVSLHGEFLKELRELLGEGVSVPRMFVKGRYVGGVDEVVNLNETGRLGRILNWARVERGIGRLGCEGCGGARFVPCLDCGGSCKVLVGDDKKEKERCPSCNENGLVLCPACA >CDP12251 pep chromosome:AUK_PRJEB4211_v1:8:31394874:31398867:1 gene:GSCOC_T00035680001 transcript:CDP12251 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKPGGKPKKVTGVIKLALEAGKATPSPPVGPALGSKGVNIMAFCKDYNARTADKAGFVIPVEITVYDDKSFTFILKTPPASVLLLKAAGADKGSKDPKREKVGKVTIDQLRSIAQEKLPDLNCSTIESAMRIIAGTAANMGIDVDPPVLEPKKKELV >CDP09755 pep chromosome:AUK_PRJEB4211_v1:8:29474154:29479161:-1 gene:GSCOC_T00030210001 transcript:CDP09755 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLFVDRLSQPCRAILIFCKMNEIEFEEVRIELSKGEQLSPEFKEINPMQKVPAMKVDERFRLFESHAILRFLAGAFPGVADHWYPADLFKRAEIDSVLDWHHANLRHGSAGLVFHSKLARLSGRPLDLRAAADCEKVLSASLAKIESVWLKEEGQFLLGNSKPSIADLSLVCETMQLEALDEKVRKRLLDPHGKVRKWMDDTRNATQPYFDEIHGVLFQVKDKLKEMESDE >CDP11724 pep chromosome:AUK_PRJEB4211_v1:8:5478533:5482893:1 gene:GSCOC_T00034196001 transcript:CDP11724 gene_biotype:protein_coding transcript_biotype:protein_coding MYEQVKAIKDGVAVDKPIYNHVTGLLDPPELIKPPKILVIEGLHPMYDQRVRDILDFSIYLDISSEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADVVIEVLPTQLIPDDNEGKVLRVKLIQKEGVNHFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFAYGPDTYFGNEVSVVEMDGQFDRLDELIYVESHLSNISSKFYGEVTQQMLKHADFPGSSNGTGLFQTIVGLKIRDLYEQIVASRAEALQTAKA >CDP14476 pep chromosome:AUK_PRJEB4211_v1:8:13365702:13368190:-1 gene:GSCOC_T00040978001 transcript:CDP14476 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIPLKSPHFFKPILPGFNNRIKIPPSFINHLDGEMYSEDHAVLRRGGKKWQLKVTNQCLENGWRKFAQENGLEIGDFVVFRHEGNMVFEVLVFDTTKNFHILLRKMLLQLKQKGRLWQIVLSNSHLMVNRAVLEPAHPHFISSLKPFNLKYRRIHLPMAFARENGLSSRRCQMILKDQRKRKWKLQLGYFGSNVYIGRGWFECQTANGLKIGDRFALELISNKKKPIMKIRGKFLSTHLSFYRQKVSLACLLM >CDP09910 pep chromosome:AUK_PRJEB4211_v1:8:28259923:28266145:1 gene:GSCOC_T00030400001 transcript:CDP09910 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKENPSIQVDNEDTNKIIMPEKEKNKNQKKEKKDQVPGKLRAISNPKLSKSQKRKLRRLEEEKEKASLWSQSIETLEKYKIRDDVYSLMWSSRNLGQVETVREKRQREVQFSKAGLELPHAVQPFKKRTINDSACEIEHCSDRIHVAYSNESAKDKEEALNAISISSPSSEGLTVRNEVGTFTGDAEIMDKVDEDPEVKSKNKSILANSPPEGPITALDGVDVFRRKEDEAQKSSLNCSSSRPFANSPQERALVAPMVVHVSRSKNVENQRKNLPIVMMEQEIMEAINENMSVIICGETGCGKTTQVPQFLYEAGFGSKHSNTQGGIIGVTQPRRVAVLATAKRVAFELGLRLGKEVGFQVRHDKRVGENCSIKFMTDGILLREVQSDFLLKRYSIIILDEAHERSLNTDILIGMLSRVIRERQREFEEQEKKVVSGEYIKPENRIYPLKLVLMSATLRVEDFVSGKRIFHVPPPVIEVPTRQYPVTIHFSKRTEVIDYVGQAYKKVLSIHKRLPPGGILVFVTGQREVEYLCRKLRKASKEIVDKVSKVKNDSTSVSGENPGELNDMKEINEAFEGHDNSGHDITDRFSSYEEDHEDVSDNESDSSHDSEDDSDLEFSNQDENLFNQESMESDSQLANTLQKNGSLASLKAAFEALAGKRTFDPDLEGQKTSSVAPQGGVDESGSTTGNTGKITNDPIAGPISVLPLYAMLPASAQLRVFEAVKEGERLVVVATNVAETSLTIPGIKYIVDTGREKVKKYNSSNGMEAYEIQWISKASAAQRAGRAGRTGPGHCYRLYSSAVFSNIFSDFSSAEISNVPVDGVVLLMKSMHIGKVANFPFPTPPEATAIAEAERCLKVLEALDNKGRMTSMGKAMARFPMSPRHSRMLLTVIQIMRNVNDYARANLVLGYAVAAAAALSLSNPFSMQFGGNHTDGDEFKQGEKAGTRENGKILDREEKQRKKKMKEAAKDSRAKFSNPTSDVLTTAYALQCFELSVNPVDFCCDNFLHLKTMEEMSKLRKQLLHLVFSSNSSDLQHDFVWIHGGVDDVEGAWRVSSGKNPLFLNEEEIIGQAICAGWADRVAKRTRSASGLSDGDRKVNAVRYQACMVKERVFLHRWSSVSKSAPEFLVYSELLHTKRPYIHGATCVKSEWLVKYAHSLCSFSAPHSDPKPYYDPQTDQVFNWVTPIFGPHLWQLPLHGSPIKDDMDRVAVFAFSLLEGQVLPCLKSVRKFMAAPPASILRPEASGVKRVGNLLSKLKSGRRVIDSRSMLRQVWKDKRMELFSELQDWFQEGFHDQFEELWKEMQCEVLLDPNDRLRKLKKANRRV >CDP13546 pep chromosome:AUK_PRJEB4211_v1:8:366604:369557:1 gene:GSCOC_T00038532001 transcript:CDP13546 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLWSSSVGMESLLSSAMVALLAEISTSVVKSLSCLLFVIGSRINGITIEFPREPRQMERLSKADLYMEKQPDPETKDASESEDDGEDNDGDEEDDGGEDEEDFSGEEEDDEDDKDSEDEPEANGDGGSGDDDDEDDDDDDEDKDGEEEDDEEGEDEETPQPPSKKRK >CDP11692 pep chromosome:AUK_PRJEB4211_v1:8:4830481:4831440:-1 gene:GSCOC_T00034149001 transcript:CDP11692 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLEIEELSIKFSQRQGRKVSAAFLDGWMRFFCPLCLIFEQILLVNGLVVHPIGVELGKPAAMVSAVRISLVLCGAIYFTIGIFGYLLFGDSVMDDILVNFDEGSSGSTISSLLNDIVRLSYALHLMLVFPLLNFSLRANIDELLFLKRAVLATDNKRFVILTLVLLGFSYVATIAIPSVWYFFQFMGSTSAVCLAFIFPGIIALRDIHGISTRRDRIIAAAMVILAVVTSTIAISTNICTLVGNKS >CDP20384 pep chromosome:AUK_PRJEB4211_v1:8:27118950:27119243:-1 gene:GSCOC_T00012610001 transcript:CDP20384 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHRPSFGGRGGSERRVEIVSGRGFNQEGVYAMSRPHDHYREAESKPPRGFGDAEMKRRKRIAKYKVYSLEGRVKASIKDGLRWLKNKCSEIIHGY >CDP17889 pep chromosome:AUK_PRJEB4211_v1:8:21181858:21183239:1 gene:GSCOC_T00011875001 transcript:CDP17889 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLGHRLSKGFSSICLQAYTLGPDSEDVIKEAIETDMRIFITVVTFNSCLNKYMCDHTSIKKAIKSIFSVPFAENY >CDP12454 pep chromosome:AUK_PRJEB4211_v1:8:15006122:15011054:-1 gene:GSCOC_T00036029001 transcript:CDP12454 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTCNACNKEFQDEIEQKLHYKSEWHRYNLKRKVAGVPGVTEDLYLARQSALAEEKKNLNETPMLYSCGLCGKSYRSSKAHAQHLKSKSHSLRASQGVGNHEGANAIIKPFQPRVPNKLSQDNELDDEESEESEWEEVDPEEDLMGEATDSLTQLNMNEQNSMDEDEGSDPDQFLKELDPSCCFMCDLEHGTIESCMVHMHKWHGFYIPDIEYLKDPKGLLTYLGLKVRRDHMCLYCNDRCHPFGSLEAVRKHMEARSHCKVHYGDGGDDEEAELEEFYDYSSSYTDADGKQLVVADDSQNRIEFGSGGSELIITRSNKGGMSTRVLGSREFLRYYRQKPRPMPATDVAITAALASRYRSMGLATVQSREHIVRMKVLKAMSRSGVEAMRSKIGMKSNVIRNLPKNVTH >CDP18424 pep chromosome:AUK_PRJEB4211_v1:8:22267374:22271975:1 gene:GSCOC_T00007238001 transcript:CDP18424 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGASTLNPYAESYVPLSKRRGADERNDFKFPGVQAKRGSESAVLGPRHWDPTIQAPQIYDSHYSDAFYAAENTKLKGYTNPGFHGSSSYSPAETAEKATFEEESDIDLAYLQMTFPSVSEESLADAYKANNYDLDATIDMLTHLEAYPVDSKLPDTLDIGDVPESGSAGELLKLKDVNTEAGASSSGLSGMAPAV >CDP13419 pep chromosome:AUK_PRJEB4211_v1:8:1663572:1667914:-1 gene:GSCOC_T00038355001 transcript:CDP13419 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLAQLSTFLLEEGRLLGGLRREVQFIMDELEQMRAFLREAEAKEEHAQPRVQAWIKQVREAAYDTEDILDEFVARFARHRATGFDGSVRRIFSSIKNLRARHRVASEIQSIKSRIEGIAAAHQRYQSEFGIPAQASNSLPAVNNTTWRYSRDDALLVEEAELVGIDQPKKHLISQLLEGDDHQLKVVSVVGMGGIGKTTLVKKVHEDPEVRRHFPVRAWVTVSETCDFQYLLKDLIQQLHKEGKKPVPQSIESMTTTELKKIVKDFLQQAGRYAIVFDDVWDVEFWNTIKFALPESCCGNRVMLTTRKADVASASCIESRRFVYRMEPLSVEDSWTLFRNKIFDGGNCPGHLMGVAKGILDKCEGLPLAILAISGLLASKDVNRMDEWEMVRRSLGGEFEGTGKLDRVKKILSLSYSDLPWHLKTCLLYTSIFPEDHKIGCARLINLWIAERFVEWREGMSIEDVAWGYLRELVNRSLIQVTSVFYEGIPHKCRIHDLLREVILLKSGEQNMVTVTTGQPTTWPSEKVRRLVVHSSRSNNTQQQRPNYCFDHLRSFVTFESISPLLSKMLLSEVLGSNKLLKVLDLGGQETQEEIPSEIFKMFHLKHLDLWGTRVERVPKAIGKLQHLEYLNLGDTRVRELPMEILKLQKLRVLRVYQNVDPSDDDYGYHGFKAPSNMGGLLALEILQFIDASSGSTIVKEIGKLIQLRKLYITKFKTEDGKELCSSLANLTSLRELSVASIEKGDDHDTIDLNHPSLSSSSSFLQSLRLLILCGRLEKMPQWVVHLHGLVRIDLNWSGLRGEEDPLDFNGWFCMR >CDP12078 pep chromosome:AUK_PRJEB4211_v1:8:30070107:30072639:-1 gene:GSCOC_T00035455001 transcript:CDP12078 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGAMLCGLLLCFSIFVAPLKAQATKDELCSDSTYDYEDALSRSILFFEGQRSGKLPPDQRVNWRGDSALSDGKLENVDLAGGYYDAGDNVKFGWPMAFSVTLLSWAAIEHQDEISSANQLDYLCLAIRWGTDFILKAHTSATTLYTQVGDASRDHQCWERPEDMDIPRTLYKITPTSPGTEVAAEAAAALAAASIVFKESQPEYSAQLLSRSKLLFQLADNYRGSYKSSCPFYCSYSGYQDELLWAAAWLYKASGENYYLNYVSSNQGWSQAVSEFSWDNKFPGVQTLLAKDFFLGTTSLANYKSGADSFVCALMPGSSSVRIKTTPGGLLYTRDSSNLQYATGATMVLLYYSNILTAAGSEGVQCGSVIFSPAKIQAFAKSQIDYILGNNPLKMSYMVGFGSKYPTQLHHRGASIPSIHVLPAEVGCNDGSSTWYSSSKPNPNIHEGAIVGGPNSNDQFNDLRSDYSHLEPTTYMNAAFVGSVAALLGQIKEEFLQVM >CDP13470 pep chromosome:AUK_PRJEB4211_v1:8:1107184:1120779:-1 gene:GSCOC_T00038427001 transcript:CDP13470 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSWCKANFFQGENSRESSSGKKRLSGESDGGDWTGKKVRIFDGIDGNLEVFHGIDTEEEERNENQAMGSGEERGVSCTDELCGFDLNVALIDSEDDEFVPEAVNRKVDIICIPSDDSEDEEGIGIVAHDVKGKGKLIVEETNNELLENIDFGLGLMGRSYDGNSYVSDGRRYTREEKGKAKIVDSWLSLATNPTQLELQTRSQDSIQFELQPGSQDLKRFNHTSENGYQVEGSESSTEFARRKHAEYDIEMQTLRHSELRRNAHKFARWAENGDVSSSQEKPPLEDLLGKSPGPFSTALNMVRDRTSWRSRQLIDWKPSDNGCNSFRPVVPSLLDLSLKALAAKAEAIVSLELVPDFLRRKLADCICNLRKMDVHTFELFVKGSPTEIRIKDCSWLTDCHFSKAFGNFDAKNLKVLELELCGQCPFTLAGLSFPDLGVLSLKGACRLSNDGLKAFVKSAPELESINLSHCSLLTNVGINFLADSLGSKLRELYIDECPKIDAMLILPALRKFAYLEVLSVAGIHTVSDQFVDAVVNTCGKTLKELDLSNCLGLTNGSLRAIGDNCSGLHSLNISNLDKLTDLALQFLADGCQHIQTLKLCRNKFSDEAIAAFLEASGESLKELCLNSVTSVGPCTAFSLAKCSRKLLVLDLSWCRKLTDKALGLIVDSCLSLKLVKLFGCTQITKSFVNGHSNTQVQIIGLQLTPILENAESSGTLSRYSPAESFV >CDP08163 pep chromosome:AUK_PRJEB4211_v1:8:24501399:24502968:1 gene:GSCOC_T00026910001 transcript:CDP08163 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMIRHLLASVVLLMAVMANGQPTGSKHRTARCADRKFSACYSQPAQYCPAECPNYCAVDCFRCLPVCVPKPPPGPPPPPRRRRSPPPPRPPPPPRRRRSPPPPRSPPPPPTSNSTPPPLTPPTSSPPPLATPPPLSPPPASSTPSPPPEVSGKRVHCKNKNYTKCYRMEQRCPSSCPDQCEVDCVTCSPVCNCNKPGAVCQDPRFIGADGITFYFHGKKDQDFCIVSDSDLHINAHFIGKKSENMTRDFTWVQSLGILFDNHQIFVGAKRTATWDNAIDRLDLDFDGQQILLSEGEGSKWLPATAPGVSITRSLDVNAAVIEVEGNFQIKATVVPITEKESRIHKYGVTDEDCFAHLDLSFKFYSLSGAVNGVLGLTYSSNYVSRAKMGVDMPVLGGQKEFASSSLFSTDCSVAQFSGTPPISTTNNVEYSNMNCASGFDGRGVVCKR >CDP12966 pep chromosome:AUK_PRJEB4211_v1:8:2657923:2660820:-1 gene:GSCOC_T00037678001 transcript:CDP12966 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLDQLSTFLREEGRLLGGLRQEFQFIRDELGHMRAFLREAEAKEEDAQPRLQEWIKQVREAAYDTEDILDEFVTRFARHLPTGFYGSVRRIFSCIKNLRARRRVASEIRSIKSRIKSISEGHQRYQSEYGISAQPSNSLSAVNNTTWRYSRDDALLVEEAKLVGIDQPKKHLISQLLEGDDHQLKVVSVVGMGGLGKTTLVKRVHEDPEVRRHFPVRAWVTVSQTCDFQYLLKDLIRQLHEEGKKPVPQSIESMTTTGLKKFVKDFLQQAGRYAIVFDDVWDVEFWNTIKFALPESSYGNRVMLTTRKADVASASCIESRRFVYRMEPLSTEDSWTLFCNKIFDGGNCPGHLMDVAKGILDKCEGLPLAILAISGLLASKDVNRVEEWEMVRRSLGGELEGTGKLDRIRKILFLSYGDLPWHLKTCLLYLSIYPEDHEIRCLRLVNLWIAERFVEWREGMSIEDVALGYLSELINRSLIQVTGVFYEGTPDTCRIHDLLREVILLKSREQNIAIAATGQPTLWPFEKVRRLVVHGSSNNNTQHHQQRPNYYFDHLRSFIAVGSTNPLLSKSLLYDVLRSSKLLKVLDLSDQETQEEIPNEIFKMFYLKHLDLYGTRVERVPKGIGKLQHLEYLNLGNTGVRELPMEILKLQKLRFLRVYQPADPSDDDYGGHGFKAPSNMGGLRGLEILNRIDASSGSKIVEEIGKLTQLRELYITEFRREDGKVLCSCLANLASLHRLSIESIGNGDDHEIIDLNHHHPSLSFSSSCSFLQSLRMLILRGRLEKMPQWVARLHGLVRIDLNWSRLRGEEDPLESLQHLPNLGEINFCGSYQGEGLCFKAGEFLKLNRLHLKRMEGLRWMRVAEGALPHLQKLFLQQLPLLEELPMGIQHLIQLQRLNLYEMSSQLREKLLENQMEESEDYTRIAHIPEILIGYYTNDRKWRRLSLWEEKKKTYNLS >CDP07220 pep chromosome:AUK_PRJEB4211_v1:8:11094853:11096737:1 gene:GSCOC_T00024403001 transcript:CDP07220 gene_biotype:protein_coding transcript_biotype:protein_coding MERHHCSYAVILLLSLIIILGLISFSLCFAAEFKRSKKEDLRFNGKLCYLAGSEGFDLGVAALVFLFIAQIIGNLLVCCKFWSRSHERGCKTKQPKIVIISLVLSWISFGVTVILLGAAASMSKSQPYGEGWLDGECYVVKDGIFLGSAILILITLGTTISSIIITIRRRQAEKEGRKVHAQTESA >CDP08203 pep chromosome:AUK_PRJEB4211_v1:8:24851399:24852825:1 gene:GSCOC_T00026962001 transcript:CDP08203 gene_biotype:protein_coding transcript_biotype:protein_coding MHWSLIFFKVSRTLFMQVFPWEIQTHNHGTNAVQGSCTVSSSEHGMRHDLTSYGRQICSQDSSPTTNCSQ >CDP12212 pep chromosome:AUK_PRJEB4211_v1:8:31105792:31107410:-1 gene:GSCOC_T00035628001 transcript:CDP12212 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMGPRLYSCCNCRNEVALHDDVISKAFQGRNGRAFLFSHAMNVVVGPKEDRQLMTGLHTVADVYCNDCREVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >CDP16192 pep chromosome:AUK_PRJEB4211_v1:8:24036325:24036654:-1 gene:GSCOC_T00017286001 transcript:CDP16192 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYLEMFYFLNYRKLAESVPLAN >CDP09797 pep chromosome:AUK_PRJEB4211_v1:8:29176320:29178384:1 gene:GSCOC_T00030263001 transcript:CDP09797 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLRVQARASTVRAQVFESPHKSNHIHYPGPATPEKFECISRAKSMKLGENLLFRRNSLKNWKISSYQDSRMDIKSWEHEGSSTKTGFRGDEANDKVLEIDTARPQTRHKIRNLFHSSHLSIGSDQCSHSGFTTSKDSTVHQTVPSPSSCEVQSVSPLKFSHDVEDDPFCTAANSPLLHSASSKGGGSKRGPFTPAKSDGSRSCLSGYSDYYYPNYMSCTQSSKAKIRSLSAPKQRPQYERSSSTKRFSMYGYGEPKFSNPQRVSALHASFTSKAYPGSGRLDRLGMPIGEEAIGGFSGGQWHRF >CDP12942 pep chromosome:AUK_PRJEB4211_v1:8:2269184:2274153:-1 gene:GSCOC_T00037648001 transcript:CDP12942 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVDGKVLVFMGFIMLISGIHSSEASGSASGTFGFDIHHRYSNPVRSIFDFDGLPEKHSFDYYAAMAHRDRYNRGRYLAGTTTPVTFVAGNDTHRLNSLGFLYYANVSVGTPELWFLVALDTGSDLFWLPCDCTTSCVRGLVTRSGKRIDFNMYSPDTSSTGMTVPCNSTMCDARRRACSASRNACAYEVSYLSSNTSSTGLLVEDVLHLATDDSQQKVVDAPITLGCGIVQTGGFLDGAAPNGLFGLGMDTISVPSTLASKGLAANSFSMCFGNDGIGRIVFGDKGSTDQGETPFNLHQLHPAYNISITQIAVGENITDVDFTAIFDSGTSFTYVNDPAYSIITENFNSRVQQPRYPSDSQIPFEFCYNLSPNETRSDIPPLNLTMKGGDPFSITDPLELFSLSNNRYIYCLAVIKSGDVNIIGENFMTGYRVVFNRENMTLGWKPSNCECSLFLLPHATTY >CDP11716 pep chromosome:AUK_PRJEB4211_v1:8:5304137:5305707:1 gene:GSCOC_T00034185001 transcript:CDP11716 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVSILGLVYTAMQIPLAVYYLRARKRLMERYSLLHIDFYGDKIMSLLLGAGVGAAFGATRDLNKAYEESNVPKIHDFFDLSYVAAVFILIGCLGSAISSIFSSLALSKGE >CDP13416 pep chromosome:AUK_PRJEB4211_v1:8:1778044:1781383:-1 gene:GSCOC_T00038348001 transcript:CDP13416 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLRQLSTFLLEEGRLLGGLRREVQFIMDELEQMRAFLREAEAKEEDAQPTLQQWIKQVRDAAYDTEDILDEFNLRARHRVASQIQSIKSRIESISAGHQRYQSEYGISAQASNSLSAVNDTTWRYSRDDALLVEEDKLVGIDQPNKHLIAELLKGDDYQLKVVSVVGMGGLGKTTLVKKVHEDPEVRRHFPVRAWVTVSETCDFQFLLKDLIRQLHEAGKKPVPRSIESMTTTQLKEITKDFLQQAGRYAIVFDDVWDVEFWNTIKFVLPESSRGSRVMLTTRKADVASASCIESRGLVYRMKPLSFENSWILFCNKIFNGGNCPGHLMDVAKGILDKCEGLPLAILAISGLLALKDVNRTEEWEMVRRSLGGELEGTGKLDRVKRILSLSYNDLPGHLKTCLLYTSIFPEDYEIACIRLINLWIAERYVEWREGRTIEDVAWSYLSELINRSLIQVTKVFFEGIPGTCRVHDLLREIIISKSREQNMVTITTGQPTRWPSEKVRRLVVHSGSSNNTQHHQERRNYCFDHLRSFVTVGSRNPLLSKMLLSEISRSSKLLKVLDFFGQNIVEEIPNEIFYLFHLKHLDLWGTRMETVPKAIGKLQHLEYLNLGNTGVRELPMEILKLQKLRFLEVYQLVDSSDDDYGSHGFKAPSNMGRLLALHRLSCIDASSGSAILKEIGKLTQLRELRITKLRREDGKELCSSLANLTSLRELSVQSIGKEKMPQWVAHLHGLVRIDLDWSRLRGEEDPLESLQHLSNLVSVNFCGSYQGEGLCFKAGGFLKLKWMHLKRMEGLRWMRVEEGVTVGYSALEPASRAGFV >CDP07177 pep chromosome:AUK_PRJEB4211_v1:8:10031891:10034241:1 gene:GSCOC_T00024333001 transcript:CDP07177 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTSVQPLHGIVKEEPFSILPYIDEKPCLDAMNTNFVSNRQRSKFQPGSSNGTAEVDDIEYYTISGMKPYFDLILAKTHVNHPFRVNLPSRMVPELPFELVPMVLISCGKRWETFYHGDGSAKRFGWKRFVMDNDLKKGDCCFFELMECSKTNIVFKVIILRGSLPFGGGPEDGDTPETAIFIE >CDP12153 pep chromosome:AUK_PRJEB4211_v1:8:30664487:30675214:-1 gene:GSCOC_T00035551001 transcript:CDP12153 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKNYIIEVEPAKPAKDGKPSIGPVYRSVFAKDGFPPPIEGLDSCWDIFRLSVEKYPNNRMLGRREIVDGKPGKYVWQTYKEVYDLVVKVGNSIRSCGIEEGGRCGIYGANCAEWIMSMEACNAHGLHCVPLYDTLGAGAVEFIICHAEVTIAFVEEKKIPELLKTFPNATKYLKTIVSFGKVTSQQKDEIEKFGVVVYAWDEFLLLGENKNYDLPLKRKSDICTIMYTSGTTGDPKGVMISNNSIVTLIAGVKRLLVSVNESLTVNDVYLSYLPLAHIFDRVIEECFINHGASIGFWRGDVKLLVEDIGELKPTIFCAVPRVLDRIHSGLQQKISAGGFIKQTMFNFAYAYKLRNLRKGLKHDEAAPICDKIVFSKVKQGLGGKVRLILSGAAPLASHVEEYLRVVSCAHVLQGYGLTETCAGTFVSIPHEMRMLGTVGPPVPNVDACLESVPEMGYDALSRTPRGEVCVRGDTLFSGYYKREDLTKEVFEDGWFHTGDVGEWQPNGSLKIIDRKKNIFKLSQGEYVAVENLENVYGLVSAIDSIWVYGNSFESYLVAVVNPKKQAVEEWAQENELTGDFNSLCENPKVKEYILGELNKIGKEKKLKGFEFIKAVHLDPEPFDMERDLLTPTFKKKRPQLLKYYQNVIDNMYKSAK >CDP09806 pep chromosome:AUK_PRJEB4211_v1:8:29082179:29087910:1 gene:GSCOC_T00030273001 transcript:CDP09806 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHTNPSYSFSLSLPAPLIRRHSQRLLGAVDYGVIDTLARNSPNLSVSQVPFCKYRIGSLSLGSSSICMRVDAYLNSNHQLAIPAAVHSKENDVFLKSSSASISHRKEVVGTLPGDSPLSIVEIAELNANMASRNENPTSLGKALNTVDINSLHADTNLLPSGAFLDKTGNANMEPYLNLLSSLVPFSSRNLSGLPLEASPVCHQNTLVDQSNQQGHKRRKLQQTAGSTTLFEPKTQSHLHSLPIGIQQEPNTLKQALNNSSMNLNPAYSLQQQAVQCLLSRNDIDQLQDNSPRLKALIQYQLQNQFLHQILHSSPNSLGAHSPQSGQQMKNQLPYQATHQTSSIQSTGDGICSQRLTQYIHHLLHRPKDNSIVYWRKFVLEFYAPGAKERWCLSSYENVGKNVLGVLSLGTMDSWCCDICGTKSGKGFEASFEILPRLCKMKFESGTLEEILFLEFPRECRLSSGIMMLEYGKAIQESIYEQFRVVREGKLRVIFRHDLKILSWEFCAHHHEEYVPRRLVAPQVNQLVYAAHKYQCSHGNPAPRRVPAAEVQGNCNMFLKSVHQLAKHLDLPILNDLGFSKRHVRCFQIIDVVNSMKDLMDFSIKRRIGPIESLQTYPHAGTKTDMWRNVQNVKQETLKQGSSQDLQTDGIRSMAMHLGPEIHHSDAHMTGDGVLSGSGDVGSMLAHNHQKTAWGNILNTKGSTTETEQSCLMGNFSQRATSSPFLRQSNNPAPLDNSMLSRLPSARTSEAISDIKKQMIDKWLQEMVAESRAKGLQNTPGKQDQALHEFNANILSGLPTTARVRGTARPGFGYGTDAAPAQANLLADVPGSGGISRTTSNINTLKISGSNPSPAIKREPDLPEVPEAFMGGQFSKK >CDP07888 pep chromosome:AUK_PRJEB4211_v1:8:19791468:19792161:1 gene:GSCOC_T00025375001 transcript:CDP07888 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRITISAYDTAWIALIEDVNGSDNPQFPSSLQWIIDNQLPDGSWGEAHFCPYDRLLNTLACVIALKSWTTHEDKIAEGIAIIKTLLDMCKLENVESMICGFEVIFPALLERARNLGIEIPSDTPFVKEICAARDLKFERCSNRSKISLVCASREKL >CDP13524 pep chromosome:AUK_PRJEB4211_v1:8:654407:655789:-1 gene:GSCOC_T00038493001 transcript:CDP13524 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTPLSLLCALLLCLPLAIIFTITTPSSSTPSTRSATSCLQTSAPTSTTGANKRAAPNETDEDDNSQLLRVNPNPKPPLKKLAFMFLTTTPLPFEPLWELFFNNTPKYLYNIYIHADPSFNYTTPPFRGVFARRVIPSKPTRRHSPTLIAAARRLLSRALLDDASNYMFALLSPSCIPLRSFKFTYRILIKSKKSFIEILKDEPGAYERWAARGEEVMEPEVKFEDFRIGSQFWVLKRKHARIVARDRRLWSKFKLPCLSPDTCYPEEHYFPTLLRKADPQGCVPATLTHVDWRGGYGGHPRTYFEEEVGPEMIRALRKAKPRYGTEGSNASDWSGSVRRRRDPFLFARKFSPTCVGPLMDIANDIIFRD >CDP07899 pep chromosome:AUK_PRJEB4211_v1:8:20388651:20391118:1 gene:GSCOC_T00025391001 transcript:CDP07899 gene_biotype:protein_coding transcript_biotype:protein_coding MECTGMITVQAVLSGLKSANWDGVESLVDVGGGIGATIAEIVKAYPHIKGINFDLPHVVATAPKYDGVSHVGGDMFDAIPSAQAIFMKWIMHDWDDDDCVKILKNCRRAIPEQTGKIFIVDVVLKPDGDGLFDSVRMKLDLVMIAHASGGKERTEPEWKILLQKGGFPRYNISAIPACLSVIEAYPE >CDP13516 pep chromosome:AUK_PRJEB4211_v1:8:716340:719223:-1 gene:GSCOC_T00038484001 transcript:CDP13516 gene_biotype:protein_coding transcript_biotype:protein_coding description:EIN3-binding F-box protein 1 [Source:Projected from Arabidopsis thaliana (AT2G25490) UniProtKB/Swiss-Prot;Acc:Q9SKK0] MDCNQKAEMANSKGIKPDLESEDLDIVEDGYLSRCLEGKKATDVRLAAIAVGTGSRGGLGKLSIRGSNARRGVTNFGIKAIAHGCPSLRVLSLWNTSAISDEGLIEIASGCHRLEKLDLFHCNAVTDKALLAIAKNCPNLTSLTIESCPNIGNGSLEAVGHYCSSLKSVTIKNCSLVGDHGIASLFSKAGNVLAKVRLESLNISDVSLAVIGRYGNALTDLALIGLQNVNERGFWIMGNCQGLLNLRSILVTACQGVTDVGLEAVGKGSPNLKRVCLKKCAFLSDNGLVSFVKAAASLEIFQLDECHRITQSGFFGMLINSCGKLKALALANCFGIKDLVFGSHFRALPSSIRSLAVRNCPGFGDGSLSTLAKLCPNLVHLELSTLGGITDEGLLPLVESSEAGLVKVNLSGCVNLTDKVTAAIAKLHGCTLEELNLDGCKCVTDATLFAIAEHCSLLSELDVSKSRITDAGTAALAGADQLCLRILSLSGCNLVSNRGLLSVEKLGQTLMGLNIQHCYGVKSDALDLLAERLWRCDILF >CDP09749 pep chromosome:AUK_PRJEB4211_v1:8:29514984:29517929:1 gene:GSCOC_T00030202001 transcript:CDP09749 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSSAPQSVHDFTVKDARGNDVDLSQYKGKVLLIVNVASQCGLTNKNYTELTKLYEQYKDQGLEILAFPCNQFGSQEPGTNEEIQQFACTRFKAEYPIFDKVDVNGSNAAPLYKFLKSSKGGLFGDSIKWNFSKFLVDKEGHVVDRYAPTTSPLSIEEDVKKLLEKA >CDP07223 pep chromosome:AUK_PRJEB4211_v1:8:11582188:11582487:1 gene:GSCOC_T00024411001 transcript:CDP07223 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKPFKKPRRPYEKEPLDAELRLVGEYGLRCKRELWRVQYALSCIRNNARMLLTLDEKDPRRIFEGEALLRRMNRYGLLEVKTSSIMSWL >CDP13409 pep chromosome:AUK_PRJEB4211_v1:8:1851166:1865615:-1 gene:GSCOC_T00038340001 transcript:CDP13409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component SEC10a [Source:Projected from Arabidopsis thaliana (AT5G12370) UniProtKB/Swiss-Prot;Acc:X5JA13] MKESRDGARTARTSTKSSSADSYPLVLDIDDFKGDFSFDALFGNLVNELLPSYQEEDADSAESHSNITANDLLPNGSSRLPSDAGKSSPMFPEVDALLSLFKNSCTQLIDLRKQIDGKLHNLKKEVSVQDSKHRKTLGELEKGVDGLFNSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGRQVVTVPSVVGNATASRGLEVAVANLQDYCNELENRLLSRFDAASQKRDLSTMAECAKILSQFNRGTSAMQHYVGLRPMFDLEVVNEDARLVLGDQGSQPSPSNVARGLSSLYKEITDMVRKEAATITAVFPSPNDVMSILVQRVLEDRVPKLLEKLLDKPSLVNPPPMEEGGLILYLRLLAVAYEKTQELARDLRGVGCGDLDVEGLTESLFLPHKDIYIEYEQASLRQLYKTKLEELHAECQQSSESTGTIGRTKGASIASTHQQISVTVVTEFVRWNEEAISRCNLFAPQPAALAAIVRAVFTCLLDQVSIYITEGLERARDSLTEAAALRERFVIGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVEGAHAASCEEMATAMSSAEGAVHKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGIAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQSFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPPVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIQLRDDYKSAKLASRLSSLWAGSN >CDP17884 pep chromosome:AUK_PRJEB4211_v1:8:21126545:21128018:1 gene:GSCOC_T00011868001 transcript:CDP17884 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFCVPFATTNMGSAMLAMDLVCCKLLWLKAILEIAQEQPKQALETYKILLALVQSQTELQAPNSSEEVNLETWPDADICIGKTKATNIFNSRGWHTKGKSFEAQEQYKEALVAFSVSLSIEPDYVPSIVSSAGVLIKLGRQNLPIARNLLMTALRLEPTNHHAWFLLGVLSKSQGLKQAAVDYFQAVHELKLSAPVQDFA >CDP13529 pep chromosome:AUK_PRJEB4211_v1:8:595587:600236:-1 gene:GSCOC_T00038503001 transcript:CDP13529 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFEQPEEGNDSPIISFQRSGSLSCPIPYLITDSRSRKSFSYSKIPQKPLKLTVIKLDDSSFDIEVAKTATVAQLKQAVESVFSHLPNRGPKKISWPFVWGHFCLTYDGQKLLTDTDAIGIYGIKDGDKLQFVRHLSISYNMVKERPKKEDPDLDEPSVSEDFKDRQLYSEQDISCNERDLEDQEGVYGDDDKDSGLFTNCRYKLLHLFKGWFSYRKLPSSYVRVEENGVVSRLSFSSLGSFGDSGAYIDEYDSEHETQKAQ >CDP17888 pep chromosome:AUK_PRJEB4211_v1:8:21179414:21181679:-1 gene:GSCOC_T00011874001 transcript:CDP17888 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKLDNLKEEPHLSGAYIRSLVKQLTSTRTKDPLNSKDQDSPEDGDGIPGNTNLSKDLDGFGEKQQQSQPSQQPQQHKKQVRRRLHASRPYQERLLNMAEARREIVTALKFHRAAMKQANEQQQQQQQQQQHQQQLQQESELQPQLQPLQQEQEGKSKSRRNPRIYESQMTNNFTGYVDNLPCSAFACPPNNHPYYWPLPPVAPPLFPENFNFVLPNQPLGLNLNLQDFNDLDRSFYQSTNIPSIYSSTSSSSTSSPPLSVATEEVPSVAIPQEGLPMVTTNAESSMMNFGDTDLHHAMDDQEMAEIRSIGEQHQMEWNDTLNLVTSAWWFKFLKTMEIDPEEKAEEYGNFPFDEVMEFPSWLNANESCLEQHLNDYCFDDYMQDPALPCMEIEEIEGMDGEWLS >CDP12963 pep chromosome:AUK_PRJEB4211_v1:8:2634882:2636570:1 gene:GSCOC_T00037675001 transcript:CDP12963 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVANTRKDLGGSLPVENVQELASNNLKEIPHRYIRPELNPDEVSVDESLQIPVIDMSKLATDHVDYQNEMAELHQACKEWGFCQLINHGATIGIEKMKVVVEDFFKLPLEQKMIYAQLPNDLEGYGQAFVISEDQKLDWGGLLFLYSLPTSQRNMRFWPNNPKSFRSTLDEYSTELHKLCLSLFNLVVRF >CDP09954 pep chromosome:AUK_PRJEB4211_v1:8:27846991:27848578:1 gene:GSCOC_T00030466001 transcript:CDP09954 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAFRRAANVSSPLLMKLMDVGSLRTLAAAPSMARSFTTNAQMTTYGEGDRSVDVERRPESGVFRRRDSFPSFFSDVFDPFSPTRSVNQLLNLMDRFMEDPFLAARELGPAAVSRRGWDVREDEKALYIKMDMPGLDKENVKVTVEQNTLVIKGEGVKESEEEEHGRRYSSRLDLPPNLYKIEEVKAEMKNGVLKVIVPKVEEEERKDAFQVKVD >CDP12132 pep chromosome:AUK_PRJEB4211_v1:8:30514959:30518025:1 gene:GSCOC_T00035525001 transcript:CDP12132 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAEMPLVSEPAPTKKGRSRRALKQKTPSSSEANIVAGTVPQPSPVAPPSENCPTKENHESLSSQLSPQKKSKRGAPKASKQQPELSFEKELLEMQEKLEKLRLEKQQTEELLKVKEEALKQNEEEKEKLHVELKKLQKVKEFKPTMTLPMLQALKDNDQEKKGKKKADPATKRPSPPYALWCKDQWNEVKKANPEADFKEISTLLGAKWKTLSTEEKKPYEERYQEEKEAYLKIVGIEKREHEAMKLLEEEQKQKTAMELLEQYMQFKQEAEKENKKTNKQMAEKDPLTSILILSALISVFRKEKDPLKPKQPLTAFFLYSNERRATLLAENKNVLEVAKVLGEEWKNMTEKQRAPYEKIANKNKEQYLLEIELYKQRKEEEAANLKKEEEELMKLQKQEALQLLKKKEKAENIIKKTKEKRQKKKEEKDVDPNKPKKPASSFLLFSKEARKTLSEERPGINNSTLNALISVKWKELSEEEKQVWNEKAAKAMEAYKKEVEEYNKKLVAENQKN >CDP11717 pep chromosome:AUK_PRJEB4211_v1:8:5333532:5350520:1 gene:GSCOC_T00034186001 transcript:CDP11717 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRTVIITTVNAAWTAPNSIFDLFLEGFRSGNQTQALLNHLVVGAMDQKAYSRCLELHPHCIALTTEGVDFSGKADFMSEDYLKIVWRKLEFQRTILEMGYSFIFTDADILWFRDPFQRFYSDADFQIACDHFGFNSTDLNNSPNSGFIYVRSNNMTIQFYKFWCKSREAYPSNHDQDALNMIKFDPFISKIGLKIRFLDTAYFGGICEPSKDLNLVCTMHANCCTSLERKAHDLQMMTDDWKIYMTKPGNRTEPHPWTSARYYQRISQSLLKRKRSVFLIRKATFPNNFDSLSFIIYRTHGYNVVSMPHFEDAYFEKANQENEETKLQNILSKAATVTKTVIITTVNAAWTAPNSIFDLFLEGLRSGNQTQALLNHLVVGAMDQKAYSRCLELHPHCIALTTKGVDFSGKANFMSEDYLKIVWRKLEFQRTVLEMGYSIIFTDADILWFRDPFQRFYSDADFQIACDHFGFNSTDLNNSPNSGFIYVRSNNMTIEFYNFWCKSREAYPSKHDQDVLNMIKFDPFISKIGLKIRFLATAYFGGICEPSKDLNVVCTMHANCCTSLERKAHDLQMMVDDWKIYMTKPGNRTEPHPWTSARYCSK >CDP19929 pep chromosome:AUK_PRJEB4211_v1:8:22962239:22963559:1 gene:GSCOC_T00006911001 transcript:CDP19929 gene_biotype:protein_coding transcript_biotype:protein_coding MWAYLSSTLANIFLLLLVAMNFPVNLASAAKQFQNETDSLALLELKKQIHDDPFGVLNSWIHSQHHCQWEGVTCGTRHERVIALTLRESHLILSLISTLCNATTLYYLDLSFNQFEGGNILDNVLMNCQYLQYLDISHNNFTGFISPLVLQMHPSLTYLKFGENSFSRSLPPEVGKLIHLVEFNVSHNQLAGDVPISLADLITLTGPIPKELEKLHYLRYLNLSITTLRVRYRTLEFSAMQVKYH >CDP11753 pep chromosome:AUK_PRJEB4211_v1:8:6049337:6050935:-1 gene:GSCOC_T00034242001 transcript:CDP11753 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVAILVDTLNSMIQKERGLLCGVATDMEKLARLLSTIKAVLEDAEQKQFTDKAIQLWFQELNGVAYEIDDVLDDYAAEASRIKYKNSGCFSLMCYSVAGNLVFRHRIGTRMKEILEKFNAIADERIKLGLSDQKRGSYFNASRETGSTVNEPEVLGREEEKKQIVRILTKEKDRVDQNVSVLPIVGVGGLGKTTLAQLVFSDKCITEHFELKLWVWVSEDFDVKRIIKVLIESVEKTSIGDLALNILQGKLQELLRGKRYLIVLDDVWNENPEEWEKLKSVLECGSKGSSIVTTTRMEKVATIMGTLETHRLSSLSENECWSLFSQRAFGRQEAEEYPNLVVIGKEIVKKCGGVPLAAKALGGFLRFKREENEWNSVKCSEIWNLPEDETRILPALRLSYLNLPVDLRGCFAYCAVFRKGSKIEKEEVIHLWMANGLISSNETMEVEDVGDAVVTELHHRSLFQAVEKDEFGHVLIFKMHDLVHDLARSVMEAKHGGTESNRSTRLMVAFHITSAGIDQFSSFLSKCGS >CDP08252 pep chromosome:AUK_PRJEB4211_v1:8:25360561:25366087:-1 gene:GSCOC_T00027021001 transcript:CDP08252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MSSTTAGSFSSTSTAAAKNDEAETLRRNRILSSKLYFDVPPSKVPLIYSPSYDIAFLGIEKLHPFDSSKWGRICQFLIVAGLLEKKRIVEPLESTKDDLLVVHPESYLNSLKSSSNVAIIVEVPPVAVLPNCVVQQKVLSPFRKQVGGTILAAKLAKERGWAINVGGGFHHCSAAKGGGFCAYADISLCIHFAFARLNISRVMIIDLDAHQGNGHELDFSSDRRVYILDMYNPHIYPFDYEARRYIDQKIEVPTGTLTSDYLSKLDEALEVASRNFSPELIVYNAGTDILDGDPLGRLKISPEGIATRDEKVFSFARERSIPLVMLTSGGYMKSSARVIADSIINLSKKSLIDLQSSPGKI >CDP18419 pep chromosome:AUK_PRJEB4211_v1:8:22309101:22312712:1 gene:GSCOC_T00007231001 transcript:CDP18419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 57 kDa regulatory subunit B' kappa isoform [Source:Projected from Arabidopsis thaliana (AT5G25510) UniProtKB/Swiss-Prot;Acc:Q93YV6] MLKHIFSRLPRKSLSKAESLDSSDPFCQNSGCSSGRGAGGGALKRASSAVFPASVVAGFEPLVPFKDVPSSEKMNLFISKLSLCCVVFDFTNPSKNTSEKELKRATLIELVDFVSSNTVKYSEPAILVVCKMCAVNLFRVFPPDHRSKNNSSHASENDDDEPTFDPAWSHLQLVYDLLLKFVTSSALEAKVAKKYLNHSFIVRLLELFDAEDPRERDCLKAVLHRLYGKFMVHRPFIRRSISNVFYRFVLETEKHNGIAELLEIFGSVITGFALPLKEEHKIFLWRVLLPLHKPKSLGVYYQQLSYCVTQFIEKDPKLASTVVQGLLKYWPITNSQKEVMFLSELEEILEAIHVAEFQKVMVPLFWRIGCCISSYHFQVAERALFLWNNDQIATLIAHNRHVILPIIFPALENNAQSHWNHAVLNLTLNVRSMFKEMDDALFLACHLRYKEEKEQLNLAAQKRKEAWEHLENAASLKPIAENIAVLVTPLATSIRC >CDP08248 pep chromosome:AUK_PRJEB4211_v1:8:25322265:25324190:1 gene:GSCOC_T00027017001 transcript:CDP08248 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSWVVEVNDKVNRLSDITRSEEKAWQSHSIYKLPACVTDLVTDRKESVYKPRAISFGPYHYGESNLDPMEAHKERALLHFIERSGNPLEHYRRALCGVVKELKDAYDSLDDKWKQDPEAFLKLMIRDGCFMLEVLRSSSTDLTKNGYASNDPIFSKHGMTYMLPYIKRDMLMLENQLPMLLLRTLHAVYKQDAMTSEESADQSEKSAQRSEESAQRSEESVDKLILKFYSHIYSSSCSTQPKLDECLHILDAYRTILLWKDSVTQTQEFTPHCKEGNNSGDVLSARELKESGISIKKSKSTSLTDIQFDCKWGILKLPPICMDDVSETMFLNLIAFERFHAGVGSQVTNYIYLMDKLIDDAKDVNILQSHGILHNALGSDKALAQLINSLSKDVVLDRDSNLNRVHVAINNYCKTEPHKWRASLIQTYFRNPWALVSVMAAIVLFALTIVQALYGALQYYQGEKKG >CDP14959 pep chromosome:AUK_PRJEB4211_v1:8:8856287:8856571:1 gene:GSCOC_T00042469001 transcript:CDP14959 gene_biotype:protein_coding transcript_biotype:protein_coding MRELMFAGKNPALNSKLMPLIEWLFKEPNPIGLNTALAQLGVVRPVFRLPYLPLPLSERLEFVNMVKEIGRQHFVGEIDVQALDDDDFILVGRY >CDP09948 pep chromosome:AUK_PRJEB4211_v1:8:27892933:27899822:-1 gene:GSCOC_T00030455001 transcript:CDP09948 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSNFYKNPSYAYNRQFNLNSVLQNLTTYNIATGNVSTAAEPVPADDKSVRHRKRRREREPPAIQIDEIGESKGPMSHQDYINKRRKEVNSAAQPYEELSADVLGSSSSVLHLVQYDSDSSSSSEVGEKQYPNSGCIGLLQSLPDDQNPSTSDSTTGVDRVKSRSEQRLPLPGEPVCVVCGKYGEYICNETDDDVCSMDCKAELLQKFKSQEVGPVSSRLVFDSFSQAGGSLEVPESVQDTWDNDRNCWSKKRSSLCTYECWKCQRPGHLAEDCLVITSQSRFLSSGEKSIKEGSVQKIPNPIPRELLQLYKRCHNIGKTFLTAKCSVCRGSTTLATCLNCSNAFCDSAGHLADHIMAHSSHRQFYSYKLKRLVKCCKSTCQVTDIKDLLACHYCLNKAFDKFYDMYTATWKAAGLSIIWGSICCEDHFEWHRINCLSANVENSAYIVKHHGQDQIRKPFQLSDFIF >CDP09940 pep chromosome:AUK_PRJEB4211_v1:8:27946815:27950339:1 gene:GSCOC_T00030444001 transcript:CDP09940 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKQEHDFEKRRAEAARIREKYPDRIPVIVEKAEKSDIPGIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGGIMSAIYDEKKDEDGFLYVTYSGENTFGSKILL >CDP11688 pep chromosome:AUK_PRJEB4211_v1:8:4798692:4800159:1 gene:GSCOC_T00034145001 transcript:CDP11688 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEYVPNGSLENWSHPSPAEEEGQSLIKLQLIQSLNVAIDIASALNYLHNHCGTPIIHCDLKPSNILVGDYFRALVSDFGLAKFHSSIEKMERKSSSKRRVSKRQSQYLIYGPCSYGMGGEVSTQGDVYSYGILLLELFTGKMPTDSLFTEDFSLHSYVKTALSHQVMELLIQRSRWKQNPYQA >CDP13410 pep chromosome:AUK_PRJEB4211_v1:8:1844998:1848641:-1 gene:GSCOC_T00038341001 transcript:CDP13410 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGDDGVKSGKKNERSKRNDVEGELEDVDDISCLNYDSDEVNLNSNVDRGPNEGNDGAGDNWNVGDINAVTNGDEKGKKKGPPAKNLMAERRRRKKLNDRLYMLRSIVPKISKMDRASILGDAIEYLKELLQRINDLHHELEATPSGSLLEQTSSFHPLTPTLPTLPCCVKEELNSSSLPSPKSNQPARVEVRLREGRAVNIHMFCARRPGLLLSTISALDNLGLDIQQAVISCFNGFALDVFRAEQCREGQDILPEQVKAVLLDSAGYHGVM >CDP18535 pep chromosome:AUK_PRJEB4211_v1:8:4432449:4433620:1 gene:GSCOC_T00010771001 transcript:CDP18535 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQSLGSPAAKLHANGVVIVKDTSSSSSPSSSSPEQQQKKKKKLGVLISNDDEDEKQNKKPHHKTTPSGHPEKLVHLIPLLTLLCIFILYLNSHDPSQNDLAQFNELKTLSNPLDSTNIEQIHGALEIGKSEALGIGSMRNLQGVDREGGNSKNRLNRKIGDF >CDP07853 pep chromosome:AUK_PRJEB4211_v1:8:18885975:18886277:-1 gene:GSCOC_T00025314001 transcript:CDP07853 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDWRNNADTHKMSPEDVRKAGVDNSKRPPGHSPGGVLHQRRNLPYSPTTMAVIGFAIAGSIFYFTLYAKKKAEASARDVARVSTNTADPHDTRPRK >CDP12943 pep chromosome:AUK_PRJEB4211_v1:8:2294729:2299583:1 gene:GSCOC_T00037649001 transcript:CDP12943 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVSFVSNRLATLLQEEGSLLGGLRQEVQLIKDELGHMKAFLKVAEAKEDDDPRLQEWIKQVREAAYDIEDVLDEFVLRFAGYRHHGFCGSLQRILKAIKSSPARHQVASEIQSIKSRIKNISELRRRYQDEFGIDHRVTGSSTMNDSRRYSRDDALLVEEAKLVGIDQPKQHLISKLLEGHDHQLKVISVVGMAGLGKTTLVKKVHEDPEVRKNFPVRAWVTVSQTCDFPKLLRDLIRQLNKDLDKSVPQSIESMTTAELKEFVKDFLRRAGRYAIVFDDAWDVEFWNEIKFALPEGNYGNRVMLTTRNADVAPASCTESQDYVYKMEPLSIEDSWTLFCNKIFKGNRCPAHLMDVAKAVLDKCDGLPLAIVAIGGLLASKDVSRIDEWEKIQHSLGGELEGTGKLERVKRILSLSYDDLPSHLKPCLLYLTIYPEDYLIGCYRLINLWIAERFVEWREGMNIEDVAYDYLSELISRSLIQVTEVFYEGLPDTCRIHDLMREVILIKSREQNMATVITRQPMTWPSEKVRRLVIHSSSNIPSKLGGLLALQTLNTIDASSGSVIVKEIGKLTQLRELYITQLRREDGKELCSSLVNLTSLRELSIESIGKGDDSEIIDLNHHQHSLSSSSSCSFLQSLRMLIMCGRLETMPVWITHLQNWVKIDLYWSGLRAEEDPLEFLQHLPNLGGISFCGSYQGERLYFKAGGFLKLKRLWLRRMEGLKRMTVEEGACPHLQRLILKQLPLLEDLPWGIQHLSHLQELGLCEMSSQLMEKVGNQKEDSEDYRRIAHIPEIVIARPRGFCPVSDELEPCRWEILPAQGSDAPQFRVVF >CDP13052 pep chromosome:AUK_PRJEB4211_v1:8:3692568:3702765:1 gene:GSCOC_T00037810001 transcript:CDP13052 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEQQGSFSGNEDSKEEMKNEEEERKKPRKIPLIKLFKFADAYDYFLMFVGAIGACVHGASVPVFFIFFGKMIDIIGLAYLFPAEASHKVGKYSLDFVYLSVVILFSSWTEVACWMHTGERQAAKMRMAYLRAMLNQDISLFDTEASTGEVISAITSDIIVVQDAISEKVGNFMHYISRFFAGFAIGFVRVWQISLVTLSIVPLIALAGGVYAYVATGLIARVRKSYVKAGEIAEEVIANVRTVQAFAGEDKAVKSYRGALLNTYTYGRKAGLAKGLGLGTLHCVLFLSWSLLVWFTSIVVHKNIANGGDSFTTMLNVVISGLSLGQAAPDITAFIRAKSAAYPIFEMIERNTITNTSSKNGRKLDKVDGHIQFKDVSFSYPSRPDVLIFDKLCLDIPSGKIVALVGGSGSGKSTVVSLIERFYDPLSGQILLDGSDIKDLDLKWLRKQIGLVNQEPALFATTIRENILYGKGDATLEEITRAVKLSEAMTFINNLPDRLETQVGERGVQLSGGQKQRIAISRAIVKNPSVLLLDEATSALDAESEKSVQEALDRVMVGRTTVVVAHRLSTIRNADIIAVVQNGRIVETGSHEELISKPNGAYASLVQLQEASSLLRLPSHGAHLGRPLSIRYSREGSIRYSRELSRTTTRSLGASFRSDKSISRIGADVPDTVESRHISSGRLYSMVKPDWIYGFVGTVCAFICGAQMPLFALGVTQALVSYYMDWDTTRHEVKKIAFLFCGGAVITVIFHSITHLCFGIMGERLTLRVREKMFSAILRNEIGWFDDMDNTSSMLASRLESDATLLRTVVVDRSTILLQNVGLAVTAFIIAFILNWRLTLVVMATYPLIVSGHISEKLFMKGYGGDLNKAYLKANMLAGEAVSNIRTVAAFCSEEKVIDLYGHELVEPSRRSFRRGQIAGILYGVSQFFIFSSYALALWYGSELMGKGLASFKSVMKSFMVLIVTALAMGEILAMAPDLLKGNQMVASVFEVLDRRTEILGDVGEDVTKIEGMIELKNVDFSYPSRPDVLIFKDFNLRVSPGRSMALVGQSGSGKSSVLALTLRFYDPTSGKVMIDGKDVKKIRLKSLRKHIGLVQQEPALFATSIYENIVYGKEGAPESEVIEAAKFANAHSFISALPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPSILLLDEATSALDVESERVVQAALDRLMKNRTTVMVAHRLSTIKNADQISVIQDGKIIEQGTHSSLLENKDGPYYKLINLQRHQKQQQ >CDP09907 pep chromosome:AUK_PRJEB4211_v1:8:28276430:28277466:1 gene:GSCOC_T00030396001 transcript:CDP09907 gene_biotype:protein_coding transcript_biotype:protein_coding MASEYSFCKTRVQFYTSNLNANVQPFLPATRITSITVGNEVLTFNDTSLSSNLLPTMQSVYSVLCSLNLQDKVSTFYTPSAGRFHPDLAPCLTAILNFHLKTGSPFLINAYPYFAYKTNPKQVPLEFVLHQSPSKIV >CDP10002 pep chromosome:AUK_PRJEB4211_v1:8:27342339:27349362:1 gene:GSCOC_T00030533001 transcript:CDP10002 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/TrEMBL;Acc:A0A178W236] MEQNRFSDAFSNGGATAASTTPSVPPRQPNYTCAKVQEALAHLASIDPIELCNEAKVEHCRATRDLRSCGRYIQSVLNSCGHASLCEECSQRCDVCPICRVPLPKGGSRLRLRLYYECIEACLISKRCDDRLQDKEDGDKELIADVQRLYSLFDVALENNLSSLICHYVTDVCMDDSAVSSDPVIAFLLDEVVVKDWCKRTFKNIVADLQAMYNLAVCELKACLSLLLKFSVKLAGLVIVLDVLESSFKGSLSAKLYDLHHLQENILKTKQHLEVITWCTRHDFLVNVRSRHGPIASWRSEVRERKSAAIRRAWPDSVPNSAAVSSRTDNSTLFIEEALSNLDTEWGHIDDPGEELQIALLQKDGGSSFLRSKLEGLAALYPFESMRAAIDVLFLRGSSDLVVSKQAILLYYLFDRHWTIPEDLWRDVVDDFAASFCITRHSLLESFVFYLLDDHTDKALQEACRLLPEISGPTVHPKMAKVLLERQNPDAALMVLRWSGRDEAQLVSLEEAVTTVRVRVECGLLTEAFMYQRAVCMKVKEKKLGDESFLNASGETRDEHWTWMQWVEVMVTEISCLCIRRNLVDRMIELPWNFDEEKHLHKCLLDFATDDPLSTMGSLLVVFYLQRHRYIEAYEVDRKLVNIEQEYIVKSSAREEILVRMRSTSHWRSALVEKSIELLPDVIQQQLKNGKLHENGVLPSNAGGFPANSNDAREQGPILTSLLVPPVISSSVQGTNNVMTSPIHSTLNSSSKLGRSVSFRTNNYGNFGASMLPKGFFNEAEKGWTPDSGLRKNFSFDDVSPAIPNTNIATSPIRELKSSSSQKPGSIPKQNGYLNKVHSRSSYLKGSIANPVTSLRSNLGMLMDSAQDSSVLGKLAFSDTTDVPQMLHSNDSMDISWSHDERGLSVERVDANGGPRWRSDESSEDEEHQNQARTAVTAANTRNIRGTRRGRVLGR >CDP18420 pep chromosome:AUK_PRJEB4211_v1:8:22293201:22299230:-1 gene:GSCOC_T00007232001 transcript:CDP18420 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLGLHRKSQTSKFSFLMEPGDNVIHNANDHVIDIPSSSEASYSSPPHDRIAGGIQQQQQTDNQPSTSERLAAYQAALSSSRGASNARSSSFGRRSNGRGRNRSPLNSGKWIATELVLTMSQIIAAIVVMTLSRQEHPHAPLRVWVVGYASGCVAILPLLYWRYSHRNQGSERESSQSNQGMTQNDLSVGSSVTGTSDTDGRQRNGSDSQVAHNFGILNPRLKALVEYFKMALDCFFAVWFVVGNVWVFGGHSSSSEAPNLYRLCIVFLTFSCIGYAMPFILCATLCCCLPCIIHVMGFREDATQSRGATSESINSLPTYKFKAKKKKSGNDKENSSGGVEGGIVAAGTEKERVISGEDAVCCICLAKYANNDELRELPCNHFFHKDCVDKWLKMNATCPLCKAEVGETILQSLTDAVAAR >CDP11684 pep chromosome:AUK_PRJEB4211_v1:8:4752803:4753366:-1 gene:GSCOC_T00034140001 transcript:CDP11684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 23 [Source:Projected from Arabidopsis thaliana (AT2G21100) UniProtKB/Swiss-Prot;Acc:Q84TH6] MARLVLLAMLITLVAAMASGVQAQDDVKWAKRVDSGKEVVSTLQFYFHDVLSGRNPSAVRIAQASQANSTPNGFGSLMMVDDPLTVGPDPNSRLVGRARGMYGSAGQTDFGLVMVMCYEFLDGTYKDSSFSILSINPALNPVREMTVVGGTGLFRLARGYAIAQTYSLDPTTGDAVVGYNVTLATYI >CDP07830 pep chromosome:AUK_PRJEB4211_v1:8:18055520:18056674:1 gene:GSCOC_T00025236001 transcript:CDP07830 gene_biotype:protein_coding transcript_biotype:protein_coding MWDYTPLSVDKELLRRPHNIPLLNNFYICDIAGFNKSCLADVYLLYFPMEDTPIFRPSSSTKVVEDMDEFQELLQTIPREVSGDGWGLYYYNNFWCPERVLKSTIFFQRNFKAKDSDIILASIPKSGTTWLKSLSFSIINRKKCTIPESPLLITNPHDLVRSMEYGLFLNSENPDLEAFSCPRIFSTHLPYHALPQSILNAKCRIIYICRNPLDQFISLRHFLLENSGEDQQKALPIDEAFELFCKGLYPFGPVWDHAEGYWNASLNDVQKVVFLKYEDLKIDATSHVKMLAESLGFPFSPEEVENGVVEEIVKLCSLENLKNMEVNKNGVVVKSPTVKFKAGSYFRKGEVGDWKNFLNNSMAERYKKIMEEKLGKSGLAFELL >CDP13510 pep chromosome:AUK_PRJEB4211_v1:8:756959:761827:-1 gene:GSCOC_T00038475001 transcript:CDP13510 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLHGTLQATIFEIDRLQTGWGFNFCGKTGGPAKMARRFFARLKRAVLCRPEIVGSRLYATIDLERARVGRTRMIEKQLSNPRWYEGFRIYCAHMVSNVIFTVKDDNAVGATLIGRAYLPVEEIISGFVVDRWLQILDEDGIPIQGGPKIHVKLQFFSVNHDPNWSQGIGGSLAFKGVPRTFFKERQGCHVTLYQDAHMPDTAFSNCLESKLRYEPQRCWEDIFDAINNAKHLIYITGWSVYTQITLIRDPYRPKPGGDITLGELLKKKANEGVRVLLLVWDDRTSVETLKRDGMMATHDQETGDFFRDTNVHCVLCPRNPDDGNSIIQGFEVSTMFTHHQKTVIVDSEIPESRGEPKRRIVSFLGGIDLCDGRYDTIAHPLFSSLNTAHHDDFHQPNFPDSNIRKGGPREPWHDVHCKLEGPAAWDVLCNFQQRWQRQVGNNYLFSINELDRFAIPPSPVTKSEDHETWNVQVFRSIDGGAAYGFPENPAEAAQVGLVSGKDHIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWEGNDIQVPDINALHLIPKELSLKIVSKIEAGEKFTVYVVIPMWPEGIPESASVQAILDWQRRTMEMMYKDIARALQAKGERDDVDLRDYLTFFCLGNRESREVGEYAPPETPNPGTDYARAQKSRRFMIYVHAKTMIVDDEYIIVGSANINQRSMDGARDTEIAMGGYQPHHLSNAEPAQGSIFRFRMALWLEHLDVLDYVFYHPGTLECVRQVNLLAERNWELYSSDTFPDNENLSAQLLRYPVNVSRDGIITALPGLDFFPDTKARVLGTKSDYLPPILTT >CDP09837 pep chromosome:AUK_PRJEB4211_v1:8:28790996:28792761:-1 gene:GSCOC_T00030311001 transcript:CDP09837 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVNHGISNELINRVKVAGEAFFNSPFEEKEKYANDQASGKLQGYGSKLANTASGQLEWEDYFFHCVFPEDKRDLSIWPKTPEDYIPAASEYAKQLRGLATKLLAVLSLGLGLEEGRLEKEVGGIEELILQMKINYYPKCPQPELALGVEAHTDVSSLTFILHNMVPGLQLFYEDKWITAKCVPNSIIMHIGDTIEILSNGKYKSILHRSLVNKDKVRISWAVFCEPPKEKIILKPLPETVSETEPPRYLPRTFAQHIDHKLFRKTEEAVEKNQSTEDNKSPEDNQPREDGKRS >CDP20515 pep chromosome:AUK_PRJEB4211_v1:8:2092904:2093212:-1 gene:GSCOC_T00010010001 transcript:CDP20515 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSWFKGTKNDTNSKPATQKSEPVKTQSASEVPGMNGAVEVRRPGPPPADITVFEFGSVAASADKVTLAGFCPVSDELEPCRWEILPAQGSDAPQFRVVF >CDP13407 pep chromosome:AUK_PRJEB4211_v1:8:1871672:1872256:1 gene:GSCOC_T00038338001 transcript:CDP13407 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFYFLSIAIFMLLSSLAPTTNSYFMMQQQGDMINSTCQQCADVSTVFDYDLCVTSLQAVPISHHVNLPGLAIVAMELDLANATSTVRTIERLIASKKFDPFVVDCLRDCLERYANAVSKVVLAIGAFLSDHYGAANMFLSAVVEESMSCEAEFRQKKGEPTPLVKENYYMFELSIIGLCIINLVTMDPSLSF >CDP08168 pep chromosome:AUK_PRJEB4211_v1:8:24516228:24519630:1 gene:GSCOC_T00026915001 transcript:CDP08168 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSYRNTSQPSKKKEEKKEWLGVSFKPENFIPGLIIGFIFGLFLDLSKPNKASTSATKRSNLLSSKNQRLTSVADAADEELKMVLVVRQDLKMGQGKIASQCAHAATGIYSELIQSHRSLLRQWELCGQAKIVVTCKNLQEMNKLKEAAESIGLPTFVVADAGRTQVSSGSKTVLAIGPGSRSTVDSVTGKLRLL >CDP08332 pep chromosome:AUK_PRJEB4211_v1:8:26097981:26102626:-1 gene:GSCOC_T00027133001 transcript:CDP08332 gene_biotype:protein_coding transcript_biotype:protein_coding description:(S)-ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) UniProtKB/Swiss-Prot;Acc:Q8GXV5] MLSFSVFSVQLYLFLSLMLGILEVSLSQEGFCTAPSVLKTDSNALPLYWKVTNPTLSPSHLQDLPGFTRSVYRRDHALITPESQVFSPLPAWTNTLGAYLITPAMGSHFVMYLAKMQENSKSGLPHSDAERFIFVLHGTAVLIDASGYTHNLNVDSYAYLPPNSTHHLNCEATATLVVFERRYSYLENYSTGLIVGTTDKQPLLETPGEVFELRKLLPTSLPYDFNIHIMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDAIWMAPFVPQWYAALGKSRTRYLLYKDVNRNPM >CDP09799 pep chromosome:AUK_PRJEB4211_v1:8:29149201:29156038:-1 gene:GSCOC_T00030265001 transcript:CDP09799 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTFGSRNSAMLSGPPGGVQQVSGSGSSGRFTINNLPAALSQLSLASSHGHPGVTNNGGSGALPNLGNSGRVANPMANYVSGGNIARGLGSGGGSNLAGVASRLNLTDPQVVSMLGNSYPASGVPLSHNHFPAGNGPYTSLLLNDLNAHEDTTFDMNDFPQLAGRPSSTGGSQGQIGFLRKQNVGFSQQNQEFSIQNEDFPALPGYKGGNVEFPMNIHQKEQIHNMSSMMHPQNMPLGRSAGVNFGGASSAHYQQAQQHASSTNGSGLSFLPSKYQNYQDIHFHDPEARSVGQPASGSGPTNLSNSVPGMAPYEQLTQQYQQFQKHSNFRMGTPYRDQDFKTQATPAPADKFGMLGLLNIIKMVDPPLTSLALGTDLTTLGLNLNSSESIHKKFASPWSEEPAKGEPEYSIPTCYYAEQLLALKQSCFSKFRPETLFYIFYSMPKDEAQLYAANELYNRGWLYHRELRLWLARTKNMEPLVKTPTYERGSYFSFDPNTWETVRKDNFVLQYDMIERRPVIPQQ >CDP15677 pep chromosome:AUK_PRJEB4211_v1:8:7201292:7204190:1 gene:GSCOC_T00015639001 transcript:CDP15677 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLDQLSIFLREEGSLLGGLRQEVQLISDELGHMRAFVGQVREAACDTEDVLDEFVARFAHHQSTGFYGSVRKIFNSIKTLRARRKVAVQIQSIKARVKNISEGHQRYQSEFGGATQAAEDVALLVEEAELVGIDNPKQQLISQLLEGDDSQLKVVSVVGMGGLGKTTLIKRVHEDLAIKRHFPVRAFVTLSTMQLPGATERLDSALKQCVKDFLQQAERYAIVFDDVWDVEFWNAIRFALPENGYGNRVLLTTRKADVASASCNKSQDYVFRMAPLSFEDSWTLFCNKIFKGNGCPAHLTDVAKGILGKCQGLPLAVLAISGLLAMKDLNIAEEWEMVRRSLVGELEGSGMLDRVKKILSLSYNDLPCHLKTCLLYLSIYPEDYEIRCQRLVQLWSAERFVGKREGMIMKDVGFNYLRELVNRSLIQVTESFYEGIPETCRIHDLVREVILSKSREQNMITITNMITITTGQYTRWLSEKESQYYCFNHLRSFITIESMNPLISRALLSKALKSSRLLKVLDLSDEETLEEIPNEIFNLYHLRYLNLYGTGVKAVPKFIGKLRNLEYLDLGETQVKELPMEILKLQKLEHLMVRTKVDVSDEAYGYHGFKAPSKLGGLLALQSLTSIDASSGSVIVKEIGTLTQLRRLVISNLRREDGKELCSSLATLTSLQLLHIGSIRNDGGDYEVLDLNHHHQQQHSRSSSMSFSFLQSLPHLQSLVRIDLNWSSLRDEEDPLEPLHHLPNLVTIQFCRSYQGEGLCFKAGRFPKLKDLYLEKLQKLKWLKVEEGALPSLHELSLDTLPLLEELPLGIQHSRNLRKLYLSELSSQLMEKLENLNEETEDYRKIAHISEVVIQLWTDEGWRLHRLWGKKM >CDP14454 pep chromosome:AUK_PRJEB4211_v1:8:14570271:14571164:1 gene:GSCOC_T00040937001 transcript:CDP14454 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRMSLTLAVLLLLLTPGGLQLDNESVNSGSYRDFIIQIQTLPVIFKLKEVILLGL >CDP08361 pep chromosome:AUK_PRJEB4211_v1:8:26356874:26361653:-1 gene:GSCOC_T00027166001 transcript:CDP08361 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNPFDLLGDDDNDDPSQLLAAQQQKAPVASAAPGTKKGPAQAQSNQPAKAQGQAQGQAKLPSKPLPPAQAVREAKNEIQRGGGRGGGRGDGRGRGRGRGGRGFDRDSADNENTAAGNNGYSGGYRTSEDVEAGKTGERRGYGGPRGGFRGGRRGGFSNGEAADGEHGERPPRRIFDRRSGTGRGNEIKREGAGRGNWGTPTDEIAPETEEPVNEGEKNADAEKQSGQETVGDGNKDSHVDEPEEKEKEPEEKEMTLEEYQKLLEEKRKSLLASKPEERKVNLDKEFESMQLLSNKKNDDDVFIKLGSDKDKRRDAGEKAKKAVSINEFLKPAEGENYYRPGGRGRGRGRGRGGYGNNSYNVEAPSIADVGQFPSLGGN >CDP14986 pep chromosome:AUK_PRJEB4211_v1:8:7901157:7905634:1 gene:GSCOC_T00042509001 transcript:CDP14986 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGDPLEVGRVIGDVLDPFTRSVSLRVIYGGRVVISGSELRASQVESQPRVEIGGDDFRTFYTLVMVDPDAPSPSDPNLREYLYWLVTDIPATTGPLFGREIVCYESPRPSVGIHRLIFVLFRQLGRQIVYAPGWRQNFCTRDFAELYNLGLPVAAVYYNCQRQSGSGGRRT >CDP13539 pep chromosome:AUK_PRJEB4211_v1:8:455438:459644:1 gene:GSCOC_T00038521001 transcript:CDP13539 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCTNHTNAAGGDDHNNNNNNNDSSSTENFHGTGSGGSGRSSTSTSSSSSSNYCVWLVCSVMFLVSSVMVVAVFTIVSSSSSSSIAAWSPPFKFSAFKSAGTTTKTATPPNISSSKSLAYYFIPNNIISAAATTTLTANATTTPPPDSHLPSPIILTRQEILERGLAKARAAIREAAASHRNFSVTAFSAHIYRNPAAFFQSYAEMEKRFKVYVYEEGELPIVHYGPCKNIYAIEGRFIHEMEHGGNRFRTRDPHSGHVYFMPFSVTWMVKYLYKPNTYNISPLKQFASDYVRAISTNHPFWNRTQGADHFMLSCHDWGPHASRGNPFLYNTSIRVLCNANSSEGFNPRKDVSLPEIQLYDGDVSSKIRNPPPANRSRPYLAFFAGGLHGPIRPILVQHWKGRDAAIRVYEYLPKGLDYYTFMLKSKFCLCPSGHEVASPRIVEAIYAECVPVILSKHYVLPFSDVLRWEAFSIKVEISEIPRLKEILMAIPDDEYKRLKEGLRAVRRHFVLNHPAQRFDMFHMILHSVWLRRLNFRLAQKL >CDP08352 pep chromosome:AUK_PRJEB4211_v1:8:26323839:26324102:-1 gene:GSCOC_T00027157001 transcript:CDP08352 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNGKYKSVLHRVIQESSNETRLSIASFLSLGMDEVLEPAIELVDEDHRKKYKGSSLRDFLKHLASGESKPFIETLKITSGTVEE >CDP12082 pep chromosome:AUK_PRJEB4211_v1:8:30103258:30104482:1 gene:GSCOC_T00035461001 transcript:CDP12082 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGFSSGGSGGAGAAVGIVQAGRPEGNSVTIRPSFDACSHRGAPTMGERERNMGKRTECEPLVIKMPVMREGDRLKYFRIVLQ >CDP11722 pep chromosome:AUK_PRJEB4211_v1:8:5463737:5468324:1 gene:GSCOC_T00034194001 transcript:CDP11722 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRRLLCTQVPKRSIHPTHHQNSNLNNKKWAIKQVTKSNFAETLEEIKTHIFNSDFIAVSLQKTGAFSSPWQKVLPFDTAEVAYLKAKYAAERFQVLQFAVCPFSVKSSKIIAHPYNFHLFPRDELKMGMPSYSFFCQSSYLTAMAQEGFDFNACIYDGISYLSRAQELAAKDRTGNPLPRSYMKPSQSPTVYSVADSMFVDRIKSRIKIWKRTCQDSEKKTEDDLISTLRKIVSGGEVFGSRPSLSIDICSERQVQLILETLQEFTDLVPLLVPAKGGGSQAIRVVLTSSKEDRNVFEKELQLMEEEHNMQVRGFREVVDLISTSQKPVVAHNAINEFAFIHSKFIAPLPSTVDEFRSSLCSVFSHIVDVNHLMREIGPLNKVNNLPAAILYLKRRFTRPLHMEIPQHIDGDEVRVHGRDVLRISELFAKLCLILRIVPESPEADYSTSSSTLECYANVFNPCFISSSDLLDDDVNISTEKTRRISVANLVFLWGFRGMMSARKLKSLLKGSHEVFSGEIDVRLADRNCAIVVFWAPGFAERFLKVMNSGGTNCEPIKDMISEGLRAACYRTYKRVCELSIWEPSLADALEKALEEASDLPEANSEEELPAICWDNDELTNLDDL >CDP08281 pep chromosome:AUK_PRJEB4211_v1:8:25615680:25620044:1 gene:GSCOC_T00027061001 transcript:CDP08281 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGAKVQELMEFGIPLIEAPVVSVTFTVLALLAGTLWYLYRPYWAVRKVPGPPSLPLLGHLPLLAKYGPDVFAVLAKTYGPVFRFHMGRQPLVIVADPELCREVGIKKFKDISNRSIPSPIAASPLHQKGLFFTRDARWSTMRNTILSVYQPSHLAKLIPTMQSFIEAATENLESQGDMTFSELSLKLATDVIGQAAFGVDFGLSKPKAGNESANRMSHQQNDIEVQDFINQHIYSTTQLKMDLSGSFSIILGLLVPILQEPFRQILKRIPGTMDRKVDQTNQNLTRRLDGIVAKRMKEKSLDSKDFLSLILRARESETTSRNFFTLDYISAVTYEHLLAGSATTSFTLSTIIYLVAGHPEVEKKLLKEIDEFGPRDQMPSAHDLQHNFPYLDQVIKEAMRFYTVSPLVARETSAEVKIGGYILPKGTWVWLALGVLAKDPKNFSEPDKFKPERFDPDCEEQKQRHPYANSPFGIGPRACIGQKFSIQELKLSMIHLYRKYIFRHSSDMEKPLELDYGIVLNFKHGVRVRAIKRT >CDP09863 pep chromosome:AUK_PRJEB4211_v1:8:28604468:28605343:1 gene:GSCOC_T00030342001 transcript:CDP09863 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTASAHGHSLPPPFNTRDFNLQQFHHQTHNSEDEHSGTSGLNMGQKRDREEKNDINGGDGKDGGSSAGDGGEITRRPRGRPAGSKNKPKPPIIITRDSANALRTHVMEIADGCDIMESVANFARRRQRGVCIMSGTGTVTNVTLRQPASPGAVVTLHGRFEILSLAGSFLPPPAPPAATTLTIYLAGGQGQVVGGSVVGALMASGPVVIMAASFSNAAYERLPLDEDESSLQMQGGSLGSPGAVGGQQQQQQQQQLMADPSLFSMPPNLFNSVQLPNEAYWATGRPPF >CDP13497 pep chromosome:AUK_PRJEB4211_v1:8:903111:905486:-1 gene:GSCOC_T00038456001 transcript:CDP13497 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFISKTKLFRYLHTLPPPPKTASKKRAAEQNCLALLELCNNFPKLSQIHTHILKLGLQTNPLVLTRLASTSSDLNAIDYASSFIFSLDAKTHLYDTFLFNTVIRAYAQTKDSKQNALIYYKIMLKDCIFPNKFTYPFVLKACAGIGELSLGQSVHGSAVKYGFSDDIHVLNTMVHMYCSCGGGIEFGRKVFDEMPKCDSVSWSAMIGGYAKLGMSSEAVGLFRKMQIAGVRPDEVTMVSVLSACTDLGALELGKWVSSYIDKEKVPKSVELCNALIDMFVKCGDVDKALKLFRNMAEKDIVSWTSLIVGMAMHGRGLEAISLFEDMRSSGIVPDDVAFIGLLSACSHSGLVEKGRQYFDLMLKEFRIVPKIEHYGCMVDLLSRAGLVKDALEFVESMPIEPNPIILRALITACRAHGELKLSESMTRKLIKQEPMLESNYVLLSNIYAISLNWEKKTTIREVMGKKGIRKTPGSTMFELDNEIYEFVAGDKTHNEYKEIYEMLDEMGKEMRRAGYVATTSEVLLDIDEEDKEDALNRHSEKLAIAFALLKTPPGTSIRIVKNLRVCEDCHTATKFISKIYNREIVVRDRNRFHHFIDGLCSCKDFW >CDP07859 pep chromosome:AUK_PRJEB4211_v1:8:19010923:19012062:-1 gene:GSCOC_T00025328001 transcript:CDP07859 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVGKDRHQSVLQLASMFRHIDGDLDECHKIRSGSIQSSDASSQKKETTNTLIDILIPLLDTEPEFLTHITIKSIILSLLTSGTETTSNTWSGQCHFCLTIPKQ >CDP10001 pep chromosome:AUK_PRJEB4211_v1:8:27349969:27350709:1 gene:GSCOC_T00030532001 transcript:CDP10001 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRAQEDIKKGPWSAEEDEILMNFVKENGPRDWSSIRSKGLLPRTGKSCRLRWVNKLQPNLKKRGCKFSAEEERVVLELQATLGNKWAAIATYLPGRTDNDVKNFWSTRQKRLARILRASSQPNRAHKHKAKATVVNEASTLQARTPSPHYTISFHNFHLFFCFFFTIPSLSAPFLSSSIN >CDP08165 pep chromosome:AUK_PRJEB4211_v1:8:24506041:24506811:-1 gene:GSCOC_T00026912001 transcript:CDP08165 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPTTSNFLDSGSNNMALKVIAIATGESHTLALTGDGNVYSWGRGTFGRLGSGSESDLLLPTRIRFFEENKLKIVGIAAGAYHSLALADDGSVWGWGHNVCILLQLFLPVTCLI >CDP09897 pep chromosome:AUK_PRJEB4211_v1:8:28337535:28341113:1 gene:GSCOC_T00030381001 transcript:CDP09897 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSFTIQISTNLVDQLADDSGKRKRKTRKAKPKLPQDAKTPQQSPSKQKLVSDDSKILKVPPAAGWPLQPPLYLPPPPVQPANAELEAIQSVLKDSENVVEKLQKQEADMLQEVTERAKDLHAKEFKLPQQKPVPCLEKYEACLKCYKENTRDSLRCQFLVNDYADCVRKIRRLVSSEDK >CDP09920 pep chromosome:AUK_PRJEB4211_v1:8:28156313:28158217:-1 gene:GSCOC_T00030414001 transcript:CDP09920 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLPSFSLILLLFLLSSTTISQSQDTDPESDCTDKWIHIRHLPPQFNVDLLKNCSEYPLFDNFCPYLSNHGLGQKTHNSSHSWYRTDPFMLELIFHRRMLEYPCLTSDPNQANAIYLPYYGGIDSLKYLYGPEVNSSILHGLDLYQYLVHQDSPNIWNKNFGHDHFLVMARPAWDLIQPLSADPPIFGTSFLELPEFYNVTVLTFEARAYPWQERAIPYPTSFHPPNLAFFESWVNRLRRTRRTSLMLFAGGGGISANPNVRRSIRLECENSTSMSVNGTRFTKLCDFVDCSNGVCEHDPLRVMKPMLQATFCLQPPGDTPTRRSTFDGILAGCIPVFFEDLSSKKQYQWHLPEEKYEEFSVFIPKEEVVFKGLRVLDVLMSIPRAEVRRMREKVLEMMPRVMYRKHESSLGLRSKKDAFDIAIEGTLQRIKSRLEEIA >CDP08263 pep chromosome:AUK_PRJEB4211_v1:8:25462844:25465325:1 gene:GSCOC_T00027036001 transcript:CDP08263 gene_biotype:protein_coding transcript_biotype:protein_coding MFEESVSITIPSIWASMNSWFTPAVLFVLLNLMIGTIAITSTLANQKQHHNHQHQQNSQNDLHPQQPKLARSPSVLQRLRSINFYHYRSHDSSSIANHFKTTPDSDTHYGFERTHQPQSLGESHSEYIFEQAHQPQNVGESHSQYIFEQTRQEKPALFVERVQENQTHYFFQQSHEENEQGTGTHFVFQQTHEENTEENRTRLVFQRTHEENAEENGSRFHFEQGHEENGNPLDFEQTNEENVEDEEVQTLDEVYSQLKGRGHVGRSKPDTKPIAGDVPAGLPTKMKKSASMESAFKHLKEEDIVEARRPATVRETGTKSTEGDDGFDAKCDVFINKFKEQLMLQTMGSIVRNKEVIGRGSGE >CDP12146 pep chromosome:AUK_PRJEB4211_v1:8:30595593:30598276:-1 gene:GSCOC_T00035542001 transcript:CDP12146 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEHIRRHHMHEVRANQCSSSLVKHIKAPVHLVWSLVRRFDQPQRYKPFVSRCVVQGDLEIGSVREVNVKSGLPATTSTERLEFLDDEEHIFSMRIVGGDHRLKNYMSTVTVHPEVIDGRPGTLVIESFVVDVPEGNTKDETCFFVEALIKCNLKSLADVSERLAVQDRVEPLDRD >CDP09787 pep chromosome:AUK_PRJEB4211_v1:8:29233099:29238607:-1 gene:GSCOC_T00030253001 transcript:CDP09787 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFTCCPDLMKEVGFGSCSSASDSSYDSVTPRYSSDSSFTSQTTSFSTRRSSQAGWTDEEDNLLAEVVKRFNGRNWKRIAECMTGRTDVQCLHRWQKVLNPELVKGPWTKEEDERIIQLVEKFGSKRWSVIAKYLPGRIGKQCRERWHNHLDPAIKKDAWTEEEEAILSYYHQIYGNKWAEIARFLPGRTDNAIKNHWNCSAKKKFDLNLPCCSELDSQGSTSDGSCITQKRTSSIEHQLLSQKVCERSGLGQERGQENVAHVCSTELSLGNTIFHMDHLESKTHLLGTWQSSDKGVGNLINSLGGFKVGGTEIVFHPYLCIIICTYLWRKNEFDSLKEFNWKNSFGLIWWTYPQLLERSNKSSSSKCHTRNKAISKKLVS >CDP11729 pep chromosome:AUK_PRJEB4211_v1:8:5705796:5710772:1 gene:GSCOC_T00034203001 transcript:CDP11729 gene_biotype:protein_coding transcript_biotype:protein_coding MKENNFETYGCFTSYSDIDNIRRDMNYLTSHARHMIDIGSLSVRLVPVVLMLVLIAIRWNPLHIL >CDP14934 pep chromosome:AUK_PRJEB4211_v1:8:9289070:9295559:1 gene:GSCOC_T00042432001 transcript:CDP14934 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPIFDHAVLINEQNDNIIGENKPPSPIRTRVQTLRGLSFSKPKARSVEFNLNPVYHRSLSQLSGTENEPLNKPSGQNPSPIDDCDSDEESSEDEEEFDEGEEDGNGQVKMLKKKMMKSHCRVLVEWILFIIILTCLICSLTISSLKNKLKWGLEIWKWCLMIMVVFSGRLVSGWLIKFLVFLIERNFMLREKVLYFVYGLRKSFQNCVWLGLVLLAWTFMFNMEVQKNNKMLKKVFQALVAVLIGATIWLIKIVLVKVLASSFHVATYFDRMKESVFHHYVLDTLSGPPMDDVAYQKAHHKTLTASKSLPAKLSKSKSLKFKRVGTRRIDMEKLRKLSMQSRASAWNVKRLVNYVRSFGLSTISRSVDEFGTMEIASEWEARNCAKMIFKNVAKPGAKYIEEEDLMRFLTRVEIHTIFPLFEGALETGRITKSAFRNWVVRAYYERKYLAHSLNDTKTAVHQLHKLASAIVSVIIAVVFVLVMGLASTKVVAFIITQLLLLGFTFQNMCKTVFESIVFVFVVHPFDIGDRCVVDGVQMIVEEMNILSTVFLRYDNEKIYYPNSVLITKPISNFYRSPEMGDTVQFTIDLSTPMDTIVALKKAIQIYIESKPKYWNPKHSVIVKEIQNVDKMKMALCVQHTINHQNFGDRNIRITELMFELKRIFETLNIKYHLLPQEVHLTQVNMNNWMMPTHS >CDP12100 pep chromosome:AUK_PRJEB4211_v1:8:30235697:30240986:-1 gene:GSCOC_T00035482001 transcript:CDP12100 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLTGHRNIVELKGAFEDRHNVNLIMELCAGGELFDRIITKGHYSERAAAALCRQMVTVVHYCHSMGVMHRDLKPENFLFLSSDEDSPLKATDFGLSVFYKPGDAFKDLVGSAYYVAPEVLRRNYGAEADIWSAGVILYILLSGVPPFWGENEQGIFDAILRGHLDFSSEPWPSISSSAKDLVKKMLRADPKERLSAVQVLNHPWMREDGDASDKPLDIAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITFEELKAGLPKLGTKLSESEVRQLMEAADVDGNGTIDYIEFITATMHMNRVEREDHLYRAFEYFDKDKSGYITMEELEHALKEYNMGDEKTIKEIIAEVDTDHDGRINYDEFVAMMRKGQPEMPQNRRRK >CDP08290 pep chromosome:AUK_PRJEB4211_v1:8:25691913:25696128:-1 gene:GSCOC_T00027076001 transcript:CDP08290 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDARQYDAKMTELLGTDGGQEFFTSYDEVYDSFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYSVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQKFYNVVIEELPANVADLL >CDP09753 pep chromosome:AUK_PRJEB4211_v1:8:29487738:29489945:-1 gene:GSCOC_T00030207001 transcript:CDP09753 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLFVDRLSQPCRAILIFCKLNGIEFEEVTIDFCKRENISPEYQKINPMQLVPAIEVDGEFKLFESHAILRFLASAFPGVADHWYPADLFERAQIDSVLDWRHSNLRHGTTGLVFNSTVGPASEFRSSS >CDP19033 pep chromosome:AUK_PRJEB4211_v1:8:21898302:21900510:-1 gene:GSCOC_T00013083001 transcript:CDP19033 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLNTEIACIRIFGVHIIPVTSPELAREFCKKQDSIFSSRPVFMSAELCSEGFLTTALSPMGDQYKKMKRMVVSSVLSPAKHQWLQCKRAEEADHLVNYVYNQCKYNATGGLVDIRLVTQHYCGNVIRKMIFNIRFFGKGMEDGGPGAEEVEHINGLFKILAYLYAFSLSDYMPWMKIFDFDGHRKILTEAVACVRKHQDPEIEKRIKMWESGVKNEEEDLLDVLIRLKDNNSRPLLTTEEIRAQITELMFATVDNPSNAVEWALAEMLNQPEMLQKATEELDAVVGKDRLVQESDLPRLKYVKACVRESLRLHPLAPFNVPHVSTQDTVVGGYLIPKGSHVILSRPGLSRNPRIWEDPLKYKPERHMKDMDDARMDLNDPELNMFSFSTGRRGCPGVLLGSTLTVMLLARLLQCFSWKIPSGHSQIDLVECEDSGFLAKPLVAVAEPRFPQFN >CDP13070 pep chromosome:AUK_PRJEB4211_v1:8:4007742:4009924:1 gene:GSCOC_T00037838001 transcript:CDP13070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 10 [Source:Projected from Arabidopsis thaliana (AT1G32320) UniProtKB/Swiss-Prot;Acc:Q9LQM8] MTLVRKRKEKQALRITVPPVSSSWHGFLSPSPISSSSSNSPTITNLADFEKLAVLGHGNGGTVYKVFHKPTGAIYALKVLRFGEDIERVQQQSLNEMGILRRLDSDCVVMCHGVFENGFADIDGGGDLCFVMEYMEGGSLYDLLQRHHRLSEEAISGIARSVLEGLHYLHSMHIVHRDIKPSNLLINRKGKMKIADFGISRLVAGSDKQQCDSYMGTYAYMSPERIDPERWGGVYSDGFAGDVWSLGVVIMECFTGRFPLINPGEKPDWITLMYAICFEETWEMPETASAELQNFVRRCLVRDWRSRGTVEELLSHPFSTKFQHVAPQNLLCYSSCV >CDP10020 pep chromosome:AUK_PRJEB4211_v1:8:27149150:27150075:-1 gene:GSCOC_T00030559001 transcript:CDP10020 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPDLPQTQHSTSQVVKTTTAVAVGGSLMVLSVLTLAGTMIGLVLATPLLVIFSPVIVPAAVTFFLILAGFFISGGLGVTATFIFYWMFRYATGKHPIGADQLDRAREKIAHAAKEMRDKAEHFGQQAQQQIKGSPDHDT >CDP12196 pep chromosome:AUK_PRJEB4211_v1:8:30970423:30980540:-1 gene:GSCOC_T00035608001 transcript:CDP12196 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIKVVTCNLNQWAMDFDCNMKNIKESISRAKEAGAVIRLGPELEITGYGCEDHFLEMDTVHHAWECLTELLRGDWTDGILCGFGMPVMKGSERYNCQILCLNRKIVMIRPKMWLANDGNYRELRWFTAWKQKDYLEDFLLPIAVSDALSQTTVPFGYGYVQFLDTAVAAEVCEELFTPMPPHAELALNGVDVFMNASGSHHQLRKLDIRLRAFIGATHSRGGVYMYSNHQGCDGGRLYYDGCSCVVVNGDVVAQGSQFSIKDVELVVAQIDLDAVASLRGSISSFQEQASCKKKISSVLVPFKLCQSFNLQMSLSSPLKIRYHSPEEEIAFGPACWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLAVREIENGDEQVKADAIRIGHYTDGQFPTNSKEFAKRIFYTVFMGSENSSEATKTRAKVLADEIGSWHLDVSIDGVVSALLSLFQTLTGKRPHYKVDGGSNIENLGLQNIQARIRMVLAFMLASLLPWVHSKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKIDLRAFLKWAAIHLGFPSLAEVEAAPPTAELEPIRANYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFKNLCYKWGGNRTPLEAAEKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDELARDLDGDKVAITKSTDQEKVGMGVVAAGSGDPRAGF >CDP09745 pep chromosome:AUK_PRJEB4211_v1:8:29528320:29534221:-1 gene:GSCOC_T00030198001 transcript:CDP09745 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREGMASYYLNRGGGVGGSNSGSVENPSPSYAHGITMSMASTVTPVETVKKKRGRPRKYGPDGAGANMALGLSPLSSTPSPGSITPGGSKKSRGRPPGSGWKQRLAPLGDWMNSTAGLAFTPHVIHVGVGEDIAAKILSFAQQRPRALCILSANGSVSAVTLRQPTTSGGTVTYEGRFEILCLSGSYLLAESGGPRNRTGGLSISVCSPDGHVIGGAIGGRLIASSPAQVVVCSFVYGGTKGKSKSEASDKDDKSIVPKPEDKSSALGSGAPGQDPTGNSGAGGWPPVSRPDLRNPQTEIDLTRG >CDP12158 pep chromosome:AUK_PRJEB4211_v1:8:30718576:30719023:1 gene:GSCOC_T00035558001 transcript:CDP12158 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIETCKHGSKEAKSELQLEQLESVEKPSEMLKESIIEKDQNSGAMRIKIVLTKEELELLVFQLKNMEGKRLEDVLDEIERGRSRPVGSWKPSLESITESPEVPEQMDR >CDP08172 pep chromosome:AUK_PRJEB4211_v1:8:24557096:24557639:1 gene:GSCOC_T00026919001 transcript:CDP08172 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLLPVFITLKSPKSFSAPNQHNTRSDTRTRTHTHCNSTDYRSRVRKQKPTRKPKDRKKKDK >CDP08169 pep chromosome:AUK_PRJEB4211_v1:8:24520250:24524618:1 gene:GSCOC_T00026916001 transcript:CDP08169 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCRTLSRPAFSLFKSTMSQPSSIRRPTSPSSSFSGIFRPLAQMGCLQSLLPLHTAVSSARLTSCLGLDSKGSRSLSQELGLCVPR >CDP18805 pep chromosome:AUK_PRJEB4211_v1:8:235893:251553:-1 gene:GSCOC_T00005583001 transcript:CDP18805 gene_biotype:protein_coding transcript_biotype:protein_coding MENSWSWQTQCGSLCPSSSSSQDPPPPPHNQILNRSFHLDSSVRAKPTSTIHTLAPAAVNPWTSNSSSCSLDHTELGSSFLSLLSVPPCDIRNEVPIRRSNAGGSAAGNAISHISSGLLAQNRDSQRAEAVVNLGWVVSPMDRVSESCGVNDVFQGNYPSLQKLELPTAGIHRKLYHNKNQKNSPSLKVSYVNDATSCNVWKTNAGDTLGVSQKVPSSIDSSVSCLTSNLLTGCPKVFCLGLSGHLLLSNTGLLGVLCSCHGLHMSIAKFSEHSGLSNGNPGDAVHFNSGESVSQWRRSYFHKFGIRTPEDHFGWDWPPGLSVTAGSPEHGWTHPSMFVKPNQGNQGSSVEASVQSMDPWSLTLCPKNSQSDQKVVDEFVNFEKQQSAKDCSSLFPKGPSSSSKNILHFVAAEPMMGHSRSGCPTFPNHLDVRGPYNVRPAISSYEDQVYTSGDSVLPPCLPNLKTLGQDIAIGRSVGSLVDTYTGSSNFELRLGQPSQQGQTSVRSFMPASGSHRIASHCRLQESMALDQHVHKSSSRSIKGCRQQNYLPGFISTSSTRTEQNQLENVNHAVGVYSVPNAFKIKHLKGDAFWGSVTSESFGNLKSPFEENNHFKSINDATNDSHMTFAKPHSECSPPKCGEFGFPLLRGRAIGTEFGTNVLGSQKLKQVDKVAHNIDCLHSTAKINTGSCTKSKEGMWSFSGGVGDSDSMNYPVFHDKGPNMFHLADEPITRNTLNYTGQVCYPDHNGKAKSKVLRTISSPMDFGNIVSSQAASVGISATNLNSMKSLTPLWNKDNISVCPYLFDENVKMTAFHPMSVFSHQKIGAGTSKTIPVPEGYRNFSGKQQIIVPSSVSDTQKNRFDLTNVPNTSEVARHALLSANLTFTDSETLPSVTDTEKWCNFRGPAISNGRYYHGKDTEAQCQLNSNEQPTRQFFLRLHGDQNSTPSNEVRNCHQELPCGYFPSKFSCSSNLNCSAGRHDLNPSLRNFREPEGNAVDLVEPLLGPKLVENCTHIDKDNAFGANRTIVQNMKKVDCNSSQWRDVPRNVVNDATSRKCFQEGNALKEHEMSNMSSGCSAPAVTQVSIDVNNKDSSTVDGMDTDNLVMDEGSGIERCLSSDDDSERSSEFCGLASKVNLVNRRSSKTSFSKSSHSRIHELGFTDSLKVRKLQNHAKTGFAVQAKGDLQKYDRDFGKGKRRRVKWRRLYPSVPAPSLSTALNGASKSAGDAGLCSGTLKNIQMLPQDDKPCFTCCPCSLGQNLKQKRSAYSSFDTISRKKKLRWIHHIEVEETDSETNLNAKTDCSRASKAVGRKRLRSVGATLMEQDDMHDSACFASEITAKITASDCTKTNKPVNISHSRRRPVVCGKYGIISNGNSSKSAKIVSLRKVLKAARRCHFAESQKVNSISVKESEKARCDADKERNNEARMAVSAQMKSQHLMEGKETEYSVGSKDSYDLSHIMKKRRHDGNRSHAILESNQSTQIRRKSKEVRKRSVYELTIKENDFSCVKSCITKDGRSLQRRKSRFVSKLAENAGNDRMFVGGIHNVNKYAKVEECQTSRVLDVFCCVCGSSNKDKNNCLLECGCCLIKVHQACYGVSKVPKAQWCCRPCKTNCKNIVCVLCGYGGGAMTRALCSRNIVKSLLKAWSIGTESNLENTSFSKSLESPFHRLSSTKSVHESDPFLIIRPAEIGSTSLAKGSTDLSEHVDTVDISSAITPAICNSITAGILDSTVKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGAYRPKQDVVCSICQRSGGSCIQCRVANCHVQFHPWCAHQKGLLQSEVEGIDNQSVGFYGRCMFHAMYQQFNSDSYHTSSANHGERESTCARTEGFKGRKWDGFHHNHPYHSDGSSGCLVPQEQLNAWIHINGQKTCISGPLKLPNSVIEYDCRKEYARYKQSRGWKHLVVYKSGIHGLGLYTSRFIFRGAMVVEYVGEIVGLHVADKRETEYQAGKNVQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLASISSFNSYFKFLVPNCVAKVISVRNEKKVVFFAERDIYPGEEVTYDYHFNYEDEGKKIPCYCNSKNCRRYLN >CDP12176 pep chromosome:AUK_PRJEB4211_v1:8:30830275:30833650:1 gene:GSCOC_T00035581001 transcript:CDP12176 gene_biotype:protein_coding transcript_biotype:protein_coding MVENVAAVVPPEKQSGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSQMRKAANDDNAWKALYHKDFTLEQDSVIPVNGWKAYYAATRAIVNINNEFFRIIKERSLAEMGHFWLNADYVKCFHASGESFTGYSAVMQSWQLAFNWEQGFNFQVQDVRARVLTDMAWVAMKAYVDMETGPFNVTNIFEFHNGRWYMVHHHTSVMMVNGGAEQQMMQG >CDP19032 pep chromosome:AUK_PRJEB4211_v1:8:21835454:21837184:1 gene:GSCOC_T00013081001 transcript:CDP19032 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFRRRLNSRKAIFPQVLPYFILIFIILVLAIIKDGLHNQKFNSHLSKLENQSSAGSSHLTKAVHYYNTVFQRLMAEGFLLPSSKALFIESISGHDVMALRNIGVIDAFGISEAALRASEHGLNHDPFHNNTFDFEFFGYSSWFDWSVHPSETIAQICRTLKVGGYLVVHIAVNDEYTYNSFINLFTCFTLKASRDIKFKEFALPSLHEFVLKKANKHSSPSQLESSDKCKVPKYKRDLIDDLEPLVMEEPQDSWSWDGLGKNADGIQYLSSMVDLRYKERHIYVDLGARNYDSSIGSWFEKQYPKQNKTFEVYAFEADKSFYGEYEGKKGVKLMPYAAWVRNETLSFEIDREPDNVGLQWAEMGRIQPKQLSTEDRENIDKVYKVEALDLADWLIRTFSKRDFVVMKMDIEGSEFDLMNRLVESGAFCLIDELFLECHYDRWIKCCSGEKTNRHKRSYAQCMNLYAKLRKDGCFVHQWW >CDP18858 pep chromosome:AUK_PRJEB4211_v1:8:17252701:17254599:-1 gene:GSCOC_T00005866001 transcript:CDP18858 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQLPSTSNRLSFFKSTLFWLICSSVAISHGVEKPAKPHYHTVNVSSLLPKPYCTGHIKGSSVGSRKMVVTSKRGPCSQIPRTKEISAYEESLLEDENRVRSLNKRASNVHSKAADSGSRIPTSDSIPFSGEYITTIGLGTPKVDYQVIIDTGSDPTWVRCKPCSKGCKSENSLFDPSKSSTYLNGSCKPNSGNGAFGMNYLDKTYSRGYWGCDKLSLEPSDVFERFQFGCGLITEGGSGNFANGAGVLGLGRGDLSLVSQTASKFAKTFSYCLPKTSSSLGRIDFGDRARSVTASSGLKFTNLIKEPRQNIPSRYNRSSLYFLELLGISVAGSRLDVSPTIFTSVGTVIDSGTVITYLPPSAYTALSKNFKQSMADYPPAPSQEKLDTCYDVTGYGSIRLPEITLHFGGATDVSLNPSGIVWIAKKNPYIWCLGFAANKKSDDLTIIGNNQQRELDILYDIDAGKIGFGTKPCGD >CDP12244 pep chromosome:AUK_PRJEB4211_v1:8:31352543:31359138:1 gene:GSCOC_T00035671001 transcript:CDP12244 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSHRLPVDPRHEWVEEEESWTVDCVCGVNFDDGEEMVKCDECGVWVHTRCSRYVKTEKSFVCDKLWTDIPMQDRVHVQGIPGGDPTLFSGLSSVFGPHLWKCTGYVPKKFNFRYREFPSWDEEEEEEEDVEKDAEDGEADKLVMSPKQITKLEGHINLDVSTPEKEPKKDRSLLQAPVIHFSKRKKDDVTNPKDKSGKKKARIMEKDGDFKKKCLHTPGTGIASTNASDAKQSQISEERGPKNIQADPQSGNSGNSCHSPPGLQLSHEFGIVDYVVDARKSNLGSSGSGSAKLSFDGPRHSSSSIPMPKDANGGQQLTVKLEGSSKTMGSAASLSGNNDSGGVPVKQEVSIHTAVSPNEFGGELTTKVVMDLQMSSPHPADLATAQPKTEVNENAPDSSLNTVSSCSEVHAKVKSELADTHRNIQPPPLYDAKLGASGTLVKSKVVSADCSSENVVVSDVTITDSEVSKRKMEDVVKRSDDVDIRKDRVDRVDGESLLNSCPSNHDYAGLDSSFESKKILEGFSSNSGVELSKPGLTVVSPRVNASEGKTIASVGNLSPASSTFAMPKSFASENCISASNQNHNTDSKQKGIPESNLAGNQGNGGATIEVVKDKGGHERTRKLVKELPKSALGSVSKSSQLKKFSHPSVSKRTSPDIKDSMLHSSAQPSPLHHIASNAGLELTNVEKISPSMAQSLSKVNTTLTHAATSSNSPATLSDEELALLLHQELNSSPRVPRVPRMRHAGSLPQLTSPTATSTLMKRTSSTGGKDHVLTSRRKTKDLAKDGSHGSREMDDEAKKMERVSSSLDHGRQDSAFASDPVGKKEAERESANGVYSTKKSNAPSGATAAGDLSSPAEGNEHNLLSTRHSSRTPIDDEKGVVGHHTHRTLPGLLAEIMSKGQRMTYEELCNAVLPHWPNLRKHNGERYAYSSHSQAVLDCLRNRNEWARLVDRGPKTSGGRRKRKLGADHADVDSEDNDDSREQTAKDTRSKTVESHHEEFPKGKRKARKRRRLALQGRGIKDVRRRRRTDELSDDEVGSLSESSEETMCSEDEMQGCRMSASGNEVPTGSDGTGNT >CDP11675 pep chromosome:AUK_PRJEB4211_v1:8:4636007:4638746:1 gene:GSCOC_T00034126001 transcript:CDP11675 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSTAKDAQALIQSLRSAYAATPTNLKIIDLYIIFAVSTALIQVVYMALVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >CDP08184 pep chromosome:AUK_PRJEB4211_v1:8:24669056:24674661:-1 gene:GSCOC_T00026939001 transcript:CDP08184 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPRQRTPFSGFTKDETERMEHFLKDAADRSFDQDFCKKLAGLFNRSKGRAGKPVIKWIEVHNWFQKRQQNYLSEDASADAARKLTPSPEAGALIKKSENSQMPKDEKVPDVSKLEFEARSPKDGAWYDIETFLAHRMLDSGEGEVRVRYVGFGPEEDEWVNVIKSVRERSVSLEHSECHKVRVGDIVLCFQERKDVARYYEAEVVGIERRLHDIRGCRCLFTIRYTHDKIEEKVRLRRLCFRPSILGVSGKP >CDP18854 pep chromosome:AUK_PRJEB4211_v1:8:17143284:17146555:-1 gene:GSCOC_T00005861001 transcript:CDP18854 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRQSRKQITQPEINEEVESSSEKGKSFIAPRILQQREFQGALTVQRSNGLGNSGHSHVHNGGNSSATDIHESESLSEIDDAEVIGYLNTKHEMRYKRILWEAMDRGFSKAKKPKLKAELKRSTSVDKAAKATPKIEKKRPSSRINYDALSKLADELVCSSLLFSFFVFPSFIYELESVRSLEKVFMLPDCSMIGLFDKEP >CDP09893 pep chromosome:AUK_PRJEB4211_v1:8:28368877:28370953:-1 gene:GSCOC_T00030375001 transcript:CDP09893 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLINIYIYIYIYIYICSLIIIIILVFGQIREARPKVTLVVRMAASVANYDYIVDWEFQTDGLIRVKVGLSGILMVKGTSYVHMNQVNQQENLFGTLLSENVIGVIHDHYIAFYLDMDVDGSNNSFVKVNIERSNNPPKVSPRRSYLKAVKNVAKTERDAQIKLKLYDPAEFHVINPSKETRVGNPVGYKVVASGTAASLLDLDDPPQKRGAFTNNQIWVTPYNQKEQWAGGLFVYQSQGDDTLAVWSNRNRPIENKDIVLWYTLGFHHVPCQEDFPIMPTVSSSFDLKPVNFFESNPILNIPPNVEKDLPICNAAASA >CDP17747 pep chromosome:AUK_PRJEB4211_v1:8:12960979:12965372:1 gene:GSCOC_T00003141001 transcript:CDP17747 gene_biotype:protein_coding transcript_biotype:protein_coding MICLKNNKPRFPLPLGPNSLPFFGCIFQMLRNRPTHRWIRKVMDDMNTEIACFRIFGVRVISVTSPELAREFFKKHDSIFSNRPVCMSAELSSEGYLTTILSPLGDQYKKMKRIIVSSVFSPAKHQWLHSKRTEEADHLVNYVYNQCKDDASDLLDVLIRLKNNNGRPLLTTEEIRAQITELMFATVDNPSNAVEWALAEMLNQPEMLQKATEEIDAVVGKDRLVQESDLARLKYVKACAKEAFRLHPYAPFNVPHVSTLDTVVGGYFIPKGSHVILSRPGLGRNPRIWEDSLKFKPERHMNHMDDARIDLNDPEFNMFSFSTGRRGCPGVLLGSTLTVMLLARLLQCFNWKIPSGLSQIDLAEGKDAGFLAKPLFAVAEPRFPQFN >CDP12086 pep chromosome:AUK_PRJEB4211_v1:8:30132228:30141535:1 gene:GSCOC_T00035465001 transcript:CDP12086 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFSKHERRWGSDSVPVDRAVSSSSSPGTDSAAASSVGTEEFVEVTLDLQDDDTIILRSVEPATVINVDNDVTVLTGGSLSGVETPVSGSASVSRSPTMKRSSSNRLLQFSQELKAEAKAKAKQFSQELKAELRRFSWSHGHASRTPASSTGAGNSGLESALAARAMRRQRAQLDRTRSGAQKALRGLKFISNSKTNGVDAWNEVQKNFYKLAKEGYLYRSDFAQCIGMRDSKEFALELFDALSRRRRLKVEKISRDELYEYWSQITDGSFDSRLQIFFDMVDKNEDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLSYSQALSYTSQALSQNLQGLRKKGPIRTMSTKLVYYVQENWRRIWVLTLWFVIMVGLFTWKFYQYKQKDAFRVMGYCLLTAKGAAETLKFNMALVLLPVCRNTITWLRSTRLGCLVPFDDNINFHKTIAAAIVIGVILHAGNHLACDFPRLINETDETYAHYFFNDFGQHKPQYLDLVRGIEGVTGILMVIFMAIAFTLATRWFRRSLVKLPKPFDRLTGFNAFWYSHHLFVVVYILLIIHGFFLYLVHKWYLKTTWMFLAVPVLLYAGERTLRFFRSGFYPVRLLKVAIYPGNVLTLQMSKPPQFRYKSGQYMFVQCPTVSPFEWHPFSITSAPGDDYLSIHIRQLGDWTQELKRVFSEACEPPMAGKSGLLRADETTKRSLPKLLIDGPYGAPAQDYWKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADSVTDFSRHSDQITGSTTSPSLDKVSGKRKKTLRTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDVVSGTRVRTHFARPNWKKVLSKICTKHANARIGVFYCGAPVLAKELGKLCQEYNQKGSTKFEFHKEHF >CDP08343 pep chromosome:AUK_PRJEB4211_v1:8:26225841:26227867:1 gene:GSCOC_T00027145001 transcript:CDP08343 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGEEDPFGSLIKLCQITSSQELKLQNCPFALESEKFPDAVDSLPSQYSPNPPASEPPGIIMVSPPETDGEDGDDDKASIYDSNPQENEEFQTPPEHHNISASSSQEEPQKLATPMTTVDERVDGSDDVGMVAIDAGCGENEASFVLGARGESLGLMETEELEGEVEEIEGIERESKKFRGFEGELDEPVSKKSRVWEENWDSERQTVCIDETEITEKVDGLDEQVPFYLDVDENDEGIGLDEDKIVIDLDSGDESVGIDGARQNVEMQKNVEEISDGQNDSEREMEKVHCGHDGGVGNPGEQEDGGDLDDGENYVMINGGLVVEGFEEGHWENGNDELREGEVVSGGKNIEEIEKFKYTGKSGVDDPGKERDVGLDGGNKSSLVNGDLVVEASEEGNRNNRNDEVQRSSEKVLACRNMGKIEQFRYHGSGDDGNQCSKEVVQRRRELPLSLREKGDDVGGKQRVGKLDVKNPPWKELLDTVAVVLGKANDASEDVDILEAAKRKGMTFPQPRWI >CDP09955 pep chromosome:AUK_PRJEB4211_v1:8:27841374:27842447:1 gene:GSCOC_T00030467001 transcript:CDP09955 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPVAFKVLTTNKTVYAVRPNTGIILPGTTCGITVTMRAQNEPCTAKECKDKFKIQSVLVSASADLNHITKNVFDKEEGLSIEEYKLPVVYLSTALPLSLESEQANTLLTDGTNLNFSQVFRLI >CDP07228 pep chromosome:AUK_PRJEB4211_v1:8:12440404:12441433:1 gene:GSCOC_T00024433001 transcript:CDP07228 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSLRRPTNPLIWCAAIFCALLSVAVIVTGIVIIVGYIVIRPKVPQMIVTSAQLDRLDFDQAGILTVKTTIFIKAENDNPKAHATFYATKFLLGFHGVRVAQLRADFPVEVGKNSSVDLMFEVESTPIPLDPEEQNSVFLSLSQNLIVFDLKGTTRTRWRVGLLGSVKFWLHLDCHLQFPKIGDVIYPKCSTKSR >CDP08214 pep chromosome:AUK_PRJEB4211_v1:8:25004998:25010942:1 gene:GSCOC_T00026975001 transcript:CDP08214 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEELFWRASLVPQIKKYPFNRVPKIAFMFLTKGPLPLAPLWERFFKGHSGLYSIYIHSLPSYQADFPPTSVFYGRQVPSQVAEWGRMSICDAERRLLANALLDLNNEWFVLLSESCIPLYNFSIIYHYITKSKYSFVGAFDDPGPFGRGRYNENMAPEVQISQWRKGAQWFQINRKLALIIVADTKFYPKFAEFCRSPCYVDEHYFPTMLTIQAPNQLANRSITWVDWSRGGAHPATFGSADITEPFIRGILENHTCPYNDQPTSICHLFARKFAPSTLQPLFFLAPKYFGY >CDP12177 pep chromosome:AUK_PRJEB4211_v1:8:30833749:30834701:1 gene:GSCOC_T00035582001 transcript:CDP12177 gene_biotype:protein_coding transcript_biotype:protein_coding MREGSGACEQFFHLLLTLLARLEFAAGEMLKKKEEVPWSSSMKPSTIPPLFSKHVHSDILVSRLM >CDP13064 pep chromosome:AUK_PRJEB4211_v1:8:3961075:3967652:1 gene:GSCOC_T00037829001 transcript:CDP13064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 5 [Source:Projected from Arabidopsis thaliana (AT4G17770) UniProtKB/Swiss-Prot;Acc:O23617] MEVISPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGISYQSKRGYIGLEYYGRTVSIKILPVGIHMGQLQSVLELPETEAKVAELRDQFTGQTVLLGVDDMDIFKGISLKLLAFEQLLTQHPDKRGKVVLVQIANPARGRGKDVQEVQSETYATVKRINQIFGRSGYQPVVLIDTPLQFYERISYYVIAECCLVTAVRDGMNLIPYEYIISRQGNEKLDETLGMNPSTPKKSMLVVSEFIGCSPSLSGAIRVNPWNTDSVAEAMDSALVVSQAEKQMRHEKHYRYVSTHDVAYWARSFLQDLERACRDHVRRRCWGIGFGLGFRVIALDPSFRKLSVEHIVSAYKRTKNRAILLDYDGTMTVQSSMSTSPKAENIEILNNLCRDPKNVVFIVSGKERKTLTQWFSSCERLGIAAEHGYFVRPEQNAEWETCIAVPDFYWKQIAEPVMQLYTETTDGSFIDTKESALVWNYQYADPDFGSCQAKELQDHLESVLNNEPVSVKSGQHIVEVKPQGVNKGLIAERLLATMRQKQLLPDFVLCIGDDRSDEDMFEVIMRAVANASLSPVAEVFACTVGQKPSKAKYYLEDTPEILRMLQGLGAAASEQSTKAISRSSQRVIIEKE >CDP15685 pep chromosome:AUK_PRJEB4211_v1:8:7368355:7375870:-1 gene:GSCOC_T00015652001 transcript:CDP15685 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMLSNLSNFYFILFTILSLTLFVLTGSYFCEKIPKANEIISYNNRPASEAVPYFEQLGPLVSQIFPIMEASPVLYSFTRNASADAWKVLLDTFGTMIPDYDPEVAVSVNKFVDQLPAVFNQLAEGISEFRPTPSENLDCFQKSYNVQHTLLVKFNVDAIDQTDILEETLKPLVESIGGKLQKIILNGTHITPCIQEPRWQVGDIYSPVDAVAQGLKTISLNDTRVLTRTITDWFSQFEG >CDP09995 pep chromosome:AUK_PRJEB4211_v1:8:27471508:27479237:1 gene:GSCOC_T00030520001 transcript:CDP09995 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDYLAAERKKAEFDVEEMKIVWAGSRHAFEVSDRISKLVANDPAFRKDERTMLPRKELFKNTLRKATYAWKRINELRLSEEEASKLRFYVDEPSFTDLHWGMFVPAIKGQGTDEQQRKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHGLVYARLITDGQEHGIHGFIVQLRSLEDHKPLPGITIGDIGMKFGNGAYNTMDNGVLRFDHVRIPRDQMLMRVSRVTREGKVVESDVPRQLVYGTMVFVRQTIVQDASCALSRAVCIATRYSAVRRQFGSQNGGPETQVIDYKTQQSRLFPLLASAYAFRFVGEWLNWLYMDVMRRLQANEFSTLPEVHACTAGLKSLTTSVTADGIEECRKLCGGHGYLCTSGLPELFAVYVPACTYEGDNIVLQLQVARILMKTVSQLSSGKPPVGTMAYLGRIEPLMQYRCNVQKAKDWMNPNVVLEAFEARAARMSVSCAQKLSKFSNPEEGFAELSADLVEASVAHCQLIVVSKFIEKLQQDIPGKRVKQQLEVLCGIYSLALLHKHQGDFLATSCVTSKQASLANDQLRELYTQVRPNAIALVDAFNYTDHFLGSILGRYDGNVYPKLYEAAWKDPLNESVVPDGYHQYIRPLLKQQLRAAKL >CDP07225 pep chromosome:AUK_PRJEB4211_v1:8:11747067:11761493:-1 gene:GSCOC_T00024418001 transcript:CDP07225 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYNITGIGTEGLREKQEDFMATEFVEMEAGRPLMGRVKNPYSSSLSSRQMESLKALCDTYLPSIDGFHTKHDESTARFYQTSASVAGTPQRLGGLISERLQHPKLFMARLALWFLSTSIGTFAMCGIASLSGNFPYLQKFSQVSPEKREQIVLSWSRSIIFPLRLLFTALKVLILLVFFSQVDDKEENLSWKAIGYCGPDPDFKRQTQRIKTLKQMFESAEDQKQDEASAEELFGPLYKGIVNLDRPKQFVFEKLQNAGFSVSASSHLKNAKSKYNRPSFRIKCDAVVVGSGSGGGVVAGILAKAGYKTLVLEKGNYFARTNLSLLEGSTMDQMYLGSGFMATEKMDVIFLAGSTVGGGSAVNWSSSIRTPPHVIKEWSESYELELFDSKLYEEALDAVCQKMGVQSEIENEGFNNMVLRKGCEELGYPVNTIPRNATADHYCGWCCFGCKDGRKKGTLETWLVDLLNSGNGLILPECKAIRVLHKRKNKKKGRHAVGVAFKFQNQGVEEIGIVESKVTIVACGALSTPELLIKSGLKNPNIGKHLHIHPVAMAWGYFPDTTPDPWPEAEKKSYEGGIMTAMSTVVANFEGSGYGAVIQTPALHPGMFSALMPWTSGRDFKDRMCKFSRTAHVFALARDKGSGKLLYPNSITYNMDKIDEENIKRGLEKVLRILASAGAEEIGTHHVKGRSINVKKVSYTEFDKFVKEESSRSLKDLATPICSAHQMGSCRMGVDPKISVANPIGETWEIEGLYLADSSVFPTALGVNPMVTVQAIAYCTAQSVLESLRRKRGKKSEWSVPVEFSKQGNGWNWRTSDEKEIAILKNK >CDP08309 pep chromosome:AUK_PRJEB4211_v1:8:25889885:25890639:1 gene:GSCOC_T00027102001 transcript:CDP08309 gene_biotype:protein_coding transcript_biotype:protein_coding MWTANNVRKSHNSFILFIFPYLIIHWLAPLFDWTGMKTPSHRASSSPPLVLFCPTRMGITTPKRQL >CDP18787 pep chromosome:AUK_PRJEB4211_v1:8:106094:111603:1 gene:GSCOC_T00005562001 transcript:CDP18787 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSSWDSLRKQARKLEAQLDEQMHLYRKLVATKIDSTSDSDLESGIDQLLKHLHQVNLQMQAWVSSGGSEIFSHTLTRHQEILQDLTQEFNRLRSSHRAKKEHASLLEDFREFDRTRLDLEDGGGSYEQALLKERASVTRSTGQMDTVISQAQETLKTLVFQRSTFGGINSKLSNIGSRLPTVNHILSAIRKKKSMDTIILSLVAAVCTFLILIYWLTK >CDP12129 pep chromosome:AUK_PRJEB4211_v1:8:30490777:30496060:-1 gene:GSCOC_T00035522001 transcript:CDP12129 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKRSESAVTTIVNLAEEAKIAREGVKAHSRHALLSICKSLAAGGIAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWRTEGFMGLFKGNGTNCARIVPNSAVKFFSYEQASRQILWFYRKQTGRGDAELTPVLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEKSPTQYRGIFHALRTVFIEEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLVKSRPLGLIQESELSITTKLACGAVAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGKRRVPLEYSGMVDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVAKDILGVEMKISD >CDP08261 pep chromosome:AUK_PRJEB4211_v1:8:25446257:25449883:-1 gene:GSCOC_T00027034001 transcript:CDP08261 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEDILPLIELKGITENSRASAGSVLQGVSQSMANTTRTSHLSALDSCRDEGTAFTLKMVAIAAILFAGACGIAIPLVGRKRRFLGTDSNLFVAVKAFAAGVILATGFVHILPDATLSLTDPSLPEVPWHAFPFSGFIAMMAALVTLLIEFVGTQYYERKQEKESKIAQVDSVDVLESGTAPKVFGEEGGGGMPIVGIHAHAAQHRHSHPQDQEACSGNKRVHPHGHSHSLDIYDGNEESVMRHVVVSQVLELGIVSHSVIIGLSLGVSENACTITPLIAALSFHQFFEGFALGGCISQAQFNSVHASIMACFFAITTPLGIAVGTGISTIYDPESPNALIVEGIFDSVSAGILIYMALVDLIAADFLSKRLSCNTRLQVTSYVSLFLGAGLMSLLALWA >CDP13493 pep chromosome:AUK_PRJEB4211_v1:8:927608:931183:1 gene:GSCOC_T00038452001 transcript:CDP13493 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHS1 [Source:Projected from Arabidopsis thaliana (AT4G32400) UniProtKB/TrEMBL;Acc:A0A178UW29] MGGTKMQSLQYKSDGFSSNSQFRFQWSLLPDDNLYPVGGLFASVGQVGNMGFGVSPNSPNPRGENQKDGFKLPCTDLYVKYVSSPEGFKIVGIPEEEGAVKKKKTGLKLKVKVANPSLRRLISGAIAGAVSRTAVAPLETIRTHLMVGSSGHSVTEVFHNIMEHDGWKGLFRGNMVNVIRVAPSKAIELFAYDTVNKNLSPKSGEQPKLPVPASLVAGACAGVSSTLVTYPLELVKTRLTIQRGVYDGLFDAFIKILQEGGPGELYRGLAPSLIGVIPYAATNYCAYDTLRKVYRKVFKQERIGNIETLLIGSAAGAISSSATFPLEVARKQMQVGAVSGKQVYKNVLHAIASILEQEGIQGLYKGLGPSCIKLVPAAGISFMCYEACKRILVEEEDKG >CDP09945 pep chromosome:AUK_PRJEB4211_v1:8:27911022:27911591:1 gene:GSCOC_T00030450001 transcript:CDP09945 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWTSFAESITHYTGLSPTAFFTILAMMIVTYKIVCGMFVAGGDYMEVKRANEYVIREPVQLGDQVTEEELSAYDGKDPNKPLLMAIKGQIYDVSRSRMFYGPGGPYALFAGRDASRALALMSFDTKDLTGNIEGLSNSELETLQDWEYKFMEKYVKVGQLVSSHRTTQEAGQESGDKNENAQGNEAE >CDP11674 pep chromosome:AUK_PRJEB4211_v1:8:4628596:4631103:-1 gene:GSCOC_T00034122001 transcript:CDP11674 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSYAPPAAISTAPSSSSSSCLASSRALLPTSRTFHFPRICHSGSHRAGIRCRYAGAGIKENVNPGTIDVVADVKTERIVVLGGSGFVGSAICKAAVSKGIEVISLSRSGRPSYTGSWVDQVTWKSGRFITLSYLIEAFHFVAYVFVT >CDP14472 pep chromosome:AUK_PRJEB4211_v1:8:13679810:13690951:1 gene:GSCOC_T00040971001 transcript:CDP14472 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQLEEMDVEVLSSMWPEDMNEAGKQFNIENPRPDQDMLKEVTINEEPKIVDFKRLLELSNYSDKGSSQLAYLVKNWEYKQANAVRLLREELDTLNKQQQESELKKLEILEEHRFEEERYGGDKRPISIFDDNLKYVYQKVPRRKHDVVVHDERLEINDVEFDSIKYWKQRALHLEKLLEASIQREQILLEKLQESIENLEKQSSPVEELSQMLTRADNFMHFILQNAPVVIGHQDKELRYRFIYNHFPSLREEDIIGKTDVEIFTGSGVKESQDFKREVLERGLPAKREITFETELFGSKTFLIYVEPVFSKAGETIGVNYMGMEVTDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMTEILSTTKLDKEQRQLVNVMLSSGDLVLQLINDILDLSKVESGVMRLEATKFRPREVVKHVLQTAAASLQKLLTLEGHVADDVPVEVIGDVLRIRQILTNLISNAIKFTHEGKVGIKLYVLSEPPTQTKQASYGRNQSKVSESTAIEDKCLSSTQSCHNQKETYLQNDREGTHENHVINDEPRTPKRDVTSMEEDDESVYNLQETVWLCCDVYDTGIGIPETALPTLFKKYMQVGADTARKYGGTGLGLAICKQLVELMGGHLTVSSKEHHGSTFTFVLPYKVSPLCDSSDDPDELSDMASPDDPADKHEDDIDCGFFQFQPRTLGSLFTSHNSGRNQTVLPNNCGLDTLHKSNRLPKDYCSFSSAIKTVKETSSVGETSSVNAPVEIFSEPETSQSFNSNVDNHSAVARSKQSPDERDCQLSDRCGSPGTSTIGGSQSEISGTTDANETCHSQTQFDTSSECSSSNSPEIPKSLLKPKILLVEDNKINVMVTQSMMKQLGHKIDVVNNGVEAVRAVQRSNYDLILMDVCMPVMDGLRATRLIRSFEESGNWDAAVEAGIEHQLPSSDPSQNEQVFKPSTKKIPIIAMTANALSESADECFANGMDSFVSKPVTFQTLRQCLQEYLPKR >CDP17746 pep chromosome:AUK_PRJEB4211_v1:8:12953282:12954270:1 gene:GSCOC_T00003140001 transcript:CDP17746 gene_biotype:protein_coding transcript_biotype:protein_coding MGQERRLITPAFHHKKLPGMVPEFLASCCNLIDRWKMLVASDGWSEIDINPKLQSLSTDVISRAAFGSSYKEGKKIFELQKDHQIKTCERHTNYTEDQLW >CDP20382 pep chromosome:AUK_PRJEB4211_v1:8:27114883:27116566:1 gene:GSCOC_T00012608001 transcript:CDP20382 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHKSAVVARRAWNSLRLALLWARRGGHFKNRRLMVDLRLLPKFNNRTTSSSNDYYRHRRGALHYGERQLSFDDTPVIHFKMHRPSSLRFKMPSIPCITGAPQVDFDDYDFDRHECGGTGHDTYYLSEAHNDVALSRKSTLLKAAGDYITSDEEEEGYDDDRSNTMVCDHQETNETPRSCDDGIDLKAEQFIANFYRQIKLQRQISYLQYHDMISRGAS >CDP12241 pep chromosome:AUK_PRJEB4211_v1:8:31327135:31327812:-1 gene:GSCOC_T00035667001 transcript:CDP12241 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLFGGGIGIGVGEANEVLIKVVNGNGGIMEFGAPITVEFITDEFPGHGIFRSHDLFWKPLAHHEVLEAGEYYLLPLDEEDDKKKKMKKVKLVISPQQLLEILAQEARTQELIESVRTVAKCRNGFCSSSMGFSDQWSLSSSGSSRNASSSKKDALLLDL >CDP13429 pep chromosome:AUK_PRJEB4211_v1:8:1468968:1474990:-1 gene:GSCOC_T00038371001 transcript:CDP13429 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVKGLINVVLGGDEDNAERREGQGHHPQSQSHDVEQQSRSSWAQVVSGDNDDEGYSRDHGRNEYNRQEGNYGRNEAWESSGSRPAMRPQKATWQDNERNDERRQDNYDQSQWDQQQGGESKDGWETVHKKPSKRHQKVHMDHWDNYKRPPEEQTYSNEVEYRSHMEPSEEELRDLSKACNKLWELDLNRLTPGKDYEIDIGEGKKVYQKGDCAEGSLFSWVNEDIFKRPTFSRFCSLLDNYNPHEGYKENVTSQEKQEQTAFIEEISRTAPIKYLYNYLISKGIVSHNYEEFKRVMTSLWFDLYNRGGTHGSSSAFEHVFVGEIKQRGEQEVSGFHNWLQFYLEEAKGRVDYQGYILPRRHGQFPDSETQLLTIQFEWNGVLKSVSSCLVGVSPEFEVALYTLCFFVGGEDNQVELGPYPVNVKCYRLGNKLGSAFPIADS >CDP09788 pep chromosome:AUK_PRJEB4211_v1:8:29226866:29230412:-1 gene:GSCOC_T00030254001 transcript:CDP09788 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVEDRILVTGGAGFIGTHTVLQLLKEGFKVSIIDNLDNSVEEAVLRVRELAGPQLSKNLDFYLGDIRNKEDLEEIFSKNKFNAVIHFAGLKAVGESVAYPMRYFDNNLAGSINLYMTMAKYNCKKLVFSSSATVYGQPEKIPCVEDFDLKAMNPYGRTKLFLEEIAGDIQKADPEWKIILLRYFNPVGAHESGRLGEDPKGIPNNLMPYIERVAVGRLPELNVYGHDYPTPDGSAIRDYIHVMDLADGHVAALQKLFRMDFKGCHAYNLGTGQGTSVLEMVAAFKRASGKDIPIKLCPRRPGDATAVYASTEKAEKELGWKAKYNIDDMCSDQWKWASLNPWGYEGKP >CDP12036 pep chromosome:AUK_PRJEB4211_v1:8:29726318:29731798:1 gene:GSCOC_T00035396001 transcript:CDP12036 gene_biotype:protein_coding transcript_biotype:protein_coding MWYPWKKGPSGFSSSSTAEDVTQGVDATGLTAIVTGASSGIGTEITRVLVLRGAHVIMAVRNVDVGRKVKEAILKEIPNAKIEVMELDLSSMASVRKFASEYNSSDLLLNILINNAGVMAPPYMVSQDGMEMQFATNHIGHFLLTNLLLQKMKTTARDCQREGRIVNVSSVGHNFTYQEGIRFDKINDQSSYSPFRAYGQSKLANILHASELSRRLKEEGVNVTANSLHPGAINTNLMRHQKFVEVVGSLFNKLFFKSIPQGAATPCYVALNPQVEGVRGEYFSDSNIAKPSALAKDAELAKKLWDFSLKLTDPKE >CDP09859 pep chromosome:AUK_PRJEB4211_v1:8:28643208:28648019:-1 gene:GSCOC_T00030337001 transcript:CDP09859 gene_biotype:protein_coding transcript_biotype:protein_coding MATITSLSPLKLSLYKPNQTSAKSDLFARETTKISRPFNDNITLSKKCIATLVRSAGLALSLTTLVGVGSSIAFAAEAPSLVGSSLPFAEPSNALSLPTWAVHVSSVVEWITAMALVWQYGEKSGNESWKGLSWGMVPLLGGAFCACTWHFFYNAESLEVLVAIQAALTVIGNVTIFIAAFRIFKSSEESSKNL >CDP12072 pep chromosome:AUK_PRJEB4211_v1:8:30036744:30039470:-1 gene:GSCOC_T00035449001 transcript:CDP12072 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase 6 [Source:Projected from Arabidopsis thaliana (AT4G11280) UniProtKB/Swiss-Prot;Acc:Q9SAR0] MEFSLKNEQQQLLSKMATNDGHGENSPYFDGWKAYDSDPYHPTRNPNGVIQMGLAENQLCFDLIEEWVLNNPEASICTAEGANKFMEVAIYQDYHGLPEFRNAVARFMEKVRGERVKFDPNRIVMSGGATGAHETLAFCLADPEDAFLVPTPYYPGFDRDLRWRTGIQLLPIVCHSSNDFKVTKESMEAAYQKAQEANIRVKGFLLNNPSNPLGTVLDRETLIDIVTFINDKNIHLICDEIYSATVFSQPEFISISEIIEHDVQCNRDLIHLVYSLSKDLGFPGFRVGILYSYNDAVVSCARKMSSFGLVSTQTQHLIASMLSDETFTDKIISTSSERLAARHGLFTRGLAQVGIGTLKSSAGLYFWMDLRRLLRESTFEAEMELWRIIIHEVKLNVSPGLSFHCSEPGWFRVCFANMDDESVRVALRRIHKFVLVQGKATEPTTPKSRCGSSKLQLSLSFRRLDERVMGSHMMSPHSPMASPLVRAT >CDP13502 pep chromosome:AUK_PRJEB4211_v1:8:802101:806434:1 gene:GSCOC_T00038462001 transcript:CDP13502 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLGSSCLAVNKYEVTAQFQHECIPQATLGMDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKIHKDLLKNECPHIVVGTPGRILALARDRDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >CDP08308 pep chromosome:AUK_PRJEB4211_v1:8:25885917:25888138:-1 gene:GSCOC_T00027101001 transcript:CDP08308 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLCDAALDGDVTALCQLLQEDPLALAKAALKCEDKNPLHIAAILGHVDFVKAILQVDFAYLMCLARDQDGRNPLHLAAMYGRLEVLHELLDAGSQANSAHSMYGINPLHLAAMYGRVAVLQVLIRAGFQAALEKTDGGGTILHLCVKYNQLEALKTLVDLLKHPEFVNAKNEDGMTILHLAIYYEQHETAKYILQKIGLVVNARDANGKSAVDVLRGLGNINSEIARCLKVAAAKTSDFSTGLQDLVREHRSWIQVACSIIATMAFQAAISPPGGVWQDDLITDSHGNPVPNPHRAGEAVMAYTHPQRYKFFLLTTLVSFWFALLTIIITICDFTGRVALLSLSMFLHLAIVTLAAAHLTSIHMLNPKGPKIKARSDWTEILLFYGVAAVSGILSVAIIRKRLKKKTAVQLNYVGEPQPQPRDSGSAADAHSNP >CDP18806 pep chromosome:AUK_PRJEB4211_v1:8:254271:258130:-1 gene:GSCOC_T00005584001 transcript:CDP18806 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVASASEYLVITGVGITDIKIAKKAWVLPGQSCTICDISPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDEGSLLKYAKLISPHDKLSHHVKELVQGIIEGETRVLAASMTMEEIFRGTKEFKQEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEAKMKGEIGSKLREGQTLQNAAKIDAETKVISTQRQGQGKKEEVRVKTEVKIFENQREAEVAEANAELAKKKAWWSKEAQMAEVEATKAVALRDAELQKEVETMNALTRTEKLKADLLSKASVEYETKVQAANSELYQRQKVAEAYLYEKTKQAEAEKATASAALYARQQQIEGDLYAKKKEAEGLKALAEAQGVYLRTLLDSLGGNYGALRDYLMINGGLFQELAKINAQAVQGLQPKISIWTNNGATSEAAGGDGTTSAVKEVAQVYKMLPPLFQTVHDQTGMSPPAWMGTFPTPRDSK >CDP09977 pep chromosome:AUK_PRJEB4211_v1:8:27639525:27646618:-1 gene:GSCOC_T00030499001 transcript:CDP09977 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 29 [Source:Projected from Arabidopsis thaliana (AT4G16650) UniProtKB/Swiss-Prot;Acc:Q8LPF8] MGVANKAWKYSDGVVVNSFGIFCNSSNNVNDKEKLKVQDQQQNWRLWFWPSNASTAASARRPKISWSVKVCGVMLFAVGLISLFTGHLASDLEWYSQRFGKRFPYHKELNGNGRTAIDIWKSKFSKFYYGCSERGRHFGPAIRERSSNGYLLIATSGGLNQQRTGITDAVVVARILNATLVVPELDHQSFWKDDSDFLNIFDVDWFISYLGKDITIVKRVPEKVMRSMEKPPYTMRVPRKSEPEYYLDQVLPILLRRRVVQLTKFDYRLANDLDEELQKLRCRVNYHALRFTKPIRNLGQKLVMRMRKMTKRFIAVHLRFEPDMLAFSGCYYGGGDKERYELGEIRKRWETLPELSPDEERTRGKCPLTPHEVGLMLRALGFRNDSYLYVASGEIYGGEETLRPLRELFPNFFTKEMLAGEELKPFLPFSSRLAAIDYIVCDESDVFVTNNNGNMAKILAGRRRYMGHRRTIRPNAKRLSALFKARDKMEWDTFAKKVKSCQRGFMGEPDEMKPGRGEFHEYPASCICQKPFNHSDVVKDEDGDWSLEDNSIASDAKSRYQTIRQGEGKSQELMKARIGEGPGSLAEGSDHEDFLSD >CDP13048 pep chromosome:AUK_PRJEB4211_v1:8:3652556:3656319:-1 gene:GSCOC_T00037803001 transcript:CDP13048 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGRLGSTLVDRLLKRGYTVHAAVQTEGDLASFSGLANDKKNLKVFHSDPFDYHSVVDALKGCSCLFYTFEPPQDHSIYDENMVEVEVRAAHNVVEACDQTDTMEKVVFTSSATAVIWRDHQISDSSAELDEKSWSEINFCRKFKLWHALSKTLAEKTAWALAMDRGVSMVSINAGLLMAPDLSIRNPYLKGAAEMYENGLLATVDLDFLVDAHICVSEDISTYGRYLCFNHVITNTEDAVKLAKFSTASASESQSHDEAKVNERMIQQRISNKKLNKVMVDFERGSQLVD >CDP09880 pep chromosome:AUK_PRJEB4211_v1:8:28465324:28466092:-1 gene:GSCOC_T00030361001 transcript:CDP09880 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQNSDKKYFYEIDGRDPNGYVGCMWSICGVHDQGWRERPVFGKIRYMNYAGCKRKFDVDGYIAYVKRLAGESKKRKAEI >CDP13420 pep chromosome:AUK_PRJEB4211_v1:8:1655852:1656869:1 gene:GSCOC_T00038357001 transcript:CDP13420 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFINPVLSSEQCVYLTARVTPEDIKRVMFQLKDGKAPGPDGYLAEFFKLNWNVVGNDVIAAIQYCFTFMYYQLHSTVLTLVPKVENAMHMKNFKPIACCNHGSKSRPTPRRLGRVVTVSTPTDTIP >CDP18482 pep chromosome:AUK_PRJEB4211_v1:8:22762831:22766383:-1 gene:GSCOC_T00009054001 transcript:CDP18482 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQEKAAEWSGVDAEDAFAIDGTNLYEKLGLQTFINLSTNFYERVYADEEEWFRSIFSKSKKEDAIQNQYEFFVQRMGGPPLYSQRKGHPALIGRHRPFPVTHRAAERWLNHMQQALDATSDIDLDSKTKMLNFFRHTAFFLVAGDELKNQNQAAPCKNCKHPGKHAAV >CDP07209 pep chromosome:AUK_PRJEB4211_v1:8:10680985:10692271:-1 gene:GSCOC_T00024382001 transcript:CDP07209 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNSPIKDMANYKPVFLTIYATVVVGVVVSSFYVFSAVYSSSSSSSSSSSSSLWFSPVQNQVSNSSHVTVVNVSLGSTSQTQSKSMKPIWEAPPSGSKMPPLKTFRLTKELVQQRVKDNVIVVTFGNYAFMDFILTWVKHLTDLGVDNLLVGAMDTKLVEALYWKGVPVFDMGSHMSTVDVGWGSKAFHKMGRQKVILIDAILPMGFEVLMCDTDMVWLKNPLPYLARFPEADILTSTDQVAPTVVDDSLDIWQQVGAAYNIGIFHWRPSNSTKKLAREWKELLLANDDVWDQNGFNDLVRRQLGPPVDEESGLAYAYDGSIKLGLLPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTEGKRHRLREAMVFYDPPDYYNVSGGFLTFKPSIPKSLLLDGEHNVESHFALVNYQIKQIRTALAVASLLNRTLVMPPLWCRLDRLWFGHPGILQGSMTKQPFICPLDHVFEVNTMLKELPEDEFGPPIRIREYSLFDNPSMPKKVKESWLDVNLCQEGSRGCEVSNSTSQAGILKFPKRSSEETYKTVFSSFKDVEVIQFSSMQDAFSGFTDKSMEDKFRKRVKIYTGIWCCVENHTPGHIFYDIYWDEKPDWKPKPPQTPEEDHPPV >CDP12217 pep chromosome:AUK_PRJEB4211_v1:8:31126661:31130194:-1 gene:GSCOC_T00035635001 transcript:CDP12217 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFSTASSSPTASGNLTTTKRVGTHNGSFHCDEALGCFMIRLTRKFSDAQIVRSRDPQVLEKLDAVLDVGGIYDPSRDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELGVEEQHLDVQRLFLAVYKSFMEAIDAIDNGINQYDTDQPPKYVNNTHLSSRVGRLNLDWTDPDQSSERENEAFERAMILAGSEFLDSVRFHVRSWLPARAIVIESLAERQDIDPRGEIMVLKRFCPWKLHLFELEAEMKIDPPIKYVLYQDDRSKSWRVQAVAVSPDRFESRKALPAQWRGLRDDELSKLTGIPGCVFVHMSGFIGGNQSYEGALAMAQAALKL >CDP08365 pep chromosome:AUK_PRJEB4211_v1:8:26411579:26413647:-1 gene:GSCOC_T00027171001 transcript:CDP08365 gene_biotype:protein_coding transcript_biotype:protein_coding METEVAINGADLGGSLPVENVQELASNCSKEVPHRYIRPEMILDEISTDDPSQVPVIDMEKLATSHSKYQNEMAKLHQACKEWSFFQLVNHGASMVIEKMKVVTEDFFKLPLQQKMACAQEPNRIEGYGQAFVVSEDQKLDWGDMLFLNSLPVSQRNLRFWPNSPTSFRSTLDEYSLQIHKVCMSLFKLIELNLGLEPGKLCSIYQDGTQGIRMNYYPPCRQSDKVIGLSPHSDGTGLTLLVQVNDVQGLQIKKSNTWVPIKPIPGAIIVNIGDMMEIMSNGEYRSIEHRAVVDFQIERLSIAAFHIANLTAKIGPLPELVKENGAQYKTLGLEDYVRLMLSTKLDGKSQLDHMRTNN >CDP09899 pep chromosome:AUK_PRJEB4211_v1:8:28318526:28322747:1 gene:GSCOC_T00030384001 transcript:CDP09899 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAM10 family protein At4g22670 [Source:Projected from Arabidopsis thaliana (AT4G22670) UniProtKB/Swiss-Prot;Acc:Q93YR3] MEAAKLEELEKFIDQCKANPSILSDPSLSFFRDYIESLGGKLPPSAYKAGEYKAKSHVAEDLDEDMDDVEDEDHSKVGADEEEEPEIIESDVELDDTEVVEPDNDPPQQMGDPSVEVSEESRDASQEAKAQAMEAISEGNLEDAIEQLTKAISLNPTSAIMYATRATVYIKMKKPNAAVRDANAALEINPDSAKGYKSRGIARAMLGQWEEAAKDLHVASKLDYDEEISAVLKKVEPNAHKIEEHRRKYERLRKERQDRKIERERQHRKAEAQAAYEKAKKQEKSSSSGRPGGMPGGFPGGMPGGFPGGSMPGGFPGGMPGGFPGGMPGGMPGNVDYSKILNDPELMAAFKDPEVMAALQDVMKNPANLAQHQNNPKVAPIIAKMMSKFAGPK >CDP12936 pep chromosome:AUK_PRJEB4211_v1:8:2220720:2222186:-1 gene:GSCOC_T00037640001 transcript:CDP12936 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNCIFQNNYTFPILVKACADMGLLEEGIKIHCCVVKCGFELDLFVRNALIHMYSVCLKIWDARKVFDLCSDSDLVTWNTMIDGYVKNGELGFARQIFDGMHERDVFSWNSMITGYVGVGDMVAAKELFEEMPSRDVVSWNCMIDGYARSGNKDGARMLFDQMDCRNMVSWTSMLALYVRLKDYSECLRLFDEMMVEGDVQPNEAILVSVLISCGHLGRLDRGQWIHSYIKGSERIKPDVLLMTTLLTMFAKCGAMDLAKLIFDEMPERSVVSWNSMIMGYGMQGHGEKALEMFMEMETCGLMPNDATFTCILSACNHAGMVLEGWWYFDLMHRVYNIEPKIEHYGCMVDLLGRAGLVHDSEELVKTIPVEAGTALWGSVLSACRTYSRLELGQIVAKRLIVMEPGDIGPYVLLSNIYATEGRWDDVENVRKLMKEKGTEKEAGSSLVHLMDLQSDMSQKTRSAHKRSMVYSMLSEMGAQLKLSCRQ >CDP09804 pep chromosome:AUK_PRJEB4211_v1:8:29106798:29109762:-1 gene:GSCOC_T00030270001 transcript:CDP09804 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSSTLLSPRHRLRSEPPAQFQACHFPSMSTQRLDLPCSFTRKDTARNQTIRPVGLSVDKPIEAKTSSCSLKQNIRLPPSATTAQTGAYIEGSRKENRDEFWEKNKSLKRYAEQGPFAGDDDESCMNRAKRKRGNGKSQDFPEEEKKLTLGQLGSGSFWLQQPPFDVPRSVPLIAGLSSPQIPLSLSYSGDEDRVCFVPSDVISPPLPLSNNPWVESVVTQITDLGDKNVETGQGPAKEASASSTSSESQGLVLRLNENPTEHEIGNGSKRPNTSEIAEVVAGQKDDDNHREHDGFELVSLLVACADAIASKNILAINHFIARLGELASPRGSPISRLTAYFTEALSLRVARFWPHIFHISPPRDLDRVDDDCGTSLRLLNQVSPIPRFIHFTSNEILLRAFEGKDRVHIIDFDIKQGLQWPSLFQSLASRTNPPSHIRITGIGESKQELIETGDRLAGFAEALNLPFEFHPVVDRLEDVRLWMLHVKEKESVAVNCIFQLHKMLYDSTGGVLRDFLGLIRSTNPTVVLMGEQEAEHNGPGFETRLTNSLKYYSAIFDSLEESIAADSPIRMKIEEMFAREIRNIIACEGQERFERHQGFVKWQKMMEQGGFRSLNTGERELLQSRMLLKMYGSENYKVEKQGEDGAALTLSWLDQPLYTISAWWSIDVAGSSSSFSQRVD >CDP12119 pep chromosome:AUK_PRJEB4211_v1:8:30413739:30415411:1 gene:GSCOC_T00035509001 transcript:CDP12119 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMLTNKKGVKYTEHRKQTTMVRPSPLPTSLACRTRKSPDMNAVKPRVVRISVTDADATDSSSDEDEEAFKRRHRVKRFINEVKIETFRDNGNGNVNACTSAGNVNSVWRSRGSAAPAAAGNGTSTTNKKRKKSSGGGGNGKQARVAEGSNVKKFRGVRQRPWGKWAAEIRDPARRVRLWLGTYDTAEEAAMVYDHAAIQLRGPDALTNFATPPARSVSPPAPESATCSGYNSGEESHNNQRSPKSVLRFASTSNSNEEAEAESCLPSPLHEEAVGIGKERRDSCGEETCVSENFSHYSLIPEDLRFEFEDPVVDFSDLFEDNSNIFEYARNFDNTFVDSSENVEFGSAMPDDFFSDLGDIFGKDPLVAL >CDP09765 pep chromosome:AUK_PRJEB4211_v1:8:29404814:29405643:-1 gene:GSCOC_T00030222001 transcript:CDP09765 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYPQPSSSSSSSSSSSSCYITSRRESFTLWMKSLVFHGNGCTVFDSDGKLVYRIDNYGQKYSREVDLMDVGGNVLFSIRQKKMPVFGHWDGFRRSDAEVNKRTPSFQVRKLRNVLKGGMRTYVVSGTETSSYQIRGLPDKSAFKITDTNGKAVAEVKQKQSSSGVNFGEDVLSLVVEPQVDHSLVMALVIVYELINHKL >CDP08289 pep chromosome:AUK_PRJEB4211_v1:8:25686205:25686657:-1 gene:GSCOC_T00027073001 transcript:CDP08289 gene_biotype:protein_coding transcript_biotype:protein_coding MADYNYNRSKSYSYSSHHRNNNMQMDNYYNYGSSYDGPTSISAYPNNNPPHDFRSYSVSYSSSYDAPPPPLPLPPPPPGPAQVKDWKLKKGKSMGGSASKSWSFNDPEFQRKKRVASYKVYSVEGKVKGSFRRSFRWLKDKYTQVLYGWW >CDP09759 pep chromosome:AUK_PRJEB4211_v1:8:29443782:29446028:-1 gene:GSCOC_T00030215001 transcript:CDP09759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, beta subunit domain containing protein [Source: Projected from Oryza sativa (Os04g0555900)] MEADSLTSTKKYAADISSIREAQLRINLYAHKTPVLSSETLNSFCGRKLYFKCECFQKGGAFKFRGACNAILSLDDSQAAKGVVTHSSGNHAAALSLAAKIRGIPAYVVVPKNAPKCKVENVRRYGGQIIWSEAAIESREETATKVLQETGAVMIHPYNDGRIISGQGTISLELLEQVLEIDTIIVPVSGGGLISGVAIAAKSLKPSIRILAAEPKGADDAAQSKAAGEIVKLPETKTIADGLRAFLGEFTWPVVRDLVDDIIVVEDSEIVEAMRHCYEILKVAVEPSGAIGLAAVLSDSFRNNPAWKDSEHIGIIVSGGNLDLGILWDSLCKAEL >CDP08292 pep chromosome:AUK_PRJEB4211_v1:8:25741204:25749240:1 gene:GSCOC_T00027079001 transcript:CDP08292 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEVQSDDNSSPVASLLPLASASQQPYVSELLSFTLDRLHKEPELLRVDAERIRRQMQEVAVGNYRAFISSADALLSIKEEVSSIDKHLESLISEIPKLSSGCSEFVDSAEQILEKRKMNQTLLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAYVAKLSTMHPKLPVIQALAAEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYEMRLQFLRCRETWLAGILDDLDQRNAYEYLKGMISCHRTHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHQISLHLKTLKIMLPKITEGGSLSNILEQCMYGAMGLGWVGLDFRGLLPPLFEEAVLNLFSKNMNTAVQNFQLVLDAHRWVPLPAVGFPSSTFGEESQEDVNPPPNLMEHPPLAVFVNGVSAAMNELRPCAPLSLKRILAQELVKGLQGVSDSLLRYNTTRMLRDNESILFLALCRAFLEVVFPHCAMCFGRCYPGGASLITDAKTLFDGITRLVINSPSRELPRSVPSVEGKNISENGNLPSLENGVVSSIERTASANLEEQQNNLTPQDGERITRRSSM >CDP18442 pep chromosome:AUK_PRJEB4211_v1:8:22050150:22051877:1 gene:GSCOC_T00007262001 transcript:CDP18442 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSSTLASIFLLLSVAVNLSVNHVSAAKQSQNETDRLALLEFKKQIYDDPFGALNSWNHSQHHCQWEGVTCGTRHQRVIALILRHKQLSGTISPHVGNLSFMRSIQLAENQFQGEIPQEFDRLLRLRVLNLSSNAISGKIPANLSYCSELLEIFYLLGNNLTGEIPSSIGNLSSLTQLTVDFNNLEGNLPMEMGLLKRFAGLGAAENKLSGIIPASIFNSSAITVISVGGNSFHGSLPTNIGLTLPNLEGLYLGENEFYGNFPTSITNASGLKILDLSRNKFEGQVPANFGDLTQLEIVNLYNNLIGNNSTGDLDFIASLTNCSDLRILSLSYNKFGGNIPTVMANLSNQLTELYLGGNQLSGTIPEGFGNFVHLIQLGLELNSFPGIIPRDFGKLQNLQTVSIDHNDLSGQIVSTLCNNTNLFYLDLSFNQFEGENIFDSVLTNGQNLQYLDISQNNFTGIISPHFLQTHSSLTDLYLSENSFTGSLPPEVGKLIHLGDLDVSQNQLTGGIPISLADCTNWRIFICNPIFSKEQFHQIWLLGSVRF >CDP07907 pep chromosome:AUK_PRJEB4211_v1:8:20723107:20723595:-1 gene:GSCOC_T00025409001 transcript:CDP07907 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSPPELGTYFQVDKTTFRELVQKLTGATGNSTNKPPEIQSPSTSPKRHGHIDPPGVRRSPLKLQERRQQTAMRKMEIKLGLKAAGESVSQPDSPGCPPTPVHSSMTPLGRNSVVSTRVGTESPPSEEERAIAEKGFYLHPSPRKPEPPELLTLFPLTSPR >CDP08341 pep chromosome:AUK_PRJEB4211_v1:8:26211129:26212676:1 gene:GSCOC_T00027143001 transcript:CDP08341 gene_biotype:protein_coding transcript_biotype:protein_coding MTFALNHCCIFLCILVLLPVTCYSGDVFLSARATFYGSAGALGTPSGACGFGEYGKTVNNGEVCGVSKLYQNGTGCGACYQVRCKHPQYCTEEGTTVVVTDFAIGHGTDFVLSFEAFVKLARTNMGRLLIALGVIDAEYKRVPCQYPGTNVKVKVHEKSRYPDYLAIVILYQGGESDITAVEIYEESSKKWKAMRRAFGAVWDISNPPKGALTFRFQASGSAGVKWVEPKKVIPSEWRAGIIIDTAVQLN >CDP13027 pep chromosome:AUK_PRJEB4211_v1:8:3340603:3340944:-1 gene:GSCOC_T00037768001 transcript:CDP13027 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNCLTCQGMKRTDSDVDMRSGLYQGKPNGYMVLPTVDRSWSGNLVPRPPSYDKVRTGTILMAEKNANKGKYRIHNSAPIETGTPRLVRSSGMRRDWSFEDVRRVDAYHLHK >CDP14463 pep chromosome:AUK_PRJEB4211_v1:8:14074063:14074538:-1 gene:GSCOC_T00040955001 transcript:CDP14463 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFVCLKCLPHANVSITGSAAFSCTAKMNLSDEVDLEDYVSRPQIAAICQETGMHAVRKNRYVILPKDFETGYRANVKMPDTDFEFYK >CDP16174 pep chromosome:AUK_PRJEB4211_v1:8:23744542:23749274:-1 gene:GSCOC_T00017260001 transcript:CDP16174 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKLRLELLEVLRSRRTPEVHLTVEPGKPVEDPLYQETPKPTFSPAMESCPKKDIPNFKELLKEENFYLTTEAGEQGRLPVLILSLKGSTPQKRPAIVFLHSTNKCKEWLRPLLEAYASRGYIAVAIDSRYHGERARSLTTYRDALVSSWEKGDTMPFIFDTVWDLIKLADYLTQREDIDPLRLGITGESLGGMHAWFAGAADTRYAVVVPIIGVQAFKWAIEHDKWQARVDSIKAVFEKARIDLGKTAIDKEVVQKVWDRIAPDLASKFDSPHTIPAIAPRPLLILNGAEDPRCPLPGLEIPKSRAQEAYIKASALDSFKLIAEPGIPHQMTPLMVKEASDWFGRFFMT >CDP13014 pep chromosome:AUK_PRJEB4211_v1:8:3191773:3192828:-1 gene:GSCOC_T00037746001 transcript:CDP13014 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGSKADTKRQSKFSSLMADPIAAISFADPHADPYGHMGLVRNPDGSVTRQFEHPKTPVSSYDGSPILLVKDVPINQSKNTGARIFLPKEALESFPGRKLPLLIYFHSGGFVICSVATSGFDDFHRALATEVPFVVVSIEHRLAPEHRLPAAYEDCLEALHWIKNSQDEWLEKYADLSNSFLMGSSSGGNIAYHVGLSASSCVDDLKPLNIKGLILHQAFFGGNKRTESELRALNDTFIPPCVTDVLWELSLPVGADRDHEFSNPVLSIKPGQFDQIKALGWKILMAGYENDALFDRQFEIAKMLEGKGVPVVTNFVEGGYHGIDMFESAKTKVLCEVVKEFIISFVTTA >CDP11702 pep chromosome:AUK_PRJEB4211_v1:8:5083743:5088097:-1 gene:GSCOC_T00034161001 transcript:CDP11702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 25 [Source:Projected from Arabidopsis thaliana (AT4G19003) UniProtKB/Swiss-Prot;Acc:Q8VZC9] MLGKEKKKKEERTKFEKLKILNTPVALHSSRQSLFYRSNIFESERNLKNSWDMQKLGEFKLPHFFNYPPYFTLQPVRDTREKQVQLWKELIIEYCRAQKVFIIGLEEDFPLFSNHAIERSLSHEARVAFLSALVSDGRAEWTDKGHKKCLILWHRIQEWADLIVHFVKENGLEDNVMTVEEIRSGTESRGTELYGIDRSVLMRALKLLEHKGKLVIFKGTSTDDEGVKFSL >CDP14955 pep chromosome:AUK_PRJEB4211_v1:8:9127894:9133767:-1 gene:GSCOC_T00042460001 transcript:CDP14955 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLETSGHENILALTEQVILQFGEVLNALRMVNKEGITQIDPLIITQASLQSSVPVESSSIDVVVVISKSSEFPNDALFLEISRILMPGGTVLVHLTSQSTPLQETKSSLERKLLLAGLLDVKSSEAGQSIGISGKKSSWKIGSSFSLKKQTKSLPSVQIGDDTDLIDEDTLLSEEDLKKPQLPVGDCEVGKTRKACKNCTCGRAEAEEKVKLGPTMDQLNNPQSACGSCGLGDAFRCSTCPYKGLPPFKMGEKVTLSQNFLAADI >CDP16142 pep chromosome:AUK_PRJEB4211_v1:8:23323204:23324727:-1 gene:GSCOC_T00017218001 transcript:CDP16142 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKGLTTRIDRKLSGLSNSFSKQRIFRVDHKLRSQNEVAYEPQMFSIGPYHHGKENLVKAQTYKLWYLKELLLRRGESSTERYINALKDIEDEARSWYAEEDMIGLGSDEFVEMMLLDGFFIIEFLRKYAGWCLYKEYPLNYGPKQSDPIFLNRRKMGCLFRDILLFENQLPFFILVRLFEMTKSPGGDEEENLVDLAIFPDSPLHLFFPGEKPVSLPNPTTNIAGNVGDNGDSQDNCSTSGEVNEHIKCASELRQAGIKFETANKSVSWLDIAFEKGVMKIPTLDVHDVTECVFRNLIGFELYMINGLYDRRYIIDYVTFMDSLIASSRDVEKLRRQKIITKWLGDDEAISSVFNGLVKEVETYTGNDVFCYSRVFKQVNEYSSRRWNIWRAHVMRNYIENHWSIMSIVLLSLTFVQTIFSILQFENE >CDP13460 pep chromosome:AUK_PRJEB4211_v1:8:1209177:1212193:-1 gene:GSCOC_T00038416001 transcript:CDP13460 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFNFMAILASFSALLASFCALKLVFSLWWKPKIKEKQLKQQGIGGTSYNFLFGDKPVSKKLMIEAWAIPMSLNHEIVPRVDPSLHQIVQTYGKVCLSWTGTRPRLIMGKAELIRLILNDKDGHFQKPPQNPLVDLLTLGVSTLEGEKWAKRRRLITPAFHHEKLQGMVPEFLASCCNLIDRWTMLLASDGRSEIDISPELQSLSADVISRAAFGSSYIEGKKIFELQKEQAVLALEAFQALYLPGLRFLPTMKNKRRYEVDAEIKAMLRDIICKKQKAMQNGESGNGDLLGLLLQCKEEKGNEMTIEDVIEECKLFYFAGQETTANWLTWTLIVLSMHPDWQEKARQEVLRICGKTAPDVEILNRLKIVTMVLFEVLRLYPPVTGLFRYTIQRTKVGDISIPAGVEVYLPIMLLHHDSEYWGDDAEEFKPERFAEGVSKASKDQLAFYPFGWGPRICLGQSFAIIEAKLALAMILQNFSFKLSPSYTHAPHPILTLQPQHGAPIIFQQI >CDP11720 pep chromosome:AUK_PRJEB4211_v1:8:5411726:5416053:1 gene:GSCOC_T00034191001 transcript:CDP11720 gene_biotype:protein_coding transcript_biotype:protein_coding MELYILLFCIPLFVIILKPFLHGSSAPKNTPPGPKGLPIIGSLLELGSRPNQSLAVLAKIHGPIMTLKLGSITAIVASSPEAAKEILQKQEQIFSDRSVPDVITAQPNPQATLAWVPGDQRWRNRRRICSTQMFTTQRLDSLQHLRHKKVEELVLHFKKHSARGAPVDIGRAAFATTLNLMSNTIFSIDMVDPEFETAQEFKDLVWRIMEDAGKPNLSDYFPLIKWFDLQGVKRHIRPSYLRLHQIFDEVIAKRLEARKVGESRKDDFLDVLLDQCEEEGSGFNRETIKPLILDLFIAGSDTSAITTEWAMAELLRKPESMEKAREEIIQKIGFERTVKESDIDLLPYLQAVVKETMRLHPAAPLLLPYKANKDTQIFGFTIPENSQVLVNAWAIARDPNHWKNPISFMPERFFGSDSGYKGKDFEYIPFGAGRRICPGMPLAIRMVNLMLASIIQAFKWKLPEGTTQEKLDMEEEFGVTLRKAVPLCAIPTFQENLKF >CDP07884 pep chromosome:AUK_PRJEB4211_v1:8:19704832:19706766:1 gene:GSCOC_T00025371001 transcript:CDP07884 gene_biotype:protein_coding transcript_biotype:protein_coding MPHATLSNLAQTYGPLMCLKLGTQYLVVGSSPAAAIELMKTHDRTFSARYVPKVVPADQEELNYSSIGWNDECSDRWKYLRTLCRSELFSGKALENQSCLREKKIFDMVEHLRAKEGQVVDFGELVFATIFNMLGNVLMSRDVVGLEEKRADGGLKGLVRTIMEVVTVPNISDYYPFFDRFDFQGIDFLESLIENNFTNDRIHQLLLELFTAGADTSISTIEWAMAELVKNQEIMKKVHEELDREFHDDLPKESQLMQLPYLQACIKEALRLHPPGPLLMPHRALETCQVMNYTIPKDAQVLLNVWAIGRDPSVWDEPLNFRPERFLNCDKDFRGNDFELLPFGAGRRICPGVPMAARQLSVVLATLVHCFEWSLPEGKDPQQLDMKERFGITLQKEQPLLLIPKSRRYQ >CDP20516 pep chromosome:AUK_PRJEB4211_v1:8:2098669:2102317:-1 gene:GSCOC_T00010011001 transcript:CDP20516 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVSFVSNRLATLLQEEGSLLGGLRQEVQLIKDELGHMKAFLKVAEAKEDDDPRLQEWIKQVREAAYDIEDVLDEFVLGFAGYRHHGFCGSLQRILKAIKSLPARHQVSSEIQSIKSRIKNISELRRRYQDEFGIDHRVTGSSTMNDSRRYSRDDALLVEEAKLVGIDQPKQHLISKLLKGHDHQLKVISVVGMAGLGKTTLVKKVHEDPEVRKNFPVRAWVTVSQTCDFPKLLRDLIRQLNKDLDKSVPQSMESMTTAGLKEFVKDFLRRAGRYAIVFDDVWDMEFWNEIKFALPEGNYGNRVMLTTRNADVAASCTESQDCVYRMKPLSNEDSWTLFCNKIFKGNRCPAHLMDVAKAVLDKCDGLPLAILAIGGLLASKDVSRIDEWEMIQHSLGGKLERVKRILSLSYNDLPSHLKPCLLYLTIYPEDYPINCQMLILLWIAERFVEWREGMSIEDAAWAYLRELINRSLIQVTEAFYEGTPHTCRIHDLLREVILIKSREQNMVTVTTGQPMTCPSEEKVRRLAIHSSSNILRSKLLKVLELGGEEIEETPNEIFNLLHLTYLSLYGTKVARVPRAIGKLQHLEYLHLGDTRVRELPVEILKLLKLRYLIVFQRVDPSDDSYGFHGFKGPSKLGGLLALQVLRTIDASSGSVIVKEIGKLTQLRELYITQLRREDGKELCSSLVNLTSLRQLSVASVGKGDDYEIIDLNHHQHSLSSSSSCSFLQSLRVLIMYGRLETMPVWTTHLQNLVKIVMNWSGLRAEEDPLESLQHLPNLDEISFCGSYQGERLCFKAGGFLKLKRLWLRRMEGLRWMTVEEGACPNLRKLVLEQLPSLEDLPSAIQHLSHLQELYLYEMSSRLIEKVENQKEDSEDYRRMAHIPEIVIGFSADDGEWRIRRLWGNEKKTFLA >CDP18413 pep chromosome:AUK_PRJEB4211_v1:8:22428462:22429226:1 gene:GSCOC_T00007221001 transcript:CDP18413 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMELSSILWSLFSMLIAMLLSSLIRQKKSNPPSPLPPGPKSLPFVGCIFQMLRNRPTFEWMHKIMHEMNTEIACFRLGGIHVIPVTSPEIAREFLKKQDSIFSSRPVCMSAELPSSKYLSAVLSPSGNQQKKMKKIVISSVLSPAKHRWLHGKRIKEADHLVNYILNQCNNSLTGGEVNIRIAARHYCGNVTRRMFFDKRFFGRGTEDGGPGTEEVEHVEPLFTILDHLFAFSLSDYVPWMRSFDQCCCQA >CDP18801 pep chromosome:AUK_PRJEB4211_v1:8:214141:220748:1 gene:GSCOC_T00005577001 transcript:CDP18801 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDHHLSPEMLNLRHFSDQQQHLPQSTPETKPPPPPPTWLNSSHFTSAPPPHDSNFLNLHSNSDSNSDSILTPAPLHAPHTNPNPTPSTTTTNTAPVPDLTHTTTTLNWQNAGYKAQILGHPLYQQLLSAHVACLRIATPVDQLPRIDAQLAQSQQVVTKYSALGGVGHANLGPEDHKDLDQFMTHYVLLLCSFKEQLQQHVRIHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDEDDQVDSDGNLFDGSLDGPDGMGFGPLIPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKDWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSPSTALKSKRKR >CDP07214 pep chromosome:AUK_PRJEB4211_v1:8:10917338:10926119:-1 gene:GSCOC_T00024392001 transcript:CDP07214 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g19350 [Source:Projected from Arabidopsis thaliana (AT4G19350) UniProtKB/TrEMBL;Acc:Q8LAF5] MENFSEILKIQGSRHLNLKKSFKLGLRSLLTACSKEEFCKAFPKFTDPEKDRLHRLFIEVISSLHGNIEDQFESLCLETQAGTILDTVEQHVEEQQLDLLFAEKSNIGAIRPSLLEVKKNEIHYLTGILEKAEEQNRLMSSHLDLLKKKKQDVSGVADVVDKLWMDIRSYEIGNNTGS >CDP12130 pep chromosome:AUK_PRJEB4211_v1:8:30504958:30510408:1 gene:GSCOC_T00035523001 transcript:CDP12130 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPKVRLVRCPKCENLLPEPPGFSVYQCGGCGAVLRAKKKELLGDGLLGTSDEERSRGASEKGDVDNVGLGSVAGAKIESNGIVKRNNSENVLNGSSSSGVDNSRGLDDCDGSKTGRDRLDLGFDQDRKIRYSEDEYKHPLKGLNDEYVRDNGTGDFRSSRPDYTRLNKDELEEIKRLIKLLRTRPAIDQNGMDRNSSIASRANGSSVAGQGRFVNFQHPEVGPSNYVGGSYYNYAEQRRYGDRSDGLARVENLENDRVELLRKLDELADQLTRSCDVADKSKERIHHDRWIPPVLPDTYDRHDAFVQDGTRNSYTVHKQPLIPDKHISRAPYVPRSHGYVPYTDRHGIPFQESYPPRNFSYEYGGITDAYQTQMLRRPSHQPPSRYFQESCHVDFNQDLFMSHPHEAFFHQPACSCLQCVNKNWQVSSKIQPPNLCNQNMHSTHTSRSFYQNVNPITYDPQVYTSEASNLLPPQSRDRKHLTRSSSDLGREKVGFGRSHPKKVVAAPGGRRLFQPIAGGAPFVTCCNCFELLKLPRKLFLTQKNPREIKCGGCSSLLLFELDGKGIVVSVSATTERVFKKVHSSSSEISDEKYESSHDPPDADAVGNCDGYENFNYGYQLTDAEPVLSSGEYKLSFGESEMRRDPNSFTASSSEGEQSPNSVIAEKDEGLLHLPDSPSQKHPGHSPDNLMHKYGQGNKSKRIEEDRNTADGKTSRQNSLKDTLVATEMDVSYNDGSTHDSAEIRKEEYLPKINKGGESFFVGLIKRSFGDFSRSSKSTESGKSNVSINGHFVPDRVVKKAEKLSGPIQPGEYWYDIRAGFWGVMGHPCLGIILPNIEEFNYPMLENCAGGNTEVFVNGRELHQKDLDLLASRGLPITRHKSYLIDISGRVVDENTGEELDGLGKLAPTVQRAKHGFGMRIPKSLLQKQR >CDP08157 pep chromosome:AUK_PRJEB4211_v1:8:24439393:24445937:1 gene:GSCOC_T00026899001 transcript:CDP08157 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSRERTFGHSRRDSHSSSTPTTTITMPSTAAAAAAASSASSRFSTAAATTTTLSLSSLPSPFGDLTSTLSSSDLRETAFEVFVAACRTSTGKPLAYISNNNTSSPTSTAAVNGHVNNHHASSSPISNSSSPSLQRSLTSTAASKMKKALGLRSSSSLKKGEGSPGSGSGSGGKGKKPVTVGELMRVQMRVSESVDSRIRRALLRVSAGQVGRRIESMVLPLELLQQFKSSDFTGPHEYDAWQKRNLRLLEAGLLLHPQIPLDNKSNAAAQRLRQIIQGALDRPIETGRNNEPLQVLRSAVMALAGRSSDGILDSCHWADGFPLNLRLYEVLLEACFDINDETSIIEEVDEVMELIKKTWGILGLNQMLHNLCFTWVLFNRYVATGQVANDLLYAADNQLAEVAKDAKTTKDPSYAKILSSTLTAMLGWAEKRLLAYHDTFDSGNIDSMQSIVSLGLSSAKILVEDISNEYRRRRKNEVDVVRSRIDTYIRSSLRTAFAQASSYSRMEKADSSRRALRNQPNPLPVLAILAKDVGELASNEKEVFSPILKSWHPFAAGVAVATLHACYGNELKQFISGITELTPDAVQVLRAADKLEKDLVHIAVEDSVDSDDGGKAIIREMAPYEAEGAIAKMVKDWIKARIDRLKEWVDRNLQQEVWNPRANQEGYAPSAVEVLRIIDETLDAFFQLPIPMHPALLPDLMMGLDRCLQYYATKAKSGCGSRNMYLPTMPALTRCTMVAKFQGVFKKKEKPVHTQKRNSQVATMNGDDLFGIPQLCVRINTLQRLGGDLEIVEKRIITLLRNSESAHVEDFSNGLVKKFELTPAACVEGIQHICEAVAYRIVFRDLGHVLWDGLYAGEPASSRIEPFLQELEQKLTVIADTIHERVRTRIVADIMRASFDGFLLVLLAGGPTRAFSKQDSQIIEDDFKSLKDLFWANGDGLPTDVINKFSTTVRDVLPLFRTDTETLIERFRRLTLEAYGSSAKSRLPLPPTSGQWNPTEPNTLLRVLCHRNDEAASRFLKKTYNLPKKL >CDP12096 pep chromosome:AUK_PRJEB4211_v1:8:30205070:30208359:-1 gene:GSCOC_T00035477001 transcript:CDP12096 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIANAPSSRLRAFPPPPINLMLSSTSLNCPKTFPRRPLRSIPAPPFFRASKLSPNIKSVAPLTHTFRPSCTKKWKISCFRHEECSSAGINRESLGEEIRHENLRKTEVEKPSTGKRSWISSLGEWVDAVLRVIGKPWTVPWTAETILQVTLLWVVSFWFVGSWMIPFGAHMVGFNKESLTYRGQALFSLLTDVTEGLAGILILHRCLSRFRPLPSDWFKFSLKGKWLFDVVLGCLMFPLVNRLSQFNLDLLPVLPSTPVTLSTVEQSIMARDPVAMGLYALVLVVCAPLWEEIVFRGFLLPSLTKYMPVWCSVLMSSVAFALAHFNVQRMLPLIFLGVVMGVIYARSRSLLPSILLHSLWNGFVFLDLMK >CDP12120 pep chromosome:AUK_PRJEB4211_v1:8:30420535:30422820:1 gene:GSCOC_T00035510001 transcript:CDP12120 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARKGQAVCGQEALNLLNCVTETPYDQEKCLRLLQSLRQCVVDKRVKKFSLAEENQGSVDASSEKKH >CDP08257 pep chromosome:AUK_PRJEB4211_v1:8:25398430:25407244:-1 gene:GSCOC_T00027029001 transcript:CDP08257 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKHLLRKLHIGGGGGGAGGGALTDHHRPLPLDHHHHNRGTLVDPPAEEQTSTSQTTTGTNTTMTTTTATESLESSENSSLVSSINANVADADFSLLEEEFQVQLALAISVSSDPDSREDPETTAQIKAAKQISLGCPPSQSLVDFLSLRYWSYNVVNYNEKVMDGFYDVYGINSNLVSAGKMPSLVDLESIPVFGNVDYEVILVNRAADVQLRELEEKVHLISIECQALRVGALLSFFVQKIADVVVNKMGGPVNDAEAMWRRWTARSYELRIFLNSIILPLGSLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGSDDGAVNLIRFDDGSEYIIDLMGAPGALIPSEVPSAQLQSCGLDVRTMTPIQDIVENTQIEFGGATETLSVIQGASNVSRSSSLPSFTGIESQRNCRTASGNAQAKQFESEFGNLQSLVKTTEGSSGAAPKPSAAQQIQVEDVSKRVVSEEKDPEFARNSLSQGPHDLGEQEVIEKKQLLKHEMVSEVQCQPPAYLSDHTQALIPFSGLQLSSTFPCSIDLKCSPAVLVAQKQGQPPNRSNECNPLGKLSSNTNNSGHAQVRDRTDLMIQTDDRPINVKQITTCEQFDQRTTKDEQIDPVLGEVAEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFMNQDISGDALAQFKCEVEIMLRLRHPNVVLFMGAVTRPPNLSILTEFLPRGSLFKLLHRSNVQVDEKRRLRMALDVAKGMNYLHTSNPVIVHRDLKTPNLLVDKNWVVKVCDFGMSRMKHHTFLSSKSTAGTAEWMAPEVLRNEPSNEKSDVYSFGVILWELATHKVPWTEMNSMQVVGAVGFQGRRLTIPDRVDPVVAEIISNCWDSNQNARPSFKEIITRLKGLQRLVQKTDTETKPQQAELFVSEACRCKEIHRTYRIGKCWFNRSGGSTQPVQCSEAYVLRSQ >CDP08295 pep chromosome:AUK_PRJEB4211_v1:8:25774875:25777081:1 gene:GSCOC_T00027083001 transcript:CDP08295 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSKRRRRRRDSGNIPNFEDGRAESNESNQFDVQKQGGVKTKELKEIITSLILLEEQEKRDQEELAKEEQEEKLFFENNHEKKGRAMLDYYSQLQEYQKETDLKDGVRKRKSRANSIVAATTVAAAAAVDAAENDAVSGSSKDGGGDHGSEKGVKGAPQRRLWVKNRSKAWWDQCNSAEFPEEEFKKAFRMGKDTFEMICNELTSAVAKENTMLRDAVPVRQRVAVCIWRLATGEPLRLVSKKFGLGISTCHKLVLEVCSAIRTVLMPKYLQWPDEESTRRIKDEYEATSGIPNVVGSMYTTHIPIIAPKISVAAYFNKRHTERNQKTSYSITVQGVVDPKGVFTDVCIGWPGSMPDDQVLEKSALFQRANQGLLKGVWIVGGSGYPLMDWVLVPYTQQHLTWTQHAFNEKTGEIQRIAKDAFARLKGRWSCLQKRTEVKLQDLPVVLGACCVLHNICELRNEEMDPELKFDLIDDEMVPEIPLRSANAMKARDAIAHNLLHHNHAGTSFLS >CDP12173 pep chromosome:AUK_PRJEB4211_v1:8:30805345:30815177:1 gene:GSCOC_T00035578001 transcript:CDP12173 gene_biotype:protein_coding transcript_biotype:protein_coding MDVELVTEGTSDDEGYRIDENNEEYMNFDGERCGICMDIVIDRGVLDCCQHWFCFTCIDNWATITNLCPLCQNEFQLITCVPVYDTIGSNKTDEDSYSRDDDWCIEGKNNTLSFPSYYIDENAVVCLDGDGCKIRSRSAAMEADSNLDTSIACDSCDIWYHAFCVGFDPEGACENSWLCPRCLVDQLPKKLDGVLVPRLGNQHEPENARSGGSGEAAFSGKVSVSVADAGETAVVVSVVEGSRRAEEPGGEHSTLDFTTDTKADTSLSSNVAFAPQCGDLSSERLGFVPKSESEELKLSLSGNICFSSQFPSIDLTVKADKEETGEQKLVNELGMSSTKCSSILLEDKMAKSGLDLHLGLSVNSSSTVDMINNTSMDDHELGLVYQKSSSGHLLSADGMVPHEEVILTVGRMMPDKNDDTTLVSGEKRKHKDTGSLDDGECKAEIDANAPLKKVKVEAIEGTKLTPLKDPVPYDSRQFSSTTNIENSEPTCASEKKNVSDVIMDIVQETGRRRPKPLAHANSSNISSRKREKSENAAGLRVKKIMRRTDEDADSSVLVQKLRKEIREAVRNKSSKEIGESLFDPKLLAAFRAAVSGSVTETKKPPLDLKAKKALLQKGKVRENLTKKIYGMGGRRRRAWTRDCEVEFWKHRCSNISRPEKIQTLKSVLDVLRNDTVNKEIKHHKEGEASSILSRLYLADTSIFPRKHNIRPVSASKGDAVEKNQEQNTPEKLEVNPMKHEVSKKPVVSVISDSNGTKKGASGVKAEAASTKSCPNNRTERPSTSKLGGSKVASEQEITSATGSMKTDKRKWALEVLARKTAVTPTTGVQEKEEDSVMLKGNFPLLAQLPKDMRPSLAPIRHNKIPIAVRQAQLYRLLEHFLRKANLSIIRRTAETELAVADAINIEKEVADKSNSKLVYINLCSQELSRRSDNMNLSRDAETSPPTSGVSSDGEKVTNDSNLEVNEALKTAGLLSDTPPNSPSKPVEEIKEDAGFLNKSESDGPDNVFEMDSQPELDIYGDFDYDLEDDYFVGASALKISKLQQEVSKMKVLFSTLNPDASNGSQDICDHEGSAGVGPTMASSGHEFLTDAGNSTVDGRANDNQPQNTRVDEVYGELSLAECEELYGPDKEPLIEKYPETALVKPCELVAGKEIVMENGCHGSSEMAKTSESKSGNLAVSEAHQGSVGSVNSPSHSQNTEKVQRKEKMSTVDSNKLSDSRNFVSKKVEAYIKEHIRPLCKSGVITVEQYRWAVGKTTEKVMKYHSKEQNANFLIKEGEKVKKLAEQYVEAAQQTAKAQ >CDP07185 pep chromosome:AUK_PRJEB4211_v1:8:10295802:10299545:1 gene:GSCOC_T00024349001 transcript:CDP07185 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNSVAPKPENPSIQLMGGLPISSESCDNPYLAYPIQARTQSFLQMTSNPITLKFEEIVYKVRIETKGMCCGQTLSSKEKTILNGLTGMVCPGEILAMLGPSGSGKTTLLTALGGRLTSKLSGKITYNTQPISGHIRRQTGFVAQDDVLYPHLTVFETLLFTALLRLPKTLTKEEKVQHVEQVIAELGLSRCRNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAMRILTTIKRLASAGRTVVTTIHQPSSRLYHMFDKLVLLSEGSPIYYGPASTALEYFSSIGFSTTLTVNPADFLLDLANGIGPDAKHAFELGESAEKEQKLVREALISAYDKNIATRLKAELCSLDINNYAYAKDSSTKRDAKSEQWCTSWFHQFNVLLLRGLRERRYETFNRLRIFQVISVATLGGLLWWHTPMSHIEDQIAMLFFFSVFWGFYPIYNAVFTFPQERRMLIKERSSGMYHLSSYFLAKTVGDLPLELALPTAFTFIIYWMGGLKPEPATFILSLLIVLYSVLVSQSLGLAFGAILMDVKQATTLASVTTLVFLIAGGYYIQQIPAFIVWLKYLSYSYYCFKLLLGVQYNGNDYYECSKGVYCRVADFPYVKSVGLNHLWIDVSIMALMMVGYRFIAYLALRRVR >CDP08256 pep chromosome:AUK_PRJEB4211_v1:8:25395120:25398012:-1 gene:GSCOC_T00027028001 transcript:CDP08256 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLFHSPKPPLVQAPPATSYQLTTPFTKISSIPRAQTIPKTNLHSRLPSASFRNSSSIPPESSETGGGCPVPLDQQPINEYKALSNSFPFSWASAGFVEYSSRLFVAGAAFAVLVGLPVSWFGSVGPESDPIKRVLAGVSSGILVMTLLVVRMYLGWAYVGNRLLSATVEYEETGWYDGQMWVKTAEVLARDRLLGSFSVKPVLSRLKNTLVTLAVSLFICVVIFLNVEGSQDRSYKTSEEAGGRAVPGVYNDESARTFEPDAFCGEPAIN >CDP13512 pep chromosome:AUK_PRJEB4211_v1:8:745767:750470:-1 gene:GSCOC_T00038478001 transcript:CDP13512 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLHGTLQATIFEIDRLRTGWGFNFCGKTGGPEKMARGFCARVKRAVFCRPEIVGSRLYATIDLERARVGRTRMIEKQLSNPRWYEGFRIYCAHMVSNVIFTVKDDNAVGATLIGRAYLPVEEIINGFIVDRWLQILDEDGIPIQGGPKIHVKLQFFSVNQDPNWSQGIGGSLAVKGVPRTFFKERQGCHVTLYQDAHMPDTIFSNYLESKLRYEPQRCWEDIFDAINNAKHLIYITGWSVYTQITLIRDPNRPKPGGDITLGELLKKKANEGVRVLLLVWDDRTSVETLKRDGLMATHDQETGDFFRDTNVHCVLCPRNPDDGNSIIQGFEVSTMFTHHQKTVIVDSEIPGSGGEPKRRIVSFLGGIDLCDGRYDTVAHPLFSSLNTAHHDDFHQPNFPDSNIRKGGPREPWHDVHCKLEGPAAWDVLYNFEQRWQRQVGNNYLFSLNELYRFAIPPSPATKSEDHETWNVQVFRSIDGGAAYGFPEDPAKAAQVGLVSGKDHIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWEGKDIQVPDINALHLIPKELSLKIVSKIEAGEKFTVYVVIPMWPEGIPESASVQAILDWQRRTMEMMYKDIARALQAKGEGDDVDLRDYLTFFCLGNRESREAGEYVPPETPNPDTDYARAQKSRRFMIYVHAKTMIVDDEYIIVGSANINQRSMDGARDTEIAMGGYQPHHLSSAEPAKGSIFRFRMALWLEHLDVDDYVFYHPGTLECVKKVNLLAERNWELYSSDTFPDNEDLSVQLLRYPVNVSRDGIITALPGLDFFPDTKARVLGTKSDYLPPILTT >CDP12039 pep chromosome:AUK_PRJEB4211_v1:8:29754216:29756035:-1 gene:GSCOC_T00035403001 transcript:CDP12039 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFAGPKPHLDGFYQNSRDSISNVITIDVGGQIFQTTRQTLALAGSKSLFSKLSNSQGTIPFIDRDPELFSILLSLLRTGNLPSKAKSFDVQDLIFESRFYGIENLLINSQSNPSLFEAFNVDKSTILPLSGRDSPSTISTTQFGSVHVANGCKITSFDWSLQRKSTILTQFTAIDSLLALSPKTVAAGATDFSGLQIIDLDKGIVKETLNWENVTRSSSTVQAIGSSPEYLFTSFESGRRNSNCVMVYDINDGFRPVTTIGHYEIFGAELDSAIPATKLQWISSHNLLMASGSHSGPAGVVGNIRFWDMRSGNVVWEVKEKVDCFSDVTASDSLSALFKVGVNSGEVFFTDFRSIGAAENSWVCLGDARKVINGKKEGSGCKIESHGNQVFCSKGGNIELWSEVLTGSSIKGENGRVEDRVFRKNSMGRAKDMGGSRITNLAFGGNKMFVTRKDQQFVEVWQSSVWGF >CDP12247 pep chromosome:AUK_PRJEB4211_v1:8:31372301:31376119:-1 gene:GSCOC_T00035675001 transcript:CDP12247 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTSRFVSRSSNVHHHPPPPPADSSAYLAHLGLRPQTVTHHGLRAVSRLNLHHTRATAKPTELHISAHAHGPSGTIVCGTGACFVFVAAEVAPWSKTGGLGDVLGGLPPALAGLGHRVMTVAPRYDQYKDGWDTSVLVQIKVGDRIEVVRFFHCYKRGVDRVFVDHPIFLEKVWGKTGSKIYGPRAGDDYQDNQLRFSLLCQAALEAPRILSLNNNKYFSGTYGEDVIFIANDWHCALLPCYLKTMYQSRGIYMNAKVAFCIHNIAYQGRFPFADFSLLNLPDQYKSSFDFIDGYDKPVKGRKINWMKAGILESNRVLTVSPYYALELVSGIEKGVELDNILRMKCVTGIVNGMDTHEWNPATDKYISANYDITTVMHAKALLKEALQAAVGLPVDRNIPLIGFIGRLEEQKGSDILVAAIPKLIHMNVQIVILGTGKRKFEKQIEQLEVMYPDKARGVAKFNVPLAHMIIAGADFMLLPSRFEPCGLIQLHAMRYGAVPICASTGGLVDTVKDGYTGFHMGAFSVECDAVDPADILKIVVTVARALASYGTLALKEMIRNCMSQELSWKGPAKKWETVLVSLGVAGSEAGVDGDEIAPLAKENVATP >CDP12458 pep chromosome:AUK_PRJEB4211_v1:8:15280148:15280399:1 gene:GSCOC_T00036037001 transcript:CDP12458 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGRNRGRVGVIKNREKHKGSFETIHVQDATGHKFATRLGNVFIIGKGAKPWVSLPKGKGIKLSVIEEQRKRIAAQAAITA >CDP12991 pep chromosome:AUK_PRJEB4211_v1:8:2904724:2906880:-1 gene:GSCOC_T00037715001 transcript:CDP12991 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLSAVVDVHHCNISYPSDKNQPPHFTASPLRISTKRFTIFCTSSKSPPKTAPGKAESKKRKTPSLSEQLKPLSNTILSDQPNESHQLLSKPKSIWVNPTKPKPSVLSLQRQKRSSYSYNPQIRDLKHFAKKLNDCHPADDAAFQAILEEIPHPPNRDNALLVLNSLRSWQKTLLFFNWIKTLNLFPLETIFYNVTMKSLRFGRQFQHMENLANEMIENGVELDNITYSTIITCAKRCNLFDKAVQWFERMYKTGLMPDEVTYSAVLDVYAKLRKVEEVMSLYERGRASGWKPDPVAFAVLGKVFGEAGDYDGIRYVLEEMKSVGVQPNLVVYNTLLEAMGKAGKPGLARSLFEEMLDSGITPNEKTLTALIRIYGKARWAKDALELWDRMRLNGWPVDFILYNSLLSMCADLGLEEEAESLFEDMKGSESRKPDSWSYTAMLNIYGSGGNADKAMRMFEEMSDKGVELNVMGCTCLIQCLGRAKRIDDLVQVFESSVDGGGIKPDDRLCGCLLSVVSYCEGEDVNKVLACLHKANQNLVAFIKSLEEEDTKFENVKQEFKGILSNTAVDARRPFCNCLIDICRNRNLRERAHELLYLGTMYGLYPGLHTRTEEEWRLNVRSLSVGAAHTALEEWMQTLTKLVQRREALPEVLSASTGAGSHKYSQGLANAFASHAEKLAAPFKLREDKAGGLFVATREDVISWVQSRAASLASIA >CDP09848 pep chromosome:AUK_PRJEB4211_v1:8:28732925:28735804:1 gene:GSCOC_T00030322001 transcript:CDP09848 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPFHISLSPRHFKKFTLPTVPILRHTHSHSKRIRRTYSMKTSTESPSSSRQLTHIINLPRQLGQPVDVVAAPGVSDSDFRNAIESSLFKQWLKNIQTETGLLANGGMLLKQVLIQGVDMFGKRVGFLKFKADIFDKETGNKVPGIVFARGPAVAVLVLLVSEGETYAVLTEQVRVPVGRLILELPAGMLDDDKGDFLGTAVREVQEEIGIHLNLDDVIDLTALLGPSTGCRAFPSPGGCDEEISLFLYRGNVSKEVITQLQGKQTGLRDHGELIKVHVVPYQKLWRATADAKALMAIALYEMAQREGLLPPLKI >CDP09947 pep chromosome:AUK_PRJEB4211_v1:8:27903381:27905585:1 gene:GSCOC_T00030454001 transcript:CDP09947 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYIDQTIQPLPWIGLYAAAASLICTLSMAADAVLAVRSKKFWFPCRFFSLNAMTLTLLGIGTKLTVDLNTPMEGTRDQLSKLSSTIFMTSVMGNSLTSLGAMDDQEMLMSLMALVILVVTAIVNICIEIRINVADYSLRTEYILATTVMFIMLLMFVSSALSVPIVKEHIKAQYQEMDQTSLNDENGQNGTFSAENVKSVIRRYWVMAATGNPQFVMARSATSTTCGAICLLSALTLGEAIIRISNLYGLHSSSDYHWSTTCILVVQSVGIAVGTIAPTFRWFTAAILKCLEKRRKGNKFELKIETYWTQQLEYWQESRIPFSIRGIKGRKLVYNTRYIILSLCIKVQIMIVGAGKFIQLLSASLLSFFQPSLSRNKILRVESEFDSSASTDQRHQDPKHGEEQNLSPYILLLEGEIELPRQTLKIVFCEVDELILKGKKQQPKYLLELLGKSQGFHGVADFDNEQVPSLCSKDPPNCWTLPVVTLASIAIALPNIQNDKADQLLRSVSEGLRFANMIVKHQNNRKEFINICNAADLVWVGLELYHKWLEEDINSLEGKTSPEVLEGLAKVAERNLIELKASKHGKLPDNPLQWPLKVIAANSLYRISKTMLLYYQGGNDLTDEQLFAKICIMIADIFLACLASLPHIISMKCHSDAVEKRERSIRQAAILLGESEKILEILHEQCELSGFTPDPAADIYQWRLLVRQRNLFASNSLSNDNATHRQAGEDDV >CDP07150 pep chromosome:AUK_PRJEB4211_v1:8:9395022:9410389:1 gene:GSCOC_T00024281001 transcript:CDP07150 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRFFHRRPPDGLLEFVDRVYVFDSCFTTEVLPEDMYQLYLHEIVNELHEGFPESSFLAFNFREGEKRSQFAEILCEYDVTVMDYPRQYEGCPLLPLSLVHHFLRVCEHWLLVGNQQNVILLHCERGGWALLAFILASFLVFRKLHSGERKTLEMVYREGPKGLLQLLSPLNPFPSQLRYLQYLSRQNISPEWPPPERALSLDCLILRSIPRFDNQKGCRPIIRIFGRNHLSKDGLSTQMLYSTSKKKRNLRHYCQKDSDVIKIDIQCLVHGDVVLECVHFDLEPEREVMMFRIMFNTAFIRSNILVLNCENLDILWDSKARYPKGFRAEVLFGDVESISHPKPPTTILDGEETGGLPIEAFSRVQELFSGVEWADTGDDAALWLLKQLSVLNDVKDLSLFRSRMNGYSSPLDSEDENNASSIADSLDFLDSEKANDRGYGGAAEVNFSDDPLSHDYMSDEASDRKPAENSLEPSTREAVDPIHLPSQVDTIDPQSSPPPPPPPPPPPPFGTSSNRKTSSLSQPPPPPPPPPPMVSRKVISPSLAPPSPPPLPPNHSGKGPPPPPPPPPLSSFPSSSIKTTPPPPLPLISSSTGPPVPAPPPPPPLPPSNKIAKSLPPPPPPPPPPPPKATSSISSQTSLASPPTPPPPPPSISSKGPPPPPPPPPPISSKGPPPPPPPPPPPPPISSTSRSASFSPAPAPPPPPPAPSFGNANKPKTAPPPPPPPAPSSLGNAGKLPALPPTPPPGAPPPPPQVPKPPGAPPPPLGRGAAPAPPPPPGGKSSSAAPPPPPAAGRGRTLSGTGHGRGRVSTGSSIPPKKASLKPLHWVKVTRAMQGSLWADSQKQENQSRAPEIDITELESLFSVASALDGNKGGGRRGSKINKPEKVQLVDLRRAYNCEIMLTKVKIPLPDMLNAILALDSSALDIDQVENLIKFCPTKEEMETLKNYNGDKEMLGKCEQFFLELMKVPRVESKLRVFSFTITFSSQVNDLRSNLNTINDATKEVKESAKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRAINNKMTLMHYLCKLLAEKMPELLDFDKDLVHLEVASKIQLKSLAEEMQAVSKGLEKVEQELTASENDGAISLGFQKVLKNFLHTAEAEVRSLITLYSEVGRNADSLSQYFGEDPARCPFEQVTQILVVFTKMFKKARDENEHQAEAEKKKMVKEALKEQVANSSAKKESVDADRPKFLKEIKDRFHN >CDP12470 pep chromosome:AUK_PRJEB4211_v1:8:15753541:15754608:-1 gene:GSCOC_T00036053001 transcript:CDP12470 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRDLETVRQYSWGSAVLTTLYRSLCAATSPLRSSIAGPLVLLQLWAWERIPTMRPDRVQPLEHYPGPYGARWNVQLDLHRVARHVVSIFRDQLTGLRDLQFIWQPYSEDVLASLPAYCTAGRAIWRSVTYLICWGVVEPHLPYRVMRQFGYHQSVPDMRLTENQAALHSLDRRGKGNQNWITTHGAYIDVWTDRHSHVEDGVVAEDPRYPSDEYRQWYRERTVLYVSNPTRQLTFPEGFQGDSARVQYLVSFSYNL >CDP12237 pep chromosome:AUK_PRJEB4211_v1:8:31281604:31287954:1 gene:GSCOC_T00035663001 transcript:CDP12237 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPTRYVAMLFLLMLLGSLLGSNVASAHIGIQPLSKIAIQKTTLALTDSAAIKASPIVLGLKGEDSEWVTVRFKNSAPSRYDWIGVFSPANFNGSICSSENPKEATPYLCTSPIKYQFANFSSSSYIKTGEASIKFQLINQRADFSFALFSGGLSNPSLLAVSNHITFANPKAPVYPRLAQGKSWNEMTVTWTSGYNIDEAIPFVEWGLKRQNQRRSPAGTLTFQQNSMCGSPARTVGWRDPGFIHTSFLKDLWPNTLYSYKMGHLLPNGSYVWSKVYSFRSSPYPGQNSLQRVIIFGDMGKGERDGSNEYNNYQPGSLNTTDQLIKDLNNIDIVFHIGDITYANGYISQWDQFTSQIEPIGSRVPYMIASGNHERDWPGTGSFYNGMDSGGECGVLAQNMFFVPAENREKFWYSTDFGMFHFCIADSEHDWREGSEQYRFIEKCLASVDRQKQPWLIFAAHRVLGYSSDKYYGIEGSFEEPMGRESLQKLWQKYKVDIAFYGHVHNYERTCPVYQNQCVKSGRSHYSGVVNGTIHVVVGGGGAHLSEFSTVNTSWSLYKDYDWGFIKLTSSNHSLLFEYKKSRDGKVYDSFTISRDYTDVLACVHDGCEATTLAY >CDP09742 pep chromosome:AUK_PRJEB4211_v1:8:29564157:29572767:1 gene:GSCOC_T00030195001 transcript:CDP09742 gene_biotype:protein_coding transcript_biotype:protein_coding MGCECSKPSCCWTAEQYGPGHEALNAENEEKSEVSDLPVFREFTIEQLKIATSGFAVENIVSEHGEKAPNVVYRGKLENQRRIAVKRFNRSAWPDSRQFLEEARAVGQLRNHRLANLLGCCYEGDERLLIAEFMPNETLAKHLFHWEAQPMKWAMRLRVALFVAQALEYCTSKGRALYHDLNAYRIVFDDDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRITPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSSDDGTELVRLASRCLQYEPRERPNPKSLVASLIPLQKEIEVPSHVLMGIPHGGEAMSLSPLGEACQRMDLTAMHEILEKLGYKDDEGAATELSFQMWTNQMQETLNSKKKGDVAFRHKELMAAIECYTQFVEVGTMVSPTVFARRSLSYLMSDMPQEALNDAVQAQVISPVWHIASYLQAAALFALDKENEAQIALKEGSVLEEKRNMTSLR >CDP08236 pep chromosome:AUK_PRJEB4211_v1:8:25219606:25223815:-1 gene:GSCOC_T00027001001 transcript:CDP08236 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIEGQNSVTTTAAAAGGGGLYTLPPARFSSEDILFCIDVDPECLVEMKVTGPNGRPFTRLDTIKQGILLFIHSKLAINPDHRFAFTVLGKSVSWLRKEFSGEVDAALSAFRGLSADSSSSGQADLTQLFRIAAHEAKKSRAQNRILRVILMYCRSSVPPQHQWLATQKVFTLDVIYLHDKPGPDNCPQKVYDSLVEALELVTEYEGYIYESGQGLTRILLRHMCILLSHPQQRCVQDDVDVPKSLTKKSPTTESASVDESAPVSSQ >CDP14954 pep chromosome:AUK_PRJEB4211_v1:8:9136290:9139230:1 gene:GSCOC_T00042459001 transcript:CDP14954 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKKPSRPATSATNNTADEELHGAARSGDLEAVQRICSTNPLAINSRDKHSRTPLHLAAWSGQTKVVEYLCKKADVGAAAMDDMGAIHFAAQKGHTEVVRILFNSGVPIKSHNRKGMTALHYAAQGSHLELVKYLIKKGATIDAKNKAGKTPVDLAGSEEIRAFLVQCQTTSSKEVLNGKGKISEGRPNSSLEENAGTAEIEVPDTGEEPDDERDESFKRKSDEDTAKDILPQTKKPKVALNHLLAADDTQEDDE >CDP08323 pep chromosome:AUK_PRJEB4211_v1:8:25994007:26001026:1 gene:GSCOC_T00027122001 transcript:CDP08323 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFHAYFSALLPKACQVVVRSAVCGFSSPSWKRSFQYVGIRAANLEHLLTRIRCQCYSSKSSTTKKKKSKKKSAMKEDREAFFVVRKGDVVGVYKSLSDCQAQVGSSICDPPVSVYKGHAMPKDAEKYLQSCGLKNALYSFKAEDFTEGLFGPLSPCHSYQLPSSSRGETSSELVSKKRPHEAIWSGSGRSCTLEFDGASKGNPGQAGAGVILRADDGSLSCRLREGLGIVTCNAAEYRAIILGLRYALDKGFSSIRVRGDSKLVCMQIQGLWKVKNQNIAILYDEAKKLKDKFMSFQIFHVLRDSNSEADEQANLAVELAEGQIQEEIDK >CDP09994 pep chromosome:AUK_PRJEB4211_v1:8:27479735:27480689:1 gene:GSCOC_T00030519001 transcript:CDP09994 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGNLVDLLLFYTLERVLFNRIVGPMRKNPQLVKMAMAFWLLLEEIGYHDLTRKIHSSDNCTIDAIFNETLSCLDRIQPGGNEPTGANDSPVFVGILDEPPSQRFFYYNSKFMFRRFTHIMETVCNRIFGENAAIEVDGNVILRPMVRPFADGGSTSNSGQVAACGRMRPSSTLNPNASEFVPRQTNEDSRTMFLTFSKGYPLTRDEVINFFTSNWGGVVEDVVVEQAAGRDPPLYGRVIFTRHSIIDTILNGQSKAKFMVGRKHLWARVYVPRRR >CDP18547 pep chromosome:AUK_PRJEB4211_v1:8:4233661:4241450:-1 gene:GSCOC_T00010789001 transcript:CDP18547 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKKKEKTARSREEKLRDEMVEGKACMSREAKLEFLKRKRLQRMKTETVNDFTCVSNMMSRSGGDALRGSAPCGVRIHGNMDNFSQPGATSNVKDVFTKRKVAKFDTTDLDWIDKIPECPVYCPNKEEFEDPLVYLQRIAPEASKYGICKIVCPVSADVPAGVVLMKEKAGFKFTTRVQPLRLAEWDTDDKVTFFMSGRNYTFRDFEKMANKVFARRYCSAGCLPATYLEKEFWHEIACGKTESVEYACDVDGSAFSSSSSDQLGKSKWNLKKLSRLPKSVLRLLQTAIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAAKTWYGIPGHAALDFEKVVREHVYTRDILSADGEDGAFDVLLGKTTLFPPSILLQHNVPVYKAVQKPGEYIVTFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGSIASRRYALLNRMPLLPHEELLCKEALILGMRSEVEDPEYSSADSIAQRNIKVSFVNLMRFQHQARWCLMKSRACWGVSSFSHGTILCSVCKRDCYVAYLNCNCTSHPVCLRHDPKLLDFPCGGIQTLFLREDIFDMEAAAKKFEQEDSILDEQLSRHSDDLILLYNIFPWAQSNGYIPYCDIDLESNLAFPNIENPSEEPMSSSPCYPSMCDVENLKTEKLDTSTISSFVELADSITKIDHVHESHLRNPASIKSSTEALVGFGDSESLMSDEHAGGHLRENQRKDVRPTEDNESDESDSEIFRVKRRSSVKIEQRYGLDPLSISFDHQGFKRLKKCQSEASNGQLIASEPSVADIPSYTSRPKSNNFKEVADNGLRDRFANRNTGTLSIKIKKVGNDEMMSKNGEHQRSDRCQHDLGRTVREPQPPLEMGPKRLKVKGPSFIGLDNRLD >CDP08326 pep chromosome:AUK_PRJEB4211_v1:8:26013865:26017530:1 gene:GSCOC_T00027126001 transcript:CDP08326 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGWLGQLGTPWIQRRTVSIFSTKNGDIATNAYDLDQGDVNIIADLGLDVYRFSIAWSRILPIKSSQGTHTRTHAHKTYAHQSFSVKWINLELTTFHWDVPQALQSLYGGFLSPRVGGLIIETILFKNFGDRVKFWITLNEPLSLSESGHTLPYLAPGRCTIWGNVDRTGGDEGIEPYLVTHLQLLSHAAAVDLYRQKYQELQGGIIGITLNSQWFLPFRDGNEDDLKAAEIQLDFTFMRPVTFGDYPDTMKERVGERLPSFTPVEGRKLERSYDFLGLNYYTGSFTHFLDEGYVPPYPSYVTDSGVNFHSLNSRIFFFFLYIYDLDEGNPFGRSWTGKLCNYHIAGVFWLQVYPPGIGDLLNYTKVAYDNPTIYITENGNQPITHICSESINDTVRIDYTEDHLCCVLQAVDEYDVTISGYITWALTDNFEWAYGYTNRFGLYYVDYDSLTRIPKSFSRVVQRSDQQGS >CDP09828 pep chromosome:AUK_PRJEB4211_v1:8:28865308:28871307:1 gene:GSCOC_T00030300001 transcript:CDP09828 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQGLGMMAGSGSGGLGGLGDVSVAIPAGDYQNRQLKAEIATHPLYEQLLSAHVACLRVATPIDHLPLIDAQLSQSHHILRSYASQQQHLSHSLSPHERQDLDNFLGQYLLVLCSFKEQLQQHVRVHAVEAVMACREIEQNLQALTGINIGEGSGATMSDDEDELQMDFSLDQSGADGHDMMGFGPLLPTESERSLMERVRQELKLELKQGFRSRIEDVREEILRKRRAGKLPGDTTSVLKNWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSSSQSVTSLKSKRKR >CDP18432 pep chromosome:AUK_PRJEB4211_v1:8:22170405:22171784:1 gene:GSCOC_T00007248001 transcript:CDP18432 gene_biotype:protein_coding transcript_biotype:protein_coding MENPLENTNQIRNRGQRFNVVQKVKNNLLFRSKWAEINGAMGDLGTYIPIILALTLAKDLNLGTTLIFTGVYNFVTGAIYGVPMPVQPMKSIAAVAISDADFNIPEVMASGICTAGILLVLGVTGLMQLVYKLIPISIVRGIQLAQGLSFAMTAVKYIRKVQNLSKSKSGADRHWVGLDGLLLAIICACFIIVVNGAGDDNEYAAETESGANDASGSGNNRRKRLRKITTSLPSAFIIFLLGVVLAISRGPKAVKGFKFGPSPIEVVKMSKHAWKEGFIKGTIPQLPLSILNSVIAVCKLSTDLFPEKDISATSVSITVGMMNLIGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVALLGLAKLVLGLVLGSSLVKILDQFPVGVLGVLLLFAGIELAICSRDMNSKEDAFVMLICTAVSLVGSSAALGFLCGIVVYLLLRLRKLHGGQSSSAICFHGNP >CDP09758 pep chromosome:AUK_PRJEB4211_v1:8:29452727:29458298:1 gene:GSCOC_T00030213001 transcript:CDP09758 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFLSLACIRNESRDLSPRPHYPSMPRYPKGVSSDEEKNMQGSESKALFSVIGMNCSACAGSVEKAIKRLPGIKEAVVDVLNNKAQVMFYPSFVNEETIRETIEDVGFQATLIEEDANEKSTQVCRISIRGMTCTSCSSTVESALQVIPGVLKARVALATEEAEVHFDPKILSCNDLLQAIEDTGFEAVLVSTGEDRNKIQLKVDGIRSENSMRIIVNSLQALPGVEDINIESELQKLSLSYKADVTGPRNFMKVIESTGSGRYKAKLYPEGGGRDAHKKEEIQQYYKSFLWSLVFTIPVFLTSMVFMYIPGLKHVLDTKVVNMLTVGEVLRWALSTPVQFIIGRRFYTGSYKALRHGSANMDVLIALGTNTAYFYSVYSVVRAASSPNFESTDFFETSSMLISFILLGKYLEVLAKGKTSDAIAKLMDLAPETAILLTLDHEGSVINEEEIDSRLIQKNDVIKIIPGAKVACDGFVIWGQSHVNESMITGESRPAAKRKDDVVIGGTVNENGVLHIKATKVGSESALSQIVRLVESAQMAKAPVQKFADRISKFFVPLVIVLSFSTWLAWFLAGKFSGYPKSWIPSTMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGHALESAHKVNCIVFDKTGTLTKGKPVVVSTRLLKNMVLREFCELVAAAEVNSEHPLAKAIVEYAKKFRGEDETNIWPEVKDFESITGHGVKAVVRNREVIVGNKSLMLNNNIGISLDAEEILSETESSAQTAILVSIDHELVGVLAISDPLKPGAQEVISILNSMRIKTILVTGDNWGTAHAVAKEVGIDTVIAEAKPEHKAEKVKELQASNSVVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRSTFRRIRWNYIWALGYNLLGIPIAAGALFPSTGFRLPPWIAGAAMAASSVSVVCCSLLLKNYRRPKKLDTLEMRGITVE >CDP12467 pep chromosome:AUK_PRJEB4211_v1:8:15654675:15655490:1 gene:GSCOC_T00036050001 transcript:CDP12467 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKISTSLIFLSCLLLASSVTTAAFNITAILGDIPSFSNFNDLLSKAGLVKAINSQSPVTILAIPNEHIGDLAGKPADVIKITLMTHVVLDYYDLLKLANAKNGTRMTTLFQKTGVATYDQGFLILNRSHGQLIFSSAAKGAPHNVQVVATVTQHPFNYSIISVSSAIMTPGVDGTSLQEPPKAAGAPAPAKKVSPPPASEAPEAPTPSDDATAPSPDAPSDAPKSDAPASQAPAADADDDKNKNASFKHLASSTLGLVAVLASFLAAY >CDP13481 pep chromosome:AUK_PRJEB4211_v1:8:1007993:1010770:1 gene:GSCOC_T00038438001 transcript:CDP13481 gene_biotype:protein_coding transcript_biotype:protein_coding MVASCLSIVTLYGGFLHRCISAAGLASQATNIDDQTTMHFWGPTPKTFSPTKPSLILIHGFGPHGVWQWRQQISYFAHKYNLYVPDLVFFGDSATKSPERSEVFQAVCVGKMLERIGVDRYSVVGTSYGGFVAYHLASMWAERVEKVVIASSGVNLRRKDNEELLKRAKHERIEDLMLPANSAQLRTLLSLSVFRRPYTPDFLLNDFLEKLYSENRKEKMELLKGLTLGRDDKVNITPLKQEVLVVWGDHDQIFLLEKAYELKKCLGEKARLEIINKTSHVPQLERARQFNKIINNFLCGLS >CDP19031 pep chromosome:AUK_PRJEB4211_v1:8:21826855:21831263:1 gene:GSCOC_T00013079001 transcript:CDP19031 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNLVPISSPRPPDFSTTTGKHPKFSTFSPRRKPSSISLATSSPESSPEILEKPEIELEFIGPKAGADGKFPVEKAKAISGAKLLRNIMLDNKIELYATYGKLMNCGGGGSCGTCIVEIVDGKDLLSERTNTEFRYLKKKPESWRLACQTIVGNKENSGKVVVQRLPQRRK >CDP12116 pep chromosome:AUK_PRJEB4211_v1:8:30383248:30386435:1 gene:GSCOC_T00035506001 transcript:CDP12116 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSAADDDNRATVEVTKYKNGIQQVLLQNPRGASARVSLHGGQVLSWKTDRGEELLFISSKANFKPPAPVRGGIPICFPQFGNRGSLEQHGFARNRMWLIDENPPPLHSSDSNGKAYIDLLLKPSEEDPRIWPHSFEFRLRVALDFDGHLIFTSRVRNINSKPFSFSIAYRTYFSISDISEVRVEGLETLDYLDNLCQRERFTEQGDALTFESEVDRVYLSSSDVIAVFDHQKKRTFLIRKEGLPDVVVWNPWEKKSKAIVDLGDEEYKQMLCVDGAAIEKPVALKPGEEWTGRLDLSVVASI >CDP14946 pep chromosome:AUK_PRJEB4211_v1:8:9188150:9189911:-1 gene:GSCOC_T00042450001 transcript:CDP14946 gene_biotype:protein_coding transcript_biotype:protein_coding MKESNLPVHSTFEFPFPLPTWPPGGGFARGYIDLGGLHVYQITTFKKIWGVYQGGPKDLGATFYEPSEIPDGFFMLGSYSQPNDQPLFGSLLVGKDVANATGQPETLKSPIDYTLVWSSELSKIRQSGPGYIWYPIPPDGYEAVGYVVTSTSEKPSLDKIRCVRSDFTDDCEIEKWIWGGSSSISADGFSAYSLRPSARGTLDQGVPVGSFIFMKYWDADALTSVSCLKNNNFSSFSIESMPNLRQIHALFQTYSPLIYFHPQETYLPSSVNWFFSNGALLYTEGQESTPSPIKFQGENLPQGGLDDGRYWLDLPADETSKEKLKKGNFPSSEAYLHVKSMFGGTYSDIVIWIFCPFNGPGMLKFGPIDISLSKLGEHVGDWEHMTLRISNFNGMLQKVFFSQHSSGTWIDASFLEFQNGSNKFVAYSSLHGHAFYSKPGLDLQGAAGFGIRNDMAKSNLILDTGDRYVIVSADYLSPPIVQPPWLHYAREWGTRVTYSLGLLVKFVENILIGVYKSIFQSLVNLFPNELFGEEGPIGPKMKRNWKKDEL >CDP07876 pep chromosome:AUK_PRJEB4211_v1:8:19405322:19405444:1 gene:GSCOC_T00025358001 transcript:CDP07876 gene_biotype:protein_coding transcript_biotype:protein_coding METENGDGQSRLEEYEVMEQTGRGAFGAAFLVLHKIEKKK >CDP11718 pep chromosome:AUK_PRJEB4211_v1:8:5361118:5363002:1 gene:GSCOC_T00034187001 transcript:CDP11718 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDYLKILWRKLEFQRTVLEMGYSIIFTDADIVWFRDPFRRFYSDADFQIACDQFGFNSTDLNNSPNSGFIYVGSNNMTIQFYKFWCKSREAYPSIHDQDVLNKIKFDPFISKIGLKIRFLDTAYFGGICEPSKDLNVVCTMHANCCTKLDGKIHALKVMIDAWRKYMAKPGNHTKPQPWTVPISCWG >CDP13451 pep chromosome:AUK_PRJEB4211_v1:8:1276683:1278420:-1 gene:GSCOC_T00038403001 transcript:CDP13451 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKKKFRKLAAIEAELQKQREVRKLYKMQLERTRDYLRNCLQIAQDNGFLDIFTGNIRDKPQESQSLLPPHAINSLVSSPRGPQSTAQPHPHTSLAALIAQAKLLGWYIEPDELELQEVVAQGTTADVYRGTWRGLDVAVKCIFPNLFETNEHMLGFFVQEVETLSRQRHPFVLQLMGACLEPPSHGWIVTEFLSTTLKIWLHGPGSRRKERMVPLPPLEDRLQMALEIAQAMQYLHEQKPAKVIHRDLKPSNIFLDDDMHVRIADFGHARFDFSGTYVYMAPEVVRCGPYDEKSDVYSYGVILNELITGEHPYIETDYGPSRIAMEVANNGLRPALPKHDAEKLKELLELIRNSWDEDAAIRPSFATITCILRSIRKRLADAQ >CDP14976 pep chromosome:AUK_PRJEB4211_v1:8:8155994:8158262:1 gene:GSCOC_T00042495001 transcript:CDP14976 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPYRTQDLKHFSHEEHPLILCELQKENDDGSIDQKSAVCYGCQEQILDPAAYCCFACNFLLHKRCAKLPRQITHPMHSQHPLVLLGKPPYSSGSCICDACGQGGWKFFTYHCSRCEFDLDVSCAILEIKLHCHDHPLRQLKKPAFFLGDACGKLDEDSSYLCTVCPFWIHKKCALRPTSVKHKDHNHLLRLAYSLPSEHRSFRQYCPVCRDKVQLSRWVYYCGPCRYFVHVACVVISQEDEGQLSEDIEYPISGEQDQNVVKLPSNNAAQELIARFLLKEDEISSSNDSDKSNIPENIFMDSHRKHPLVLSEKVQNLDEIKSTTSDDQEEAKALLVCDVCIEPICSSDDLHYYACVKCGYFVHLTCSNLPPELHLPKHPQHPFSLTCKSSAVGGFICEACPWWTNASFYNCEPCELSFCIKCASASMITSSVRHDGHKKHLLTQLQSSDLIRCTACGCVRKGSFAFVCEDCHFYVCFNCALLPPATTQRWDKHPLLLIYPPYYEHPEVFYCVLCEIEINPNHWMYHCRECDYSLHPWCIPQVNLFRRVKFGRSLNVNNHSHPLTHVPEAKYKSFCGSCNWRLDWEEAFECDSCRFDLCPFCARRRELPVVTINE >CDP07168 pep chromosome:AUK_PRJEB4211_v1:8:9889537:9892512:-1 gene:GSCOC_T00024319001 transcript:CDP07168 gene_biotype:protein_coding transcript_biotype:protein_coding MGLINRPTFVIAILASIIPSQAMTSGIFFIIQQSLLLGCFPRVKTVHTSTMYGGQVYIPEINFLLMISCVVVTGAFRTIAETGNAYVQLEPTVVHVYGRGISGLCYDLKIVRYFCDLMRAGHWFGGGSLPKLHLLQVRSRKLLAISFCNGPNVNNAHLEQCVQKGHLRVKAQGFSAEAQLDNKRGIEVVHFWTRIIKRINTLIIIIKNK >CDP08185 pep chromosome:AUK_PRJEB4211_v1:8:24680930:24690209:1 gene:GSCOC_T00026940001 transcript:CDP08185 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFNANTPHFLRKAFGCLIGRRERKISGVMESTLKEMRNGESVLDMTDERGVGGGVGDIYGEDRATEDQLLTPWSFTIASGHSLLRDPRYNKGLAFTEAERDAHYLRGLLPPVILSQEHQEKRLMHTLRQYQVPLHRYMAMMDLQERNERLFYKLLIDHVEELLPVVYTPVVGEACQKYGSIFRRPQGLYISLKEKGKIFEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSVCLPVTIDVGTNNKHLLEDEFYIGLRQRRATGQEYAELLDEFMSAVKQNYGEKVLVQFEDFANHNAFELLKKYGTTHLVFNDDIQGTASVVLAGLVAALRLVGGALADHTFLFLGAGEAGTGIAELIALEVSKKTKAPVEEARKKIWLVDSKGLIVSSRKESIQHFKQPWAHEHEPIKELVDAVKAIKPSVLIGTSGVGKTFTKEVVEAMAALNEKPLILALSNPTSQSECTAEEAYTWTEGRAIFASGSPFDPVKYDGKVFIPGQANNAYIFPGFGLGIIMSGTIRVHDDLLLAASEALAGQVTEENYEKGLIYPPFSNIRKISAHIAANVAAKAYELGLATRLPRPQDLVKYAESCMYTPVYRQYR >CDP08242 pep chromosome:AUK_PRJEB4211_v1:8:25277205:25281537:1 gene:GSCOC_T00027010001 transcript:CDP08242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NDL2 [Source:Projected from Arabidopsis thaliana (AT5G11790) UniProtKB/Swiss-Prot;Acc:Q9ASU8] MGDSSGDSVSIDMEDAMSFARKEHLVKTGRGCVSVSVVGDPDKPALITYPDLALNYMSCFQGLFYCQEASSMLFHNFCIYHISPPGHELGAVAINPDEPVLSVDDLADQIVDVLDYFGLGIVMCMGVSAGAYVLTLFAMKYTKRVLGLILVSPICKGPSWTEWLCNKVMSNLLYFCGMCSVVKELLLIRYFSKGVRGSAEVPESDVVQSCRRFLGERQSPNVLRFLEAMNERPDITDGLRKLRCRSLIFVGENSPCHSEALHMTSKLDRRFSALVEVQACGSIVTEEQPDAMVIPLEYFLMGYGFYRPSPFNVSPRSPLSPTSISPELFSPESMGLKLKPIKTKISVEV >CDP12024 pep chromosome:AUK_PRJEB4211_v1:8:29616098:29617300:-1 gene:GSCOC_T00035380001 transcript:CDP12024 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWSKLQHDLLELIAQQHLTKLEDYVAFGAVCTSWRAAAAKRKNFKGLQLWQQIPCLMLAESEEENDNHREFYSLIEKEIVAKISLPKLKGKKCYESLGWLLAVGQEGDMTLLNPFSDVEIPLPPGTTFPDNDWLNTDPEIFVEIFVLSARPSETSDFVVMLVCGSVGYLAFWRQGDQNWTKIETHNAAYSAVNYHNGQFYAIDCTGTLVACDVSGPNPTKARMLTQLVLDFKHLYIVLESSSSDKESLLVVTRDNYSHYADDDDQPNYGTTEFKLYEVDLSGGTWKEIHSLGNKAVFVGHSASTSLEVSSNKFSLPGIQPNHIYFTDDCWEAYKSIQEVTGGKDMGVYNLETGTIQPFYQGPRRLSNISPSIWITPKF >CDP07849 pep chromosome:AUK_PRJEB4211_v1:8:18738717:18739019:-1 gene:GSCOC_T00025307001 transcript:CDP07849 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEDWRNNADTQKMSSEDVRKAGADNSKRPPGHNPGGVLHQRRNLPYSPTTMALIGFTIAGSIFYFTLYAKKKPEASARDVARVSTNTADPHDTGPRK >CDP09886 pep chromosome:AUK_PRJEB4211_v1:8:28414369:28418257:-1 gene:GSCOC_T00030367001 transcript:CDP09886 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLKARSVIRFKGPDTVKFLQGLVTNDVRRLSEPSTDNRSFGAVGTPNFPAVAVPPVYAALLTAQGRFMHDMFLYRPPQPDEKLNSTGSGPGPIPEEFELFADVDASSLDELLEVLKKYRLRSKVDIDSVAEDFSCWQRFGWNLSEKSSSAEEPEAASVGWGGSVDHAGVASSGGNKCGWEWYKDPRLDCLGFRGIFPSNETAPLVEADKEADEKHYLLWRLEKGVAEGPSEIPKGEAIPLEYNLAGLNGISFDKGCYIGQELIARTHHRGVIRKRLLPLRFLDDQGREVGEKVVPQSEVIDVASRKKVGTVTTALGSRGLGLLRLEEAFKGAGFLAIQGQDGVRVKSNRPEWWPAEWYLDHQQSAAA >CDP12455 pep chromosome:AUK_PRJEB4211_v1:8:15213138:15213928:1 gene:GSCOC_T00036031001 transcript:CDP12455 gene_biotype:protein_coding transcript_biotype:protein_coding MENGMAAQKAHRGHCLILPYPLQGHVNPLLQFSKRLQFKGVRITIAATISFFNRIKDATSLFPLGMISDGFDEGGANQAESIEAYLKKFQQVGSETLGELLEKLRDSGSPIDCVVYDAVLPWALDVAKKNGVSGAAFFTQSCAVDNIYYHVYKGIVKVPVEETRIAIPGLPDLEPADLPSFVTKPETYPAVVEMIRNQFIDIDTADWVLFNTFYKLEEEVCNNIS >CDP08231 pep chromosome:AUK_PRJEB4211_v1:8:25193270:25198702:-1 gene:GSCOC_T00026996001 transcript:CDP08231 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYATAALLLLALSIIAVAEARDNFLKLPSEIADFFHPKERSDAGGDSVGTRWAVLIAGSNGYWNYRHQADVCHAYQILKRGGLKDENIVVFMYDDIAYNEENPRPGVIINSPHGADVYQGVPKDYTGDDVNAKNFLAAILGDKTAITGGSGKVVDSGPNDHIFIYYTDHGGPGVLGTPSGPYLYADDLNEVLKKKHASGTYKSLVFYLEACESGSIFEGLLPEDLNIYATTASNAEESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSEIHNLHTETLKQQYHLVKKRTSSSNSAYGSHVMQYGDLKLSLEDLFLYMGTNPANDNYTFVDENSLRPSSKAVNQRDADLLHFWDKFRKAPEGSARKVEAQKQVVEAMSHRMHIDNSVKLIGKLLFGIEKGSEILNSVRPAGHPLADDWDCLKSLVRTFETHCGSLSQYGMKHMRSIANICNAGIKKDQMAEAAAQACVSLPSNSWSSLHRGFSA >CDP13045 pep chromosome:AUK_PRJEB4211_v1:8:3611501:3619228:-1 gene:GSCOC_T00037799001 transcript:CDP13045 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLRSFNPNKQLKEQFVSNLTGSSMLELFVVITTFSCLVLLRRTFGCDIMVGHNATEASMKKNDEVVGYKSLRAFVAVMVVDFFFILAPFTLFLTVLSEWIYLIATSLILLLLISFSARRFRSSSFQESGVFSFRTNISSYRVAMMLATCLCILAVDFKIFPRKYAKTETYGTGLMDLGVGSFVLVNSLVSQQARGNSIVTLRSTIRSCSPLILLGFARLFFTSGVDYQVHVGEYGVHWNFFFTLAGVSILTSVINVPSRYCGLLGFFVLVGYQFFLSHGLNDYLLSDKRGADIISQNKEGIFSMIGYWGIYLVGVQLGSLLLFGNHGEVMRSANKGGSIRVWVLCIFFWLLTLYLDWNVERVSRRMCNLAYVTLVLALNLQVLAILTLSDYIPGNRISILEEAFNRNLLASFLLANVLTGLVNLSIDTLFVSPATALAILLLYQFTLCAFVGCAYFFGVKLKFW >CDP09877 pep chromosome:AUK_PRJEB4211_v1:8:28487593:28488325:1 gene:GSCOC_T00030357001 transcript:CDP09877 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSPRAAVAILILFAIFLTPKLMLPCEATRPIRELMVQPPICPRCFCCAPPPYPGRCCPCVCSPPGGPIAPVAEPNSP >CDP13030 pep chromosome:AUK_PRJEB4211_v1:8:3391706:3394998:1 gene:GSCOC_T00037773001 transcript:CDP13030 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCLSKETSSTMPKVQASIERVEETDEARKRFPNAKSISSAQFFGDQNKVNDMGTSVSLQKFSGSNAISSADLFGQQCNNSSVDVTAGDPIDWLKLQAQQDFSSFKIMAGETWKKLSSLASTLMSNLQEEMSNLQERILR >CDP12113 pep chromosome:AUK_PRJEB4211_v1:8:30365375:30368476:-1 gene:GSCOC_T00035502001 transcript:CDP12113 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKQKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNSMKEAASKELLRVSQDHHSYKHLLKNLIVQSLLRLKEPSVLLRCRKDDLHLVESVLNSAKEEYAEKAKVHAPEIIVDSIHLPPAPSHHNAHGPSCSGGVVLASRDGKIVCENTLDARLDVVFRQKLPEIRKRLFGQVAA >CDP09773 pep chromosome:AUK_PRJEB4211_v1:8:29327408:29328777:-1 gene:GSCOC_T00030234001 transcript:CDP09773 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEKEDSDDREFYSLSRGRIWRTLSLPEAKGKKCIESRGWLMTIGKSGDVNLLHPFSGVQIELPHLSTFPAHELEVDPCFFVIKAVLSASPSHTSEFVLVVVGGAGRFLGFWRPGDKSWTRMESSPNGAFNDVNFYNGAFNDVNFYNGKCYAITYGGDIWVWDISDPMAAHYGFTIDRELIKFRESYLVESDGELLIVARDGAALEDDDEETYGATNFRVIQLDLIKRGWKEITNVGERAIFVGHNGAFSIDATSFPNVIKPNCIYFTDDAIEAYTFTQQGGGKDMGVYNLEDGGRIERFDGVQSFSLIGPPVWVAPSF >CDP13500 pep chromosome:AUK_PRJEB4211_v1:8:886633:889934:1 gene:GSCOC_T00038459001 transcript:CDP13500 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLILGIILLHQQSFFLVQSFNFSFQSFVPGICDDSESRLICLGSVTATNGTLNLTPDESQQNPQQKNQIARVLFRYPVTAWPASFSTTFVLRILTNLTISGDGIAFVIAQDDKPSPPESFGSYIGILDPSTEGGILRQLAVELDTYKNELEPDNNHVAIVTTSVQYPIASKSLNSTGVYLRSGKDITVKIDYDGWEKDLQVSVAYSGNPLVNVLSQNIVLEDTVPQSTYVGFTASTAYFFETHQILSWNFTYYNLSRKSLKHGVKRNKPKIALSIVVPTLVVSTLVFFYIARKRRNERHQSKKSDMEMLARNAANAPRLFTYKQLFKATRHFNKENLLGAGGFGCVYKGVLLSDPPAIIAVKKINATSGQGWCHDKEQLFLVYEYMPNGSLDRYIGKVSLDWKTRYRILSGLASALLYLHEECGNPVVHRDVKPNNVMLDSEFNAHLGDFGLARLLQNDNFVNTMVAGTPGYLAPEVSYTGRATLESDVYSFGMVVLEVVCGRRSRGMMEENSLVDHVWTTHEKNKLFMCVDPTLEGKFDEEEVRRTILVGLACLHPDRLHRPRMRKVVQIFMNPDEPLMKIADSRPTAVSLPLHSSHSDSTASEFSSNKAPAGGRGSMDSLPDEITVVFDD >CDP07889 pep chromosome:AUK_PRJEB4211_v1:8:19801873:19809275:1 gene:GSCOC_T00025376001 transcript:CDP07889 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFRNFRDQIFFTQAIRTDVAHGSNIKDQNYNSFKQQSIYSFKHSILKLHTNCYCYISRIYRIPKDLMHALPTSLLYSLEGFSDLNWDKVLKLKCHNGSFLTSPASTAFAFIETKDEQCLNFITEVTQNFNGGAPPCYPVDLYARLFAVDRLKRLGISRYFMSEIDECLNHVYRCWTEDGIFSGRGTNFSDIDDTSMGFRLLRLHGYDMSPEVFKNFKKDDKFSCYPGQMIEAATPIFNLYRASQVLFPGEKILEEAREFAYNFLQNWLACGNYLDKWIIAKDIPSEVRYALEVPWYASLPRIETRFYVEQYGGADDVWIAKTLYRMPEISNNVYLELAKGDYNKCQLQHLNQWTDIQQWYKKCNLVDHGISVQFLKHAFFVAMASIFEPERSKERIAWTKSLIFCEMIKFYFNATSFNKKKISEVDQRLLSNLLEFLHQLSTDTAQELGKDIRQQLFEAWESWLMTNTEKFQWGEEAELLVRTINLCAGRITSDHIAAQLEHYRLSKLINKICHQLHESKSRKISDCINGSFKRQEVEEDMQALVQLVLQNSAIGNPSDIKQTFLAVAKTFFYTTYCDKDTIDFHISKVLHEPIV >CDP13474 pep chromosome:AUK_PRJEB4211_v1:8:1069778:1078607:-1 gene:GSCOC_T00038431001 transcript:CDP13474 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTFSIVRGSSKNQVVLLLGFLLCFSFGSVDSDDGATLLEIKKSFRDVDNVLYDWTDSPSSDCCVWRGVTCDNVTFNVVALNLSGLNLDGEISPAVGHLKALLSIDLRGNRLSGQIPDEIGDCSALTSLDFSFNELYGDIPFSISKLKQLERLILKNNQLIGPIPSTLSQIPNLKILDLAQNSLSGEIPRLIYWNEVLQYLGLRGNKLVGTLSPDMCQLTGLWYFDVRNNSLTGSIPENIGNCTAFQVLDLSYNQLTGEIPFNIGFLQVATLSLQDNKLSGQIPSVIGLMQALAVLDLSCNNLSGPIPPILGNLTYTEKLYLHGNKLTGSIPPELGNMTKLHYLELNDNRLSGRIPPELGKLTELFDLNVANNNLEGPIPENLSSCTNLNSLNVHDNKLNGTIPRAFQRLESMTYLNLSSNNIRGPIPIELSRIGNLDTLDLSNNQISGSIPSPIGDLEHLLKLNLSNNALTGIIPAEFGNLRSIMEIDLSNNHLSGAIPQELGQLQNIFLLKVVNNNLSGDVTSLANCLSLIVLNVSYNNLAGNIPTGNNFSRFSPDSFIGNPSLCGYWLSSPCHASHQTERVSISKAAILGIALGALVILLMILVAACRPHNPAHFMEGSLDKPVTYSMPKLVILHMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYSHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPLGNLLFYDYMENGSLWDLLHGPTKKQKLDWDTRLRIALGAAEGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCTTKTHTSTYIMGTIGYIDPEYAGTSRLTEKSDVYSYGIVLLELLTGRKAVDNESNLHYLILTKAANNAVMGTVDPEISATCNDLGEVKKVFQLALLCSRRQPSERPTMHEVARVLGSLMPSSTPKMLTTNPTANLLPSAKVPCYMDEYANLKTPQLVNCSSMSTSDAQLFLKFGEVISQNSEGN >CDP14929 pep chromosome:AUK_PRJEB4211_v1:8:9352822:9356159:1 gene:GSCOC_T00042424001 transcript:CDP14929 gene_biotype:protein_coding transcript_biotype:protein_coding MDKECKYSQCLLLLLFACKFCLSKGVDTLSRGQSLSGNETIVSKDGTFELGFFATGRSNNVYLGIWYSSLAPKTIVWVANRVEPIFNTSQTSRLEISKDGNLVLLDHSGSIAWSTNLVSAPASSVEAVLLDNGNFVLRETSNASNLFWQSFDYPTDTWLPGAKLGYKMLSSNNSYEVQRLVSWRSTDDPAPGMFSLVLDTNTSGSEILIQWNKSVSYWNSGIWNGSMFPSAPELKYLINLTFVSDQSETYYTYSAFESAVVLWRFVMDTSGQLKLLQATRGLGYGASALTFTQPIDQSDVYAFCGEFGVFTGNYSSSCTCLEGFESTNDGVPGCARKTPQRCKTNTSPERGNAGFLPISNMKLPGNPQSLLVENEGGCKSACQENCSCTAYSYGGSGCLIWIGALLDLKQAVDVQKKLHLKVATSEIQVPPTDNSGDKKRSTIIVAVVVPLAAAILGGFIIFVTWKIKQRGKNSKESSEDLLSYDFDSSNSETDIETKNVNDVRQGKKGGLILPFFSYASVCTATNNFSAGNKIGEGGFGPVYKGKSLKGQEIAVKRLSRGSGQGLKEFRNEALLIAKLQHRNLVRLLGCCNEQEESILIYEYMSNKSLDSFIFDPVKQMQIDWDTRISIVQGIAQGILYLHEYSRLRIVHRDLKASNILLDSEMNPKISDFGTARIFGGSDSKTNTKRIVGTPGYMAPEYLLEGLFSAKSDVYSFGVLVLEIVSGRKNTGFHNSDSLHLLGHAWELWNSKRPLELIDPSLGCPPDVAPLRCINIGLLCIEENPNDRPTMSDVVSMLGNELAALPSPKQPAFTAIRPVINTKSISSNDQKFSVNGLTISSVEPR >CDP13051 pep chromosome:AUK_PRJEB4211_v1:8:3680371:3681651:1 gene:GSCOC_T00037809001 transcript:CDP13051 gene_biotype:protein_coding transcript_biotype:protein_coding MANAENLQTMTSISSLPRELVSEVLALVAASSSTDLFRAKLCCKVLSEVSEENYIYQRVSLNKFAIVPWRKNHKVSMFLKKCRRSKNPEALYRKGVVDYFGGKNLESALECLKEAAKSGHDEAAYALGIIFLFGGDELKRKGMTLLSAMKKSRIQKRRVKDCRDNLRRILKMIWVKNPLVLSQRPICCAMQHKRKRGWLVDEIDEEESTCAGCTCDEEIAPICDALPQFFA >CDP13439 pep chromosome:AUK_PRJEB4211_v1:8:1360446:1362845:1 gene:GSCOC_T00038388001 transcript:CDP13439 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMNLLIDDKEEELQTRKTRLYQAAMNGTVSILSQILQEDPLILNSFPVSSSSMLETPLHVASLLGRLEITKGFLTQKPELAKSLNSRGSSPLHLAAAKGYVEIVKELVLVNPDMCFVLDHDGRSPLHLAVIKGRVEVLTELIRAKPEAAWVLTGRGETCLHLSVNYNRFEVLKVLVERLKKEIDQFVNWKDQNGNTILHLAVAKKQIEIIKYLLINTEIDVHARNVLGLTALDVLLQSQEDLRNMDIKQCLEHGRSSYIKHTSLRVPVTDAARTTSSIARLQNLPTISKNKQPNKHKQTDWLAKMRSALMVVASLVATVAFQAGLSPPGGVWASDYVVDSNGNQVENPHYAGQSVMASNLHQAYGQFLIFNTISFLASLSIILLQVSGLPLRRHRWMWTQLVIMWIAISTQSITYFISFVNLSPGRLKGTLSHVTRISVLVWFCLMGVVFIGNVIRGVLHVLRKKGYVKEKEREPTIAEEENDEL >CDP12968 pep chromosome:AUK_PRJEB4211_v1:8:2676910:2677614:-1 gene:GSCOC_T00037681001 transcript:CDP12968 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLDQLSTFLREEGRLLGGLRQEVQFIRDELGHMRAFLREAEAKEEDAQPRLQEWIKQVREAAYDTEDILDEFVARFARHLPTGFYRSVRRIFSCIKNLRARHRVASEIRSIKSRIKSISEGHQRYQSGYGISAQPSNSLSAVNNTTWRYSRDDALLVEEAKLVGIDQPKKHLISQLLEGDDHQLKVVSVVGTGGLGKTTLVKRVHEDPEVRRHFPVGEKSKCQARV >CDP13043 pep chromosome:AUK_PRJEB4211_v1:8:3596167:3597907:1 gene:GSCOC_T00037796001 transcript:CDP13043 gene_biotype:protein_coding transcript_biotype:protein_coding MISQCYKKPTFSPKQMIKSSGKSVHLCNMDKLSSLMETHLLLILVCIPLGRSLTVTVPDSSSPSALVDGPQTAFTVNNNGARTDIREQEAVYDIMRATGNDWATDIPDICRGRWHGIECMPDKDNVYHVVSLSFGALSDDTAFPTCDIAKSSISPSITKLPRLRTLFFYRCLTNNPQPIPSFFGRLGKSLQTLVLRENGLVGPIPNELGNLTRLKVLDLHKNELNGSIPGSLRRITGLRSLDLSGNQLSGLIPDLSFPQLNVLDLNQNHLVGSIPTTLMSCPSLIKLDMSRNCLSGPIPESISGLENLILLDLGYNSLAGPLPASLKELNSLQALILNGNPTMSTTIPSSIFDGLKDLVVLILSENNLQGIIPESLGRLRKIRVIHLDGNKLNGSIPASFGDLNDLSELRLDNNLLMGPVPFNRDMVWRMRRKLRLNNNSGLCYDTKSGLGDDLATLSDSVIGICETSKYGMATKAVRHISTIDGTELGPLGTKSAGRVQKPAVECFMLLQLVNFLLFAVYVM >CDP07890 pep chromosome:AUK_PRJEB4211_v1:8:19900887:19901489:-1 gene:GSCOC_T00025379001 transcript:CDP07890 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLAVQRNLLRLYGFCMTPEKRLLVYPYMPNGSVADRLRDTSQENLSLDWSKRIHIALGAARGLVYLHE >CDP19029 pep chromosome:AUK_PRJEB4211_v1:8:21786447:21788998:-1 gene:GSCOC_T00013076001 transcript:CDP19029 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLSSSSTCRRIRSFATLLLNLTTTTTARRLFSTQSRLSSPINHRTKWPPPKPNPKPFQSKSTPPQFQNPESPNFDSSPDFTTLSNLLTNPSLEPDPELENALNQARVEPRPDLLLQIFNHFDSSPKPLYIFYLWAEKQPGFKFSLAVFNALINVLGKAREFNRAWALIHDKINASEERPNFDSFVIMIRRFARAGLPSQAIQTYEFASNLAFRQASDSEQKLFEILLDSLCKEGLVRVAYKCLKNRRGQDTSWVPYIRIYNILLNGWFRSRNLKQAERFWMEMKRENIKPSIVTYGTLVEGYCRMGHVEVAMGLIAEMKREGVEPNAIVYNPVIDALGEAGRFKEALGMMEQFLVLVSGPTISTYNSLVKGFCKAGDLEGASKIIKMMINRGFVPSVTTYNYFFRHFSKSGKIDEGLNLYAKITDSGYAPDQLTYHLLVKMLCVHGKLDLSMQVVKEMRASGCDLDLATSTMLIHLLVKTQHVDMAFEEFEDMIRRGLVPQYLTYQKMSFELRKQGKLKMAQKISDLMSSVPHSKKLPNTYGAHEELSHARKKTIMKKAVVMSDVLKTYSNPRKLVKHRYLPKSGVSRADR >CDP07192 pep chromosome:AUK_PRJEB4211_v1:8:10451253:10455905:-1 gene:GSCOC_T00024360001 transcript:CDP07192 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTPTGKAITLEVDSSDTIDNVKAKIQDEEGFPLDVQRLIFAGKQLKDGWTLADYYIQEESTLHLVPRLWGGGGMEISVKTRTGKPLTLVVMSSDTIKDVKAKILSKEGIPPKKQRLVFAGTPLEDGRTLADYEIPSKSTLKLVLSTHEMGPETLIDPITNKINWTNLKTCNIQVFCFSLYKIRASLTFISHSLIYPSSSSSIFSQILPSKDADLRERSDSRG >CDP11726 pep chromosome:AUK_PRJEB4211_v1:8:5486353:5493740:-1 gene:GSCOC_T00034198001 transcript:CDP11726 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAIPQNLSLFHPNQYYSKAFTTKHFKPIRFLVKGIRSKLSVLDNNGVPIRYNRENNFSKASQPFTVLGPHSKFLHPTRRITVQSLSSNSGVTSTSLQNKVIGLLHLLVSLGIILAMDKLLKKAFVAAAIKFPSPLFGMFCIFTILMALDSTVPAAATGLMNFFEPALLFIQRWLPLFYVPSLVVLPLAVKDIPATSGVKICIIIVGGWLASLAVAGYTAIAVRKMVNTDMIPAEPMSKPSPFSQLEIWAWSGIFVISFIAAFWYPTVLGTSARTCLPFLLASTVLGYMVGSGLPSGLKKVFHPVICCALSADLAAFAFGYFTRSGLNPVLGYYLTKASSNPGAGDILMGFLGSVILSFAFSMFKQRKLVKRHAAEIFTSVIISTLFSLYSTALIGRLLALEPSLTISILPRCITVALALSIVSFFEGANSSLTAAAVVVTGLIGANFVQTVLDNLRFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLACSIPAVRQSLLAVVG >CDP08298 pep chromosome:AUK_PRJEB4211_v1:8:25795838:25808021:1 gene:GSCOC_T00027088001 transcript:CDP08298 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVAAAKKFIENHYKTQMKSIQQRKERRWVLERQLAASDVPKEEQLIQIKDLERKETEYMRLKRHKISVDDFELLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMVMRGQVEHVRAERNLLAEVASHYIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMRADTLSENVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKDGHMKLSDFGLCKPLDCRTLSTLNENEAMDDENMRDAMDIDSCFPDASNVSNWKSPQEQLQHWQMNRRTLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLMGYPPFYSDDPLTACRKIVHWRNHLKFPEEANLTLEAKDLICRLLCDVEHRLGTGGADQIKAHPWFKDIEWDKLYEMEAAYKPEVNGELDTQNFMKFDEVGAPTPARTGSGPSRKMLLTPKDLSFVGYTYKNFDAVKTLRNSSDFMRSTSPRRPSIDSLFGESKDDDVGKGTAKETDLQMITSLDDTMSP >CDP13505 pep chromosome:AUK_PRJEB4211_v1:8:794913:795845:1 gene:GSCOC_T00038465001 transcript:CDP13505 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVDESPGYMQVLSDGSVKRFAPETAAASTESSNGYKSRDVVIDSSKPTTARIFLPDVSESVAGQLPVLVYFHGGGFCIGSTTWLGYHHFLGDLSAVSKSIVLSVDYRLAPENKLPIAYDDCYSSLEWLSNNASSEPWLEKADLLRVFLSGDSAGGNIVHQVAVKVIKDKVSRVRIKGLIPIHPYFGSEKRTELEMAEESAGHVQSNDMFWRLSLPVGENRDYLGCNFEKTELSGSEWDQFPRVLVFVAGLDFLKERGVMYADFLQKKGVQKVSLIEAEGESHVYHVFHPKSEATTLLQKQMSDFMHSF >CDP13443 pep chromosome:AUK_PRJEB4211_v1:8:1336596:1339064:-1 gene:GSCOC_T00038393001 transcript:CDP13443 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGAAEEDSASEIHLPADIDWEMLDKSKFFFLGAALFSGVSATLYPVVVLKTRQQVMLNGTPCLKMAASILRNEGLRGFYSGFGTSLMGTIPARALYMGALEMTKSNVGSATVQLGLSEAYASAVANAAAGLSAAMAAQLVWTPVDVVSQRLMVQGSCCSNVRSGNAVALKSYSGGIDAFRKIVYSDGIRGLYRGFGISILTYAPSNAVWWGSYSVVHRTIWGCVGGYGCKKDENGYRPDGKAVVAIQGISAAMASGVSTLVTMPLDTIKTRMQVLDGEGSGRRPPTILQTVRNLVKEGGFSACYRGLAPRWASMSLSATTMITTYEFLKRLSTKNQDRFA >CDP09868 pep chromosome:AUK_PRJEB4211_v1:8:28539604:28541183:-1 gene:GSCOC_T00030348001 transcript:CDP09868 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGLELIFLLIILVGAATHLVLLSCSLSPYGGLVERSTSRAFVFILFNTIMSSILVKSYRPSAEYYTEFLPCGDFYDQAEEKQSEEMIRDDIESSSASSSDSDDDEEEKEEDDDDDDSDGNDEIGWGNDDEEYDETLESRIEAFIAKVISGWKEELLADKLDCKQVD >CDP08224 pep chromosome:AUK_PRJEB4211_v1:8:25107006:25108596:-1 gene:GSCOC_T00026988001 transcript:CDP08224 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSGTMDISDDSSMHISQSTPPSPNSNCSIEILNFLGEKNVRKVDMLEEVTVIRSEKVKDELVLDSNDIELFLDGIYVSEKGQVAEEE >CDP16183 pep chromosome:AUK_PRJEB4211_v1:8:23962097:23965586:-1 gene:GSCOC_T00017272001 transcript:CDP16183 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSVDEKKDTIKEPLLKSSPRGGVRTMPFIIANGMLEGVASFGLTPNMTLYLMRQYHMEMTAASNVLFYWSAATNFMPILGAIIADSYVGRFHMIGFGSVVSLLGMILLWLTTMIPQATPPPCIESNNSCDSTTSFQLLLLFTSFALISTGAGGIRSSSLAFGADQLEKGDGCESVGVSGRYLSWYYASYTFSVLIALTCMVYIQENMGWQFGFGVSVVVMLFAALSFFLATPFYVKVKAKASLLTEPIKVIVSSYKNSALKLNSTNMFLQKNGSALVFPSEKIRFLNKACIIRDPQKDLTADGRAKDPWSLCTVDQVEDLKAILKVIPIWSTGMVTFINISQNSFSILQARSMNRRITGNFEIPAGSFGIFAVSSLILWVALYDQVLLPLASRIKGKPVYVNARQRMGTGIFLSSLCMIVTAAVEYIRRSLAIKQGVSDDPEAMVHMSALWLLPQYCLMGLAEGLNAIAQNEFYISEFPRSMSSMASNLSGAGMSVASLLASFLMNSIDDLTKKGGQESWISTNINKGHYDYYFCVLAGLSMVNMLYFLICCWAYGPCRAEEGKASDEQV >CDP09982 pep chromosome:AUK_PRJEB4211_v1:8:27596600:27599046:-1 gene:GSCOC_T00030504001 transcript:CDP09982 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRFLGWKKNSRNWLHNVEEDEEVRAESTIPSVPQPETPREPMEFLSRSWSLSATEISKALAQKERDSASDKKLNAIPEMVIGNQFPGKVINLPVQGRRSGAIGRWFHHKESSHSTVKKKDKARVENAHMHAALSVAGLAAALASVAAAENRKGSSSKMSTALASATELLASHCIELAESAGTEHDRVASIVRSAVDVRSASDLVTLTAAAATALRGEAALKARLPKESKRNASITPCDKSMAETQSFAGFRSEMEDAPLVGDLLQHTRKGELKWKHVSVYINKKSQVILKQKSKHVAGAFSKKNKCLVYEVCDETATWPFKKERENTEVYFGVKTAQGLLEFKCKNKIHKQKWVDGIQDLLHRTSFMEDAEHSMRVLRINKSI >CDP18421 pep chromosome:AUK_PRJEB4211_v1:8:22284765:22291383:-1 gene:GSCOC_T00007233001 transcript:CDP18421 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQLVSQQFQFPDGQMAHMDHLPEKADSLTGDMQKNFVGHVSSSPMPLHFTVTSEQMGTAELMPKSSAFQNVMVSTNQIGQIEPKGRILGFGASEGMLNNLGSQTAFLPSKRKAEMEAKTNIGPQNVGMPNKRTMQIGISPNSPQLLQPLSPNKKSMQMLSKVGPSVKQNLPASNKKMVRNDSTSNRTASHRVQTPKSRTVQHESSSKVQTESFGAVRSKMREQLAAALFLASQNPEKTPNTANNHADVSVNHETATDSQSNGSELVASGAHQQKHERSHESFALGESALLGKFDDAQGIPTKLPTSESTGHPPLLPDDDVSFSDNFFVKDELLQGNGLSWALDLDMQVIEEKEKPCTDKTEDLHAEGGATASEQVKSPEKLASEIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPDLRERVMSGEITPDRLCSMTAEELASKELSEWRMAKAEELAQMVVLPDTDLRRRLVKTHKGEHQVEMEDDGISVDVSGGSSSPSRAPSKSTETRSPELDDTNDKENTASQKNASENQDPSGSFIIPSDGSDLMQGMMVDELKDVEFLPPIISLDEFMESLNSEPPFENLQVGAGRSTPRSDKDHTETDNEVGGSDSTSKDHGDTPDRADDAVRKDAAGESEKRKESPVAQNITHLATNASTVEHTWEGALQLSTSSSVKTFGVFVSGEKTSVTEWPSALEVKGRVRLDAFEKFIKDLPNSRSRAVMVMHFVLKDNLAESERANLSEAIESYVSDDRLGFAEPANGAELYLCPTKGRVVDMLSHYLSKDRTDIFNSSDNGLIGVIVWRKVQLSSTLSPNSSSHQKHTSLKRQHFTSRRQQEKDSNVNVNMMNKATPTSSHNRPPSRGVIPPPSDDDDSDIPPGFGPPAGGRDDDDLPEFNFSGNVNPRPSSSQNLHQGARMAARPVDQIRELIHKYGQTEAISDVGLGIEPWNDDDDDDDDDDMPEWRPQAPYQQHQPRQPGHGFHQPLQPTYGSQTGLPTPHLQQQLNPAMVPSQAPATWHQGAHRWVEPPVNPGNVPGGGQYYRMPGVRSGQRQDSTRSRGY >CDP12162 pep chromosome:AUK_PRJEB4211_v1:8:30740745:30745282:1 gene:GSCOC_T00035563001 transcript:CDP12162 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFSLRGLPWISGTDGQEKVVLSATEVASLRSEITDLEEREAHLKAQLEHLDEILRSARLSGYLYLRTRWATLPGEPPPLDDAEVDDWLPRFVVLQGSCIFLYLASTDLSPQDSTLLSDVVEVGRLPNLTREDEEIRYCFFILTRHGLRYECSTASDVQVDVWLEALQIDCKLGSESKAVDDSRET >CDP08279 pep chromosome:AUK_PRJEB4211_v1:8:25596329:25596772:-1 gene:GSCOC_T00027059001 transcript:CDP08279 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARRYGLLSDGGVRLELTPSTGSPLAIDVSESTEMRIQRLISENPVIIFSRSSCCMCHVMRRLLAAIGVHPTVIELDEDEIDALPAGRDGEGGGDGAGGGGSGGAPALFIGGTRIGGWESLVALHLSGHLVPKLVEVGALRDMVL >CDP14966 pep chromosome:AUK_PRJEB4211_v1:8:8546078:8548070:1 gene:GSCOC_T00042481001 transcript:CDP14966 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQLEHFSHKKHPLILCELQKENDDGSVDQKLVVCYGCRKQISDSTAYCCFPCDLFLHKRCAELPLQITHPMHSQHDLVLLGNRHCICNACGQDWEFFTYHCSLCRFDLDVSCANIDREIKLDKHDHPLIQLTRPATFFCNACSKVGEDSSHLCAVCPFWIHRKCALLSSTVKHKDHNHPLLLAYSLPPDYRSFRQHCPVCREMIHPSNWLYYCGPCRYFVHVTCVVISQEDEGQLSEDIEYPISGERDQNVVKLPSSNAAQELIARFLLKKDEISSSSNDSGKSNIPEKIFMDSQHRKHRLVLSEKVQNLDEIKSTTSDDDQEEAKALLVCDWCIEPICSSDDLHYYACVECGYFLHLTCSNLPRKLHIPKHPQHPFSLMYNRNAVGLFTCQACRCWTNASFYKCKPCGLRICIKCASASMMTTSVKHDGHKKHLLTQFQSSDLTRCTACGYIYGGGFGFACEDCHFYVCYNCALLPPATTQRWDKHPLHLIYPPYFEHPEVFYCVLCETEINPNCWMYHCRECDYSLHPLCVPQIGRFRRRKYGRSLNVNNHSHPLTHVPEAKYKSFCGSCSDKRLNWQPAFECESCRFYLCPKCARRRELTD >CDP08305 pep chromosome:AUK_PRJEB4211_v1:8:25860925:25863384:-1 gene:GSCOC_T00027097001 transcript:CDP08305 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNYDPNRFTNMSGYNYNSSSAFGSSYSSGMISTGYGDTNQSNFQVSEYFELDDWMEEDQTFMPSGYSTAQSPQQELTEVLGHPGGSSSQQSNRDIGGNERKENREKVAFKTKSEVEILDDGFKWRKYGKKMVKNSPNPRNYYRCSIEGCPVKKRVERDKEDPRYVITTYEGIHNHQGPSQF >CDP07873 pep chromosome:AUK_PRJEB4211_v1:8:19352010:19353810:1 gene:GSCOC_T00025351001 transcript:CDP07873 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQLGEISAIVVTSPRLAKEIMKTHDLAFADRGEFLSGKIICYNCSDIACYEALHLISSIRVLAGAQEPIDLTEKVSSYTSSVVCRAAFGKVSKDDHVAFLQLLKEVTRLTSAFDISDLFPSFKILHFLLSAETKLLNIHHKVDKVLDKIINQHLENLSERKTSTGEYGHEDLIDVLLRVQGSDELQFPITNNNIKAVIIASIRPIFNIFAAGNETSSTTVDWAMSEMIRNPGAMAKAQSEIRNAFRGKNSIEETDIQQLQYLKLVIKETLRLHPPAPLLLPRECRVECEIDGYIIPARTRVLVNAWAIGRDPEYWDDPECFKPERFANSSIDFNGTHFEYLPFGAGRRICAGISFGLANVELPLALLLYHFDWKLPSGLNSRDLDIKETVGIATSRSDLYDP >CDP18789 pep chromosome:AUK_PRJEB4211_v1:8:127965:131042:1 gene:GSCOC_T00005564001 transcript:CDP18789 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSMIVLSGKKRKKGATTAPVYLNVYDLTAMNGYAYWLGLGVYHSGVQVHGVEYAFGAHEHSTTGVFEVEPKQCPGFTFRKSILIGRTDLGPKEVRAFMEKLAEEYSGNTYNLITKNCNHFCNDVCLRLTRKPIPSWVNRLARLGFLCNCVLPAGLNETKVRHVRAEDRVYEKKKLRSHSSRFISPSNPTLLSSCPSKSARSGRQRSHHPPGPPSPH >CDP09873 pep chromosome:AUK_PRJEB4211_v1:8:28521605:28524322:1 gene:GSCOC_T00030353001 transcript:CDP09873 gene_biotype:protein_coding transcript_biotype:protein_coding MSERDFRRGVPVNRRVKTRPPHPSRSPSPSPAPATRRRRSSREVHRPRSSKLSRRLEIFKRCNSEPALLRARGGGGEHFGQRSLASPEAEGVFYRPQTCADIFSASSDNILLPRSPRISLEGMRYSKDAKVVVNVTVDGSPGPIRAMVKLGSSVEETIKLVIDKYGEEGRTPRLDKDANTTFELHHSYFSLQSLNKLDAIGDSGSRSFYLRKNSSGRSSSASLTPYDLSRNAGSHLPGSSSICLQSFICRKINKFMRRMNKLWKLLGCMNGNR >CDP13495 pep chromosome:AUK_PRJEB4211_v1:8:916411:917176:-1 gene:GSCOC_T00038454001 transcript:CDP13495 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRFNFVLISIFSLAKINLVLGDIGTATSYNPPYTPTKCGGNRNDQFPAGNLFVAVSEGLWDNGAACGRRYRLRCLSGNNKPCRDIGTTIDVRVVDFCPRRPCPSTIVLSSDAFAAISRNPDAKINIEYIQYLHFFLVSSLLSSLCSSVAPLLNILLYTFCRI >CDP12117 pep chromosome:AUK_PRJEB4211_v1:8:30390556:30394702:1 gene:GSCOC_T00035507001 transcript:CDP12117 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRLYIFSLISSALFLLSQGIVRATLVGNDKQALLDFEKKLPHLRSLNWDENSPVCKNWTGVSCNEDGSRVISLRLPGVGFHGPIPTNTLSRLSALQILSLRSNFINGTFPLDLGKLRNLSYLYLQFNNFTGPLPRDFSVWKNLTGINFSNNGFNGSIPSSISSLRQLSSLNLANNSLLGEIPDLNLPNLQLLNLSNNNLSGAVPKSLQKFPKSAFLGNNASLLEYSVTSSPAVSLPKEPILKSKSTAKLSERALLGIIIAVSVLGLLGFAFLLLVCLLRRKIEDGFPGKLEKGNMSPEKVISRSQDANNKLVFFEGCNYAFDLEDLLRASAEVLGKGTFGTAYKAILEDATMVVVKRLKEVGVGKREFEQQMGVVGSIKHENVIELRAYYYSKDEKLMVYDYHSQGSLAAMLHGKRGENRPPLDWETRLRIAVGAARGIARVHIENGGKLVHGNVKSSNIFLNSRQYGCVSDLGLSTVMSSLALPIARAAGYRAPEVMDTRKATQSSDVYSFGVMLLELLTGKSPIHTTSGDEIVHLVRWVHSVVREEWTAEVFDLELLRYPNIEEELVEMLQIAMACVVRMPDQRPKMSEVAKMIANVRPTAIRNRSSGELKTGNSSQTPPVGVSETDLSS >CDP12203 pep chromosome:AUK_PRJEB4211_v1:8:31024102:31025481:-1 gene:GSCOC_T00035616001 transcript:CDP12203 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCSKVGLQRGPWSPKEDKLLTDYVQANGEGQWRSLPKKAGLLRCGKSCRLRWMNYLRPGIKRGNVTEAEEDLIIRLQSLLGNRWSLIAARLPGRTDNEIKNHWNTRLLKRLHKGGIQPQKSSTSLLSKKSSKQPTKKKSNNKLPDHFHNTTATMYRPKPIKICSESAKSNSFGSVASGSTNKSSSNQEEGEEVVDKLEEEAKTSQVSDISWSSFELDDQSYEPRFEISDENQILFYDDDDCDLSLQADPVSDSNLLDKVYDEYLRLL >CDP18492 pep chromosome:AUK_PRJEB4211_v1:8:22821479:22824947:1 gene:GSCOC_T00009065001 transcript:CDP18492 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLLALQELKSQISGDPNGVLNSWNHSKHHCQWQGVTCDKRHQRVTALTLSGMGLSGEIPVNLSWCSELRVVDLISNQLEGKVANELGSLKKLVAIYLNENNLTGEIPRSLGNASSVTELALAYNHLNGNLPKELGLLKSLSWIIVGVNDLVGLALPNLEELELGGNHFHGNIPTSITNASKLQFLDLSQNKFEGQVPTNLGDLPNLLGLNLAMNLLGSELPKNIGNLSLQLTYLHMGYNNISGTIPIGFGNLVNLNILSMPQNSFTGGIPGDFPKLQKLQALDLAESKLSGQILSTLCNITSLYYVDLSKNSLEGNITRFLENCKNLGQLSLSWNNFNGSISAHIFGSYFSLISLDLSHNSLIGSLPSEVGKLEGLNELDISYNNLHGEIPGTLGDCSSLEELYMQGNFFQGTIPIHLASLKGIQKLDLSNNNLIGPIPQGLEKLMFLKYLNISFNDLNGEVPTEGVFRNASQISLAGNRKLCGGIPQLGLPPLVLISMILLAYVIGYNKKVRRHGSSSKPSMVDKLLRVSYHELHRATSGFSPDNLIVNILLDNNLVAHVGDFGLAKLLASTTDSSSVQGSSSAIAVKGSMGYVAPEYGMGLQVSSQGDVYSYGVLLLEMFTGKRPTDDIFMDGLDLHNYVKMALPEQVLEVPAHVGLDNDDKKMECITSILKIGVECSATSPNDRMHMGETVRKLHRIRDGFLGAN >CDP08355 pep chromosome:AUK_PRJEB4211_v1:8:26333822:26335804:-1 gene:GSCOC_T00027160001 transcript:CDP08355 gene_biotype:protein_coding transcript_biotype:protein_coding MELPMVDVSLLGQDSNEKSIVIKQIQEACQTWGAFHVINHGVSEAVIQAAMEVNRNFFDLPEMMKKEILELGSRDMFSPVKLLTFQRSARGSDLLQRDLVRLYANPFEDFIDFWPTEPADYREKMGRYTKDVRKLGITLFGAIMESLNLGPTHLQENFDKGMHMVIANSYSPPTPESSIKIGVAPHSDHGIITILLQSSPGLEVLDMADGKWKALPCPEGSLQVLVGDHLELLSNGIYKSVFHRATLSTRTTRMSLASFHNFELDEVVEPATELTAEDCPKRYEGCSTRDLIKDIYSGEVGRLIDRLKI >CDP09915 pep chromosome:AUK_PRJEB4211_v1:8:28205640:28210355:1 gene:GSCOC_T00030408001 transcript:CDP09915 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFPAFSFICITTWLLVGFCSAEDPFANFDFIVSYITASPLGVPQQVIAVNGKFPGPTINVTTNYNVVVNVKNKLDEDLLMHWSGIFMRKSSWQDGVLGTNCPIPPKWNWTYQFQVKDQIGSFFYFPSINFQRASGGFGSFIINNREIIPIPFDTPHGDITIVMGDWYIRNHTALRRDLNSGKDLGIPDGVLINGKGPYRYNDSLVPDGIDYEKIEVHPGKTYRIRVSNVGISTSLNFRIQNHNLLLAETEGSYTVQQNYSSLDIHVGQSYSFLVTMDQNASSDYYIVASARMVNESQWQKVTGVGILHYTNSKGKASGPLPDPPQDQFDKTFSMNQARSIRWNVTASGARPNPQGSFRYGSINVTEVYVLKNKPPVTVNGKQRATLSGVSFVNPATPIRLADQYKVKGVYKLDFPTRPLTGSPRMETSIINGTYRGFMEVILQNNDTKMHTYHMSGYNFFVVGMDFGEWTENSRGTYNKWDGIARVTTQVFPGAWTAVLVSLDNVGVWNLRTENLDSWYLGQETYVRVVNPEATNKTELPIPDNALFCGALGKLQKPQDISLATSIMVNRSELCFTLLLMISMLITIFQ >CDP15687 pep chromosome:AUK_PRJEB4211_v1:8:7420427:7424466:1 gene:GSCOC_T00015657001 transcript:CDP15687 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFVGVAETIEEGADPRLQEWIKQVREAAYDTEDVLDEFVACFAHHHATGFYGSRYQSEFGGTTQAAESLAAVNNTTWRYSRDDALLVEEAELVGIDHPKQQLISQLLERDDSQLKVVSVVGMGGLGKTTLVKKVHEDLAIRRHFPVRAFVTVSQPCNFLELLKDLTRQLHNELKKPVPESIEAMTAIQLKQCVKDFLQQPVRYAIVFDDVWDVEFWNAIRFALPENAYGNRVMLTTRKADVASASCNKSQDYVFKMAPLSFEDSWTLFCSKIFKENGCPAHLIDVAKGILGKCEGLPLAVLAISGLLALKDLNIAEEWEMVRRSLGGELEGCGMLDRVKKILSLSYNDLPCHLKSCLLYLSIYPEDFEIRCGRLVRLWSAERFVGKREGMTVEDVGYNYLRELVNRSLIQVTAGFYKGIPYECRIHDLVREVVLSKAREQNMITITTGQCTNWLSEKVRRLVVHSSSSDTEQHQESQCYSFNHLRSFITIESMNPLISRTLLSEVLKSGRLLKVLDLWVKAVPKFIGKLRNLEYLNLGETQVKELPMEILKLKKLEHLLAPSKLGGLLALQTLDTIDASSGSEVVKEIGALTQLRELGISNLRREDGKMPKWIAHLQSLVRIALCWSGLRDEEDPLAPLHHLPNLVTIQFFGSYQGEGLFFKAGGFPKLKELYLKKLEKLKWLKVEEGALPSLHKLTLDTLPLLEELPLGIQHSRNLRKLYLSELSSQLMEKLENLNEETEDYRKIAHISEVVIVLWTDEEGWRLHRLWGKKM >CDP07870 pep chromosome:AUK_PRJEB4211_v1:8:19200932:19201514:-1 gene:GSCOC_T00025347001 transcript:CDP07870 gene_biotype:protein_coding transcript_biotype:protein_coding ASSLLIPFGCFFSRQNRACKVAISAVLLLLYARFYRGTACFSLILPRSFFCSQTG >CDP12076 pep chromosome:AUK_PRJEB4211_v1:8:30059578:30061995:-1 gene:GSCOC_T00035453001 transcript:CDP12076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) UniProtKB/Swiss-Prot;Acc:Q9M4A1] MEELWKSSIFFSDQSLCDADILSPQEVRARIEVAVLNFLKVLNAPTPEISDLPLISRKSSNSRVSRGLLTDVSWIFLSQSFCKRSLMRENTAKSFVRVWMVMKMCYQILLQEKRVTQRELFYKLLCDSPDYFPSQLQVNGTIQDLVALLRCSRFSLGIMASGRGAVAGRLLLQAHFFVKTPNTEAVDCSTCGSSGYAISGDLNLLEKLIMKTDARYIIVVEKHAIFQRLAEDCVFNQIPSILITAKGYPDIATSRFFLHRMSRAFPEMPILGLVDWNPAGLAILCTYKFGSIGMGLEAYRYACNVKWLGMRMDDISQLVPEESLSNLKPKDLQIAKSLLSSDVLQDSYKEELATMVESGRRAEIEALYFHGYEFLGKYLATKIVQANYI >CDP12967 pep chromosome:AUK_PRJEB4211_v1:8:2669209:2672091:-1 gene:GSCOC_T00037679001 transcript:CDP12967 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLRQLSTFLHEEGRLLGGLRQEVQFIMDELGQMRAFLREAEAREEDAQPTLQQWIKQVRDAAYDTEDILDDFVARFARHRATGFYGSVRRIFSSIKNLRARHRVASEIQSIKSRIKSISKAHKRYKSDYGISAQASNSLSAVNNTTWRYSRDDALLVEEAKLVGIDQPKKRLISQLLEEDDYQLKVVSVVGMGGLGKTTLVKKVHEDLEVRRHFPVRAWVTVSQTCDFQDLLKDLIRQLHKEGKKPVPQSIESLNTTELKEFIKDFLQQAGRYAIVFDDVWDVEFWNTIKFALPESSHGNRVMLTTRKADVASAPCTESLGYIHRMEPLPFEDSWTLFCNKIFKGNSCPGHLMDVAKVILDKCEGLPLAILAISGLFALKDVNRTEEWEMVRCSLGSELEGTGKLDRVKKILSLSYSELPWHLKTCLLYTSIYPEDHKIECYRLVNLWIAERFVEGREGMSIEDVVWSYLSELVNRSLIQVTGVFYEGLPDYCRIHDLLREVILLKSREQNMVTVITGQPTISSSNNTQHHQQIPNYCFDHLRSFVTIGCTNPLLSKPLLSEVLGSSKLLKVLDLGDQETQEEIPNEIFKMFHLQHLSLGDTGVGRVPKAIGKLQHLEHLNLGNTRVRELPMEILKLKKLRFLRVFQQVDSSDVDYGYHGFKAPSNMGGLLALEVLDSIDASSGSTIVKEIGKLTQLRELYITKLRREDGKELCSSLANLTNLRELSVTSIGKGDDHEIIDLNHHHHPSLSSSSSSSFLQSLRMLILRGRLERMPKWIVHLHGLVRIDLDWSRLREEENPLESLQHLPNLGEINFCGSYQGEGLCFKAEGFLKLKCLELRRMEGLRWMRVEEGALPRLQKLFLEQLPLLEELPMGIQHLTQLQELSLYEMSCQLSEKLLENQKEKSEDYTRIAHIPEILIGYYTDDGEWRHHSLWAEKK >CDP09984 pep chromosome:AUK_PRJEB4211_v1:8:27582555:27588621:1 gene:GSCOC_T00030507001 transcript:CDP09984 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRAATQRLLLFAQAARHARNFHQQPRHFSTFFRKVQSSAARASINGGGGGGGGGGGRGNSFFVPGATVGTVLMLGALHARRLYDDKKIEEAREKGIELEFHPDVKATFLSFLPLRTISRFWGFLTSVEYPIWLRPHVYNAWARAFHSNLEEAALPLEEYASLRHFFVRTLKEGCRPIDLDPCCLISPVDGTVLRFGELKEAGAMIEQVKGFSYSVSSLLGAKSFLPMTACENIQQESGGEDKSMGDETQKSWWRVSLASPKVRAISPESPMKGLFYCVIYLKPGDYHRIHSPVDWNVLVRRHFSGRLYPLNERAMRTIRNLYVENERVVLEGQWQEGYMAMAAIGATNIGSIELFVEPTLHTNRPRKKLLHSEPPEERVYEPEGNGIMLKKGDEVGAFNMGSTVVLVFQAPVSSANERMPSDFKFCIERGVRIRMGEALGRWHDA >CDP13421 pep chromosome:AUK_PRJEB4211_v1:8:1640322:1643787:-1 gene:GSCOC_T00038359001 transcript:CDP13421 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLDQLSTFLREEGRLLGGLRQEVQFIRDELGHMRAFLREAEAKEEDAQPRLQEWIKQVREAAYDTEDILDDFVARFARHLPTGFYGSVRRIFSSIKNLRARHRVASEIQSIKSRIKSISEGHQRYQSEYGIPAQASNSLSAVNNTTWRYSRDDALLVEEAKLVGIDQPKQHLISQLLQGDDCQLKVVSVVGMGGLGKTTLVKKVHEDPEVRRHFPVRAWVTVSQTCDFQYLLKDLIRQLHKEGNKPVPQSIESLNITELKEFVKDFLQQAGRYAIVFDDVWDVEFWNTIKFALPESGHGNRVMLTTRKADVASASCIESLGFVYRMEPLSFEDSWTLFCNKIFKGNSCPGHLMDVAKVILGKCEGLPLAILAISGLLALKDVNRTEEWEMVRRSLGGELEGTGKLDRIRKILSLSYGDLPWHLKTCLLYTSIYPEDCEIPCYKLVNLWIAERFVEWREGMSIEDVVWGYFSELVSRSLIQVTDVFYEGMPGYCRIHDLLREIIISKSREQNMVTVTTGQPTTWPSEKVRRLVVHSSSSNNTQQQRPNYCFDHLRSFITVGSTNPLLYKTLLSEVLGSSKLLKVLDLKGQETQEEIPNEIFNLFHLKHLDLYGTRVERVPKAIGKLQHLEFLDLGDTGVRELPMEILKLQKLRFLKVYQQVDSSDDDYGLEGFKAPSNMGGLLALEILNCIDASSGSTVVKEIGKLTQLRELYITKLRREDGKELCSSLANLTSLHRLSIESIGKGDDHEIIDLNHHHPSLSSSSSSFLQSLRMLILYGRLEKMPQWVAHLHSLVRIDLDWSRLRGEEDPLESLRHLPNLHSINFCGSYQGEGLCFKAGGFLKLKWMHLKRMEGLRWMRVEEGALPRLQKLFLEQLPSLEELPMGIQHLSHLQRLTLYETSSQLTGKLLDNQEEESEDDRRIAHIPEILIGYYTDDGEWVQYKRIQTES >CDP08212 pep chromosome:AUK_PRJEB4211_v1:8:24993374:24996502:-1 gene:GSCOC_T00026973001 transcript:CDP08212 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPRCNSNKFLPWIAFTLVFTFSSVIFQSEDGDIIDCIDIHKQPAFNHPALKNHKIQVLNTAKTPKQRKGEVPIALATQLWHRSGSCPEGTVPIRRMQKKSPNEARKPSFFQHSKKFNISTPSKLLLANHSLTVLHSEGFAYLGAKGDIYVWNPRVELDDEFSTSQVALKSGSHNNFEAVEAGWAVNPGLYKDRQTRFFVYWTNDSSRETGCFDQFCPGFVQVNKEIALGAALLPNSTRGQLAVPIIVYIFKDLKSNNWWINYGEKTNVGYWPAELFTSLNHYAETVQWGGEVYSANLETLGTRPHTATQMGTTKKYFENKFFSLSFLLLEISLAIMAHGVQDSHYLSSQKPLEVASDRNLKVNGVVKSIESEYGDIIDCIGIYKKPAFNHPALKNHKIQVCLVYLVCSRKSRFMKLVKWLYICEPTNYAISPIQMKPSFAPTKAITPVANTTKTPEQGKRDISMAETTQL >CDP07900 pep chromosome:AUK_PRJEB4211_v1:8:20397327:20397866:-1 gene:GSCOC_T00025392001 transcript:CDP07900 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKVHWFSILNSLMVITFLAGIVFVIFLRTVKRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPSNPALLCVMVGDGIQILGMAVVTILFAALGFMSPASRGTLITGMLFFYMILGIAAGYVAVRMWRTIFCGDHKGWVSVSWKAMGLRGGCAGKSKGGEGNQIERWIMCALFA >CDP07166 pep chromosome:AUK_PRJEB4211_v1:8:9795971:9803421:1 gene:GSCOC_T00024309001 transcript:CDP07166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G45890) UniProtKB/Swiss-Prot;Acc:Q7X6P3] MGNLWVQCKDLLTNLLLPEGFPESVTSDYLEYSLWRGVQGVAAQISGVLATQALLYAVGLGKGAIPTAAAVNWVLKDGIGYLSKILFSNYGRHFDVNPKGWRLFADLLENAAFGLEILTPAFPHFFVPIGAVAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKSVGILLGIAVANCIQSSTPLALATFGVVTWVHMFCNLKSYQSIQLRTFNPYRASLVFSEYLLSGLVPSVKEVNDEEPLFPAVPILNTKPADRVQMEILSVEAKEAAAHIEHRLQLGSKLSDVVRNREDAHALFGLYKDEGYILTEHEGRFCVVLKESCSPQDMLKSMFQVSYLYWLENNAGIKSSCASDDCKPEGKLHMSLEYVRWEYNHVKSDSEVAGWVTDGLIARPLPNRIRPGSATAFRASEG >CDP12206 pep chromosome:AUK_PRJEB4211_v1:8:31048851:31051277:1 gene:GSCOC_T00035620001 transcript:CDP12206 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWPQEIVVKSMEEIVVPNGSTTSCSKPGSSLERKVRPQKEQALNCPRCNSINTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSSSSSSSSSSSVSSSKKLPDLIPPSSHQNPKIHEGQDLNLGFSSSHDFKTITELIQVPNFDSNNKENLTSSSSNSTTTSCHLSALELLTGITPIRGLSSFVPMPITTDPTVVYSSSGGFQLPEFKPSLSFSLDGLGSSGGYGNLHGVQESSGGRPLFPFEDLKQVSGTTSTDVDQQNRDQHADSTGYWTGVLGGGGGSW >CDP17902 pep chromosome:AUK_PRJEB4211_v1:8:21424607:21425562:-1 gene:GSCOC_T00011898001 transcript:CDP17902 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSKMELNKMVELSKQIETLLRNVKWQHQNAVAGTNIETSQTSSKAQEVSEYAITDHEYSGSDITSSSCNGKMNREGKIVEMDQLEAELEAELQNLQLQLDTEVMLIYPDKNHGKIVVEDSAPEESQITNFGEVFEQPEIGNLEYYHGVSPRELERRLHELQEVRQEERIRELESALEFAIHKLNENQRELSWWKDTGRVGFHRIPLRCRSMR >CDP12136 pep chromosome:AUK_PRJEB4211_v1:8:30543225:30544866:1 gene:GSCOC_T00035530001 transcript:CDP12136 gene_biotype:protein_coding transcript_biotype:protein_coding METIQSHIAFLPSPGMGHLIPLTEFAKRLVLHHNFLVTLILPSDGSPMSAQKSYLQSLPATITPIYLPSVSFDDLPEDTRVETRIALTISRSLPAIHDALLQLLITKPCSRPISALVVDLFGSNAFRLARDLNMSSYMFFTSTAMSFSLLVHLPKLDQTYTCEYRDLTEAVVLPGCVPLLGKDFLDAIQERKNDAYKLLLELCKEYGSANGILINSFVELEFGALKALKEEGWFKPPIYPVGPLIQTRSINEVVPESSGCLEWLNNQPTKSVLFVCLGSGGTLSQEQINEMAFGLEMSEQRFLWIVQSPHQTAANAAFFTNKSVNNPLNFVPKGFLERTKEKGLVVPSWAPQIQVLSHGSTGGFVGHCGWNSVLESIVHGVPLIAWPLYAEQKMNAVVLTEDLKAALKVKADKIGLVGREQIAKLAKDLIQGDEGKDVRDKMKQLKDAAARAFELDGSSSISLAQVAQKMSVLKTK >CDP14967 pep chromosome:AUK_PRJEB4211_v1:8:8516075:8518496:1 gene:GSCOC_T00042484001 transcript:CDP14967 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLFLLVYLAAQNPIAILRKLMDLFEDADRLRNDDGSTLLKYVQRVIKIDTSISMLLMFTLRGAVSFIFRQTMIKLKAFEVQFPTWFPASIRCPAFECLLWTGRLLTSNRTQMRCFVFEIFKMMQIETKSETHSSRLKMGSSPPPPPPVPPLPKFSCTANPAIKRPTVVSVTNQEIAMYWRQRSMVEEDHLLAAIKAAARIRARNLSEDDYRRFEESLKEDDDGKEKDTAAESFSQKDEKIKELRVGIKDWWTKSKYAYLNQPVIESMDTPKRRASAYIPQFCCYMSAPPPATRNLGIF >CDP08294 pep chromosome:AUK_PRJEB4211_v1:8:25758715:25765977:1 gene:GSCOC_T00027082001 transcript:CDP08294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP57 [Source:Projected from Arabidopsis thaliana (AT4G33060) UniProtKB/Swiss-Prot;Acc:Q6Q152] MSTVYILEPPTKGKVILNTNYGPLDIELWPKEAPKAVRNFVQLCVEGYYDNTIFHRIIKSFLVQGGDPTATGQGGESIYGGVFADEFHSRLRFKHRGLVACAGAGSPNTNGSQFFITLDRCDWLDKKHTIFGKVTGDSIYNLLRIGEVETDKDDRPLDSPPKVLSVEVLWNPFEDIVPRLASSKSSQSSKVETEKKDTKQKATKKLNLLSFGDEAEEEEKESANVKTRIKSSHDVLDDPRLLKEENHTDDVKASEVKATRDKQLTVREALRSKREEPQGQSDAKLPEASDFSDEDEANFDARMRQQILLKRKELGVLPTEHKKDKGGSGSASPRNRTLSPPRSHVESDDDRPKVEKLSLKKKGLGSEARAELMANADADLQLMNNAERERQLQKQKKRRRQGHEDEVLKRLEKFKAAFSSKSNGLKDEDAGGKDGVPEWMGVQLKFAPDPVKDNMSRSEDPNDYVVHDPLLEKGKEKFNKMQARQKRRQREWAGKSLT >CDP12160 pep chromosome:AUK_PRJEB4211_v1:8:30729017:30729709:-1 gene:GSCOC_T00035561001 transcript:CDP12160 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit S, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23890) UniProtKB/Swiss-Prot;Acc:Q9T0A4] MASSFLQSPLLHNSSFLGHTSFTHKTSSNPTPKRASTIQFSPNAKFNLSEILGGRGLCNGEAGLQEELKKTVSLEPSKTTENEEKPQVSAVESVPEDGFEKELQGLTGGFPGGEKGLQKFIEQNPPPKKSQAEASAFLAYNQNFVKRQKPPQLPILLPGMIVIVKNPSNPYHMYCGIVQRITDGKAGVLFEGGNWDRLITFRLEELERREKGPPMVNPRSAILETMLDKN >CDP16196 pep chromosome:AUK_PRJEB4211_v1:8:24147027:24150441:1 gene:GSCOC_T00017294001 transcript:CDP16196 gene_biotype:protein_coding transcript_biotype:protein_coding MILKYRRDNTATLIRLLSIQGCKEYKFSMSSFSSKCMPNKLEGIKEDSEAIWLPATCPPPETPTESMEFLARSWSLSSMELSKALTHTNGAMNHDEKPQLSFLGDSQALKGRPLSSTEPAQTHPLSTSDSPPVSPRGSDDTKELFLLHQELNPDNIHNQQLLKNGLHRNTTRGKTVGRWIKDQRERKKQELRTHNAQLHAAVSVAGVAAAVAALAASSVTSPENSVTKNKKSSKASTAIASAAAVVASHCIEIAEDMGADHEQILSVVSSAINVRTNGDIMTLTAGAATALRGAATLRARLQRSYAGATIALAEEHDYNNKESNVLAAMDFVSRGGELLKRTRKGDLHWKLVSININSSWQVVAKMKSKHIAGTFTKKKKCVISGVYSDIPAWTGREREECNEQRAYFGIQTADRMVEFECRNKGEKQLWVDGIQHMLHFRANMA >CDP09942 pep chromosome:AUK_PRJEB4211_v1:8:27931340:27934788:-1 gene:GSCOC_T00030446001 transcript:CDP09942 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMQYNTNLQTKGVLEVGCFASSISSAEAKCKKDGNFTSNEPISVLDTRSPSPSTSTSTLSSSFGGTNGGGTSENTPNLVVGSHRNTLKGAAPLDPITTASATNGEGGGVKEEWVTELPAGLELSQDGPERYNLGLEDWETFLCESTGQDQSLIRWIAGDIEDPSFSMKQLLQGGNSNEIESNVGVGGIDQSSGFEALATGGCTADNGNVLPTLSSSPLGLSGSGNSFDSNNGKFGSVPSSLNSNNKNGNSQNLFPLPPNSSLGMPDGVNVAHQQQLQFATIPEQKSPNFMPHVMISTPQFQNAPNLNLLNSLLSCTNQDQPQPKRQNLGILNPAASSQIPKVPLLDPDPNLLLRKQQELQFGLGQQLNSLPSSNPQQKPFIVPKQEVAEGNGNLLVARHQQQVVYDQLYKATELVLAGNFSHAQGILARLNHQLSPAVKPFQRAAFYFKEALQLLLLLPNRAISPSLRIPTPLDGIFKMGAYKMLSEVSPLIQFKNFTSNQALLEALDDAANIHIIDFDIGCGAQWSSFMQELPRKNRGATSLKITAFASPSAYHSVEISLMHESLTHFANDLGLKFELEVVNFDSFDPSGYSASSFRSSESDTIAVNFPLWSVSSRPTILPSLLCFIKQLSPKIVVMLDHGCERFELPPSHHLLNALQYYEVFLDSVDAANMASDAVNKIERFLIQPTVESIVFGRLQSPNQIPPWTNLFASAGFSSVPISNFAETQAECVVKRSQVRGFHVEKRQASLVLSWQRRELLSASVWKC >CDP14971 pep chromosome:AUK_PRJEB4211_v1:8:8372008:8375814:1 gene:GSCOC_T00042489001 transcript:CDP14971 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTVRNLEIMLFIQVWILLQFKVLFENYHHNRFSYLSFFSCIV >CDP18475 pep chromosome:AUK_PRJEB4211_v1:8:22617315:22620216:1 gene:GSCOC_T00009039001 transcript:CDP18475 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLLHICTFLSLAVVASHADLDIYWKSKLPNTPMPKAVRDILHSEWLEDKSTSVAVGKGGVNVNTGKGKHAGSGTNVGVGHGGVGVTAGKPQTSVGVGKGGVIVHTGHKGRPVYVGVTPGYSPFNYLYAATEDQLHDNPNVALFFLKKDLHRGTSMNLQFVKSSNPATFLPRKVADSIPFSSKYIPEIFDKFSVKPESVEAETIKNTIKECEEPGIKGEDKYCATSLESMVDFSTSKLGNNVQAVSTEAEEDTKAQKYGIVDVKKLNNNKAVVCHKQNYAYAVFYCHSTQATEAYEVTLVGTDGKKAKAVAVKPGTVPICHFLPEDHVVWVPKN >CDP08215 pep chromosome:AUK_PRJEB4211_v1:8:25011536:25013275:-1 gene:GSCOC_T00026976001 transcript:CDP08215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g22760 [Source:Projected from Arabidopsis thaliana (AT4G22760) UniProtKB/Swiss-Prot;Acc:P0C8Q5] MQIPNFSALLTISLHIKQLKQIHGSILANGLDHLEPILIRQIIVSSGGFHQTHVTQYVKTILNHTQHRDVSPLGYAIRFLCQHGQFQDAVIFYVQLQRSGVFPNTYALSSIPKAYGKINCKNGGISVHCQVHKYGFASVVYVQTALLDFYSKMGDIKTAHKVFDEMSERNVVSWNAIISGYVKFGEFEMAQSVFDRMPERDIVSWNAILLGYAKAGKMDQAYVLFKEMPERGASSWNVMIRGYIDCGNIELARGFFDAMPKRNSISYITMISAYSKCGDVESAEKIFYEEEKKDQLLYNAMVACYAQNGRPKEALHLFDEMLQPILNIQPDKMTLASAISACSQLGDSNMGGRIESYMGEFGIRMDNYLSTALIDMHAKCGNIDKAIELFHRLEKKDIVAYSAIILGCGINGRGQDAIKLFEEMIDSNISPNSATITGILSAYNHAGLVEEGFDCFELMQKYGIIPGVDHYAIMVDLLGKAGRLEEAYELIKKMPMQPHSGVWGALLLACSVHNNVELGEIAAAKCFKLEPNSTGYCSLLSKIYVSSGKWDEATRLREAIEERSFNKVPGCSWIKPAEA >CDP12970 pep chromosome:AUK_PRJEB4211_v1:8:2696833:2704568:-1 gene:GSCOC_T00037683001 transcript:CDP12970 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLRQLSTLLRDEGRLLGGLQQEVQFIRDELEQMSAFLREAEAKEEDAQPTLQQWIKQVRDAAYDTEDILDEFVARFARHPATGFYGSVRRIFSSIKNLRARHRVASEIQSIKSRIKSISEAHQRYQSEYGISAQASNSLSAVNNTTWRYSRDDALLVEEAKLVGIDQPKKRLISELLEGDDHQLKVVSVVGMGGLGKTTLVKKVHEDPEVRRHFPVRAWVTVSQTCDFQYLLKDLIRQLHEEGKKPVPQSIESMTTTELKKFVKDFLQQAERYAIVFDDVWDVEFWNTIKFALPESSHGNRVMLTTRKADVASASCTESLGYIHRMEPLSFEDSWTLFCNKIFKGNSCPGHLMDVAKGILDKCEGLPLAILAISGLLALKDVNRAEEWEMVRRSLGGELEGTGKLDRVRKILSLSYGDLPWHLKTCLLYTSIYPEDYVIECNRLIYLWIAERFVEWREGISIEDVAWAYFSELVNRSLIQVTRVFYEGMPDTCRIHDLLREVIISKLREQNIVTVTTGQPTISNNTQHHQQRQNNCFDHLRSFVTIESTNQLLFKTLLSEVLGSSKLLKVLDFGGQETQEEIPNEVFKMFHLKHLDLYGTRVERVPKAIGKLQHLEYLNLGNTGVRELPMEILKLQKLRFLKVYQQVDSSDDDYGSHGFKAPSNMGGLLALEVLDCIDASSGSTIVKEIGKLTQLRQLYITKLRREDGKELCSSLANLTSLRELSVYSIGKGDDHEIIDLNHHHPSLSSSSFLQSLRMLILCGRLERMPQWVAHLHGLVRIDLDWSRLRGEEDPLESLQHLPNLVSINFFTQKLGGILKLMLFSLENNQIEGSVPRDIGNLTALKELYLGVNNLTGVIPYEVGNLQTLEVLNRRFNILKGSIPTRISNISTLRVLSLVANSLSGNLPSNVGLGLSNLEELYLSSNDLSGLIPKTVGNLSNLSVLGLQENPLSGSIPSTVKGLQMLQGLSIESNNASGTIPDSLCSLQYLNLLHLIGNQFLHV >CDP19385 pep chromosome:AUK_PRJEB4211_v1:8:23103076:23105636:-1 gene:GSCOC_T00004000001 transcript:CDP19385 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAPAAKQFQNETDSLALLELKKQIHDDPFGVLNSWNHSQHHCQWEGLTCGTRHQRVIALTLKGEALGNQLSGTIPEGFGNFVNLYLLGLEENSLSGVIPRDFGKLQNLQHLRFDTNQFSGQIVSILCNATTLYYLDLSFNQFEGGNILDNNLFLQSNFFQGTIPPNLASLKSIQQLDLSSNNLTGPIPRELEKLQFLRYLNLSCNDIEGKIPNTGIFSNASQISLIGNNKLFFLLLPNNNMSRNKISEYGMGLAASTQGDVYSYGILLLEIITGRRPTDDIFVGDLDLHNYVNGALHEQVSEIVDPLLFLEGDENRNMTPGGKNSNGGKEMECIISLLKIGLKCSARLPNDRMHMNEVVRKLHLIKDVFLGVRVHQENLEA >CDP09794 pep chromosome:AUK_PRJEB4211_v1:8:29192557:29196189:-1 gene:GSCOC_T00030260001 transcript:CDP09794 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLTSSSGPDIPWVEKYRPTKVADIVGNEDAVVRLQVIARDGNMPNLILAGPPGTGKTTSVLALAHELLGPNYKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLSAGRHKIIILDEADSMTSGAQQALRRIMEIYSNSTRFALACNTSSKVIEPIQSRCAIVRFSRLTDQEILSRLMVVVAAEKVPYVPEGLDAIIFTADGDMRQALNNLQATNSGFGFVNQENVFKVCDQPHPLHVKSMIRNLLEGKFDDSCAGLKQLYDLGYSPTDIITTLFRVIKNYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKLALVRDTAKAA >CDP08322 pep chromosome:AUK_PRJEB4211_v1:8:25990247:25991720:1 gene:GSCOC_T00027120001 transcript:CDP08322 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCATLDTVYALRRNELRQMIKYIHSQAGKQVNVGQQLFLSVLNVITNMLWGGTVKGDERAGVGAEFRKVITEITGFLGMPNLSDFYPGLARFDLQGIQKKSKVLAQRFDAIFEKMIEQRRLNDIRSESKDFLQFLLQLKGQGDARTPLTMTHIKALLMDMVVGGSDTTSNTVEFALAEMMNKPEVLRKVQEEVDRVVGKNRVVEESDIPNLPYLYAVMKEQLRLHPALPLLVPHCPSETCIVGGYTIPKGARVFINVWAIHRDPSIWENPSDFRPERFIDSKWDYSGNDFNYFPFGSGRRICAGTAMAERMFMFSLASLVHSFDWKTADGDNIDLAEKFGIVLKKKTPLVAIPTPRLSDASLYT >CDP08202 pep chromosome:AUK_PRJEB4211_v1:8:24848119:24851170:1 gene:GSCOC_T00026961001 transcript:CDP08202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit C, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32915) UniProtKB/Swiss-Prot;Acc:F4JV80] MGSRAFMVLLSHRGAYINPSANAAQLFLTFGQSPFSPVKKKCLVERRNHRTSHNFSTAANSSLEPPNLPRLAETARISLTPDEVEEFAPKMKQVVDWFGQLQAIDLESIEPAMRADTEDDNSRDDLPQIFENREAIIKAMPSYEEPYIKVPKVLNKE >CDP14459 pep chromosome:AUK_PRJEB4211_v1:8:14185868:14187335:-1 gene:GSCOC_T00040950001 transcript:CDP14459 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGLLPQIFFLSFCLDPKEENSRRRSQGPLLQISSSLFFCSFCSSRERNARDLFCKNFFRLLRASVKSSGENFFFLSVGAPGTPYAILEPFLQFHLLLFGQKMGS >CDP09792 pep chromosome:AUK_PRJEB4211_v1:8:29213949:29214762:-1 gene:GSCOC_T00030258001 transcript:CDP09792 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRSMLEITVISAQGLKASSSSTLFPPRRLRPFATITTTPPFSFRPPAAAANMSCKKLSTVCRTKVDDKGGVNPTWGEKFQLPLDAHFSCMCLQLYTKKKNFFFTGYTHLGWCQIPVTDILDGLLPAGSLRHLAYQLRARDGSRGHGIVNVAVKLESTVPLPMAHPQWSSTCSNTQYWPEMNASRMVVGIPVECVSSSTPDRLTEP >CDP10022 pep chromosome:AUK_PRJEB4211_v1:8:27133020:27141758:1 gene:GSCOC_T00030562001 transcript:CDP10022 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHGKGLAEGFELSIQNGKSPIDALNAKFNAEHSHMMLNIKSRSGSKMGNSPHKAIQSRVPIEESDKPEHFTIVTTTRPPTENLEYLVNQKPENEALGGQENKENARTGFAENGFISMRRSKVGDGENSCKGPLAGESYSSRNGATVPPRGNKEATATRKILLDTTNFQAPNLLEVTGKWRCPQKSKPNLGPPLKQLRLEQWVRRQWLKQKSKPISSSQQLLFHLKKFNINPHQEWNRQSVRTLILQSTSDSVKLHKLDDSDSGVVEVNLDRPGAKNAISKDMLHGLQRSLEAVYKDHSAKVLMICSSVHKAFCAGADLKERKTMTPDEIQNYVNSLRSTFSFLEGLHIPTIAVVEGAALGGGLEMALSCDLRICGEDAVFGLPETGLAIIPGAGGTQRLPRLVGKSLAKEIIFTGRKIGARDALSMGLVNHCVPAGEARSKALEIARDINQKGPLAIRMAKRAIDGGLEMDIASGLSVEMDCYQQLLNTKDRLEGLAAFAEKRRPSYNGE >CDP12252 pep chromosome:AUK_PRJEB4211_v1:8:31399414:31403010:1 gene:GSCOC_T00035681001 transcript:CDP12252 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGNENGAETSPQRRAPRLNERILSSLSRRSVAAHPWHDLEIGPGAPQIFNVVIEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQARREPVLPGCFLRARAVGLMPMIDQGEKDDKIIAVCADDPEYRHYTDINQLPPHRLAEIRRFFEDYKKNENKEVAVNEFLPAATAVDAIQYSMDLYAEYILQTLRK >CDP09964 pep chromosome:AUK_PRJEB4211_v1:8:27767233:27769869:-1 gene:GSCOC_T00030480001 transcript:CDP09964 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLVLVAKLKLLSAGHHMCLSTPIMASLVGPFLLKFIFSTFKPLHQVFINTAYASRLFIFQMGQITFSSQPPGFTNGANTRWQRALRGEYPCYLSSSPLSSQTGVLKNLLLTCGFSFFEDQHKEQIHECYMKQVCITGMQLVKRCLCVSIYKNCH >CDP19927 pep chromosome:AUK_PRJEB4211_v1:8:22942155:22945328:1 gene:GSCOC_T00006909001 transcript:CDP19927 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSSTLANIFLFLLVAMNLSVSHVSASKQFQNETDRLALLEFKNQIYDDPSGVLNSWNHSQHHCRWEGVTCGTRHQRVMALTLRDKHLSGTISPHVGNLSFMRFIQLGKNQFHGEVPQEFGRLFRLRVLNLSSNAIGGKIPANLSYCSELITISLKWNKLEGKIPIDQLSNLKKLKEINLFSNNLTGEIPSSIGNLSSLTALNLDFNHLEGSLPMEMGLLERLAKLGIAANNLSGIIPASIFNSSAIIVISAADNFFHGNLPTNIGLTLPNLEALGFGGNNFYGNFPTSITNASGLEKLDLSRNKFAGQVPANLGDLTNLQYLNLEENLFGGNSTGDLDFIASVMANLPNQLTKLFLGGNQLSGTIPEGFGKIANLYVLGLESNYLSGVIPRDFGKLQNLQFLSLYQNELSGQIVSTLCNATGLSELYLSTNQFEGGNIFDNVLTNCQNLQYLDISQNNFTGIIPPHFLETHSSLIEMVLSENSFTGSLPPEVGKLVHLVNFSVSHNQLAGAIPISLADCSDLENLYMDANFFQGTIPPNLASWKSIQQLDLSSNNLTGPIPRELEKLQYLRYLNLSYNDIEGEIPNTGVFRNASQISLTGNNRLSSKSFKAECKALRNIRHRNLVSIVSYCSSIDSKGDEFKALIYEFMENGNLDLWLHPSGTTDQATSSRSLNLLQKLNIAIDVASTLQYLHDHCETEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPVNTSSEQRTSSTVAIKGSIGYAAPEYGMGLAASTQGDVYSYGILLLEMITGRRPTDDIFVGDLDLHNYVNGALHERVSEIVDPLLFLEGDENRNMTPGGETINGGREMECIISLLKIGLKCSARLPNDRMHMNEVVRKLHLIKDVFLGVRVHQENLEA >CDP08304 pep chromosome:AUK_PRJEB4211_v1:8:25854936:25860811:1 gene:GSCOC_T00027096001 transcript:CDP08304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID MOVEMENT IMPAIRED 1-RELATED 2 [Source:Projected from Arabidopsis thaliana (AT5G26160) UniProtKB/Swiss-Prot;Acc:Q7Y219] MLSWLFSFLNWRNTEPNKYLISMVISKDDTVMSNDEALSSGQLLRDIGEISQALYLHKDPSKSVHLQSKHQSIFGTKASVRDVFQKEKKSSIWGWKPLKALTHIRSHRFNCFFFLHVHSVEGLPSNFNDLILCVNWKRKNEVFKTHPVRVFEGIAKFEETLMHQCSVYVSRNGPQNSAKYEPKLFLLQASIIGAPTLDIGKHWVDLARLLPLTVEELEEEKRTSGKWTTSFKLKGKAKGAILNVSFGFSILGDNPFDPRHFLTVSDMPKDSGQTPIAISSDCDQSSSNIALRRSGSVPRKSYNGHQHVSSQSLDMKYLSEVFPNQNSELARSINFLYQKLDEGKFGNLKEVDGFHENLVPFNSKFASSGNGFDDSDFIVIDQGVELSVKDDWKLDHNSTECFNKPVIETIDVAEIFQEDMTDFSAKGEPNSNHLLDCSNSCESAIQSKCEENNVYGKESTVEESTMVSCKFIASESAEFDMSSNISKCIEEETYMNTESSCGASKLVRSLSLDDVTESVANEFLDMLGFGHNPRDMTSDSEPESPRGHLLKQFEMEFCAFENPILDLDAASERIEVSGVARTGSGRVACSDDFDLSLVIQEAEKEYNRVTQSLRSRRNAKMLENLETETLMQRWGLNDKVFQNSPRITSDGFGSPVYFPPEEPSRLPALAEGLGPTIQTKSGGLLRSMSSSLFRRAKNSAKLIMQVSNAVVLPAVMGSNVVEILQCWASGGAEDMFAKTNELMPLEDITGRTMEQVILETEHSSEVIKRFDQSASLNDFRVKDHSFVLEKNDEGSLFGQNAPNLGSITEKVYSDYVSLEDLVPLAVANFEALSIEGLRIQCGWSDAEAPSCIRPQFTENWTSVGQNVKLGGVMGSLGPTPLQLLDVKREDGIAELIKFSISLNEWIRLDAVDIDYENEVDGEMLKILAAHHADLFDLGGLQMTRNGQRVKLSGSNSHLFGNNFTLALRLQLRDPFRDFEMVGSSILALAQVERICIPVHDEMHNTNIETDLSNKKDDLNEEFVMEGTSAEENHKCINAPFISRFKISGVHIAGFNVEPNGRGMLINPRQLQSGSRWLLSSGMNRTSKRPFSKSSAITKPSSQLLQKQTCDTLWSISSEVQSAAARWKHFGAQNIHVRNPDIAFPN >CDP08266 pep chromosome:AUK_PRJEB4211_v1:8:25496376:25499013:1 gene:GSCOC_T00027039001 transcript:CDP08266 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSIFRRRSHQLQSRTWKGEREMVVVGYHKQLRRIPLLILAFFFSSFFASCSSLKANVKSDFTILLAFKSSSDSSNSLASWTNISSHHPCSAWLGVTCNPKTQRVTKLVLNYLNLTGSIQDLAELTQLRHLSLHHNRLSVTPNFTAWPSLRHLYLSHNHFTGKFPAGISHLKGLRRLDLSFNYFSGEIPVTGLTQLTHLLTLRLESNWFNGTLGPDNSSLESLLNFNVSENGLTGEIPSWLSKFPASSFAGNVRLCGRPLPSDCSFKPAVVNPRAGKDGPLPIGVTDVKKERSSKNGMVLMIIMIDVVAVMLITLTVACCCYRRKYSQARERGKNAKGTTGIAYGTTARDGASGEMVCFEGCKGFAKVEDLLTASAEMLGKGSVGTTYRVVMDGGDVVVVKRVREKVKKMKDVDGFLREIGGLRHPNIVSLRAYYSSREELLLVYDFLQAGSLHNLLHGNRGPGRTPLDWPTRLKFALGSAGGLAFLHCYTKAKLFHGHLTSANILIDNQGNACISDIGVHQLLQAPSSSTNNNNAYKAPEMLPLGNAAANYNQTRKYSQKCDVYSFGVVLLEILTGKMATSEGETSLAKWVQRVSREEWTWDVFDFELVRFKEMEEEMTALLQVAILCLASSAKDRPKMTAVHKMIEDIIRVQKG >CDP09960 pep chromosome:AUK_PRJEB4211_v1:8:27795612:27803483:-1 gene:GSCOC_T00030474001 transcript:CDP09960 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFLEPRLLPNRLLSLCSLFTILKNPSSFQFKSRAVRNMSSDSAQRMFQLKLDPLTGNSEWVVIEENQTPEEKTKEPLLATTSYLDMLNDSHRNRAFRQAIDKTITKPCHVLDIGAGTGLLSMMAARAMGLDDSSTSYCPKGKVTACESYLPMVKLMRKVLRANGMDKKVRVINKRSDELEVGIDMSSRADVLVSEILDSELLGEGLIPTLQHAHDNLLVENPQTVPYRATTYGQLVESPYLRKLHDLINNEAKALDGIYLVPNGVHSILQIKEQQFAMHCDAMKEEFKLLSEPFKVFDFEFWKRPESNRKTEVCIKATNDGTIHAIVSWWLLQLDNEGTIFYCTGPKWISDQSKSFFPGMGNWCDHWKQCIWFLPEKGMTVCKHQEVFVGARHTKTSISYRVKTSLEKEDGGHCDPSPGDNQIFLTPEQIAIYGDCNWRLSMFNVIKNALKQKVSPMCIVVDDSLFLPIAIASLSKSAHVIALFPGLREKGTHYLQAVASSNFYSMDRLEVLRTRNQQLTMENTHQTKVGLFVGEPFYYGNENVLPWRNLRFWKERTMLDSVLSKDVLIVPCKGILRACAMSLPDFWRSRRCLQEIEGFDHSVANSTLGACGDLPADEDGPCLPFPIWQCGESKRLSDTVSIMEFDFLKPISSCAGTAQVEFTESGICHGFVLWIDWMMDSSTELSTGPDKRYWKQAVKLLNKPVAVGSHGLTLADCFSTEIKASFDPSSGDLNIKYVFF >CDP12221 pep chromosome:AUK_PRJEB4211_v1:8:31159974:31168925:-1 gene:GSCOC_T00035642001 transcript:CDP12221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HLB1 [Source:Projected from Arabidopsis thaliana (AT5G41950) UniProtKB/Swiss-Prot;Acc:Q9FHY8] MRELLNELKNGEMVPGGSDADHRDTATPHSQESTQHIDQNNAAMELLDSVTGADEEGRSRQRILTFAAKRYASALERNPDDYDALYNWALVLQESADNVSPDSVSPSKDALLEEACKKYEAATYLCPTLNDAYYNWAIAISDRAKMRGRTKEAEELWKQATKNYEKAVNLNWNSPQALNNWGLALQELSAIVPAREKQNIVKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDLSRTGGPINAKEVSPNELYSQSAIYIAAAHALKPNYSVYTSALKLVRSMLPLPYLKVGYLTAPPAGNPIAPHSDWKRSHFVLNHEGLQQIAKIDQKHLAESLSSKSGDTMSTTSKPAIRVDVQDITSVSTCADLTLPPGAGLCIDTIHGPVFLIADSWEYLDGWLDAIRLVYTIFARRKSDVLAGIITG >CDP09857 pep chromosome:AUK_PRJEB4211_v1:8:28660479:28665377:1 gene:GSCOC_T00030335001 transcript:CDP09857 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGAGGGFVVVPVLGWRKAGEEKEEDPNGDYVKLKDDDVEGGYGRVADIGEAVGSASSSSSSSSSSSSSRCSRCWYSIWWWAKLILLVGFLSVLAAVFFKWIGPFFMDKEIIPAINWETKTFSRPVLALLVFGSVALFPTLLLPSSPSMWVAGMTFGYGYGFLLIIGAVIIGVSLPYFIGSLFHHKIQGLLERYPKRASLIRLAGEGNCFKQFRAVALIRISPFPYIIYNYCAVATDVKYVPYLLGSLVGMVPETFLTLYTGILIKTLADASHDRHALSAPQIILNLVGFCATVATTVVVTIYAKRRLKELQKEEELLLQ >CDP13540 pep chromosome:AUK_PRJEB4211_v1:8:431665:441882:-1 gene:GSCOC_T00038523001 transcript:CDP13540 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLGFILGAALGLGLIVGFARYQNIRSKNRSDLAATIAAFARMTVQDSRKLLPPESYPSWVVFTQRQNNKSAYFYLFQLTWLNHHLDKLWPYINQAASELIRSSVEPTLEEYRPAIIASLKFSKLTLGTVAPQFTGKISGIAVIDGDVGEIVMELELQWDGNPSIIIDIETRVGVKLPIQVKNIGFTGVFRLMFKPLVDEFPCFGAVCYSLRQKKNLDFTLKVVGGEITAIPGISDSIEEMIRDAIEDSITWPVRKIVPILPGDYSDLELKPVGILDVKLVEAKELTNKDFIGKSDPYAELFIRPLRNRTKTSKTINNQINPIWNEHFEFIVEDVSTQNLTIRIYDDEGIQASEFIGCARILLKDLQPGKVKDVWLKLVKDLEIQRDTKNRGQVHLELLYCPFGSESALLTRFNPDFRLTDLEKALKQDIDSDDTEKPALHRKRDVIVRGVLSVTVISAEDLPATDFMGKSDPFVVLEMKKSKQKNKTRVLNDTLNPVWNQTFDFVVEDGLHDLLMLEVYDHDTFGKDKMGRCVMTLTRVILEEEFTDVFPVDGTPSGKLNLHLKWTPQLIVKE >CDP13454 pep chromosome:AUK_PRJEB4211_v1:8:1260079:1264213:1 gene:GSCOC_T00038406001 transcript:CDP13454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thermospermine synthase ACAULIS5 [Source:Projected from Arabidopsis thaliana (AT5G19530) UniProtKB/Swiss-Prot;Acc:Q9S7X6] MGEAVEFFDCNCFSKVHCEAQPDQRLVMTMEGNHHQNDGTWFEEEIDDDLRWSFALNSVLHKGTSEYQDIALLDTKKFGKVLVIDGKMQSAEVDEFIYHECLIHPALLCHPNPKTVFIMGGGEGSAAREALRHKSIDKVVMCDIDQEVVDFCRRYLTANHDAFHNNKLHLVINDAKAELEERKEKFDIIVGDLADPVEGGPCYQLYTKSFYENILKPKLSDNGIFVTQAGPAGVFTHKEVFSSIYNTIKQVFKYVLAYTAHVPSFADTWGWVIASDQPFCLDAGKIDKKMAERIDGELLYLSGASFFSSTILNKTVAKTLKNETHVYTEEDARFIHGHGVAYRN >CDP09807 pep chromosome:AUK_PRJEB4211_v1:8:29077950:29081265:1 gene:GSCOC_T00030274001 transcript:CDP09807 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPDLAVATSTKIKFDDSDDDHDSTSEDEGEIEKELADVTFEELQRAKSDGSEMVYRKFKSENKVGGRANKNRPMEMSSKKRVSRYREVIQVPKKVVRDPRFESLCGRLDVEGFKKRYGFLYENEFPGGKEDKQLKGAVSRRTDREILAEHKKKEREAAKQGKKPYYLKKSEIRKEKLIDKYKELKASGKLESFIEKKRKRNASKDHRYMPYRRLEGGDQ >CDP18441 pep chromosome:AUK_PRJEB4211_v1:8:22061586:22063428:1 gene:GSCOC_T00007261001 transcript:CDP18441 gene_biotype:protein_coding transcript_biotype:protein_coding MISHSHQLLKSIQKLDLSSNNLTGSIPRELEKLQFLRYLNLSCNNIEGEIPNTGIFSNASQMSLTGNNKLCGGIPELGFPPCPVIQGKNRGKLKVVILLSIVLPTTLLLLRLSYHEPLRATSGFSPENLIGSGNFGSVYKGRLEKHGNKLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIVSYCSSIDSKGDEFKALVYEYMENGNLDLWLHPAETTDQATSSRSLNLLQKLNIAIDVASALQYLHDHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPVNTSYEQRTSSTIAIKGSIGYAAPEYGMGLAASTQGDVYSYGILLLEMIIGRRPTDDIFVGDLDLHNYVNGALHERMECIISLLKIGLKCSARLPNDRMHMNEVVRKLHLIKDVFLGVRVHQENLEA >CDP18494 pep chromosome:AUK_PRJEB4211_v1:8:22851071:22857957:1 gene:GSCOC_T00009067001 transcript:CDP18494 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLIKVSYHELHRATSGFSPENSVGSGSFGIVSILLLFLVLVAMNLSAKSNASAVDGFRNDTDQLALLEFKQQILDDPHGVLSSWNHSEHHCQWQGITCDTQHQRVTALTLAGKSLSGTLSPHIGNLSFMKSIQLGGNLFHGEIPPEIGRLFRLRFLNLSRNTFSGEIPVNLSHCSQITTISLSRNRLQGKIPAELGNLKKLEGLYLSTNYLTGEIPSSIGNLSSLISLYLVFNNLEGFLPKEIGSLTRLSELVATTNQLSGTVPDSIYNISTMTNFLLGGNFFHGSIPTYIGLTFKNLQTLSLGKNNFFGRIPVSITNISGLNALDLSDNKFEGQVPINIGDLSNLQFFNLGGNILGSNSTRDLIFISSLTNNSNLRTFAIDGNNFGGTIPKVLTNLSYQLTGLGMGANQLAGNIPEGFGNFFNLYAFDMSSNYLSGEIPSDFSKFKNLQFLGLNQNRLSGEILYNLCNVTTLFHLDLSSNLFEGHNIVGNILMNCQNLQELDISQNKLSGVIPPLFFEMHASLVYINMGNNTFNGSLPLEVGKLIHLVVFNISYNMFSGEIPPSLADCLDLEHLRMEANFFHGMIPSKLASLTGIQEIDLSSNSLTGQIPRDLGKLQSLKYLNLSYNDLVGEIPTSGIFANASQVTLIGNHKLCGGIPELALPTCPMIKPKNNKKSKVIVVLSTVLPVMVLAIGTIFLYYAVHQRRDRRKKDSSTMHTGGEKLLRVSYFELKHATAEFSADNLVGSGSFGVVYKGRLDQHANRVVAVKVLDVERNGASKSFKAECRVLRNIRHRNLVSILTYCSSIDSKGHEFKAVVYEFMENGSLDTWLHPESAERMKSRNLNFHQRLSIALEVASALDYLHNHSEVAIVHCDLKPSNILLDKDLVAHVGDFGLARLLPRTTISSSQQGTSSSVALKGTIGYAAPEYGLGLEASTQGDVYSYGMLLLEMFTGRRPTDAAFVDDLDLHNCVKMALPEQVWKIVDPSLLPEEVEQSRQIYQVDAGDEDIGLSGDINKVQKCLVSIFQVGLGCSQKSSKDRMDMKQVTRELHLIRDAFQRC >CDP09966 pep chromosome:AUK_PRJEB4211_v1:8:27742323:27746258:-1 gene:GSCOC_T00030484001 transcript:CDP09966 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNSHFALFFVSFVTLLFLVPNSRASSRFHRRHHFYKHNSLSTQEPSFNSSNHHHRHRWIGPSGNRLITVDLEGFGNFRSVQAAVDSVPESNRENVLIRISPGSYIEKVVVPASKPYITFEGAGRGATVIEWHDRASDRGPDGQQLRTYHTASVSVFASYFSARNISFKNTAPAPMPGMQGWQAVAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKDCYIEGSIDFIFGNGRSMYKDCELHSIATRFGSIAAQGRKSPDEKTGFAFVRCRVTGSGPTYVGRAMGQYSRIVYSYTFFDDVVAHGGWDDWDHVSNKNRTVFFGVYKCWGPGAAAVRGVSWARELDFEAAHPFLVKSFVNGRHWIAPYDA >CDP15675 pep chromosome:AUK_PRJEB4211_v1:8:6857491:6859228:1 gene:GSCOC_T00015634001 transcript:CDP15675 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCAKEGLNRGAWTPLEDRMLIGYIKSHGEGKWRSLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNITADEEDLIIRLHKLLGNRRVTLTHHSFLISLTNFLRQLF >CDP08145 pep chromosome:AUK_PRJEB4211_v1:8:24311949:24316336:-1 gene:GSCOC_T00026886001 transcript:CDP08145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP46 homolog [Source:Projected from Arabidopsis thaliana (AT3G46210) UniProtKB/Swiss-Prot;Acc:Q9LX74] MEIDRGDGRKPNQLRPVACSRGILNRAHGSASWSQGETKVLAAVYGPKAGTKKNENPEKACFEVIWKPKTGQIGKPEKECEMILKRTLQSICLLNVHPNTTTSIIIQVVNDDGGLLPCAINVACAALVDAGIPLKHLAVAICCSLAKNGRVLLDPNKSEEQNMKGFVYLAFPNSIQSVLPEGSLQVGGEPVEHGIITSVTHGAMSVDDYLLCLQRGRAACEKLSVFIRSSLK >CDP12149 pep chromosome:AUK_PRJEB4211_v1:8:30617642:30621805:1 gene:GSCOC_T00035547001 transcript:CDP12149 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCRLLGTVFLALLIINSSEASDLEVLGDLKTGKNVKGSDISNKNDGGKEKVVNPEKKGQDQVGTELKEGADNKTTDDVKGKQVGSKGGGIEQKKTDASGSEPKKTDGSGSEQKKTDGTSSEQKKTDVAGSEQKKTVDTSSKQEKPNDNVTKDVDKKDKARGDSGSQSKDISVTQGRKEGGEACDSSSNSCTIEEKSVIACLRVPGSESEKLSLLIQNKGNVPVSVTLSAPDFVKLEKKQVQVQANNNEKVKVSIGNGESTTGIILNAGNGNCNIDIKEMSVQRSKEETAVSAQLTYINLLKSTPSAWFISLAAILVIASVCIGIGFRRKYGARNSPSYEKLEMELPVSGASKVIPDSNDGWDDSWGDSWDDEEAPKTPSVPVTPSISSNGIASRRINKEAWKD >CDP13022 pep chromosome:AUK_PRJEB4211_v1:8:3276030:3277234:-1 gene:GSCOC_T00037759001 transcript:CDP13022 gene_biotype:protein_coding transcript_biotype:protein_coding MSDISPVDPNIDLYGFLGIIRCPGGTIRRLPGPATPASSDPRNPLHLSKDISINQHKGTWARIYVPREAFDSSPDTKLPVIVYFHGGGFIVGNVSTPLFDDLHSELTIRIPAVIISVDYCLAPEHRLPAAYNDCLEALYTIKDSNDEWLEKYADLSKCFLMGTSAGGNIAYHGGLLAAACVDDLKPLEIKGLILHHPFFGGTRRTDSELRMANDKVIPLCATDFMWELSLPIGADRDHEFSNPMMGINPQLFELIKALGWKILVIGCAGDPLIDRQIELVKKLEENGASVKGKFDEGGYHGYGYVNPTANAITMVVKEFVLSSITFFFFFFFFLLL >CDP13073 pep chromosome:AUK_PRJEB4211_v1:8:4016671:4020390:-1 gene:GSCOC_T00037841001 transcript:CDP13073 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRAIGSRPRGLVNGKQDPTQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHRVDVSASKKITDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQAVQQSSYTAGETDVNSDKSSEQQALLVESRRQEILLLDNEIAFNEAIIEEREQGIQEIQQQIGEVNDIFKDLAVLVHEQGNMIDDIGSHVENAHAATAQGRSQLAKAAKTQRSNSSVTCLLLVIFGIVLLIVIVLLAA >CDP13431 pep chromosome:AUK_PRJEB4211_v1:8:1458823:1459777:1 gene:GSCOC_T00038373001 transcript:CDP13431 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKKSFTLLQTVATAAVFSAVSGWYGFMFGRESARKELNDLIEGLRRSNSEHPSSPPPADS >CDP13508 pep chromosome:AUK_PRJEB4211_v1:8:773606:774670:-1 gene:GSCOC_T00038470001 transcript:CDP13508 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSAVKTEAVDVTLAALGFVFEELSADKVSGHIQVSLKCCQPFKVLHGGVSALIAESLASIGAHMASGFKRVAGIHLSINHVKSAHLGDLVFAEATPVSLGKTVQVWEVKLWKIGTSRNTFSNSSEERSLTASSRVTLLCNLPVPENAKHAGDNLKKYAKL >CDP16147 pep chromosome:AUK_PRJEB4211_v1:8:23392553:23394160:-1 gene:GSCOC_T00017227001 transcript:CDP16147 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLFRDILLFENQLPFFILVRLLEMTKPPGGAEEENLIDLAIFPDSPLHFFFPGEKPVSLPNPTTNIAGNVGDVVHLLHLVHECWYNCSTSGEVNEHIKCASELRQARIKFEKANKSVSCLDIAFEKGIMKIPTFDVHDVTECVFRNLIACEPYMINGLLDKRYVTDYFHGSPYRPISIRDVEKLRHQKIITKRLGDDEAISSMFNKLVKVVEIDTGNNLFCYSGVSKQVTEYSSRRRNIWRAHLMRNYFNNPWSIISFAAALMLLCLTFVQTYPTIYKPAQLYM >CDP13532 pep chromosome:AUK_PRJEB4211_v1:8:581362:587383:-1 gene:GSCOC_T00038506001 transcript:CDP13532 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIAASQVTSSFDFELVGDDSDQLTTVIASSSQISPWIDPSKIKLRHRIGRGPFGDVWLATRHQATEEYEEYHEVAVKMLHPIKEDAINVVLRKLDDLFSKCQELESVCRMQGLSMISGKLCIVMKFYEGSIGDKMSHCKGGKLSLHDVLRYGTDLAHGLMELHSKEILILNLKPFNFLLNENNHAILGDVGIPYVLLGIPLLSLEMTRRLGTPNYMAPEQWQPEVRGPISFETDSWGFACSIVEMLTGVQPWSGKSVDEIYRSVVRRQEKPHIPVGLPPSVQNIISGCFEYDLRSRPLMEDILNAFKSSQNAVFQDGNWIDPGGRKITEKSSSTGYSEWFLSKDCLQVGDMVRSRKPPDSCKPENMEVPEGTVVGLDPNSDQNGYVLVRVHGIHDPLRVNGSTLERVSYGFAAGDWVRLKAEGKKHSPVGILHLINRDGSVAAGFIGMETLWKGRYSELQMAETYCVGQFVRLKSDTFNPRFEWPRKRGGEWTTGRICQVLPNGCLVVRFPGRLTFGDENSSFLADPAEVQSVSFSTSPGVVKKYQHLEDFHWVVRPLLIALGLFTAMKLGLFVGKKISRSKIRKGRTNVIPVANIIFREGVSAPTSW >CDP13480 pep chromosome:AUK_PRJEB4211_v1:8:1013812:1016087:1 gene:GSCOC_T00038437001 transcript:CDP13480 gene_biotype:protein_coding transcript_biotype:protein_coding MCCTAPAATRPLSLDVFKNQNPQLLASSTLRSAELLLLFSQIRHLPPFSSITIFYKALEDHNPRLSRGTAVF >CDP12058 pep chromosome:AUK_PRJEB4211_v1:8:29930192:29938566:1 gene:GSCOC_T00035432001 transcript:CDP12058 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSKYQGYVGRVFGNENSGSSEDSYIERLLDRISNGVLAEDRRAAMAELQSAVPESQAAQLAFGAMGFPILLSVLKEERDDLELVRGALETLLGALTPIAHSRGPKNEVQPALMNSDLLSREVDSISLLLSLLSDEDFYVRYFTIQLLTALLTNSPSRLQEAILTIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGAFEKIFSIVKEEGGSEGGVVVQDCLELLNNLLRNNASNQVLLRETMGLDPLMSILRGTTYKFTQQKTINILSVLETIHLLMIGGREADPGKEKNSLTNKTVFVQKKVLDHLLMLGVENQWAPVPVRCMALQCIGDLIAGHPQNRDSLASKVLGEEPHVEPALNSILRIILRTSSVQEFVSADYVFKSFCEKNPDGQTMLASTLIPQPQLMTHAPFEDDVHMSFGSMLLHGLTMSESNGDLETCCRAASVLSHVLKDNMECKERVLKIKLEAPMPSLGGPEPLMHRMVKYLALSSSMNGNDGKSSGSGNAYVQPFILKLLVIWLSSCPNAVQCFLDSRPHLTYLLELVSNPAMTVCVRGLAAVLLGECVIFNKSNDSGRDALSIADAVSQKIGLTSYFLKFDEMQKSLLFTSAKPTLSRKQLTRSSAASMAEIEDVDENEAIDLKNDHAMLVSTFDSQFVNFLKHLEGEIREKTVEIYSHPKSQVAVVPAELEQRNGEANGDYIKRLKTFVEKQCLEIQDLLSRNATLAEDLARTGGDGSSELERRPSAGAERVQVEALRRDLHEASQRLEMLKAEKTKIESEASMYRNLAGKMEADLKSLSDAYNSLEQTNFHLEKEVKALSSGGAVPIPDVETLKAEAREEAQKESEAELNDLFVCLGQEQSKVERLSARLMELGEDVEKLLEDIGDDPGLPEDEED >CDP17880 pep chromosome:AUK_PRJEB4211_v1:8:20917610:20918575:1 gene:GSCOC_T00011859001 transcript:CDP17880 gene_biotype:protein_coding transcript_biotype:protein_coding MAINKIGAMAILFCGMILLGANIEVKAVRQAPLRPCPRNCIGGTVYKVCNGTKTYTDCTNCCVSDGCTLYFEDGSSLYCDWPYAKY >CDP14968 pep chromosome:AUK_PRJEB4211_v1:8:8515521:8515901:1 gene:GSCOC_T00042485001 transcript:CDP14968 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYSCKECGANLNLRTFYLYPPDFYFEAGNKGTLSFAMIDSSKFSYQKENKFVPFFETVNYWGIQRNRTKIKCNSCGTRVGYIYDDGPPMTDSPGQLHMGPSQVIPRAPRYRFKTKALRITSET >CDP09767 pep chromosome:AUK_PRJEB4211_v1:8:29392010:29398140:1 gene:GSCOC_T00030224001 transcript:CDP09767 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRSDKNKIKAEFKLQFHATQVSQIGGDGLTISVVPADVGKPTVKLEKATFRDGSCFWDTPFIETVKFVREPKTGKIHERIYHFLIGTASLKAGVVGEASLDLSCYALATKISSVSLPLKNSKSAIVLHVSIQRMLDSVDHREIEESENLKQNSQDRSLKAKLSNGDMEGGGIKKHTNDETTLNVKTNHSAELNGNCRASSGSDVTTSSSDSSLGLNTQIQIRPTSDVSEQINEECQKSWEWLGGLVLEESTDDSSGTPREALLREISQEAPDIVVEKLTSELSALARQAKMSELELQTLRKQIVKESRRGQELSRDISKLKEERESFREECEKLKAFQSRLEEAKSRNKMQFEGGDPYAFIEELRQELNYEKDLNNNLRIQLQKTQESNSELILAVRDLDEMLEQKNKETSRLPNKSAALDSAKMLQEATYGREIDDDDDEEQRALEELVKEHTGSREAHMLEQKIVDLQSEIDICRREKEEIEMQMEQLALDYEILKQENHDISYKLEQSQLQEQLKMQYECTSSYASVNELEAQIESLENELNKTSQDFSVALNTISELEGQLKNLEEELEKQAQVYEADMEALTSAKVEQEQRAIRAEESLRKMRWQNASTAERLQEEFRKLSVQMASTFEANENLAAKALTEANELCLQKSHLEEKLHNASEELQLVSHHYEARLHELSNKVISMSNHMEELQSEIQDKSVQLEDQVKSAEEIQLHLMQEIQMLKSEISTLAMENKNLSDQAEERESLRFEIENMRRSSKELELLLLQANNERAELESKVALAKDKEELSLKELHSMRYLKHEKESTTKNLQIEVDNFKLQCEELKQTLSEDALEKEKLKKQVLQLKGDLKRKEDAFNSMEKKIKDSNGRVTASDGTKGTSKNNKFQNASRTPKEVASLKEKVKLLEGQIKLRETALEKSTSSFLEKEKDLQNKIEELEQRLEVLNHNTTSFCQENCYKISEAPKDLTLDSRLAEDVMDATGKQSTDACIPEENSAPSSRKSHDDLTQNDVKSCASDSRVEELLSELTSLKERNSMMEVELKEMQERYSEISLKFAEVEGERQQLVMRVRNLKSAKRNP >CDP12025 pep chromosome:AUK_PRJEB4211_v1:8:29617981:29622735:-1 gene:GSCOC_T00035381001 transcript:CDP12025 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGQPPRRDKPSIKYRCSSLDGASFELVDFGGKDYVSASSNKNKKSRTPDSTSSQILSTRELISALGSIWNCANGILSSLVSKSTFRYDDDCLQKCTILCYPSGERACNACMVADNQNDTVNPVRVGDSPPVFYANLRYLKVVDKISFVQPSSGTYLLLRRLLHSSYRMPQESWKEKGFPNSNLGCDLEKMYSWMTEIALSNPKCQLNFNPMEKQDTDDYHGGHNRSDPTTCSVSVIEPIVATNLITENGNSETDEIQLRGSLSVQNNDPAKSKRASATLWTEDRTVRDVNACTSELPSSNAPANSLGCLLLSGVCNTEESEISVQTDQQETEKHQQQELVIGDNSEMQICLPMKEKSHTAVAKQGHAFAGAMAGVFVSLCLHPVDTIKTVVQSGRADQKSLHDISRSIISQRGVTGLYRGISTNIASSAPISAVYTFTYEWVKGNLLPFFPKEYQSLAHCIAGGCASVATSFIFTPSERIKQQMQVGSRYQNCWNALVGIIEKGGLPSLYAGWAAVLCRNIPHSIIKFYTYESLKQLFSQVQLNGQTNTLVTLVSGGLAGSTAALFTTPFDVVKTRLQTQTPGSRNQCPGVFDTLREIAKKEGLKGLYGGLTPRLFMYMTQGALFFASYESFKTVFSLDSSQVNVQACRHEQVKEDDPPSFLHQDDEDSIICLLVN >CDP12131 pep chromosome:AUK_PRJEB4211_v1:8:30511170:30514692:1 gene:GSCOC_T00035524001 transcript:CDP12131 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKISFNWTSWWWSLHKQNYLVLKLGVSILLIGLVFRLLYPLSGGVSEVQDIPIQQTVSPSTPPPLVSVSSPLNSNQHSPNGTETSDRSSDSSGFNEADNESHENEDAGRCDLFVGDWIPHPGGPVYTNETCDWIEGHQNCMKNGRPDTGYLYWRWSPRECELPQLNPNRFLELMRNKALALIGDSISRNHIQSLICVLSKAEKAVQVYHDEDYKSRRWLFPSHNFTVSVLWSPFLAQAAIFEDYNGVSTSEIELHLDKLDGTWTEQFKSLDYIMFSSGEWYAKSAIYYKNNTVLGCHYCPKRNLTERGFDFAYREVLRNFFDYITESNHKGMIFFRTSTPEHFENGEWFSGGTCNRTEPVKEGEFLRNELNRILRDIEIEEFRNASTKASEKGVNLKLFDVNPLSLLRPDGHPGPYRFFQPFAKDKNAKIIKDCLHWCLPGPIDTWNDLLMKMVVNG >CDP12073 pep chromosome:AUK_PRJEB4211_v1:8:30044301:30048005:-1 gene:GSCOC_T00035450001 transcript:CDP12073 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTTLSATAKIPFKTTRGDCSIHFLSPPPRMCTVTRCLNRTSSFALRSASKQQSQPLPLVVSATSAAVEADPQVTTAASSKSLPFRVGHGFDLHRLEPGYPLIIGGINIPHERGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAASSVFIREAVRLMHDAGYELGNLDATLILQRPKLSPHKEVIRSNLCELLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >CDP16179 pep chromosome:AUK_PRJEB4211_v1:8:23840587:23843161:1 gene:GSCOC_T00017266001 transcript:CDP16179 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRRSLSVVPQHGSSADEEEYAVASSLPKSSSLNSDHLPTGGLFLRSFSSLDYALYKLQTLILGLFLKRSSRPLERFKSKGNSWRRALLHFLVCFLIGILIEITPFASPTFSMNLLAKHQPFSLEMLQQVNTIGFYQHDDPKNFTSAVERVEINDNDKPKSAGATDERQDGFPVGDHLTDKTLYEDLNTTFNKLLIIVTPIYSRPLQAYYLNRLAQTLGLVPPPLLWIVVEMTSQSAETAEILRNSGVMHRHLICKETLTGANDRGMLLRNVGLSHIETHQLDGIIYFADDDNMYTTDLFDQMRHIRRFGTWIVAKLVGKNTHAIMEGPICNGSQVTGWHLNERSNKFQKFHLGLSGFAFNSTLLWDPKRWHRRPLQPIRLHDSVKDAPFPVSRFIEQIVEGQNEMECLPLACSRAMVWRLDGEFLYSFPRENSTRSSLILHWLNGVLDFAIIKNLIH >CDP09820 pep chromosome:AUK_PRJEB4211_v1:8:28933844:28942049:-1 gene:GSCOC_T00030291001 transcript:CDP09820 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQGYYINYKLMKKKVKQYTLQIQAGALDRRYVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIEKLNEQQDSLQEQPDISKISELREAYREVGRDLLKLLFFVEVNAIGLRKILKKFDKRFGSKFTDYYVKTRANHPYSQLQQVFKHVGVGAVVGAISRNLAELQDRQGSYLSIYDQPALPLQDPVVDSLKSAVDRLTYSTNFLHFLAQHALIMQEELPTPIEEHIEDGSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSISLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNKSYFKPLLFSSIALFLGNVLYALAFDFNSITILLIGRLFCGFGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTNFKIYKLTFNQNTLPGWVMAFAWLAYLVWLWISFREPSRENDKNHISEGSNNVESENLEKGLAQPLLLTSEENQQQDDDQDYDGSEEASEESRGPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTTNYFRWSTGTVAIFLAGLGLTVLPVNIFVGSYISNMFEDRQILLASEIMVLLGILFSFHVIGKYTVPQYVCSGLVMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGFLGQNKLLNFTLLPSLLICVASIIATCCTYNSLY >CDP08162 pep chromosome:AUK_PRJEB4211_v1:8:24496810:24499252:1 gene:GSCOC_T00026909001 transcript:CDP08162 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLACVVLVLVVVVAEAQPNGPKNKMVQCQDRASPCFHDHMHCPDVCPRDCHVDCASCQPVCDVPSSPPPTPTGSPPPSTPTPPPEVSGKRVYCKNRNYTRCYRMEQRCPSSCPDQCEVDCVTCSPVCNCNRPGAVCQDPRFIGADGLTFYFHGKKDHDFCIVTDSNLHINAHFIGRRNQNMKRDFTWVQSLGILFDNHQIYVGAKKTATWDNAVDHLGLAFDGQPIYLTDGEGAKWQPITTPGVSITRSHDTNSVIIEVEGNFQITATVVPITKKDSWIHKYGITDEDCFAHLDLGFKFYSLSGAVTGVLGQTYASNYVSRVKMGVDMPVLGGEKEFASSNLFSTDCAGARFHGSPSITSSKSVDRYSDLKCASGLDGRGVVCKK >CDP07178 pep chromosome:AUK_PRJEB4211_v1:8:10069682:10071437:1 gene:GSCOC_T00024336001 transcript:CDP07178 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVANRERLNFQPGSSSRTAEVDDTEYYTISGTRPYFDVILSRTHVTPPYRLNLPTRMVSELPSKLVPMVLTSCGKTWETFYHGRGRGSTKRFGWKRFVIDNDLRMGDCCFFELMECSTTKIVFKVIILRGTLPLGEGAGDGDTPETAIFIE >CDP09751 pep chromosome:AUK_PRJEB4211_v1:8:29507768:29510785:1 gene:GSCOC_T00030204001 transcript:CDP09751 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGNLKLGVDVVSAQNLLPKDGQGSSSAFVELNFDGQRFHTTIKEKDLNPVWNESFYFNISDTSNLHNLNLDAYIYNNVRATHPRSFLGKVSISGTSFVPYSDAVILHYPLEKRGIFSRVRGELGLKVYVTDDPSIKSSIPFSAAEDKPVVNESTRNVLPNNIPTVKSETRHTFHHLPNPNHNQQQHQTPPPPAVPQQLTKHMADEMKAAEPEPPKLVRMYSASSAQPVDYALKETSPFLGGGRVVGGRVIRKDKTASCTYDLVEQMHFLFVRVVKARDLPAMDITGSLDPYVEVRIGNYKGTTKHIEKQQNPIWNVVFAFSRERMQASVLEVVVKDKDLVKDDYVGTVRFDLNEVPMRVPPDSPLAPEWYRLADKKGEKIKGELMLAVWIGTQADEAFPDAWHSDAATPIDSSAAAVAAIRSKVYHAPRLWYVRVNVVEAQDLVPTEKNRFPNVYVKAQVGHQVLKTKPIQARSFNPLWNEDLLFVAAEPFEDHLILTVEDRVAPGKDEILGRVIIQLAKVEKRADDCIVHSRWFDLEKPVAVDVDQLKKDKFSSRLHLRVCLDGGYHVLDESMHYSSDLRPTAKQLWKPSIGVLELGILNAVGLHPMKTRDGKGTSDTYCVAKYGHKWVRTRTIVDNLSPKYNEQYTWEVFDPATVLTVGVFDNSHVGDKSSNGSKDLKIGKVRIRISTLEAGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSCTSFANMMYIYSRPLLPKMHYIRPVTVMQLDMLRHQAVTIVAARLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAAGRWFGDICMWKNPVMTVLVHLLFLMLVSFPELILPTIFLYMFLIGIWNLRYRPRYPPHMNTKISQAEAVHPDELDEEFDPFPTSRSPDLVRIRYDRLRSVAGRIQTVVGDIATQGERFQSLLSWRDPRATAIFVTFCLIAALVMFVTPFQVIAALAGIYAMRHPRFRYRLPSVAINFFRRLPAKTDSML >CDP14464 pep chromosome:AUK_PRJEB4211_v1:8:14063043:14064078:1 gene:GSCOC_T00040956001 transcript:CDP14464 gene_biotype:protein_coding transcript_biotype:protein_coding MISSHEIILPFFTTLLDYIRKSKVAASEAGGITQGMGAHKIQVPFDGKPQTCVFLDTPGHEAFGAMRARGARVTDIAVIVVATDDGIRPQTEEAIAYAKAAGVRIVIAINKVRWHLF >CDP13067 pep chromosome:AUK_PRJEB4211_v1:8:3988499:3992304:1 gene:GSCOC_T00037832001 transcript:CDP13067 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLFSKKSYLDLIFSGLFELRSFKRPVIVKASSFTKLYRGASALLLLDDYDSGSNTVPEKRLHTCDGLQLLSVVVRVIKSLNWRAAREMRFRESVEKYGFPFSIDAFRMTVHVFGFARMPMEMHGLLRDVLYYYQDVGYDMFELLPSMLELLNDAETSAVLVDVLIKLFAANSMLENAVDVFFQAQNIGVQPGIRSSNFLLKCLVEGSRRQELSTLFEEMKNSGPSPSVYTYTILMNFYCNEQYAQDKVDMQQATSILEEMDNNGIEPSVVTYSTYIHGLCRVGFAVLALKLIQDLKHNNQPLNVYCYNAVMHAICRNGKPDEAMGLLGEMRSYGITPDVYSYSILIDGFCECGEIEKGLTLFEEMESPEVNIKPSLVTYSSLLKGLSGIGLMEASVDMFHRLGSYGYKYDQHAFSILISGYLMQGNLDSAHELLEEMIRTNLTPCAIDYKNLINGFCRIGSIDKALQLFNTVKDSGVRPNTLTVNDIVSGYCMEGDIREALEFLEEMTDQGINPNIYSYSIIINRLCKEGKSEYALELIPMMLKRNILPNVVVYSTLVDGFAKQEKLEKALMLYTRMIKFGVTPNTITFTILINVLFTMGKVKEAYNLFEKMILNGLDPDRICYTSIIAGLCKIGYVKIGLKLFEEMLQKGHMPSVVTYTCLIDGFCKQNRMDIATMLVDKMRRHNMSPDVVTYDVIIHGYIRKGQVHKLHYLFDEMRKEGIVPDDIMCKTLGLIGCR >CDP13065 pep chromosome:AUK_PRJEB4211_v1:8:3971943:3976444:1 gene:GSCOC_T00037830001 transcript:CDP13065 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAKKPKGKKPPEESNTLTASNSKPDRSNSENLNVTTAPESDSNVFSSLFGEIREENSTNLSIFSNNNPFRRKPGNPSSERPIDGRNVQTGLALENPNGEKAGNFENSDGPAELKKRKWKEEKKGDIEEEELGRKKLKRVGGNGGKTKDKMEENGVTASTAIHGGLVDNGNAVDEEKKGKKKKRKRDEVEAEYEAKRYGVEEEGRKEERGGLVGVKRKEMDSLEDVMVSKEGFDDEIKLLRTVFVGNLPLKIKKKVLIREFGKFGEVESVRIRSVPLNDSKIPRKGAIMKKHINETADSVHAYVVFKTEESAQASLAHNMAVVSGNHIRVDRACPPRKKLKGDNAPLYDNKRTVFVGNLPFDVKDEELYQLFSSIKNLESSIEAIRIVRDPGTSLGKGIAYMLFKTKDAADMVIRRRNLKLRDRDLRLYHAKAETTLSKRKSPLPTNTPPAKKFGGKTKFASLENKTTSKAASSYQGLRASKSGIQKKTHRPAANSQKVPMQKERQQKRPAVAARKAKALKAKQAGIKRKLDKQTPDSIHQKKKARRFT >CDP15688 pep chromosome:AUK_PRJEB4211_v1:8:7521449:7526699:-1 gene:GSCOC_T00015663001 transcript:CDP15688 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSKQNVASSSSSSPSPSQLHSPSPPLTSPSVRRSRSYRNRGVFNSSCLRSQHQSDSHNADQQMFDNKSKRNGFDGCCANGTMPGSNEVKTKCCRKVKVQQPDELNCVTSSMDSDEWDQSRGSRMSRAFSSRGLNPSSRFLSRLNILPGHISFRMNRANSLGSARPYFASSTSFQMSNNEDEPSTSGSMIDENDRIHNHNHFPRCFSSRSAMSPGEDLGTGDFLQSTSTPSAAREGVDPGVDSGENSFSRNRAYSEGTEEGLANRRIAAEETVEQNVRFSRTLSVGRLRDRVLRRTSFPDFAFGPLEQDRETEHASQVSEGRILGAGRRPTSAEENSDSQASSSRSRADASGSLHRHNGDTPRPREARYHDLLEYRSNFLERRRRIRSQVRALQRLGSRFENLSGHERSCILSGQHRRGHCTCRINPREAESNDETSARASISRIVMLAEALFEVLDEIHQQSVVLSSRPSMSSLGSVPAPNEVVDSLPLRLYSKLQKHLQDEVAQCYICLVEYEEGDSVRVLPCRHEYHKACIDKWLKEIHRVCPLCRGDVCRSDPLPANI >CDP11686 pep chromosome:AUK_PRJEB4211_v1:8:4764088:4767832:1 gene:GSCOC_T00034142001 transcript:CDP11686 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRVTALNLSSLEIRGALSISIGNLTFLEELILDNNFFHGTIPYSLGNLSNLRILSASDNVLEGRIPEELGKLSKLEFLKLSSNKLSGEVPLQLFKISSIRYLNLASNYLNGSFPSDFGLNHSKLHTFVVAENQFFGPLPVSITNASGLVILDIGTNALSGPVPMNMGDLKHLQRLDISKNPLGTSDSTGLNFLTSLTNCTILRILHLYANNHGGALPNFVANLSTKLTSLRLDRNYISGEIPNNLENLFLSLQGNGFSGQIPEGLSNLISIKLLDLSRNNISGFIPQRLESLRYIQYLNLSFNSLEGEVPSEGLFFNSTAFSVTGNGKLCGGVKSLQLPKCQRLLPSAEGKKKVLRKPLFQKCQKKVIFGYFLHVPCIRWWHKRNTRISSIELTSDSPLGDQFPKISYTELFQATNGFSEGNLIARGRYSSVYKGSLNYNDKTVAVKVINLQNQGSRRSFTAECESLRNARHRNLVKIITACSSRDNEGNEFKALVYEFMAGGSLESWLHPSSSNLMQPKNLNLIQRLNIAIDVASALVYLHHFCAIPVIHRDIKPSNILLDSELCAHLGDFGSARSLLLAIDRSRYEGIGARTIELVGTVGYVALECGMGAPASTLVDVYSYGVLLLEMFTGKRPTNSMFEDDFSLRNYVKMALPDQAMRIADPKLSSECETEFEKCLASIFHIGVTCSAHLPERRMHIADVFMELQAARDLYLRCG >CDP08350 pep chromosome:AUK_PRJEB4211_v1:8:26313343:26317185:-1 gene:GSCOC_T00027155001 transcript:CDP08350 gene_biotype:protein_coding transcript_biotype:protein_coding METSTGSRNSGGVIVTKERMVKIENPFSLKVGQVFTGFGIGCGIGIGVGRPLNLGAIPMLNQVMGAARGATDAFSGVGRHVNSSLRKLGAKNIEAGIGCGVGFGHGFGVGLAMKPSVLHQLQSCLIQTVANLMMRFGIAPSLPAVKGALPESLQGGLSMTSHRPISNPLGTVAQVAKKAPELKRQGLPGDASTVSSDETEAYKSTPLNASYSSQTEKVLSNFLQNPLLKDEDREVNELAEHLRLENNVLQMVLSHQRIIEGLMKENEKLHKILLEDLKISPEKLQATSLSTKSSKSPCSECFKCRRRLRKR >CDP09864 pep chromosome:AUK_PRJEB4211_v1:8:28586238:28587752:-1 gene:GSCOC_T00030343001 transcript:CDP09864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT4G22790) UniProtKB/TrEMBL;Acc:A0A178UU74] MASKPEENTADKLHLEPCSPSSPKERWSGNMVSLILSELKLQRGITVPMLAMNFTWFARIAVTTAFLGRLGELSLAGGTLGATFSNVTGFAVLNGLCCAMEPICGQAFGAKNFKLLHKTLVMAIIVLLVASIPISILWLNVDKILIQFGQQEEISVAVRKYLLYLLPDLVVYSFLGPLKSYLSTQSLAVPIMLSSAFGLGFHVPITMLLSRARGFEGVSMAVWMSDLVVVVLLAFYVLIAEHGKRGNWNGGGWWEQGIHDWKRLLKLCGPCCITTCLEWWCYEILMLLTGRLPNAKQAIGILAIVMNFDYLLYSVMISLSTSASVRISNELGANQVGPAYRSAYISVGISVISGIIGASVMVSARDSWGGLFSHEKGITSNVKKMLLIMAVTEVFNFPLVVCGGIVRGTARPKLAMYANITGFYLFALPVGAVLAFKIHLGLPGILIGFLSGCIACLVLLVVFIARIDWKDEARKARSLTSSPVEEEIKDHEDHKNSGVNNVAL >CDP13038 pep chromosome:AUK_PRJEB4211_v1:8:3539350:3540141:1 gene:GSCOC_T00037790001 transcript:CDP13038 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGSRNHELGQPPFDIMRFLGGGVFLIAPKSLVGWDSLWAVRLDSTVAIFRGFIVEWGGFFERELFLFVISWPFVLILERNDFDILLKWLVY >CDP08253 pep chromosome:AUK_PRJEB4211_v1:8:25378578:25383663:1 gene:GSCOC_T00027023001 transcript:CDP08253 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNNSQGSSFTFPEKICAALIPFIAIAEALIYALSGCFECLPNPINLRRPKKLRCAYKELVRLSQESRFSVNEVEALYELFKKLSCSIVDDGFIHKEELQLALFQTSQGENLFLDRVFDLFDEKRNGVIAFEEFIHALNVFHPCAPMEEKIDFVFKLYDLRQTGFIEREEVKQMVIAILMESEMKLSDDLLEQILDKTFADVDADRDGRINKEEWKDFVIQHPSLLRNMTLPYLKDISTVFPSFVFNTVVENA >CDP11759 pep chromosome:AUK_PRJEB4211_v1:8:6185387:6187770:1 gene:GSCOC_T00034251001 transcript:CDP11759 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKLKLPETRSSLSLMVIFLHQVDHCQIEELSHRTSHSNSTFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIDVVDSQWIVHQVIPSLGSQAS >CDP07158 pep chromosome:AUK_PRJEB4211_v1:8:9563590:9565184:-1 gene:GSCOC_T00024299001 transcript:CDP07158 gene_biotype:protein_coding transcript_biotype:protein_coding MKATNQETSEVTNSTKYELKNGENEGERVNPTIPMGTDVSESSSNAIVTAAVAVSTTPLAKEGYANGASSRVRAPPPFLLKVYDIVKNPEIYSIISWSSSGTSFIVWDPHRFAAEVLGKYFRHNNFSSFVCQLNTYGFRKINWDRLEFQNAWFQKGKKSWLKKIKRRIQGTQNAHLLKPLEIEGQLSLSREQKKFESLMQEHDALKVETMKLKDMEANLVKEMETLEKQAQCITSKQQNMLKYMIHEVLIRKKEMQSNDAANDQGSGGRQSIESSVELLYEGGTSHGSQKNSPRAQSGTGKTFSNVDLSTAIQEEEDCTVSMGKFSNFYTAVYSSLEKQLMDDISCENVAEEKRANLQQNDVIPLEDLIEGPADWNEFAKGIGNKARS >CDP12029 pep chromosome:AUK_PRJEB4211_v1:8:29663863:29668864:1 gene:GSCOC_T00035388001 transcript:CDP12029 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRLQNQPAESLRKQLALAVKSIQWSYAIFWSISCRQPGVLEWIDGYYNGDIKTRKTIQAAEPSADQLELQRSEQLRELYESLSAADTSPQAKRPSVALSPEDLTDTEWYFLVCMSFVFIAGQGLPGRTLAKNQITWLCNAHQADSKVFPRSLLAKASEGHLSASIQTVVCFPHLGGVVELGVTEPVVEDRNLIRHIKSAFLDNPYPVISKIPHYVPNTNDKDIVVCGLDDDMIEANFEPLVECEDVKICSPSNSTTGFEDADQQAQNSHMMEGISDEACHVQSWQFMDDEISNCIHDSMNSRDCTPQTYANGEKGVPLSNEETVNHDFKHDLQECNLKKLDHFNFRSDDAHYQGVLSALLKSSHQLILGPYFTNSDQESSFVSWKIERPQFVQKQGAMSQRVLKSVVFEVPRMHGDWKLKSSKGYGKRESIWRPEADEIDNNHVLAERKRREKLNERFMMLGSLVPSNGKLDKVSILDGAIDYLKELERRVEELESVKEAIELEARTKRLQQEATERTSGDYIMNKVGKSRRPVISKRKASNVEEIEAETNYCTLTERSSDDLTVRVINRNALIELKCPWTESVFCEIMEAVSKLNLDSHTVQSSNTDGTLTVKIRAKFKGSKVATPGMIRRALQRVLRKY >CDP18793 pep chromosome:AUK_PRJEB4211_v1:8:163677:167646:-1 gene:GSCOC_T00005568001 transcript:CDP18793 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKDSCFSDPPFFLLRTLLDILLQLSATVVVLVDSRPAPPLSSPETALVSPINASMAHSSPGPGVQAGTEKHHQMDSNRKLVIALIAASTALGAILFLMLFFWIYHKKHTSKSQTDSLHSSDGLEGLAFGSFLAKYNSLKASCRKRFVAVMDYKALETATNNFCQSDILGEGGFGCVYKAQLEDNQFAAVKRLDGGTQDAIREFETEVELLGQIQHPNIISLIGYSIHGETRLLVYELMQNGSLEAQLHGPSGGSALTWHLRMKIALDVARGLEFLHEHCHPAVIHRDLKSSNILLDSNLNAKLSDFGLAILDGAQNKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLRRKPVEKLAPAQCQSIVTWAMPQLTDRSKLPNIVDPVIRNAMDIKHLFQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPMELGGTLRVERPASVTSLLIDST >CDP18540 pep chromosome:AUK_PRJEB4211_v1:8:4379636:4386501:-1 gene:GSCOC_T00010779001 transcript:CDP18540 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDCQILSSMGGNGVPSDSYYPSSIQNPSFSFMTNLPFNIFPPALIPKEENGMSRSKEEILESGSGSEHVEGGVSGNEQEAEQQQPTKKKRYHRHTARQIQEMEALFKECPHPDDKQRLKLSQDLGLKPRQVKFWFQNRRTQMKAQQDRADNVILRAENESLKNENYRLQAALRNVVCPNCGGPAVLGEMGFDEQAVRLENARLKEEYDRVCALLSQYGGRAIPEIGTSSLLAPSLDLDINMLPRKFEEPIGDCPGMLSMPFIPENPNFSGGVLILDEEKSIAMELAISSVEELMKMCQACEPLWLRTSDGSKEVLNVDEYRRLFQWGVDLKQNPTQVRTEATRHNAVVIMNSITLVDAFLDANKWMELFPSIVSRAKTLQVVTSGVSGHASGSLQLMYAELQVPSPLVPTRESHFLRYCHQNAEGGTWAIVDFPLDNFNNSYPTIPYYKRRPSGCIIQDMPNGYSRVTWVEHVEIDSTPLNQTINHLVSSGNVFGAKRWLSVLQRQCERIASLMARNISDLGVIPSPEARKNVMYLSQRMIRTFCMNISNACGQSWTALSDSAEDTVRIATRKVSGPGEPNGLILTAVSTTWLPYPHYQVFDLLRDERRRSQLDVLSNGNALQEVAHIANGSHPGNCVSLLRINASPTVASNSSQKVELMLQESCTDDSGSLIVYTTVDVDSIQLAMNGEDPECIPLLPVGFVIHPLEIGSSSHDGSSLDNDQTSENGNNLPADLSGCLLTVGLQVLASTIPTAKLNLSSVTAINQHICNTVQQISAALGNHGSNIGTTSSNDNDNIIIASLGEPSAPAPPVQPDQVSTP >CDP07897 pep chromosome:AUK_PRJEB4211_v1:8:20336122:20339674:-1 gene:GSCOC_T00025388001 transcript:CDP07897 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNPSYSTPKECNHLTKYKLKHGLDGYYLIQEFVKTNPFGRTMIDKSKLELPRCSFCSGYQGRLYLCLICSSVTCCLSPGSDHTLLHSQSFDGHEIAVDLERAELYCSVCCDQVYDPDFDKVVMCKHMMELPRSENGDLRLCKRKRLNFGIDLDLRNVKRLVSSRDQWSESCFPLGLRGLNNLGNTCFMNSVLQALLHAPPLRNYYLSGRHNREMCRKRSNDRLCLPCDIDVIFSAVFSGDRTPYSPAQFLYSWWQHSENLANYEQQDAHEFFISMLDRIHEKEGKASHAIRDYGDCQCIIHRAFSGLVRSDVTCASCGFTSSTYDPCVDISLDLDTHTSYPINVGKPSKLNESAGTSTLVGCLDLFTRLEKLGSDQKLYCENCQEKQDALKQLSIKKLPLVLCLHIKRFEHFPIKRLSRKIDRHLQFPFSLDMKPYLSSSVVRKKFGNRMFAFEGDESDSNTEFEVFAVVTHSGMLESGHYVTYLRLRNQWFKCDDAWITKVDEEVVRASQCYLLYYVQKVLYHKSSEDVSCLPMSPHADRFVPIAGCC >CDP13536 pep chromosome:AUK_PRJEB4211_v1:8:482633:487063:-1 gene:GSCOC_T00038516001 transcript:CDP13536 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGSVVLAEFSATSTNASSIARQILDKIPGNNDTNVSYSQDRYIFHVKRTDGLTVLCMADDVAGRRIPFAFLEEIHQRFVRTYGRAVLSAQPYAMNDEFSRVLSQQMEYYSHDPNADRINRLKGEMSQVRNVMIENIDKVLERGDRLELLVDKTANMQGNTFRFRKQARRFRSTVWWRNVKLTIALILLLLVVIYVVLAFVCHGLTLPTCLK >CDP17897 pep chromosome:AUK_PRJEB4211_v1:8:21352030:21364849:-1 gene:GSCOC_T00011890001 transcript:CDP17897 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLEIKEKLYTAKLRAITRLVFFDCCFAVDALRYDEYREYVRCVVAQLRDYYPDGSFVVFNFCDGENHGQIANILSAYDIIVMGYPKQYGNCPLLTMEMIHHFLKSSESWFSGHRNVLLLHCEYGGWPLLAFMLASFLVYSKQYTGEHRTLDMIYKQAPRELLQLLLPLNPLPSQLRYLQYISRRKLGSEWPPFDRVLVLDRIILRNTPTMGEEGGCCPTFRIYGLDPLISADQNSKVLFSGTKRSDVIPHKQQADSKMVKIDINCHIQGDVVLECITIKNDLQFEDVLFRIMFNTAFIQSNILILNHDEIDTPWNVQHHFSRDFRAEVLFLEMDSSPTLIPHDYPTTEDKGSLPSEAFGNMFRNMFSRVNLLHLKNDVELNMLKITASDSLQENLETSPFDGKEKLNARSPQCASVEILENIAHETLGSEIRSSLPELLEERCPESSTSLGLNALTKKMDSQENQGAFERPVQNKTVLPTVFHGSLPNPASNCLQGSPLPLTKYSSASSVLGITALLHDTSSNNKMKSHMVTISPRSALSASFPQLCKPVTTSLLQVAPPSDLPSSTVATSNLAKVSATPFLSSPPQSSPSLPKAHPTLSNLSSESSIDDLSAPNEQDFRKKSDCVVPTRNIGCLPSVSHTPLSTLAHPEMSLSSSSSNVFTPPPPPPPPPLFPRMATSLFVKNSFTCAYLPPSSPPFSFSSSLTPDENTKNSSEAASSLPEVALSGGLVPSKLQDKSTTNETIPLTAPPPNLFVSSDSSIAAISSASPPIPPSPPPPPPPPPAPSPPPSASVQGQTPCPTTMYSSLTPTPLTAPLPGSNSSSGLISLIVPENKLEKSAFSPPPLHSGLIASAAVSSAGQLPAHQSSPPPSSSIREASTPTQNPSSSSPLAQPPVPEAASFSDSISSLVPEKSNVISARLASPPPPLHSKALSNPAVLSAPAPPPPPSFSSNKLMAKISPHVPPPPAPFSNTLPKTGTTLQSHSSGRNGCIPPTPASPLGSKGRLQASSSAKYQNKKSSLKPYHWLKLTRATQGSLWAETQKPEEASKTPEFDMSEIESLFSVTVLNLDDGSTKGKSSRASRSKSDKVNLIDLRRAYNCEIMLTKVKIPLPDLMSSVLTLDDSALDVDQVDNLIKFCPTKEEMDLIKNYKGDKENLGKCEQYFLELMKVPQVESKLRVFSFKIQFSSQVSDLRNALNIVNSASEEASNYVVLLSLAFVKLKRVMQAILSLGNALNQGTARGSAVGFRLDSLLKLTDTRARNKKMTLMHYLCKVLNEKLSELLEFPKDLTSLEAANKIQLKYLAEEMQSISKGLERVVHELTASANDGPVSESFGKMLKEFLCSAEAEVRSLASLYSGVGKNADALAHYFGEDPARCPFEQGAHPPPFTYLRKLTNANNQKASTMLCCEIVVTKSQCSETI >CDP07903 pep chromosome:AUK_PRJEB4211_v1:8:20441573:20441951:1 gene:GSCOC_T00025398001 transcript:CDP07903 gene_biotype:protein_coding transcript_biotype:protein_coding MHERTKFRLHSHDVPYGSGSGQQSVTGFPNVDDSNSYWIVRPVSDTNAQQGDTIKGGTIIRLQHMRTRKWLHSHLLNVSLTMMPIAVMPYAINLLISK >CDP07167 pep chromosome:AUK_PRJEB4211_v1:8:9849098:9850780:-1 gene:GSCOC_T00024314001 transcript:CDP07167 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPAPLILRSSSSSSSVVAAEASPPSSGTRTSTLDDTIERCIGDYFGWTQFLRAILISLAWAFDAQQTFITVFTDVDPTWHCTRNNTTNICSSSSQVCLLPDEAWSWDLPPYTSTISEWSLQCAGSFLAGLPASSFFAGSLAGGFVLATLADSSLGRKKLLVLSCLLMSISGLLTALVSTNVWIYTALRFLCGFGRASVGTCALVLATEMVGKRWRAHVGMIGFLFFTLGFLSLPLMAYLNRGSSWRVLYFWSCAPTVLYSILVHFFAYESPRWLYVRGRKQEFLSTLKRIATVPTPQLLDITSSCFSNNTNSSSGTTGCELDIDDATRNAANFWNSSSYYALWEKPWAFRRLAAVMAIGFGTGMVYYGMPLGLGNLSFNLYLSVTLNALSEFPAAFMTFFLIATLNRKGLVLGLSVASGVCSCLIIVVEQVINSNSYYYWWRNALQMVLELASFFSACTGFNVLMIFTLELFPTSVRNSALSMVRQAVVFGGLFSPLLVAAGRNGFLSYGVFGVTIAVSGLFVGCLPETRGAALCDTLDEQEHKETLAFSAKITHECA >CDP18785 pep chromosome:AUK_PRJEB4211_v1:8:48654:60976:-1 gene:GSCOC_T00005559001 transcript:CDP18785 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRLSRIALSAALRVSRSNFRPPSICRAAQILSSSSGSSFPPRSVNEFGGVEIPTPSCFNDVGLSKVFARSYSTVSSSSSGQINNSEFTEMAWDGVVGAVDAARANKQQVVETEHLMKALLEQKDGLARRIFTKAGLDNTSVLQAAEEFISQQPKVTGDTSGPILGSSLSSLLDAAQKHKKDMGDSFVSVEHLLLSFTSDNRFGQMLFRNLQLTEKALRDAVTAVRGSQRVTDQNPEGKYEALEKYGNDLTELARRGKLDPVIGRDDEIRRCVQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLLDRKLISLDMGSLLAGAKFRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGAVAGALDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVFCGEPSVEDTISILRGLRERYELHHGVKISDSALVSAAVLAHRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRAVMKLEMEKLSLKNDTDKLSKERLSKIENDLTSLKQKQRQLNEQWEREKVLMNRIRSIKEEIDRVNLEMEAAERDYDLNRAAELKYGTLMSLQRQLEEAEKNLADYRNSEQSLLREEVTDLDITEIVSKWTGIPLSNLQQSERDKLVSLEQELHKRVVGQDMAVKSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAGYLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGKTVSFRNTVVIMTSNIGSHYILETLRNTENSKEAVYDLMKKQVVELARQTFRPEFMNRIDEYIVFQPLDSKQICKIVELQMSRVKERLKLKKIDLHYTQEAVNLLATLGFDPNFGARPVKRVIQQLVENEIAMEILRGDLKEENSIIVDADTSSRARDLPPQSRLVITKMGNNTAIDAMVAND >CDP11742 pep chromosome:AUK_PRJEB4211_v1:8:5919409:5922762:-1 gene:GSCOC_T00034223001 transcript:CDP11742 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDQWMSNTFQNNNWLSNMRTFVFYFIFYHKVQNISSHLMEALLESLVKILNSPIIQEELRLLCGIETEIQKLSSLLTTTKAVIEDAEQKQFTDKAIQDWLQKLNIIAYEVDDILDDYATEVSREPKCNNICCNVLDCLPATSNICFRHRIGTRMKKIIGKFDAVADKRIKLGLSNQQSGSYFNASRETGSTVNEPNLVLGRDEEKDEIVKILVNQDSDNQNVSVLPIVGVGGLGKTTLAQLVFNDENLAEPFKRKLWAWVSEKFDVKSIIKALIESAKGTSIGDLPLDTLQRKLQKLLRGKRYLIVLDDVWNENPEEWEKLKSVLQCGSKGSSIVTTTRMEKVAEIMGTLETHRLSSLSENECWSLFRQRAFGRQEAEEYPNLVVIGKEIVKKCGGVPLAAKALGGFLRFKREEAEWNFVKCSELWNLPQDETHILPALRLSYLNLPVELRGCFAYCAVFPKGSKIEKEEVIHLWMANGLISSNGTMEVEDVGDAVLTELHCRSLFQAVEKDEFGSILTFKMHDLVHDLARSVMEAKHGGTESNRTMMLGEPYHQLTVAFPITITGTDQFSSFLSKCGSLRALIVRSTRRREKFRELPSVVSKLTHLRHVNLSGSDIVELPNSICDLWNLQILNLNDCGKLRSLPKDMRFLRNLRHLCLQRCWSLAHMPSGIAKLTCLQTLSIVVLGGKKGFQLSELRHLNMLKGTLIIRHLERIEDKKDAEEACLIEKQSLRRLDLYWDSERTLQRYNDEEVLEALKPCPNLQLLYIEGFKGSSSFPSWISTVTEVWVEESAAEYIVGAQETTATTAAMSPSLKQLKLENMPNLKGMLGREVQGTPGVFSQLQSLSFGYCPMLTLPLPRMPSLKELDVENCPNMAWASISNLTSLNSLNIEDIGGLSCFPEEMLQNLSLLESLNIKEMKDLRALPRSLASLTALKTLSIVECPKMESLPEEGLRGLASLQALYLVNCYNLVSLSMGTKALKSLTDPLIFGSYATALPEEVKHFPTLQVLYLQNFPNLTSLPDWFRNLTSLQDLTLCNCPKLETLPSSIQMMTTLQSLTIDECDLLEPRCERGGEEWHKIKHIPDLKFQIDYI >CDP12043 pep chromosome:AUK_PRJEB4211_v1:8:29783804:29788286:1 gene:GSCOC_T00035407001 transcript:CDP12043 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEDSSRFAPRPSTASRNLSSSSSAFFSANQSPFFSPRSPTCQNVAFALPDAYPSAAASCPPIDLQKLEQVSSSTCISDCRLSSFVRGQQYRENDYMRPIVKQKKLGKMNETSVNSTSTSSCSNRLRSCDVYIGFHGRKPLLQRFTNWLRAELEAQGLSCFVTDRARCRNSRKHAIVERVMDACTFGVVILTRKSFRNPYTIEELRFFSSKKNLVPIYFDLAPEDCLVRDIIEKQGELWEKHGGELWVLYGGLEKEWRDAVCALSRVDEWKLEAHDGKWRDCILRAVTLLALRLGRRSVVDRLTKWREQAEKEEFPFPRNENFVGRKKELSELEFMLFGDVSGDAEKDYFELMARPRRKNLTIGWGRSNSVDEKRKGRQSENSKRKGKEPVVWKESEKEIEMQNNDFPESQQHTPKLKSNAKHGRRRRSMKVVYGKGIACVSGDWGMGKTELLLEFAYRFHQRYKMVLWIGGESRYIRQNYLNLWPFLEVDVGVENCLGKSRIKSFEEQEDAAIARVRKELMRNIPFLVVIDNLESEKDWWDHKLVMDLLPRFGGETHVIISTRLSRVMNLEPLRLSYLSGIEAMSLMQGNVKDLPLPELDALRAIEEKLGRITLGLAIVGAILSELPINPSRLLDTINRMPSRDMMWSGRESHSLRRNHFLLQLFEVCFSIFDHADGPRCLATRMVLASGWFAPAPIPISLLALAANKIPEKHQRQQLWKKVLSSLTCGFTSSYSRRSEAEASSLLLRFNFARACMKEGCIHFNPLLKLYAGKRGVIGVAQAMVQAVISRGSINQHSEHIWAACFLLFRFGNDPIVVELKVTDLLFLVKEVILPLAIRAFITFSRCSAALELLRLCTDALEAADQAFVTPVDKWLDKSLCWKPIQTNAQLNPCLWQELALSRATVLEIRSKLMMRGGQFDIGDDLIRKAVETLTKLTRLLVNVQSHTSP >CDP11721 pep chromosome:AUK_PRJEB4211_v1:8:5425701:5427825:1 gene:GSCOC_T00034192001 transcript:CDP11721 gene_biotype:protein_coding transcript_biotype:protein_coding MELHILLFCISLFVFIMKPFFHGSSSRKTPPGPKGLPIIGSLLELGPRPNQSLAALAKIHGPIMTLKLGSITTIVASSPEAAKEILQKQEQVFSDRTVPCVVTAQPYHKTSLAWAPGDQRWRSYRRICATQMFTNQRLDLLQPLRHKKVEDLMLYFKKHSDSTAPVDIGRASFATALNVISSTMFSIDIVDLDSENAQEFKDLILGITHNAGKPNLSDYFPLIKPLDLQGVKQNIRPFYQRLNEIFDDLIFKRLEARKSGESRKDDFLDVLLDQCEEEGSGFNHETIKSLSTDFFIAGSDTNAISVEWAMAELLRKPEAMQKARDEIIQKIETMRLHPPTPLLIPYKANKDTQVFGFNVPKDSQVLVNAWAIGRDPSYWENPASFSPERFLGSCLDFKGRDFEYIPFGAGRRICPGIPLAIRMVSLMLASCIQAFRWRLPEGIAPEKLDMEEQFGLTLRKAVPLCVIPILEEKQKF >CDP11709 pep chromosome:AUK_PRJEB4211_v1:8:5225695:5234781:1 gene:GSCOC_T00034176001 transcript:CDP11709 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMPEGRKCVTCIGEPIDESKRSKLGKHSRVLSRLLSPLEVKHIMRAEKECAANQLRPEQLIVNGFPLKPEEMAELFGCPLPPRKLKPGRYWYDKESGMWGKEGEKPDRVINSNLNFTGKLSPHASNGNTEVYINGREITKRELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFVCSLFSLPVPQGQPYPQRDEPSNYTTVPNYLEQKRTQKLLLIGLQGSGTSTIFKQAKFMYGNKFTAEELLDIKLMIQSNMYKYLSILLDGRERFEEEALSRTKELTLNEQTTETGDDIDSSDSNQCVYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWRDPSIQESYRRKDELHFLPDVAEYFLSRAVEVSSNEYEPTERDILYAEGVTQGNGLAFMEFSLDERSPMSETYTDNLDAPPPPLTRYQLIRVNAKGMNEGCKWVEMFEDVRVVVFCAALSDYDQISVAPEQGGSGVLLQNKMMQSKELFETMIRHPCFKDTPFVLILNKYDLFEEKVNRAPLSTCEWFTDFSPVRPHHNNQSLAHQAYYYVAMKFKDLYASLTARKLFVWQARARDRVTIDEAFKYIREVVKWDEDREENFYGAEGDSFYSTTDVSSSPFVRQE >CDP12055 pep chromosome:AUK_PRJEB4211_v1:8:29897656:29901076:1 gene:GSCOC_T00035429001 transcript:CDP12055 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTQLKFQTQPIKPKRRRCRETTITTSTSTPAAAAATSDHSSTSYLADNNHQSRKLDTPTVVSPDNSWCCPAVSKPPAPPSPPPALPSAASSSPSHSPLPPFSPSHLKIPYSPTTIPHLMDSFTTTTTLLHGGGGAVSHDTFPSSFSKFNSALTAGLLNPMSPPPPGDKTRSSPTLFEMMASEPDCHPRTAAAGTTTHQVSNGVISTLNQKPLDRQALMLQRLNDLLSCRSPGNQFNDSASADVKLTLSSKDGLHVSMSVHRQILVANSRFFAEKLSEKWVRQQRNAGPCIVEIADCDDIEVYIETLKLMYCKDLRKKLMKEDVCRVLGILKVSAAIGFDAGVLSSLEFLEAAPWAEDEEEKVATLLSELRLEGVGAGEVLKRVSLDVTAGMEDGTDNEEVLLKLLHVVLEGKDEKARREMKGLVSKMLHENTAQNDLRKESLYSACDGCLQSLRHYFLKVSEGNLEDVSQIARQADNLHWVLDILIDRQIAEDFLKTWACQSELSEAHSKVPAIHRYEVSRVTARLFVGIGKGQLLASKDARCLLLQTWLVPFYEDFGWMRRASKGLDRHLIEDGLCNTILTLPMAWQQEILMSWFDRFLNSGDDCPNIQRGFEVWWRRAFWRRSGEPERPHQMRITNGIVENS >CDP08247 pep chromosome:AUK_PRJEB4211_v1:8:25318011:25320015:1 gene:GSCOC_T00027016001 transcript:CDP08247 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSWVVEVNDKVNRLPGITRDEEKAWQSHSIYKLPACVTDLVTDRKESVYKPRAISFGPYHYGESNLDPMEAHKERALLHFIERSGNPLEHYRRALSGVVKELKDAYDSLDDKWKQDSEAFLKLMIRDGCFMLEVLRSSSTDLTKNGYASNDPIFSKHGMTYMLPYIKRDMLMLENQLPMLLLRKLHATSEASADQSEKSAQRSEESAQRSEKSVDKLILKFYSHSHSHGSTQPKLDECLHILDAYRTILLWKDSVTQTQEFTPHCKEGNNSGDILPARELEESGIRIEKSQSTSLTDIQFDYKGGILKLPPICMDDVSETMFLNLIAFERFHAGVGSQVTSYIYLMDKLIDNAEDVNFLQSREILHNALGSDKALAQLINSLSKDVVLDRDSSLNKVHVAINNYCHELSVVRMTRGKCPKWWAALMETYFRNPWTFIAVVAAIILFALTITQTTYGALQYYQALNNSPSLPSPPLRRS >CDP08335 pep chromosome:AUK_PRJEB4211_v1:8:26125738:26128667:-1 gene:GSCOC_T00027137001 transcript:CDP08335 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALFSSPPATHLPSPSSPHSTAKTQLALRHFISWRPKKQPPFWVFAENGAGSTSTAAIVEEDKAAAAAEEKVSEDKKEESLGSNGSVAVDAAAAPAPVTAFQDPRWIGGTWDLTQFQKDGKTDWDAVIDAEARRRKWLQDNPESSNNDNPIVFDTSIIPWWAWIKRYHLPEAELLNGRAAMIGFFMAYLVDSLTGVGLVDQMGNFFCKTLLFVAVVGVLVIRKNEDIETVKKLLEESTFYDKQWQATWQDETSSSSMKD >CDP09831 pep chromosome:AUK_PRJEB4211_v1:8:28821575:28831117:-1 gene:GSCOC_T00030304001 transcript:CDP09831 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKTQMKRIENATSRQVTFSKRRSGLLKKAFELSVLCDAEVALIIFSPKGKLYEFSSSSATSTIERYQKNIKNLCPSRRMEQAQHFEEEVAILRKKIEILEETRRRFLGDGLDSSSVDELQQIENQLEKSLSIIRSRKSSLFRERMDQLKEEEKILRKENAELRGKYEEQQLELSISPQPLPLRQVKEVETQLFIGPPKS >CDP16153 pep chromosome:AUK_PRJEB4211_v1:8:23482756:23489981:1 gene:GSCOC_T00017234001 transcript:CDP16153 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPVYNQRSPSPSQPSGKGEVSDLKMQLRQLAGSRAPGTDDAKRDLFKKVISYMTIGIDVSSVFSEMVMCSATSDIVLKKMCYLYVGNYAKHNPDLSLLTINFLQRDCKDEDPMIRGLALRSLCSLRVANLVEYLVGPLGSGLKDSNNYVRMVAAMGVLKLYHISASTCVDADFPTTLKHLMLNDPDAQVVANCLSALQEIWSLEASKSEEASREREALLSKPVVYYLLNRIKEFNEWAQCVVLELVAKYVPSDSNDIFDIMNLLEDRLQHANGAVVLATIKVFLQLTLSMTDVHQQVYERIKAPLLTLMSSGSPEQSYAMLSHLHLLVMRAPYIFSSDYKNFYCQYNEPFYVKKLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNVQEPKAKAALIWMLGEYAQDMQDAPYILESLIENWDEEHSAEVRLHLLTAVMKCFLRRPPETQKALGDALASGLADFHQDVHDRAMLYYRLLQYDVSVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFAFSEELGSLSIGVESADNIVTAQRVEANDKDLLLGTSEKEESRGPGNNGSAYNAPAYDGSPALTAALQTQLDLVSLDHTPAASVSSTSLAIDDLLGLGLPVASTPAPPPPPALELNPKAVLDPNSFQQKWRQLPISISQEISISPQGITALTTPQALIRHMQGQSIHCMASGGQAPNFKFFFFAQKAKESSNYLVECIINTSASKAQLKIKADDQSTSEAFSSLFQSALSKFGLP >CDP13432 pep chromosome:AUK_PRJEB4211_v1:8:1452256:1457645:1 gene:GSCOC_T00038374001 transcript:CDP13432 gene_biotype:protein_coding transcript_biotype:protein_coding MMKCFYIFKDKSSRSGREGRSAPELRNKSKSNSSASSRPTRSTGSSSMPRSIPEMYREKEHNSIVFSLSELREATNNFNKLLRLGEGGFGSVYKGKIRPPDGKGDPIVVAIKKLNTYGLQGHKEWVAEVQFLGVLEHPNLVKLLGYCAVDGERGIQRLLVYEYMPKKSLEDHLFNRTVPAIPWRTRLHIILGAAQGMAYLHEGLEVQVIYRDFKSSNVLLDNNFIPKLSDFGLAREGPIGDRSHVSTAPVGTYGYAAPEYVTEGHLSTRSDIYSFGVVLYEILTGRRALERNRPVVEQKLLEWVKQFPADSRKFSMIIDPRLQNQYSLNAARRIAKLADSCLNKNARDRPTITQVVEVLQQAIKDTEEESSSNTIGPEPSSSRSKPVATDKQFNKLRVNTAMRQMSHAAQA >CDP12236 pep chromosome:AUK_PRJEB4211_v1:8:31276068:31278807:1 gene:GSCOC_T00035662001 transcript:CDP12236 gene_biotype:protein_coding transcript_biotype:protein_coding MMMISSRSSPANPRIQSISNDPITNCPTWKLYENPFYTNTPHNQKHKHQQHSLHRIQLPVSARKIAASFWDLTFIRPLMESELDKARAKITELKVELEQERKARKKAESMNKKLARELSEVKKSREALERLCEELAKQVSTGEAEMSRMHKDMEEERKMLRMAEVLREERVQMKLAEAKLLLEEKLTELEATKTKQMIDHSGSNASAVIVAASQRRASPEPENPHIKRGIKGFVEFPKVVRAIGSKSRHFGTKLECQKAQLRILLKQKTPIRSSNHPIPLLPAS >CDP09824 pep chromosome:AUK_PRJEB4211_v1:8:28895166:28900317:-1 gene:GSCOC_T00030296001 transcript:CDP09824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase [Source:Projected from Arabidopsis thaliana (AT4G11820) UniProtKB/Swiss-Prot;Acc:P54873] MAAHNNKNVGILAMEIYFPPTCIQQEILEAHDGASKGKYTIGLGQDCMGFCTEVEDVISMSLTAVTSLFEKYNVDPKQIGRLEVGSETVLDKSKSIKTFLMQIFEGCGNTDIEGVDSSNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAAGPARPTGGAAAIAMLIGPDAPIAFESKLRGSHMAHAYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYKTFCHKYEKLEGKKFSILDAEYFVFHSPYNKLVQKSFSRLLFNDSLRNASSIDEAAKEKLAPFSSLTGDESYQSRDLEKASQQVAKPFYDAKVQPTTLIPKQVGNMYTASLYAAFASLIHNKHKTLDGQRVILFSYGSGLTSTMFSLRLREGQHPFSLSHIASVMNVAEKLKSRHEFSPEKFVETMHLMEHRYGAKDFVTSKDCSLLAPGTYYLLEVDSMYRRSYAKKACENGSLANGH >CDP12049 pep chromosome:AUK_PRJEB4211_v1:8:29839057:29841275:-1 gene:GSCOC_T00035420001 transcript:CDP12049 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNALSRTVLSVSVESCPNHCSDHGTCKSNKKTEGPSPYGYFYYFCKCNGRYGGFDCSTELVTQSGYIWHVIFLTASNAAALFPEAWALRQKALADWVVFTCCGVASAVYHACDVGSWCALPFQVLQFMDSWLSFMAVVSTFIYLTFINEGLRRTTHTISSISNIFLPSPSKIIPSELCRSRNIPLVLAIGALGLLFAWLMKLFSAFKSNSLRSNWNFQHRLLRLRSWLPDLKKALNKRFKWRFVLAGFIAMSIAALSWDMESLETSWIWHSAWHVSIYTSAFFFLCSRSTVLDGGGSEAVEDDARSLTVQ >CDP08264 pep chromosome:AUK_PRJEB4211_v1:8:25468233:25470762:1 gene:GSCOC_T00027037001 transcript:CDP08264 gene_biotype:protein_coding transcript_biotype:protein_coding MATALCFSCSSKPSTVTDKDFLPGRRKAGKIIENLDVRINVRSPISTGDSPNMTRGMKWSLEQRRRLLSFVDSGCFENALHVFEEMTRPSTFIWNVLIRGLTDNGFYQEAIDLYYRMEWEGVGSDKYTFPFVIKACVGLFSLVDGQRIHSRVIKMGFDKDLYVCNSLVIMYSKLGCIEQSEKIFADMLVKDAVSWNSMISGYVASGDCLSSLTCFRDMQAARISCDRFSVISILGACSLYGCLLKGKEVHCQVIRRQLDSDPMIETSLIDMYGKCGLVQYSDRLFKRVAQRSVAVWNAIIGAYGLNDEPVRSFSCFERMLESDNLDPNAVTLINLLPSCARMRALVQGKSMHGFAIRKGMFPHVVLETALLDMYGKCGCLNLADSMFVQMKETNLISWNARIAAYVQSGNEKGALHVFQDMCSEHLHPDEITFVNILPAYAEIALPKEGQQIHANIIKLGFGSSIFICNALIYMHAKCGDIQAAQLVFNCIVYKDVISWNTIILAYGIHGFGEISVRLFSDMMAEGIKPNGSTFVSLLASCRICGMVDEGQNYFSSMKTEYNIDPGIEHYGCMVDLLGRSGNIDLAKHFIDEMPLVPTARIWGSLLSASRYHRNIELAELVADRILSLEHDNTGCYVLLSNMYAELRRWADVERIRCSMQRQGLRRTTACSLIEYNGKALQITNNDCSHAEASMIYDALDIISRKIGDDLHVCGVLKFKPPDLIRRRAHSTMYHSARLAICAGLLSTPVGTPVLVRKNVRICEDCHNAAKIISEMTDREIVVGDPKFYHRFSNGKCTCKDYW >CDP13463 pep chromosome:AUK_PRJEB4211_v1:8:1196034:1202141:-1 gene:GSCOC_T00038419001 transcript:CDP13463 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTLMVLLSSFSALLASLCILKLVYSLWWRPKLIERELKQQGIGGTSYNFPYGDKLATKKLMLEAWSIPMSLNHEIIPRANPFLHQMVQTYGKVCLSWNGTMPRLILGKAELVRLILNNKNGHFQKTPLANLLTLGLSTLEGEKWAKHRRIITPAFHHEKLQGMVPKVLASCCNLIDRWKMLLASARRSEIDINSELHRLSADVISRAAFGSSYKEGKKIFELQKEQAVLASEAYRALYLPGLRFLPTKKNRRRYQVDREIKAMLRVLICKKQKAMQDGEAGNADLLGLLFQCKEEKGNELTIEDVIEECKQFYFAGQETTANWLTWTLILLSMQPDWQEKARQEVLQNCGKTAPDRKILNRLKIVTMVLFEVLRLYPPATCTVRYTVQRTKVGDISIPAGVEVYLPIMLLHHDPEYWGDDAEEFNPERFAEGVSKASGDQLAFYPFGCGARICIGQDFAIIEAKLALAMILQHFSFKLSPSYTHAPCAGFTLQAQHGAPIILKPI >CDP09989 pep chromosome:AUK_PRJEB4211_v1:8:27523579:27529405:1 gene:GSCOC_T00030513001 transcript:CDP09989 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPFVYQSPQDALTPFQILGGEAQIVQIMLKPGEKIVAKPGSMCYMSGSVQMDNIYAPENEAGIWQWLFGKSVTNIVLHNTGSTDGFVGIAAPSLGRILPIDLSIFGGEMLCQPDAYLCSINDVKVNNAIDQRPRNIITSIEGFLRQKISGQGLAFVIGGGSVVQKNLEVGEVLSVDVPSIVALSSTINVQVKYNGPVRRLVFGGENLVTAVLTGPGIVFIQSLPFHRLSQRIARAVTSPNMRDNPKFFIQIAIFFFLAYVVVVSSLILTDI >CDP13535 pep chromosome:AUK_PRJEB4211_v1:8:522527:531580:1 gene:GSCOC_T00038512001 transcript:CDP13535 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRKSPPKHRHDGTSPLPLGMDWSPPPKKWAGRETVWPHDPHTGWSYCVTIPSWVVRPKSRDSDPIVFYRVLIGVQSPEGVTTTRYVLRRFNDFLKFLSALKTAFPRKNLPSAPPKGLLRMKTRALLEERRRSLEEWMTKLLSDIDISRSFVVASFLELEAAARSSFQDENQQSSVNPSGSSSTNSSSHVHPNSSLSLLAGSSSIMSDYGSDTAYETSEIGSGSLGLDNNSEIGTEDLSLDEDLSSPIDKFMKYGMSNIDEGLFMGQAILEQLEGFPRQKVHVHQNAVANDIRNENGFHARKSSADSIGSEVSSKKGSQPPNLAFSDSLGNGSIHLPGGASVSGTTEVLGNSDLQLPDDVQLVLPQDQGQKMNRVLMTMHRRLVAAKTDMEDLISRLNQEIAVKEYLTTKVKDLEVELETTKQKSKENIEQAILIEQERVTQMQWDMEELRRKSMEMELKLNSQQNQKMDTEVKVSSSNEKNILQELDLKRQQLEDLSKRQQEVEVKSKADIRVLVKEVKSLRSTQAEIKMQLKESLQEKSEIEMLLQEEKHKNEHMYAAWRNFLEKCETLFNQLQECKVDILKEDEKNDLMEFPLPTHAFDDIAKSDDQINVLLAEVQHLSLAVDALVPTADKNKRADDDMIRINEHLRTMLVNFFVDNGRLIKQVNYVARALRMKMSADRNDSEACPNNCVKNEGLDR >CDP08372 pep chromosome:AUK_PRJEB4211_v1:8:26562505:26568691:1 gene:GSCOC_T00027179001 transcript:CDP08372 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAMDMLKAASDWLTFAFDAPFARAVVFGVNIGGHLFVEGLLVVVIIFLLSQKSYKPPKRPLTKKEIDELCDEWAPEPLIPKITDDMKYEPPALESAAGPHTLINGTEVINFASANYLGLVGHGKLLEACTRSLEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPAFCKKGDVIVADEGVHWAIQNGLQLSRSTVVYFKHNDMESLRNMLEKVTQENKRAKKLRRYIVVEAVYQNSGQIAPLDEIVRLKEKYRFRVLLDESNSLGVLGSTGRGLTEYCKVPVDKIDIITAAMGHALATEGGVCTGNARVIDHQRLSSSGYVFSASLPPYLATAAITAIDILEKNPDLITKLKKNVGTLWKGLSGIQGLEIASDPASPIVFLQLKKSTGSSKGDLQVLEEIAHRVLKEDTVFVATSRRSTLDKCKLPVGIRLFVSAAHTEDDLMKAGESLKRVAALALNGHA >CDP12988 pep chromosome:AUK_PRJEB4211_v1:8:2870955:2872102:-1 gene:GSCOC_T00037711001 transcript:CDP12988 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRMWASSTANALKLSTAIRPQFSPAFSLSRCFSTVLEGFKYANSHEWVKHEGPVAAVGITDHAQDHLGEVVFVELPDAGSVVSQGKSFGNVESVKATSDVNSPISGEVVEVNSKLSETPGLINSSPYEDGWMIKVKPSNPSELESLMGSKEYTKFCEEEGGH >CDP13023 pep chromosome:AUK_PRJEB4211_v1:8:3297289:3298262:1 gene:GSCOC_T00037761001 transcript:CDP13023 gene_biotype:protein_coding transcript_biotype:protein_coding MYIVLLWFTHVSRSNARPTQFQKYYPQDDSIGLYFFAEDISKYASSSYKALLKCLVDYDLALRGNLGGIELLIFSSHLLPNSSQYWNGLLFLWGVFKESARN >CDP18474 pep chromosome:AUK_PRJEB4211_v1:8:22548062:22549635:1 gene:GSCOC_T00009037001 transcript:CDP18474 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKLLYFLSFLFLGVGANHADLEAYWKSQLPNTPMPKAVRDLLKDGKLPERGNFRLKPDATPALKTYGVRFIIYGRNPTEDELHIDPKVKVFFLEKDLHRGSSMDMQFVESVKSTTAFLPREVADSIPFSSKSIPEILNRFSVNPRSAQAKAIMETIAECEVPAMKGEDKTCATSLESMVDFATSKLGKNVLAASNEAPNADGKIQKYGVVGVFKLNNDKATVNDEFVACHKQKYAYAVFYCHTIQNTDAYMVNLVGADGTKVKAVVVCHKDTSAWDPNHEVLLLLKVKPGADPICHFFPGDHIVWVPKH >CDP07210 pep chromosome:AUK_PRJEB4211_v1:8:10733802:10745546:-1 gene:GSCOC_T00024385001 transcript:CDP07210 gene_biotype:protein_coding transcript_biotype:protein_coding MANYKPVFLTIYAAVVVGVVVSSFYVFSAVYSSSSSSSSSSSSSLWFSPGTFGYLILLAFSPAQNQVSNCSHLTVVNVSLGSASQTQSKSMMPIWEVPPSGSKMPPLKTFKLTKELVQQRVKDNVVVVTFGNYAFMDFILTWVKHLTDLGVDNLLVGAMDTKMVEALYWKGVPVFDVGGHMSTIDVGWGSKAFHKMGRQKVILIDAILPMGFEILMCDTDVVWLKNPLPYLARFPEADILTSTDQVVPTVVDDSLDNWQQVGAAYNIGIFHWRPSNSAKQLAREWNELLLADANLWDQNGFNDLVRRQLGPSVDEESGLAYAYDGNLKLGLLPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTEGKRHRLREAMVFYDPPDYYDASGGFLTFKPSIPKSLLLDGEHNLESHFDLVNYQIKQIRTALAVASLLNRTLVMPPLWCRLDRLWFGHPGILPGSMTKQPFICPLDHVFEVKTMLNELPEDEFGPPIRIREYSLFANPSMPKKVKESWLDVNFCQEGSRGCEVSNSTSQAGVLKFPKRSSEETYKTVFSSFKDVKVIQFSSMQDAFTGFADKSREDKFRKRVKAYTGLWCCVENHTPGHILYDIYWDEKPNWKPKPPQTPEEDHPPALTPLTGRAVAADDWAKGWRWCDGEGVGERRRLWVRMGSGSMRWRSCGRKKRRKRRRRLERERRNREEEE >CDP18794 pep chromosome:AUK_PRJEB4211_v1:8:170340:171732:1 gene:GSCOC_T00005569001 transcript:CDP18794 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAVLHPQDLFNHNHHRHQFISPAMKSHSARRNLHPAPSRSCSRRKRSPQKTTTLPSKRSNHPRNSSPSSKPTGNGPVIVVGPIKILKRGEALPVSTTPPSLDLTPLKEEQQQPPPPVQKAPANSTTKKKKMGAGVPRELAVLSAPEPELKQIQRISDCYAGSAFISSPPPSSLPVPAFFRKKNLVLTDDDNAATDSNSDDDATSDLLRLLRLDLS >CDP09786 pep chromosome:AUK_PRJEB4211_v1:8:29244971:29245648:1 gene:GSCOC_T00030252001 transcript:CDP09786 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFNSFSISTLLIIAFLSASAHAATFDIRNNCPYTVWAAAKPGGGRRLDRGQTWTINVAAGTAGARIWARTNCNFDGNGRGSCQTGDCGGVLQCTAYGRPPNTLAEYALNQFNNLDFFDISLVDGFNVPMDFSPTSNGCTRGIRCTADINGQCPSVLKAPGGCNNPCTVFKTDQYCCNSGSCSATDYSRFFKTRCPDAYSYPKDDQTSTFTCRGGTNYRVVFCP >CDP13487 pep chromosome:AUK_PRJEB4211_v1:8:961333:964343:1 gene:GSCOC_T00038446001 transcript:CDP13487 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSSSTSTSTSTSLSSVSYSSSSKRGRWSHLSTHAARFYFLLIFLQIPLFRVPCRSGLCRTPLQVTSCQLISSEIFPLPVVKALLYPGAVLDGLISNQTVPSWDNILNIYNLTGVKEASAVTDLQRLEVLAGSYFSVAGGLIGIIRPGRMSMFGTLLVIWGLVKEGILKKPVNTDPTSANQVFVYPTMLIALICAFLSVKYDVKKVMRSTPSRPVAKPLQSSVKSKLK >CDP07836 pep chromosome:AUK_PRJEB4211_v1:8:18462086:18462986:-1 gene:GSCOC_T00025283001 transcript:CDP07836 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPSQIKGKLLEDKEIMAINKIGAMALLFCVTTWAVGGSINTYSG >CDP12145 pep chromosome:AUK_PRJEB4211_v1:8:30587068:30591859:-1 gene:GSCOC_T00035541001 transcript:CDP12145 gene_biotype:protein_coding transcript_biotype:protein_coding MRPISSIVFAFLAAALLLNHCKAAAEWVTCSGIVPMRYRNDKISITDFGGVGDGRTLNTKAFREAIYRIEHLRRRGGTLLYMPPGVYLTESFNLTSHMTLYLARGAVIKATTDTRYWPLIAPLPSYGRGRELPGGRYMSFIHGDGLHDVIITGENGTIDGQGEIWWNMWRRRTLQFTRPNLIEFMNSRGIIVSNVIFKNSPFWNIHPVYCSNVVINYVTILAPADSPNTDGIDPDSSTHVCIEDSYISTGDDLVAVKSGWDEYGIAYGRPSHGITIRRVTGSSPFAGIAIGSETSGGIEDVLAEHINLYNMGVGIHLKTNVGRGGVIRNITVSNVYMQNARKGIKIAGDVGDHPDTNYNPNALPVVKDVTIKDVWGEGVLQSGQIQGLKNSPFTGICLSNINLQGNVGPRNPPWKCSDVSGAAVRVSPWPCSELTSTYQAGSCSNSF >CDP12148 pep chromosome:AUK_PRJEB4211_v1:8:30606971:30607903:-1 gene:GSCOC_T00035545001 transcript:CDP12148 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQENTVPLAFEDGASIRSSLSHLILTGGANTLDSILSYGQQPNPVADSVFEPLGSSVYLKQRDLLHKFYEENKGNSSAVSRIRLTNPLQNSVYAQSFMHPCKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYDTAEAAAYAYDRAAYKLRGEYARLNFPNLRDPSKLGFGDGARLNALKNAVDAKIQAICQKVKRERANKNAKKSSGGSGDATKVKESKKEMKILDTSSSSSSLPPLGNDNSWSSELVSPTPSVSEDGIWKGENSSTSISGEFPVGPMGSEFEDCSLARFPSFDPDLIWEVLAN >CDP13477 pep chromosome:AUK_PRJEB4211_v1:8:1031010:1033372:1 gene:GSCOC_T00038434001 transcript:CDP13477 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYKHMLGAFTLVCYFVFVANAQFNYRDALSKSIIFLEAQRSGKLPPNHRPSWRGDSALQDGKLANVDLVGGYYDAGDNVKYGLPMAFTITTLSWAAIFYQSDIQAAGELANVHSAIRWGTDYLLKASSRRDRLYVQVGDPVQDHQCWIRPENMKTPRNVLQIDRSDPGTEIAAETAAAMAAASVVFRYSDHAHSRRLLNKAKALFKFAKTYKGTYDGECPFYCSYSGYNDELLWAATWLYVATKRSIYLEYIQEEAITATVSEFSWDLKYAGAQVLLSQLYWQGHKDLKNFKQDADSYICSVLPDSPYHQVYFTPGGLIHLRDGANAQYVTGTAFLFSVYSDLLSRHKQQVTCGNKAFTSSQLMAFAKQQMDYLLGKNPKGRSYMVGFGNNPPRQAHHRGASVPRMSSSEVVSCPMTFVNWYNKNGPNPNELTGAIVGGPDRNDNFADQRASSAMTEPTTYTNSLAIGVLAKLAKHHAVS >CDP07226 pep chromosome:AUK_PRJEB4211_v1:8:12030064:12035749:-1 gene:GSCOC_T00024424001 transcript:CDP07226 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAKALTTIPIASFFTGTTKPSQSFKRVPPFHRHSIRFSVPLFTRSKSFTTRRYAHSSAPEVAAEFSSGMLPDSQGKIEKLEASVEKVIYGCRFFTILAVWGSLVGSFLCFIKGCSYVVASFQEYFVNRGKVMFSLVEALDVYLLGTVMLVFGMGLYELFISNLDKAKSFADERVPHRSNFFGLFTLKERPQWLEIKSVNQLKTKLGHVIVMLLLIGFFDKTKKAAILSPLDLLCFSASVLLSSGCLYLLSKLNHLEE >CDP09847 pep chromosome:AUK_PRJEB4211_v1:8:28736579:28739864:-1 gene:GSCOC_T00030321001 transcript:CDP09847 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSIVTPKTAAIAAAAAFVSSLPSSSSDSSSQFPGFLSKTLNSGSIPLSCKDNFRPFRLGAVKSLAASKMEAPSSNHKPSSSIQNGAFLPELLTEYMVDMSCEGCVEAVKNKLQTVAGVKNVEVDLTNQVVRVLGTSPVKTMTDALEQTGRKARLIGQGSPDDYLVSAAVSEFKGPLVFGIVRFAQVNMELARIEANFNGLSPGKHGWSINEFGDLTGGAASTGKVYNPANSADKEPLGDLGTLEFDEKGDAFFSGGKQNLRVLDLIGRSVVVYETEDKSDPGLVAAVIARSAGVGENYKKLCTCDGTTIWEA >CDP13517 pep chromosome:AUK_PRJEB4211_v1:8:711217:713313:-1 gene:GSCOC_T00038485001 transcript:CDP13517 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSNSIPLFLFLAFVLALLMQWKRPNAAAQMQKLPPSPWKLPFIGNLHHLVGSLPHHALRKLAQKHGPLMHLQLDRAVSPAIRIVLYGASDIAFAPYGDYWRQMRKICTQELLSARTVQSFSPIRQAEASRLVSSIQALAGGKEPINIAEKLYMYSSPMIRRSAFGKVSRDDQNAFVQIIKDGVGTEGMEIADLFPSYKFLHFFSVVRIKLEKWMQNQDKLLSNILRQHIRDFATKKSSTGGEFGQEDLIDVLLRVRESGGLQCQMTDDNIKAVIVDMFLGGIENSCTTVEWALAEMIRKPDVMAKGQSEIRTAFMGKKIIEEIYVLRGFTTTDIQNLRYLKSVIKETLRLHPPVPLLVPGECREH >CDP16152 pep chromosome:AUK_PRJEB4211_v1:8:23475969:23481521:-1 gene:GSCOC_T00017233001 transcript:CDP16152 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSNLPRLHSHWLPIITSPLTQFFISKTPKKQFFINSTLLSKPLSTTLFTKTPDKNSSTLQESRNQEKFVETPQIELSLEKLFLPPDTDVSSLTTPLSSRVLKGSNIVLSKYASDAQVDCAEFIKSSVKTEECPSDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKINNNWYLVDLPGYGYAAAPQELRTDWDKFTKDYFLNRPNLVSVFLLIDASIPAKTIDLEYASWLGRNQIPMTLVFTKCDKRKKKKHGGRRPEENIQNFQELIREFFQTAPPWIMTSSITNQGRDEILLHMSQLRNYWLKH >CDP09928 pep chromosome:AUK_PRJEB4211_v1:8:28029558:28039998:-1 gene:GSCOC_T00030427001 transcript:CDP09928 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIKEMYPLCCIPLESNSPSAIDEGPVEPTLLRTGSAVINGSDANARGSDSAVSVAGLLYKWTNYHKGWRSRWFTLRNGVLSYTKSRTRPENLSSASAAADDLILIGGDFNKRHSSGSGRRKHGNKSAGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTNLKKERAAWIEALSSSRNLFSLRPLNDNLSLLSDISISTERLKKRLLDEGISEALVNDCEQIMLSEFSDVQGQLKFLCEERSNLLDTLRQSEAANVEADASGVHDAEYHMMKHEYSNLGRGKYSEWSTTESSDDVEKQELEEVSDEEETNFFDTNEYFSEPTSSFGSIREEVMDKGIKLENHINGMEKLDAEKEEHESRCLTIERRKKLPDPIEKEKAVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYQHGKAGNSLQRILNVAAFAVSGYSSSEGRHCKPFNPLLGETYEADYPELGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLKSKFWGRSIQLDPVGTLTVEFDDGEIFQWSKVTTSIYNLILGKIYCDHHGIMHIRGNHQYSCKLKFKEQSILERNPHQVHGFVEDSKGKKVATLFGKWDESMYFVNGEGNSKPKDMYNASLLWKKNMPPANLTRYNLTSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYDKANAEKLRLEMRQRMSRKLQEHGWKPRWFQRDGENGTFRYTGGYWEAREQRNWNGCQSIYGEISEDLLDSFKDC >CDP11676 pep chromosome:AUK_PRJEB4211_v1:8:4641198:4643768:1 gene:GSCOC_T00034128001 transcript:CDP11676 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPILSVYFFFAKLLPDFPKPHWSRFQAPKISYINSSCNLSPQIHSALSFETYIFLCNKLSFLDQYVG >CDP12174 pep chromosome:AUK_PRJEB4211_v1:8:30815758:30822913:1 gene:GSCOC_T00035579001 transcript:CDP12174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23940) UniProtKB/Swiss-Prot;Acc:O22993] MYFSAVGLGPFQNNPSPTLFQPQPSIIRRDFSLHVKFQLQKRQKYLNCPVTSAKRVHFYHSPYTLFGKIKANSKASENLDGSNDEKDDFVTRILKENPSQVEPRYLIGNKLYTLKEKENLSNKNLDYGVVGLLKTLNLKSLLSKTRYEGQLTKSEEEVYLKDILREYKGKLFVPEQIFGANFSDEEEFEKNVEVLPKMSIEDFRKYMKSDKIKLLTFKENPASPYGVGFRDFVVELKEIPGERSLQRTKWAMRLDESQAQVMLEQYTGPRNEIEKQMMSFVGKLPEYPHPIASKISSRVMVELGVLTAVMTAAAIVVGGFLASAVFAVTSFIFAVAVYVVWPVVKPFLKFFFGIIFGVLERVWEKFLDFFTDGGFFSKLYEVYTFGGVSASIEMLKPILLVFGTMVILLRFTLSRRPKNFRKWDIWQGIEFSQSKPQARVDGSTGVLFSDVAGIDEAVDELQELVRYLKNPELFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFSESTDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLGATNRRDLLDPALLRPGRFDRKIRIRPPNAKGRLDILKVHARRVKISETVDLASYAKNLPGWTGAKLAQLLQEAALVAVRKGHSSIIQSDLDDAVDRLTVGPRRVGFELGHQGQCCRATTEVGTALTSHLLRRLENAQVERCDRVSIIPRGQTLSQVVFHRLDDESYMFERRPQLVHRLQVLLGGRAAEELIFGRDTSRASVNYLADATWLARKIITIWNLETPMVIHGEPPPWRKSSKFVGPRLDFEGSLYDDYGLIERPVNFNLDDEIARRTEELMREMYAMTLALLKRHQAALFKTVKVLLNQKEISGEEIDFILDSYPPHTPINLILEEGDPGSLPFFSQKQKQDTELEYSLLSS >CDP20380 pep chromosome:AUK_PRJEB4211_v1:8:27093737:27097910:-1 gene:GSCOC_T00012605001 transcript:CDP20380 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEANSSSSASSAAVAAAGSPSGKRSRDPEDEVYLDNLHSHKRYLSEIMASSLNGLTVGDHLPYNIMDSPARSESIFYLRDEMSLEYSPMSEDSDDSRYYETSINTCSAQPESRPTSPVSPYRNQRQLIGVSSGLPTTSYAAHSCPSAPATSSQSRQRGSDSEGRFPSSPSDICHSADLRRAALLRSVQMRTQPLGSSSFDPPSSSVQEPGHNMETEDRPCSYMKSLVDDTGYQIEECSPLTIEPKNQEKSCATFNTNLRRDDPGE >CDP14932 pep chromosome:AUK_PRJEB4211_v1:8:9315612:9323850:-1 gene:GSCOC_T00042428001 transcript:CDP14932 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCITCSKQRTEDGGEESARGTPSTKEAVKSLTAQIKDIALKVSGSKQGKPSISPGGFRKGRPYPDFDTISEGVPYPFMQPGSSNSTPAWDFTRTGPQSAGRHDSRFTGAFGGDRTPGGQESISQSGDVVLEDEDEPKEWMAQVEPGVQITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNRQALNTPSRSEDGRDSSYSRLGSARESPMMTPSVNKEWTPRSSSQHYMAGSSAYAPGGPRGEMSSMDPSRTTTSSRDEASASISNASDIESEWVEQDEPGVYITIRQLADGTRELRRVRFSREKFGEVHAKLWWESNRDRIQTQYL >CDP08316 pep chromosome:AUK_PRJEB4211_v1:8:25928126:25935536:-1 gene:GSCOC_T00027111001 transcript:CDP08316 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAQQAMNLFGEEDDDDDQNCRNDDVEEDEEHQQKQARQSSSSSGASSSGASSSASSSAGASSSAASSSRSSSSNHSRSSSASEEDNENDGGEVRSRDNSNINHNRSSDTNYNNCGKENYYENLDEEEDDKDLFGSDNEDYGKTPVNSPYPVPVLPAIRNTNNHMRGGFGRGRWQHNNRGPGLLPRPGPYPQRPNFGGPKFFNAPRDERFVSELKFSKSEQTLARKCIAFQEPCELACYSRIEGGGVFFDDRSLRLFKRLITQDIGFDLNEGFDTFIPKKDLGSEGFGDLLGCIRSKNIPLQNMHFVTYRNNLNKILATAYIRHEPWEMGVHKRNGVVYLDVHKLPERPQSELERRRCYWGYCFESLATEDPTRGDGEGIHHVDANVEYCSVLKTKLGAHRILMGAEMDCCDSTDDGRRFYVELKTSRELDYHTEERFEREKLLKFWIQSFLAGVPYIVIGFRDDGGRLVRTERLRTKEVTGRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAPPFTRLELLQADSCPDAITDHVDQL >CDP09926 pep chromosome:AUK_PRJEB4211_v1:8:28073068:28074039:1 gene:GSCOC_T00030424001 transcript:CDP09926 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWMKSLQCKSRAVDDVVHHKHKVLTTTTTPHSNPKNHHHSNPKNHHHLIPNSGGCRNSVQSLKDVVEMTKQAKPRKLKSPPPESPQTPPAKDAKRSLPRKPEPVSHQPATRGRPSSSRISRSAESFFPALTELPEGHPSRNVVEIIFHTSWSPKAFSGRIEMVFKVQNLPRTVTRFEEYREVAKSRAGAAGGLGVNGGGEDHARCVADGNEVMRFYCLGPTSSSGGYDAGGCAWAFSGVKGAAICTFSGSGGAHESAGGGRGRRAMLVCRVIAGRICKQLGFDSLVEGRGGYESVSGDNGELLVFDSRAVLPCFLIIYKL >CDP09801 pep chromosome:AUK_PRJEB4211_v1:8:29136001:29138331:1 gene:GSCOC_T00030267001 transcript:CDP09801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 2 [Source:Projected from Arabidopsis thaliana (AT1G12740) UniProtKB/TrEMBL;Acc:Q9LN73] MGWPLLGETLKFFSPSTTFDVHPFVKERMKRHGPIFRTSLVGRPIIVSTDSDLNYYIFQQEGQLFQSWYPDTFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGPEALKKMLPDVEQAATRKLKRWSSQKSVDMKEATASLIFDLTTKKLISHDPEKSSENLRENFVAFIQGLISFPVDIPGTAYHKCLQNEFCKFKLSLINLNELKKAMKMLKSMLEERRAKPREHQSDFFDYVLQELGRKDTILTEAIALDLMFVLLFASFETTSLALTLAVKLLAEHPAALKELTEEHEAIVRKRETPDSALTWSEYKSMTFTFQIINETVRLANIVPGIFRKALQDCNNIGAGYTIPAGWGVMVCPPAVHLNPSRYRDPLEFNPWRWEGFELNGATRNFMAFGGGMRFCVGTEFTKVQMALFLHCLVTKYKWQGIKGGDILRTPGLQFPNGFHVQLSEKFKPTEKPTTYVKR >CDP09870 pep chromosome:AUK_PRJEB4211_v1:8:28533982:28535972:1 gene:GSCOC_T00030350001 transcript:CDP09870 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAIKGLMISCDVPMAQFIINMNAALPQSQKFIIHVLDSTHLFVRSDVAGMIRSAIAEFREQNTYEKPS >CDP11677 pep chromosome:AUK_PRJEB4211_v1:8:4644837:4657318:1 gene:GSCOC_T00034129001 transcript:CDP11677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32200) UniProtKB/Swiss-Prot;Acc:Q43307] MVILSAPPPCSLFTSAAAAAAGAARASSPACSSWRAASIFSVKVSSSVEFCPSAKSVRGMAEIFGGDKTKGSATAAAEALAASGSSSSCSVSELSLSLNFVDFRTEEDLLSGIRKAAESGRLPLNVTQGMEELYQNYRNAVYQSGDPKAREIVLSNMALAFDRIFMDVKDPFEFSSYHKAIREPFDYYMFGQNYIRPLIDFRRVFYFFYLNSYVGNISLFNEIGDKLLQGDNIVLMSNHQTEADPAVIALLLESTNPNLAENVIYVAGDRVITDPLCKPFSMGRNLLCVYSKKHMNDDPELVEMKRRANTKSLKEMALLLRGGSTIIWIAPSGGRDRPDPVSGEWYPAPFDVSSVDNMRRLVEHAGIPGHIYPLAILCHDIMPPPPKVEKEIGEKRMISFHGVGLSVAPEIGFHAASLEDPEKTKTAYTQALYDSVNEQYNVLKSAIHGKQGLNASTPTVSLSQPWQ >CDP12225 pep chromosome:AUK_PRJEB4211_v1:8:31193241:31194953:1 gene:GSCOC_T00035647001 transcript:CDP12225 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHQGALMLLGPTMNPKVAFELSERLPHLGLRIKEHCHRALTYATRMKKLGFKVIYPGLDDHPDHSTLKSLANKDYGFGGILCVDMETEERANRFMNLLQNYAQFGFMAVSLGYHETLMSISGSSTSSEMNAQEKALAGISPGLVRMSIGYSGTLEQKWSQLEKALSRMQDTNLFQKH >CDP12477 pep chromosome:AUK_PRJEB4211_v1:8:16431208:16433255:1 gene:GSCOC_T00036068001 transcript:CDP12477 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGTKNNLKNGTHLRELANQSVGKSQLLRAIMNIAPLAISTTSQGYSGVGLHLQLLLIKKQVIHSYAIINFIKLEADAMVLADREVVCIDKFDKMNDQDCVAIHEVMQQQIVTIAKAGIHASLNAQCSVVAAANPIYGTYHCSLTTTKNIGLLNSLLSPFDLLFIVLDQMEPGIDRHISEHVLRMHSFCTQYKRDDEGDANSTVFVKYNPMLYGTKTSRKRETLTIDFLKKYIHYAKHRIQPELTDKVSDQIATAYYAELRSTSSNAKTKAGTLPITARTLETIVHLSTAPKIEVKKPDKSILKADVDAALQVLNFAIYHQKLTEIKEHKQEKERKCRSENNASDTGRPRHQGARNDRENGDAFGRTE >CDP13037 pep chromosome:AUK_PRJEB4211_v1:8:3508515:3539276:1 gene:GSCOC_T00037789001 transcript:CDP13037 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTLTRVKVTPGLLTMDKMKSLLWRLEKEGGRFLAPNVSLGFASDFGSEFAYLAIENQESTVPREFKPKNRRIMGAGGPDEEDNRWPPWLKPLLREQFFVQCKMHADSHKSECNMYCLDCMNGALCSLCLASHKDHRAIQIRRSSYHDVIRVNEIQKYLDISSVQTYIINSAKVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSKNFQKKKKHSPEKKRALMAAASDSEDSYSSSSHGGRHKSPSSSNGKVQSFSPSTPPPTAVNYRTAKRRKGIPHRAPTGGIVIEY >CDP08239 pep chromosome:AUK_PRJEB4211_v1:8:25247481:25253323:-1 gene:GSCOC_T00027007001 transcript:CDP08239 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELQYGSDSLSNKRKYEDSQAALPSRSRPTGFSAPISSQSPPDSKQPPAYNSVPPPMDEIQLAKQKAQEIAARLFNNVDPSKKPRVDNGGSGGYDSIEPVVQKPLSGAPSVPSSYGYPGLSKKIEIPNGRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDADPHSLTRGVELMGTPDQISKAEQLIKDVLNEADAGGSGIVSRRVTGQPAGADQFVMQIPNNKVGLVIGKGGDTIKNMQARTGARIQVIPLHLPPGDTSTERTLQIDGTSEQIEAAKQLVNEVIAENRMRNSSMGGGYPQQGYQARPPASWAPPGPPMQQPGYGYLQPGAYPGPAPQYNMNQPPYPGYPPQPTSGGYTSGWDQTTAPSNQQNAQGGGYDYYSQQQPPQQQQAPGGPGGPTDNSAYGYNQAPASGYSQGQGYPQDGYGGYQSTVPQSGYAQSQPNAVPGYDQQQGYHSASGYGSVSNPPTDGHTPSYGTQADASQAPPVQSSAAGQQGYAAGQQPSPNPNYPPQGAAQPGYGVPPTSQAGYGTQQPSGYGSSYGPPQTQKPPTSQPAYGQTQQSPTAQGGYGQPGYPPAQSGYGPPSTGYGAPASQPGYGAPASQSGYGAPPYGGPPASQSNYGQQATYSSAYSGGYSNSQQPPAYSSDGSSGGNPRGAYDSTTASQASQQPSGVSKASPQE >CDP12213 pep chromosome:AUK_PRJEB4211_v1:8:31111112:31115118:1 gene:GSCOC_T00035629001 transcript:CDP12213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G10770) UniProtKB/Swiss-Prot;Acc:O82485] MEESSADEIRAPLIISKDEDINDDDVYAISSSKSNEVHHVYDEEEEEEENSPIPQVALTVPTTDDPSLPVLTFRMWVLGTLSCILLSFLNQFFWYRTEPLTITAISAQVAVVPLGQLMAAKLPKGAFFKGSRWEFSLNPGPFNVKEHVLITIFANSGAGTVYAIHIVTVVKAFYKRHITFFVSLIVVLTTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEERPKGGVTRTQFFLIAFISSFAYYVFPGYLFQMLTSLSWLCWIFPTSILAQQLGSGLNGLGIGAIGLDWSTISSYLGSPLASPWFATANVAAGFVLVMYVLTPLAYWLDLYKAKTFPIFSDELFNARGQVYDIAAIIDSNFHLDIAAYERQGPLYLSTFFAMTYGIGFAALTATITHVCLFHGSEIWEQSKSSFKEKKMDIHTRLMSKYNQVPEWWFWCILVANIALAIFVCEYYNEQLQLPWWGVLLACLIAIFFTLPIGIITAITNQSPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMSQAITFLQDFKLGHYMKVPPRTMFMAQVVGTLIAAIVYLGTAWWLLETIPDICETTSSQSVWTCPGDRVFYDASVIWGLIGPRRIFGDLGTYAMVNWFFLGGAIAPLLVWLAARAFPNQHWIKLINMPVLIGATGSMPPATAVNYTTWIIVGFLSGYVWYRYRPESWRRFNYVLSGALDAGLAFMGVLLYMALGLEDISLSWWGNDLDGCPYATCPTAKGVVVEGCPVIS >CDP13450 pep chromosome:AUK_PRJEB4211_v1:8:1285871:1287136:-1 gene:GSCOC_T00038402001 transcript:CDP13450 gene_biotype:protein_coding transcript_biotype:protein_coding MYSWEKSLREEKSRNNPKNPSFSSSLLDEIYRSIDGYDEKGEEWKLNKERSHATSIKKHNGGRAKSSSIVEDEEMASLKRACLIEKWMEKKVHEKVSSQRKELDNDPLFFSSGSSSSDSSSGGLSSSDTEFFASIKTRKSCFASSRPKPVRTSVSPRRGQQKNEFLMFSRDQESVETEENLIKSKSRALKIYANLKKVKQPISPGGRLTSFINNLFTNGHSKKSKACCEDANAEKKSKKSSSISQVSSSVSTCSSASSFSRSCLSRSSPNSRAKLNNGAKRTVRFCPVSVIVDEDCRPCGHKSTYDQDSDRFGKPPLMSQNSTAQRKTEGAAAAAERDRDNLKAYHQNHKKENFAIDRMIQDHHEEDYDDDDEDAASDSSSDLFEIDHLALFGNNRFCEELPVYETTHLDTNRAIASGLIR >CDP09914 pep chromosome:AUK_PRJEB4211_v1:8:28216146:28218785:-1 gene:GSCOC_T00030407001 transcript:CDP09914 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSTTTTLSLDHLASSEQLCYVHCNICDTVLAVSVPCTSLFQTVTVRCGHCTNLLPVNMRGLLLPSANQLHNHFGHTFFSPSPNFLDQISSPTPNFLMNQTTASDFTLPPQTGFSDLPRPPVMNRPPERRQRIPSAYNRFIKEEIQRIKATNPDISHREAFSAAAKNWAHFPHIQFGLMPDQTV >CDP13428 pep chromosome:AUK_PRJEB4211_v1:8:1478107:1530124:-1 gene:GSCOC_T00038369001 transcript:CDP13428 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVANLLQRYLGNYVRGLNKEALKISVWQGDVELTNMQLKPEALNALKLPIKVKAGFLGSVKLKVPWSKLGQDPVLVHLDRIFLLAEPSTQVESSSEDVVQEVKRNRIREMEMKLLESRKLQTEVNKSWLESLINTVIGNLKLSVSNIHIRYADTESNPGHPFAAGVTLDKLLAVTVDDTGQETFATGGALERIQKSVELERLAVYLDSDVSPWHIDEPWEDLPPREWDQACSIQIFKFGTKEGKAAAVLPEEHSYILQPVTGNAKYSKQHASLPVNDGQPLQKAVVNLDDVTLSLSKSGYRDLLKLADNFAAFNQRLKYAHYRPSVAVKSDPRPWWKYAYKAVSDQLKKASGKLSWEQVLWYARLRKKYISLYAKLLRSDSDRVVIDDNEEIKELDRELDFDLVLQWRMLAHKFVAQSMDSAPYLRNQKSTKSWWPFGWTSNSVTDENEPGNLSEDDWQRLNNIIGYKKGDSDQLLEIHGRADLLHTSLEIHMKHNASRLADEKECLADLSCENLDCFVNLYSEAKVFDVRLGSYQLSSPNGLLAESATGHDSLVGAFRYKPFDSKVDWSLVAKASPCYVTYLKDSFDGIVNFFESNAAVSQTVALETAAAVQMTIDEVKRTAQQQVNRALKDQARFSLDLNIAAPKITIPTDFHPDDAHSTKLLLDLGNLVIRTQDGTESVPPEEMSMYLQFDLVLSDVSAFLVDGDYHWSQASPDRTVGSSKHSVINFLPIIDKCGVIVKLQQIRLENPLFPSTRLALRLPSLGFHFSPARYHRLMQVAKIFQGENKDNADFIRPWDQADFGGWLSILAWKGMGGREAIWQRKYLCIVGPFLYVLDNPNSRSYKQYMSLRGKQLHQVPADIAGNLEHVLAVCISGLSINKIVEDPNALVLRCDSEDTRRTWQSWLQGGIYRASRSAPITGVLETSSDSEDSEVERVDNQDLLDSSKMEKVFLIGVLDELKIRFNYNCQNDQNFLKVLLAEEKRLFEFRATGGRVELLVKDNDMFIGTVLKSLEVEDLICRKGRSQYCYLARSVIRSSDGLSLSNVNGDGTFVSDDLSQGEGEDEFYEASETLNDESPQALSFSRLSGLLPANNSHPGDDNMEVNDTLDSFVKAQVIFYDKNSSLDEGVETKVAVSLATLSFFCRRPTILAIMNFVNAINVQDDSCESFRDTSAAMVQSDVSDKNAVDKQASDVLEEPVAQGFIGKGKSRVIFYLTLQMARAQILLMKENGSKLATLSQDNFLTDIKVFPSSFSIKASLGNLRISDDSLHSNHIYFWACDMRNPGGSSFVELVFCSFSPDDEDYEGYDYSLVGQLSEVRIVYLNRFLQEVISYFMGLVPSNSVDIVRVKDQKTNTEKSFTRSEIEGSPAVKFDLSLRKPIILMPRRTDSLDYLKLDIVHITVQNTFQWFHGSRQEMNAVHMEILTVKVEDINLNVGSGTELGESIMQNVNGISVIIRRSLRDLLHQIPDTEVDIKIEELKAALSNKEYRIISECAQSNFSETPNLVPQLNVSSSASVEVAGPSVPVDSNATESGTLGREKWISTKVSVIIDLVELSLYYGVTRDASLATLKVSGAWFLYKSNSSGEGFLSATLKDFTMLDDREGTEEELRLAIRKPETIGYNPTDFLTDEVVPHKMENKMGDIDRKPVPTMLVLDAKFSDYSTSLFLCIQRPQLLVALDFLLDVVEFFVPTVRGMLSNEEDKNASPIIDGIILDKSTFSQPSAEFSLSPLRPLVADDERFDLFLYDGRGGTLYLLDRQGSNLSSPSMEAIFFVGTGKKLQFTNVTIKNGQFLDSCMFLGSNSSYSATEDDNVFLDEGDSGHSQSYSGESSNSVSPQNVAGSRSTEIVFELQAIGPELTFYNMSKDVGKSLLLSNKLLHTQLDAFCRLVLKGDTIDITAEALGFTMESNGIRILEPFDTSMTYSNASGKTNMKLTISDIYMNFSFSILRLFLAVEDDILAFLRMSSKKMTVVCFEFDKIGTFKNPSNDQVYAFWRPRAPPGFAILGDYLTPLDKPPTKGVVAVNTSFVRVKRPESFKLVWPSTSMDSFLSEGVINGEDSSDEGKVCSIWFPEAPKGYLSMGCVVSSGRKEPPASSAHCILASLVSPCGLRDCINISLNSCYPNLVFWRVDNSVGTFLPADPTTMNLIGRAYELRHLVFGFPDISSQTLKSSDIQTLPSAREHTIRSERSSTVNSGRRFEAVATFRLIWWNQGSGSRKKLSIWRPTIPEGMVYFGDIAVKGYEPPNTCVVLHDSGEELYKPPLDFQRVGQIKKHRGVDNISLWLPQAPPGFVSLGCVACKGAAKLSDFSSLRCIRSDMVTGDQFLDESLWDTSDIKFVKEPFSIWTVGNDLGTFIVRGGFKKPPRRFALKLADPDIASSSDDTAIDAEIRTFSAALFDDYTGLMVPLCNLSLSSIGFSLHGRQDFSTSCLSFSLTARSYNDKYEAWEPLIEPVDGSLRYQYNPNAPGAASQLRLTPTGDLNMNISVSNINMIFQAYASWNSLSQVHESYTEAISPKGGAIIDMHHRKSNYLIPQNKLGQDIFIRVADVKGLSNIIKMPSGERKPLKVPVSKNMLDSHLNGNLCQKLTQMVTIIIAEAELPKFESLSSNQYAVAVHLIPNQSQAGELQPNRQSARTCGTGSDSSSDVEIVKWNEVFFFKIVSTDCYMVEMIVTETGKGDEVGYFSSPLEQIATSQANYHFNSLAELTWLQLSSAAPKVGETLKKTFGRIRCSVLLSPKSEVKYGEKSLTGDRRSGFIEISPTRGGPWTIVRLNYAAPAACWQFGNSLVASEVSVNDSNRYVIIRSMVSVRNDTDIVLDLCLKLSASSQKNMPGEDEKMVVTRERNQFVTDEFFENEQYNPAVGWVENLDSLEGALGDELPSGWEWIDEWHVDKSSVQTADGWVYAPNFQHLKWPESYNPLKSVNYARQRRWIRHRKCISGDFMSQISVGIIRPGEVVSVPLSGLTQSASYILQLRPLDIENSRDYAWSSVMDRPSQLKDVGTPKENSEICVSTLKETEKLLHCPEISGTSFNGSHSIWFCLKILGTEIAKDKNSYPIKDWSIVVKSPVSITNYLPLSAEFSVLEMQSSGHCLNCYRGVFKPGETVKIYNVDIRNPLYLSLLPQKGWLPMQEAVLISHPSREPSKTISLRSSLSGRTVQLILEQNDTEEGLVQSKVIKVYSPCWLAIARCPSLTFRLVNFGGKSPSRKIPFPFKSKKSSEVILEEITDEELCEGHTIASALNFKLLGLSASASQSGEEHFGPVKDLSPLNDMDGSVDLCAYNSDGNCMRLFISSKPCTFLSIPTKVIFIRPYITFTNRLGQNIFIKLSSEDEPKILHATDERVSFVYREAGRPMELQVRLDDTNWSFPFQVAEEDTISLVMRKGDGTCRFLRTEIRGYEEGSRFIVVFRCGSLNGPIRVENRTRRKMMRIRQSGFGDDAWIQLCPLSTSNFSWENPYGEKFIDAEIQEGNSTTVRKFNLEKSGLNTEGDGLGLLFHVLDLGDLKVARFLDEMTLSLSREGSRSAIHVESLGNSHIESNMQDHASPLELIVEMQAVGVSVVDHTPKELSYLYLERVFISYSTGYDGGTTSRFKLILGYLQLDNQLPLTLMPVLLAPEQTSDASHPVFKMTVTVSNKSQNGIQIYPYVYIRVTDKCWRLNIHEPIIWAYIGFYSSLQLDRVNQSSSVTQVDPEIRIDLIDISEVRLKVSLETSPAERPPGVLGVWSPILTAVGNAFKIQIHLRKLIRRGRFMRKSSVVSAITNRLWRDLIHNPLHLIFSVDVLGMTSSTLASLSKGFAELSTDGQFLQLRSKQVWSRRIGGVGDGIIQGTEALAQGVAFGVSGVLRKPVESARENGLLGLAHGLGQAFLGFIVQPVSGALDFVSLTVDGIGASCSRCLDILQNKTSFQRIRNPRAIHSDNVLREYCRREAVGQMVLFLAEASRHFGCTEIFKEPSKFAWSDQYEAHFVVPYQRIVLVTNKRVMLLQCASPDKMDKKPCKIMWDVPWEDILALELAKAGFPIPSHLIIHLKNFKRSENFVRVIKCNTEEPNEREPQAVSICSMVRKFWKRTDSEPSNQMSKVPSIQRHVCSIRNEAGGSDPHMHLKSVIKSTELSLAGSASDDGKLVTHCMNFLKVWSSEQESKGRCTLCQKKESEEGGICSIWRPVCPNGYISVGDIARAGSHPPNVSAVYHYSDKHFTLPVGFDLVWRNCLEDYITPVSIWHPRAPAGYVSPGCVAVPRFEEPDPKAVYCIAESLAEETVLEDQKIWSAPDSYPWSCHIYQVQSGALHFVALRQPKEESDWKSMRVVDDLRQLAQPSEAR >CDP09789 pep chromosome:AUK_PRJEB4211_v1:8:29225146:29225869:-1 gene:GSCOC_T00030255001 transcript:CDP09789 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGSLVFSCVHRWAVAKVSTLASNSPAQPPLPKSPFSYSVASTTQRWTSTVSFSRRWPCFFLLSPLSHSLLHHQRRRKAKQTLAMMITEHFCTQEF >CDP09822 pep chromosome:AUK_PRJEB4211_v1:8:28918784:28921301:-1 gene:GSCOC_T00030294001 transcript:CDP09822 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPCVREASKACSSWCSNPCLRLLEAPTPTSEFTRTATTPKQDFFSAICSSIRPDTSFTNHESLPPLRELYSDLKNSFPQYSNTDSVDQIRSQEYYHLSLSNHVCLDYTGNGLFSHSQQQNLYSGAAIASTSSSPPPPQDSTASEVPFFDISYKSVNLISLLRYGGERSEFELAMRKRIMKYMNISEDDYSMVFTANQASAFKLLADSYPFRTNHKLLTVYDYKNEATEAMIESSKKHGARILSAKFSWPSLRINAKKLRKMIRKNKKKKKKKRGGLFVFPLQSRMTGATYSYQWMYKAQENGWHVLLDADALAAKEMETLGLTLFLPDFIVCSFFKVFGENPSGFSCLFVKKSSISVLNKSSTSIGVVSLIPTGNLFRQSTISETESKDQIVTLGMLFRREEAGLLSSSFSSSEEAFELQEVKEDGSKTQEEPSFSELLKSDKRVVSNDASPSGIRSSETIECRGLDHADEVGLIVISSRNRYHINWLVNALLCLRHPHSENGAALVKIYGPKISINRAPAVAFNVFDWKGDKIDPILVQKLADRNNVSLACGFLQHVWFENNYKEEKQKILEKENTERREVEHKKKGGQFGSEISVVSASVRFLTNFEDLYRLWAFVSRFLDADFVEKERWRYMALNQKTVEV >CDP14949 pep chromosome:AUK_PRJEB4211_v1:8:9164107:9170543:1 gene:GSCOC_T00042453001 transcript:CDP14949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEMEGRAYSELYRNTSEELFIKTMMESSIGMPIPTMEMLGFKNLSQNFRADSEELFKNYLTNFFNHSIPAGIAHRTRQASRRISAEASNLSSQQHGGVFPKKRSDEILFPQNTFNAGENLNELNQHPVRNAVEKEVQASNLFLAKAWFHSCQPMTRSRSSELSRKRYAALQTSQPSVAMETMSNISENGINNFKQEYTNPNGFNNVSMGEMPNQVNTFMSPSNSSSSNFNTPQGGNVDNISSVVTMLKGTLERKKLGNSVEKEAVDDSSFGCYGSEEVFGNTVLNQERNQAYEMQGTFHDLSAVQITEAGIMQTVEGSFGFGLEGMIPHTNVIQMSIVSREPSQSESSAAAPVVSTGFEVCDGPSTSGQAPTVCESSRKHVDYARSSEYGSRIKDVRERMYNNLKDDKKKEGLVRYGSVTSAGSVEQGDPTKKRRVERSRKMAEAKERNLTPAVPSDMLSILKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEDLAKEKERLLEEIERIISETAKM >CDP12095 pep chromosome:AUK_PRJEB4211_v1:8:30194263:30201438:-1 gene:GSCOC_T00035475001 transcript:CDP12095 gene_biotype:protein_coding transcript_biotype:protein_coding MESKHIMMSALSVGIGVGIGLASGQTVSKWTGGGSSCNGLTPQVIEKEMMYLLVDGKDSKVTFDQFPYYLSEQTRVLLTSAAFFHLKKADFHKHARNLSPASRTILLSGPAETYQQMLAKALAHYFEAKLLLLDVTDFSLKIQNKYGCTSKETSFKRSISEATLGRVSDLFGSFSMLQPKEEYQGTLRRQSSGVDIESKGTQGSLNVPKLRRNASASANMSSLTSNTTSVIPAPLKRTSGWSFDDKLLIQTLYKVLAKVSKSHPIILYLRDVEMLLCRSERVYVLFQKMLKRLSGSVLIIGSRIVDASSDYRELDERLSSVFPYNIEIKAPEDETHLVNWNSQLEEDMKMIQYQDTKNHIVEVLAANDIMCDDLGSLRMADTMVLSNYVEEIVVSAISYHLMHTKDPEYRNGKLVISSSSLSHGSSIFQEGKSAGKDTLKLEAQAEMPKNGGGVNAIAEANPGSQTDTEATVTTAKDGDASDSASKAPEVPPDNEFEKRIRPEVIPASEINVTFEDIGALEEIKRSLQELVMLPLRRPDLFKGGILKPCRGILLFGPPGTGKTMLAKAIAREAGASFINASMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRSRAGEHEAMRKIKNEFMTHWDGLMTKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVDNREMILKTLLSKECVDESLDFKELAAMTEGYSGSDIKNFCTTAAYRPVRELIHQECLKDLEKKLGGEQRVNCEGATSTEEDKEERVITIRPLNMEDFKEAKNQVAASFAAEGPIMTELRQWNELYGEGGSRKTTEQLSYFL >CDP13002 pep chromosome:AUK_PRJEB4211_v1:8:3025002:3028058:-1 gene:GSCOC_T00037729001 transcript:CDP13002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRRLAGFLGIAKDEAEELKEEDENDAVSTSAAAQHPQRKGFSVPVQVAVDRPLSGPVLVPCSSGNGGVQGFRWYAKRLRMDEDGDVADEFLDEIPPEKYAIDKEHHKSLPRFQAKYSTRPVKLSNQARCLNGTIQFGVEYQGRLEWV >CDP08180 pep chromosome:AUK_PRJEB4211_v1:8:24642927:24645647:1 gene:GSCOC_T00026932001 transcript:CDP08180 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQVEELTSGASGRIIPVCKNLRRAVFSYDAVRRGLILIQSIFLWMIVLLLPHRHCSPPSSPSSVADSASEAWLMKKRKFRKDEEDTLRRRALAEALQMVAADAGAGMESESDSGNGNSRCLWSTSLFSGVRRNALFCRSWLPVSGELKGILIIIHGLNEHRHVYNLKITFPLGKTARGWGCSVPLKSGCNLYLFLPDS >CDP09800 pep chromosome:AUK_PRJEB4211_v1:8:29138903:29147353:-1 gene:GSCOC_T00030266001 transcript:CDP09800 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPLYQFLVLLITSSLSLHPISTIQILSKSKLVKCEKTSDSIDNLNCTQKVVIDLAVPNESSGREASMVAEIVEVEENSSSNMRTLRVPPVITVNKSAAYALYELTYIRDVAYKPVEYYVQTRKCEPDAGADVVQIFYLLCMRHCLIFISFSQQDKLGHFLKHAYAFPILYWEAVHFTAIIQKLDSGFISLHESPNYSLDLFHRLRDEKGHIIEHTQPTCCPCGDQRRVPSSCGNFFDKMIKGKANTAHCLRFPGDWFHVFGVGQSSVGFTIQVEVKTRSRISEVILGPENRTATSSDNFLKANLVGDYVGYTSIPSFENYYLVVPRQGGHGQPQNLGNNFSMWMLLERVRFTLDGLECDKIGVGYGAFNGQPDFCSSPYWSCLHNQLWNFWDADQNRISRNQVPLYSVQGRFERINQHPNAGGQALSIGITEVLNTNLLIELSADDIEYVYQRSPGKILNITVPTFEALTQFGTATITTKNIGEVEASYSLTFDCSTGVSKMEEQFYIMKPNEIVTRSFKLYPTSDQASRYVCAAILKDSGFSEVDRAECQFTTTSTVVDNGSQIPFQPPKTSINGFFESVEELWNKLWDGLKDFITGKSCRNKCSGFFDFGCHVQYICMSWVVLFGLLLALFPTVVVLFWLLHHKGLFYPLYDWLEDHLWTPERRRGGKWKRVATPNSSKLHLRKKHEEGFDRHHPHKRRSSTHSVHRHNHLMGDIDYHYHLHHVHKDRHSKHGKTKSSGISPQGHFGRLKNDKFGHHRRAKEVEMIEGVTKGKRYHDGNREKLKHTSVPLDDMYYNVHGKWKD >CDP07823 pep chromosome:AUK_PRJEB4211_v1:8:17945781:17950052:1 gene:GSCOC_T00025224001 transcript:CDP07823 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIGKTTIARAVFDRISTHFEGAIFLHEVRQQSKSLEILQEKILSKNLCLKALRISSVFEGSNMILRRLCYKKVLIVLDDVDHLDQLEALAGKHHWFGAGSRIIITTKNKHLLVTHEVDRMYEVELLNQSEAIQLFSRHAFKKDYPARDYKELSNEIVHYAGCLPLALKVLGSFLYGREMAEWKSEVERLKRIPEDEIMEKLKVSFNGLREVEKEIFLDIACFFKGKKEEYIRRVLDSFDFYPDIGIKVLIEKSLVTVSGGRILMHCLIQEMGWHIVRQKAPDEPGKHSRLWVAEEICDVLARDKATENIVGMWLDLSTPKDVVIKNEAFEKMKKLRLLKINNACVSRCPNCIPNGLRWLDWHGYPSKSLPQSFQTEKLVGLDLQYSRIIHLWKGIKSLDKLKYIDLSYSQKLIRTPDFTGIPNLERLILEGCSSLAEIHPSIEHLTSLVLLNLRGCESLASLPSGLCRLKRLETLILSGCSKLDKLPEELGHVLSLEELYVDGTAISKPPSSIVHLKNLKTLSFGGCKAMASRKCRAFSPSWLLGQKSQDSTGLVFPSVSGLNSLAKLDLSDCNLSDKELPCDLGSLSSLVELNLGKNNFTSISAASIKNLSRLRILELVGCKRLEILPELPPCIEEVYADNCTSLQSATDLTKHGLLHRVSFSNCFKLLQDERTSSMIYATWNHMLKEFSLVDGSFSICLPGGSIPSWFTFQNSGPSITVKLPPNWYNNEFMGFAVCVVSDLIRTPFLLELQWRELLQKIPGFPVQFTLIDKEMNLFCYVFTMAFVGAENNIDSEHTCLGYLPFDNILDALALSRQLHGSSPSLWSNSLRSPNDWTCIEASAQADVKECLAFKEWGISLVYENDVRQNFEFLMVPQSSELGERRFSSNVIVNRLKVSRGRRRGLEALPGFPSELTQIGDPSVRRPVRHVAIHHFPTVTTNLPSSPMSKVHPVRGSRGGCDTMTNPGRLIVSKRS >CDP21630 pep chromosome:AUK_PRJEB4211_v1:8:2122951:2124931:-1 gene:GSCOC_T00000261001 transcript:CDP21630 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRWLLISVACFLFMHSLGKSETCPDCFIHSRAGYYPNSDQKGTEDGECGFGKFGATLNGGDVSAASELYRGGVGCGACYQVRCTYSYYCLDKGVTVVITDHGISDRTDFILSQRAFSQMAQSTDAAASLLALGIVDIEYRRVSCSYPNKNITFKIDESSNNPYYLAFEILYQQGKMDITAVQLCETQNFVCKLLDRTHGAVWTTTSPPSGPLSIRMLFSANDEDQTWVVPVNNIPGNWKPGDTYDSGVQVNA >CDP12154 pep chromosome:AUK_PRJEB4211_v1:8:30679197:30686577:-1 gene:GSCOC_T00035552001 transcript:CDP12154 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGLNFSRNMSTSIGEAAAEKIDYITDVADVLTDHTVEAVVTQAAPVVNEVAVAAADSFLPVAALQYLIDYVHTFTGFNWWASIVVTTILIRWVTVPLMINQLKATSKFTLLRPRLEEIKEEMESRGMSPTAVSEGQQRMKQLFNEYGVTPFTPMRGLLFQGPIFISFFLAITNMVEKVPSFKEGGAFWFVDLTTPDSMYIFPVLTALTFWITVECNMQEGMEGNPAAGTIKNVSRGFAALTIPFTAGFAKGIFCYWITSNIFSLMYGLVIKNPAVKKALGIPIIPVTPPSPGEQKPAFSFFEAVKKYAAAQAAAQHKQTLSAPADAVQQSQTPSSRAEASKPASQQRVPSSSIISQRLRSLEKEVKGRKKGKKR >CDP19113 pep chromosome:AUK_PRJEB4211_v1:8:21749695:21751362:-1 gene:GSCOC_T00007319001 transcript:CDP19113 gene_biotype:protein_coding transcript_biotype:protein_coding MACEIGNRTVLKFDTEDGVAVALARYIADLSERFIKEKGSFNVVLSGGSLIDTMRYLARAPYKESVDWPKWSIFWLDERVVPLDSKDSNYRLAWDGLLKYVTIPNNQIYAINDKLSPEGAAEDYEARLRDLVDRRILPLSDATGFPSFDLMLVGMGPDGHVASLFPNRPQRYEKKRWVTYITDSPKPPPPRITLTFPVINSSSEIAMVVTGADLAGAVKDVLENPDSDLPAAEVSAQGLLTWFLDNDAASQL >CDP18437 pep chromosome:AUK_PRJEB4211_v1:8:22127483:22130397:1 gene:GSCOC_T00007254001 transcript:CDP18437 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLNSDVVSESFVHSDFLAHRNKNSSFFNVPGLFVGFNSKNSESDSARSPTSPLDFRVFSTWGNPFRSPKLSHESHHQKTWDTNKVGLSIIDSLDYEVKQSGKVLRSSDSKNILFGPKIRIKTPNFNNCTDSFEAPKSLPKDVGIFPFAKSKLSNLQNGSSDVLFEIGDGPLQRNSLGNFRPCSLDSGRSGSHLSRLANHNSNLSSTNFSSSNGTGPATSPSHFKIGSVLGDSSGAEQPSITATIANGLIGTISPSEIELSEDYTCVRKHGPNPKVTHIFGDCVLECRNSELSNFGKYKEEGNALPLTAECSEVPTSYPSSDFLSFCYSCKKKLDGEDIYMYRGEKAFCSWACRLEEIMIDEETEKCNTNVSEKSSKPSNSEELSETGLFIST >CDP12257 pep chromosome:AUK_PRJEB4211_v1:8:31441842:31448205:-1 gene:GSCOC_T00035689001 transcript:CDP12257 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSTESLPLGFRFRPTDEELINHYLRLKINGRHDEVQVIPEVDVCKWEPWDLPQLSVIKTDDPEWFFFCPRDRKYPNGHRSNRATDAGYWKATGKDRTIKSHRSSPSSNSSTHLIGMKKTLVFYRGRAPKGERTSWIMHEYRATEPDLDGTASDQAAYVLCRLFHKPDETADSSKYDEVEPSGSSPNTVKSSPDDASSDLFQERLVLDMQVCKQPAGIERWLTDKSDDVTSNSLLHVESCTSDVEDHLIEAAITEVYPTTGGHPMCHESRDGLDCKVFSPLVSQNHTEIGCPDSPFADDFGCNQKGMHFQDGTSEQDVSLTELLHVLQNHDAYPCKESTSEKFLYVGSRSMLPDQLHEGPAGNCNPRDWGAFGKAGEDMVIEQTLTCPRSFQSQAPIRDADSRLSNDVGASHDHYADPVSLGGDHQEGTGIKIHRRQASNRPGCENLAKQGTAARRFRLQVDSAPIPNHDGKYSRAKGFSNEEQDERSVTTEDDEYSKSSCTSDEPEGGSSSSESGISARKFKAGLALTNKEADEKDKVKWKKFLLRPPGDSSGTGSLTVYGVSMYLVMVLFILFVFLWKCPNFFALHI >CDP07200 pep chromosome:AUK_PRJEB4211_v1:8:10543822:10546178:1 gene:GSCOC_T00024369001 transcript:CDP07200 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGVFGNRCRALMAAAKTSVASATSGSAAAKAPGRRNGILKTQPVSPALRQFVGAPETSRTDAVRKVWDYVKSKNLQNPNNKKEIYCDQKLKTIFEGKEKVGFTEIAKLLSKHFQKAA >CDP08201 pep chromosome:AUK_PRJEB4211_v1:8:24832209:24847487:1 gene:GSCOC_T00026960001 transcript:CDP08201 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFSGFTIRFLQFFFSPDNGNSAFLFSIAAINDTDSKKQWEPLAPTKEAQEFHLSQIYHEGLAKLQAKDYKKARELLESVLKDPMVSSAQVDNNVSDGHLLQLRFLVLKNLATVFLQQGPTFYENALQCYLQAVEIDNKDSVVWNQLGTLSCSMGLLSISRWAFEQGLFCSPNNWNCMEKLLEVLIAIGDEVACLSVAELILRHWPSHSRALHVKTTIEGFEPIPFAPRGIDKLEPKHIRLKFPEKRKAENDDLIDGAPSKKLKQTIEVQLSEPSWTALAGELLQLLNSFVSASPDQERGQYKSGDVSLSIRLPHTSGSGMETLESKGSMLTTSSEDMPFANCNFEKNSHTKEKEANVSEEQPQERRSSRIERLRSRKPGKEDSDFGTTRDLAKVIVQFLRPFIAGGGGSDDYTTDASTSSDCAEIVTRSQDSESTDVIRFVEKTSENYGAYHMSHLILEEIASRCIFFQDSNAKFLDLEKLTRQWGKERTPECSLFLAELYYDFGLRSPDSSTSEYMSEASYHICKVIECVALECPLQSLAVASHDNLSSRESLSDPCKIAVDNSHPLSNDFPFWVRFFWLSGRLSMVDGNKAKAQAEFSTSLSLLVNKENKNESTSSICLPHCKVIHKLTVDRILSEINLLEVDFLMKKTVHEMIGKNMYSECVDMLVPLLFSAKDVHLDVGNVSGLDEGFTNVELSAIDALIKACEQAMSMDIEVYLKCHRRKLQILISAAGLGDYPPSIKSHGLNVFSSSETEAKDSACIYWNHIVAEEVKAISGCTSRIKSMIPCDHLNGVIGPMKVIKDIQSILLVLMCNVANKYLCKKSSGLGISDENLQGQICYFVDAAIAFCKLQHLSPIVPIKTQTELIVAVHDMLAEFELCCAHGNDDEEGGTFLKFAIKHLLALDMKLKSNCQNQSKAEYQVQSSGQISPVFQIDGSVNEAKIIEQATDVDHTDEISTPEKDATEGNYSESFCTQERLKKEETGVECDRNVGARPNSVFLERQKEKEDTQSIESGKEMTEDEREELELGIDNALDQCFYCLYGLNLRSDSSYEDDLAIHKNTSRGDYQTKEQCADVFQYILPYAKASSRTGLIKIRRVLRAIRKHFPQPPDHVLVGNAIDKILDDPDLCEDKLSEEAGCDGFLDSVIKTVFSDPGSLKQQQASLVVSSGPYHDVYSNLYYFLALSEEMSATDKWAGFVLTKEGEEFVEQNAKLFKYDLLYNPLRFESWQRLANIYDEEVDLLLNDGSKQINVLGWRKNPTLPQRVEKSRRRSRRCLLMTLALAKTAIQQGEIHELLALVYYDGVQNVVPFYDQRSMIPSKDAVWMMFCQNSMRHFKKAFEHKEDWSHAFYLGKICEKLGCSHDTSLSYYAKAIALNPSAVDPFYRMHASRLKLLCTCGKQDQEAMKVVAAYSFMESTKQTIMSTLGIVGGEILEPSMHSEKRNLADNCAGNMVEVAKLEEVWHMLYNDCLSALEICVEGELKHFHKARYMLAQGLYRRGGSGDLDKAREEISFCFKSSRSSFTINMWEIDSMVKKGRRKTPSVSVNRKPLEVNLAESSRKFITCIRKYILFYLKLLEETGDVSTLDRAHVSLRSDKRFSPCLEDIVPVAIGRYIKTLILSIQQSLSCSDPTRGAIEHLLEKLFSLFLDQVNLWSDICNLPEIKTPELTESYLYGYLYQYIQCLERSVKVEALEGINEKIRKRLKNPKLSNSNCAKVYKIVSVAWCRSLVISMALITPLHSRIPSEIHVPGSLGSGLENIQLLCVDLQSDELWSSSSDDLEHLKCLETKWNPSLSKIKNVIVKRVSDEDLETAAILLRSSYNFYKDTSCALLPSGINLYTVPSQLATETYVQPGIDGVDILDMNTSRKLLLWAYTLLHGYCPNLSVVIKYCEENVKVKMKKGTGTPLTPSNTNVPSGSASNTGGGKDGTGKSNEVDTSSISSATAASLPEIDTTTKMASSPLPEKLEPSNVASASLQGTEACNLASVSPTETKIICSVSSTSMPDSGSTNLPNVVSSNENQGFPSATSDLLDCNVVPTEMSRVDIEGASDLTSEQ >CDP14987 pep chromosome:AUK_PRJEB4211_v1:8:7883051:7884352:1 gene:GSCOC_T00042510001 transcript:CDP14987 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPFRPRCPHVSPHGPTGPWAAVLPFHVAEGHWPTGAPGPRIGAQCQQVEQHSARMDCCKSNSKCHLVE >CDP09760 pep chromosome:AUK_PRJEB4211_v1:8:29438637:29443140:-1 gene:GSCOC_T00030216001 transcript:CDP09760 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQTSPQPTWSNLVKHQPPPVPDHISATSSASPKSTQGLAVGSCKSTKGILVAVVDANAIIQGGQSLALIADRFVSVSEVISEIRDPTSRHSLNFLPFSVDTLEPSPDALKKVIHFARATGDLQTLSDVDLKLIALTCTLEAQTHGTQHLRDSPPPIHTVSVKRLPEKDMPGWGSNVPNLEEWEALEHVAEDESNYESKILPLKDLSLNVVPKDQHMEDGLTGHCGECHSENQEVSNGNPRWQKKYSSKKVEAKVDGKKMVADGIDATKGEFGDDVGHWLPAVSRSTRRRYLRRKARRELHEALSDEAVKDDASGNVESGEVDDTRCPELLVEECAEEVADGSLGENATSEVNDNDKDASAILHQMHLDEESSVALHADEDLQVPSRRLISNDFEVSSDNSESAIIGGEGEVTGISSGLDNLSLNSERIGASLDDNSSEQSWMLRSLSESSVACVTSDYAMQNVILQMGLRLLAPGGMQIRELHRWVLKCHACYKVTTDIGRVFCPNCGNGGTLRKVAVTVGENGVVLAARRPHVSVRGTKFSLPLPQGGRDAVTKNPILREDQLPQKFLYPKTKKKNKQGDDIFASDDIFIHHTDKRAPLQPPVRKALAVFSGKRNPNDNHFSRAKH >CDP18791 pep chromosome:AUK_PRJEB4211_v1:8:151443:152943:-1 gene:GSCOC_T00005566001 transcript:CDP18791 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPILKTRIWLGTFETAEDAARAYDEAARLMCGPRARSNFPYNPNAPQTSSSKLLSATLTAKLHKCYMASLQITKQHQQLHLRQQTTDHHKIHFTSTAAAVASGSPNCHNINIGGGNNNDHEKGVLSSGSGVVQQEASADHQFVKQLEEDDHIEQMIQELLDYESSLELC >CDP07155 pep chromosome:AUK_PRJEB4211_v1:8:9488269:9495217:-1 gene:GSCOC_T00024290001 transcript:CDP07155 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNLKLSKRIHEHNLTNKVEYLNLVRRPSLLIYSLCFTIWLSFCFLFASNTLKSTFEHGDSDYPEQRNSLNQPGNGRPETEKCDLSKGRWTQDARGPLYTNFSCKTLPYQRNCFLHGRMDRDFLQWRWKPDECELPVFNPRSFLNIVKGKTMAFIGDSLARNHMNSLLCLLSEEETPREVYREDEEGKTIIWHLPRNNFTIMVLWSPFLVSASEMAVNGTETGGFHLHLDRIDESWVQKLPALDYAILSATNWFFRPNYVYEGGHFLGCIYCSDPNVTHLGPDIVIQGAFRLALKSINDCNSCSRIVTLLRTFSPSQFENGTWNTGGTCKRTRPFAPEEINNGGLDLVYRNAQLAEIDNARKLGEEKGRAFDVIDVTEAMLMRPDGHPGLHWDNRWNKGLSDCLHWCLPGPVDTWNEFLLELLQRHSSFPLSS >CDP07202 pep chromosome:AUK_PRJEB4211_v1:8:10626371:10631205:1 gene:GSCOC_T00024372001 transcript:CDP07202 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MAPLDDEDASLARFLESEVLSEFSDQREEKLEEEDDDGVVKRMLVVEDDYSDEVEEESDAIRKLIEEDDISEEVEMRKEEEENEEEEEKQVNKMLIEEGGISCEDDEKEGEEREVKKRRIEEVDNHNEGQSMILSSCQFLSSNELVAASLPSKKIGTATDVNNSGSSSESRPVPWRVETGDLSKVPPELFHHILKFLSSEDLVACSLVCRFLNLAASDESLWRRLYCMRWGLLPPKKLREFAWKKLYIQRDEEDMGELVRNCPSEFKEYYIQMQAAKRSQAPSPSQVLDDRIIIDKTVTDQVSTWKSSRGLTDTVVVNHACSGETCTYYQIGDVFVCEKTGNVHVCDDTCKEVVADPANELLVCTISGRCFDRLLSPSEMEPDAELQQGGVTDEAEPFWGSGRFARAYQLGYNCADEKELEATLRFC >CDP19030 pep chromosome:AUK_PRJEB4211_v1:8:21806631:21818773:-1 gene:GSCOC_T00013078001 transcript:CDP19030 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSSAAADTFTRTTESRSSDDEEALWLAALQRSPTFVRARTSVFRNLSGGFSLIDVAKLRDQEQKQVLDKLVNTINEDSELFFKKVRRRFDAVDLEFPKVEVRFQNLNVDAFVHVGSRALPTIPNFLCNMTEVFLRQLRIFPGRRKKLSILKNVCGILRPSRLTLLLGPPSSGKTTLLLALAGRLGPGLQMSGRVTYNGHDMREFVPQRTSAYVSQHDCHMAEMTVRETLEFSGRCQGLGYKQDMLMELLRREKDAGIFPDEELDLFMKGVALGDQTSVIAEYVMKILGLDICADTLVGDEMLKGISGGQKKRLTTGELLMGGSPVLLMDEISTGLDSSTTHQIIRYLRHATHAFDGTTIVSLLQPDPETYELFDDIILLSEGQIVYQGPREAAIDFFESMGFKCPSRKNIADFLQEVISEKDQGQYWSLNSDHQYIPGSKFVEGYRSFNVGKLLAEELSIPFDKRYNHSAALSTNKYAVRRTELLKISFSWQFLLMKRNSSVFVFKYIQLLLIIFIMTSVFFRTTMHHNTLDDGGVYLGALYFAILMILFNGFLEVPMLIAKLPVLYKHRDSRFYPLWMYTLPSWLLSMPTSLVESILWVAITYYAVGFDPQITRCLQQFLLYFCMHQMSIALFRVMASLGRNLVVANTFGSFAMLVVMALGGFILSRDSIPSWWIWGYWFSPLMYAQNAASVNEFLGHSWDKKAANNTESLGVTLLKVRGLFPEKYWYWIGVGALIGYTIAFNLLFTLFLTYLNPLGNAQVVVSTEGLPVKREEQEDICSDISLGEFLNHSHSYNGKQMKKHRGMVLPFQPLSMSFNNICYYVDVPQELKQQGVPEDRLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSIYISGHPKKQETFARVSGYCEQNDIHSPCLTVRESLLFSAWLRLSSRINIKTQRAFVNEVMELVELNSLSGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVDTGRTIVCTIHQPSIDIFESFDELLLMKQGGKLIYAGPLGERSCKLINYFEAVEGVRKIRPGENPATWILEVTSPAEEICLGIDFSEIYHRSNLFERNKDLVDHLSKPTGDSDELSFPSKYSRSFFSQFLACLWKQNLSYWRNPQYTAVRFFYTVVISFMFGTICWRFGSKRENQQDIFNAMGSMYAAVLFIGITNASSVQPVVYIERFVTYRERAAGMYSALPFAFAQATIEFPYVFAQSLIYSTIFYFLASFELNLWKVVWYMYFMYFTLLYFTFFGMMTTAVTPNHNIAAIIGAPFFMMWNLFSGFTISHMRIPIWWRWYYWANPIAWTLYGLLTSQYGDLDIQVELAGGDESVPIRQLLKDQFGYRHEFLPVAGLAVVGFCLVFAATFAFAIKSFNFQRR >CDP12066 pep chromosome:AUK_PRJEB4211_v1:8:29982259:29985391:-1 gene:GSCOC_T00035442001 transcript:CDP12066 gene_biotype:protein_coding transcript_biotype:protein_coding MANHNGSSAAFESNSSKKGNNSIKGVLIHGGRYVRYNVFGILFEVSNKYVPPIRPIGRGAYGLVCAAVNAHTGEEVAIKKIGNAFDNRVDAKRTLREIKLLRHMDHENVIAIKDIIKPPNREAFNDVYVVYELMDTDLHQIIRSDQELTDDHSQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCIFGEIMTREPLFPGRDYVHQLKLITELLGTPDDASLRFLRSDNARKYVRQLPQYPKQQLMARFPNMSPLAVDLLEKMLVFDPSKRITVEEALCHPFLKSLHDINDEPVCPRPFHFDFEEPSITEENVKDLIWKEAVKLNPDPTC >CDP12216 pep chromosome:AUK_PRJEB4211_v1:8:31124988:31126174:-1 gene:GSCOC_T00035633001 transcript:CDP12216 gene_biotype:protein_coding transcript_biotype:protein_coding MATVANNSSSSLSTLRKTALYPTLSPRPHSIQPPLPFFPSPPITHLSLSLKCSGPTTPIRIKSSSSSAAAPETLKSRLRNGQTLYGIFLLSFSPTLAEIAGLSGYDFAVVDMEHGPGGISDALPCLHALAATNTATILRIPESSATWAKKALDLGPQGIMFPMIDGPKAAQKAVSYCRFPPSGVRGSAHTVVRASGYGIDEGYLSNYEEELLIMCQVECGEGVKRIKEIAAVDGVDCIQMGPLDLSASLGYLWDPGHKKVKEVMRAAEKAVLAESKPPEEGGAYLAGFAMPHDSGLDLKSRGYHMVSGAVDVGLFRNAAVEDVQRFWSTGVEEADKSSEEGEGGENGDEKYWSE >CDP07208 pep chromosome:AUK_PRJEB4211_v1:8:10671833:10680872:1 gene:GSCOC_T00024381001 transcript:CDP07208 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter E family member 2 [Source:Projected from Arabidopsis thaliana (AT4G19210) UniProtKB/Swiss-Prot;Acc:Q8LPJ4] MSDQRLTRIAIVSSDKCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERDMKQDLCEDLELNQVLDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPTMSKTQGNFKLKVIEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTVEGSDVEIPEFNVSYKPQKISPKFQNTVRHLLHSKIRDSYIHPQFVSDVMKPLQIEQLMDQEVVNLSGGELQRVALALCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGRPSIDCVANSPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >CDP08274 pep chromosome:AUK_PRJEB4211_v1:8:25559243:25562087:1 gene:GSCOC_T00027052001 transcript:CDP08274 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYLFWILILQIFFIAYRGRVGYSHGVQKDLQYNPKNQPHRTAYHFQPPKNWMNDPNGPMYYKGIYHLFYQYNPYSAVWGNISWGHSISYNLVDWIHIEQAINPTEPYDINGCWSGSASILPGGNPVILYTGSDFRNRQVQNIAVPKNLSDPYLREWIKSDHNPLMTPMNGIDPQFFRDPTTAWEGPDKIWRVVVGSQIKGHGTALLYQSRDFVNWTRSHRPLHFSNKTAMWECPDFYPVSVDGINGLDTSVQDTGTKHVLKASFNDRDYYIIGTYEPETDTFSVNPDFMDSNVKLRYDYGIFYASKTFYDSAKRRRILWGWVLEADGEPDDINKGWSGLQSLPRSIFLDKTGKQLSQWPIEEIETLRRKEVNLQNKEIKGGTMFEITGITASQADIEVSFHLPNLDEVELMHPEWLDPQFLCSEKNAATGGVIGPFGILALASKDLTEHTAVFFRVFRGHDNYVVLMCSDQNRSSLREEVKKSTFGAFVDVDPVEMISLRSLIDHSIIESFGGEGKTCITARVYPKLAIGNESHVYVFNNGTESIRISNLSAWSMKRAQIFPLHKRRKPEID >CDP12974 pep chromosome:AUK_PRJEB4211_v1:8:2751321:2755761:-1 gene:GSCOC_T00037688001 transcript:CDP12974 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLRQLSTFLREEGRLLGGLRREVQFIMDELEQMRAFLREAEAREEDAQPTLQQWIMQVRDAAYDTEDILDDFVARFARHRATGFYGSVRRIFSSIENLRARHRVASEIQSIESRIKSISEAHQRYQSEYGISAQASNSLSAVNNTTWRYSRDDALLVEEAKLVGIDQPKKRLISELLEGDDHQLKVVSVVGMGGLGKTTLVKRVHEDPEVRRHFPVRAWVTVSQTCDFQYLLKDLIRQLHEEGKKPVPQSIESLNTTGLKKIVKDFLQQAGRYAIVFDDVWDVEFWNTIKFALPESSHGNRVMLTTRKADVASASCTESLGYIHRMESLSFEDSWTLFCNKIFKGNSCPGHLMDVAKGVLDKCEGLPLAILAISGLLALKDVNRTEEWEMVRRSLGGELEGTGKLDRVKKILSLSYSDLPCHLKTCLLYTSIYPEDYEIKCYRLINWWIAERFVEWREGMSIEDVAWGYLSELVNRSLIQVTGIFYEGLPDNCRIHDLLREVILLKSREQNMVTVTTGQPATWPSEKVRRLVVHSKEKIPNEIFNLFHLKHLDLWGTRVERVPKAIGKLQHLEYLNLGKTGVRELPIEIIKLQKLRVLKVYQQVDPSDDDYGFHGFKAPSNMGGLLALEVLNCIDASSGSTIVKEIGKLTQLRQLYITKLRREDGKELCSSLANLTRLRELSVESIGKGDDYEIIDLNHHHPSSSSSFLQSLRLLFLCGRLEKMPQWVAHLHGLVRVDLDWSGLRGEEDPLESLQHLPNLVSINFCGSYQGEGLCFKAGGFLMLKRMHLKRMEGLRWMRVEEGALPRLQKLFLEQLPLLEELPLGIQHLSQLQELTLLQFYLASTIPQEIGNLYNLKLSAMDYNQIIGSIPREIWKLTRVDYSGPTYISLLYQENLAGIITQRLGGILKLMLFSLENNQIEGSIPRDIGNLTALEELYLGVNNLTGVITYEVGNLQTLEVLNLRFNSLKGSIPTGIFNISTLRVLSQVSNSLSGNLPSNMGLGLPNLEELYLSSNNFSGLLYCT >CDP09858 pep chromosome:AUK_PRJEB4211_v1:8:28648454:28652353:-1 gene:GSCOC_T00030336001 transcript:CDP09858 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGQLLPQQHQHFQQSRILLQSSPSPSFLGKHKIPLRIFPETHKFPHHLSGGRLRGFSLNFHPVSACGNSRWLAPLRSAGEKLSGSLEPDESSNYSKEAAEILKPKKASFLTILQGANSVLPHVVIASTVLALVYPPSFTWFTNRYYAPALGFLMFAVGLNSSEKDFIEAFNRPAAIFAGYVGQFVVKPLLGYLFGTISMTIFGLPTPLAAGIMLTSCVSGAQLSNYATFLTDPAMAPLSIVMTSLSTATAVFVTPLLSLLLIGKRLPVDVKGMVSNILQIVVAPIAAGLLLNRIFPRISNAIRPFLPPLSVFVTALCVGAPLAINISSVLSPSGLSVLFLVIAFHLVAFISGYTLTGLLFHNTPDVKALQRTLSYETGMQSSLLALALANRFFQDPLVGVPPAISVVIMSLMGFSLVMLWSKKSEAIA >CDP14460 pep chromosome:AUK_PRJEB4211_v1:8:14127896:14132113:1 gene:GSCOC_T00040951001 transcript:CDP14460 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIFEIAHQEQQLTDSLRDLSIHDQSEMMEEEKMKGNGTYAAGEMTSVGCESSSGVADSHFDNNSPHHDGVCAICLNHIILQETALVKGCEHAYCVNCILHWASYKKEPTCPQCKQPFESLNIHRSLDGSIHDYMFEESVCLLLRATWYKPLIVEERDEVEDDMGYFYSYAYEDEEEEEMDEIYFGGSSSIRIGNRRWGDNGYVRAGRQEARPIPRPNTQDSGAGPSRQPRKKETAAARESPAVGRRAKRALKREAADKAAAAKHQQHLARLGRK >CDP09813 pep chromosome:AUK_PRJEB4211_v1:8:29020967:29022281:-1 gene:GSCOC_T00030281001 transcript:CDP09813 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSCSDPHPFGSLSDVSPTTAQRAINSDEEIILSSSRPKKRAGRKKFKETRHPVYRGIRRRRWNSNKWVCELREPNKQSRIWLGTYPTAEMAARAYDVAALALRGHLACLNFADSVWRLPVPESRDAKDIRKAAAEAAEMFRSQEECDNTTSEASASGSGSESGDHHHDRVGEEIGDITHDNNEVSSFDHGEALQKNGEESTSSMFMDDEALFDVHGLMAHMAEGLLLSPPHCLGDGYSFDEVENDAEVPLWSYTF >CDP18422 pep chromosome:AUK_PRJEB4211_v1:8:22281450:22283860:1 gene:GSCOC_T00007234001 transcript:CDP18422 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVGRNATEDDVKKAYRRLAMKWHPDKNPTNKKEAEAKFKQISEAYEVLSDPQKRQTYDQYGEEGLKDMPPQGSGGGFQNGFNPRNAEDIFAEFFGSSPFGFGSAGPGRSMRFQSDGGGPFGGFGGGDNIFRTYSDGTGASMPKKPPPVESKLNCSLEELYTGSTRKMKISRTVVDVNGRMSTETEILSIEVKPGWKRGTKITFPDKGNEQFNQLPADLVFVIEEKPHNVYNRDGNDLIMKYTVTLAEALGGTTVNITTLDGRELSIPVNDIISPGYELVVDKEGMPIAKEPRNRGDLKINFEVKFPTKMTTEQRAAIKRALGG >CDP13018 pep chromosome:AUK_PRJEB4211_v1:8:3233688:3234680:-1 gene:GSCOC_T00037751001 transcript:CDP13018 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQVQQPVDPNENPYGYLGMAKNPDGSITRLAQLPGTPASSDPSNPFHLSKDLDINQSKGTWARIFVPREAFDSSPAKKLPLIIYVHGGGFILCSVNSSSFDALYTPIVTEIPAVVVSVEYRLAPEHRLPAAYEDCFEALHWIKKSKDEWLEKYADFSKAFLMGTSAGGNIAYHVGLHAAACVDDLGPLQIKGLILHQPFFGGTERTESELRLAKNMVIPLTVCDLMWDLSLPIGVDRDHEYCNPTAEIKSGQFDQVKALRWKVLVTGCDGDPLVDRQIELWKKLEECGVSATGKFDEGGCHGYEFGYPDKAKELVLRIKELVKSATTS >CDP13029 pep chromosome:AUK_PRJEB4211_v1:8:3383403:3388876:1 gene:GSCOC_T00037772001 transcript:CDP13029 gene_biotype:protein_coding transcript_biotype:protein_coding MASENYTDKNTIFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLKMMCFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKEVAKSAADDAGLPSSPVASQSVHATNGFPDIKAAEAPNQSSFLKNETPEVSSSPKASQSVTATTVKKPLVAKKTGKTGGLGARKLTTKPNESLYDQKPEEVPVQVPSSDSTSSTPKSFASRFEYTDNVQPAEISSGGARVLSHVSPPKSSNFFADYGMDSGFSKKTSSTLPKVQVEETDEARKKFSNAKSISSAQFYGDQNKVNDMESSVSLQKFSGSNAISSADLFGHRGNNSAVDVTASDLIDRLSFQAQQDLSSLKIIAGETGKKLSSLASSLMSDLQDRIL >CDP12468 pep chromosome:AUK_PRJEB4211_v1:8:15656352:15664116:-1 gene:GSCOC_T00036051001 transcript:CDP12468 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MDAADTSSFLSLFAAVSYGIASMAMVFINKAVLMQYAHSMTLLTLQQLATTLLIHFGRSMGYMKARGLNVETAKKLIFVSLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGFFTGKGKPTTQVTLSVMLTAAGVIIAALGDFSFDPFGYSMALTSVFFQTMYLVLVERSGAEDGLSSIEIMFYNSFLSLPFLLFLIIATGEFPNSLSLLLAKSTSLSFLVILLLSLVMGIVLNYTMFLCTIVNSALTTTIVGVLKGVGSTTFGFILLGGVQVHALNVTGLVINTAGGIWYSYAKYHQKKSKLPKLMSDVEAHRK >CDP09889 pep chromosome:AUK_PRJEB4211_v1:8:28394713:28396399:-1 gene:GSCOC_T00030370001 transcript:CDP09889 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVFSAPGDYIYFKSQVPLHKIPIGLKHWRYYDFGPKVVPPLICLPGITGTADVHYKQIMSLSMKVLL >CDP16137 pep chromosome:AUK_PRJEB4211_v1:8:23167102:23169073:1 gene:GSCOC_T00017212001 transcript:CDP16137 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLKLLYFLSFLFLGVGANHADLEAYWKSELPNTPMPKAVRDLLKDGKWPERGNFRLKTYDDSCSFKHYCGNPTEDELHIDPKVKVFFLKMDLNRGSSMNMKFVESVKSPTAFLPRQVANSIPFSSKSVPEILNKYSLNPQSQDARIIKETIAECEVPAMKGEDKYCATSLESMVDFTTSKLGKDVLAISNEAQKTDPEVQKYGIVSVSKLNNNDKEIVSCHRQNYFYAVFYCHTTQNTDAYMVNLVGADGAKVKAVAVCHRDTSAWNPRHLAFQLLKVKPGTVPICHFLPEDHIVWVPKH >CDP15689 pep chromosome:AUK_PRJEB4211_v1:8:7534122:7539646:-1 gene:GSCOC_T00015665001 transcript:CDP15689 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRWAMDSGFWDLDMSTPVTLDGKARPVAGDPLPLGVSRGARLSRPRQIDFFQRFMAAPFVPSFTPDRGLALERVFSLPLPSSIGERWFATLLGQFNIQKFVTSIKKDGTLQMSEPSWLQRIKKHLSEKSLYAFNLCSELLVTPDDTLLLSYEGYGDEKNSRTKAILLSLQFPHHNLIVEAAGLERFVDHNGNHWDVPFSLAADVASVPADSSTSYHLCINHVMGSPNEVQTQSTGGAPVALLPGLCAKCAISFKKNANIWTSEAPKLSMVQPYDIFLSDPHISVSTLLGAVVTAFVGNTSIRTQGEHDVQYLKGSGLQAQAANSAISADLFASAFFSAQHGNFQRLFLDLTRINVRLDFPSGLKFLSAAARVGYNLYNSQEPSWETIETLCPKATLSFQQQIAGPFSLRVDSEVALDLKKGNWYPVMNDPVFAVEYALQVLGSAKAVAWYSPKQREFMLELRFFET >CDP18795 pep chromosome:AUK_PRJEB4211_v1:8:172619:177075:-1 gene:GSCOC_T00005570001 transcript:CDP18795 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKSQPEEQLVSTAVDSHTSSCRKHRAENATFFEDVKDHIHDFIHASLDDHKACFKKGIQKMFGMSKVGAERSSEVEEVESFLHLSTSVCK >CDP08358 pep chromosome:AUK_PRJEB4211_v1:8:26345032:26347156:-1 gene:GSCOC_T00027163001 transcript:CDP08358 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSSSSARVSEEFFSNSSSSSAAAAAGAMSSTNHKPATTTSDLDHLPTYNPMSHLAKKEKSRLRSVENSIHLIPVLLVLCAIVLWFFSSPVDLVNKSDSVVARIESSLIKSGVDRGHGKSSLESTLKQEDLNPANQSTEGVDPVNQSADDEVLDNQSTGSAEHNTIR >CDP18811 pep chromosome:AUK_PRJEB4211_v1:8:302600:303024:1 gene:GSCOC_T00005589001 transcript:CDP18811 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCVNCVFSAPGSPFSSPLRVHIFRSVQPCKAYGIMSSAMGCC >CDP13060 pep chromosome:AUK_PRJEB4211_v1:8:3833943:3835407:-1 gene:GSCOC_T00037821001 transcript:CDP13060 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCAKEGLKKGAWSPSEDRMLIDYIKSHGQGKWRSLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNITDDEEDLIIRLHKLLGNRPVHTHSWSLIAGRLPGRTDNEIKNFWNTNLAKKIGGPQHLAAEPSSSTTRSRAAPALQNPTSTHQPSNTEKLEGSPTHVVRTKARRLTKGFINPDLQTSCTQQQPGIIMAPSGAAAKDDFSEARTTDKSEKVGPDPASGAFSGKGGYYSSDFVMDFEMDDDFLSDFLNKDFVGFEQDFPQVLANGTCVETNGEANDFSPNCCPKPLSQVDRNDHGDLGSMPTLLDTALAWLNEGH >CDP07909 pep chromosome:AUK_PRJEB4211_v1:8:20735998:20741931:-1 gene:GSCOC_T00025412001 transcript:CDP07909 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPMAAIAKQHKTTAAKKLLLPCIIGLLGFALIADLLWAASSPSPASSSSSSAHFIVISHSPFPNHTHSAPAPFKDKDAANKHVKTNQRLLSATFSDLPAPLLEWEKMAPAPVPRLDGAAIQIGHLLYVFAGYGTIDYVHSHVDIYNFTNNTWGGRFDMPKEMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTARTFVLDTQTKQWSNMPPLPLPRYAPATQLWRERLHVMGGSKENRHTPGLEHWSIAVKDGKALEEEWRTEVPIPRGGPHRACVVVDDQLYVIGGQEGDFMAKPGSPIFKCSRRNEVVYGDVYMLDDDMKWKVLPPMPKPDSHIEFAWKIVNNSIIIVGGTTEKHPETKKMTLVGEVFQFQLDTLKWSVVGKLPYRVKTTLVGFWNGWLYFTSGQRDRGPDDPAPRKVIGEMWRTRLHL >CDP12245 pep chromosome:AUK_PRJEB4211_v1:8:31360605:31368088:1 gene:GSCOC_T00035672001 transcript:CDP12245 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPDSGYMMENGSIELPCTPEEEKRIVLELSRRAESNLREGNVYYVVSTRWYMGWQKYTGQPVGVFTFNEPPTEAPTSSAADRPGPIDNSDLVLNGSDGADPQLLRTVEEGRDYVLVPKDVWERLYAWYKGGPVLARKMISAGDSKQFHVEVFPLCLTLVDARDNSQSVVRLSKKASLHELYKTVCRLKGVESEKARIWDYFNKQKQTVLVVSNQTLEESSLQMDQHILLEVQIDGFWPSGFGMDSTGNDLALVPVEPLRSSVTIAGGPTLSNGYSSSYSSNIYGVINLSSTNGDMEDGYESSRSMTRVDRGGLAGLQNLGNTCFMNSAIQCLVHTPPIFEYFLEDYSSEINRQNPLGMHGELALAFGELLRKLWSSGRTPVAPRAFKTKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIETKDSDGRPDEEVADEYWRYHKARNDSIIVDVCQGQYKSTLVCPVCNKISITFDPFMYLSLPLPSTATRTMTVTVFYGDGSGLPMPYTVTVLKHGCCKDLIQALGAACCLRTDEYLLLAEVYEHRIYRYLENPSEVLGTIKDDEHIVAFRLPKNGAELTRLEIAHRIGEKSLFCNTNDNLRASERKLFLTPLITFLEDPQSGADIDLAVHRMLSPLRKKGYSSSISIRNGRESTNGCSTQLGPGTQSAENCESEEMSINSLSFHLCIMEDSGLSRRRISKETVIKFGRVVKVMLDWTEREFDLYDASYLKDLPEVHKTGLTMKKTKQEAISLFSCLDAFLKEEPLGPDDMWYCPRCKEHRQASKKLDLWRLPDILVFHLKRFSYSRWLKNKLDTFVNFPTCNLDLSNYVKSNEASEGSHVYELYAISNHYGGLGGGHYSAYCKLIDDNRWYHFDDAHVSPVSEDEIKTSAAYVLFYRRVRGKPNGAVGEPSHGYRAF >CDP12956 pep chromosome:AUK_PRJEB4211_v1:8:2541970:2547344:1 gene:GSCOC_T00037668001 transcript:CDP12956 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRKRPKTLNPHSSSSSPSSFSQYSIPEPSPGFFPSSISEFSRLIAVVTIAASVALFCNYLVTYFNQQPKPFCDSGVDVDFLSGVDNCEPCPSNGICKDGNLECEHGYRKQGNLCIEDRDINAAAMKISELVEVCLCEAYAQYLCSGIGTIWVQKDDLSTYIVEHRVMEDYGLDEHTYAHAKLRAMENIRKLMERRGYTSGSDEFKCPDSLVERYKPITCRIHQWVLDHALLLVPVCVVLVGSILILLKALRRHYLLTRAEEIYNKVCDLLEENALISRSIDGEGEPWVVASWLRDYLLSPRERKDPLLWRKVEELVQDDSRLDRYPKMVKGEAKIVWEWQVEGSLSSSGKRKKTEESTLRSSRLKNSTSNEQSFRFKAGEPLNC >CDP12170 pep chromosome:AUK_PRJEB4211_v1:8:30790325:30793008:1 gene:GSCOC_T00035574001 transcript:CDP12170 gene_biotype:protein_coding transcript_biotype:protein_coding MESIRELCRILILIFIFYLTSTAITAQGLNHKHDANPNLIKMLDTISPFQPRNVTFHLPPDPQISPTKVPALFVIGDSSVDCGTNNFLGTFARADRLPYGKDFDTHQPTGRFCNGRIPVDYIALRLGLPFVPSYLGQGGSIEHMMKGVNYASAGAGIIFSSGSELGQHISLTQQIQQVYDTNQQFILNIGEDATADLMSRSIFYISIGSNDYIHYYLRNVSNVQSAYLPWGFNQFLADTMKEEIKNLYTANVRKVVVMGLAPLGCAPYYLWMYGSKHGRCIEKINDMIMEFNYAMRYMVDELNQELMDSNIIFCDAFEASMDIIKNHDHYGFNVTANACCGLGKYKGWITCLTPEMACSNASNHIWWDQFHPTDAVNAILADNVWSGLHTNICYPMNLEDMIAQKQND >CDP12067 pep chromosome:AUK_PRJEB4211_v1:8:29988735:29991941:1 gene:GSCOC_T00035443001 transcript:CDP12067 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQMAGETETFAFQAEINQLLSLIINTFYSNKEIFLRELTSNASDALDKIRFESLTDKSKLESQPELFIRIVPDKVNRTLSIIDSGVGMTKSDMVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSSYLVAEKVVVTTKHNDGEQYVWESQAGGSFTVTRDVSGEPLGRGTKVTLYLKEDQLEYLEERRIKDLVKKHSEFISYPIYLLVEKTTEKEISDDEDEETKKDEEGEVEEVDEEKDKDKKKKKKIKEVTNEWQQINKQKPLWLRKPEEVTKEEYAAFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEYLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNIVKKCIEMFFEIAENKEDYAKFYDAFSKNIKLGIHEDSQNRAKLADLLRYYSTKSGDELTSLKDYVTRMKEGQQDIYYITGESKKAVENSPFLEKLKRKGYEVLFMVDAIDEYAVAQLKEYDGKKLVSATKEGLKLDESEEEKAAREEKKKSFENLCKVMKDILGERIEKVVVSDRVVDSPCCLVTGEYGWDSKHGEDYEGSSIKGHQHERLHTALLTSGFSLDDPNQFGSRIHRMLKLGLSIEENGTDDDADMPELKEETNEESKMEEVD >CDP16178 pep chromosome:AUK_PRJEB4211_v1:8:23837846:23838940:-1 gene:GSCOC_T00017265001 transcript:CDP16178 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQHPKLKTAPRPLFSCGFFRNCTQTVLSPTTTTPPALPFPATSDQPPLKPTSPPPPPPPPPPPPLPPPPSSSHPPHAPTKPDSESSSSSSNTSQSFTQWRFPLTNSPISHHLIHPYQQTQEKPKISEPTPPRKAPDSLPPPPPLSATKLEETFHVAELRFSSGSDEDKLGALHLLEKSLVPNPRAVADGGDAVACPATVMKWVVSCLKERVMAKSASKVLLALCLVEGNRHAAVEAGAVGVVVDAMADLEGAVAERSLAALELLCTVAEGAAELRSHALAVPMMVEVMGRMEGRGKEYAISVLAVIFGGCTDGAVVAPPEEVARAVMLALQGDCSARGRRKAAQLLKILQEENGRLDLTQDG >CDP14974 pep chromosome:AUK_PRJEB4211_v1:8:8198671:8202303:1 gene:GSCOC_T00042493001 transcript:CDP14974 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGIQHFSHDEHPLIMIEVQKNNDNGDGDDKKVEICYGCKKQILEPTAYCCFSCNFFLHKPCAEIPFQITHPMHPKHPLVLHREPPYSSGSCTCHACGQKGWKFFTYNCSFCKFDLDISCASQDRQHKSDTSPHLTLSLIHCFSSPSFPLSHRANEPILLSDPHYACAEGDYFLHKTCYQFPDELQTPKHPEHSLTPMTVLAVAGYFRCHACLKEGNCLYYECKCCKFYICIKCVSASLTSAVLHNSHKHLLTQVENTNRITCNACGFDRGSFGFGCEDCHFYLDCECALMLPTTKQKWDEHVLVLTYPPFVEHPDEFCCAICDLQMNPNEWMYHCHECDQLFHPWCIPQIHQNTKFGVPCM >CDP09917 pep chromosome:AUK_PRJEB4211_v1:8:28184807:28190392:1 gene:GSCOC_T00030410001 transcript:CDP09917 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAASVAALYSPLASSSSLQDNKLKFAVQSVKPPLIFKPSRSFSLIRASTGFSSSLDTGLSTELDAVTSYSEIVPDTVIFDDFERFPPTAATVSSSLILGICSLPDTKFRSAVDTALADSECYGLENSDLRMSCFFNKALVNIGSDLAKLVPGRVSTEVDARLAYDTHGIVRKVHELLKLYDDFQVPPERLLFKIPSTWQGIEASSLLESEGIQTHLTFVYSFCQAAAAAQAGASVIQIFVGRLRDWARNHSGDPEVESALRRGEDPGLALVTKAYNYIHKNGYKSKLMAAAIRNKQDVFNLLGVDYVVTPLKILQSLKDSVTPPDEKYSLVRRLSPQSAAAYNFSQEELVKWDQNGFALAMGPATVELLTAGMDSYVNQAKRVEELFGKIWPPPNV >CDP11707 pep chromosome:AUK_PRJEB4211_v1:8:5205859:5213449:1 gene:GSCOC_T00034172001 transcript:CDP11707 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGYLILERPNAGIVLSTNARFYAIVKPLYEEIKPESWAWAWTDVKLTSPQMSRETIYKLSLKHLTLQPVSSSASRNPFVEHAVQYAVAAAHAKLGKDKTDALQKILLQGLDITILGCNEFYSYRNQIELQGLPLTPESLALLPPFSSITFNAEESSGQKPEVAKTGLGSSAAMTTAVVASLLHYLGVVDLSSGKKVDADLDIVHMIAQTAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSSAQGAVKGIPLEEVISDVLQAKWDHERKKFSLPPSMTLLLGEPGTGGSSTPSMVGAVKKWQKSDPQNSLETWRKLSEANSALELHLNALSGLAEKHSDAYKNVINRCSVLTSEKWVGGEDESSQAVIEALLGARNSMLGIRNHMRKMGEAAGIPIEPESQSELLDATMNMEGVLLAGVPGAGGFDAVFAVTLGASSDNVIRAWSSRNVLALLVREDPNGVSIENNDPRAKEITTAVSSIRIE >CDP14933 pep chromosome:AUK_PRJEB4211_v1:8:9308834:9314044:1 gene:GSCOC_T00042429001 transcript:CDP14933 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKIIKEVGNGTFGSVWRALNKQTGEVVAIKKMKKKYYSWEECINLREVKSLRKMNHPNIVKLKEVIREHDILYFVFEYMECNLYQLMKDRPKLFSEAEVKNWCFQVFQGLAFMHQRGFFHRDLKPENLLVSKDMIKIADFGLAREINSRPPYTEYVSTRWYRAPEVLLQSPTYGSAVDMWAMGAIMAELFALRPLFPGSSEADEIYKICSVIGTPTENDWSEGLELASFINYQFPQVAGVHLSALMPSASEDAIDLIQSLCSWDPCKRPTASEAIQHPFFQSCFYVPPSLRTKAALAKTPPSAGTRVPVEQKTGRRYSGSLPNVKSANNFSSVKSNASSYAGVQRKLEMNFQFQDEQKYSKSTLKQPPRYRPPVKNGPASTFMGKTRGVSDTAEKFSNMTIGSGKPSLKSQQPPPMKAGGWHGQSDLFLGRSQEMLPGRTFTRKVAG >CDP13039 pep chromosome:AUK_PRJEB4211_v1:8:3567106:3569655:-1 gene:GSCOC_T00037791001 transcript:CDP13039 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNNVIGCINFVAMLLSIPIIGAGIWLATEPDNSCVKLLQWPVIILGVLILIVALAGFIGGFWRIPWLLILYLIAMLVLIVLLACLVVFIYMVTIRGSGRPATNRAYLEYHLDDFSGWLRRRVQSSYKWDRIRSCLSSSSMCAELNQSYRMPQDFFNAHLSPLQSGCCKPPTQCGYTFVNPTYWISPIDTAADMDCLQWSNEQTQLCYSCDSCKAGLLANLKKEWRRADIILLITLVGLIWVYLIGCCAFRNAKTEDLFRKYKQGYT >CDP08285 pep chromosome:AUK_PRJEB4211_v1:8:25641597:25645607:-1 gene:GSCOC_T00027065001 transcript:CDP08285 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQGSSLSTNVAGFVDGSSARRQVSYVDHLPIYVKELIAGGAAGAFSKTAVAPLERTKILLQTRTAGFHSLGVYHSLKKLMKHDGVMGFYKGNGASVLRIVPYAALHFMTYEQYRSWILDNCSVFGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVVDTRTTLQNATRYIYVQPAYTGIRNVIESVYKEGGVRALYRGVGPTLVGILPYAGLKFYFYEELKRHVPEERQTSIMMRLSCGALAGLLGQTFTYPLDVVRRQMQVEHLQPSLQAGAPHKSTLDRLLTIARHQGWKQLFAGLSINYIKIVPSVAIGFTMYDMMKTWLHIPPRQKSKSISTA >CDP07862 pep chromosome:AUK_PRJEB4211_v1:8:19111418:19116467:1 gene:GSCOC_T00025335001 transcript:CDP07862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g31850, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G31850) UniProtKB/Swiss-Prot;Acc:Q9SZ52] MAVIIVCSSTICCSNFSCRGAAEAIPTVSKPYGLSYNVPLGGTKIGTSRLFPCGSMLRWKKIMKKQVGFSGFGIKSSYDVVVVNGKLKKSMSPEEVLGVLKSKSDPNQAFSFFKSVAELPTVVHNTETCNYMLELLRVHKRINDMAVVFDLMQKRIIYRSLNTYLTIFRSLNIIGGIREVVVAIERMRKAGFVLNAYSYNGLIHLVLKEGFWREALWVYRRMVSEGLKPSLKTYSALMVACGKRRDTQTVMRLLEEMESLKLRPNVYTFTICIRALGRAGKINEAYGILTKMDKEGCMPDVVTYTVLIDALCDAGKLDIAKEVFAKMKCGRQKPDRVTYITLLEKFADHADLESVREYLCKMEADGYKGDVVTFTILIDALCKVGNVDEAFATLETMKEKGLAPNLHTYNTLIGGLLKENRSDQAFELFGSLESLGVQHTAFTYILFIDYYAKLGQTDKALETFEKMKAHGIAPNVVAFNASLYGLAELGRLKEAKDTFNGMKRSGLVPDSITYNMMIKCFANAGKVDEAVQFLNEMIETGCDPDVIIVNSLIDMLYKADRPDEAWAMFRRMKDMRLVPSVVTYNTLLAGLRKEGKYIAAFQLFDSMSARGCPPNTITFNTVLDCHCKNNEVDSAVKIVYQMTEVKCYPDVFTYNTIISGLIKENRLREAFWFYHQMRKVLYPDCVTLCTLLPGIMKEGLIDDAFHIVKDFAHQVESTLDRSFWENLMEGTICEAELHYCISFMEKLLSDCLCKNESIMVPIIKFQCKQKKVLDAHKLFLKVRRSFGILPTLEIHCVLIDGLLEFHHKELAWELFLDMKKAGCAPDVSIYNLLLDYLAKSGMIDELFELYEEMRHRGCTPDTVTHNILISGLVKAGDVYKAIDLYYDMVSGGFSPTPCTYGPLLDGLLKLEDLDGAKKLLEEMIDYGCLPNSAIYNILINGFGKAGDVENAISYFNRMLREGIRPDLKSYTILVDCLCIAGKVEDATYYFEELKSSGLDPDLVSYNLMINGLGRWGKIHEALALFSEMRSRGISPNLYTYNSLILNLGVLGMLEEAGKMFEELKVAGLEPNVFTYNALIRGYSISGNPDGAYEVFEKMMVGGCSPNSGTFAQLPN >CDP13446 pep chromosome:AUK_PRJEB4211_v1:8:1313554:1315227:1 gene:GSCOC_T00038397001 transcript:CDP13446 gene_biotype:protein_coding transcript_biotype:protein_coding MATVANSHLSKRLSPLVPESRWLVNTLTSILQKTVNPETELPDILNQFSPHLTPKLVIQVINNQTSPNHSLYFFNWAANLNPNPSNYFHTHHCYIAIADKLISHRLFSLATRLLESHDRYSDFMVGKFIKAHGDLGHLNWAVKLFHHVKEREFGDCLFSYNAVLGVLVKAKRVNLAWGFFGMMIKEAVVKPDVSTYTTMIRGFCQIGMIEHAEKVFDEMSRMGCERNLFTYNTIVHGFCKKGLMENARSIVDKMVESRVYLPDMVTFTTLIDGFCRIGNTDEAMRWFDEMGKRNVMPNVSTYNALINGLCLNGMVDEAKKMQTRMRLSGVKDNVTTHTSLLKGYCSAGRSNEAIQHFREMVGSGLELDQKSYAVIVNEHCKLKMPDKAIALLREMRVKGISPPMYGYNAVLRSLVELGELDKAVFLLKQMPQMGCHPNFLSYSAVICGLVRATGRMQDVWMLVNNMLQDGNRLDTTLYNCLIWGFCEDGNVEMAMNFFLKMIDERYLINLECFEVFVKKLVAEGKLFEIEKLFEQMRKCCPMKEIHSYQKVLDEVLR >CDP12115 pep chromosome:AUK_PRJEB4211_v1:8:30379616:30380818:-1 gene:GSCOC_T00035504001 transcript:CDP12115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g43790 [Source:Projected from Arabidopsis thaliana (AT5G43790) UniProtKB/Swiss-Prot;Acc:Q9FG85] MLAHTAPPNNLTFPSLIKAAASLLPPYASLVGRPLRAQTLKRGVSDDPFVQTSFISLCAHLGDLDGARKVFDEILQPCVVSCNAMLDAFGKCGNMDSAVLMFSGMSRRDVFSWTSVISGYARNGRFGEAMGIFGKMMVDEDVSVGVLKPNEATFVTVLSCCANFEGGRALYHGKQIHGYMVKNENKLSVFMGTALIAFYGKMGCFNYANKLFGRMMVREVCTWNAMISSLALNGREKDALDMFIRMRTGGFCPNEVTFVGVLSACARAKLVDLGLEFFHSMQRQFGIVPRMEHYGCVVDLLGRAGLLREAYEFMEWMPFEADASVLGALLGACRIHGATDLANEVGLRLCKLQPQHCGRYVQLSSIYAGAESWDNAAALRKLMTDAGIHKIPAYSTIHQQ >CDP12106 pep chromosome:AUK_PRJEB4211_v1:8:30288451:30297157:-1 gene:GSCOC_T00035493001 transcript:CDP12106 gene_biotype:protein_coding transcript_biotype:protein_coding MASNATVKCPAPMKATSNGVFQGETPLDYALPLVILQICLVIVLTRTLAYLLRPLRQPRVVAEIIGGVLLGPSALGRNHKFLHTVFPPRSLTVLDTIANLGLLFFLFLVGLELDPKSLRRTGKKALAIALAGITLPFALGIGTSFALRATISKGVSEGPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAVALSGVGRSPLVSLWVLLCGSGFVLCCIFVAPPIFRWMARRCPEGEPVDEMYVCATLAVVLAAGFVTDSIGIHALFGAFVIGILVPKEGAFAGALVEKVEDLVSGIFLPLYFVSSGLKTNVATIHGAQAWGLLALVIFTACFGKIVGTIFVSLLSKMPLQQAVALGFLMNTKGLVELIVLNIGKDRGVLNDETFAVMVLMALFTTFITTPIVMAVYKPAKMARSEYKHHTIQRKEASAQLRLLTCFHSSRNIPSLINLIEASRGTEKKGGLRVYAMHLMELSERSSAILMVHKARKNGLPFWSKVQDSDSNQVVVAFEAFRQLSKVSIRPTTAISPIATMHEDILAGAEKKGVSMIILPFHKHQRIDGHLETTRAEFRHVNRRVLRAAPCSVGIFVDRGLGGTSHVSASNVDYTITALFFGGHDDREAVAYGALMAEHPGISLVVVRFAVDPKVAGKSVNLDVNESSGPEARSDDEEFLSELKENVKEVRSVTFEEKIVRDGAETVEAVRAYSRCNLFLVGRMPEGQLVAALNNTTDSPELGPVGNFLVAPEFSTRASVLVVQQYRRELSEDSLASLEAEGSGEESN >CDP08218 pep chromosome:AUK_PRJEB4211_v1:8:25039018:25039545:1 gene:GSCOC_T00026980001 transcript:CDP08218 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKEETSTLTNTLATIGYIAPEYGFEGLVSTKCDVYSFGIMLMEVFTRRRPSDDMFVGNLSLKSWISGSMPYAIFQVVDANLFEPHDEHFTVKLECLSSIMEVALICAAESPVERLCMEDVSNSLKKIKLKFLSRHGER >CDP09980 pep chromosome:AUK_PRJEB4211_v1:8:27605270:27606945:1 gene:GSCOC_T00030502001 transcript:CDP09980 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSSNSWVKKNALQLKMHCWKMGCSIKLFDEIKKKELQKKNIVSIEDMVFDILDLLFGLPFAQEPFSNPMLGVSTPSNIRDELESAKRDFLQANIVVKSKKAFLPKMLKRYTKEASISADDLLDWIAESAGKKPHDSMKKCIESANTKKASRIIEWLPYNSRFRYAFAKDLLGKPWWVLKSKRNLVEAGYRYNQNWMFILYI >CDP12192 pep chromosome:AUK_PRJEB4211_v1:8:30943028:30947140:-1 gene:GSCOC_T00035603001 transcript:CDP12192 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVSVKTPPEAPPLRIMVPQDSNGQTRPVPERSEPGSVKTNTPAQRRPPSPSPSTSRAKPSPDRSSGKKKSPPEKTVIDETSLDNPDLGPFLLKLARDTIASGEGPTKALDYALRAAKSFEKCAVGGEPSLDLAMSLHVVAAIYCSLGKFEEAIPVLERAIRVPEASRGADHSLAAFSGYMQLGDTHSMLGQLDRSIDCYKEGLKIQMEALGETDPRVAETCRYLAEAYVQAMQFDEAENLCKKTLEIHRVHSPPASLEEAADRRLMALICEAKGDYEAALEHLVLASMAMIANGQENEVAAIDVSIGNVYLSLSRFDEAVFSYQKALTVFKSSKGDNHPLVASVFVRLADLYYKTGKLRESRSYCENALRIYGKPVPGTTAEEIASGMTEVSAIYELFNEPEEALKLLQKAMKLLEDKPGQQSTIAGIEARMGVMLYMIGRYEEARSSFESAVVKLRGSGERKSAFFGVVLNQMGLACVQLFRIDEAAELFEEAREILEQEVGPCHQDTLGVYSNLAATYDAMGRVEDAIEILEYVLKLREEKLGTANPDFDDEKKRLAELLKEAGRSRNKKAKSLENLIDPNAKRTRKETSKKWSPFGFRS >CDP13456 pep chromosome:AUK_PRJEB4211_v1:8:1241935:1247158:1 gene:GSCOC_T00038408001 transcript:CDP13456 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MRLAESLRDSGDVRSSREEAGPSGTSGSAPDSTARVRRFPLAAQPEIMRAAEKDDQYASFVYEACRDAFRQLFGTRVAMAYQNETKLLGQMLYYVLTTGAGLQTLGEEYCDIIQVAGPYGLSPTPARRALFIFYQTAVPYIAERVSSRIASRGISLADSLSDDLLLDDASQITHIQPHDNDEVAVSLLSAFSRWKAKVVGLWSYAVQRWPSVLPFAREILQLVLRTNLMFFYFEGLYYHVSKRAAGIRYVFISKTTSQRPRYQILGVFLLVQLCIIAAEGLRRSNLSSIASSVHQASPGTYQTSAGRGLPVLNEEGNLISGDTEKSSWVSDLASTSESSATGVSKCTLCLSSRQHPTATPCGHVFCWNCIMEWCNEKPECPLCRSPVTHSSLVCLYHSDF >CDP15678 pep chromosome:AUK_PRJEB4211_v1:8:7205513:7207020:-1 gene:GSCOC_T00015640001 transcript:CDP15678 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNYDPEVAVSVNKFVDQLPAVFNQLAEGISEFRPTPSENLDCFQKSYSVQHTFLVKFNVDAIDQTDLLEETLKPQVESIGAKLQKIILNGTHITPCIQEPRWQVGDIYSPVDAVAQGLKTISLNDTRVLTRTITDWFSQLEG >CDP14470 pep chromosome:AUK_PRJEB4211_v1:8:13922806:13924807:-1 gene:GSCOC_T00040965001 transcript:CDP14470 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEASGQLINLEKSSVFFSKNMPMEQRQDVCSALGGMTEMTQGKYLGLPMVISRTKEQIFGFIKENIKRKMHDWRNKLLSTAGKEVMLKAVSMAMPTYAMSVFKLPRKLCKDISALMANYWWGEANGKNKLHWLSWRKMSLSRNAGGLGFKDIEAYNKALLGKQVWRILTKPNLLISKVLRARYFPKDSILTCRPKQNASWIWQGLLGARRVVEKGVIRRIGNGRSTSIWGHRWIPGSSSGRPTSLGPQSYNLKMVNELISHHRWKRNTIFQHFNQSDAEKILNIPLSLMGREDNYYWQHNPGGIYTVSSGYKCIMKERTNVKQIAPEEAGPSITGEDQQSRQMWTTLWKLNIKHKVKIFIWKCITGALPVRAAIFRKTRMGDPVCRLCGEDQETVEHLMLNCQHSQQVWKAAPIQWDGAMDQKGDFRRWWIRISEARTRQGGMEHIGLTAIILWQLWKERNSKEFENKTSCSPARTIGKAQKEWLEQEEFTKGKTRLSIRETTCNKEEQHQGCNEEGTIKLEMAITSQNGQTSLGIGVTATKHPSLRLAEWALKERSKGDKVIDEAMAVHLVLCKAFEHQWSRITIQFQSQDLMRQIKYNSPSNSRLATLIEDILSMQKLFRLCLFSLANENSIKRSRDLSSHAFGILVDEEWNFLSA >CDP10000 pep chromosome:AUK_PRJEB4211_v1:8:27354417:27359728:1 gene:GSCOC_T00030529001 transcript:CDP10000 gene_biotype:protein_coding transcript_biotype:protein_coding MANSALQLPIIDLSCSDRISTAQSIRQACMEYGFFYLINHGVEEELLKRVFEESRKFFVLPSEEKMKVVLKDHRGYTPHYAEKLDPSSISKGDSKESFYVGPLEDVKNQWPPAELLPLWRSTMEEYHKSVLNAGKRLISLVALALNLDEDFFTKVGALDPPNGYLRLLHYPAELGVADQQMYGASAHSDYGMITLLAAEDVGGLQACFHRICREKFEQNQVWEDVHHVNGAFIVNIADMMERWTNCLFRSTFHRVLHTGRERYSAAFFLDGNPDCMVECLKSCCSESRPPRYPPIRIGDHLQQRFRITYG >CDP18497 pep chromosome:AUK_PRJEB4211_v1:8:22868015:22869634:-1 gene:GSCOC_T00009070001 transcript:CDP18497 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRFQSDHAGAAWRSCIASAFRTALACTIIGCITLFDPPSFKHHIAFPSFSYVTAILLVTDATVEDTFRGCWHALYASVFGVCPAILSLWLMGPAQLTICTTPVAVALSAFVVVLPENSHLISKRIALGQIFVVVYVLAFINGPKTDPILHPIHVLASTAIGAVACVLASLLPYPSLACYEVKKKFKLYTKNASERVGVLMKAFSAQDETSAQARFCNPSPWLAPEPNCLGASNPSRKVCYGEGFQSNF >CDP08312 pep chromosome:AUK_PRJEB4211_v1:8:25908635:25909132:-1 gene:GSCOC_T00027106001 transcript:CDP08312 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRNLGMDIGGMGFGIDNPILSTIQDMLELSEEHDKGNQNNPSRAYVRDAKAMARTPADIKEYPDSYALVVDMPGIKANEIKVQVEDDNVLVVSGERKREKEEGVKYLKMERRGGKSMRKFVLPENANLDAISAVSRDGVLTVAVQKFPPPQAKKHKTIEVKAG >CDP07194 pep chromosome:AUK_PRJEB4211_v1:8:10459433:10461357:1 gene:GSCOC_T00024362001 transcript:CDP07194 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFAIEFQLLTWCFISPPSWIDRVLKILRLRRSLCFLLLLRRFLPFFSVQDKIEVTRWSSSYKLKGSYGSGFIFLLSQRFAQG >CDP09918 pep chromosome:AUK_PRJEB4211_v1:8:28175904:28179418:1 gene:GSCOC_T00030412001 transcript:CDP09918 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSLGNEISGSLCLMDCLQSSSDKKTLKRWFFIDKRVG >CDP08340 pep chromosome:AUK_PRJEB4211_v1:8:26205556:26207711:1 gene:GSCOC_T00027142001 transcript:CDP08340 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNPQHYFLLFLTLALLSELCLAQDAYVTTRATYYGSPDCLGTPFGACGYGEYGRTVNNAQVCGVSRLYKNGSGCGACYQVRCKHPQYCSEEGTKVVATDYGQGHDTDFILSVRAYAKLAKPNVVAELFAAGVIEVEYRRIPCSYPGYNLLVKVHEHSKYPFYLAIVILYQGGTSDIVAVEAYEESCKQWRGLRRAYGAVWDIANPPKGTLAFRFQVSGSAGVQWVQPNTVLPSEWRAGVAYDTAIQLT >CDP12098 pep chromosome:AUK_PRJEB4211_v1:8:30224878:30226462:1 gene:GSCOC_T00035480001 transcript:CDP12098 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYSRELQLHDIHLAWEINYANICSFIYIYIYIYIYSYFTLFIFLCLFIYLFYLPLLPMPSLIPYSTLHGQEIELKII >CDP09885 pep chromosome:AUK_PRJEB4211_v1:8:28423312:28424204:1 gene:GSCOC_T00030366001 transcript:CDP09885 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDWCPETATKAFLDTVETCKIVYNESSVAEMVSAMAAGWNAKLIVEAWSQGGMMATSIGLAIASHHSGGRHVCIVADEASRSKYIQAMEKAGKSPEVIVGEPEDAMNGLEGIDFMVVDCRCNDFARIFKVAKLGEKGAVLIRKNALAKAESDFRWRTALDAKARIVRSVLLPVGKGLDVAHVGARGGNSVPRKGERRWIKYIDRQTGEEFIIRK >CDP11714 pep chromosome:AUK_PRJEB4211_v1:8:5283722:5285800:-1 gene:GSCOC_T00034183001 transcript:CDP11714 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILKDLIQQLHNEIREPVPQQVECMEGNQLRAFVKDFLQERRYIIVLDDIWSMNSWEAIKYALPNGSYSSRIMLTTRIADIAIGSCIESRDYIHEMKPLPVEESWNLFCSKTFGDNCCPQNLEDVSRRILSKCKGLPLAIVAIGGLLALKNKGKLDEWEMTHRSLGGELEGIGKLEKVKNILLLSYNDLPFFLKSCLLYISIYPEDQKIDPELVIPRWIAEGFIHGKKGMTTTEVALGYLNELVNRSLIQVAEFYRDGSIRYCRVHDILREIILTKSREQNFVNVASSCSRDCARLPDKPRRLAIHGYNENHQECKRFRHLRSLVIFGYTDPLFNSSLSKILSGGPKMLEVLHLEGAKLTNIPKEVFQLFRLKFLNLRDTGVQIIPPCIGKLHNLEYLNLIGTKVRELPVGILQLRKLRILLVYHIGKDFALCGVKPPSQIANLLFLEILHSIDADHDKTLVMEIGKLTQLRKLGISKLRKIDGAILCSSLGKLTNLRQLCVNSIKEDDTLDLLHPISPTPRALQVLELGGRLEMIPPWVASLQNLTTIQLFGSRLRHDLLESLQSLPNLVDVSLNNAYEGEELYFMAGGFQKLKRLELTRLQKLKCVRVGDRAMPCLHKLVMAECKLVEKLPWGIQNLNELKSLGLADMSNELIVKLQDKGSEEYQKIALVSEVVIANWKDGKLWKRFL >CDP12946 pep chromosome:AUK_PRJEB4211_v1:8:2340647:2344487:1 gene:GSCOC_T00037653001 transcript:CDP12946 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVSFVSDHLATLLREEGSLLGGLRQEVQLIKDELGHMKAFLKVAEAKEEDDPRLQEWIKQVREAAYDIEDVLDEFVLRFAGYRHHGFCGSLQRILKAIKSLRARHQVVSEIQSIKSRIKNISEGRQRYQVEFGINDSVTGSSTMNDSWRYSRDDALLVEEAKLVGIDQPKQHLISKLLEGDDHQLKAISVVGMAGLGKTTLVKKVHDDPEVRKNFPVRAWVTVSQTCDFPKLLRDLIRQLHKDLDKSVPQSIESMTTAELKEFVKDFLRRAGRYAIVFDDVWHVEFWNAIKFALPEGNYGNCVMQTTRKADVASASCTESQDYVYKMEPLSIEDSWTLFCNKIFKGNRCPAHLMDVAKAVLDKCDGLPLAIVAIGGLLASKDASRIDEWDKIQHSLGGELEGAGKLERVKRILSLSYNDLPSHLKPCLLYLSIYPEDDLIACQTLILLWIAERFVEWREGMSIEDVAWGYLSELISRSLIQVTDVFYEGLPYDCRIHDLMREVILIKSREQNMMTVTTGQPMTWPSEKVRRLAIHSSSNSSNIQYHQQRQFYCFEHLRSFITVRSTNPLLSKTFLSEVLRSSKLLMVLELGGEEIEETPNEIFNLLHLTYLNLYGTKVAKVPRAIGKLQILEYLNLGDTGVRELPVEILKLLKLRYLIVFQRVDPSGSDYGYHGFKGPSKLGRLQALQRLGTIDVSSGSVIVKELGKLTQLRELFITQLRREDGKELCSSLVNLTGLRQLSVDSVGKGDDYVDLNHHQHSLSSYSSCSFLQSLRVLIMRGRLEKMPIWITHLQNLVRLDLIWSGLRAEEDPLESLQHLPNLGEISFCGSYQGERLCFKAGGFLKLKQLWLRRMEGLRRMTMEEGACPHLQRLILKQLPLLEDLPSGIQNLSHLQELGLYEMSSRMMEKVENQEEESEDYRRMTHIPEIVIGFYTDDGRRRIRRLWGKKKKKTILA >CDP12060 pep chromosome:AUK_PRJEB4211_v1:8:29952223:29955145:1 gene:GSCOC_T00035435001 transcript:CDP12060 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSAKSIPPAFTAIKSSLRQNLFPQALKASTSLPTPNLTITDSLYSLFIKSGFTLHPFLSASLISHSSITLPTATSFFPRAINLLNDTVFPDVVVYNSILSGLARFSQPDPVFLVFNQLRQQGLKPDAYSLSSLIKACVEVNHNGMAHGVSVKLGFVKNAFLISGLIENYSKNGLLTSAEVCFQDNRCLDSVVWTSMINGYVWNLEFDKAKDVFKEMRGLGLETNEFTLTTMLGGVLVVKEGEQIHGFSQKIGFLNGISICLSNAIMGMYGRFGWTVDAIQVFEEIPERDAVSWTQRIGIAYNGLEAFEVFRFCISGNLEVNEYTLVNALSRIEGLKMQKLGKQVHAFCHKDGYQSVVSVCNALISMYGKVGEVLNAECVFNEMIAKDSVSWNALITAYADNGVTGKVISLFSQMRKLALGPSEYTIASILDVLSGSNSLGLAMQIHSFLIKWGSMSDDSMLSCLLNSYGKCNGIYHSRRVFDEIDVVDVKLLNAMLSALGHAGNYSEILELFQTRWSSSAEVDNVTFSLVLKACGLLTEMEQGRAIHSLALKSGADVDYYVESAIVDVYCKCGSLDDAEHAFRCTSKDNLAAWNAMMMGYAHCGFYDKVLDIFSGMVESGNQPDEISYLAILSSCCHAGLVNEAHYYLNTMFKIDKIIPKLEHYACVVNLLGHVGLLEEALNIIDEMPILPDAHIWQILLSACTIHNNIDLGKVAAKKLLELQPENDSAFILLANLYASAEIWNEAVDLRKEMEEKVVTKETGYSWIQVR >CDP13520 pep chromosome:AUK_PRJEB4211_v1:8:685974:690045:-1 gene:GSCOC_T00038489001 transcript:CDP13520 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISA2 [Source:Projected from Arabidopsis thaliana (AT1G03310) UniProtKB/TrEMBL;Acc:A0A178WGA8] MVLSPLASHNGCPLLSFTMQSHWLGCGAFESSKFVAAMRGRNAKGVMSSLMKLYAKDQRIGEVIRFSQRNSYEGLRISALPASNTSVIQIIEEVSSYQFRTENGDLLKVLVGKKNDKYSFLIKALSLQLPHRENELVMSWGLFRSHSSSFMPLDFQGSTLDGKTITMETPFMQESEGTLAVELDFELTLAPFYFSFLLRSQLDSGMSSLEIRSHRKTSFVVPVGFGSGNPSPLGLSFSADGSLNFALFSRTAESVVLCLYDGKTTHRPNLEIDLDPYVNKSGDIWHASIDRSFQFASYGYRCKVAEDAEQEHVLLDPYAKLIGDVPAGSQSTSLLTCLGQLSKVPPFDWGQQMRPCLRLEELVVYRLNVMRFTKDKSSNLPNNLGGSFLGVTEKLHHFKDLGVNAILLEPVFPFDEQKGPYFPWHFFSPANQYGSPGDPVSCINTMKEMVKKLHNNGIEVLLEVDFTHAAEVGALRIIDNTSYCHVKTVDDTGSEHALNCNYPVVAQLILDCLRHWVIEFHIDGFCFVNASSLLRGFHGEYLSRPPLVEAIAFDPLLSKVKIIADSWDPREMKVKEVLFPHWKKWAEINNKFCYDIRNFLRGEGLLSDLATRICGSGDVFLDGRGPAFSFNFIARNFGLSLVDLVSFSSSKLAKEFSWNCGEEGATNKNDVLERRLKQIRNFLFILFISLGVPVLNMGDECGQSSGGSPAYGDRNSFDWNALSSGFSIQTVQFISFLTSLRIRRSDLLQKRNFLREESIEWHGSNQAPPRWDDAASRFLAMTLKASSEDIESNSVPNACGDLFAAFNGADLSESITLPPPPADMVWFRLVDTALPFPGFFTANGACIEDGLATYEMKSHSCALFEARRPSE >CDP12248 pep chromosome:AUK_PRJEB4211_v1:8:31381159:31381864:-1 gene:GSCOC_T00035676001 transcript:CDP12248 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSCALVVCVLSASTVALSSSSGDRHLTFATPCPNQEFSQSSASSPAGNERGKNWGRKASLEKENFAPRFDGLRFIETLVTAHR >CDP13423 pep chromosome:AUK_PRJEB4211_v1:8:1629285:1632172:-1 gene:GSCOC_T00038361001 transcript:CDP13423 gene_biotype:protein_coding transcript_biotype:protein_coding MAETILSLALNQLSTTLLDEGNLLGGLKQEVHHIIDELEHMRAFLKVAEAKEDDDHAIQAWMKQVREVTYDIEDVLDEFAALTAHHHASRFSRCFNSIKNLQARHNIAGEIQRIKSRLGNISELRQRYRLDHGTHQHFLGSAPIANTWWDDAILVGKERLVAIQQPKQELISQLLDGDSNLKVISVLGMQGLGKTTLVKKVHEDGDVRKQFQITAWITVSQTRSIKELLQDLIRQIVNQIKRPINYAVESITELKSSVTKLLLGKRYGIVFDDVCDMGIWNSIKSALPDSGNGSRVMITTQLPHVAQASSPESQGYVYEMKPLSFEDSWTLFCDKTFQQSYCPMHLKAIVHDILSKCRGLPLAIAAIAGVLASKDKDKDKADQWEIVRDSLAGEEEKTGEQDMVKKILLLSYKDLPSHLKTCLLYISIFPEDYEIQCHRLIQLWIAEGFVQDRGGMTAEEVAFDYLKELIRRSLVRVTEVSWDGSPDHCRIHDLMREFILRKSQEQNMITLASLQHTRGPSEKVHRLVINNSLTQRSNYLKHLRSLITLTNTEPTLLSELLLSKLLKLLDLRDVQLEEIPNEVFKLFHLRFLCLRGTRVKKVPKSIKKLKNLEHLHLGQTNVRELPIQVLKLKKLRHLRVFQVVDSSDANYECYGFKAPSKIGELLSLHTLLFIDAQNDEETVVGEIGKLLQLRELGITKLRRQDGEELCSSLKKLTNLRELNVTAITKDEVIDIDHALPPSSLQLLRGLILRARLEKLPRWINSLHSLMKIDLIWSRLRGDDPLESLQRLPSLVEVRLSQAYEGEELYFSAGAFLKLETLYLGDMQGLKWIMVGNGAMPQLQQLYMSELPQLEELPLGIQHLRKLQSLHLDDVSSKLTEKLKNPYDVTGVRGNIAHIREVVIGFRADGEWNELRL >CDP09950 pep chromosome:AUK_PRJEB4211_v1:8:27886491:27886790:1 gene:GSCOC_T00030457001 transcript:CDP09950 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDDEAAKLRFDFPGVGKEGLKIWFENGNLKIEGTEDATDVDGVPKEGRKYAVTYEIFEPGLLKKDEAKAEMKNGVLKVVIPMVKFEERKEVVHINVA >CDP11679 pep chromosome:AUK_PRJEB4211_v1:8:4694504:4699575:1 gene:GSCOC_T00034133001 transcript:CDP11679 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSQLGKNGAPMVTFARRTSSGRYVNLSRESLDSEIGSGEFANYTVHIPPTPDNQPMEPMDASISQRVEEQYVSSSMFTGGYNSVTRAHLMDKVIDSETSHPQMAGAKGSSCAVPGCDGKVMRDERGEDILPCECDFKICRDCYIDAVKTGEGICPGCKEPYKNTDLAETTVDSARGPLPLPSNVGMSKMERRLSLMRSANRSVLIKSHSGLMRSQTGDFDHNRWLFETKGTYGYGNAIWPKEGGFGNDNSDNGGRGGEPAELLSKPWRPLTRKLKISAAVISPYRLLIVVRMAVLALFLQWRISHPNEDARWLWLMSVICEIWFAFSWLLDQLPKLCPVNRATDLNVLKEKFETPNQDNPTGKSDLPGVDMFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHSIEPRNPESYFSLKKDPYKNKVRQDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYNAREEIKAMKLQRETAGDELLEPVKVSKATWMADGTHWPGTWMVSAPEHSRGDHAGIIQVMLKPPSDEPLHGTAGDNSPIELEEVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSEAIREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANRNTVFFDVNMRALDGVQGPVYVGTGCLFRRTALYGFDPPRSKEYHPGCCSCCFGRRKKSASVASAPEENRALRMGDFDDEEMNLALFPKRFGNSSFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVASSGAPLGYWFC >CDP08296 pep chromosome:AUK_PRJEB4211_v1:8:25780233:25783563:-1 gene:GSCOC_T00027086001 transcript:CDP08296 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPVGDPYWRCSVPPQPERGSSPKQIFVGYLSSEASMLMTPHLWSSNDGKGSSDYLGKDILQSHPGAYGIDVWHIHPETGLGGLTSGTSIRGYPSPLRDPSSLGQRPDVASHPRESNILFVDGLPTDCSRREVGHLFRPFIGFRELRVVHKEPRHAKWR >CDP13071 pep chromosome:AUK_PRJEB4211_v1:8:4012388:4013744:-1 gene:GSCOC_T00037839001 transcript:CDP13071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAMBA [Source:Projected from Arabidopsis thaliana (AT1G32310) UniProtKB/Swiss-Prot;Acc:Q9C613] MSNSSLSSSPARSSISTTAVAGSANAVVGGSSLSVEDYHFPADLISIQDRKDEALLVLKADLMAALNKEVKSLDEDSWMFEGPRSRINLISRPG >CDP07190 pep chromosome:AUK_PRJEB4211_v1:8:10422947:10426321:-1 gene:GSCOC_T00024356001 transcript:CDP07190 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTPTGKTIALEVESSDTIDNVKAKIQDKDGVPPDQQRLFLAGKQLEDGRTIADYDVQEESSRHLVLGLGGGMQIFVKTPTGKTITLEVESSDTIDNVKAKIQDKKGIPLADQRLIFAGKQLEDGRTLADYYIQKESTLQLVMKWRGGMEISVETLRGNTITLLLEGSATIENVKAEILSREGIPPKEQRLVFAGTHLEDGRTLEDYEIPHKSTLHLVFSTCVYVRVRAANEPSRVDL >CDP17745 pep chromosome:AUK_PRJEB4211_v1:8:12933831:12934841:-1 gene:GSCOC_T00003137001 transcript:CDP17745 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFFGLAIFLFLTLDSDFTSSPVSTAFEGVQITYGPVIKLMHERTKFRLHSHDVPYGSGSGQQSVTGFLNVDDSNSYWIVRPVPDTNAQQGDTIKGGTIIRLQHMRTRKWLHSHLLNVSLTMMPIGF >CDP12034 pep chromosome:AUK_PRJEB4211_v1:8:29707031:29714183:1 gene:GSCOC_T00035394001 transcript:CDP12034 gene_biotype:protein_coding transcript_biotype:protein_coding MTICAFCAFSVQLYSPRRPVVDIAEVFLWLMAVGTILCASYWSAWSAREVAVEQDQLLKDASDEVTSPKGLGVSNVVDISTASAVFFVVIASCFLILLYKLMSSWFLDLLVVLFCIGGVEGLQTCLVALLSRWFKRTGESFIKVPFFGAVSYLTLAVSPFCIAFAVIWAVYRNASFGWIGQDILGIALIITVIQIVRVPNLKVGTVLLSCAFLYDIFWVFVSKSLFHESVMIVVARGDRSGEDGIPMLLKIPRLFDPWGGFSIIGFGDILLPGLLIAFSLRYDCLAKKNLRAGYFLWAMFAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFIALGKMRGDLKILWTKGEPERVCPHVGLESNKE >CDP12476 pep chromosome:AUK_PRJEB4211_v1:8:16429543:16430836:1 gene:GSCOC_T00036067001 transcript:CDP12476 gene_biotype:protein_coding transcript_biotype:protein_coding MLEANAFKDTSLLLPVLGPEFIKDIKTVLASFDKQKIAWVRAKPAFIPGQQKCWHTVCANCHKNVDADIDWIITCPSCNESTSIQMRCRIGIKLADETGKLNCTIYSPEVEKLIPYTTIQLHDAYETGVALDMQLAESISKHTVVYFVRSFEVEYQSQQQKKNAVVKLYTLEALPQMITSINHEDPPNVQTQLMTPTIIEVKARESEDMITPLPAPTIEDKSAINTSATAAAPAKSSAKRSLNFTSKAANSISSVVDEASMLIEEDDNKQQSSITFELETSRYKQAETGSSKRRRVV >CDP10004 pep chromosome:AUK_PRJEB4211_v1:8:27326844:27331335:1 gene:GSCOC_T00030535001 transcript:CDP10004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVIFPADNMSKVKDKKKRLRSVAQHTGNTDEAYKVDQPNGKDELGEHEDNHAKRKLRNKKRKNNQIAERESIDRDKTFHETEDGLGEQNDRSDEVQENEKRKLKKKKNKTEKKARFSNMKSMVEDNSERQIDGLGEQNGRSNEVQENEKRKLKKKKNKKEKKAQFSNVNSMVEDNSEQQIGKSREIQNKDSRSSNLEENLIRKRDAEADEIYEISSGDEDYSKGMKKWIMEYHQRRPGLKVLQERIDDYITAHEAREEQERKEREALAAEDGWTVVTHHRGRKKTTDAETGVAVGSVAQAAVLDKMAKRKSKGVGLDFYRFQKREARKNEILLLQDKFEQDKRKIQQLRAARKFRPY >CDP13545 pep chromosome:AUK_PRJEB4211_v1:8:401887:405195:1 gene:GSCOC_T00038529001 transcript:CDP13545 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLNILGLCLWLFLTCQDSKKVVSQNITQGSLFIDGSASVGSTDENFICATLDWWPPEKCDYGSCSWGNASLLNLDLSNKVLLNAVKAFSPLKIRLGGTLQDKVVYQNADGPRSCNEFVRSMEMFGFTEGCLPMSRWDELNKFFTLAGAEVIFGLNALHGRTIGPGNNVIGPWNSSNAESLIRYTVSNNYTIYGWELGNELSGNGIGASVAADQYASDVIHLQNIVQDVYKDFQSKPLVLGPGGFFDAGWFSQFINLASNSLQAVTHHIYNLGPGSDDHLVDKILDPSNLDGGSQPFRDLQRVLKTSGASTKAWVGEAGGAYNSGRNLVTNTFAFSFWYLDQLGMASSYDTKAYCRQTLVGGNYGLLNTTTFVPNPDYYSALLWHRLMGRNVLATNLTAIKNLRAYAHCSKLSHGITVLLINLDAYATVQVGLSFTRNNLDSSMRKEYHLTAKHGNIHSQIVLLNGKILSVDSSGTIPPLEPRTVNPSDPITIAPFSIVFADIPNILVPACK >CDP11748 pep chromosome:AUK_PRJEB4211_v1:8:5955634:5957827:1 gene:GSCOC_T00034232001 transcript:CDP11748 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTIADVKPRISDNADRIKCWKFPDIAEADQLKTLRLPQEIWELQILRLLYTNSGLALLALGSNALHRLWKWQRSEWNLSGKSTASIIPQMWQPSSRALMSNDLSEAKPAEESPACIALSKNDSYVMSASGGKVSLFNMTTFKVMTTFMSPPPAATYLAFHPQDNNIIYNGIPSIAIGMEDSTIQIYNVRVDEVYFLVIPLDL >CDP20383 pep chromosome:AUK_PRJEB4211_v1:8:27117114:27118202:1 gene:GSCOC_T00012609001 transcript:CDP20383 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPVPLEENFNDNTTSGGIINEDGVAAKESAFIPTADTGTATTVVPSMNKNGKMNINNNSNKEEEEKKKKKRPLGFFRAALMLLRSDSKSKKKPPPAPEGVDPDDRIHSKTKWEKMVGSMRPLHLQDNRSLSPASPPRPGVESLESEDLIYNNSSAMMSIHQHQPSSPSPSTVSSGGTMSQYASASNLQELEQDYYQGNDDDDPDEVFDALCGDEMIDAKAEEFIAQFYQQMKLQQIN >CDP09829 pep chromosome:AUK_PRJEB4211_v1:8:28854940:28857145:1 gene:GSCOC_T00030302001 transcript:CDP09829 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYKMFMVGASAVLLLLIILGIIPKHHHQSFPPSLLEKPRLLDNYTMALNKALLFFDSQRSGVLPRDNNISWRGNSCTRDGLTTAHKNLVGGYYDAGDAVKFNFPASFAMTMLSWSVIEYRAQYEAAGELDHVKNIIKWGIDYFLKCFASESPIDHIVAQPIPNDHYCWMRPEDIDYPRPVSTCQSCSDLPAEMAAALASASLVFGDNVTYSEKLVHAAKSLFQFSMDHPGTYSILGSDAAKYYDSTNFHDEYVWGAAWMYYATGDPSYIEFATKKDNADFSGAFGRVTDYAGLSWDNKIAGALVLLSRLRIFLGRSYKEVLKVYHEQANLFMCSYLPLSSQFSRTRGGLILINQEDPHRLQYVVNAAFLAALYSDYLKVSETPGILCGSDFVASGILRNFSKNQVDYILGKNPQNMSYVVGYGIKYPLHVHHRGASIPHNGIKYSCTGGWKWRDTPKANPNTIVGALVAGPDENDGFKDNRRMMNYTQPRLAGNAGLVGALVALSGDGSIRINKSSMFSFVPAAKFPPPPPPPTPWIH >CDP18438 pep chromosome:AUK_PRJEB4211_v1:8:22109122:22110524:-1 gene:GSCOC_T00007256001 transcript:CDP18438 gene_biotype:protein_coding transcript_biotype:protein_coding MADATSSSPSRSPANAELALPRSDVESQLSSLLYDLSHRVQAAMEHMLKMINEIDQNSSDVMDDIKNCKDFALDRKRTLDEEKEHYQKAAYTVISMLNNQVISKGLILTALFKFLGLSSY >CDP13040 pep chromosome:AUK_PRJEB4211_v1:8:3571891:3577294:1 gene:GSCOC_T00037792001 transcript:CDP13040 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEAAAFSILSSSSSLPSTSPKCSLHFLKLPISTCALPFSSKRPLYPLPPLHSSRKPRFQICSTSTVEEVVTVEEKTEPTEEKAESAPQTNQKRKLFVLNLPWSFTVADIKNLFAECGTVTDVEIIKQKDGKNRGFAFVTMASGEEAQAAIKKFDSHELSGRIIRVELAKRFKKPSRSPPEVPSRGETCHKLYASNLAWKVRSSHLKEFFSAYSPVSARVVFDSPSGRSAGYGFISFATKEEAEAAISSLEGKELLGRPIKLKFSQKNDDKSENEVEETTKEQPADQ >CDP11681 pep chromosome:AUK_PRJEB4211_v1:8:4711828:4715474:-1 gene:GSCOC_T00034137001 transcript:CDP11681 gene_biotype:protein_coding transcript_biotype:protein_coding MQISGVFTDVAVVLPTSGGYNSKHLHPFSDSHKSSLSLSIPKGCPSYSFRALGIGSDQRKGSFAVRASESFSGDLVPIAPVQLESPVGQFLAQILQNHPHLLPAAIDQQLENLQSERDAENEKNPPSSQDLLLYKRIAEVKDKERRKAVEDIIYCLVVHKFVDNKISMIPKITSTSDPAGRVDFWPNQEQKLESVHSPEAFEMILSHLSLVLGERVVGPLDTIVQISKIKLGKLYAASIMYGYFLRRVDERYQLERSMNTLPEKPNKGQPFFRGPTPGKQLWAPESLIQIPPDDADDGEPMDLGGDGKSYRLRSYVMYLDAETLQRYATIRSKEAVSLIEKQTQALFGRPDIRIAEDGSVDASRDEVTSLTFSGLTMLVLEAVAFGSFLWDAEGYAESKYHFFKI >CDP09894 pep chromosome:AUK_PRJEB4211_v1:8:28364171:28368714:1 gene:GSCOC_T00030376001 transcript:CDP09894 gene_biotype:protein_coding transcript_biotype:protein_coding MAREVLKDQDVTFANRDVPVVVTAMEYGGRDIVFTPYGAEWRMLRKVCVRDMLGHANLDAVYSYRRQEIHNTIKYLYSRKDSPVNVGEVMFLNVLNVITNMLWGGTIQGKERTNIGAEFRQVVAEVTKLLGKPNVSDFFPWLAWLDLQGAKKQMKVVTSKLENIFDKIIDQRTRIDGQKGIGSSNGNTESKDFLQVLLRLKDAGDAKTPLTMDHVKALLMDMVVGGTETASSTVEFAMAEMMNKPEIMKKVQTELENVVGKNAIVEEFHIQKLPYLYAVMKEALRLHPVLPLMVNHSPSVSSVVANYRIPKGAHVFVNVWAIHRDPSIWQSPLEFLPERFLNGKGDYSGNDFNYLPFGSGRRICAGMAMAEKMVLFSLASLLHSFNWTLPAGEKLEISEKFGIVLKKKTPLIAIPTPRLTDPACYDTFLYNTSLLDILNMIMHTILAKCAWWLENSNKRDMLVQAALTVSIATIFLFWCMVAFIKSRRGKVLLPPGPRGLPVVGYLPFLGTNLHTEFAELAHQYGPIFKLQLGNKLCVVLSSPPLIREITRDQDVVFANRDPPIAAVAATYGGLDIAWSPYGAYWRNMRKMFVREMLSGRNLDACYDLRKSEVRKAVEYVSTKVGTVVDIGELIFLTEVKVIMSMLWGGTLDAEKQSKVGPEFRGAVEQIVGTIAKPNISDFFPILARFDIQGIEKQVKALLKKVDEIVDAVIDERIKMVPNNVEDPIRNEAEKDFIQILLELVKLENEGEKITLTQVKAIVMDIMVGGTDTTATMAEWVMTEVLHDREIMEKVQKELEDVIGINNTVEEIHLPKLRYLDAVVKETFRLHPALPLLVPKRPSQSCTVGGFTIPKDARVFVNAWQIHRDPELWDNPLEFKPERILATPSKWDYSGNNFQYIPFGSGRRICAGIPLAEKMLMYILASLLHSYDWKSTKSKEADLSEKFGIVMRKSTPLLAIPTKKLDKSGVPA >CDP07221 pep chromosome:AUK_PRJEB4211_v1:8:11098810:11110765:-1 gene:GSCOC_T00024404001 transcript:CDP07221 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESSDGKTATAPPPASSSAADSYIGSFISLTSKYEIRYEGVLYYLNPQDSTLGLKNVKSYGTEGRKKDGPQIPPSDKVYEYILFRGSDIKDLQVKSSPPAHVDEPIHNDPAIIQSQFATGPSSSAKPVPASSGSLTNYSPYMEAAAPNMRSYPGIMSSQPSGAQLVAHGPSQSPLNTSFSSYAMPMPLQGYGGATSGNGYAQEHQNLSATNSVLTLKNFVEAPPMQASTTIGSTNSPFSPPLVPSLIASNVTLPNTVSSLTPEQLSMQPMNLPSLPTNSALSFPPGMLNANTLNMSSVPSLVQNVHNNEATNIGMVVSDPGKNPAVSVSSAASSFGPLLKQSSILLTPDQFSQPRVAEIATTQSVFPVQKDTSALNSVSSNSLSSITPAATQAPLLPLQQPRQEFTEEFDFEAMNEKFKKDEVWGYLGKAKHRDNMESLHDDTIVCQTSGDQEGDGLATEADSKPAYNKDDFFDSISCNTTSRRGRNGQNRFSDRMKLDTETFGQFQQRNYPVYGGYGTGRGMNRNPYGWGRGYNPSGRGRGGYMR >CDP12071 pep chromosome:AUK_PRJEB4211_v1:8:30032112:30033782:1 gene:GSCOC_T00035448001 transcript:CDP12071 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKKVVVKLGIHDDKDKQKALKAVSSLSGVDSLSIDMKEKKLTVVGDVDPVDVVGKLRKSWHTEIVTVGPAKEQEKKKEEPKKEEKKDDGKKDEKKSESEQIAELLNRYRSYNPYLTQHYVVHSAEEDPNSCVIC >CDP09992 pep chromosome:AUK_PRJEB4211_v1:8:27493653:27494130:-1 gene:GSCOC_T00030517001 transcript:CDP09992 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKYGHKYDVNVTVCLGCFAGYFLHDNQGKKNSFYYIDRKSELIPRKCASFH >CDP12150 pep chromosome:AUK_PRJEB4211_v1:8:30642996:30648707:1 gene:GSCOC_T00035548001 transcript:CDP12150 gene_biotype:protein_coding transcript_biotype:protein_coding MENTVKLCFIAPLFLLLGILPLAFAGHDYSQALSKSILFFEAQRSGYLPRNQRVQWRGNSGLNDGKPSGVDLTGGYYDAGDNVKFGLPMAFTVTMMSWSIIEYGGQMAASGELSHAMDAIKWGTDYLIKAHPQPNVLYGEVGDGNTDHYCWQRPEDMTTSRAAYRIDPSNPGSDLAAETAAAMAAASIVFRRYNSAYSNELLNHAYQLFEFADKYRGKYDSSITVAQKYYRSVSGYADELLWAAAWLYKATNNQFYLNYLGNNGDALGGTGWAMTEFGWDVKYAGVQTLVAKFLMQGKGGRYTGEFQQYQQKAEAFMCSCMGKGNQNVQRTPGGLIFRQRWNNMQFVTSASFLLTVYSDYLASAGKSLKCASGYVSPSEMLSFAKSQVDYILGDNPRATSYMVGYGNNYPRQVHHRGSSIVSIKVDPSFVSCRGGYATWFSRKASDPNVLTGAIVGGPDAYDNFADQRDNYEQTEPATYNNAPILGVLARLHGGQGVYNQLLPVAQPKPVPHPKLTPTPASSSNPITVEQRMTDSWLNHGRTYHRYSTIVTNKSDKTVKNLKISISKLYGPLWGLTKFGDSYTFPAWTNSLPAGKSIEFVYIHSASPANVLVSSYTFA >CDP11738 pep chromosome:AUK_PRJEB4211_v1:8:5875398:5879028:1 gene:GSCOC_T00034216001 transcript:CDP11738 gene_biotype:protein_coding transcript_biotype:protein_coding MFCAYQSTASIIPQMWQPSSRALMSNDLSEAKPAEESSACIALSKNDSYVMSASGGMFSLFNMMAFKVMTTFMPPPPAATYLAFPPQDNKIIAIGMEDSTLQIYNVRVDEVKTKLKGHHKQITGLAFSQNLNVLLCIWNIDGWEKKKMKAIQSPPGHTSPLIGETKVQFHNDQCHLLVSHESQIAVYNTQLECSNSINSNGALDRYMWYPRDALSASISSAIYSCDGLLVYTGFLDGAIGIFDADSLRLCCRIAPSAYMSSSIVSSSSAFPMVIAAHPSDPSQFAFGMSDGAVHVIEPSDTEPKWGSLSSQDNGTLPSNPSTSALNSQPSETPPR >CDP13404 pep chromosome:AUK_PRJEB4211_v1:8:1909813:1916546:1 gene:GSCOC_T00038331001 transcript:CDP13404 gene_biotype:protein_coding transcript_biotype:protein_coding MESVIGLVNTLQKTCTLLGDYGDDRSLPTLWDSLPTIVVLGGQSSGKSSVLESFVGRDFLPRGSGIVTRRPLILQLYKIDPGKEDYAQFLHTGDKKFIDFSMVRKEIQEETDRVTGKAKQISALPINLSIYSPNVVNLTLVDLPGLTKVAVEGQPESIVQEIENMVRSYVEKPNTIILAITPANQDLATSDAIKIAREVDPAGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINRNLDMIAARHREREFFATSPEYGHLASRMGSEYLAKLLSKHLESVIKARIPSLVSLINKTADELESDLTHFGRPVSIDEGAQLYTILELCRAFDRVFKEHLEGGRPGGDRIYGVFDHQLPIALRKLPFDRHLSLQNVKKVVCQADGYQPHLIAPEQGYRRLIESSLNYFRGPAEASVDAIHFILKELVRKSIGETQELRRFPTLQAEIVAAANEALERFRDDSKKTVLRMVDMEASYLTVDFFRKLPQEAEKGGNPNVSAADRYSEGHFRRIGSNVSSYVGMVSETLKNMIPKAVVYCQVQEAKRTLLDHFYTQVGKKEGRQLAQLLDEDPVLMERRQQCSRKLELYKAARNEIDSVLWTR >CDP08173 pep chromosome:AUK_PRJEB4211_v1:8:24557745:24566533:1 gene:GSCOC_T00026920001 transcript:CDP08173 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSACKDGGSKVQLDNGKYVRYTPEQVEALERLYHECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSFFRQQSQNATLATTDNSCESVVTSGQPHLTPQRPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGIASRACGLVGLEPTRVAEILKDRPSWFRDCRAVDILNAMSTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVVCERSLNNTQNGPSMPPVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHIDLEPWSVPEVIRPLYESSTLLAQKTTMAALRQLRQISHEVSQPTVTGWGRRPAALRALSQRLSKGFNEAVNGFTDEGWSMLDSDGIDDVTVLVNSSPSKLTGVNFHYTNGFSSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYSAAAIKASPCSVPVSRAGNFGGQVILPLAHTIEHEEFMEVIRLENIGHYREDMIMPADIFLLQLCSGVDENAIGTCAELIFAPIDASFSDDAPLLPSGFRIIPLDSKVDSSSPNRTLDLASTLEVGPSGNRIAGECSRHSGSAKSVMTIAFQFAFEMHLQENVAAMARQYVRSIISSVQRVALALSPSHLGSHPGLRPPPGTPEAQTLARWICQSYRFFLGVELLKPVSEGSESILKTLWHHSDALMCCSLKPLPVFTFANQAGLDMLETTLVALQDITLEKIFDDNGRKTLFSELPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFMFVNWSFV >CDP09999 pep chromosome:AUK_PRJEB4211_v1:8:27367337:27370930:1 gene:GSCOC_T00030528001 transcript:CDP09999 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSQNGHNSRTCPNRGVKLFGVRLTDGLIRKSASMGNLSHYSSSGSGSGSATPQNGLAAHDSPGDTPDHPSAAAAAADGYASEDFVAGSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVPDESADATMVSRDFFSVNPPEAETQSNNELPAAAMEEEVESVDSANSIDVEAVPPKPDSSQYSYPVVYPTYVAPFFPVAFPMWSGYGTEPTRQESHEVVKPTAVHSKSPINVDELVGMSKLSLGDSLGDGRPPLSLKLADGSRQSAFHANPSSGTSGMNSSHSPIHAV >CDP07840 pep chromosome:AUK_PRJEB4211_v1:8:18550312:18556270:-1 gene:GSCOC_T00025290001 transcript:CDP07840 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKKSTQPITLFLLFILFLAIIINSCLSCPIKIVGAHSYDSRFPAGDADEEENEQCKAWLVQSIPTDMPHLPRVPGVLSSADVLRWLAGNSSETLDIIAQYWQLVAQPNDPRSGDYGYSKEEMQKFGANEGFEVYRELENAANRNIKIRLLQHSGVYPDYTDEPSNLASGRPNVKSVTLLLRDWWGSGVVHTKVWISDGQDVYIGSANNDWKSLTQVKELGIYLVGCPAIARKVSTYFANLWKLAHLNVSSYTKVAWDKQWQVRRKFPCWSHFLHPKVRCRTPLYDIVEIPHVIGYPMLSDPSMFHIPIETPGYNGSTLQTESSYLSFAPPELSFGRYQTDEQAWVDTIKSVRKGSTIRISTMDWLGQSQYTTNKVYWASLSSAISEIVFSKHAKVKILVAYWAHFINNTDQYLKSLLYSNNLCSSSKYNKCSGKIEIKYYIVPGYNLTGPAMTKGTATGNLYPGYTRVNHGKYAVSDVRAHISTSNLAWDYFYTTAGISFGTYNGAIVSQLQEIFEADWNSPYVIPVESMEEGHSCQS >CDP09756 pep chromosome:AUK_PRJEB4211_v1:8:29468219:29472034:-1 gene:GSCOC_T00030211001 transcript:CDP09756 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLYADRMSQPSRAVLIFCRVNGIDFDEVKVDISKRQQLTPEFAEINPMKKVPAIVDGRFKLFESHAILQYLASAFPGVADHWYPADLFKRAKINSVLDWHHSNLRRGAAPLVLNKALAPALGLPLNPKAAAEAEIILSASLAKIESFWLKGNGRFLLGSLQPSIADLSLVCEIMQLEVLDDKVRARILGPHKKVIKWIDDTRNATQPHFDEMHAILFKAKEKLLKMHSDAGSDQTESSRKPALPSKI >CDP13411 pep chromosome:AUK_PRJEB4211_v1:8:1838119:1844570:1 gene:GSCOC_T00038342001 transcript:CDP13411 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYLNFLKALVVNLWNLSDFSCKVTVPTYEALEAVCAIGSESTLAKCLASLIQKHLKEKDGSPSIQFITVGERGIVRIWNSKGAVCLFEQKSSDVVVSLDKDEVKRGFISAMMLPSDQGLISVTADQQFLLYTPVEDSEGSLKLILSKRLIGYNEEIADMKFLGEEEQFLAVSTSVEHVRVYDLASMSCAYVLAGHTDIVLCLDTCVLGTGRTLVVTGSKDNSVRVWDAESKCCIGVGIGHMGAVGAIAFSRKHRNFFVSGSSDRTLKVWSLDGLPDGAEDVPNLKAKAVVAAHDKDINSLAVAPNDSLVCSGSQDRTACIWRLPDLVSVVVLRGHKRGIWSVEFSPVDQCVITASGDKTIKIWAISDGSCLKTFEGHTSSVIRASFVTRGTQFVSCGADGLVKLWTVNTNECIATYDQHDDKIWALAIGKKTEMLATGGSDAVINLWHDSTAADKEESFRKEEEGVLRGQDLENAVMDADYIRAIQLAFELRRPHKLFELFGEIYRKGSADVQIEKALQCLNKEEFAQLLEYVREWNTKPKLCHVAQFVLFKIFSILSPTDIAEIRGIGELLEGLIPYSQRHFSRIDRLQRSTFLLDYTLTGMSVIEPETNVRDAREKDMEYSRNDEEQARYKVEGQEHEKLEEKASLKKRKSRKSRDVAYKKQRGMTDRGDSSIPSPA >CDP07217 pep chromosome:AUK_PRJEB4211_v1:8:11033945:11047048:-1 gene:GSCOC_T00024399001 transcript:CDP07217 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGGFGQSKEFLDLIKSIGEARSKAEEDRIVLHEIETLKRRITEPDIPKRKMKEYIIRLVYVEMLGHDASFGYIHAVKMTHDDNIHLKRTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNYLVVCAALNVVCKLINEETIPAVLPQVVELLGHQKEAVRKKAVMALHRFYQRAPSSVNHLISNFRKKLCDNDPGVMGATLCPLYDLITIDVNAYKDLVASFASILKQVAERRLPKSYDYHQMPAPFIQIKLLKILALLGSGDKKASEQMYTIIGDIMRKCDSTSNIGNAVLYECICCISSMHPNPKLLESAADAIAKFLKSDSHNLKYLGIDALGRLIKLSPEIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIDYMININDSHYKTEIASRCVELAEQFAPSNQWFIQTMNRVFEHAGDLVNPKVAHNLMRLIAEGFGEEDDTADSQLRSSAVESYLRIVVEPKLPSTFLQVICWVLGEYGTADGKYSASYITGKLCDVAEAYSTDDTVKAYAISALMKIYSFEIAAGRKVDVLPECQSFIEELLASHSTDLQQRAYELQAILGLDANVATNIMPMDASCEDIEIDRSLSFVNSYVQQSIEKGAQPYIPESERSGMTDVSSFRSQELHEVSSHALRFEAYELPKPVMPSRVSPIEQSSSNELVPAPEPSYHAEMHQVASSVPSVSDTGSLELKLKLDGVQRKWGRPTYSSAAPSTSNADIPKIQNGAPQLDAVSSSSSKAVSYDSRRQQVEISAEKQKLAASLFGGTSKSHKRQSSGSQKVPKTNIPAAEKSHVAKNATSDTAVLERTPQPPPDLLDLDESTVSSSAQSLDPFKQLEGLLDLNQDTSTLTTSDASASGAPDVMSLYGETTLNVQSGGVPNLLPAGRDEANLLSGLAGTPNRDGHGENTVTNPTQQLNKGPNAKESLEKDALVRQLGVTPTGQNPNLFRDLLG >CDP16189 pep chromosome:AUK_PRJEB4211_v1:8:24003057:24003830:-1 gene:GSCOC_T00017280001 transcript:CDP16189 gene_biotype:protein_coding transcript_biotype:protein_coding MMENCADAERESMITKPLLNDDHDGFSGKGGFRTMPFILANESLAQVASYGLQPNMILYLTREYHLNMATGSNILFLWSAATHFMPLLGAIVADSFLGRFRMILFGCVISLLVKSHLHTSVFSVVNIYFDYI >CDP08181 pep chromosome:AUK_PRJEB4211_v1:8:24645712:24648081:1 gene:GSCOC_T00026933001 transcript:CDP08181 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGRYADFARQLNSYNFGVYAMDWIGHGGSDGLHGYVPSLDYVVADTGAFLEKINRGHPGIPCFLFGHSTGGAVVLKATSYPQIEMMLEGIILTSPALRVTPAHPIVSAVAPLFSLVAPRYQFKGSHKRGIPVSRDPAALVAKYSDPLVYTGPMRVRTGHEILRISSYLTRNFKSVTVPFFVLHGTADRVTDPLASQDLYNAAASEFKDIKLYDGFLHDLLFEPEREEIGQDIIDWMEKKLSLGSLKM >CDP07195 pep chromosome:AUK_PRJEB4211_v1:8:10470183:10474006:-1 gene:GSCOC_T00024363001 transcript:CDP07195 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSAFLGHVGAKTSCGYGVATGGPLAWGLCYNKEMSPSSIYCDDYFKLTYPCAPGASYHGRGALPLYWNYNYGPVGEGLKQDLLNHPEYIEQNATLAFQAAIYQWMKPQKKGLPSAHDAFVGNWKPNKNDTEAKRVPGFGTTMNLLYGDSVCGQGDIDSMNVIISHYLSYLDLLGVGREEAGPNEVLSCAEQKVFNPSYTPSTSS >CDP13068 pep chromosome:AUK_PRJEB4211_v1:8:3997311:3999873:-1 gene:GSCOC_T00037836001 transcript:CDP13068 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAIDADGDALDLVCQLDNVQGIVDALTSVRWKRHQDAVVELSEHGIVLIVEESSCLQAKVYLQKELFVKYEYSAEGRPRFGVSLGLFVDCLNTFSVPGNSNSIEIRYPGPDMQLLLKSVDSLDACIYAEVRTRIPDTISWDYNFEPAGSTPLHFTVKSAALKEAIDDLEWPGSSIQITLQPNPPCVTFRGEGHGDLQIDFMYYANTDLLIAFSCDRQISYRYKYKFLRATTANIPGSVIRDNRGSKLTIGRGGMLKVQHLVSVAKPPVSHSHVDYAGYQQPSRIAYIEFFVKPEVDDNTVSD >CDP07902 pep chromosome:AUK_PRJEB4211_v1:8:20423138:20427024:1 gene:GSCOC_T00025396001 transcript:CDP07902 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQAAVSFRRSWFGYRRHRPQLLPLHCRRRAAGYPLRPVPFCYRSVKLEDTIGEGTHFLIPWLQKPFIFDIRTRPHTTPITICPIFIFIIRIFQASEPVIGAPISIKLQPWLP >CDP13021 pep chromosome:AUK_PRJEB4211_v1:8:3272322:3275197:1 gene:GSCOC_T00037758001 transcript:CDP13021 gene_biotype:protein_coding transcript_biotype:protein_coding MQWFSASLNLYDTSSYSYDYVFMLVKVCDICGDAGQEEFLAVCSKCNVGAEHVYCMCPMLETVPYSNWMCEECMLSEDKDKMTKTKFEMLVTCPKYESLNPSNQGCQMSNTYDFKELHDTNTKRLDADKSSGDEASSLLSAKRTSGNVICTSMTKRLALGPESRPSCISGDSSKARAPGFEVKPSHKLSLSNDYSSQTKSIQGKSARNLPKTKLFQTATGSLSKSRSFNDSGKRPKVQRLDVIPENRKFSIKTHYKKKGSTIRTMRKTLPLNDASCGSSKAVGPKIKRIPAKFSDNGSLKRLRCMNEHISVKMTSNTRFKRLQVGSTKDGTFVSASSHDKKFESHGKCTPPDVCGTKYLNINPLQISDDSVIAGKGKTLCLPIVDMVEDVRFASVSDASQEPKQKGETSHRLLESTNPCSWSDAIGEKKLTVLRTSSSVTEKAPQTSPFTSANKCLSNGSGREDYGRTGSLDNASYCHLLDCSSLSILDATRVKDMDGSSQIDAGPSYCNQIDAYRALAVPLIDYIWKGECEIQNSSRLPRILYRIQAHLSTMSSSKIPEAVKNFSNKILLEEVSRLTAWPIQFQEYYPQDDSIGLYIFAEDIESYINYKSLVQYMVDRDIALKGNFDRIELLIFSSHLLPESSHYWNGLLYLWGVFKERKVNCST >CDP12962 pep chromosome:AUK_PRJEB4211_v1:8:2609032:2611262:1 gene:GSCOC_T00037674001 transcript:CDP12962 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVQISFFVLVLTIFRVRNSRCDSPLVLDYYKETCPHLEEMVQRIVEIAVLEDPRMAASLLRLHFHDCFVMGCDASVLLDDFGNVISEKEAGPNLNSLRGFEVIDEIKYVVEESCPATVSCADIITIAARDSVVLRGGPGWDVLLGRRDSLTASFNGANQFIPAPNSTLDSLIANFQQQGLDTGDLVALSGSHTLGKARCLSFRQRIYDYNSEEKYGYHERDEEYLSALRSLCPRSGRDNTLAPLDLKTPARFDNHYFINIIEGRGLLISDNVLVDQGLQWEIRKHVFAYASNQEYFFDSFVNSMIKMGNVNVLTGNKGEIRKNCRFVNSYTI >CDP18786 pep chromosome:AUK_PRJEB4211_v1:8:77930:105724:1 gene:GSCOC_T00005561001 transcript:CDP18786 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVERLRVRTDRKPVYNLDDSDDEATISKSKPSEEKFERTVRPDAKADSCQACGESGNLLLCETCTYAYHPKCLLPPLKAPLPSSWRCPECVSPLNDIDKILDCEMRPTVADESDATKLGSNQIFVKQYLVKWKGLSYLHCTWVPEREFVRAYKALPRLRTKVNNFHRQISSMNSSDDDYVAVRPDWTTVDRILACRDGDDGKEYLVKWKELPYDECYWESESDIASFQQEIERFNKIKSRRKGSLAKQKSSSHDVTDAKKKQKEFQQYESSPVFLSGGSLHPYQLEGLNFLRFAWSKQTHVILADEMGLGKTIQSIAFLASLFEESVFPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSSQARAVIREYEFYFPKNLKKNKKKKSAQTVNESKQDRIKFDVLLTSYEMINMDTITLKPIKWECMIVDEGHRLKNKDSKLFSSLKQFSTRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDISQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRVELSSMQKEYYKAILTRNYQILTRKGGAQISLINVVMELRKLCCHPFMLEGVEPEDSNEFNKQLLESSGKMQLLDKMMVKLKKQGHRVLIYSQFQHVLDLLEDYCNYRKWQYERIDGKVGGAERQVRIDRFNLKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIFRLIARGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADDNDETGKSRQIHYDESAIDRLLDREQVGNEETTMDDEEEDGFLKAFKVANFEYIDEAEAGVEEEAPGPSTENKATVNNSERASYWEDLLKDRYEVHKVEEFNSMGKGKRSRKQMVSVEEDDLAGLEDVSSDGEDDNYEAELTDGETAPAGAPTVRRPYRKKTRVDPSEPLPLMEGEGRSFRVLGFNQNQRAAFVQILMRFGVGDFDWAEFTTRLKQKSYEEIKDYGTLFLSHIAEDITDSPTFSDGVPKEGLRIQDVLVRIAVLLLVRDKVKASRREASVALFVDDIISRFPGLKGGRLWKEEHDLLLLRAVLKHGYGRWQAIVDDKDLKIQEVICKELNLPFINIPVAGAPQSQLAASSAPQTQFPAPEVSQVSVQEAEVQAQNGVNATNAETLTNQVKETGTGNDNGAGVAHGMSDSGSQPQFYQDSSILYHFREMQRRQVEFIKKRVLLLEKALNAEYQKEIFGDEKSNEMHNDVLETEPKVKDIPASKFEESYNQLVYYLPQIEIISPEGISAAAHDVKPNHLDLARLYNEISNVLSENARDSLDAYLSNKSASVKLRDNLLILEAFAHEIDEILGSVKHDSPSIDKRTVKDDQQSEDLQPNSLSALREDDIACGAAVETELKFSAMEVEDQVEANLDREKVNSPGYAPAAGSFSAQTKAECLSNGFAELEMEENQDDRDPSSTAGTADIEMKDKQEDADLYTNTPNGIC >CDP12977 pep chromosome:AUK_PRJEB4211_v1:8:2772598:2775483:-1 gene:GSCOC_T00037693001 transcript:CDP12977 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLRQLSTFLHEEGRLLGGLRQEVQFIMDELGQMRAFLREAEAKEEDAQPTLQQWIKQVRDAAYDTEDILDEFVARFARRPATGFYGSVRRIFSSIKNLRARHRVASEIQSIKSRIKSISEAHTRYKSDYGISAQASNSLSALLEGDDHQLKVVSVVGMGGLGKTTLVKKVHEDLEVRRHFPVRAWVTSSHGNRVMLTTRKADVASASCAESLGYIHRMEPLSFEDSWTLFCNKIFKGNSCPGHLTDTCLLYTSIYPEDCEIACYRLTNLWIAESYCFDHLRSFVTFGSTDLLLSKPLLSDMFLLKHLNLCGTRVARVPKAIGKLQHLEYLDLGDTRVRELPVEILKLQKLRVLSVYQLVDSSDDDYGFKEIGKLTQLRELGITKLRREDGKELCSSLANLTSLRELIVQSIGKGLCFKAGGFLNLKLMQLKRMEGLRWMRVEEGALPRLHQLFLQQLPLLEELPMGIQHLIQLQRLILAEMSSEMIERVENQKEESEDYRRIAHIPEIVIGSYTDDGEWRQRQLWEKKKKKT >CDP16170 pep chromosome:AUK_PRJEB4211_v1:8:23706516:23714989:1 gene:GSCOC_T00017255001 transcript:CDP16170 gene_biotype:protein_coding transcript_biotype:protein_coding MPENHSIQNLFCFSGVHAHIATRLEEKVKLALEFSHHYLNLDLFCSWKQAKDVVKGIKKRLGSKNPKVQLLALTLLETIVKNCGDIVHMHVAEKDLLHEMVKIVKKKPDFHVKEKILILIDTWQEAFGGPRARYPQYFAAYQELLRIGAVFPQRSERSAPVFTPLQSQPLSSYPQNLRNPESRQEAESSAEAEFPTLSLTEIQNARGIMDVLAEMLNALDPANKEGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLSLNDDLQRVLAKHEAISSGSSVQTEKPKPEPVKSLVDVDSPLIDTGDSKRTDQGSSSNASLGTQLLLPAPPTANGPSTTPTKASPKMDLLSGDDFSSPTAENSMAIVPVTFGEPQPVTPVSQQNALALVDMFSQGSNAQPMGSVGQTYPTPPQVQQQQNFPTPQSPIYPNGSAPGTMFPQYEQSLYAQGSNSAWNGAVAQQQQPSLPAYGAQTGGSFPPPPWEAQPSDSNQLPGSHSSQQITQVVATQSQPLPGGTFPSQPIPNDQYVGMYIQPIGSAQPAAINHQAIQSNQYVGMQQPMQGGLSMGMYPQPMQSGQMAYMYPQQMYGSQMGGYGYGYGQQQSAQYLDQRMSGLSVRDDSVLGNSPYPGSTPSYVHVPSGKPSKPEDKLFGDLVDMSKFKSPKAASGRAGSM >CDP13537 pep chromosome:AUK_PRJEB4211_v1:8:465299:475410:-1 gene:GSCOC_T00038519001 transcript:CDP13537 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAQSSLSFDKPMLAAEDPASSRDATCATAAAETVVVERRGEYAAVCKWAIANFPRVKARALWSKYFEVGGYDCRLLIYPKGDSQALPGYISIYLQILDPRNTTSSKWDCFASYRLSVDHPSDPTKSIHRDSWHRFSSKKKSHGWCDFSPSNSIFEPKLGFLFNNDCLLVTADILILHESISFSRDNNDMQSNPSSNLAAGVVNGDVLSGKFTWKVHNFSLFKEMIKTQKIMSPVFPAGECNLRISVYQSSVNGVDYLSMCLESKDTEKSLGVSDRSCWCLFRMSVLNQKPGFNHMHRDSYGRFAADNKSGDNTSLGWNDYMKMSDFIGTESGYLVDDMAVFSTSFHVIKEQNNFSKNPGKDAGKNGNIVSKKNDGHYGKFSWKIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTNNDWSCFVSHRLSVLNQKSDEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLEKDVVSFSAEVLILKETSVIQDLTDQDCESGNTLPLSEKVGRRSSFTWKVENFMSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSIGTDPEKNFWVRYRMAIVNQKNPSKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRETVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELIDSEDSEGISGDDEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLIDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKISGCNDGKKLNKNDESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEESTADDSSEISSKPSLDGSGSTTPLESDRGNGAVESAQLPLHDRFDSALDESMNASAVQSSDVDGNFVLGKPVPGQPICPPETSAGGFSENPTMRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPHSAQKIALVLDKAPKHLQPDLVALVPKLVEHSEHPLAACALLDRLRKPDAETSLRLPVFSALSQLECSSEVWERVLFQSFGLLADSNDEPLAATVDFIFKAALHCQHLPQAVRAVRVRLKNLGTEVSPCVLDYLSRTVNSCADIAEAIMRDIDCSDDLDDISAMPSGMFLFGESATSERMHAVNQQAIRANYYFSDIYILIEMLSIPCLAVEASQTFERAVARGAIVAQSMAMVLERRLSRRLNSASQYVAENFGHSDITVEGETIEQLRAQQDDFTSVIGLAETLALSKDPCIKGFVKMLYTILFKWYTDEPYRLRMLKRLVDRATSSTEGSREIDLDLEILVILVCEEQEIVRPVLSMMREVAELANVDRAALWHQLCATEDEILRLREEKKAELASVAKEKAHMSQKLSESEAANNRLKSELKTEVDRFARERKELSEQIQEVESQLEWLRSERDDEIAKLSAEKKVLQDRLHDAESQLSQLRSRKRDELKRVMKEKNALAERLKNAEAARKRFDEELKRYATENVTREEIRQSLEDEIRRLTQTVGQTEGEKREKEEQVARCETYIDGMESKLQACEQYIHHLEASLQEEMSRHAPLYGVGLEALSMKELETLSRIHEDGLRQIHTIQQRKGSPAGSPLVSPHSLPHNNGLYPATPPPMAVGLPPSLVPNGVGIHSNGHVNGAVGPWFNHS >CDP12044 pep chromosome:AUK_PRJEB4211_v1:8:29792884:29795728:-1 gene:GSCOC_T00035409001 transcript:CDP12044 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVEFHGPKDSPYHGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRNTYEQRVKEYCEKYAKPEDAGAAPAEKSSDEELSEDEYPSSDDEEVAGKADP >CDP17887 pep chromosome:AUK_PRJEB4211_v1:8:21167052:21173651:1 gene:GSCOC_T00011873001 transcript:CDP17887 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQHLLSTEIVNRGIESSGPDAGSLTFSVRVRRRLPDFVQSVNLKYVKLGYHYLINHGIYLATVPLLVLVFSAELGSLSREELWRKLWDSTTGYDLATVVASLGVLVFTLSVFFLSKPRPIYLLDFACYRPHDDLKVTKEQFIEMARKSGKFNEASLEFQKRIVESSGIGDETYVPKSIMSPENTATMKEGRAEASMVMFGALDELFEKTKIRPKDVGILVVNCSIFNPTPSLSAMIINHYKMRGNILSFNLGGMGCSAGIISLDLARDMLHSNPNSYAVVVSTEMVGFNWYPGKERSMMIPNCFFRMGCSAVLLSNRRRDYARAKYRLEHIVRTHKGADDRSFRSVYQEEDDQRFKGLKISKNLVEIGADALKTNITTLGPLVLPFSEQLYFFATLIWRHLFGSGRGSQPEKPYIPDYKLAFEHFCVHAASKTVLDELQRNLELSEKNMETSRATLHRFGNTSSSSIWYELAYLEAKERIKRGDRVWQISFGSGFKCNSAVWRSMRRVWSPSRNPWLDCIDRYPPEAL >CDP12069 pep chromosome:AUK_PRJEB4211_v1:8:30011919:30013535:1 gene:GSCOC_T00035446001 transcript:CDP12069 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDNQGSFLNRISIRRNQVADPNLELEDLELFQKHVADRLSDLLPVPTPTPCPAPAHAPAPVSSTPKNHANNNDTNTDQPSSTSVDSPSADHGPPPPPPMLSISWFRKLLDVFLCCEAEFKAVLIMGRDPSQFCKPPLDKLIPDLLDRAIKALDVCNAVTHGIELLRHWQSLAQIAVTALEQRPIGEGQVRRAKRALSTLLTSMAFDDKESNNSSSHKATERAWSFGRRGGGGAAANYGHNPKDKPAGTFRSLSWSVAKSWSSAKQIQAMSSNLVPPRGAEAAGLALPVYIMSAVLVFVMWSLVAAVPCQERTGLATHLPVPRQLVWAQPLIGLQEKIGEEWKKKEKKGTAGLLEEMQRMEKVALSLVEFADSFQFPLEDAKVEEVAVQVAEMADICLKMEEGLVPLQQQVREVFHRIVRSRAEVLDVIDQVGKMSTPVPC >CDP16168 pep chromosome:AUK_PRJEB4211_v1:8:23687228:23690235:-1 gene:GSCOC_T00017253001 transcript:CDP16168 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGDALRQAFMPKYEYQSLREEDKAWHKLQRPLALFLLGLISVVILISTIISLHIVFPIDPLNRPFCNDLRIQPLPINVTSPAAVHGGSGGGGDSDLFPGAFYLTDQETVDYYWMVVFVPSAFLFGASVVYLLAGIIVAYTAPVRHGCLRVVENNYCASRRGGVRCLSILNLAFAIIFGLLALFLGSTLLTLGSRCSVPLFWCYETASWGLVILYGGTAFFLRRKAAAVLDESNFTGQNLGVEMLEAHPLEVTPEVERRVNEGFKAWMGPSLLSSDEEDEPDDYQEVPNLSRTNSARQRV >CDP09747 pep chromosome:AUK_PRJEB4211_v1:8:29523465:29526627:1 gene:GSCOC_T00030200001 transcript:CDP09747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutathione peroxidase 8 [Source:Projected from Arabidopsis thaliana (AT1G63460) UniProtKB/Swiss-Prot;Acc:Q8LBU2] MASLSEKAPQSVYDFTVKDAKGNDVDLSIYAGKVLLIVNVASKCGFTNSNYTELNELYQKYKDQGLEILGFPCNQFGQQEPGSNDEIVDFVCTRFKSEFPIFDKIEVNGENSAPIYKFLKKGKWGLIGDNIQWNFAKFLVDKNGQAVDRYYPTNSPLTIERDIKKLLGVS >CDP12093 pep chromosome:AUK_PRJEB4211_v1:8:30181904:30184805:-1 gene:GSCOC_T00035472001 transcript:CDP12093 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQKSPAVVRILQHHLRHFSLAPLFQERVEAPSTPPLEYLPGFPKPDPKYAETIHAIPRAKSGKIISAKERKVGRVPSIVFEQEDGQHGGNKRLISVQNNQIKKLVNQMGRSFFLSRVYDLEVLPEFESEEVIEKVRVLPRLLHLHSGTDAVLNVTFIRAPSSALLKVDVPLVFRGEDVCPGLKQGAYLNIIKRTVKYLCPPDVIPPYIDVDLSELEVGQKLSMGDLKVHPAVKLVLPENEPVCKIVGARVSDQRKSK >CDP07826 pep chromosome:AUK_PRJEB4211_v1:8:17995859:18000974:-1 gene:GSCOC_T00025230001 transcript:CDP07826 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIASSSLSCSSSSTPKWTYDVFLSFRGEDVRKNFVDFLYSSLQQKGIYTFKDDEKLERGRSISSALLQAIKESRIAVIIFSENYAASSWCLDELAEIIYCNQALGQTILPVFYYVDPSVVRKQKGSFGQAFVKHEDEIEDKERIRRWRAALAEAASISGWDVPSTADGHESKCIQQIVEDVMGKLKNFVEDDEENHVGIHSRVQKINAFLNLVADEVRSVGIWGMSGIGKTTLARAVFDRISTHFEGAIFLHEVREHSKRYGLENLQEKILSEILRIKELRINNVFEGSNMIKKRLCYKKVLIVLDDIDHLDQLEALAGKHDWFGKGSRIIITTKDKHLLVKHDMDRMYKVEVLDKYEAVQLFSWNAFKKNCPAKDYEELSLQIVHYAGGLPLALKILGSFLYGRDMTEWRSEVERLKKIPEDDIMKKLTVSFDGLQRIEKEIFLDIACFFKGKKKENIARVLDSFNFYPHIGIKVLIEKSLITVSKGRILMHPLIQEMGWQIVREKAPEEPGKHSRLWVDEDICDVLARDRVTENVEGLWLHLSTPKYVAIKNEAFEKMIKLRLLKIHNAYVSRGPNHLPNEIRWLNWHGYPSKSLPDSFQAEKLVGIKLQNSRIIELWKGIKFLNKLKFINLSHSQKLLRTPDFTGIPSLERLVLENCSSLIEIHSPAGYLKSLKLLNLRNCTSLRRLPKQILLESLEVIILSGCSKVDEFPEIMGPMNHLRAVYWEATAVKELPPSIENLTALVVLNLSYCKSLASLPSSISKLKCLKALILSGCSKLGRLPEELGYIESLEEIYGDETAISQPPSSIILLKNLRTLSFRGCQAMALSWRARLSSLVLHARGQDSRGFVFPSVSGLNSLAILDLSDCSMLDEGLPCDLGSLSSLQELNLGRNNFSSISASSIRNLSRLRILELIGCKRLQILPELPRSIEQVYADDCTILQGETNLLTKSQKLNTVSFTNCFQMLQGLHTSKMVYATWKNMLKELPVLHTEFSICSPGQNIPAWFTYQDTGHSISVQLPPNWYCNEFMGMAICIVFGLKTPVMVVRSVNRENTAVIPVQYRYKGRDKLSPPAVISVGAIAIETNVDSEHTCLAYLPCRKLSQIPQNWRSNDWTCIELSSYTPRFMEFKAWGVRLVYRKDVGEIDNVHRDFQLIE >CDP07831 pep chromosome:AUK_PRJEB4211_v1:8:18058142:18058803:1 gene:GSCOC_T00025237001 transcript:CDP07831 gene_biotype:protein_coding transcript_biotype:protein_coding MAINKIGALAILFCGVMLLGANVEVIAVRPGPGPVRPCPLICTFVEYVTCDGEKKYPGCTNCCFDEGCTLHFEDGTSQYCTWPPKQQLGLANIMLNQH >CDP11703 pep chromosome:AUK_PRJEB4211_v1:8:5096798:5097592:1 gene:GSCOC_T00034163001 transcript:CDP11703 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGTTWLKALAFSIVNRNNHSVDESPLLFSNPHYLVPFLEMYLYKDGNIPDIDAMPCPRILATHLPYQFLPSTILDCSNRRIIYLRRNPLDVFTSWLHFVLQNGFASRPLASDHCLGYWNASLKDPQKVLFLKYEDLKKDINSSVKKIADFLGHPFSAEEEEAGLVEEIATLCSFENLMNLNCNKEGEIQTVFRAKHNSFFRKGEVGDWVNLVPPSMANRLEKLMQEKFGESGLTLDIHGNSV >CDP11761 pep chromosome:AUK_PRJEB4211_v1:8:6230972:6233486:-1 gene:GSCOC_T00034255001 transcript:CDP11761 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKVLVVGGTGYMGKRIVKACLAQGHTTYVLQRPEIGLDIDKLQMLLSFKEQGAHLVEGSFSDHQSLVDAVKLVDVVICTMSGVHFRSHNILMQLRLVEAIKEAGTVKRSRFQTFEKVPDLFPKAAKTHSVSRKVRCRRKASKCGRKSCWRRFLPSEFGMDPARMGDALEPGRVSFDEKMIVRKAIEGAKIPHTYICGCCFAGYFVGNLSQLGTLVPPKEKVNIYGNGNMKVAYMDEDDIATYTIKTIDDPRALDKTVYVRPPENILTQRQLIEKWENLRGRKLEKCSIPAKDFLASMKDMDYAGQVGVGHFYHVFYEGCLTNFEAGKDGEEASELYPEVEYTRMESYLKRYV >CDP09817 pep chromosome:AUK_PRJEB4211_v1:8:28980706:28984369:1 gene:GSCOC_T00030288001 transcript:CDP09817 gene_biotype:protein_coding transcript_biotype:protein_coding MELIESDNTSRKSVTRSCNCYGAVNLTEPILDTNHASNFTDRYVLGDQLGWGKFGIIRACSDKLTGEVLACKSIAKERLVTQDDVRSVKLEIEIMTKLSGHPNVVDLKAVYEEEDYVHLLMELCAGGELFHQIEKHGRFSEHEARTLFKQLMQVVTFCHENGIIHRDLKPENILLVSKSLLSPIKLADFGLATYIRPRQKLHGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFWGKTKSEIFDAVRAADLQFHPFTWHRISEAAKDLIVGMLCLDPSKRFTAADVLAHSWINDLPEEPEELNMTDTASFSVLEVDNYSFSTPSIVRNQDYSFGDESPAIDCSKVENSPAFTCRSSFSSFLIDQSPCSTSGGYSFDGFSSPLSSMPSFTFFSPSSVIDGSINSSLKAKASMIDEGSGLVQLSFSPDPSQPIRHKLSEMEHRTEFRRGGVANGSKISSKRNHTIGLGELDQLDLMASESVIRWASCTHLPSAAALRSSLVC >CDP16182 pep chromosome:AUK_PRJEB4211_v1:8:23872921:23876980:1 gene:GSCOC_T00017270001 transcript:CDP16182 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLLFLSAFVFFALAPEATNGALHGKTQALINRANKFGPYLGLVIPNLFEMNPLLQHPSFVPSELVIDFAGRRFRFGTIEKKPVILVMTGLGMLNAGVTTQLLLSLFEIEGVVHYGIAGNANPSLHIGDVTIPQFWSHSALWNWQRYGDGPSNELPLEENGDYTRDIGYIRFADYTTSNVTSCSSPFDNLLNNVWYQPEEVFPIDGDPEERQHAFWVPVDSHYFKISRLLEGLELEGCVNSTTCLDTTPKVTRVERGTSASIYLDNAAYRSFIYNKFNVSPVEMESAAVALVCHQQRVPFIVIRALSDLAGGGTAESNEAATFTALAADNSVKVVVEFIKILLSFPWLLREVRS >CDP12211 pep chromosome:AUK_PRJEB4211_v1:8:31100398:31104535:1 gene:GSCOC_T00035626001 transcript:CDP12211 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRAGSFLSAPNAKLKLEVSENDIILEVSPDKRYIRYNEILGRGAFKIVYKGFDEVDGIEIAWNQVSIDDALQSSENLRRLYSEVHLLRTLKHENIMKLYTSWVDDENKTINMITELFTSGSLRQYRKKHRNVELKAIKNWARQILQGLHYLHNHDPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATMMQQRTVRSVIGTPEFMAPELYEEEYNQLVDIYSFGMCLLELITCEYPYSECKNPAQIYKKVTSGIKPAALGNVKDPQAKQLIEKCLLPAGKRLNAAELLKDRFLSSESSKELLCNLVQPLSITSKATNFCKADSLSMDIEPTYRNVSYDACGESTIETHNVMLHRYNSRNEFRLQGEIRDCHSISLTLRIADFHGRVRNIHFMFYLDADTAISIAGEMVEQLGLLSDDVFLIAELIDCLILEMVPDWKPSFESLAGVGDLNKKSGICQNGHLSTSYSIERGSKSMPCHELIEPHAFTEFIFVDRHDAEESVEKLSEHDQGFTNSLCSSGLGIVAGCKKYGAEVYDGNISESVMSECTKKSGLSIVGSSSAISNDMCFSFSSALLNDKDSEKDQCLDLNLELNAIAVQYDQCCHELLRMREEAMANAKKRWATSKRMPVS >CDP08356 pep chromosome:AUK_PRJEB4211_v1:8:26338185:26341393:1 gene:GSCOC_T00027161001 transcript:CDP08356 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTSAASPCLLLKSTSLFSIRVPADFKKEDILSVGAGIAGLATAITLKRLGIRSKVLVQAESLRSGGTSLIQRRKHVTVRTIQPVRSSSPAPATVVSEEKDNVLSLDVSDIKAISRSWLWRGHKINYLHYQAGDGKTSPAAPPLLLVHGFGASVAHWRKNIAILSRSYTVYAIDLLGFGASDKPTGFAYSTEGWSQLILDFVNEIIQRPTVLIGNSVGSLACLIAAAESSSRSLIRGLVLLNCAGGMNNKAIVDDWRIKLLLPLLWFFDFLLNQRRIAAFLFDKVKQRDNIRNILTSIYGNKESVDDDLVEIIREPADDEGALDAFVSVVTGPPGPSPVQLIPKLTLPILVLWGDEDPFTPIDGPVGKYFSSLPGQVPNVSLFVLEGVGHCPHDDRPELVHAKLLPWLAQLPALQDNANNLSRAN >CDP08317 pep chromosome:AUK_PRJEB4211_v1:8:25937500:25940616:-1 gene:GSCOC_T00027113001 transcript:CDP08317 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCRQKAILLRPFLIKSYWSVIGIASNSSLKSVFHRYYASSNKADEDGLLNACDNPTKSLAFKDSSTCIKPAKLGWEGSSHAILLEKLENVLKDHQVDEAWETYKDFKRLYGFPEDSIMRQLITEFSYSLDSTWLCRAFDIVLSMSKEKSALPRLDVLTKLCLSLARAQMPSPTSVILRLMIQKNCFPPLDILGSVFLHMVKTEMGAILAANILTEIRDLYEQLNESKSNFAKMIKPDTMLFNLILDACIRYQSSLKGQQIIELMAEVGVVADAHTIVIIAQIYEMNCMRDELKKYKRHIDVVSASLVSHYRQFYDSLLSLHFIFNDIDAASALIKDMYQHGESNPAREGRKESCTIPIGSPNLKMGLKLHILPELLQKDTVIKVEGKPKLVLSKNGKLVLSSNAVTKLMREYKRCERINELSTLLNYIQSKLGSSDSHNLCHDVIDACIHLGWLQTAHDILDDLESEGSSLGQGSYESLLTAYYNRKMFEEGDALVKRIRKAGMLTNLYNEMPIPRHGLELEDESNLNFEKVRVAGKSDLVEAIIHDIKKEAKSIPPSTVIHELNSSIYFFMKAKMIGDAMKTYRRMQEMKIQPTVLTFAYLIGGYSSLGMYREITILWGDIKRNLEKSNSMVHRDLYESLLLNFIRGGYFERVLEVIAFMTQNRMYLDKWVCKCEFLKFHKDLYRSLKASNARNEVQMKRLEHVRAFRNWIRIN >CDP16159 pep chromosome:AUK_PRJEB4211_v1:8:23600672:23606818:1 gene:GSCOC_T00017241001 transcript:CDP16159 gene_biotype:protein_coding transcript_biotype:protein_coding MANTASLDEETAKKVIRQVEFYFSDSNLPRDTFLKQTLNDSEDGMVSLALICSFSRMRTHLGIGDATPEDVSDDTVHSVAETLRTSSFLKVSEDGKRVGRVTELAKPEEVIEQLDVRTIAASPLEYDVKIEDVESFFGQFAKVNSVRLPRHVTDRRLFCGTALIELSSEEDAAKVLEQSLVYAGMELELKPKKDFDAERAKQEEEAKSRSHSASKLKNNPNAEEDYPRGLIVAFKLKKISVEGATEQNGNHESAADDVNTLNSEEAKGDAVQTADTRDEQENREANVEGGKEKDEENDGPENELQASSDTEKPGSAQKDNRDSSEEKLSIASYRDNKDVVLREDLKSVFGKFGTVKFVDFKIGSESGYIRFEDAGAAQKARAAAVLVEEGGLIVKNYIATLDPVTGDAEKEYWSLLRNNQDRYRGNVKGNRVRGGKFNRGWKNSRGRENDSATRPNKFQKVGAS >CDP08225 pep chromosome:AUK_PRJEB4211_v1:8:25111860:25115710:1 gene:GSCOC_T00026989001 transcript:CDP08225 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSLGTDQSALLALKAHITSEQHEFLSKNWSSTAAASSVCDWIGVQCSSRHQRVTALNISNMGLTGTIPPDLGNLSFLVSLDLRNNSFHGNLPEELSHLRRLRFILFSNNRFTGEIPMWFGHFPELRFLFLDNNGFSGFIPSSISNSSKVETLTLRGNFLEGNIPEKMGNLSVLKDLSLSGNYLVGQIPLSLCKFSELQSLDLSSTRFSGHIPKEIGNLEKLTYLSLMNNNFTGNAFALPSKIGNLEKLTYLSLMTNNFTGVIPREIGKLHGLKVLVLGRNNLTGTIPREMGNLQNLQRLDLEWNQITGSIPREIGNLTMLTELYFTNNSLIGKYVFKVPFFGEVNRNRLTKHLHPFDFFFNL >CDP12089 pep chromosome:AUK_PRJEB4211_v1:8:30158137:30158811:1 gene:GSCOC_T00035468001 transcript:CDP12089 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNQQVEVEPLSPAAAPYWNNIDDDGLPVEFKRHRRHQKYVLCCGCVTALILILVVVVCVLIFTVFGARCPKLRVNSLQIDGLDRVNWTDIRPNTNLSIIADVSVKNPNIASFKYNNASTILYYDGNIVGGAKTPGATAKAGRTLRLNVTMDILLAKVLNVSRLQADYLAGILPMSSYTRISGRVKIFNLIKRGVFVRTNCSMIVNVTSYTIKHQDCKRKVTL >CDP18483 pep chromosome:AUK_PRJEB4211_v1:8:22773239:22777802:1 gene:GSCOC_T00009055001 transcript:CDP18483 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MVGIEAEEKVEQCQQTRKRRRLTWDVAPSAEQPEAEEGENGRKRWALVRHVSPPRRDDDPEGHYVFSIGENLTPRYKILSRMGEGTFGRVLECWDREARNYVAIKVVRSIHKYREAAKIEVNVLQCLAKNDRGNSHCVQIRNWFDYRNHICIVFEKLGPSLYDFLKRTKYCALPVDLVREFARQLLESVAYMHDLRLIHTDLKPENILLVSSDFIKIPGCKTISDETNFRLLPKSSAIKLIDFGSTASDNQNHSYIVSTRHYRAPEVILGLGWSFPCDMWSIGCILVELCLGEALFQTHENLEHLAMMERVLGPLPEHMTRRANRGAEKYFKRSRLNWPEGAVSRESIRAVKKLDRLKNLISGHVEFSKSSFVDLLHALLKFDPSERLSARQALDHPFFKEPT >CDP09983 pep chromosome:AUK_PRJEB4211_v1:8:27592655:27596187:1 gene:GSCOC_T00030505001 transcript:CDP09983 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRKVVSSSFPAQVLRFSKRPFKAKSLDESTSSSVSHGIHVFHCPDEVGIVAKLSECIASRGGNILNADVFVPEDKNVFYSRSEFIFHRAKWSRVQMDEDFLKLSRMFNAINSVVRVPDLDPRHKIAILASKQDHCLVDLLHGWQDGRLPIQIASVIRQKYFGDFTIFFNHDRAPNTHLILFLERHGIPYHCLRTTPEDKREKEILDLVQDTDFLVLARYMQVLSGNFLKSYGKDVINIHHGLLPSFKGGHPAKQAFDAGVKLIGATSHFVTEELDEGPIIEQMVERVSHRDNLQSFVQKSMDLEKRCLSKAIKSYCELRVLPYERNKTVVF >CDP13055 pep chromosome:AUK_PRJEB4211_v1:8:3724530:3725659:1 gene:GSCOC_T00037815001 transcript:CDP13055 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPENGVKKGAWSKEEDQILIHYMGNHGLETWKTVSEQAGLNRCGKSCRLRWTNYLRPGIKRGEFSADEAATIISLQREHGNKWSRIAAHLPGRTDNDIKNFWNTRLKKKLLRRVINPTIHKPIPDFNLLNFADQAQLLSVSDNPNLEAALANNANELIKNQIQVLQNMLQIINPNPLQYFQGNLDLYEFIQLNGVFDRTTTDLALNPLQAQSMIAGFRNESPVLLNQQQPYTSNSLPCFDGETIPCPVLDDNIIHSDNICNSEYSLPSLVSAAPESSTVKQMESFYVSTEAPEQYSVSDAWENLVDIDEASGSFWKDNFGFPADG >CDP20151 pep chromosome:AUK_PRJEB4211_v1:8:7839296:7840775:1 gene:GSCOC_T00004723001 transcript:CDP20151 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTEVEQKDDHFEELFQRLQPKKPVAGGLVANYQGVWFYADLLQATLTFQKHFKAIDSDIILASMPKSGTTWLKALTFSIVNRNNHSVDDSPLLFSNPHYLVPFLEIYLYKDGNIPDIDSMPCPRILATHLPYQFLPSSILDCSNCRIIYLCRNPLDVFTSLLQFLLQNGLISSPSMSIDVPFEEFCQGIHPYGPFWDHYLGYWDASLKNPQKVLFLKYEDLKKDVNSSVKKIADFLGYPFSAEEQEAGLVEEIAMLCSFENLKNLDCNKEGEIHGAFRVKHSSFFRKAEVGDWVNVLTPSMANRLEKLFQEKLGESGLTLEIKSK >CDP08344 pep chromosome:AUK_PRJEB4211_v1:8:26230605:26237347:-1 gene:GSCOC_T00027146001 transcript:CDP08344 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 26 [Source:Projected from Arabidopsis thaliana (AT4G17570) UniProtKB/TrEMBL;Acc:F4JP80] MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLVNYTPLHARAEPDDLEDYRNSRVKTISVKNKEAKVLKRKPNHEIEVGAFSPDYNHGFRKGLDEDTSNRSSSGSAISNSESCAQFGSADASDLTGPAQPMVWDSMVPSRKRTCVTRAKPSPVEKLTKDLYTILHEHSPYFSGSSEEDLLFESDKPMVSVEIGHGSVLIRHPSSIAREEESEASSLSVDNKLHPVNEAYSRLTTFSVHTDNKGVNLPNPGAEKVKKPTVQGGEQEQIKWDKDQLEKLQLLGHHSSPLRYVDLKDLLNFGEFVSCLTKEEHQQLLKYLPSIDTSGPPESLRNMFDSIQFEENLSSFQKLLAEGVFDNTLLGVKTEDCRTLKKFVLCNLTKSKWVGQYNLLKDVKCRSSISMSEVGGEFDAVATGHSVNAKRSRDGQYQKFPGAKTIMKSPKRVMMKASYEHREVTDNDGSCFSPRSLFALPADNSSLVLDSFNTAESSDQDLLLDVPSNSSFPQAELLLPTSSFGAQASTCSSSGYPQLLHP >CDP12224 pep chromosome:AUK_PRJEB4211_v1:8:31182599:31188537:1 gene:GSCOC_T00035645001 transcript:CDP12224 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQPTPHPSLEVIGGARDSFLPAFTSLHTPYHPYPFIAWNRHVETIFAAFFRSLPDIKFRRECLRTKDNGTVALDWVSGDRTRLSAVAPVLILLPGLTGGSQDSYVRHMLVRARSKGWRVVVFNSRGCGNSPVTTPQFYSASFLGDMSEVVALVNNRYPKANVYAVGWSLGANILVRYLGQESRSCVLSGAVSLCNPFNLVIADEDFRKGFNIVYDKSLANGLCKIFTEHAPLFEEMDGEYNISAAANAKSVREFDEALTRVSFGFKSVDDYYSNSSSSDSIKNVCVPLLSKSKAAANDPIAPSRGIPREDIKANSNCLLIVTPKGGHLGWVAGGEAPRGAPWTDPPVMEFLEHLERAAACSSVGSGGLQHVEASLY >CDP11678 pep chromosome:AUK_PRJEB4211_v1:8:4669805:4676870:1 gene:GSCOC_T00034131001 transcript:CDP11678 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLISQLAAKFAFFPPSPATYQVKKRDDGKLVAVSTTSSMPISGAPDDPSLDVLLLDTKRGNKIVAFYLKNPYARLTVLYSHGNAADLGQLYDLFIQLKANLRVNLMGYDYSGYGASTGKPSEFDTYADIEAVYDCLETEYGVSQEDLILYGQSVGSGPTLHLAAKLPRLRGVVLHSAILSGLRVLCHVKFTLCFDIYRNVNKIRKVKCPVLVIHGTEDDVVNWLHGSGLWKMARDPYEPLWIKGGGHCNLELYPDYIRHLCKFVQEMENMTTEIRLEKIRQTLRLNKRSNSAARNSATKCCKLKCKRSSCFSCSKPNCNSCCWWPKCSACQPKCPEWRPRCLECIKPGCMKCSFWCTKCSCSWPKCSCSCTSSCC >CDP07877 pep chromosome:AUK_PRJEB4211_v1:8:19406619:19407292:-1 gene:GSCOC_T00025360001 transcript:CDP07877 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIFSGGTETSSTTVEWAMSELMRNPRAMVKAQSEVRNAFVGKKTIEETDIQELKYLKSVIKETLRLHPPVPLLVPRKCRQVTEIDGYIIPIKTRVIVNAWAIGRDPEYWDDPESFKPERFENSSVDFSGCHFQYVPFGAGRRICPGISFGLANVELPLALLLYHFDWKLPNGLKPSDLDMTETMGITAPRKENLRLLATVYDASL >CDP09740 pep chromosome:AUK_PRJEB4211_v1:8:29577665:29585492:-1 gene:GSCOC_T00030193001 transcript:CDP09740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate--cysteine ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23100) UniProtKB/Swiss-Prot;Acc:P46309] MGTGWKNIWVGAGVFSSPCHSPSGLQQTQIVPFVSQARPSGHDPSFSSSLSPFAISKHLCSLPHSNRIYLPRIRKFSILSQEFKGSGVKMALMSQAGPSYCVQPEIVRCKAGHGVVYSLTGSMEGSKVKDVCFDFRFSSSNLSKQRRSYGPGESKRRHQVIVAASPPTEDAVVAAEPLTKEDLVGYLASGCKPKEKWRIGTEHEKFGFEFGTLRPMKYEQIAELLNSISERFDWDKIMEGENIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWGLKDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGYLSMRSQIWTDTDNNRAGMLPFVFDDSFGFEQYVEYALDVPMYFVYRKKEYVNCAGMSFRDFMAGKLPSIPGEYPSLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEISLQSVLDMTADWTAEERQMLRNKVPKSGLNTPFRDGLLKHVAQDVVKLAKDGLERRGFKETGFLNEVAEVVKTGVTPAEKLLELYHGKWGQSVDPVFEELLY >CDP14973 pep chromosome:AUK_PRJEB4211_v1:8:8203055:8205345:-1 gene:GSCOC_T00042492001 transcript:CDP14973 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRCSNFNIISSIGRRAVKTFAENGNRNQPFFILLLVLLSSVAFISSNACSTGNYQLLDSCTTSADCGPALHCGSCPSIRKTRSFCARGKAIIPTSISNGLPFNKYTWLVTHNRIALLFTNQLRNGVRGLMLDMYDFEDDIWLCHSFRGQCYNFTAFEPAIVTLKEVEAFSNANPTDIVTIIIEDYVHAPKGLTKFQPEIWEWCLVHAQTRRNKSHSTRTVHPFFSKAAGNIMPNISAINFYLRSDGGGVFDAVDRMNGQTLCGCTTVTACQAGAPCGSCKSFPASNTTLAAISSAASFLKPVRSTGTAATIQFSSVMATFLYAMAIMFLLFLKLDMFQQNICKN >CDP08262 pep chromosome:AUK_PRJEB4211_v1:8:25454824:25455725:1 gene:GSCOC_T00027035001 transcript:CDP08262 gene_biotype:protein_coding transcript_biotype:protein_coding MATNYKKIRHDTIAMTVLSKNLHAYTCKNMTAELPAMELESQVSSSIMRYDAIPCPCKTKYCNLCELPLATFIELFNKSKQN >CDP18418 pep chromosome:AUK_PRJEB4211_v1:8:22314885:22321170:-1 gene:GSCOC_T00007230001 transcript:CDP18418 gene_biotype:protein_coding transcript_biotype:protein_coding MSQENKRSNFEEPAMRITRARAKALGQSGGLPPLYPSSKPNDNRVLRPNFKRTAPDENKPVTTAAACHQHKKRAVLNDVTNIICKNSYRRCISSPKLQEKKHSIKRNAKVVPAARGEKPENKEEKIENLTCEMKIKAEEKINLSENIRVCMGIKSNEAIGIRGCLVANLAPIKQNHSKHVELQNQPKREKIEPCLKHEGSDDQGITDIDAKHKDPLMCSLYAPDIYRIMTAMELGRRPSVDYMEKLQRDITQGMRGILIDWLVEVSEEYRLVPDTLYLTVNLIDRFLSGNYIEKQKLQLLGVTCMLIASKYEEICAPRVEEFCFITDNTYGKDEVVKMESRVLNFLGFQLSLPTTKKFLRRFIQAAQASYEVPSVELEFLANYLAELTLLEYSFVKFLPSLTAASALFLARWTLDQSDHPWNPTLEHYTSYKVQELKTTVLALQDLQLNKSGCPLNAIREKYRQTKFKSVATLSSLKPVQPLFEQHLGI >CDP07175 pep chromosome:AUK_PRJEB4211_v1:8:10016045:10017886:1 gene:GSCOC_T00024328001 transcript:CDP07175 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKIPHLTPTPQQRILTPPKRIRFPPNKPTFKKIVTVPTSSPSNSSIQIHLPLRRPQHKPIQKTHKNSNDCKPSNRSTVSDVLGLLDCLKIPVSLDLYTSFIDECTKSGDPLLAIELHNHIKTSCLRPSLSIFNRLLLMYVSCNLIGYARELFDKMTVRSSCTWAVMVAGYFENGDYGEVIDLFLEMRCSERAKVDGDMDDIVASAIVVCVLKACAKTVNVELGKQVHAWVVKMGYGENLVFSGCLMSFYGKAGCLEGSDQVFDQVPYRNKVIWTTKIVNHCYEEQFDEAFDVFKQMGREGVKKNSYTFSSVLKACASMRDGRCCGQQVHANVVKLGLELNEHVQCGLVNMYGKGGLIKDAQKVFKICGNNRNVACWNAMLTGYIQQGFGIEAFRIICDMKAAGLQPQESLLNEVRFICGSTLIENTKR >CDP09923 pep chromosome:AUK_PRJEB4211_v1:8:28111312:28111787:1 gene:GSCOC_T00030420001 transcript:CDP09923 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSKCPESEGGINFDLPFVSKNAPPCAGVEHVEGDVFQCVPRGEAILLKVSAKNTLIYSTSSDRHTQKGKKIYNLPNN >CDP18808 pep chromosome:AUK_PRJEB4211_v1:8:268789:280638:-1 gene:GSCOC_T00005586001 transcript:CDP18808 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGDDNRVVSGKDEANCSETTVEIKIKTLDSQTYTLRVDKCVPVPALKEQIAVVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPVVPSSEGSPNPATDPASTGGRSQGSRGPGVVVGSFNISEQDGSFPDLSRVFSALLGSFGIAGVGSGSEGIDLNEHPLERILNGPSLGGLRNSSRPQTDQADSRGQAINDSGSFSVPTADSVESLQPPIIPDSLATLSQNLNRLRQEFVANVQEQTNLSQAVGIRGRDGQNSDAASVSTVQRGLPTPASLADVMLTARQILNEQVEECLLLLARQLEDHANVTDASERVRIQSSALRSGILLQNLGAVLLELARTTMTLRMGQTPAEAIVNAGPAVFLSPSGPNPIMVQPLSFQLGTGFGATGGTVQQSSGIPAGSGGSGVFPRNIDIRIRTVAVPASANRRESNGAQNHGSTVPAAINTGNSAQQGTGRGSGSPATRDPEVRVVPIRTVVAAVPASGGRATSDPSRGTMGMILPIFARVQRVTSGISGGARGDLASDQPHTHPVEQGSQSIPNSALQHENVHVVGVDGDSSSVGEAAEGPGYPSQFMSRLEQLLRGVFASDHLQDDSGNSQVRDADGVTRHVGAAENGNRPDAAEAAASDEGAFLSNVLRQIMPIIYENGGGSGSNDSSSGGQTTEERNTQGSSTQGEGNGNRASSSRRQEDPPAAEQPDPKRQKRD >CDP14963 pep chromosome:AUK_PRJEB4211_v1:8:8638723:8638893:1 gene:GSCOC_T00042475001 transcript:CDP14963 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMEILMGKTITLEVESSDTIDNVKAKIQDKEGHPPETNSASSSSASSWRTVVP >CDP08307 pep chromosome:AUK_PRJEB4211_v1:8:25882485:25883612:1 gene:GSCOC_T00027100001 transcript:CDP08307 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAIFLADIYRWRRVENWNSEVSRRERRRRNHGNGRLLEGSALNGFEVLQGPRTWRNHFSFGIIPETS >CDP12979 pep chromosome:AUK_PRJEB4211_v1:8:2783374:2788940:-1 gene:GSCOC_T00037696001 transcript:CDP12979 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLPSPTSSSTSTAPSSASSSSSSIFCGRRSLAAKPLSAANAPYAVKCEITQPLNGRPCVPIINDQVLPKFLQSKRLQKAVDRNETRLKIFSGTANPALSQEIAWYMGLNLGKVNIKRFADGEIYVQLQESVRGCDVFLVQATCPPANENLMELLVMIDACRRASAKNITATQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVILDYLASKEVSSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHDEGAREVYACCTHAVFSPPAVERLSSGLFQEVIVTNTIPAIEKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >CDP12048 pep chromosome:AUK_PRJEB4211_v1:8:29829572:29833581:-1 gene:GSCOC_T00035419001 transcript:CDP12048 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYYTKQNKRKTGCFSFTNLDKFDQFVRSTLATRGPKTEFTIWDDSFDSVFHPQNDPTHALGLRLHSLRFFSIKIKIEIKMSYARDGRSASPRSSLSPPPRVHRSRSLSKSPRRRRSRSRDSAGAVNPGNNLYVTGLSTRVTSSDLEKFFNREGKVTECHLVTDPRTKESRGFAFVTMETNEDADRCIKYLNRSVMEGRLITVEKAKRKRGRTPTPGRYQGLRGRRGYGPRRSRSYSPRRRHDRDPYHRDHRGRSRSPYGKRGDDYDSYGRYRDRSVSPGGRGYSRAY >CDP08342 pep chromosome:AUK_PRJEB4211_v1:8:26214163:26218874:-1 gene:GSCOC_T00027144001 transcript:CDP08342 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLLNGGLSDGNPNKRSLESGYHPSNSGNASNYKSRKVSAVRDFPPMCGPNTQPHLEAKDNKNGVLVSSDNAPAALEANCVKDESQVDTQSHELGGGLHGVEGNGSLDKLVEKVVAGFTDSLDDGVKKMALDVKPAGMELMKEVERKTILVGPSKGEVNGREAEAAVMELDKKEITTLVRSIGEDVVKPTVEIDHVVHREVSIEDGSVPSPKNKFRTRRVSAIRDFPPFCGRNAPVLSMQESLKITSGESSLGMDKVNMEKRMMEVSKDGADSKALKDGADSRTSVEILPAKVQKDTLEKVETGVEVAALEESITFGGKPAKGNVQVDDIRGSQARGVVSLPKDVSDATILKEAAEGQGSISKAPDLFEGENTRDRMALDDSTGSGHEDDPATVTGLHAAPHCPLRLGKVPLSSSVEKTRGKDNEGNLTWRSKAKAFAKKTIVNTESSERSSLKKVAVSVRKGADGNFGAIVRDEGIDRSEDDKSPKGSTTGSRVDVNLPPFGPSSSNGDARNRVRETLRLFQALCRKILQGEESRPEEDATLKRPEKTRRIDLLAAKIIKEKGKEVNTGKQYLGAVPGVEVGDEFQYRVELAIVGIHRLYQAGIDYMKHNGVLVATSIVASGAYDDDMENADVLIYSGQGGNIVGKDKQPEDQKLERGNLALWNCVSTKNPVRVIRGSKEKASDSLDSRAKVVTSYIYDGLYTVEKCRKETGTYGKLVFMFELKRIPGQPELAWKEVKKSKKSRVRQGVCIDDIAGGQETFPVCAVNTIDSEKPQQFNYIRKMKYPDWFRLVSPKGCDCTGKCSDSRKCYCAQRNGGGIPYNRNGAIVEAKPLVFECGPHCKCPPTCYNRVSQHGIKIQLEIFKTKSRGWGVRSLYSIPSGSFICEYAGELLEDKEAELRAGSDEYFEAVEEGGYTIDAAKYGNIGRFINHSCSPNLYAQDVLYDHADKRVPHVMLFAADNIPPLQELTYHYNYGVGQVHDSKGNIKVKSCYCGSTECIGRMY >CDP18433 pep chromosome:AUK_PRJEB4211_v1:8:22167438:22168267:1 gene:GSCOC_T00007249001 transcript:CDP18433 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWGLQALNFQIKVGRPKWCHVRGIQAPFENTYNIGFDTRQGPECWYHTDLCQCLQLCHWCNLWFSHACATFEVNCSRE >CDP08174 pep chromosome:AUK_PRJEB4211_v1:8:24573356:24577529:-1 gene:GSCOC_T00026921001 transcript:CDP08174 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVLRAQEAFFAFIFMFIFLNSWRTCQSSELQLLQTIKASFKDPLRSLSNWNSSLSFCQWHGVSCDSLSHVNKIELSAKNLTGRVPETIFQLQHVETINLSSNQLSGSIPDNLSSCLSLKHLNLSNNNITGPLPKGSIPFLETYDLSNNMLSGAIPENIGLLSGLRVLDFGGNVLEGRIPKSITNLTSLQVLTLASNQLVGEIPPELGLMKNLTWMYLGYNNFSGGIPTEIGELTSLHHLDLVNNNLTGEIPSSLGNLINLQHLFLYLNKLTGPIPKSIFGLKSLISLDLSDNFLSGEIPEDIFKLQNLEVLQLFSNNFTGSIPRALSSLPHLKVLQLWSNKLSGTLPEDLGRYNNLTILDLSTNNLTGKIPEMLCESGSLFKLILFSNFIEGEIPQSLCRCKSLQRVRLQNNKLSGELPPEFTRLPLVYFLDISGNNLGGTIKEPKWNMPAIQMLNMARNQFSGEIPESFGSNKLENLDLSDNDFSGSVPQSIGTFSELAELKLGQNKLSGKIPDELSSCKKLVALDLSHNQLSGEIPISLSEMPVLGLLDLSVNQLSGEIPGKLGTVGSLVQINISHNHLRGSLPSTGAFLAINSSSVEGNDLCGGDETTGLPPCKRRKNPAWWLFPTCLLAVLVAFALAAFIVTIGKRRKKMESKRTDSEDGTWEVQFFSSKALKSMTTKDIFSSMKDENLIARGRKGTIYKGNCSIGGSRFVTTVFGDVNSVSPASYWTEAEEFGRLHHPNVVKLIAACRSGKGGILIHEYIEGKILSEALGGLSWDRRQKVAVGIARALKYLHCYCSPGIQVGDLSPDKVIVDLKDEARLRLSLPGMTWAENKSSIFSAYVAPETSESKLITEKSDIYGFGLIMIELLTGKSPTDAEFAVHESIVEWARYCYSDCHLEIWVDPIIKANALNNQNQIVEIMNLALQCTARDPAARPCASDVAKALELVVRLSPCTLGFKWFS >CDP08339 pep chromosome:AUK_PRJEB4211_v1:8:26193999:26195798:1 gene:GSCOC_T00027141001 transcript:CDP08339 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSILCKSCLFSSILLLLVAESHSQGIVSRATYYKSSDGFGTPTGACGYGEYGHKINGGRVTGVSKLYRNGAGCGACYQVKCKVPLYCSEDGTTVVVTDHGDGAHIADFVLSQNAFAEMALPDTASKLFSYGVIGVEYNRIPCLYDADLLLKVHETSKYPVYLAVLLLFLPGADDITAFQVWQEESNVWKPMHRAYGAVYDITNPPLGALTLRFLVTVSADYNYWVQLDNVLPTDWEAGLTYNTGISS >CDP08348 pep chromosome:AUK_PRJEB4211_v1:8:26293857:26298041:-1 gene:GSCOC_T00027151001 transcript:CDP08348 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNVLPQALYMIPRNAAQCSQKKMSFSAMVSGRPSSSFSSRVSLNWSGFGFGKLKGNQSFVVRAEGDADGVEAENVENEEEIGETVAEVEAAEGEAEVVAVETVEKPPRKPIIKLGDIMQILNTRAIEESDKVRPTPDIRTGDIVEIKLEVPENRRRLSVYKGIVISKQNAGIHTTIRIRRIIAGVGVEIVFPIYSPNIKEIRVVSHRKVRRARLYYLRDKLPRLSTFK >CDP14948 pep chromosome:AUK_PRJEB4211_v1:8:9172783:9173482:1 gene:GSCOC_T00042452001 transcript:CDP14948 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAADDSNAIVRASGEDTEGEGNTIVGSEQMLVEIAHIHEKINRFTQLVSELLESGKSMLEDLSKEFEGRLFLIHKEQMAKWEEEIQELRLLDASNEETNAVLHNARCLLQNVPGQS >CDP13491 pep chromosome:AUK_PRJEB4211_v1:8:936139:944492:-1 gene:GSCOC_T00038450001 transcript:CDP13491 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGGMVAGSHKRNELVRIRHDSTDSGPKPLKNLNGQICQICGDGVGLTADGDMFVACNECAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPRVDGDDDEDDVDDLDNEFNYAQGTSKARRQWQGEDAELSSSSRHDRPIPLLTNGQPVSGEIPIATPETRSVRSTSGPLGPGDKALPYLDPRQPVPVRIVDPSKDLNSYGLGSVDWKERVESWKLKQEKNMVHMANRYPEGKGGDIEGTGSNGEELQMADDARQPMSRIVPISSSHVTPYRVVIILRLIILGFFLQYRCTHPVKDAYPLWLTSVICEIWFALSWLLDQFPKWYPINRETFVDRLAMRYDREGEPSQLAPVDVFVSTVDPMKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNARDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFLMDPVLGKKVCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGQDGVQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCFGSRKKGKSSKKSYIDKKRAVKRTESTIPIFNMEDIEEGVEGYDDEKSLLMSQKSLEKRFGSSAVFIAATFMEMGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISVYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYTGRLKLLERLAYINTIVYPITSIPLLAYCILPAICLLTNKFIIPEISNYASMWFILLFISIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFSVFQGLLKVLAGIDTNFTVTSKASDDDGDFAELYVFKWTSLLIPPTTVLVLNLIGIVAGVSYAINSGYQSWGPLFGKLFFSIWVIAHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSSSTKAASNGQCGINC >CDP12122 pep chromosome:AUK_PRJEB4211_v1:8:30430767:30431069:-1 gene:GSCOC_T00035513001 transcript:CDP12122 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAASLPTSATRNNYNSGLNMPNGYHQKNQSLGTRSSSSNSCCAFQMPLHYPRFKKTDYEKMPEPQLDCLLKAYGLPAAGDLNQKRKFAMGAFLWPDQY >CDP12081 pep chromosome:AUK_PRJEB4211_v1:8:30086690:30098800:-1 gene:GSCOC_T00035460001 transcript:CDP12081 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTALTPAKLAGTGMSTEANNTTDNSVTASQVNDFRISAVLDRLAASMQNQTPKNETAESFNLCLSLARGIDFAIANHEIPSRAPDLPALLKQVCRCNNDALQQAAVMVLMISVKNACQSGWFSDKDSEELSSLANEIASNFCTSMDFNTEPSSSKSIIETIISRFYPRMKMGQILTFLEVKPGYGAYVKDFAISKLMKHSPEERIRLFVAQTDNVETSSCLVNPQQVNFLLNGKGVERRTNVFMDTGPQLPTIVTHFLKYGSNLLQAVGHFNGNYIVVIALMAEISKGENPTLPDYVQPAAAIIDPDSEVIEGPSRISLNCPISFRHIRTPVKGHTCKHLQCFDFDNYVDINSKRPSWRCPHCNHHCCFTDIRIDQNMVKVLKEVGDNVNDVIISSDGSWKAIVESDDHAEKRQDKFPSAEQEQPTQPDSTSLPNAPPDLLDLTEIDDVMDTVDLSEAEDTKVFLVNSQKDCSIKDMTLRPPTNITNEVPQNSSSQTEDDFWSGVYLSTFGSGTFSLMSDAQSGGVPQSTSSSILPSPLLTDASSPASNVEARASNAFLSNSVPQTEISPTALQLQRFQFGNASISNEYGRSLSIPRQVSRTPVAVQALPAQAPTTDLQRVRNSTSTFMQNGSLAASQTSALPPVGDGFSGNSNNMQRQQQLSRSHPVAHQMPRMVSSQQQISNDLQDRFIYSGRSTGQVSSLQASTRAQGTYLASSGLSGELPHSNQQQQVNLRTPHPIHQSAGRFQHSAQSSGNFFRAQSQQAGSQDHSIQAAHAQLLSAQRAAQAARTRAFHTPRAASNSGNATAPVGDQIGAVGSTLQSVPRSDVSVNSPADQDWRPSGRMRGSLSGRAYSEAMNQYIIQPTQQAQAARPPSNVTANPSNASAQLQILMANRAAQQAINYPLPRVTSSSSNLGVSPPKSTGMH >CDP12200 pep chromosome:AUK_PRJEB4211_v1:8:30993792:30999463:1 gene:GSCOC_T00035612001 transcript:CDP12200 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAKTAVNRQEALLIIVFAFLISFSSIMASTDALDSCKPTDSGPVVKTTPLVSFLETVQQTSLKTFGHKNFDPKLYVDVSLKYNLNTTVEAFNKLSIADNGSVSVSDLNDFLAQYLEGADEDLVYAEPVDFVAEPRDFLPNVENPEMRAWALEVHSLWKNLSRKVSDTVLEKPELHTLLPLPKPVIIPGSRFREVYYWDSYWVIRGLLASKMYVTAKGIVTNLISLIDEFGYVLNGARAYYTNRSQPPLLSSMIFNIYNQTGDEQFIKSSLPALLKEYEFWNSGIHKVSIKDAQGEIHNLSRYYAMWNTPRPESSSIDQETASRLSNVCEKTQLYRELASAAESGWDFSTRWMRNASDLTTLSTTYIVPVDLNSFILKMELDIAFLANVTGESSIAARFTEASEARKKAMNAILWNAEKGQWFDYWLTETNTSKDAYTWEASNQNQKSFASNFIPLWVNLFHSDATMMEKVIQSFQTSGLLRPAGIATSLTNTGQQWDFPNGWAPLQHLIVEGLVRYGSEEANSLAKDIALRWIRTNYVAYQETGAMHEKYDVEKCGEFGGGGEYKPQTGFGWSNGVVLAFLEEFGWPADVKVGCQ >CDP11693 pep chromosome:AUK_PRJEB4211_v1:8:4831513:4832112:-1 gene:GSCOC_T00034150001 transcript:CDP11693 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEELSIKFSQRQGRKVSAAFLDGWMRFFCPLCLIFEQILLVNGLVVHPIGVELGKPAAMVSAVRISLVLCGAIYFTIGIFGYLLFGDSVMDDILVNFDQGSGSTISSLLNDIVRLSYALHLMLVFPLVNFSLRANIDELLFPKRAVLATDNKNFIFFSRPPPSLYAHFLTARGSSHYSSGFRSCTRSRFLLVQLSTF >CDP16149 pep chromosome:AUK_PRJEB4211_v1:8:23420542:23424383:1 gene:GSCOC_T00017229001 transcript:CDP16149 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLREIEGKRLHDRNPNEKMMMKSSRCVCVSGPIIVGAGPSGLAAAACLKVKGVRSVVLERSHCIASLWQLKTYDRLRLHLPKQFCELPLMPFPEGFPTYPTKQQFIQYLEAYARKFDINPCFNQSVVSAEYDQVLGLWRVRTVGLKKEETEFVCPGLIVATGENAEPVVPDIEGMKDFGGDILHTSLYKKGGDFGGKRVLVVGCGNSGMEVCLDLCNHNASPTLVVRDTVHVLPREMLGKSTFGLSMWLLKWLPMRLVDGFLLIVSRLLLGDTARYGLHRPQMGPLQLKNLSGKTPVLDVGTLAKIKAGDIKVSPGIQRLRPLSAEFVNGKTEKFDAIILATGYKSNVPSWLKEKEMFSVKDGLPKRPFPNGWKGESGLYAVGFTKRGLLGASMDAKRIADDIEMCWKAESKHFSYFARPSSLQS >CDP11713 pep chromosome:AUK_PRJEB4211_v1:8:5265171:5270133:-1 gene:GSCOC_T00034181001 transcript:CDP11713 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSVSKVGHRRSQSALDEREVLPPNLEATGAVTLAGATSATTHGIEIAVEFKPVEHPIEPHDNDRPIHCPLPEPSILNDGRIWKERVSAGARRSDLPVMQEGTAIEPETTGPKPRPPPHRVILPSISAPEHNILKLLEESGI >CDP19691 pep chromosome:AUK_PRJEB4211_v1:8:17398352:17406659:1 gene:GSCOC_T00005814001 transcript:CDP19691 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGKVEVISSKGCSRLVAEISTSFSSLRGHGFQSSAMEPMSPVSVASGVDESGRKNRPRGPFSGLVICVTGLSKEARAQVMAATQKLGGEYSPHLHPQCTHLHFQPFFSGRKFEHALKHGSKLGLSVVTLGWFVDSVRRNVRLSETLYSVKTIGEGVAADDLNRLVHNMGTEKSCLPFGSLEYTDNSNMTGGPQLHFPERESKRRNMSSLLSGYSFYIDADVSAELQSKVVEAASGEGANLVDQWYVGCSASHVVCEGPSVGKYLGHSNNIVTPLWVLKTAKEKRLQRLVHISADLARQTATTIDAIQTGTCREKFGGSICLQDAPTSMLKVSHEERQKIINLAKDWVRKRRNCRMQTCQTPMRPITPSSLLDSVCWSISEPTSSATIYTESSSVEDANDQDTFVFFDAKADGKESEASFVNLSRPLTESEKSELIFKSHFLTILFPVDRFSEMGPCSRTFFSDKGFTCLQVLDHIYAFYQENMSATEVEVALHTDSRHADRLRSVYSSKTTAERGYLEFKRIDFLGSRKSFEMLKRVSGDNNSNVYELLIRA >CDP17891 pep chromosome:AUK_PRJEB4211_v1:8:21211862:21213317:-1 gene:GSCOC_T00011878001 transcript:CDP17891 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVHYGVSERNKKRKLAADYQINESLRFPLDELNQDVLEQVLSWLPASNFFRYTSVCKRWKSVGNSATFKLACSQIPSREPWYFMVDSQAQFKNQPIVFDTAENNWKKLNFPLPLLQEEQRGRSFVPVAASGGLLCFLSSPPADEFIICNPLTGACKEIVSLNPELKKSKILRGIGMISSPESYSLVLVFGDLSELSIRVYNSSIQQWEEETMLKRKHASPADETEESEDDHAVYFLSKCGNVVSTNLQRSPCKQYSSVITQKNGEKFMHFLSSSGTIVACNLTKKCFFEYPRLLPVYHEYSIDLVECGGDVYVVLLMEFLESASLRVWRFDEKDQSWHQIAAMPPAMSHGFYGKRVDINCAGAGQQILVCLNSAEVCSYFLCHLMVNEWIEVPKYHINGDAKDFICAFTFEPRIEASV >CDP18784 pep chromosome:AUK_PRJEB4211_v1:8:45319:48221:-1 gene:GSCOC_T00005558001 transcript:CDP18784 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLHGNNLGSVVLDHPTPGGGRQFKIWSASFRRIILDTVRCGTRQHHKHDNTGKLTIQEPKPEPGIDLTAYPTNTPPSGSDKLSDLLKLSEKENEPDEEEAEAVKRKVEALEELKTVVKNLQSSSSDVRALEAAKEVRRLAKGDSQARTTLALLGAIPPLVALLDAPPLPPDVCPKIPALYALLNLGIGNDANKAAIVKSGAVHKMLKLIQSENGPHATAVAEAIVANFLSLTALDANKLIIGSSGAIPFLIRILREETDDHEKNGSQAKQDALRALYNLSISPLNLSLLLDAHLVPCLLSRLGDMDFSERILSILSNLVSIPEGRKAVSCVPDAFPMLIDVLNWTDSPGCQERGSYVLMVMAHKSYGDRQAMIEAGITSSLLELTLLGTTLAQKRASRILECLTVDKGKQISESYGDQSHQSEESLEEEDQMLSEERKAVKLLVQQSLQNNMKRIVRRANLPHDFVPSDHFMSLTWGSTSKSLPF >CDP12471 pep chromosome:AUK_PRJEB4211_v1:8:15789158:15789986:-1 gene:GSCOC_T00036055001 transcript:CDP12471 gene_biotype:protein_coding transcript_biotype:protein_coding MGSITQNNLLPVIDFTGKTSNSAFTSWVSTRDEVVRALEEYGCFIAIYDKVPLELRQDILHASENLFNLPTETKVLNTSDSPAHGYVGGEPTVPLFESFGIENATTFEGVHKFTNVIWPSGNNNFSETALSYSKLVAELNQVVLKMVLETYRVDKDCELLFGSTYYLLKMFKYHSPGENEKNMGLIPHTDANFMSILHQGHVNGLEIKTKNGDWELVDLLSPTSFVVDGIFVSIQV >CDP08220 pep chromosome:AUK_PRJEB4211_v1:8:25061187:25067408:1 gene:GSCOC_T00026982001 transcript:CDP08220 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCVGDSTFCYRWIVFETFNVTLITLFFRQVKLLPRFAMKRLSLGTDQSALLALKAHITSEQHEFLSKNWSSTAAASSVCDWIGVQCSSRHQRVTALNISNMGLTGTIPPDLGNLSFLVSLDLRNNSFHGNLPEELSYLRRLRFIRFSNNRFTGEIPMWLGHFPELRFLFLDNNGFGGFIPSSSSNSSKVETLTLRGNFLEGNIPEKMGNLSVLKDLSLSGNYLVGQIPLSLCKFSELQSLDLSSTRFSGHIPKEIGNLEELTYLSLMNNNFTGNRTYDLINLKLLGMKMTMIVKSNVYNDNVSLYGKMFCLCVKLLGAIPREIGKLHGLKVFVLGRNNLTGTIPREIGNLQNLQGLNLEWNQITGSIPKEIGNLTMLTGLYFANNSLTAKYIFWIALSSNLLSGNLPRDRNNLGGVIPVSISNCSKLTVLELQVNRFTGSIPDVLGEVRLLQFLALYGNNLTSDPTSMELSFITSLTKCKNLVYLDLGPNPLKGLLPASGTIPSQTGNLTNLLLLDLQSNHLTAGIPTAFKNLQNLQGLAVGDNNLNGTLDSLCNLRSLTLIGLTTNQFSGSLPECFGNMTSLRDLTLGNNFLVSAIPNSFWKLKDLLRLNLSSNFLHGSLALEVGTLKAVTSIDISANQFSGDIPSTTGGLQNLLILNLSQNQFQGSIPDSFGNMLSLQGLYLSHNNLSGFIPKSLEALRDLKDLDVSYNHLSGEIPSGGHFRNFTAESVLFNDALYWKKYQDSKGTNMVIVPTQERVSYYELLRATDGYSESNLLGIGSFGSVYKGILNDGRSIAVKVFNLEREGVLKSFDVECEVLKNLRHRNLVKVISGCWNQDFRALVLEYMCNGSLEKCLYSDNYFLDTLQRLDIMIDVASAVQYLHEEYSTPVIHCDLKPSNVLLDEDMVAHVSDFGVAKMLEKEESFAWTKTLATIGYIAPEYGSEGLISAKCDVYSYGIMLMEVFSRRKPNDEMFAENLNLKSWTNNSLPNSILQVIDAKLLKREDENFT >CDP13472 pep chromosome:AUK_PRJEB4211_v1:8:1101677:1105237:1 gene:GSCOC_T00038429001 transcript:CDP13472 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEERFATKKSTPNCLTLLPFSAPPHFRQPAPPHLKPNLQLLKVAASHVATQLHDSILISADKFLSMLHGLASQNPLLCRLLSFSSHLQSFSSQMRCRNYGHLDAQSNHNFAAILPGDSVAGIVVTNGILNFLNIYNTLLIVRLVLTWFPNAPPAVVSPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFIVLNAFTSTAAALPAELPPTGVSERLPSRTTVSPFTTSQRKWIRRLSGVESKNSSGEN >CDP07169 pep chromosome:AUK_PRJEB4211_v1:8:9915622:9920271:1 gene:GSCOC_T00024320001 transcript:CDP07169 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGYFSPKTKLFFFFRTSHRTSNMASTAAADADAATATKEAIENLWEEVRELSLGSTTTQIDHLPSPPTPLQFLRDYISPNKPCLIYNSISHWPALSLWPSTSYLQETLKSSPVSLHLTPTGRADSLIPHPHSNRSSSPLVFASAHVEKVPFSTALKRVSESSTCTEKGAKRCVGYLQEQNDCFRNEYGDLSQDCDDHIPWASEALGCLPEAVNLWIGNQLSVTSFHKDHYENLYAVITGEKRFLLLPPTDVHRMYIRDYPAAQYRYSEDTEDFELEIEDPVRYVPWCSVDPYPSFEGINRQMAEFPLYYNGPKPFEVTVKAGQVLYLPSMWFHHVSQCPDSRGLTIAVNYWYDMRFDIKYAYFNFLQSINYISDSAFWGGHVDSHSKVSASKGEDELEMIEFVPNGDATGQGVSDYDD >CDP16158 pep chromosome:AUK_PRJEB4211_v1:8:23593773:23597230:1 gene:GSCOC_T00017240001 transcript:CDP16158 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPEAGDYTIFMGLDKYENEELIKYGFPEDVWFHVDKMSSAHVYLRLHKGQTFDDIPEGVLEDCAQLVKANSIQGNKVNNVDVVYTPWHNLKKTASMDVGQVGFHNSKMVRTVKVEKRINEIVNRLNRTKVERKPDLKAEREAVNAVERAERKNQLREKKRREEMERLEKERQADLRSYKNLMVADKMTSNKDIASGHKTLQELEDDFM >CDP12976 pep chromosome:AUK_PRJEB4211_v1:8:2768591:2769338:1 gene:GSCOC_T00037690001 transcript:CDP12976 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRALPVSQRNMRFWPTTPTSFRVTMDEYPFQMHKVCMTLFGLMGANLGVDPEKLCSIYQDGVQGIGMNYYPPWQQADKVIGLTPQVTCLIAPHSDGGLTLLVQVSKVEGLQIKKNNRCVLT >CDP12938 pep chromosome:AUK_PRJEB4211_v1:8:2228291:2229504:1 gene:GSCOC_T00037642001 transcript:CDP12938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MAMYB [Source:Projected from Arabidopsis thaliana (AT5G45420) UniProtKB/Swiss-Prot;Acc:Q9ASQ2] MEFLDEDARPRFVLQSKSTPEPAQSSQDPPSLRKPTLFISLTVSALFLALSFLYFTLDPFQSLFIWFSLSLLVGPFAPLSLTAGDIRVGLGPPLPEPTKETEISDEVSKRSGKRSVKSTRRQDGSGNGSGSTRPDYGWEAVEQNGFSVNRNGLVAKFEKSEGKVVNEEVQWDAGDDELLKKLMGKHPVGKPGRWEAVTEGFKGRHSVDSVIKRSKELGEKKVSDEDSYKKFLKDRKPVDRRIEGGNESHVGGLQSVEAKGDSSGWSAGEDLALLNALKAFPKDVPMRWEKIAAAVPGKTKPACMKRVAELKKDFRSSKAGSSEA >CDP13035 pep chromosome:AUK_PRJEB4211_v1:8:3480796:3482322:-1 gene:GSCOC_T00037785001 transcript:CDP13035 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFKVLSVVFFVLLTVGVCVAGRALLSHEEDAVAGYVHGGIGVDIGGNVAGGHGGGGGYGGGGGYAGSNGYAGGAGSGGGSGGGYGAAGGEHGGVAGGGGAGGGNGGGGGYTAGGEHGGGYGGGGGEGGGAGYGAGGAKGGGGGAGGGNGGGGGYTAGGEHGGGYGGGGGEGGGAGYGAGGPKGGGGGGGNGGGGGYTAGGEHGGGYGGGGGEGGGAGYGAGGAKGGGGGAGGGNGGGGGYAAGGEHGGGYGGGGGEGGGAGYGAGGAKGGGGGGGGGGGGGGGGGSAGKGGGYGGGEGGGSGGGYGAGGEHGGAYGGGGGHGGGGGGGYNGGAGGGGYGSGGGAGGGAGGAHGGAYGSGEGGGAGGGGGSAAGGEHAGGYGGGAGGGEGGGHGGYAP >CDP07163 pep chromosome:AUK_PRJEB4211_v1:8:9628440:9637116:-1 gene:GSCOC_T00024306001 transcript:CDP07163 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHWAVDTNSYQLRRYRLLPHIYTLFYIAHTKGIPVATPILFADPKGPKLRTREDSFMLGPLLICASAQHDKELDHTQPRLPKGIWLSFDFEESHPDLPALYLKGGSIIPVGLPYQHVGEANPTDDLCLFVALDEHGKAEGVLFEDAGDGHEYTKGGYLSTTYVAELWSSVVTVRVSKTDGLWKRPNRRLQVKLLLGKCALLEVWGTDGEAIQIMLP >CDP09853 pep chromosome:AUK_PRJEB4211_v1:8:28689603:28691626:-1 gene:GSCOC_T00030330001 transcript:CDP09853 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1 [Source:Projected from Arabidopsis thaliana (AT1G12480) UniProtKB/TrEMBL;Acc:A0A178WLC1] MDTKSNNSPSFLETHFVDIHEILPEEEDEEAEGEEEIASNVENAENRFHRLVKTREGKRARRNFSRQVSLETGFSVLSKESKTKDQRELLRRSGTSFGDYGSTRHFVEGRKADFNIFRTKSALSRQNSALPQRESGVDHIQKNQDAPGEGLQHDGESVNESVPAGRYFAALRGPELDQVKDSEDILLPKDEKWPFLLRFPIGCFGICLGLSSQAILWRALSSSPSTKFLHVTPFINFVLWLLAVGVLVAISVTYALKCALYFEAVKREYFHPVRVNFFFAPWVVCMFLAIGAPPRIAPETLHPAIWCVFMAPILFLDLKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAAKVGWKEAGKFLWSIGFAHYLVVFVTLYQRLPTSEALPKELHPVYSMFIATPAAASLAWGAVYGEFDGLARTCYFIALFLYTSLVVRINFFRGFRFSVAWWSYTFPMTTVSIASIKYSEAAPSAVSKGLALTLSFMSSAMVSILFVSTLLHAFVWRTLFPNDLAIAIKKRRLAKEKKPMKKSCDIKRWTKQSPLSLVSTTRKHNSGNKGSDGEN >CDP07905 pep chromosome:AUK_PRJEB4211_v1:8:20687500:20697216:-1 gene:GSCOC_T00025406001 transcript:CDP07905 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTGGGHRASAEAIKAAFNEEFGDDYQVYITDLWTDHTPWPFNQLPRSYNFLVKHGPLWKMTYYASAPRVVHQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRAKGLLEKIVFTTVVTDLCTCHPTWFHKLVTRCYCPSDEVAKRAVKAGLKPSQIKVYGLPVRPSFVKPVRPKKLTMGARSTDCFSFGCPITSQAKVSIEGNELETHQAMNMFKKFCSFLDLAVYIPNKEFLTMFKVELRRELGMDEYLPAVLLMGGGEGMGPIETTARALGDALYDERTGEPIGQVLVICGRNKKLASRLLANDWKIPFQVKGFVTKMEECMGACDCIITKAGPGTIAESMIRGLPIILNDYIAGQEAGNVPYVVENGCGKYSKSPKDIARIVSQWFGPKQDELKAMSQNALRLAKPDAVFKIVHDLHELVRHRIFVPQYC >CDP18552 pep chromosome:AUK_PRJEB4211_v1:8:4167239:4174790:1 gene:GSCOC_T00010795001 transcript:CDP18552 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRVKVVENGRRIGVDLQKTKAPKSSAQVIRATKKKLSARSDSISSLNKTGKRKRQDGRKTNCQLHSTKSLLKNYSNFIKSGLPERFLLHENGEWTDFPQDTVDLVRTEFRVKNPAIEMKFHGEPVILDILYMVQVELTTGSQKPIAWIDEEGRCFFPELYGHGHCQTEADRDEAFVGNDLNGSQEIKLHLEIDVNGLNSGDLEEVEESNIGVKKMRMEQESVKNPRQLDDEGKQDAYSDAKLNHTVGDNNRIGGDFMEASSKIVDAGTIRNMFQKATKPFFKVSISGINKCSSSLLQTRLDLFEKQLEIIKKVRGNANVQYAWLPCSKDAVFSIMTYGLGHGLPEPRIKYGIGVHLSSVNCAYSSVSNCDVDENRERYVVLCRVILGSIELLQPGSQQCHPSSEKFDTGVDNLESPSQYIVWNMNVNTHIYPEYVVSFTVPTDTEGGIILGAEVAEENRLDLSGVTSQEPQGKLQLGQAAVQRGRECLLSRSSQAEAATIGLSSSKAPKSPWMRFAVLFEAISDKITPTEMHSVHTYYEMFRQKKISRDDFIIQLRLIVGDQLLKSTITSLQCKLPPNSACPLEAQ >CDP19112 pep chromosome:AUK_PRJEB4211_v1:8:21694779:21695439:-1 gene:GSCOC_T00007314001 transcript:CDP19112 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSHNNCSWCWHDQRLSVFPLLGLYKNLGISPKPSQIKGKLLEDKEIMAINKIGAMTILFCGMMLLGANVEVMAVRPGPIRPCPLICIFVKYVTCDGEKKYPGCTNCCLDEGCTLHFEDGTSQYCTWPPKQQVGLANIMLKNMPF >CDP13531 pep chromosome:AUK_PRJEB4211_v1:8:590319:593077:-1 gene:GSCOC_T00038505001 transcript:CDP13531 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHIVSFVLNQLSVLLRDEAKLLGGLAQEIQLIMDELGHMKAFLKFAEAKEEDDPRLEEWVMQVQDVAYDVQDIVEELCSGLVAITDMGLLVEEAKLVGIDSPKQQLICQLLDGCSQLKVVSVLGMGGIGKTTLVKKVQEDANVKRQFEILAWATVSQTCYMEEFLKDLKEQGNLLLDLEGAELEEMPDEIFKLYHLKFLSLKHTRVKIIPKSIGRLRNLECLDLSFTAVSELPEEILHIRGLLHLVAYAFQQVTYKYDINGFKAPNNIGRLLSLEWLFYIEANDTSMMREIGELKQLRRLGITSLRRENGKELCSSLGRLSNLEQLYVQVSHKDEVIDLNYMNSSLSSSLENLQMLCLRGRLEKLIDDPLESLQHLPNLQTMDLNEAYQGEVLCFKAGSFLKLEQLNILALSGLRWLSVEAGAMPNLLELGLANLKFMEEFPRGIQHLTNLQSLYLCQPNEKLDAFLKNPFEDYQRISHIPEIFIWDDEVGLCRHPQFRQ >CDP17886 pep chromosome:AUK_PRJEB4211_v1:8:21153994:21154680:-1 gene:GSCOC_T00011870001 transcript:CDP17886 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPSCSSSAPASSSIASQEAQVKYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDSAEKAARAFDAALFCLRGKNAKFNFADIPPDLVGGRSLTPAEIQAVASEYANRYGNKEKQQQQGEGLIGEKEDDPLQFDQDTSPISSNSDGALQNVDWSFLGMLEPNGAATGATSNAPDYDLMLSSLDNLHEDMYMTPHVGTKVDVDHNFNGQHEDYQHLDENYSHQSFLWNF >CDP16140 pep chromosome:AUK_PRJEB4211_v1:8:23276017:23277766:-1 gene:GSCOC_T00017215001 transcript:CDP16140 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAVRDLLKDGKWPERGNFRLKTYDDQCIFKRYCGNPTEDELQIDPKVKVFFLKMDLNRGSSMNIKFVESVKSTTGFLPRQVANSIPFSSKSVPEILNKYSLNPQSQDAGIIKETIAECEVPAMKGEDKYCATSLESMVDFTTSKLGKDVLAISNEAQKTDPKVQKYGIVSVSKLNNDDKEIVSCHGQNYFYAVFYCHTTQDTDAYMVNLVGADGAKVKAAAVCHRDTSAWNPKHLAFQLLKVKPGTVPICHFLPEDHIVWVPKH >CDP18488 pep chromosome:AUK_PRJEB4211_v1:8:22788868:22790887:-1 gene:GSCOC_T00009060001 transcript:CDP18488 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGREGRRRRIVDRGTDRLALITGQIRTLPSDSESDHSHGSHSHTASCPPSISQSHEAVASPQTQDKSSGSLPPSLDSVSEISEHFMTGDRGGPIMRECESSMESSKVSSFDLDGKGSPAQDPQLFVSQKDHYFEAISHFHKIVSPKAVKLEIVATESTRTLCALVVAILVVLASVGFPILVARLLERQRGLGRPEQQPTSSPSVGETGFADQLGSALELAFLLQDVTGAMFMDCSIYAVTVICGLSLAGKLGW >CDP08176 pep chromosome:AUK_PRJEB4211_v1:8:24608220:24609637:1 gene:GSCOC_T00026927001 transcript:CDP08176 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDMIWKVRYHPTNQSLTCSCFKLIKDGLPCCHIISVIKAEQLREFPRCCINKRWMKHARSEIDSGIDIQALNMATEVARFRILNSTCSEMNYYASQTLQAFNKARNLISKFTSDVKQIYNSKGEVDEPSPEVSEDADGSTSRSGMHDPHGQCDASVVERPRPDDCSLHSHDQTTSQLRI >CDP13541 pep chromosome:AUK_PRJEB4211_v1:8:426395:431285:1 gene:GSCOC_T00038524001 transcript:CDP13541 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRYKAGLCLIATVVVIWVTSAEVTQGIFENYKQPFAVTYLGASLMVIYLPLAFLKDWICSLIRKRSGKTGKTLGSIGDSCAGADSPLKYIGDQKVFEMDIKGSLNRKHSEVDLSAQEEGKPLVSICTDGAENAKQEKEVSTREIAMYGFYIAPIWFITEYLSNAALARTSVASTTVLSSTSGLFTLFIGAYLGQDSLSVSKVVAVFISMAGVAMTTLGKTWAADDAQLNPSLNGKRTLVGDLFGLLSAVTYGLFTVLLKKFAGEEGERIDVQKLFGYVGLFTLVALWWLVWPLTALGIEPKFTVPHSAKMDEVVIANGLVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMSADMVIHGRHYSAIYILGSVQVFAGFIIANLSDWFTRTLGL >CDP07898 pep chromosome:AUK_PRJEB4211_v1:8:20363578:20363733:-1 gene:GSCOC_T00025389001 transcript:CDP07898 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKGTLRLHPPGPLLAPRESREQCQIAGYTIPVNTVTLVNAWTIETDPEY >CDP18800 pep chromosome:AUK_PRJEB4211_v1:8:206162:210634:-1 gene:GSCOC_T00005576001 transcript:CDP18800 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit 3-like protein [Source:Projected from Arabidopsis thaliana (AT2G20490) UniProtKB/Swiss-Prot;Acc:Q93XX8] MDHSCTYYTLILSLSLSISFMDHNSTAFCLSFPYICRYTYIRNYSQLDMITGIVIRSHSSHYSLMLPFFIYPNSTLFNPRSPPNNAKKIKPQLHPSSLPSLLQYVSKILLKTLNRVILLVLKRTTVSLSLSLYLRTRDSEMFLQFYINENGDKVYTTKKESPVGAITLSAHPARFSPDDKYSRQRVLLKKRFGLLPTQKPPPKY >CDP10019 pep chromosome:AUK_PRJEB4211_v1:8:27154278:27155249:-1 gene:GSCOC_T00030557001 transcript:CDP10019 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPDQLQTQRSSSQAVKTITAVAVGGSLTVLSGLIGLVLATPLLVVFGPVLVPAAVTIFLILAGFFICGGLGVTASFIFYWMFRYATGKHPIGADQLDRVREKIARASFIFYWMFRYATGKNPIGADQLNRAKEKIADAAKEMKDKAEQFGRQEAEEMKDKAEHFGQQVANEMKDKAEHLEEMKDKAENFGLQAAKEMKDKAENFGQQALQQIKGLRV >CDP13492 pep chromosome:AUK_PRJEB4211_v1:8:931777:935359:-1 gene:GSCOC_T00038451001 transcript:CDP13492 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITEGVKKVNLNETTQKRNRIQVSNTKKSLFFYVNLAKRYLQQYNEVELSALGMAIATVVTIAEILKNNGFAVEKKIRTLTVDMRDEPGAQPVPKAKIEIVLGKTDNFDELMAAEAEQRDYVENEDQN >CDP09823 pep chromosome:AUK_PRJEB4211_v1:8:28913034:28913805:1 gene:GSCOC_T00030295001 transcript:CDP09823 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQLPLLVFNIGLQVDFNLPQRFDITCVDSDQEKKQPIMIHRAVLGSLERFLGVFIEHYACEFPLWLSPTQARIFPVTDACTGICHFILSQIYWGTRCKAS >CDP11741 pep chromosome:AUK_PRJEB4211_v1:8:5918391:5919149:1 gene:GSCOC_T00034222001 transcript:CDP11741 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAPYCLQLCIWNIDGWEKKKTKAIQAPPGHTSPLIGETKVQFHNDQCHLRVSHERHYENQFCKDSSIICVWVNHGCDFLLLSLIGYINSVNGLLVYTGFLDGGIGIFDADSLRLCCRIAPSAYMPSSIVRY >CDP08328 pep chromosome:AUK_PRJEB4211_v1:8:26037184:26051798:-1 gene:GSCOC_T00027128001 transcript:CDP08328 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVAEGHGPWLFSTNNFLGRQIWEFDPDLGTPEERAQVEKARTDYRKNRFQAKPSADILKQMQLIKENQADLSLPNIRFERVEGITGEMVTSALRKAVRFTSAVQAQDGHWPAEMSGPLFYLPPLTMLLYTSGTMNVVLSAEHKKEIMRYIYNHQNEDGGWGFHIEDHSTMFGSANNYVALRLLGENVDGPNGNALSKARNWILNHGGLTMIPSWGKMGFSLIGLYDWSGCNPIPPELFLLPSCLPIHPGTNYLYCKYLPTFSRILQVSLAAKILARSLRNLWCYLRETYMPLAYLYGRKYVGPITDLILSLRNELYNEPYDTINWNATRHSCLKDDLTSPQPFMQDTVWDILHHVGEPVLKLWPFSKLRENALRKLMAHIHYEDENSRYVNIACVQKVLHMMACWAEDPNPNSTFFKCHLARVPDYLWIAEDGMKMQSMGSQLWDTVFTTQAIVASDLVDEFGTTLKKAYQFIKESQIQENPSGNFRSMYRHPCKGAWMLADRDHGWQVSDCTAEALKASLLLSNMSIDVVGEMIEVERLYEAVDFILSLQGKTGGFTIWEPATLPKWFEFFNPTQLFQNATVEYDDYKSKKKKKNLYVECTSSVVQALVLFNNSFPMYRQKDVKASIKSATQFIEKTQNPDGSWFGFWAICYTYASWLALGALAACGKTYSNSETVQKACQFLLSKQQESGGWGESYHSCIKKEYTNFDGETSHLVQTSWALMALIHAGQAKRDPIPLHKAAMLLVNSQMDDGSFPQQEMTGASMGNCILHYAAHRNIFPLWALAEYRKNVVPYLA >CDP13534 pep chromosome:AUK_PRJEB4211_v1:8:548160:552534:-1 gene:GSCOC_T00038509001 transcript:CDP13534 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLQAISDAIRVIPHFPKPGIMFQDITTLLLNHKVFKDTVDIFVDRYKDMDISVVAGIEARGFMFGPSIALAIGAKFVPLRKPGKLPGDVISEAYELEYGKDCLEMHIDAVQRGERAVVIDDLVATGGTLSAAIKLLESMEAEVVECACVIGLPEAKGRQSRLNGKPLYILVEPREFEGYC >CDP15672 pep chromosome:AUK_PRJEB4211_v1:8:6507004:6508393:-1 gene:GSCOC_T00015626001 transcript:CDP15672 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSGNVYLSMEEERRYASNSIQPVSSTPQSEQWTPEQKKLESFSLSERLGLDEFLSLHVWRASVGELFGTAVLVFLIDTIVISTLDSDVKMPNLIMSIVLAIMITIVLLAVHPVSGGHINPIVSFSAALVGLISMSRAIIYIIAQCLGAVLGALALKAVVSGNIERTFSLGGCTLSIVTPGPQGSPVTIGLGTAQAFWLEVFCSFVFLFASLWMAYDHRQKNSIGLVRVLAIVGTVLGLLVFISTSVTAAKGYGGAGINPARCFGPAVVRGGHLWDGHWVFWAGPAVACVAFYVYTQIIPNDHFHAQEFKHDFFGILRTVSGYNH >CDP07839 pep chromosome:AUK_PRJEB4211_v1:8:18532985:18542583:-1 gene:GSCOC_T00025288001 transcript:CDP07839 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKLLSPLKQSLRRNGSLFNQTRTGFALPSYTLLDCHQEQVLVEGRAKSRAAVLNRPSSLNALTTDMAARLNRLYESWEENTDIGFVMMKGSGKAFCSGADMVLLHQLINEGKLEECKTFFQTVYNFVYLLGTYFKPNVAILDGITMGGGAGISLPGMYRVVTDKTVFATPEAQIGFHPDAGASYYLSRLPGYLGEYLALTGEKLNGVEMIACGLATHYSLKERLPLIEERLGKLNTDDHTVVENSLAQYGDLVYPDRRSVLHKLERIDKCFSLDTVEEIVDALEQGAAESFDDWCCTTLKKIKEASPLSLKITLQSIREGRFQPLDQCLAREYRISLNFISKQVSNDLCEGVRARLVDKDFAPKWDPPHLEDVTKDMVDSHFLPLDEFESELNLPTSVREPYA >CDP13515 pep chromosome:AUK_PRJEB4211_v1:8:719304:720852:-1 gene:GSCOC_T00038483001 transcript:CDP13515 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLLGGALLIVFFLVDLAVFALGRGLSGLRRQLGFDQLCSATCLKCLISVVTRTFSLGGRYTQIPRSQICFYPLDLMWMCFFHLARGLVSMPHMFLVEKSLSGSSPPLKFFQMNASLRSSGVCMGVQRKVPVLVYLNAGLHF >CDP12950 pep chromosome:AUK_PRJEB4211_v1:8:2401313:2405905:1 gene:GSCOC_T00037658001 transcript:CDP12950 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVSFVSNHLATLLREEGSLLRGLREEVQFIMDELGQMRAFLKVAEPKEDDEPRLQEWIKQVCEAAYDIEDVLDEFVLRFAGHRHHGFCGSLQRILKAIKSLPARHQVASEIQSIKSRIKNISELRRRYQDEFGIDHRVAGSSTMNDSRRYSRDDALLVEEAKLVGIDQPKQHLISKLLEGHDHQLKVISVVGMAGLGKTTLVKKVHEDPKVRKNFPVRAWVTVSQTCDFPKLLRDLIRQLNKSVPQSIESMTTAELKKIVKDILRRVGRYEIVFDDVWDVEFWNEIKFALPEGNYGNRVMLTTRNADVASASCTESQDYVYKMEPLSIEDSWTLFCNKIFKGNHCPAHLMDVAKAVLDKCDGLPLAIVAIGGLLASKDVSRIDEWEKIQHSLGGELEGTGKLERVKRILSLSYNDLPSHLKPCLLYLSIYPEDYLIGCYRLINLWIAERFVEWREGMNIEDVAWGYLGELINRSLIQVTGVFYEGLPNHCRIHDLMREVILIKSREQNMVTVTTGQPMTWPSKEKVRRLAIHSSSNSSNIQYHQQRQFYCFEHLRSFIAVSSTNPFLSKTFLSEVLRSSKLLKVLELGGEEIEETPNEIFNLLHLTYLNLCGTKVERVPRAIGKLQHLEYLNLGNYGFHGFKGPSKLGGLLALQMLNTIDASSGSVIVKEIGKLTQLRVLYITQLRREDGKELCSSLVNLTSLQELSLEPCRWEILPAQGPDAPQFRVVF >CDP11719 pep chromosome:AUK_PRJEB4211_v1:8:5376705:5385771:-1 gene:GSCOC_T00034188001 transcript:CDP11719 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRS1 [Source:Projected from Arabidopsis thaliana (AT1G31970) UniProtKB/TrEMBL;Acc:A0A178W5E1] MEIPEKVAHKKQKNKHKRKHEQAEEEAEAEAVIIAPESKKDKKKKKKLRQDEILNNGLFPEFDEKAENFDGSAAEIANGSVKESEKKKRKKKKNEEGEEGVKDSLSNGLVEKSKEGKKIGGDEGNVEVSDSNDGVIVSGKNVNDKNYKALKSFGDSGLPDNVLEYCRKFDKPSPIQSHSWPFLLDGRDFIGIAATGSGKTLAFGIPAIMHVLSERKSKTSKKVNPLCLVLSPTRELAQQIADVLSDAGQPSGVKSVCLYGGTSKAPQISSLKSGVDIVIGTPGRLKDLIEMGVCRLNEVSFVVLDEADRMLDLGFEPEVRAILSQTCSARQMLMFSATWPLPVHKLAQEFMDPNPVKVVVGSEDLAANHDVMQIVEVLDDRARDERLTNLLEKYHKSRRNRVLVFVLYKKEASRVENMLQRRGWKVVSISGDKAQNARNQALSLFKEGSSPLMIATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTKENKGLAGELINVLREAGQVVPAALLNFGTHVKKKESKLYGAHFREIDVNAPKATKVKFGDSDDES >CDP08177 pep chromosome:AUK_PRJEB4211_v1:8:24617124:24617684:-1 gene:GSCOC_T00026929001 transcript:CDP08177 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNRELYGGTHKNNSTVSRKPQRLSMENLHRTISDISFELSKEAAAADNDAKLTPISEVEDAACECCGMSEECTPDYIKRMREKFSGKLICGLCAEAVTEEMAKNGGKREAALNEHMNACVRFNRLGRAYPVLYQAEAMREILKKNRAKSVSPRDAAAPKKGGIARSSSCIPSITKEMNNREMVN >CDP13425 pep chromosome:AUK_PRJEB4211_v1:8:1593481:1597978:-1 gene:GSCOC_T00038365001 transcript:CDP13425 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPALSNNSNDTPSSSGAGAATFNVNTPPSAAATSKNLRGLNKPKCIKCGNVARSRCPYQSCKSCCAKAQNPCHIHVLKGGSNIPDKINSSSSPATDPQSADVSHSGNSHRVASLRQLSNTFAQFNNLQTPVRSRKPLTRKDAQVINEWRFLKLREFRDRNIEAENEAFDRYTRNVSLLEEVFCVNSALDGQSEEGSSAPNTDRSVDDCNEIMVPGLKLKLRSNPVRIENFRKRVQYIVDQGLRELNNIESNDGNDELGDPDETGNRPKKMKSSWRAERASTLSDLIDKLNKARNEEDLKACFDMYSRISKRASKTSQVDSVLAEASDEQNPIDNLLHRERASYAPPKWFSSTPIDQESLCRIDSYFCSLEDVEDL >CDP13528 pep chromosome:AUK_PRJEB4211_v1:8:600758:606347:-1 gene:GSCOC_T00038502001 transcript:CDP13528 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRGAYAAISYMACAVLLVLFNKAALSSYNFPCANVITLCQMICSCCFLYALRRWKLISFHAGEAAMADTFKSMVPLRTLINTSPVAVTYLLYMLATMESVRGVNVPMYTTLRRTTVVFTMIVEYILARQKYTPPILGSVALIVLGAFIAGARDLSFDYYGYLVVFLSNITTAIYLATIARVGKSSGLNSFGLMWCNGILCGPVLLIWTFIRGDLEMTMNFSSLLSPGFLVVLLLSCILAFFLNYSIFLNTTLNSALTQTICGNLKDLFTITLGWIIFGGLPFDILNVIGQLIGFFGSGLYAYYKLIGK >CDP07855 pep chromosome:AUK_PRJEB4211_v1:8:18910417:18911696:1 gene:GSCOC_T00025316001 transcript:CDP07855 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFTQLCIHNLQMTRIYEGISEGQPFVVPNMPDKIEFTRAQLPGVFNPGSSMADLKGIRERVEAAQVEAYGVVVNSFEEMEQRYVHEFRKVKGGKVWCIGPLSMSNKENLHKIQRGSEAGSFDEDLCLKWLDSRQPGSVIYACLGSLGRLALQQFTELALGLEESNHPFILVVKEEKKEETERWIAEDGFEERTKGRGLLITGWAPQVLILSHPAIGGFLTHCGWNSTLEAVSAGVPMITWPLFAEQFFNERLVVQILDIGASVGAKAVRHLGEEEKSGVSVRRHEIQKAISKVMDGGIDGRERRKRAKQVGEMAKMAVEKGGSSDLNIELLIQDIMQLA >CDP12992 pep chromosome:AUK_PRJEB4211_v1:8:2909680:2913025:-1 gene:GSCOC_T00037717001 transcript:CDP12992 gene_biotype:protein_coding transcript_biotype:protein_coding MALANFPPIVLKPPNSSQSLYLFPYLPKPTLPISGIRPKTNKVGVFKPISAALSDPYVLQLAETLEDSIPSASSSPLQKIRDSSSESLLSTPWPTRKDEPFRFTDTSFIKNSKILPIGSPSQQNFTSLIDTAETLLPNLSIVDGFAVNSLSLLSDLPNGVYVGGLSTLNSEAILKRVSEYVSSFQGDLFWSLNGVGAPDLILVYVPEDCRVEKPLHLRYFSVEGSEKVSKSLPLSNPRVLVLVEKGGEIGIVEEYVGGDGDKCYWTNSVVDVVVGEGAKVSHSYIQTQSLNAVHIKWTSVRQESASTYELIELSTGGKLSRHNVHVQQYGPETSTELSTFHLSFGAQMQDLHSRLILDHPRGFSRQLHKCIVAHSSGQAVFDGNIQVNRFAQQTDAGQLTRSLLLEPRATVNVKPNLQIIADDVKCSHGAAISDLEEDQLFYFQARGVDIETARKALIFSFAAEVTARYPDSSIRKRVENHIRKLVDPAPS >CDP13414 pep chromosome:AUK_PRJEB4211_v1:8:1813923:1814624:1 gene:GSCOC_T00038346001 transcript:CDP13414 gene_biotype:protein_coding transcript_biotype:protein_coding MGIREIEVATGNKLFIHELEDVCDSVTGRALTGSWIWDLAVVLSNWISARAQLDYDLSNKTVLELGAGTGLPGLTAARLGASRVVLTDIKPLIPLLEKSVEVNGLGDRVLACQLTWGSDELPSQLNELGHVDLVLLSDVFFDAAEMGGLAKTLKKVCGKETTVWGATEVRPWTTASLGELESEGFGIVELSSQLSDGLHGEVTGGDQEEISVFQLVPPGQDCEPLEAWSPIVY >CDP07872 pep chromosome:AUK_PRJEB4211_v1:8:19329878:19331686:-1 gene:GSCOC_T00025349001 transcript:CDP07872 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLNFTAFFLFIAFVLTLIKVLKRSKTAQKLPPSPWKLPIIGHMHHLSGSPPHHALRKLAQKYGPLMHIQLGEISSIVVTSPRLAKEIMKTHDLAFANRGETLAGKIMLYNCSDIACCPYGDYWRQMRKICTLELLSSKSVRSCGSIRQEEALHLVSSIKALANAGKSIDVTEKMSSYASAVLYRAAFGKVSNDDHFAFFQLIKESSSIASAFDVSDLLPSFKILHPFLSVKNKMLNIRYKLDKVLDKIIDQHMDNLARTKIATGASDNEDIIDVLLRVKESGELQIPLTKDNIKAVLSDVFAGGTETSSTTVEWAMSEMIRNPGVMAKAQSEIRNAFRGKNTIEETDIQRLQYLRSVIKETLRLHPPIPLLIPRECREECEIDGYIIPVKTRVLVNAWAIGRDPEYWDDAESFKPERFENSSIDFNGSHFEYLPFGAGRRICPGIAFGLANVELPLALLLYHFDWRLPNGLDSNDLDMTETVVITASRANNLRLLATIYDP >CDP09878 pep chromosome:AUK_PRJEB4211_v1:8:28482674:28485978:-1 gene:GSCOC_T00030358001 transcript:CDP09878 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNTNTQAALESTTTATATATSASLSADELTAKAVHKRYEGLVMVRTKAVKGKGAWYWAHLEPILVHNSDTGLPKAVKLRCSLCEAVFSASNPSRTASEHLKRGTCPNFSTAVKSISSMPSSSTPTVNFMSSSPPSSSQVQQPHHNHRKRSAGGGRGGAVNIGLSPSSATPTSSAYQVPPLAIVDPSRFAVELAYPPITSTVVVTAAAAAASSSGGGGGAMFAAASQQQHQHQQHLMLSGGKEDLGALARLKDDVKRLKSPKTSHGPALSKNQIDSALDYLADWVYECCGTVSFSSLEHPKFKAFLNQVGLPAISGRDFSGSRLDNKYEEARAESEAKIRDAMFFQIASDGWKSRNYGYVGEENLVNLAVNLPNGTSVFRRAVFTSGFVPSKYAEEVLWDTVTEICGNNVQQCAGIVADKFKAKALRNLENQNHWMVNLSCQYQGFCSLIKDLSKELPLFKNVTENCLKLANFVNSKSQIRNSFHKYQLQEYGHAGLLRVPLRGFEGSDFGPVYTMVEDILSYARALQLVIHDESYKIVSMEEPIASDIEEMMRNPHFWNELEAVHSLVKLIKVMAQDIETEKPRVGQCLPLWEELKLKVKEWCSKFHIAEGLVEKIIERRFKKNYHPAWAAAFILDPLYLIRDTSGKYLPPFKCLTPEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDSVYAQAVQLRQKDPNTGKMKIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATSCGFRCNWSLLKWMSAHSHSRVGMDRAQKLIFIAAHSKLERRDFSSEEDRDAELFALANGEDDVLNDVFVDTSSV >CDP14453 pep chromosome:AUK_PRJEB4211_v1:8:14704531:14705955:1 gene:GSCOC_T00040935001 transcript:CDP14453 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGWSLTAKPCDSCKATSASVFCRADSAFLCLACDSKIHAANKLASRHGRVWVCEVCEQAPASVTCKADAAALCNACDRDIHSANPLARRHERIPITPFFDSASAARCTGASDEKCLLDLGSEAEEAEAASWLLPNPNCNKDLEPDSPEYKTADYLFTDMDPYLDMDLISSDQKPHVVQYQNHHHNHVHQQHNSDGVVPVQNKNDPTHLPGPVVDGFPTYEMDFAGSKSFMYNFSSQSISQSVSSSSMEVGVVPDHNAMADVSNNFSRSDAVTNPVSGVDREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRAEVEIESLIVADASYGVVPTY >CDP12038 pep chromosome:AUK_PRJEB4211_v1:8:29743504:29745165:-1 gene:GSCOC_T00035399001 transcript:CDP12038 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTVMMVLSLAAAYFVWFRFIARSWRGPRLWPILGSLPGLIQNSQRMHDWIADNLRSCGGTYQTCIFAVPFLARKQGLVTVTCDPRNLEHILKVRFDNYPKGPTWQAVFHDLLGEGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVSRAIKLRFCPILKAAQVEGKPVDLQDLLLRLTFDNICGLAFGKDPQTLSPGLPENGFATAFDRATEATLQRFILPESIWKLKKWLRLGMEVSLTHSLKHVDHYMTNVINTRKLELLSQQNGGPLHDDLLSRFMKKKESYTDKFLQHVALNFILAGRDTSSVALSWFFWLVGQNPRVEEKILVELCTVLIGTRGSDTSQWLEEPLVFEEVERLTYLKAALSETLRLYPSVPQDSKHVISDDILPDGTFVPAGSSVTYSIYAAGRMKYVWGEDSLEFRPERWISEDGQKFEPHDQFKFVAFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLTVAPGHRVEQKMSLTLFMKNGLKVNVHERDLSPVLATVGKVDHSGLNLGNGSCHAEAPMLNVVDCGDGAIVNI >CDP11762 pep chromosome:AUK_PRJEB4211_v1:8:6263389:6264979:-1 gene:GSCOC_T00034256001 transcript:CDP11762 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKVLVVGGTGYVGKRIVKACLAQGHTTYVLQRPEIGLDIDKLQMLLSFKEQGAHLVEGSYSDHQSLVDAVKLVDVVICTMSGVHFRSHNILMQLKLVEAIKEAGNIKRFLPSEFGMDPARMGDALEPGRVTFDEKMIVRKAIEEAKIPFTYICGCCFAGYFVGNLSQLCTLVPPKEKVNIYGNGNMKVAYMDEDDIATYTIKTIDDPRALDKTVYLRPPENILTQRQLIEKWENLRGRKLEKCSIPAKDFLASMKDMDYAGQVGVGHFYHVFYEGCLTNFEAGKDGEEASELYPEVEYTRMESYLKRYV >CDP09970 pep chromosome:AUK_PRJEB4211_v1:8:27718552:27720271:-1 gene:GSCOC_T00030489001 transcript:CDP09970 gene_biotype:protein_coding transcript_biotype:protein_coding MRENDDEKTVAAMDMLVPQVGELIGGSQREERLEYLEKRLDGLKLNKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRTPGSAEF >CDP12472 pep chromosome:AUK_PRJEB4211_v1:8:15806447:15813068:-1 gene:GSCOC_T00036056001 transcript:CDP12472 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTSIGLVLLVVALLLLVAWAILTWQKCNASEKLERLPPGPRRWSVVGNMFQLGWSGHESFAILASKQGPIMTLWLGSMCTVVISSNEVAREMFKNHDVVLVGRKIYEAMEGDIGNEGTLITAQYGPRWRMLRRLCTAKFFCLEKIISNGYIKLEKLHLVARFCLTCEKIFKMTSLMNCSILNLVSNAINHSQFGLMQQLIIDQMVKYIEAAGGSGANGIDVGKFFFLLAFNLIGNLMFSKDLLDPSSERGAKFFDIAGLYLIERIIESNRDETDHPSPEKRRRDYLDVLLHYQGESAEEPPEFSPTTINIIVFRKTLCFLSNIKFGSYHVINLRTHEMFTVGTDMTTSILEWAMAELLRNPKTLEKVQAELRSAICLGTKLEEKHLDNLSYLKVVVKETLRLHPPLPFLVPHMAMDSKYLGIGRDPKTWENPLEFKPERFLEPSTADFQGHHFEFIPFGSGRRICPAVSLASMVRHLLPMALGSILHLFDWSLADGIKPEELDMGERMGITLRKAVPLKAILVPLQG >CDP15680 pep chromosome:AUK_PRJEB4211_v1:8:7238079:7240104:1 gene:GSCOC_T00015643001 transcript:CDP15680 gene_biotype:protein_coding transcript_biotype:protein_coding MHECKALAFCKFSKFSSVLVTKRRRICKVNAANFYCLANTQFLNIHPPHPLHKKYIYTKKNIYILYTKVTKPSFFVPSFSATAVPLLSPQFLLRSLLFWSGLLYSLIIQTADLFDLESYPFNLNYSWHFYSELIACDLGSGSMEFVVPPADPASFFPISVRFTAASTFSDLKVANILPLGGGPAPKFSQRTLLTTETCQVV >CDP11733 pep chromosome:AUK_PRJEB4211_v1:8:5821312:5833241:-1 gene:GSCOC_T00034209001 transcript:CDP11733 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIAVSAAFNIVGAFAFLLAFALLRLQPINDRVYFPKWYISGKRSSPMHAGGSFVGKFVNLNFKTYFTFLNWMPQALKMSEAEIIDHAGLDSAVFLRIYLLGLKIFVPMAAVALVVLIPVNVSDGTLYSLNKDLVISNIDKLSISNVRSKSIKTKKILSSLIKKNLWDKPQLTANSQLLWFLRTPLHLLAACCEMFDSSFFFSFLLTNFYRKRVARAKLPYPKFFFHISMQYLFTFWTCYLLYKEYSRVASMRLRFLASQGRRAEQFTVLVRNIPHVSGRSTSDSVESFFRKNHPEHYLCHQAVYNANKFARLVQKRDRLQNWLDYNQLKFERNPEKRPRRKNCFLGLWGEKNDSIDFYKHQIKELDKKLTMERQKVLKDPKAIMPAAFVSFNSRWGAAVCAQTQQSKNPTLWLTKWAPEPRDIYWKNLAIPFVSLTIRRFIISVAVFALIFFYMIPIAFVQSLANLEGLEKVAPFLTPVTELKFIKSFLQGFLPGLALKIFLFALPRALMIMSKVEGYVAKSTLERKAAAKYYYFMLVNVFLGSIIAGTAFQQLRAFLHESATQIPRTIGVSIPMKATFFITYIMVDGWAGIASEILRLKPLVKFHLKNMLIVKTERDRVKAMDPGSVDFPETLPSLQLYFLLGLVYAVVTPILLPFILVFFAFAYFVYRHQVINVYNQEYESAGAFWPHVHRCIIWSLLISQLLLMGLLSTKEALSSTPLIIVLPILTLAFHNYCKNRFEPAFRKYPLEEAMDKDLEDRPSESDVKLKSFLADAYLHPIFRSFEEVESVELRVDKRRAHSPSPSRSSSSSSDDDSHHLEDEPSEEEDEPSEEEAVLPLHYEYEPPSDAYHYVAEVLSNVYEYGTEPYHN >CDP11735 pep chromosome:AUK_PRJEB4211_v1:8:5848695:5851992:1 gene:GSCOC_T00034211001 transcript:CDP11735 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVIESEVQATPARRPRPVDDEEDRSMHDINNVAMKIQRAREAYEEYAGNQEKPSRGEVFGWYFYGLCSYFIHTVLVPILFPLIIGQTVPKPPEPQQGWLRSYKGIVCTERKMQLYERLIHPSIEVNNVQLSPLEWTSVAWFLGLFLAAPVLSMFSIHLDHGQNQQLIAGAATAVGALFCLPVGFFKTRWIFPPYVAVIVVASTIGTTFHARHLGLMVRGFVGSTIRKSQFPNRKAVAGLLSVYSTASGCLGAAVISAFIYYMLRKSDILTTLWVVSIFSGIIWFSGTAQIFITTRTNLTTNSSTSSIPKTHVVSIFKYPHAAGSIVGVFLSSFTTMCIFTGALLYSIGDLCLEGKNILFLWMIYFVFPLLSLPLSHQLQQLIRADAVKMQLLGLLLSAATSGFGFYFRHEIWKTPHLLLFSAVHGTSTGLLDAFGRVLLLDCSPAGKEGAFSAWFSWVRALGIWAGFALASAIPGNVQRSFGISFCTAIVATIVLIFGNIDNFRGAKAAGHIIDQHSRQGSPVHGLDSGGVEEKNSVIEEASHEEKLEV >CDP15676 pep chromosome:AUK_PRJEB4211_v1:8:6863840:6864031:-1 gene:GSCOC_T00015635001 transcript:CDP15676 gene_biotype:protein_coding transcript_biotype:protein_coding MFATAVRYFSKKPKPKMKPIELKTPPEQTQTITGAIFDIIKEHGPLTVSDTWERVKEVARFVG >CDP19382 pep chromosome:AUK_PRJEB4211_v1:8:22989009:22993768:-1 gene:GSCOC_T00003991001 transcript:CDP19382 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSSTLANIFLLLLVAMIFSISHVSTSKQFKNETDRLALLEFKKQIYDDPLGVLNSWNHSQHHCQWEGHFSGIISPHVGNLSFMRLIHLEENQFHGEIPQEFGRLFRLRVLNLSYNVLGGKMPANLSYCSELININIHDNKLEGKIPIDQLSSLKKLEYFGLRTNNLTGEIPSSIGNLSSLTGLAFDFNNLEGNLPMEMGLLKRFAGLGAAENKLSGIIPASIFNISAITVISVAGNSFHGSLPTNIGLTLPNLQVLYVGGTNLYGNFPISITNASGLEILDLPYNKFAGQIPTNLGDLTNLQRLNLDTNLFGGNSTRDLDFIASLTNCSGLRILSLGYNKFGGNIPRVMANLSNQLTKLFLGGNQLSGTIPGGFGNFVNLYLLSLEENYLSGVIPRDFGKLQNLQILRRNIFDNVLMNCQNLQYLDVSQNNFSGIISPHFLQKHSSLIYMKICENSFSGSLPLEVGKLIHLADFNVSHNQLAGGIPMSLADCSNLENLFMQSNFFRGTIPPNLASCKSIQRLDLSSNNLTGPIPKEFEKLQFLRYLNLSYNEIEGEIPNTGVFSNASQISLIGNNKLSGGIPELEFPPCPTPIPLFLLTKRITTGDFGRENLKAWAFDFGGDHGGKEKGFGPLFSRVPLSINISMLSDLIFMGLFYLLDVFLVINAIGKLIPTVVPRSTFLADDLSLYFLVYRKRERRLVAGFSSMPTRVDKLLRISYHELLRATSGFSSENLIGSGNFGSVCKGRLEKHGNMLVAVKVLDLQKNRASKSFKAECKALRNIRHRNLISIVSYCSSIDSKGDEFKALVYEFMENGNLDLWLHPSETTDQTTSSRSLNLLQKLNIAIDVASALQYLHDHCEAEIVHCDLKPSNILLDNDLDAYYGILLLEMITRRRPIDDIFMGDLDLHNYVNGALHERVLEIVDPLLLSEGRDENSRITHGEEAINGGRGIDCIISLLKIGLKCSARLPNDRMHMNEVVRKLHLIKDVFLGVKVHQENLEA >CDP19386 pep chromosome:AUK_PRJEB4211_v1:8:23106794:23107018:-1 gene:GSCOC_T00004001001 transcript:CDP19386 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKETEFLCKLTANHLFLAQFEPLRATLRSLRVRSPELARSIWQTIVAKGGRFDSVLWSHQNFANNFGKCRGF >CDP09833 pep chromosome:AUK_PRJEB4211_v1:8:28809820:28814440:1 gene:GSCOC_T00030307001 transcript:CDP09833 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTTPPSTSTSDITTSTTPPPPKTPLKNHLIPNLNSYHPSPSRTIYSDRFIPSRSSSNFALFNLSLSSHSSTSDDSTNAYTALLRTALFGPDSAGVVPPVTPDKLSGINGKNLQINPPNCNIFKFKTETRKSLHSLSPFGFDDQLPGVSHSPVKTPRKVPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWHASSSKVVKLCDLGIDDSVCSVGWAQRGTHLAVGTSNGKLQIWDASRCKRVRTMEGHRLRVGALAWSTSLLSSGSRDKSILQRDIRAQEDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHMHGLLASGGGTADRCIRFWNTTTNSHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTESEIGASSLGRTQIR >CDP18781 pep chromosome:AUK_PRJEB4211_v1:8:614:1806:1 gene:GSCOC_T00005552001 transcript:CDP18781 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPLVFPSRMPLPFLNEVFVLARHGVEFEVDKIPGAQGGHVKAKGIIYLSNIRMVFVANKPVEDFAVFDLPLVSWLCTFCSARHSSLSYSLKRLVVNKVTIPTARGLCI >CDP18541 pep chromosome:AUK_PRJEB4211_v1:8:4335942:4338195:1 gene:GSCOC_T00010780001 transcript:CDP18541 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCDFEVIEDSRNNIAQLRNWPFHTYPIFSKVFISCKYSMPNFIGLKIVVRQVEEIVVPNGSTTSCLKPGSLDRKVRTQKEQTLNCPRCNLTNVKFCYYNNYSLSQPRYFCKTYRRYWTEGRSLRNIPVGGGSKKNKRSSSSSSSSSSSTSSSKKLPNLIPICFS >CDP13467 pep chromosome:AUK_PRJEB4211_v1:8:1134787:1137540:-1 gene:GSCOC_T00038424001 transcript:CDP13467 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTSVGLDGLLSLHLLPNLTCLDLSYTFLDNLLPVFETCSHLKVLKLQACKYLADSSLKPLYNEVARALPALYELDLSYGTLSWSAIEELLACCTHLTHVNLSGCVNMHDLDWGFGGNKILEQPRPDGLSDENKQPHRLLQYLDCVGCPNIKKVAIPPTAQCHYLSSLNLSLSTNLKEIDVACCNLRILNLSNCISLETLKLDCPRLTSLSLLSCNIDEEALEAVMLCCSMLETLDVRCCPKIRMSVEQLHAAYPSLKRIY >CDP13519 pep chromosome:AUK_PRJEB4211_v1:8:692382:697283:1 gene:GSCOC_T00038488001 transcript:CDP13519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MTTNSTRWIHPTLRGSPVLSSSSCLHFKIPAPKPPTFQFVGQPIKAKAPATPPPPIPRANERVSRPSDLFGRRELFLLSTTVPFLVPSPIAVAADEIFQMREEIGKVLSKGKAAGVLRLVFHDAGTFDIYDKTGGMNGSILHELDRPENKGLKKSVKASFILEKAKSQIDILYPVSWADLIAVAGAEAVSVCGGPKIPVQLGRIDSMLADPEQKLPEESLDAAGLKQCFQRTGFSTQELVALSGAHTLGSKGFGNPTIFDNSYFKILLEKPWLSSAGMSAMIGLPSDRALVEDDECTTWISKYANDQNLFFEDFKDAYIKLVNTGARWRSI >CDP14456 pep chromosome:AUK_PRJEB4211_v1:8:14497739:14498314:1 gene:GSCOC_T00040940001 transcript:CDP14456 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLRTLDTTTSKKFTTPSTTTTKPTFSTPAIVQKSLWHSPLPYLFGGLAAMLGLIAFALLLLACSYWRLSSSINNQESSGERDSEEGGDDGKAENAAAGKAMPGFEEKIVVIMAGDVKPTFLATPMSSKASCFGDGFKKNENFGKETEEIVERPKHEVSDHDEQTVRSAEDGNQSRESTAAQESPEENQP >CDP11769 pep chromosome:AUK_PRJEB4211_v1:8:6438121:6439190:-1 gene:GSCOC_T00034266001 transcript:CDP11769 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASKKLILFAFFVIASLVIGGPIAKVEASRVQPAETEAEAIGRCPFPCVTNVQCLTRLCGKTRCEYSIFLRRKRCV >CDP16188 pep chromosome:AUK_PRJEB4211_v1:8:23998693:24000887:-1 gene:GSCOC_T00017279001 transcript:CDP16188 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQVKPPPCHESNKNCSSATSFQLSLLCSCFGLMSIGFGGIRSSSLAFGADQLRRTGGQNNGWALECYFSWYYALCTISILIALPCIVYVQENLGWQVGFGIPVMLMLLSTLSFSLASHLYVKLKAKSSLIVEMLQVAVASYRKRHIELPTESSKMLYHHHRGPSICLPSEKLRFLNKACIIIDPEKDLTTDGRVADPWSLCTVNQVEDLKSILKVIPLWSTGMIMSINVSQGSFSVLQAKSMNRKFGPNFEMPAGSFGMFTVVSTILWIALYQQIFLPVASRIMGRPVHLSTRKRMGIGMALSFLCMIVTATVEAKRRSLAIREGYSDDLDAVVDMSVLWLLPQYFLIGAAETASAIAQNEFYYSEFPRSMSSISSTLFSLGVSAANLVASFLMNAIDKLSKLGGKESWIETNINKGHYDYYYWVLAGLSVLNMIYFLICSKAYGPSKEDEKETTFHEEDN >CDP08282 pep chromosome:AUK_PRJEB4211_v1:8:25620138:25622798:-1 gene:GSCOC_T00027062001 transcript:CDP08282 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26180 [Source:Projected from Arabidopsis thaliana (AT2G26180) UniProtKB/TrEMBL;Acc:O64852] MGASGKWVKALIGFKKPEKDDYEKLGGKGKKWRLWRSSSGDLGGSSSWKGFKGHRRNSGGSEASDSSSVNNDAFTAAVATVVRAPPKDFRVVRQEWAAIRIQTAFRGFLARRALRALKGLVRLQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSIEGQAVQKILDEHRSKADRLKQAEDGWCDSRGTLEEVKTKIQMRQEGLFKRERALAYSIAQKCKFSQNFDSRTYVSVPSLKSHELDKNSWGWSWLERWMAAKPWENRLMEEANNDIAEKTPHSKTCLDSSKGNNRSRSSEPGSVKVKRNNVTTRVSAKPPLVGLATRSSSSPSSEFRYDESSASSSICTSTTPISGTTPLTSDRTEDRNNSRPSYMNLTESTKAKRRNQRILRQSMDEFQFLKKSSAFSNGDSKSSNGSDPSSVHFSRPLCLPTRMEKFSMNLTERDSCFYQ >CDP12159 pep chromosome:AUK_PRJEB4211_v1:8:30726090:30728743:1 gene:GSCOC_T00035560001 transcript:CDP12159 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAHALKRIPRIKFPQRHPKPPGATSSQAQTTSASGDASRPFFPRPPSMTPGGKASDQPRRTPVSQEEIEAILLGGTL >CDP17892 pep chromosome:AUK_PRJEB4211_v1:8:21226003:21227349:1 gene:GSCOC_T00011879001 transcript:CDP17892 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKILFDKYEMGKLLGKGTFAKVYHGKEMATGESVAIKVIYKDQVKTEEMMNQITREISIMRLVRHQNIVEIKEVMATKTKIYFVMEYIKGGELFTKVARGRLKEDVARKYFQQLISAVDFCHSRGVVHRDLKPENLLLDENGELKVSDFGLSALPEQLRNDGLLHTQCGTPAYIAPEVLRKKGYDGVKADLWSCGVVLYVLLAGFLPFQDQNLMNMYRKIFKAEFTFPPWFSMESKRLISKLLVADPARRISIPAIMKAPWFRKNDSMTSSFSVKEFTIENLFEIKGKSGSMFTSRCSANVIMTKIEMVAKKLNCKIARGKDFTLRLLAPFDGRKGRLLVTAEVFKVAPEVAVVEFLKSSGDTLEYKKFCEEEIRPALKDIIWTWQGDNALLNKNGKDDLQESIV >CDP18536 pep chromosome:AUK_PRJEB4211_v1:8:4425436:4429082:-1 gene:GSCOC_T00010772001 transcript:CDP18536 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEMTLATAYFLGLRRTYRLALKIQRRLISPKRTRAVFDVAIKVHRNIQERDLEVGRSIGNRILRWLDRMKPSAQIQPKPPQNGNASASVKRHLTDSSHQSTPSNFQRNGVRSGAPDSDRHLFTSSRNVWPKSFQSVAMMMRRTKPAGVNTQYRHLSIYGLQALKVNYGGLGSGGVVRSDIMQWMLQN >CDP18439 pep chromosome:AUK_PRJEB4211_v1:8:22099058:22109028:1 gene:GSCOC_T00007257001 transcript:CDP18439 gene_biotype:protein_coding transcript_biotype:protein_coding description:KC1 [Source:Projected from Arabidopsis thaliana (AT4G32650) UniProtKB/TrEMBL;Acc:A0A178UVX9] MSTTTTTLHSAKSPVPLLYRRHSSGQIRNLASVSSSLLPAFGTVVGEGSLQLNKFVIAPYDRRYRWWQAFLVVLVVYSAWSSPFELAFKKVATDSLLPVDLVVDAFFGIDIVLTFFVAYLDKSTYLLVDDHKKIAMRYVKHLWFPMDVASTLPFQVIYQIFTGKRHYGQVFGFLNLLRLWRLRRVSELFSRLEKDTRFSYFWTRYAKLICVTLFAVHSAGCFYYWLATHHHVADDTWIGSLIPNFEDRSVWLGYTYSMYWSIVTLTTVGYGDLHAVNTGEKIFNMLYMLFNIGLTAYLIGNMTNLIVHSAVRTFAMRDAINEILRYASKNRLPESLKDQMLAHVTLKFKTAELQQEEVLEDLPKAIRSSIAQHLFRKTVESAYLFKGVSEDFIVQLVPEMKAEYFPPKVDIIIQNEISTDFYIIVSGAVDVITYKNGMEQFLSKTGPPDMFGEIGVIFNVPQPFTVRTRRLSQVIRISHHNFKQLLQPFNEDGKIMLSNFLQHLKGLKKEELEEIPLVTEFLGDLRTEDTAAVEELEHHAADEEARRTSTAYTFSDVLPTRIIIHGHHPNEKLTEGESPGKLIHLPDTIEDLLRLAEKRLGKRGTAILMADGSQVEDLNTLRENDHLYIL >CDP16145 pep chromosome:AUK_PRJEB4211_v1:8:23375089:23376779:-1 gene:GSCOC_T00017224001 transcript:CDP16145 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKGLTTRIDRKLSGLSNSFSKQRIFRVDHKLRSQNEVAYEPQMFSIGPYHHGKENLVKAQTYKLWYLKELLLRRGESSTERYINALKDLEEGARSWYAEEDMIGLGSDEFVEMMLLDGFFIIEFLRKYAGWCLYKDYPLNYGPKQGDPIFLNRRTMGSLFRDILLFENQLPFFILVRLLEMTKPPGGAEEENLIDLAIFPDSPLHFFFPGEKPVSLPNPTTNIAGNVGDVVHLLHLNGDSQDNCSTSGEVYEHIKCASELQQAGIKFETANKSVSWLDIAFEKGVMKIPTLDVHDVTECVFRNLIACEPYMINGLLDKRYVTDYFHGSPYRPISIRICQVVTGTDRTGTI >CDP11749 pep chromosome:AUK_PRJEB4211_v1:8:5977616:5980130:1 gene:GSCOC_T00034234001 transcript:CDP11749 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPTNVAGGGSLFIYIVKTKLKGHHKQSTGLAFSQNLTVLLCIWNIDGWEKKKMKAIQAPPGHTSPLIGETIVQFHNDQCHLLVSHESQIAVYDTQLECLNSWYPRDALSAPISSATYSCNGLSVYTGCLDGAIGIFDADSLRLRCRVAPSAYMSSSIVSSSSAFPMVIAAHPSNPSQIALGMSDGAVLVIEPSDTEPKWGSLSSQDNGTLPTTPSSSALSSQPSKTPPR >CDP07887 pep chromosome:AUK_PRJEB4211_v1:8:19781757:19783362:1 gene:GSCOC_T00025374001 transcript:CDP07887 gene_biotype:protein_coding transcript_biotype:protein_coding MELPFNFIAFFLFLAFVLCLIKEWKRSKAAQKLPPSPSKLPVIGNMHHLVGSPPHHALRKLARQHGALMYLQLGEISSIVVSSPHLAKEIMKTHDLAFANRAEFLTSKILMYNSSDIACCPYGDYWRQMRKICTLELLSAKNVRSFGSIRQDEASHLLASVQALAAAGKLINISEKLYSYTSSMVCRAAFGKVSKDLHREFLQLTSESAPLSSTFDISDLFPSFKILHPLLSVKSQLVKIHLKMDKLLGNIIDQHVDNRARTNMATGESGNEDLIDVLLRVKESGDLQFPITNNNIKAIVIDVFSAGTETSSTTVEWAMSEMVRNPNVMAKAQSEIRTAFKGKKKIEETDIQELKEQCEIEGYTIPVKTRVLVNAWAIGRDPEYWDDPESFKPDRFKTNPVDFTGTHFEYLPFGAGRRMCPGISFGLANVDLPLALLLYHFNWKLPNGLDPCDLDMSETVGITASRKDSLRLLATSYDP >CDP09986 pep chromosome:AUK_PRJEB4211_v1:8:27559732:27573293:-1 gene:GSCOC_T00030509001 transcript:CDP09986 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEDIDDAGEKSSFVVGLIENRAKEVGVAAIDLRSASLHLSQYIETSSSYQNTKTMLQFYDPMVIIVPPNKLAADGMVGISELVDKFCTSTRKVIVSRSYFDDTRGAVLVKNLAAKEPSALGLDTYYKQYYLCLGAAAATVKWTEAEKGVIITNHSLSVTFNGSFGHMNIDTTSVQNLEIIEPLQSTLCGTKNKKRSLFQMLKATRIVGGTRLLRANLLQPLKDIETINARLDCLDELMSNEQLFFGLSQALRKFPKETDRVLCHFCFKPKKVTNGVLAIDNARKSQILISSIILLKTALDALPLLSKVLKDAKCFLLTNIYKSVCENEKYASIRKRIGEVIDEDVLHTRVPFVARTQQCFAVKAGIDGLLDIARRSFCDTSEAIHNLANKYREDYKLPNLKIPFNNRQGFYFSIPQKDINGKLPSKFIQQVVKHGNNIHCSTLELASLNVRNKSAAKECYTRTELCLEELMDAIRQDVSVLTLLAEVLCLLDMIVNSFANMISTKPVDQYTRPQFSYDGPLAIDSGRHPILESIHNEFIPNNIFLSEASNMVIVMGPNMSGKSTYLQQICLIIILAQIGCYIPARFATVRIVDRIFTRMGTMDNLELNSSTFMMEMKETAFIMQNVSPRSLIVMDELGRATSSSDGFAIAWSCCEHLLSLKAYTIFATHMENLSELATIYPNVKILHFHVDIKNNRMDFKFQLKDGPRHVPHYGLKLAGVAGLPSSVIETAKSITAKITEKEVRRMKINSLQYNDIQMVYRVAQRLMCLKYSNQDEDSIREALQGLKESILNGSL >CDP12155 pep chromosome:AUK_PRJEB4211_v1:8:30686632:30686909:1 gene:GSCOC_T00035553001 transcript:CDP12155 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVLSSNGTDAETCERVLGFWGLGRMEDDFFLFFFL >CDP11710 pep chromosome:AUK_PRJEB4211_v1:8:5236120:5237538:1 gene:GSCOC_T00034177001 transcript:CDP11710 gene_biotype:protein_coding transcript_biotype:protein_coding MDQADPKSSDLLRLPPPAAAASKARPKRFVKNQIPDSILKDAALNSAISVLPSNYNFEIHKCVWRVRSSAAKRVALQFPEGLLMYSLIISDILQTFTSVTHCFVLGDVTYGACCVDDLSAAALSADLLIHFGHSCLVPIDFTAIPCLYIFVEIFIDSNKLFNQIKLNFSSSENFSFILAGTIQFSHAIRAVKPELEKLGFRVLVPQAKPLSAGEVLGCTAPSVSINKEVTRVEREGKEENEEVIIFVADGRFHLEAFMIANPGVKTFRYDPYLGKLFLEEYDYDGMKEERRRAIERARGAKNWGLVLGTLGRQGNPRILERLEGKMMEKGLDWMVVLMSELSPQRIALFEDSVDAWVQIACPRLSIDWGDAFGKPLLTTFEAEIALGDLPGWWERKSKAGVELSCSSGSECSENKSCCASNNGDRKEKNEVVVDYPMDYYAQDGGEWNSCYTKKPTRNLRKNLQAATYTAST >CDP11734 pep chromosome:AUK_PRJEB4211_v1:8:5840815:5845075:-1 gene:GSCOC_T00034210001 transcript:CDP11734 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLSLILFAIFGSLCLNYILPVDAISTIRPGDRLNSTSQLVSEGGNFTLGFFTIKEKNFSYLGIWYTADDQSRKVWVANPDAPVIMNDAGAVSLTIDNEGILKIVSGDNTVLNVSDEVGTGNTTAALQDSGNFVLMDAEADNRILWQSFDHPTNRLLPGMKLGVNFTTGQSWTLTSWLSDEVPASGAFRLSLERIQDSGQLVIRLRGEDYWTSGPWNDQTFQFLQTLTASYNMFHNNLTFTSTIDSIYFTFQSIGSSLSMLELTPDGEILDDATSLFVSPYEKFCYGYESDNGCVTSALPSCRGNKDKFELKSAYFVDGDSNYDNNSSLSLSNCMKRCWNDCNCDAFASTSNGTGCITWTGGKQYQIDESGQTVQKYVLVKAKKSKGRAWIWVIVALSVVLVLVLLGLLRHLNRRKHKQEEEKRLRDEYIHQLTETDSFKDVNEMENTGREGHNLKIFSFSSIMAATNDFSSENKLGKGGFGPVYKVNCLRKLLDGREIAVKRLSRTSGQGLVEFKNELILISKLQHTNLVRVLGCCIHEEEKMLIYEYMPNKSLDFFLFDKEKKELLDWRKRFNIIEGVAQGLLYLHKYSRMRVIHRDLKASNVLLDENMNPKIADFGMARIFKQNETEAITNRVVGTYGYMSPEYAMEGTFSIKSDVFSFGVLILEIISGRRNSSFYNLDDRPLNLVGYAWELWKERVALELKDPALGDGCNITLLLRSIHVGLLCVQESAMDRPTMSEVISMLNNETLPLPAPKQPAFFTGRQALEWTSSETTSTGQSGNNQYGSVNNLTISAMGAR >CDP18327 pep chromosome:AUK_PRJEB4211_v1:8:17913539:17916838:1 gene:GSCOC_T00005443001 transcript:CDP18327 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKSYLDVVLVPLGFLVCIGYHFWLWRKVRTDPLSTIIGTNARGRRLWVSAMMKDNDKKNILAVQTLRNTIMGSTLMATTSILLCSGLAAVISSTYSVKKPINDSVFGAHGEFMVALKYVSVLLIFLFSFICHSLSIRFTNQVNFLVNCPRDETGIVTPEYVSELLEKGFVLNTVGNRLFYAALPLLLWIFGPVLVFLCSITLVPVLYNLDIVFVSNVKGKFNLQDENGASEFA >CDP12951 pep chromosome:AUK_PRJEB4211_v1:8:2418211:2421017:1 gene:GSCOC_T00037660001 transcript:CDP12951 gene_biotype:protein_coding transcript_biotype:protein_coding MDINELTHLYPLSILPNPIPPKSPILTPLILGSKNGSSKCAKLLMTLKMFSMNLYFALLATDIMDSVALFREFSKPLRACQLVIRYQDEFGIDHRVTGSSTMNDSQRYSRDDALLVEEAKLVGIDQPKQHLISKLLERHDHQLKVISVVGMAGLGKTTLVKKVHEDPEVRKNFPVRAWVTVSQTCDFPKLLRDLIRQLNKDLDKSVPQSIESMTTAELKEFVKDFLRRAGRYAIVFDDVWDVEFWNEIKFALPEGNYGNRVMLTTRNADVALASCTESQDYVYKIEPLSIEDSWTLFCNKIFKGNRCPAHLMDVAKAVLDKCDGLPLAIVAIGGLLASKDVSRIDEWEKIQHSLGGELEGTGKLERVKRILSLSYNDLPSHLKPCLLYLSIYPEDYLIGCHRLINLWIAERFVEWREGMNIEDVAWGYLSELISRSLIQVTEVFYEGSPGICRIHDLMREVILIKSREQNMVTVITRQPMTWPSEKVRRLVIHSSSNILRSSKLLKVLDLNSEKIAETPTEIFNLLHLTYLSLYGTKVERVPKAIGKLQHLEYLNLGHTGVRELPVEILKLQKLRYLAVFQRVDPSDSDYGFHGFKGPSKLGGLLSLQTLNTIDASSGSVIVKEIGKLTQLRELFITQLRREDGKELCSSLVNLTSLRELGIIDLNHHQHSISSSSSSSFLQSLRMLTLCGRLEKMPQWVARLHSLERIDLNWSGLSGEEDLLESLQHLPNLVSINFCGSYQGEGLCFKAGGFLKLKELWLRRMEGLRWMTVEEGACPNLRKLVLYQLPSLEDLPSAIQHLSHLQELGLYKMSSQLIEKVENQKEDSEDYRRMAHILEIVIGFYANDGEWRIRRLWGKKKKTFLA >CDP08336 pep chromosome:AUK_PRJEB4211_v1:8:26168893:26173640:1 gene:GSCOC_T00027138001 transcript:CDP08336 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATMASHSFPLARLKLSQTYSSRPPTSSSSCLTKPSLPSTPFLSSSSMGGSVSAAFSGLRIRPASLNPYSPSYSRGGKRGVVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEQKPIYLYINSTGTTKDGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGAKGNRSALPSSTIMIKQPIGRFQGQATDVDLMRKEVKNVKAELVKLYSKHTGKSLEQIEADIRRPKYFSPSEAVEYGIIDKVLYNERGSEDRGVVSDLRKAQLI >CDP10006 pep chromosome:AUK_PRJEB4211_v1:8:27297440:27299158:1 gene:GSCOC_T00030538001 transcript:CDP10006 gene_biotype:protein_coding transcript_biotype:protein_coding MADYETYIPKPKKLNSEVSANHANASKYFRHFLYKAIIVTIFLVIVPLLPSQAPEFINQNLHSRSWELLQLIFVGIAVSYGLFSRRNDESDKEYNSISKFDNAQSYVTRLLQVSSVFDDETESTAVSDDNKIQTWNSQYQRGEPVVVVAKESPLLGKRNGTASRIDEKPLLLPVRSLKSRVAEPNEMETSRESSRFSSNSRKSRNGEFAGLGPVKVEENMEENVVLRSPIPWRSRSGRIVMKEDEEGLPSYSLPASLEDSEVKKFESRSTRSQSFRSSRPDSACPSPNKPSPPPTPHSPKNLSPLTSSSSESQAKSVEDVVRRKIHVKSSPPPAPPPPPPPFILRAPLEKSSSSLVNGNHFSEEELKRSTRSVPNDLTETEMEGLSRRANSGPELRPRAQNDVASMDFTNGNAREIEATFIEKRGFTDKLMDEAAPHFSRQVFTELPKAEKKEYIENVVVETDQSSDAESEGDFFEESVGNEVHANENATDEGRDVNKKADEFIAKFREQIRLQRIESIRRSTEQHARKQSR >CDP18549 pep chromosome:AUK_PRJEB4211_v1:8:4210945:4216143:-1 gene:GSCOC_T00010791001 transcript:CDP18549 gene_biotype:protein_coding transcript_biotype:protein_coding MKGERERERERERERERERERERERESSFQQSQQFPLHHQHHLHHHHLLQHQQHQVGGGGGGLNHPEFGFLRPIRGIPVYQNPPPFPLFNPILQSLDASTTSCGIPSPTSTTTSSSNPTCFQSQGGCGGGGLMRSRFSSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAPSSGQSDIYENGSSGDNSEDLMFEVQNTRKPELSVQQGRQNMQQDKDLHGLWSNSSSREAWLHGKMRDSLGNIPSLEKDIEPKCSSYDRLSDVSSSSLSESSPKKPNLEFTLGRPH >CDP12046 pep chromosome:AUK_PRJEB4211_v1:8:29809353:29812185:-1 gene:GSCOC_T00035413001 transcript:CDP12046 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCCASKAEDLNNAPTFYHYPRAGQEHQTLPPSNGTVSSLSTGLLVDTNLDTSIPETYRAPPAPVPYETYVGHSRSSLADPPSHGDKNETVQLAQKAVEETNSGITQETTVKVVKLDGNATVELTATKDVENDLEQSGELKKPGELIVKSLLEEEEDVCPTCLEEYDSENPKIITKCEHHFHLGCILEWMERSDTCPVCDQVMVFSTGDDA >CDP09836 pep chromosome:AUK_PRJEB4211_v1:8:28795309:28802197:1 gene:GSCOC_T00030310001 transcript:CDP09836 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLAFTITIPRFYSAPFRKPFSCVPSSPSSPFLHSCSSSASRYQQPFNLNGGRRQLVLSPKTTTDQPGPVQEDEVVDGKILQYCSIDKKEKKSLGEMEQDFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQRFLEASMAYVSGNPIMSDKEYDKLKMKLKIDGSEIVVEGPRCSLRSRKVYSDLSVDYLKMFLLNVPAAVVALALFFFLDDLTGFEITYLLELPEPFSFIFTWFAAIPVILWLSSSLTKVIVKDFLILKGQCPNCGTENTSFFGTILSISSGGSNNTVTCSNCETVMIYDSETRLITLPEA >CDP07152 pep chromosome:AUK_PRJEB4211_v1:8:9415521:9420299:-1 gene:GSCOC_T00024284001 transcript:CDP07152 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTICPPFQFSAKYYHPSNDGSSCVRDSSFFGGKPVLNQGVGYSVILGFGAFFAVFTSFLVWLEKRYVGSRHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWEYGISGPFWYASGATIQVLLFGVMAIEIKRKAPHAHTVCEIVKARWGTAAHLVFLTFCFLTNIIVTAMLLLGGSAVVNALTGVDIYAASFLIPLGVIIYTLAGGLKATFLASYIHSVIVHVVLVVFVYLVYVASSELGSPSTVYRRLLEVASKSRSCQEPLSHVGQSCGPVSGNFKGSYVTMLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGIGALALDLPITASEASHGLVPPATAIALMGKGGSILLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPDASGRQILKVSRCVVLAFGCFMGILAVILNKAGVSLGWMYLAMGVFIGSAVIPIAFMLLWRKANSFGAILGTVTGCILGIITWLSVTKVEYGRVNLDTTGRNAPMLAGNLVSILTGGAVHAFCSFLWPQDYDWDTTKKITMVEKEKSDLPIDEYKEEKLIRAKAWIIKWGVGFTVVIVLLWPLLTLPAGQFNRGYFTFWAVVAIAWGTIASAVIIVLPLTESWKTIQSVLLGMFTNDRLMEKIEELDFKLQTVLSALPEAERIYLLEKEKAKKKEASEIEAQIIPS >CDP07174 pep chromosome:AUK_PRJEB4211_v1:8:10009003:10009419:-1 gene:GSCOC_T00024327001 transcript:CDP07174 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLALVASDFVISFMCVWSSVLIKIFVHNIMTFGRDDVQGEILKHALAVINMFFFAFLVKATKCETYNPLTIFSSAISGNFTQFLFILCARIPAQMKICLLWKGIGSLFLFSLFFFLNFVLIYRAIVPQHKQVELM >CDP12165 pep chromosome:AUK_PRJEB4211_v1:8:30758208:30763959:1 gene:GSCOC_T00035567001 transcript:CDP12165 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLLCSCLPLPSSHKFPCYYSSTSTCPTTLFYIFFHNTTTTTTTTTTTATTSSIAKKQAFSTFTTKGSANESALPANGKVLLSELLDEELLNWVSAAEDAAQVLNRIAERTNRSSGVVTNSDCCLIISAAIDRGNANLALAVFSAMRSRFDTSDIGEKSPSVEKWKWPRPDVNTYTSLIQGLASSLRVSDALRIITSVCRVGVSPSEEVPFGKVVRCPICMVAVAVAQPQHGIQIASCSKCRYQYELVSGTICSIDSEEISVDVPAWKRGLRFLQIAKQNIPAAVHSVVMETPSGMARTHRFATETVDLPAQEGERVTIAAAAPTTVYREIGPLKLSPKAPNFFPGEPMCLTNHTNGRESPLLRAPAKDKGTTLMNPSVLFPLLAVVASGDAASGIIDSNLPQLILVAAASSLALGATVNSLVFPQLSKASTLLMDTIAIKQQLLSQYDVLQSRIKELKQAAENEVWMLARMCQLENKIFAVGEPSYRARRSRVKRVREGLEGSLKKRIELIESYARISSMIEIEVEMDSDVLAAEAATNAENITGQIQQIMEIENLEEACFITMKHIIRMLYT >CDP10021 pep chromosome:AUK_PRJEB4211_v1:8:27142395:27148449:1 gene:GSCOC_T00030561001 transcript:CDP10021 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESPSAKRWLPLEANPDVMNQFLWGLGVSPEEAECCDVLGLDEELLEMVPKPVLAVLFLYPITPQTEEEKLKKDSLIKDPSAGVYFMKQTVGNACGTIGLLHAVANITSEINLAEGSYLDKFFKTTANMNPEERAVFLENDREMEVAHSVAANGGDTEARDNVDTHFICFTCVNGQLYELDGRRSGPVSHGSSSSSSLLHDAAKVIRKMMEKNPDSLNFNVMAISKSVRGE >CDP18802 pep chromosome:AUK_PRJEB4211_v1:8:220942:221754:-1 gene:GSCOC_T00005578001 transcript:CDP18802 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHETSSLRARRKKSSVTLRCCFNGHRRADSLDAPSSSSVLPSPSSSRRMSPSAWIRSKTNELPDVKIKGTYRGLMSCMRRHRRHSSADFSYDPLSYSLNFEDDDHESSEGTGEFPMRSFAARLPLSPPRSATGDGDQIRMKQQPQMTSRTTPQPTIAGSPSTTRAAAVAEVLKSLQQLDVETPAGRTRGTPELSPPIHNTRKQQHLAEEIRKSRSSDHDHAGELMRRSLDLPHPPPTPGKVILTSTTPTTSASTTTPTSRQVLVELF >CDP14952 pep chromosome:AUK_PRJEB4211_v1:8:9146327:9150205:1 gene:GSCOC_T00042456001 transcript:CDP14952 gene_biotype:protein_coding transcript_biotype:protein_coding MESISLSAPLSSYPSLRPFHKHFPPSLSCPLPYKPLILPVKSSLNFRQPISRNVSKAPLAHSLSLSLWTAAAPQTPATALRGAEADAMGLLFKERIVFLGSNIDDFVADAIISQLLLLDAQDHTRDIRLFINSPGGSLSATMAIFDVVRLVRADVSTIAIGIAASTASLILGGGTKGKRLAMPNTRIMIHQPLGGASGQAIDVEIQAREIMHNKNNVIKIVSDFTGRTIEQVEKDIDRDRYLSPIEAVEFGIIDGVIDRDSIIPLVPVPERVKASTFNYEEISKDPKKFLTPDIPDDEIY >CDP09744 pep chromosome:AUK_PRJEB4211_v1:8:29537693:29540991:-1 gene:GSCOC_T00030197001 transcript:CDP09744 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKPANLFQELIKTNSRNRSRLLGLDVGDKYVGLAVSDVNNKIASPLSVLLRKKSNIDLMASDFELLISQLSLTGFVIGYPFDRQQRNSADAVQVKLFIDDLCKTGKLEGVRYTFWDECFTSKNVEFLLKPLNLHPVHYKTIMDKFAAVGILQGYLDFVNRSHTSESTK >CDP09919 pep chromosome:AUK_PRJEB4211_v1:8:28159508:28160543:1 gene:GSCOC_T00030413001 transcript:CDP09919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein INAPERTURATE POLLEN1 [Source:Projected from Arabidopsis thaliana (AT4G22600) UniProtKB/Swiss-Prot;Acc:Q9SUV9] MSRKTLPLEPGFNNMLKATALFGSKKASSSTSRPFKNYYNQWFNTLKNSLLPHLRRAMLSASSPTLLATHVDAMHHHFQAYYEEFDLCCSSSINSLPELLFPEWRNSLEKPFLWLGDLHPYLFTNLLRSFLDDEETERGFLGHDDHQLQPCSGLMAWDSPSKSISMRVDQIECGLRLMVPPLVVRARNAQSALVERAGFEWRKYEGRKEDAEVAVGEAMMAAMEELVNVFLDANRLRKSVLADILNATNVYQAAQFFEGLARFLVGFHDQQLLREFEKHKVAMN >CDP11770 pep chromosome:AUK_PRJEB4211_v1:8:6442880:6446391:-1 gene:GSCOC_T00034267001 transcript:CDP11770 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCIFSAVYFLLLCFAVKFCLSIAVETISFGQSLSGSQTIVSQDGTFELGFFSKGRSNNTYLGIWYRDFAEKTTVWVANREKPISNTSRSSKLEISEDGKLVLRDESEILWSTNLVLSQASSIEAVLLNTGNFVLRESSEPSTIFWQSFDYPTDTWLPEGKLGLRILPASSWEPQRLISWKNSEDPSPGIYSFVMDKDTSGGQLFLEWNMSERYYSTGTWTGEVFASVPEFSYTSKFTLVSTPNETYYTYSLFNKIILSRLVMDVSGQLKQLTALRGHQTWSETFSLPREQSNVYAYCGDFSYSSSSSPSSCTCLQGFVPFSNENDRINGGMRGCERKAPLLISNLNPPDNSEEQQLTVEECKSACLENCFCIAYANVGSLCSMWSGALLNLKQVSDVVNNRQDLYVKVANSELQDDSGDKKRLMVIVAVVVSLVGPALGGLLIFGARKIKRRGHGKKDSSQDLLSFDFSSSNHAIDNQVKNVNNAREGSKNDFDLPLFSYASVSAATSNFSAGNKLGEGGFGPVYKGKTLKGEEIAVKRLSTRSGQGLQEFRNEILLIAKLQHRNLVRLLGCCIEQDENILVYEYMPNKSLDFFLFDPKKQVSLEWETRICIIEGIAQGLLYLHQYSRLRIIHRDLKASNILLDSEMNPKISDFGMARIFGGNNSEANTKRIVGTYGYMAPEYALDGIFSIKSDVFSFGVLVLEIVSGKKNTGFYNSNALNLIGHAWDLWILNRALELLDPSLGSPPAVAVLRCINIGLLCVQENPNDRPTMSNVVSMLCNEVVALPPPKQPAFVARRNVIKANSTSSNAQSVSVNGLTFSSLEPR >CDP13440 pep chromosome:AUK_PRJEB4211_v1:8:1354208:1356415:-1 gene:GSCOC_T00038390001 transcript:CDP13440 gene_biotype:protein_coding transcript_biotype:protein_coding MQARTPKIDETVVCLGDQMKDTIDRLTRRSLELDIISIIGMPGIGKTTLARKVYNDLRVERCFYFRAWCSVSQEYHKRDLLLEILSNDTGLKDGIHQMNDEDLEMKLYQCLKNRKYLIVMDDVWDATAWRELQRAFPNDKNGSRILMTSRVSLEEAELKTNPYSLRPFSNDESWKLLQMKIFHGDCPEELLEVGKEIAEKCKGLPLAIVAIAGLLERAEKKLKVWKTIAESLSSRIVDDRQTPCMEILLLSYEHLPDHLKACFLYIGAFLEDRDIPVRKFIRLWIAEGFIQKSKLEGLEDLAEDYLMDLIGRSLVTVSRKRTTGQVKACRIHDLLRQMCLSKAKEENFLQLITRYDEPYTVFDDVDGGSDFYEYRPPNPVTYEKHRLFFNLKRKHFVNSSPSGPQTRSLILFATTDTNRRRPYDISFISHHFKLLRVLDLESINIGMSFPKGIELLIQLSYLAVSGDLESIPPSIANLWKLETFIVKGLTDKILLPSTIWCMTSLRHLHVNNQVVFDLEDGPESSSALANLVSLSSPSFSYGKDTEKILSGLSNLHKLKCIFKESWDSSENCNQFPGLELLTELESLKIFYLGKALHPGELSFPVNLKKLTLSNFRLPWDRISAIGGLEQLEVLNLVSRAFEGSEWEMNEGEFLKLKFLKLDSLNIARWTASAEPLPNLEHLALRNCKDLEEVPFEFADIPNLQKIEVQFCGQSTEESVRKIEEEGIEGLKIVIH >CDP09978 pep chromosome:AUK_PRJEB4211_v1:8:27623574:27637825:1 gene:GSCOC_T00030500001 transcript:CDP09978 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2484-1 protein [Source:Projected from Arabidopsis thaliana (AT4G17330) UniProtKB/TrEMBL;Acc:F4JP43] MDNEDNVYQGQGFQLVGEENSKVSPVLRPYALPKFDFDEGHLRFDSLVENEVFLGIPSQEDNQWIEDFSRGSSGIEFSSSAADSCPIPRHNNVWSEATSSESVEMLLKSVGQEEMIPGESTIKKSDAGDEFPSIPNQMDDKIDKIEDSNLELPPAEVVGKFSELSENPGVEDACGKSTSPVKEVHFLAHASSGATSEKSSIVVTDENLSIDMKSLDENQREICTSVNESLNEKMQQDPSISEVEVQHAECLAKDVPVSVEKLSNQSMASDVHLESATGSTDNRSEDCSIKDNVSVMDDQKFSEISAETCVTGLRCPHQVDSNVEAVEKCAAEVTASDLDEPSRLPPVGNSDLLTDEGCNEEVCSLQPAQADSFSEGMEIRLQFESRSMLVEKSLVTCQSSDGIVDECPVGARDTKTNVISSEKVCDVQISHENSNLVNKNDDHIGSTSHTDIGSSVIEMETPMVSEMQFESSKHSEQVVKHADDVTVLEQTSTTVGEDCGVISVDTKHGNDAAGVHNEDSSDAAYVVPPRQAGSADFSGEVLSSMQVDVHDYVQVVSIQEKGGEEMTSDSGKMDHDSVESFDDGKVVGSSPLAETGENVETASRTEIDASVTKEKDSKCEVEGADQISPDTVVGVPLLSVAATTKVADQSMEQKSDQFEGKRGMQMEAPIDAGRSLLGEPVEEATQQHPDAVAKAVRTEDLVAEAASDEANASASLILAETSAAASNVEQVVAERASVELLVHCQPNAKEGEGGDVVENLNPDEPQKEKKRVAASSEVQGGSISPAIEKPDDTSDGIGVPELSECEMNKQAGVTGGMTKNFPPSDCKERNDGDTSSSDVALQVNVASKDEGSFAFDVSPLERLPEGGTSKGWQSDPHIQAHKRSTVVDKFPSTSGGSQVDPIVVQEISHGSQQTPDKGAPPQAAKGTSERKTRRSSAKSGKENARKGNPLKETAPLKHSERGDRLSAPIGSAGSCQLKQLEVTSVERSGAKQGVVLPVSVSSLPDLNTSAQVSLFFQQPFTDLQQVQLRAQIFVYGSLIQGVAPDEACMVSAFGMCEGGRSFWEPAWRACLERLHGPKLHPGSSETPVQSRSGPKTAEQGNIQGLSQSKVLSTPAARVSSKSGPSPVVNPMIPLSSPLWNIPTPSCDALATNNMVRGPVLDYQVLSPLHAYQTPPMRNFAGNTTSWASQPPFPGSWVSSAQSSAVDVSARFPPIPLTETVKLTPIKESSVSVSSTTKLASPDPTAHDLKKVSGSHGPHSSDPKSRKRKKTSATEDIGQKSVPVTQTGSAVPAFNNDASRKVHAVEDLGQGVMVPRHHTELVPAPAGTNISTSVANTTPSNFVLKSSSDKPLTTVLSVSTIDHPKGGESLPEKRPLKPEDIAKVEEAKLQAEEASAHAAIAVSHCQNIWCQLEKHNNCGLTADVEAKITSAAVAIAAAASIAKAAAAAAKIASNVALQAKLMADEALISSGTQHPTQVNLQSVPGFVNNVGNATPASILKVGDGNNGSSSIIFAAREAARKKIEAASAASRHAENLDAIVKAAELAAEAVSQAGKVVAMGDPLPISKLVEAGPENYWKGTKLPSGQGAKSNMVGNKSSINSVEEAADVVLDHSVKEVHTRNNGVSPFPKETSKENHNKGGEGISAIDTRVEKDFRGQKSRRASDSRKATDDVHEAVIGSRSMADENMIVTFNDNGIKESSLVEVFKDNGDFTGAWFSANVLSLKDGKALVCYTDLESDEGSAKLKEWIPLEAEGSKQPRIRLAHPMTSITSEGTRKRRRAAARDYTWSVDDRVDAWIENCWREGVIIEKNKKDETTLSVHFPAQGKTSVVRAWHLRPTLVWKDGEWIEWANFKESLQGDTPQEKRIKLGSPPVEGKGKSKISKNVDYAESGKPEDSRLLPLSASDKVFNVGSTRNENKPETLRTVRSGLQKEGSKVIFGVPKPGKKRKFMEVSKHYVSDRSIKSNASNDSEKFTKYLMPQGPGPRGWKSSSKIDSKEKQTAEFNKHRGLKSGKPPGLSARTLPQRENSVVSLAASKDASLTDDLAKNSTSNDENDSGQQNLIDFVSSSNVEETAEEPISSSSQVPPPEFPRRAATLGTKSERLKKGKPPPAGGKSAKVELKDKPIPEAVEPRRSNRRIQPTSRLLEGLQSSLIVSKIPSVSHDKSQRSHNRAVSKRE >CDP12105 pep chromosome:AUK_PRJEB4211_v1:8:30283337:30287702:-1 gene:GSCOC_T00035492001 transcript:CDP12105 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNVTCPGPMKATSNGAFQHDNPLDFALPLAILQICLVLVVTRCLAFALKPLRQPRVIAEIVGGILLGPSALGRNKSYLKAVFPPRSLTVLDTLANIGLLFFLFLAGLELNFKTLQRTGKTALSIAIAGISVPFALGIGSAFALRATIAEDANAAAFFVFMGVALSITAFPVLARILAELKLLTTDIGKMAMSAAAVNDVAAWILLALAVALSGHNVSHIVPLWVFLCGCGFVICASLFVSPIFKWMSRRCQEGEPVSETYICATLAAVLAAAFVTDTIGIHAMFGAFVLGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIRGVQSWGLLVLVICTACLGKIVGTFTVAKLWKMPTAEAVALGFLMNSKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFITTPVVMAVYKPAKRTKKIDYKHRTIERKNANSQLRLLACFHSARNIPSMINLFEASRGTDKHESLCVYAMHLMELSERSSAIQMVQKARRNGLPFWNKGHSSGGNHIVVAFEAFQQLSKVSVRSMTSISSLSDMHEDICGTAERKRAAVIILPFHKHQRLDGSLETTRAEFRGVNSRVLEHASCSVGILVDRGLGGNAHVSASNVSYQIVVLFFGGHDDHEALAYGTRMAEHPGIKLTVVRFLVEPKTVGERVRIEGESNPGGNAVSADEEVLADMKDRESEDDSVNYKERAVGSSNEVIAAIRDYSRCTLFLVGRMPDGEIALALNERIEYPELGPVGSLLTSPDLSTTASVLVVQQYNEKAPSDE >CDP13000 pep chromosome:AUK_PRJEB4211_v1:8:3017704:3020803:-1 gene:GSCOC_T00037727001 transcript:CDP13000 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISSSLFSRLPPIHRHRLHTAPHLNYPKHRCSSKTTSELRTPPASVTGQNGSSSCSPSVPSHKVTVHDRQRDIVHEFFVPEDQYILHTAESQNISLPFACRHGCCTSCAVRVKSGQLRQPEALGISAELKSKGYALLCVGFPSSDLEVETQDEDEVYWLQFGRYFARGPVERDDYALELAMGDE >CDP09881 pep chromosome:AUK_PRJEB4211_v1:8:28450695:28465015:1 gene:GSCOC_T00030362001 transcript:CDP09881 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSDSDTSSQGGEYKNLRQISRERLLYEMLRSTKTGDSKSTWKVLVMDKVTVKIMSCACKMADITEEGVSLVEDIHRRRQPLPTMDAIYFIQPTKENVVIFLSDMAGRSPLYKKAFVFFSSPVPRELVIQIKRDTSVLSRIGALREMNLEYFTIDSQGFITDNERALEELFGDEESSRKVDACLNAMATSIATVFASLREFPFVRYRAAKSLDPTTMTTFRDLIPTKVAAAVWNCLMKYKANLPNYPQTETCELLILDRSVDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPSKTGVPERKEVLLEDHDPIWLELRHSHIADANERLHEKMTNFVTKNKAAQMHQGSRDGGELSTRELQKMVQALPQYSEQIEKLSLHVDIAGKINRIIRELGLKEVGKLEQDLVFGDAGTKDVINFLRTNQDVTRENKLRLLMIYAAVHPEKFEGDKVAKLVELARLPQEDMNAVYNMRLLEGAADSKKSSVVPFSLKFDVHKKKHAARKDRPGEEVAWQLSRFYPMIEVLIEKLSKGELAKNDYPCMNDPSATFHGNSNSASVRTGEIPAAHSVRSRRTATWARPRNSDDGYSSDSILKHASSDFKSMGQRIFVFIVGGATRSELRVCHKLTTKLKREIILGSSSLDDPPQFITKLKLLAAQDLSLDDLQISLDDLQI >CDP11740 pep chromosome:AUK_PRJEB4211_v1:8:5908144:5909466:1 gene:GSCOC_T00034220001 transcript:CDP11740 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGGKVSLFNMMAFKVMTTFMSPPPAATYLAFHPQDDNIIAIGMEDSTMQIYNARVDEVKTKLKGHHKQITGLAFPQNLNVLVSSGADAQASAFFFFQV >CDP08232 pep chromosome:AUK_PRJEB4211_v1:8:25201158:25202091:-1 gene:GSCOC_T00026997001 transcript:CDP08232 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIHHAREENRQRHIRVGRQVVNVPSFMVRVDSQKHIDFSITSPFGGRRAGRVKLKNQKAAAKKAAGGDGDEENEE >CDP11672 pep chromosome:AUK_PRJEB4211_v1:8:4619922:4625139:1 gene:GSCOC_T00034119001 transcript:CDP11672 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNKQDELWDDSALINAFNDAISKYKKMHIQGSQVSSADGQEHAGRVDEISDDNKVPSNSAAETEENGNVPTVKESSFLESEAPEDHVVSSTGQGIHQEPVGYLNLQTTEHYNQLVNKYYELEDQRQKILQQLNQFGYWNYDSGLTASVSQEPETSTSQAYPTVSSSFCPYGCQSWVAPCTSVPCYFSGGACAGNSCNASAKEQALSSLKEQACANCDASVNEGKEKQSQKNFCHLEKSTSSETDLTVVLNAWYSAGFYTGKYLTEQSSARHGHD >CDP16157 pep chromosome:AUK_PRJEB4211_v1:8:23588735:23592390:1 gene:GSCOC_T00017239001 transcript:CDP16157 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSTWKGSTMLAWKVVEGDVAYITKMDPEVLKRTDSICSLESSKAIGSNLNELRSNSAPVLLSMKFLRSFSTARDDDSCQPQHLNASNASTSQPNNNYNHIPEPIPNRPLRGERRPTYPSARQQNEQQSPFRFSNNENLQREKRSLEDSDFLEKFRLGFDRKKGENPNHKQSPDYNERGGDKSEQAAAESPAQSQPPEDADEIFRKMKETGLIPNAVAMLDGLCKDGLVQEAMKLFGLMREKGTIPEVVIYTAVVEGFCKAQKLDDAVRIFRKIQNNGITPNAFSYGVLIQGLYRGKRLDDARDFCLEMEKGLEEAQTMISTLRQKGFFLDEKATREYLEKKGPFLPLVWEAILGRKPPQRSSFFS >CDP09781 pep chromosome:AUK_PRJEB4211_v1:8:29275703:29280843:1 gene:GSCOC_T00030243001 transcript:CDP09781 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQESLNKFKKQQEKCQSTLTSIAKQNPKTTPPKPFLSGVSTPSPPIKFSNDTERLQHINSIRKAPVGAQIKRVIDLLLEKRQALKPEQINQECYVDLNANKAVFDSLKKNPKVHYDGERFSYKSKHDLRNKDQLLVLVRKFPEGIAVIDLKDAYTTVMEDLQSLKAAGQIWLLSNFDSQEDIAYPNDPRVPIKVDDDLKQLFRGIELPRDMLDIEKDLQKNGMKPATNTAKRRAMAQVHGIAPKNKPKKKKHEISKRTKLTNAHLPELFQNLNASGS >CDP09771 pep chromosome:AUK_PRJEB4211_v1:8:29352943:29354297:-1 gene:GSCOC_T00030230001 transcript:CDP09771 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWSQLLPDLLAVISRHLSLIEDFVAFRGVCTSWRMAAPKQNFVNLWPTVPLLMLAEKKDSDDREFYSLSRGKVWNKLSLPETKNKKCMESRGWLITVGSGGEMNMLHPLSGVQIELPHQSTFPMYDDRGTSSCFVYVRKAALSAPPSASDGFSGFVLMVIYDGGGALGFWRPGDKCWKRVEMQRPWGAFSDVNYYNGKFYAITYSGRIIVCDVSGPGSMEAQLLFSIDIELLLYRVSYLVELAGELLIVARDGAFVDEDLNYGASNFRVFQLDLINCRWKEVTSLGNSSIFVGYNAAFSVESAGFPGIIKPNCIYFTDDCIESYYDVEPGGGKDMGIYDVEDGKIERFDDIRSFSLMGPPVWVAPSS >CDP09746 pep chromosome:AUK_PRJEB4211_v1:8:29526895:29527470:-1 gene:GSCOC_T00030199001 transcript:CDP09746 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQVQFSSICLLVLLSIIALACTILMVEAKPCTPSGKIRGKQAPRDQCDPHTDDCCQAGRVYKTYECSPPVSKRTKAILTINDFQKGGDGGGTSACDNKFHSNNTPVVALSTGWFNGLKRCHKYITIFGNGRSARAMVVDECDSTKGCDSDHGFQPPCQNSIVDASEAVWKALKVPKKDWGSMEVFWADD >CDP09925 pep chromosome:AUK_PRJEB4211_v1:8:28077884:28084152:-1 gene:GSCOC_T00030423001 transcript:CDP09925 gene_biotype:protein_coding transcript_biotype:protein_coding MSACRDDDPRIRSIQSKIRVVPNFPKPGIMFQDITTLLLDPKAFKDSIDLFVDRYKGKNISVVAGIEARGFIFGPPIALAIGAKFVPLRKRKKLPGKVYREEYDLEYGSDCIEMHVGAVDPGERALVVDDLIATGGTLRAAMNLLERAEAEVVECACVIEIPDLKGRDRLNGKPLYVLVESQ >CDP19027 pep chromosome:AUK_PRJEB4211_v1:8:21763827:21765383:-1 gene:GSCOC_T00013072001 transcript:CDP19027 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSEAYKDVPMRLKHVIPLDFESTKVVPESHIWPETENFPLSDHILPSDDNEKSKSWIPVIDLMAPNVVELIGHACETWGVFQLTNHGIPSSLIHDVEFQARRLFSLPTKQKLKVLRSAGGATGYGAARMAQFLTKYLWHEGFTIAGSPVEHASVLWPHDHKTFCDVMENYQKMMKSLAHQLLLLMLKWLEVSEDELNWKLSMSQDALQLNSFPACPDPKSTIGLAPHTDSMLMTVLHQSHEGLQIFHDGIGWVTVSPIEGALVVNLGNLMDILSNGKFPSIQHRVFVNQIRHRISVAYFCFPPTDSQVAPFAKSECPIYSSLTVKEFLQIRAKHMEDALSVITIK >CDP09766 pep chromosome:AUK_PRJEB4211_v1:8:29402106:29402294:1 gene:GSCOC_T00030223001 transcript:CDP09766 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVDETLMCSFQILKPAEKKSRFFLGSTTSAKPSNAFGSTTTTKPGTPGAKVFERENFSPS >CDP08187 pep chromosome:AUK_PRJEB4211_v1:8:24704504:24712025:1 gene:GSCOC_T00026942001 transcript:CDP08187 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPALLSQFLSLSTTAAPHFRASMTCRLNPRKYLNGTAKIPPIRENLRCLCSVAVSEPATSEPSSTPVKKRVVSGVQPTGSIHLGNYLGAIKNWIDLQNAYDTLFFIVDLHAITLRYDTQQLSKATKETAAIYLACGVDPSKASVFVQSHVRAHVELMWLLSSTTPIGWLNRMIQFKEKSLKAGDENVGVALLTYPVLMASDILLYQSDYVPVGDDQKQHLELTRELAQRVNYLYGGRKWKKLGGRGGAIFKVPEPLIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVVNNKIKRCKTDSFPGLEFDNSERPECNNLLSIYQLVTGKTKQEVAEECRDMNWGTFKPILTDALIAHLHPIQVRYGEIMSDASYLDSVLAEGARKAADIADNTLKNVYQAMGFLR >CDP09802 pep chromosome:AUK_PRJEB4211_v1:8:29120130:29125477:1 gene:GSCOC_T00030268001 transcript:CDP09802 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTAEDKKRKPSKSEFVFGCGSGFGFWKWAIASVIFRLILIYFPGNLNLASRPEVSTPLTSLRRLAEGYWLKQLSMSPYAGSMYHGSPLLLSVLGPLTVKRIEGQPNYLICSLVSVVADFTSAMLIRQIGQRLLAAYGQNLRSLGLAELFEGSLPSGDVAALVYLWNPFTIVTCLGYNTTPIENLFIILSLYGACTGIAPVAAFGWVVATHLSLYPAILIIPIIVLLARGLDAPPRKLFLQQLSSKAGNDSSSDRHVEALKSQPTPFSLRPVLAFLFWSLLWASYVLILCGIALKNSGGLWEMFERTYGFILTVKDLSPNIGVLWYFFAEVFEFFRNFFLIVCHANILFMILPLAIRLYHRPCFLAFVYMAISSILKSYPSVGDSALYLGLLALFANELAEMQFSFFLFCGYVGVSLLSPVMHNLWIWRGTGNANFYFATAMAYACFQVVLVVESVSSMLNHDRKIRKLSTSMKA >CDP12214 pep chromosome:AUK_PRJEB4211_v1:8:31117802:31121793:1 gene:GSCOC_T00035630001 transcript:CDP12214 gene_biotype:protein_coding transcript_biotype:protein_coding METPSEGSSEESMTAVKQVRKQLESRVETLHNAQLNLIASLQTLVPDLVSSLDLSLKAISSFNSRPFSPLPNPLPNPNANANNLNLPKLPPRIPPLSQAPNSDSDKFLIDDAGGPLSLVRSMVAVCLLERVPFTPIDSSTVLRKLENDQSATPTERAALRDLGGESGAILAVEMALRSMVEENGGVVDLEEFVVSGKSRVMVMNIDRTRLLKELPESKQQSDSNSDQKSRGLEGPRNGGDVVSCSGGGFGMGRPMPDMWMGGPGMPPVFPSGGGVGPRGGGPRGMAGIMGVPRGVGVPPPMQRPPMGSNGPVGGPGAIALKPRTEEDDMKDLEALLNKKSFREMQKSKTGEELLDLIHRPTARETAVAAKFKSKGGSQVKEYCSALTKEDCRRQSGSYIACEKVHFRRIIALHTDVNLGDCSFLDTCRHMKTCKYVHYELDSTPDMSPMGPGALLPAKPLKPQRADYCSEVELGEAQWINCDIRSFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPMLERIMPRARKLELFARMHNCHAGWMALGNQLNGVRLVDEGLRARFKAAYPDVEVQPASPPRASSSAMDVDLNAAQVRNPFAAGELKTSGTPYVEATAPGLAHASQEKPTSPDVGLIS >CDP07186 pep chromosome:AUK_PRJEB4211_v1:8:10365034:10371376:1 gene:GSCOC_T00024351001 transcript:CDP07186 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEGGGIRLSKRFSDKGGEVDYKTKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQTHAQRERRAEEVAREYAQEQEFFRQSALISKKEKEKMETMKAVSFMYVRPPGYNAESAKAADMADERKKQEQEQNNTSQGPFEHGASTSMKHESLPSSEEKKKPRPKDVFGRALPTEEQFEVLKNAPRLETGVAGRSKPFGVEIRNVKCLRCGNFGHQSGDRECPLKDAIMPSEENRLKRDDPLTAIQALTDVNEPLKWELKQKPGLSPVRGGFRADDPNQQIVAEDIFDEYGGFLSGDNIPDLLANFSSSEPKKKSSSKRKHKRQLSPTEVSGREKWSSSNDDGGRRLEKKRHKKSSRKHSHSSLADNLDSNMLQKGNRSLAGGDRDRHLKKKRSDRKSQKHSVSSLQENSDSDVPYDHRDNGSSSGDDRKRRSKLSPSSGDDHGRRLEKKRHHKSPRKHSRSNDGSSSGDDRKRRSKKIHKRKSPKDSYSSQSEISDYDRHCRSSRYKHSHPTSSENSDSDGHYRNRRHRHGYRH >CDP14982 pep chromosome:AUK_PRJEB4211_v1:8:8006985:8007673:-1 gene:GSCOC_T00042501001 transcript:CDP14982 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQIGTIFFIVHLLLTLVLDPWNCSTNQIDCSFIWRIHSLVLLL >CDP15692 pep chromosome:AUK_PRJEB4211_v1:8:7621366:7630064:1 gene:GSCOC_T00015670001 transcript:CDP15692 gene_biotype:protein_coding transcript_biotype:protein_coding MWRATSFFFPRFSSPSFSSSTICLCKMSYKAGPVIPKFIPVETSEITSISKPDGMRFSLVSYNVLAQAYVKSALFPHSPSSCLKWKARSQATLTVLKNLGADFLCLQEVDEYDSFYMMNMENLGYSSIYVQRSGQKRDGCAIFYKRTSAELVLEQAIDYNDLISSIEDTAASSGDKDGVSIPSGNADNELKDDADLCKAPGDHGDLNDPRVRLKRDCVGVMAVFKLKVPSCDPIIIANTHLYWDPELADVKLAQAKYLLSCLAQFKQLVSSQLDCTPSIIVAGDFNSVPGDQVYQYLVSGSSLPEHLPESSDDQPLPLSSVYAYTREEPHFTNCTPGFTGTLDYILFSPTGDVKPVSFLELPESESPDVIGGLPNHYHPSDHLPIGAEFEVLQ >CDP13465 pep chromosome:AUK_PRJEB4211_v1:8:1154851:1161197:-1 gene:GSCOC_T00038422001 transcript:CDP13465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin dehydrogenase 7 [Source:Projected from Arabidopsis thaliana (AT5G21482) UniProtKB/Swiss-Prot;Acc:Q9FUJ1] MIAYLERVSIPEPNNNEDDDVSLLRNSLEDLQLQGSIDYGPTGSASKDFGGMQITKPLAVIRPAGAEDIAKVIKLASRLPNLTVAARGNGHSINGQAMADRGLVIDMKSMESYNKIEVRRHPPCADVGGGALWADVLKRCVPFGLAPRSWTDYLDLTVGGTLSNAGVSGQAFRYGPQTENVTELEVVTGKGEIVTCSRNENSQLFFSVLGGLGQFGIITRARVLLQPSPQMVRWIRVVYSEFSEFTRDAELLVSQEEGGESFDYVEGFVFVNSDDPVNGWPSVPLDPNHPFDPAGIPTSAGPVLYCLELVLHHRENDRPSSVNLVVDRLLGQLRFCKKLRFEVDLKYVEFLSRVKVAEQQAKANGIWDAPHPWLNLFVSKRDIADFDRLVFNTILQHGIGGPILVYPLLRSKWDSRASVVLPEGDIFYLVALLRFSLPYPKGPPAEDLVAQNRRILHCCTRKGLDFKLYLPHYKSQDEWEQHFGDQWTRFKDRKACFDPLAILAPGQKIFPRNHHHHHHHHHHHQS >CDP18481 pep chromosome:AUK_PRJEB4211_v1:8:22755592:22758428:1 gene:GSCOC_T00009052001 transcript:CDP18481 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSDIKLIRTDTTLDLSQKAEKATVLGPSPRKINLISCKPMARVSDIKLIRTDTTLDLSQKAEKGMLHSVV >CDP13455 pep chromosome:AUK_PRJEB4211_v1:8:1247239:1250663:-1 gene:GSCOC_T00038407001 transcript:CDP13455 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCVYIYIYIYTQNLTKALLPCMYIGNFRFQHSLLYSLCCSFVCLSTDRLIQGKQLLLNPPMLLHKATND >CDP12267 pep chromosome:AUK_PRJEB4211_v1:8:31559134:31562508:1 gene:GSCOC_T00035706001 transcript:CDP12267 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYNGSPKPHHLETKRKRLIWILAVSGLCILFYVLGAWQSTNSAPSTQSGVWERVGCQDGSPDHDHRKEEENPDLSASSPSSATSSVMSSTPLDFESHHQLEVNSSEESQAFPPCDKSYTEYTPCQDPSRGRKFDRKFLKYRERHCPDKEELLRCLIPAPPNYKTPFKWPQSRDYAWYANIPHKHLSVEKANQNWIQLEGDRFKFPGGGTMFPNGADAYIDDINELIPLSNGDIRTALDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVWFALERGVPAMIGVMGSQRLPYPARAFDMAHCSRCLIPWYDYGGLYLIEVDRVLRPGGYWILSGPPIRWKKYWSGWERSQEDLKQEQDSIEDVAKHLCWKKVIEKEDLAVWQKPINHVECIKSRAIYHTPPMCKSDNVEAAWYKDMEACISPLPEVSNKDEVAGGALEKWPERAFATPPRISVGSVPGITSEKFREDNEVWKVRVANYRQVVAPLGQGQYRNVMDMNAFLGGFAAALAKYPVWVMNVVPAKLDPDTLGIVYERGFIGTYHDWCEAFSTYPRTYDLIHADGVFSIYQDRCDITDILLEMDRILRPEGTVIFRDAVEVLVKIKSISDGMRWESRILDHESGPFNPQKILVAVKNYWTAEAKE >CDP09924 pep chromosome:AUK_PRJEB4211_v1:8:28097876:28101915:-1 gene:GSCOC_T00030422001 transcript:CDP09924 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQEYTFKKQVQELHRLYQIQTILMKNLRLKESASSSFQGISRRPMDAEVSTSHHVKHSDLHFPKKGDGWGTAENPVQVDQYFDGELGASSEEVQLSLSISGDTMQNKSCKKIWDKKLTSISSQYAIDLEESAPTASSRDIQPKSALGCAACSADSGNLHVFQISCSCPNGVNKYLDDGIKRTQSLVDETKNFLEQNNLDQGAKNWLGNVPSMGISCATNICPSREAACIDLNKPLLDEAPHSEEYLVMECSAGASSSVSERVSGEWHKVSSPVGTSRKPESRCISAMTKEDTLNITVMASNSADSSTIMTGSKSPSVDLESCFKSPSDQSDVHDCLTDNLQHKDAKFVSNLPRKNHKGKTMIEVDDMMGEVDAMSPNLCIRGDNVEDEDRDSSPASFKFGCIGTDPSSSFKTVQSGTRVGKSVSFQNSESSQDESSIHAESKSESFDGKTEGSARDDALIQQGAVSLMYFLLEISSREEDDRVAEVDKMNEIEKGKRDQPQCSSDTFESMVLKLQESNVEDYCVSSMPMEVNDTDKKDYGIRLRRGRRMKDFQKDILPGMASLARHEIYPRWPTHRTGSLL >CDP09795 pep chromosome:AUK_PRJEB4211_v1:8:29189361:29191916:-1 gene:GSCOC_T00030261001 transcript:CDP09795 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDTTTEILKKRRRTTKKPYSRSIVGATLEVIQKKRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKAQKSTKGGLSKGAAPKGPKLGGGGGKR >CDP08179 pep chromosome:AUK_PRJEB4211_v1:8:24630154:24634016:1 gene:GSCOC_T00026931001 transcript:CDP08179 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHEFALTGLILNLLLLFLLFNVADAQNIVKSLPGYPGALPFKLETGYVGVGENDSVQLFYYFIESERDVSMDPLVLWLTGGPGCSAFSGLVYEIDLWKTDKIEKLLRLLDITAATSLNVGPFSFDDERYNGSLPSLHANPYAWTKQIASIILLDLPVGTGFSYATTSQGYFSSDTKSTKDAYLFLQKWLLNHPRFMKNRLYIAGDSYAGKIVPMVVLEISNGGNEAGLKPRMSVEGYMVGNPLTDSRKDENWKVPYAHRLGLISDEYYERAKSSCNGEYINPSPNNTECLFALHLIQECISGIYPANILEPKCQHLASREGLQWDQDYLEEDSIDILLPSSDQEKPKCRDDTYVLSRVWMNDPTVQEALQIREGTKEQWRRCNRSISYDMDVASVFDYHQVLIRKGYQALIYSGDHDMMVPYLGTLQWIRDLNLTVEDDWRPWFVNGQIAGYTLNYQFNEDVCCFTFATVMGAGHTAPEYKPKECFAMIDRWFTNYPL >CDP08302 pep chromosome:AUK_PRJEB4211_v1:8:25843314:25845970:1 gene:GSCOC_T00027094001 transcript:CDP08302 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLREKKNTSLATSPCAHLRVAYHNCFNRWYSEKFLKGQWDKEECVSEWQKYRECLSQHLDDKHLSRFLEADGIVDLTNQADSKRHDGAPK >CDP12948 pep chromosome:AUK_PRJEB4211_v1:8:2388405:2389265:1 gene:GSCOC_T00037656001 transcript:CDP12948 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVEVRRPGPPPADITVFEFGSVAASADKVTLSGFCPVSDELEPCRWEILPAQGSDAPQFRVVF >CDP13427 pep chromosome:AUK_PRJEB4211_v1:8:1536266:1538316:-1 gene:GSCOC_T00038368001 transcript:CDP13427 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAKSVKRHCFVEEDDGLASLADMEAGFSGSHHNYPLVSRPIYYGAMQRRSSLRRIPSLSSAFVSSPRSAAGNSTMRFYEEPHQAHFLDACFLCKKPLGSNRDIFMYRGDTPFCSEECRQEQIEMDESKEKSWNLSASMRALRKKDQRKSTSPNKQDCNFRTSTVAAA >CDP16195 pep chromosome:AUK_PRJEB4211_v1:8:24135972:24141884:1 gene:GSCOC_T00017292001 transcript:CDP16195 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIGEKYKLGRKIGGGSFGVIYLATHIDTGEIVAIKIESKQTKHPQLMYEAKLYNILQGGSGIANIKWHGADGDDNVLVLDLLGPSLEDLFVYCGTKFSLKTVLMLADQMITRIEYVHSKGFLHRDVKPDNFLMGLGRKANQVYIIDFGLAKRYRDPTTNRHIPYREKKNLTGTARYASCNTHLGIEQSRRDDLEALGYVLLYFLRGSLPWQGLKAATKKQKYDKICDKKVSTPVEVLCKSYPVEFASYLHYCHSLTFDQRPDYGFLKRIFRDLFTREGFVFDYVFDWTIMKYQQSQRSKTNLQLHHPVSASGLTSTKELPVGLDKLQDRGTSDLRQPNGHISSLSGAVRDLSASTMAEKLTISKEPHPSSSFSLANAFKRNIPKPGEPNETGKHGHRHNSKAGPSSSWMPSSRDLSSAK >CDP14983 pep chromosome:AUK_PRJEB4211_v1:8:7994873:7996189:1 gene:GSCOC_T00042502001 transcript:CDP14983 gene_biotype:protein_coding transcript_biotype:protein_coding MCQYHSAKSFVVLSRARHVDWKHYVVCASCVSFLLGLLLTLNQTQALRRRFSSSPLPFLLYFLTSYS >CDP09754 pep chromosome:AUK_PRJEB4211_v1:8:29484185:29486922:-1 gene:GSCOC_T00030208001 transcript:CDP09754 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLFVHRLSQPSRAVLIFCKLNKIEFEEVQIDLSKGEHLSPEFKEINPMHKVPAMEVDGGFKLFESHAILRFLACASPGVADHWYPADLFKRAEINSVLDWHHANLRHGSAGLVFHSKLAPLFGLPSDVQAAAECEKVLLASLAKIESFWLKEEEQFLLGNSKPSIADLSLVCETMQLEALDEKVRQRLLDPHEKVRKWVDDTRNAMQPYFGEIHGVLFQLKDKLKEMESDE >CDP09791 pep chromosome:AUK_PRJEB4211_v1:8:29216529:29219092:-1 gene:GSCOC_T00030257001 transcript:CDP09791 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEQTGESPTAGGGRRRRRRRVSEDSSDAVPHQNLSTPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFRRGEKGLLRDIQRRKISPAAPSPVVATPPAAVIANAQAVVTVAVPPPMRVVSPANSGDEQVVSSNSSPAATTVLPTTCTTPEILEENERLRKENAQLNRELNRLRGLCGNIYNLMSNYAGNQAETSGGELLEERALDLMTGRRVTVEMDGGGAAGGGAVKAEEEEDVSPRLFGVSLGVKRVRRSDDEDNEIQGRDHKEADASEGKSEPSDCRVDNHRDDQAWLDISIRRKSEGES >CDP18484 pep chromosome:AUK_PRJEB4211_v1:8:22778018:22778602:-1 gene:GSCOC_T00009056001 transcript:CDP18484 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITFPAPLLLLLILLANYSNVSEARPTKLGACRPSGTITGKTPPPGQCNQENDSDCCKAGEKYKTYNFEKGGDGGGPSECDDNYHSNSIPIVALSTGWYDGGSRCFNNITVRYNGRSVQAMVVDECDSTMGCDDDHDYQPPCPNNIVDGSKAVWEALGISDPDDVGSIEITWSED >CDP09961 pep chromosome:AUK_PRJEB4211_v1:8:27788313:27795400:1 gene:GSCOC_T00030475001 transcript:CDP09961 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEVKGGGGAPNRELYALLNISPEASDEEIRKAYRQWAQVYHPDKYQAAQMKDIATENFQRICEAYEILSDEHKRLIYDIYGMEGLTSGLELGPNLNKAEEIKEELERLRRQKEQEKVSSHVRPSGSVMANLSLPQFLEGDGIMRGMAMASEVQSQLSERSGIALAGNLAVDGSSGGGVASIVFRHHISPASSIEFMGSAGLRALLGVQTSRQLSQHSSATMGLAMSLKDGSINLSNNWTRQLSETTNGNIQLALGTDTSIGVGWQKKDQKMSAAGDIKIGPGAVGLTAHYTHRFSSNSHGRVGGRFGSGALELEVGGGRKISNFSTVRMLYTIGIQGIFWKFELHRGGQKLIVPVLLSRQLNPIFASGAFIIPTSLYFLVKTYIVKPYNLKREKKKSLENAEKTLSQVRESRATAEKAQKLLQNVANRKRSRQLETGGLVVTKATYGSRKALRNRSESEEAKDEATSQIIDVTLPLNFLVNESGQLKLHGGVKKSGIMGFCDPCPGEPKQLYMEYTYGGNKYEVTVDDFEELVIPKEAHRI >CDP07156 pep chromosome:AUK_PRJEB4211_v1:8:9518435:9520056:-1 gene:GSCOC_T00024295001 transcript:CDP07156 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLHSTKFKVMDASQGIHQTTKGIWVAKCPLPNPNGGPEILAVDTEGSDGSEREDDTKFEKQTALFCLAISNTVIVNMMCCTIGLNNGGNRPLLRTVFDVMIRKFCAPRKVTLVFVLRDKNECPLDKLEEQLKEGMYKVKIIFNCHKFS >CDP13543 pep chromosome:AUK_PRJEB4211_v1:8:413168:418685:-1 gene:GSCOC_T00038527001 transcript:CDP13543 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTLPTTSSKSDRRSKSKTPQASKPSLVMAFFSCLAWLYVAGRLWQDAETRTLLASLLKQNSAQRPKTLTVEDKLTVLGCKDLERRIVEAEMELTLAKSQGYLKSQFKFKQSGSAPGKKLLAVIGVYTSFGSRLRRNIFRVSWMPKGDALSKLEERGIIIRFVIGRSANRGDSLDRNIDEENRVTKDFLILDGHEEAQEELPKKAKFFFSTAVQMWDAEFYVKVDDNIDLDLEGLIELLQSRRGQESAYMGCMKSGEVVAEEGRPWYEPEWWKFGDQKSYFRHAAGSLFILTKNLAKYIYINSASLKTYAHDDTSVGSWMMGLQATYIDDSRLCCVNARQDKVCSLA >CDP08196 pep chromosome:AUK_PRJEB4211_v1:8:24781711:24784845:-1 gene:GSCOC_T00026953001 transcript:CDP08196 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSEMRNTWLNKRRESLWITSPVEEEKIRRSRECTREGVRAGAKAAAIACVASAIPTLTAVRTIPWAKANLNYTAQALIISGASIAAYFITADKTILECARRNTQYDKSA >CDP09952 pep chromosome:AUK_PRJEB4211_v1:8:27859324:27860390:1 gene:GSCOC_T00030464001 transcript:CDP09952 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVGSTVKKATTTTTGCTLLNRFLRLRPPITATSTLTDRFLCSKSAGKSSTTAASESGREIGEKTSNSQESPLVIKGSPQEFKMENPFQSGGPKDVVAVDELKDGILVRVTLPGIAQDGCRVWVENNTVFFAGRGEIEHESETSGRTYGGSLEFDPDFSKVEEVKSEMKNGILRMIIPNVGGLGSVLQGKDQDK >CDP12466 pep chromosome:AUK_PRJEB4211_v1:8:15599556:15600626:-1 gene:GSCOC_T00036049001 transcript:CDP12466 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEMNERLEHKLLFVWDQSRCTVTEARLTEHPEKNAMFKELKHVWGEYKSYNSSNKILVDDSPYKSFLNSVSALAHLILMLYMFIYLNKKTFVNPEGDFVRYLKKLADADNVQEFMKQNPFGQSPITEGYEDWNFYSNIVSNLGLQDLPKELKRRREAPKRYTPEVFIAYMFRNMLVILQQLVMLSKIALIICTKQAQKKNLKAGGRSGYGSLIVTEASSNPSKQRSSLLMGQRSTTGLLWSDIGTGNNRACWYYFLSFFVYKE >CDP12168 pep chromosome:AUK_PRJEB4211_v1:8:30779199:30779792:-1 gene:GSCOC_T00035571001 transcript:CDP12168 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHKPPKPPSGRTNLASCIVATVFLIFVVIVILIVYFTVFKPKDVNLTVNAIQLPTFSVANGTVNFTFSQYVTVLNPNRAVFTHYDSSLQLLYAGSQVGFMFIPAGKIAAGKSQYMAATFSVQSFPLSVNQPLNVGPTVTDGLSGFRVGPTMEIESRLEMAGRVRVLHFFSHHMDASAECRVAISVSDGSVLGFHC >CDP12238 pep chromosome:AUK_PRJEB4211_v1:8:31288013:31292711:-1 gene:GSCOC_T00035664001 transcript:CDP12238 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHDLRRPFKRPAISDQQRRRDLSLLRQKQNRLDAQRRARCLASSVLSFQSEPKSPSQEQSHFELELELEIEPDAEEHQGPATTYDVRQASKLRGTEARRWFAKQLMLPEWMIDVPPNLNTDWYVFARPAGKRCFVVSSNGTTVSRLRNGILLHRFPSALPNGARINNVSRSSQSYCILDCIFHEPDQTYYVIDMVCWAGFSLYECTAEFRFFWLNSKLVEVGVCDTPSTFHKYRFATVPVYNCDQEGLQTAYIGPVPYIKDGLLFYNKHAHYETGNTPLALVWKDENCSEYVIDTDSKGQVPNQQQLVLELQDDGRLATSDDPSVIFGWLNEDFIQKTGLHAGNLLRFAVNDGGLSFVDGKLEKADLQYLGQSNRARAFADSYSKVMFQYIVRHSPLKIEHLFASIGPLGDGDIANHDVEMAA >CDP07179 pep chromosome:AUK_PRJEB4211_v1:8:10075636:10078106:1 gene:GSCOC_T00024337001 transcript:CDP07179 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQLVQPFLCAVKEEPFMTDSDTDEKPYVDLINSKSVPSGENSQLLSGSSSGMAEMDDIEYWTISGKKPYFDIILTKSHVGPRFQLFLPTTIVPALPSAMVPVVLTCCGKNWNTVYYGDRTGKRFGPSWKEFASDNELKTGDCCFFELMESTMAQIKFKVIILRGDLPVLRFAEGDGETPEKAIIIE >CDP14978 pep chromosome:AUK_PRJEB4211_v1:8:8078992:8080642:-1 gene:GSCOC_T00042497001 transcript:CDP14978 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAHYTLKIDSFSSFVEMLKKTGSNSYKSETFEACGHKWKLSLYPNGDKERNGAGYLSLFFILQETSDLPLGWEINANFKFFVFDQVRDKYLTIQDAGEKVRRFNEMNKEWGIARLLSQYVFNDAKRGYLVQDKCMFGVEIFHSIHMESKCFSTLSNETYSSDEFAMGSYKWKLLFYPKGDCTQEGRRNVSIFLTLADVKTAARVHAEFTLSIKNQKDKEHKKLTGNQFFSTSTPIWGWSAFLPVDDLEDSAKGFLVQDTLIIEAEINPLSTLKCLT >CDP16194 pep chromosome:AUK_PRJEB4211_v1:8:24105355:24109542:-1 gene:GSCOC_T00017291001 transcript:CDP16194 gene_biotype:protein_coding transcript_biotype:protein_coding MITKPLLNDDHDCFSAKGGFRTMPFILANEAFAQVASYGLQPNMILYLTREYHLNMATGSNIIFLWSAATNLMPLLGAIVADSFLGRFRMILFGCAISLLGMALLWLTTMIPQAKPLPCYESDNKCSSATSFQLFLLCSCLGLTSIGFGGIVSSSLAFGADQLQKAGGQNNGWVLESYFSWYYALCTISILIAFTCIVYVQDNLGWQLGFGIPVMLMLLSTLSFSLASHLYVKLKAKSSLIVEMLQVAVASYRKRHIELSTECLKMLYHHHRGPAICLPSEKLRFLNKACIIIDPERDLTTDGTAADPWSLCTVNQVEDLKSILKVIPLWSTGMIMSVNSSQSSFSVFQAKSMNRKFSPNFEMPAGSCSMFGVVGAVIWIPLYLQIILPVASRILGRPVHLSTRKRMGIGMALSFLGMVVAATVEAKRRSLAIREGYSDDSDAVVDMSVLWLLPQFFLIGAAAAASATAQNEFYYSEFPRSMSSISSTLSLLGMSAANLVASFLMNAIDELSKLGEKESWISTNVNKGHYDYYYWVLAGLSMLNMIYFLICNKAYGPGKEDKKETTFHEQDD >CDP12461 pep chromosome:AUK_PRJEB4211_v1:8:15323269:15337331:-1 gene:GSCOC_T00036040001 transcript:CDP12461 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNNKNRTDLLAAGRKKLQQFRQKKDGKGAKSSGKSSKPGRDANSDAAKSTATSDKVLDEELSVSDAGEVVTSSELNPLNDPVVVDDNVSIVDLSLKDGAGETTLELADEKLRLDDSKHDVEDAKVSVPFEGGGVTDGHENVEFVDSRSLGIFVSEEKSTSCKMQGPVDLSSEVERNEEEQEAGSSVSKQTDPGSEIWTQRVVPDAVVHEADRSTQPDDVVASPNVQDGQISDAFGSSSESAQVDSIYKQDAAEVLLGFEDDGLATSFRNNMLKLPSRSDACSISLSQLQEVMKGHENDQFRFVFCSRESLFEKLTSSLGLNGSEFFSFVEKLKQELYLSSFARDASQMQLFEQLELEMQLHNQFEKLVDELSVSSTTIHEVQGQNAILSEELKQCRSECHEFSSEREKLSQQLHASKAEVEEFSARVDNLQNRLEISGGNMSSLASEVADGRNLVASLQVQNENLNGMLSLVMEEKMKVVEDKENFLQENNKMAAELAQSKASLASLQLANVNLSECLASIKEETRKFDEEKEFLACENGKLLSDLSDSNALVQSLQAENASLSGLLAALEDEKRKLHVAQECLVQENEKLALNIVDSRILVDGLQMELSDITGSLASLIEERNKLEEEKQHLSSKNESGSRELLESKSVLAGLQIEFSKAIRDLEEANLHVEKLSQENVLLRTNIELHIAEMSSPEDTAYKVKDTGGQIIASDDISSQIPRTEESQIAISEFRRTSSESAPDGSLPRQIVMGDPDVSSGSAFWKMHLEANEVLQKLENAIEGMHSILASLSSSSGKYVQSGVSKLIQAFETKTHADDHEVDEVPSSESAETRHLFMQAKQQTKSLQVVLKQMLLLAESASKSFEGERKSRISAEFLNTQLVASCESLKSHCIHFEAENIELVVLCEALKQHICNSQTSISDNIQLKDKMGILEAKISEFQSNLDEICESSDQMVSSFFNQVEMLQKEVGDRGLLVDKEWNSFVDQIVMEVRKLDMSVETLCSITLSNDCQKNLDVGSRIAASVNAAIKAIEGLLEQLKCTERDHQAILSAYSELNLKFNNLQEKNELFINVLDKNYRKLRRVVESCGHVEGTTTGVNNENLLDPGLFNDLLEKMLDEKLQLKSANDKLNSHLVDQVREIDELKRRSFHLDAILELFQNVKEEFLLGSFNVNIADPVPGLESFVYILIQKYKEAKEQVSLAQEKPDLNELQFGYFQEELDHLTFILVQYENENLVLKESWKTVNEDIPAFQAELQERIAELEQSEHRVSSLREKLSIAVTKGKGLIVQRDNLKQSLAETSNQLEKCSQDLQLKDVVIHELETKLQNYSEAGERMEALKSELAYIRNSATALRESFLLKDSILQRIEEILEDLELPEHFHSRDIIEKVDWLAKSITANLPPPTDWDQKSPVGGECYSESGFASVDGWKEETQQNQDLADDFRRRYEELQGKFYGLAEHNEMLEQSLIERNNLVQRWEDILGKIEMPLQLQSLEPEDRIQWLGGALLDTQNHCKSLQQRIDYLDALNGSLTGDLEESQSRISELESAYHSIIVEKECLLKNLETVTDDYHESSEKASQLEIENEKLLKQVTCLQEKLDQKLVDEEHLNYVEAELRRLQDLIHNVLQDSVTDDLEFGSNNMEYLEHLLRKLIDKYSMLLVGNLVADGHVNEKASVSDHEEQTRDSGVTEDVEALSKRLEDTLAEVVHLKEERDSYLEKNQNLVTEVEELDAKRKELQELLNHEEQKSASLREKLNIAVKKGKSLVQQRDNLKQIIDEVNAEVDRLKYEVSQRENSIAEYEQRIMNLSMSHERIKNVEAECASLRDRLSDSEHCLHEKEYMLSLILESLKVIDVGFDSGNPVQKLEAIGKKYLDLNAALDSSMQESRKSKRAAELLLAELNEVQERNDALQEDLVKVARELSEVSREKEFSEAAKFEALAHVEKLSAVQSEEKGHLLAEVSILRSSVDQMQEEISTVNSSLAEVLSKDLEILQNLEVSIKSCLESPSAPSTDARSAIDAFAGIAVGDSGSITFPKSQNKVPTTEIGFIKELLQRHHNSIQEQASHIFEIVKGLYTVVSSLKESSEYGERNLHQIKSILKDKDSELFVAHRNISLLYEACTLSIVEIENRKSQQDGIDFSSKVPWVDLNSQTSVGGNTSTEENILSSEEVIMSVREKLLSVVKDLISRQNEILEDRQMEWKTIVSNLQKELHEKDIQRERISTELVSQIKDAEVIAKNYLQDLRSATTRADDLQIQVNGMDEEHRMLKKRVKELEYQETVSADLQQRVASLTDALAAKDQEIEALMQALDEEESQMEGLSNKILELESDLQKKNQDLENLEASRGRVLKKLSVTVSKFDELHHLSENLLSEVEKLQLQLQERDGEISFLRQEVTRCTNEALTATQMSNKRNPDEVLELLTWLDTTVSRVQARDMPSSDAETNQVREHKELLQKQIESIVSELEELRTVAQNRELLLKGERSRVEELIRKVEFLENALLEKDSQLTMLRHVGDSGQATSPKSEIVEVESLINKRAGSAAPQVRGGRKTNSDQVAIAIDMDPVSGIEDDDDDKAHGFKSLTTSRIVPRFTRPVSDMIDGLWMSCDRTLMRQPTLRLGVIIYWAILHALLATYVV >CDP18550 pep chromosome:AUK_PRJEB4211_v1:8:4188334:4193093:-1 gene:GSCOC_T00010792001 transcript:CDP18550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35500) UniProtKB/Swiss-Prot;Acc:O82290] MAASTSTTSTTFCLSSKDSTKFQKFLLTKTVVSFPKPKSTAATSHFRLISRWAFSNSSFKKLSRVFCGTLPTVSTSTTHYEFSDGSSEVELRLKLGDVDVSSKDIFVDANDNSLVIKVQHSGFLQTLINTSCLYERIKPGETIWYIDDDELVVNLKKQDPELNWPDIIESWESLTAGVTQLLQGTSVYLVGESTEINQKIARELAVGLGYTPLETKELVEAFAKQTIDSWVAAEGYDAVAEVEGAILENLSSHARTVVATIGGKHGAASRTTQWRHLFAGFTVWLSQTEATDEASARDEATRHFEDGEQGFSNAEVVVKLGGWDANYSKTVAQASLSALKRLILSDRKLPGKKSLYVRLGCRGDWPDIKPPGCNPSTTDDASLPGS >CDP16144 pep chromosome:AUK_PRJEB4211_v1:8:23365639:23366025:-1 gene:GSCOC_T00017222001 transcript:CDP16144 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFTTSKLGKDVLAVSSEAHKTDAIFQNYGVADVSKLNNNDKTIVSRHKQNYVYAVFYCHTTQNTDACMVNLVGADGAKVKAVVVCHKDTSEWNPKHLAFQLLKVKPGTVPICHFLPEDHIVWVPKN >CDP12062 pep chromosome:AUK_PRJEB4211_v1:8:29959461:29960765:-1 gene:GSCOC_T00035437001 transcript:CDP12062 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTTALRNVVKNCRWMYFSEGRWVGTMTPKKQANDDAGEGHMVETKANPDPTVAFGRPPPLPPVLGPLVAFSLLEPWLQRDGDDD >CDP18855 pep chromosome:AUK_PRJEB4211_v1:8:17219185:17219718:1 gene:GSCOC_T00005863001 transcript:CDP18855 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYICIVLLLLAFPFIFSHAKRTNPDKPCKHFTVYYHTVIFNGTNTANATAAVVREPTKFWNTSFGQMVIFDSPLTKDQSLLSTPIGRAQGLYFYDMKMRVGSWFAYSLLFNSTEHKGIINIMGANLMHEETRDLSIVGGTGDFFMARGIVTLRTEDVDIPRYFRLQMDVKLYECY >CDP18797 pep chromosome:AUK_PRJEB4211_v1:8:185192:189515:1 gene:GSCOC_T00005573001 transcript:CDP18797 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDWVTAALLDDSVVAELLSRLKQSSSSSSSSFFCEPPKPLSLPFGWGHRQPRSKPTATKKDSTTNTAPTTTRCSPTTPLSWSGGAASPSDGAYDDCSTRPLLSTSSSSSDPSRSKGAFAIDAANVYSSNNKKSKKKRTFAELKEEEDLLLKERATLTRELASLHVTLEEQKARSENLKRFKIDLNLQTSGEMCGTTGGGDRQVVDPPIKCSQMEVSTCDDAHHPSMPRFAVQDGFVSSGSSRRAETGVDLQESCFVLPDLNVTPAEEEFGPEVLHAIQLKEKSKFPSMF >CDP11768 pep chromosome:AUK_PRJEB4211_v1:8:6434280:6437020:-1 gene:GSCOC_T00034265001 transcript:CDP11768 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKGLFRRYQRWNPVHPTLGAFWGMGLGIGCGVGWGPGFGPEVIGYVGAGCGAGFCVGITLAGIGIGLPANYVYTLPYNAFVAARTGALGIAESNSVRSMKNVAGDGWSNLRGTIPGLQQKGSQMLPGFGIRNPLENMLDISNVKNAIFSSSTPMMNELQRIRSHFFPPHKGSKE >CDP11760 pep chromosome:AUK_PRJEB4211_v1:8:6187822:6188932:1 gene:GSCOC_T00034252001 transcript:CDP11760 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCSTLISIFEQMGQSENGKAPWQGVRERCKSEWAQFQDRLTNADKKYIEQLGRTSILNNRKFCILLSFLAFGSDWKRNIETLEKNSVTSLRTLINLGSEVYMQADVIHLKCLSRYERIHFSFV >CDP09906 pep chromosome:AUK_PRJEB4211_v1:8:28279613:28284126:-1 gene:GSCOC_T00030393001 transcript:CDP09906 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASTSSGLRAAFSYCVQQVRNYDYPHYLCLLELPPNMRRAAFALRAFNVETARAMDVASDPKIGLVRLLWWQEAIDKIFSHELIEHPVAQALTSIRSEHKISKSWLKRSVEARINDAKREVTNIPEAIEELERYAEDTASTLLYITLQAGGITSTAADHAASHIGKASGLLLLLRSLSYHASRDRHFSYIPAQVAEKHGLLVNQGGRREIQIDSREGICNAVFEIASVANVHLLKARELYGTVPAEARPVLLPAVPAEAILDSLSRVQFDVFDPGLNRGILGTPPLWFQIKLKWYSWRGKY >CDP17899 pep chromosome:AUK_PRJEB4211_v1:8:21390807:21393526:-1 gene:GSCOC_T00011895001 transcript:CDP17899 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSDGLTLESIRNSLIRQEDTIIFSLIERAKYPIIPQLYDSRCSVLPGISGSLLENIVRETEAIQAKYGRYQFPEEHAFFPDNLPDSLVPPNSHPQVLHPAAASININKTIWDMYINKLLPLIASKGDYENHTCVAASDLECLQALSRRIHYGKFVAEVKFKDASEEYSPAIQAKDRDALMKLLTFESVEEMVKKRVEKKAKVFGQEVNLTNDAGNGKCKIDPSVLPCLYGEWVMPLTKHVEVEYLLRRLD >CDP13015 pep chromosome:AUK_PRJEB4211_v1:8:3198816:3202944:-1 gene:GSCOC_T00037747001 transcript:CDP13015 gene_biotype:protein_coding transcript_biotype:protein_coding MADKITEFPPGNPQTDPYGFLGFVRNPDGSVARILDMPKTPVSSFDKSPVLLVKDIPVNPSKNTFVRIFLPRKAIESSPGTKLPLLIFIHGGGFVICSAATPYFESLYNSFTVDIPVVMVSIEYRLAPEHRLPAAYEDCMEVMQWIKSPQDEWLTKYADLSNSFLMGSSAGGNIAYHVGLSASSCVDDLKPLEIKGLILHQPFFGGTKRTESELRADNDKILPPCVTDVMWELSLPVGVDRNHEFYHGFCNPVLSIKPGQFDHIKDLGWKILVTGYDGDPLFDRQVELVKMLEDEGVPLAAKFAQGGYHGIDGFEPPKLKVLCQVVKEFMISFVTAA >CDP13511 pep chromosome:AUK_PRJEB4211_v1:8:751919:755242:1 gene:GSCOC_T00038477001 transcript:CDP13511 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVLLNLAALFAQLQLSTTKYLLMSFGMVESNPSQCTSQNLKPGETPIEFAERVRDIISVRAGLKKVPWDRYLKYSRPSPKHRERK >CDP08369 pep chromosome:AUK_PRJEB4211_v1:8:26474138:26476320:1 gene:GSCOC_T00027175001 transcript:CDP08369 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRHFSEFLLIAAILLCFPSINTDATCITSEKQALLDFKKSLIDPSGRLSSWNDNIPTFLGSLKSLRYLNLSQARFQGMVPYQLGNLSSLRTFNLSGNDFRHFIPRWIFDLPSLASLDLSKNLFLGPLPRGPWNMTSLNCLDLSSNHLDGSLPDELIHRNNLISLNLQGNQFEGSLDGIRNWSSLVSLNLSENHFTTILPNVLFTLSSLVSLDLRSNQFQGYIPGSIANISNLQNLDLSHNNLSSSLPSELFTLKDLVTVDAGGNRLSGPIPSTIGNCTKLKHLRLTDNSNALSGSIPSNLGKLSSLEYIDISKNKLTGTLPESLGQLSKLETLLIYNNLMEGMVSGILLDNLTSLKYFDASGNSLTLKANASWIPRAQFERLGLGSWNLGLQFPIWLQSQKNLQYLNLSSTRISDTIPSWLFNSATGVVSLSHNQLHGKNSSIFEIVKRLYIYYRGISHFLCEVKNGKPGLTVLDLKKNSLSGEIPDCWMNYPNITYVSLKSNNFIGSIPRSLFSLEYLSHLDLGRNSLTGSMPSTL >CDP16139 pep chromosome:AUK_PRJEB4211_v1:8:23272228:23274181:1 gene:GSCOC_T00017214001 transcript:CDP16139 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLLLSHLNILGSSTVIAGSYVLAFYCGYYCLMDLLKLLCFLTSVSLGIAAEHADLEVYWKSKLPNTPMPKAVRDIIQNGKPPGVGALSASPQAIPFRYGRYMIRYGKNPTEGQLLNHQNVTVFFLKMDLHGGSIMNLHFVNLLENTAAFLPRQVADSIPFSSKSVPEILNKFSVNPNSVQAEAIKETIADCEEPGIEGEDKRCATSLESMVDFTTSKLGKNVGAISTEAQKPDPKILKYVIVDVSKLNNDDKAIVACHKQNYVYAVFYCHTLQHTDAYRVNLVGADDGAKVKAVVVCHQDTSAWNPKHVAFQLLKVKPGNVPICHFLPEDHFVSWALKH >CDP13499 pep chromosome:AUK_PRJEB4211_v1:8:896450:897511:1 gene:GSCOC_T00038458001 transcript:CDP13499 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSALSDGLVKKIILSYTYVAIWIFLSFTVIVYNKYILDRKLYNWPFPISLTMIHMAFCSSLAFLLVRVLKLVEPVALSRQLYLSSVVPIGALYALSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGILFKKDTYKGNTMLNMVAISVGVAIAAYGEAKYDSWGVLLQLGAVAFEATRLVLIQILLTSKGINLNPITSLYYVAPSCLFFLFIPWIFVEYPVLRENSSFHFDFVVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPMNLIGYGLAFLGVGYYNHSKLQALKAKEAQKKSQQADEESGKLLGETEGKDGVEGIGKKGDTQA >CDP18548 pep chromosome:AUK_PRJEB4211_v1:8:4216497:4217916:-1 gene:GSCOC_T00010790001 transcript:CDP18548 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPNSKPSSGWRRTTEDMIDLGFCKRALDSRNSSISSSMAAKPDTSNFFELSLSNNQRMISVSDHHHQPSSNNSHYNLIQNSSSTSTTNNNLIHSFQQSQQFPLHHQHHLHHHHLLQHQQHQVGGGGGGLNHPEFGFLRPIRGIPVYQNPPPFPLFNPILQSLDASTTSCGIPSPTSTTTSSSNPTCFQSQGGCGGGGLMRSRFSSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQVIKWTACFIKSVSFLLRRD >CDP08366 pep chromosome:AUK_PRJEB4211_v1:8:26436299:26436526:-1 gene:GSCOC_T00027172001 transcript:CDP08366 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGPTGEFFRRRDEWRKHPMLTNQFRYAIPGLGIALVAFGIYLVGEAAYSKIYATSHSHSHASSSAAGSHSH >CDP14950 pep chromosome:AUK_PRJEB4211_v1:8:9154353:9156902:1 gene:GSCOC_T00042454001 transcript:CDP14950 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSKVLSFSPKSISRFIFAHSFSNSSLPKSLISRITTAFHQENPKLIDPVVSSKIQSCHLESVIHEFRSKPTSAIRFFKWSENFLGLNHTLKCHSMLCHLLLSKRMFDDARWVFAKMAEKFGEFDCLAVFDEGFKVYGADRSTVYSFLVDGYCRVGRINLSVELFFRMCKMGVSVSHYALLKMLSSLIDLRRLDLILDVYKEMENRSKGEPKRCFDVYGFVMNGFFKNEEASIGLEFHRKMIERGSKPDIVYCNKVLKSICCGYSCIEVANKLLLLLLDVGPRPNVVTFSTLINGYCKEQRLEEAFKLYVLMIGRGIEPDLIVYSILIDGLFKLGKFEEGHQLLSTALGKGVKLDVVIFSSIIDACVRDGDVERGVLVFKKMLKEGICPTLVTYGILVNGMCQIGCLLEAFGVLGQMLKNGVESSLLVYSSLMDGLCKAGNLKGGINLYRHILRSGFIPDVRVYSVLVNGLSKQGLLDDATRLFYQAVKTGLTPNIYLFNTLLDGWCRLNQLKKVANLYSQMDSYGVTPDIVTHTALSKSISQQGKVHLMLLFLFKMLKMGFSPDVVSYCTLIDGLCKHNNLTAGLQVFSLMVKNGVNPDIAIYNVLIHAFFNEGRLGNALELFRQVSRCGPEPDIVTYNTVIYGYCSMKMLDEAIQIFEELKLRQIRFNSITLTILIDAFCKEGRLDNAMSLFSAMLETGPAPNVVTYSSLLDGLFKSFRMEDAFKLYGKMLGSNVSPNILSYSILIDGLCRRGLVQEASKTFSSALSKGLLPDVVAYGILIRGYCKVGRLVEALCLYNRMLVDGIVPDSVINMTLKEFQLENLLGKSTCTPLQELNRSYCLAGKL >CDP08346 pep chromosome:AUK_PRJEB4211_v1:8:26274363:26278989:-1 gene:GSCOC_T00027148001 transcript:CDP08346 gene_biotype:protein_coding transcript_biotype:protein_coding MNLELPLLVDIYAILIDFLFHLLAYFSDGNMSRDITLQSFEPPAVAFDALELEKAMLRTSLLLDSSDELPKFNRAETGYGNEKVTDFFVNRDEVNYASRRGCQFDSLDSRGCQNFLPSISEHRVKAVPFSEMNHLAMLQSPFGSMEDNVNEESSFRKIRFTGTSRLQLYQETQHSQKEVVPVVDPCESGNEDKIFMQSNQEEPHRANDENCLIDMNKIDGLQEDKLLKNISEDCSSMIPSIEKGKVIKLPNSQATLTASGLSVGQNPIAKISHKSKTDQKQAPSQRLHSIDRTLENANAAFSPLQKLQTRTDKKSIPMKQHMKYYGHQDMNVKEKKENLDKNREPSVNPSHVSLLGQQRVLPNFEPFIVEEEEGSGGYGTVYRARRKSDGVTFAIKCPHVNANRNHVHNELKMLERFGGKNFVIKYEGSFKNGDSDCLVLEHVEHDRPEVLKRDIDVCELQWYGYCMFRALAGLHKQGIVHRDVKPGNFLFNRKACKGYLIDFNLAMDLNQKYGTADKTKLSHDVSLNSVPLSRAISIPPSKSRKILTPKAVELANREQGKVLKPLLISKDTRKKIQNSNHCAEVGSRSAIKSQGADGSGITSAREATSTKTLSAEKFREPLPSQGRKELINLVQEALQGANRGSANVPVSKRKRIAATPAKVDRKFLYITPMPLHSAGGVVGGAGVLKNKGDGKNKREGPCVGTKGFRAPEVLFRSLHQGPKVDIWSAGVTLLYLLAGRTPFAGDPDQNVKEIAKLRGSEDLWEVAKLHGRESSFPAGLLDIKSLPSIKLQDWCKHNNRRPDFLEVIPGSFFDLVDKCLTVNPRLRISAEEALRHEFFTPCHEALRKHRLLRQEASLDSASSSFLLHEQSKKCAEIS >CDP11732 pep chromosome:AUK_PRJEB4211_v1:8:5744244:5756119:-1 gene:GSCOC_T00034207001 transcript:CDP11732 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIAVSAAFNIVGAFAFLLAFALLRLQPINDRVYFPKWYISGKRSSPRHAGGSFVGKFVNLNVKTYFTFLNWMPQALKMSEAEIIDHAGLDSAVFLRIYLLGLKIFVPMAAVALVVLIPVNVSDGTLYSLNKDLVISNIDKLSISNVRSKSIKFFFHISMQYLFTFWTCCLLYKEYSRVASMRLRFLASQGRRAEQFTVLVRNIPHVSGRSTSDSVESFFSKNHPEHYLCHQAVYNANKFARLVRKRNRLQNWLDYNQLKFERNPEKRRRRKNGFLGLWGEKIDSIDFYKHQIKELDKKLTMERQKVLKDPKAIMPAAFVSFNSRWGAAVCAQTQQSKNPTLWLTKWAPEPRDIYWKNLAIPYVSLTIRRFIISVAVFALIFFYMIPIAFVQSLANLEGLEKVAPFLRPVTELKFIKSFLQGFLPGLALKIFLFALPTILMIMSKVEGYVAKSTLERKAAAKYYYFMLVNVFLGSIIAGTAFQQLRAFLHQSATQIPRTIGVSIPMKATFFITYIMVDGWAGIASEILRLKPLVIFHLKNMFIVKTERDRVKAMDPGSVDFPETLPSLQLYFLLGLVYAVVTPILLPFILVFFAFAYFVYRHQVINVYNQQYESAGAFWPHVHSRIIWSLLISQLLLMGLLSTKKAANSTPLIIVLPILTLAFHNYCKNRFEPAFRKYPLEEAMDKDLEDRPSESDVNLKSFLADAYLHPIFRSFEEVELVEVGVDKSQAHIPSPSGSSSSSSHHDIHHHEDKPSHSVHHHEYEPPSNVYHYKAEVSSNVYEYDTESYHNVYHY >CDP18478 pep chromosome:AUK_PRJEB4211_v1:8:22684437:22696094:1 gene:GSCOC_T00009046001 transcript:CDP18478 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEIENSGKPEFSWGKKRGVGGKKKEVQFYESFTYDGVEYALYDCVYLQNKDREAEPYVGKLVKIWKNADNSKKIKVQWFFRPSEISYWLEKLSVVASENELFLASGDGKGLANVNPLEAIVGKCFVVCVSRDDRNPQPSEEQLRNADYVFYRSFDVGQCSILDKMNDKVGELEVRFVFNRQENGIDTGPILGSKLKEKDSRISVAYEETLQHLGQQPHEVLESIKEDGNHSHLVAKEDAEAGYLLSKVETSNGHAESFLDHLKPRVGKRSAPLVDQLNGEVTSSISQKNNSADANAATKQAKDSVLPASKGVAGERLECDPPNLDDKSSKREKLDDPVKLTESKEKINIEQLTSNQVDLKKSSLVSVEEKTKLGPRKDTVGLDKHRKLIKDSNVLDERPSKKLKVQESVKLSEDNDKNYLEKDNSTTSGSKAKGSLAAGSSAEGTGKAMLAQSSSRPVKDTKFSEDSGALGDRPSKKLKVQESVKLFEDKDKNSLDRATTSGSNAKGPLFAGSSTEAIGRAKLAQNSNGLEKDTKFNEEPKALEYQPLKKLKDKESKSVNLSEDKSKNNLEKVNITTSGSNEKGFGAGGLIEDKRKATVAQNSALMDDGLSKEKPNGKVNELNKTIAGPEKIHTKEEPGEKMEKLSKSSSKLDKGPPAEMCELKLSENSNDSIGLENGPSMVKPNAKTNLLVKNCFRSGKGPSEEKLDEKIRPNKGSTKSSNAFVDDKIYGKIFDVTQRPEKGKWFKSPGIFLERMVVAHDEGKLVLLQNLDPSFTSAEVEDIVWSVFKETCTAKVVQGTAISSPFCGRALVIFNSAEVAERVVKALIDGCLIISNRRPVVGCIANLPHLRGKQSTFHGHVSVDEVDENKTQTRKDKRDAKSSSHSSQSNSVAYDLGTEWCLLQKRSNFWWQKLHKAHQLQYEELMAKFKRNLVRDA >CDP12056 pep chromosome:AUK_PRJEB4211_v1:8:29901344:29903528:-1 gene:GSCOC_T00035430001 transcript:CDP12056 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSSTIAPPSFSFRSQLFHQNVYTTSNSIIRCCDDTARLNIRPHEDNGSASFPEKNLLLNPAAFKKPPRPRRIILVRHGQSEGNVDEAVYTRVADPKVGLTEQGVAEAEERGRKIRKMIEEDGAEDWKVYFYVSPYRRGLETLRNLAKAFERSRIAGVREEPRLREQDFGNFQNEEQMKIEKAIRARYGRFFYRFPNGESAADVYDRITGFRETLRTDIDIGRFQPPGKQSPNMNLVLVSHGLTLRVFLMRWYKWTVEQFEGLHNFGNGKMVVMERGYGGRYSLLVHHSKEELKKFGLTEEMLIDQEWQTIAKPGELNYDCLITGPSYFTHFDDDSRK >CDP12050 pep chromosome:AUK_PRJEB4211_v1:8:29843422:29850922:-1 gene:GSCOC_T00035421001 transcript:CDP12050 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQEHSSKPVFEITSHTLSKLLTALNECTEWGQVFILDALSKYRAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLANIATLSSVYHKPPEAFVTRVKTSQRTEEDDFADGSETGNSESPAYAPDSSTSPPASSSSAQYAGRQAVAAPAASAAPALVPDLLDLGLDNSSAIVSVDQPATPAGPPLPVLLPAATGQGLQISAQLVRRDGQIFYSMLFENNSQIPLDGFMIQFNKNTFGLAAGGPLQVPQLQPGTSASTLLPMVLHQNISPGPPSTLLQVAVKNNQQPVWYFSDAVSFLVFFAEDGKMERSTFLETWKSLPDSNEVSKDFPGIVMNSVEVTLDRLAASNMFFIAKRKHANQEVLYLSTKIPRGVPFLIEITAVIGIPGLKCAIKTPSPEMAPLFFEALENLLKS >CDP11689 pep chromosome:AUK_PRJEB4211_v1:8:4806457:4809243:1 gene:GSCOC_T00034146001 transcript:CDP11689 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLIKQLSTFLSQESTLLGGFRPDVQYIKDELGSMKAFLRQAEAKEDNDSQLQEWVKQVREVAYDTEDVLDDFAFRFARGHADGFIGRVGKIYNSIKNLKARHRISLEIKGIKDRVVEISARHQRYQSLYGNEERGSSSSNLVGIDQPKKELISKILDDDSHLKVVSVVGMGGLGKTTLVKKVYDDAVVKKQFQSHAWITVSQNFQFNIIIKDVIQQLYEEIRQPVPPQVESMNGIRLSEFVRDFLKERRYILVLDDVWSQNAWETIKCVLPDCNTASRVVLTTRIADVASASCLASHDFVHEMKPLSYEDSWTLFCNRTFQSNGCPSNLEEVSRKILKKCEGLPLGIVVIGGVLALKDKDRIDEWEMILRGFSSLNRLRSVEIFGYEDPLTTSFLSKFLCGGPKFLKVLNLTGAELDSIPKEVFKLFHLEYLDLGGTRVKIIPKSVGQLQNLESLNLAGTTITELPVEILKLRKLRTLLVGRVGDYSNNFALWGFKSPNGIGKLTSLESLGNIEVDGGKIVREIGKLIQLRELFITKLRREDGKELLYSLSRLTNLQELKICSIKEEDTLDLQHSVSPRLGFLMRLSLTGRLERVPEWVISLQSLGTLALVNSELSEDENAIGCLGHLPNLVHVTLYRAYDGETLCFKAGRFPKLQKLELGQLKRLKWVRVEDESMSSLQEFVIAGCKLMEGLPLGLQNLTKLKAFALLDMSDELIHKVQNLDKQSEDYQTISHITQVCIGHWINGKWKTKFL >CDP10014 pep chromosome:AUK_PRJEB4211_v1:8:27207285:27212295:1 gene:GSCOC_T00030551001 transcript:CDP10014 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFPLSSSSSSSKDRNSNGERNRVSLYLNVYDLTNINDYLYWFGLGIFHSGIEVHGLEYGYGAHEYPTSGVFEVEPKNCPGFVFRRSVLLGSTDMTRSEIQSFMEHLSSKYHGDNYHLIAKNCNHFTDDVSVCLTGKRIPGWVNRLAHLGSFFNCLLPESIQIQAVRLPDHQAYSGKFYWYDGTDSVGSSVSADVEEEELDHHLLTVTNSDVAFLKEKPVRLTKEAL >CDP17900 pep chromosome:AUK_PRJEB4211_v1:8:21395035:21397521:-1 gene:GSCOC_T00011896001 transcript:CDP17900 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTFGILCEMIRDTGGLKATRNMSIEEIVAMFVYVLAHHKKSRTICGLFWRSRETVSQLLRCLRWDINRCDTPTEQKSRYRTRKGSIATNVLGVCCYYLVDAGYCNADGFLAPYRGQRYHLNEFNVMKKMENDEIVRGRGKNKCFWTGEEVKVLIESLQELACDPMFHAIVEMCKESGCSWNDAEKKISYEKQWYDDWCKTHKDAKGLWDVKFPYLGDLEIVYGRDRATGNVAEDFTQTVQDMEAVQNLEEGDEGLDAMSNSDNDKVEEDEVNSMEQSTQPSSTSTRNSKKQKKQSPPIANVSKKMKSASTTRGDLDASLQLLTSKFGDFVEGIQANFTTIAAAMSNEDKREQLVSDRRDQVVAELMKLALPSGDVMNAADILSEQISKLHVFYNLPAEMKRQYVINLLYPPSTR >CDP08297 pep chromosome:AUK_PRJEB4211_v1:8:25784912:25791720:1 gene:GSCOC_T00027087001 transcript:CDP08297 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVGGAAAAASGGPTSIRQVKLDKECELRIEVAETPFRLRLLTGTAEIFGTEIPPEIWLSLPPRLKFAVFTWYGATIEMDGTTETDYTADETPMVSYVNVHAVLEGRRNRAKASPGDSDASQGPRVIVVGPTDSGKSTLSRMLLSWAAKQGWKPTFVDLDIGQGSITIPGCVAATPIEMPIDPVEGIPLEMPLVYFHGHPTPSANVDFYKVLVKELAQTLEKQFSGNAESRAAGMVINTMGWIDGVGYDLLLHAIDAFSASVVLVLGQEKLCSMLKDVLKNKPNVDVVKLQKSGGVVSRNPKVRQRARGGRIREYFYGLANDLSPHSNIANFSDLSIYRIGGGPQAPRSALPIGAEPTADPTRLVPVNINRDLLHLVLAVSYAKEPEQIISSNVAGFIYITDIDIQRRKITYLAPCHGELPGKYLIVGSLTWVET >CDP07176 pep chromosome:AUK_PRJEB4211_v1:8:10025948:10028527:-1 gene:GSCOC_T00024331001 transcript:CDP07176 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKYRRKNGFAELQSHSCNSLPRRWHRPPEIADSVKEVFRAAEVPIEWEEHYVGREMEPRTQSFLTSESLESVCRNKVGLKGPMATPIGKGHRSLNLTLRKELNLNANVSNPFCFTTLFACLQKISLYIIFVVKGVVESLKIVTRQVSLRVAEYAFLYAKNHGRQRVSAIHKANIMQKTDGHFLKQIAYEEVVIIENCSMMLVKNPALFDVWVMPNLSGDIISDLCAALIGGLGLTPSCNIGEGGVALAEAVHGSAQSTRYCWKEFCESNCFAFKCCHNAVTSEAT >CDP09782 pep chromosome:AUK_PRJEB4211_v1:8:29267171:29275004:-1 gene:GSCOC_T00030244001 transcript:CDP09782 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGKSPDELPPGWKEHVKVSNGRKIKYYTDDVTGLKFYSKKRVINFVQTKDACQGTPHSVSDHDLKSSCSKTEASPSQVVVEKNSSLEWLPQGWIIESRYRKSGATAGSVYKTYVDPLTGSKFYSKPQVMQYLESVNFNASPEKQQKEVRGDSSSKQDAPQHCESMSKSFISGKDDNGMGKPFVENVCCEIIGDNSSKQDSPKQSKFTTGKGHISGKEGNGIGKPSLEIVYDEVSGDNSFKQDAPQHCESTKSKSHISEKEENRMDKPFLEIAVDESKVLDELPPGWIKEIRARKQGTRKDPYYIDPVSGYEFRSKKDALRYLEFGDISRCAITPKKRDRNDLKLVEHEVFAQPDGKKLGQALGGGQLFGGQKAENDGSFLRSIAAAPEAERSQETNADNKSTDAKISTSKVDAVQEIQLCSKEIKCDRAWENPQPKSECSKLEMKAVHDIGAVSSITTAVSHEQKKPEIESSRETPSNDRYADTNITTSTVDAVVLQICSELNNCDRSAGRSHPIVEGSNLDLEVDVNGAVSSIATALLHEQKHPQEYLDTGTQIQPKKSKKRKAVSMPPRSSKRLSGQEPEILPNMGLSERALRAAVRKPGQTETNNSSSGQTETYVSSSLTQNSEANGGQQHIDTQLQREIIADLTSCKVALLETEMQNNNENPLQEQAVQEQVVGQVNEAQEEENQRSQDSQFWYPFGDSFSDPCYEFAFKTLTGEIPLEDTLAFPGCFQQQIETSFTEGNASFGLSEIDKPALFQNDVPSHFDSVQQNAAVEQVQPKLITPPGNINLPSCSSFGSQQPSLEARSKDYETKVNS >CDP18783 pep chromosome:AUK_PRJEB4211_v1:8:33401:43271:1 gene:GSCOC_T00005557001 transcript:CDP18783 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKMSAERELEEQLKEAGGKLLQPPSSLDELLPLLDQVENFLSRVEQSPAKSMQAALSPLTNALVTDELLRHENVDVKVAVASCVSEITRITAPDAPYDDDKMKDVFQLIVSSFEGLSDESSRSYNKRALILETVAKVRSCVVMLDLECDGLIAQMFQLFLGAIRDYHPENIFSSMETIMTLVLEESEDISSEILNLLLANVKKDNQEVLPVAMKLAEKVFENCAVKLKPYLSQAIQSLGCSLDDYSEVVTAICEGPSSTEHTNENASTEQQVAKDVNEAYSGDADHDVNRSPKSIMLNGGDDLGNDNKGTVIGAQSSVNVKEHDLEDEPSADKVAMKPEIADSETRESVMLESKLEDAAMKRERKPSSSINVSESSDTSHIDGEKEVEKLPDLQDSREKDLRGSPREELSAETSKSLDRDIVAKPSSPKTSETEDANTASASLSGSLDDAVRPKKASRLKKKEISIQQETLSSDVSKKASEGRNDSEAKPHRRLGKKAPVDTDNEDKMSADVDTSEDGGGGKSDSETKQMKQARKKVDESSNAGDGYSLKRNGESKKRVRGKAASENEGTKTSAKDDLKQQTHKSPTRSAKDEGSSEETVRMSTKRKRTASKDKGTADVEYGSNLVGLKVKVWWPHDRQFYEGVIHSFDSAKKKHKVAYNDGDEEILNLKKERWELVDDGSVSSEEQGAETAIPDTASGMQRRKKGRRNPESSIKPGKKEVSPKSGAASSGKAKGTATKSGHKTEDDQKLKDRTTKSVAKSEDDSTGKAKSQRTGDKHPDDFTKTSVKSKDVDTSTPKAKSKQDIPKTGSMSKQDTPKTGIKSKSKTPQAGGDGSANGTGKIKHSSSKMKETEDLKERSKDKSTDVLKTPEGVKVKSSEASKVQEKNQSVKKRRRAG >CDP14981 pep chromosome:AUK_PRJEB4211_v1:8:8013662:8015528:-1 gene:GSCOC_T00042500001 transcript:CDP14981 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKLLVLEKNVIQGNFTPDSTGRLIKYNPRTNEVKVVLNGLSVPAGPAFSHDGSFVLFSEFSNKRIIKYRLMENTTEVFLNLTGNNIRAPTCGEYWVAANNIIVSQPGSVTPFGYKFNLLKQILITKNLQAQYNNTQVNVLQEYNVNGGTLYIGSRVAPYVGVFNKW >CDP11736 pep chromosome:AUK_PRJEB4211_v1:8:5856087:5860387:-1 gene:GSCOC_T00034213001 transcript:CDP11736 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWCSKLRTLASALHSSAKPQNPTPISIRTLHISPNLLNKSTAQFANFPKFKPLQNVPQVPHPSNSNPSHLPLSFFQVRHITAKQRKRRLKSRQPLTPITSKVKKIKMKCYSSFKGRFRVMNDGQIRRWKEGKRHNAHLKSKKARRRLRRPGTVPLAYAKVMKKLNFSG >CDP12147 pep chromosome:AUK_PRJEB4211_v1:8:30600893:30605633:1 gene:GSCOC_T00035543001 transcript:CDP12147 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGAENGRDDMIIDGSGADQQRQNNLIFDGVNVNYLKLYYGKLFPHADVFKWMSYGNDGKHPGCDHSYFGRREFSFTLDNDIYLRFQSFSGLSEMENSIREKCPFKIDIGPVYSVDPARRHAYAQGSDNVFTPVERELIFDIDMSDYDDVRYCCSGADVCLDCWPLMTVAIKVLDSALRDDFGFNHILWVYSGRRGVHCWVCDGKARRLNNEQRAAIADYFRVYKGNENSQKMVSLLGQALHPFLVRAHNEVLKIFFEEKLLSTQNLLLDEDRYEKILGMIPDESITSELRGKWADNRRSKEDINVVRWQQLKHMLQSAKQKLQLRRCVEEIVFSFTYPRLDMEVSRHMNHLLKAPFCVHPKTGRVCIPIDPNCCEDFDPTAVPTLSMILEELNTEGSRKEGDNEWDRTSLGDPVRYFRSSFLQPLLKSCKEEIESSYSAKLQESKNSLNW >CDP19035 pep chromosome:AUK_PRJEB4211_v1:8:21991022:21993111:-1 gene:GSCOC_T00013088001 transcript:CDP19035 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTSSLRVDSSLGFTSMSWIPYFSGFMALVLLVIFKMDKWLTICLKNNKTRRFPLPPGPKPLPFIGCIFQMLRNRPTNRWICKFMDDLNTEIACIRIFGVHVIPVTSPELARQFCKKQDSIFSSRPVCMSAELCSGGFLTTGLSPLGDQYKKMKRMVVSCVLSPAKHQWLHSKRAEEADHLVNYVYNQCKDNATGGLVDIRLVTQHYCGNVIRKMIFNKRFFGKGMEDGGPGAEEVEHINALFKILAYLYAFSLSDYMPWVKIFDFDGHRKILTEAVACVRKHQDPEIEKRIKMWESGLKNEEEDLLDVLIRLKDSNGRPLLTTEEMRAQITELMFATVDNPSNAVEWALAEMLNQPEMLQKATEELDAVVGKDRLVQESDLPRLKYVKACVRESFRLHPLAPFNVPHVSTQDTVVGGYFIPKGSHVILSRPGLGRNPRIWEDPLKYKPERHMKDMEDARMDLNDPELNMFSFSTGRRGCPGVLLGSTLTVMLLARLLQCFSWKIPSGHSQIDLAECEDAGFLAKPLVAVAEPRFPQFN >CDP16173 pep chromosome:AUK_PRJEB4211_v1:8:23743151:23744388:1 gene:GSCOC_T00017259001 transcript:CDP16173 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKDCSDPYVAKLCQGLLFNHAQVRVLCPYFSISKVVTLSYLTHSIDFSIFYNKLAMQGKRATRLVLELASSSLNHSIQTRY >CDP08229 pep chromosome:AUK_PRJEB4211_v1:8:25182308:25183299:1 gene:GSCOC_T00026994001 transcript:CDP08229 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTELSYLLRSNFGLLILGMGALKGDIWWLGLIAAVIQGYIDKLKLCFRQVPRIYRAGVALRKNIDSIQLINFPIPSFLLND >CDP08151 pep chromosome:AUK_PRJEB4211_v1:8:24356421:24357543:1 gene:GSCOC_T00026893001 transcript:CDP08151 gene_biotype:protein_coding transcript_biotype:protein_coding MICLTMTTDQLQSLEPESSSNSIFSFSSSPTSPLSPNTALKTSQNQSNNSNNQDAESKKIKRIRDSSKHPLYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPSPEMAARAHDVAALSIKGTSAILNFPELAGSLPRPASLSPRDVQAAAAKAAAMEKFDSLSSSSSSTSSTSPSSSNGRTATSLTLSSSSSLSSLVSAIDLSTTSDELSEIVELPSLGTMSFNETSMELRNDDFAYSDSVDGWLYPPPDQQLWMMSRNGHDDQDDQGGFSSGFESLLWNYY >CDP14956 pep chromosome:AUK_PRJEB4211_v1:8:9123148:9125890:1 gene:GSCOC_T00042462001 transcript:CDP14956 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQLEHFSHKKHPLILCELRKENDDGSIDQKSAVCYGCQQQILDPAAYCCFRCDFFLHKRCAELPRQIRHPMHSQHDLVLHGKSPYSSRISCVCNACGQRGWEFFTYHCSLCEFDLDVSCAILDQREIKLDCHDHPLIQRKRPATFYCNACGKDVKDSSYLCTVCPFWIHKKCALLSSTVKHKDHNHPLHLAYSLPSENRSFRQGCSVCREKVHPSRWVYYCGPCRYFVHVTCVVISQEDEGQLSEDIEYPISGEQDQNVVKLPSRNAAQELIARFLLKEDEISSGNDSGKSNIPENIFIDSHRKHPLVLSQKVQNLDEIKSTANSDDQAIIAVLARYSSIEDIEYPISGEQDQNVVKLPSRNAAQELIARFLLKEDEISSGNDSGKSNIPENIFIDSHRKHPLVLSQKVQNLDEIKSTANSDDQEEAKALLVCDVCIEPICSSDDLRYYACVECGYFVHLTCSKLPHKLRIPQHPQHPFSLTCKSSAVGLFLCWACRCWTNAAWWTNAACYKCKPHQLSICIKCASASMITSSVKHDGHKKHLLTPFQSSNPFMRCTACDYICGGGFGFACEDCHVYVCYDCALLPPTTTQRWDKHPLLLIYPPYFDHPEVFYCVLCEKEINPNCWMYHCRECDYSLHPFCVPQVNSFRRVKFGRSLNVNNHSHPLTHVPEAKYKSFCGSCSDRRLDWEEAFECESCSFYLCPLCARQRELPVVTSNE >CDP12975 pep chromosome:AUK_PRJEB4211_v1:8:2768246:2768446:1 gene:GSCOC_T00037689001 transcript:CDP12975 gene_biotype:protein_coding transcript_biotype:protein_coding MKWQNFSGLVKTGASFRILILIMGLPQ >CDP08321 pep chromosome:AUK_PRJEB4211_v1:8:25984052:25988212:1 gene:GSCOC_T00027119001 transcript:CDP08321 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGNDGPCLCDSWVFCGNKQACGSKFGECWLKKQKDTLAPDRHEKGDKTMWTSGLVFGKGEGIIGMETDYGTLHIKLLPECSPHSVLYILELLSLKHCAGCELYRAETRGQSWDSHGNHIKDASFGPPFALIQGTLNAQGTAFEKLPGEFCPTVRRGSVAWVGSGPEFFISLANHVEWKKSYTVFGYVLPEDMEIAEKIAQLPTKSDVWNNIKVAVLEKPVSLLIRRMKNSEEDLNQSAK >CDP18496 pep chromosome:AUK_PRJEB4211_v1:8:22866279:22867955:-1 gene:GSCOC_T00009069001 transcript:CDP18496 gene_biotype:protein_coding transcript_biotype:protein_coding MEIALSNGTVPFPERKDDLAGIEGLISRHIKSMPLTVPEANAENVAESLQTLRTVPTDHRQLPSIFFLFCLKLLQAKLATTSAISSIKEGSTDPGKQEKWFFIRIWRSLSTNINKSRLMPAFKCSLSLGLAVFFGSLYSKENGFWAGLPVAISLASAREPAFKVANVKAQGTVLGMVYGVFGCFIFGKYVPIQLLSLLPWFIFCSFLRRSHMYGQAGGISAVIGAVLLLGRKDFGPPSEFAIARITETFIGISCSIVVELVLQPTRAFALAKVQLSKNFKVMRNSIGAISLTASEANLQESLKKVKLQVNELGKFIGEAEVEPNFWFLPFYSACYSKLSVSLSEMVEFLHFITHAIQFLHQESGRMDTNLWKESMSKINADLKIFKEIVDSSIKCFEEVSLVKSLVLLDKEMERKNISLDLESGKSPKIPSTMKLPGSEEEVTIEKTLSYYLQHCNEFLEAIHADKGEKELKSRIALILSCIGFCMSGLVRETREIEKAIKELVQWENPSSLVNLHDISSKIHALAAAVDTMPTQVGSVGNNESLSHKKLCIRIPLPM >CDP09979 pep chromosome:AUK_PRJEB4211_v1:8:27608234:27617726:1 gene:GSCOC_T00030501001 transcript:CDP09979 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLIVDEGGKQTAAVGRQNGDSVAHTHTYAQHRRSRSASDRNLTVRPESNLHSLQQDIKESPWNQQELPPLTPSCRASPLQAHSVRVNKDAVSNHRASLEKDIEQLQFRLQQERSMRMVLEKAMGRASSTLSPGHRHFAAQTKELLAEIELLEEEVANREQHVLSLYRSIFEQCISRSSSEQSSVMTSPAHAKNETRKHPSVISSAFCSSKFPLRTFQTLASINDSGKRDLLQYKTRHASLFSGKANIHFEKSCTENAKSSLLVLGVKHKVLRVPVHKVQEQSQAMRRTSVMRTLKDHLNQCPSKLAEEMVRCMAAVYCWLRSTASVDLEQNRSPLLSRSSTSVVLPRRGSGDPRDWPGKCTLEISSLSTDKNNFSHASYAINNYRLLVEQLERVSINQMESDVQTAFWINIYNSLIMHAYLAYGVPHSSLRRLALFHKAAYNVGGHAVSADAIEQSIFCFRVPRTGKWLETLLSTAIRKRAAEERHHISSKFGLRDSEPLVCFALCTGAFSDPMLRVFTAANIRDELESAKREFLQANTVVKKSKKVFLPKVLERYTKEASIPADDLLKWIAENVDKKLNDSIKKCVERTNTKKASQIIEWLPYNSRFRYVFAKDLTEKPWLV >CDP07842 pep chromosome:AUK_PRJEB4211_v1:8:18602334:18607650:-1 gene:GSCOC_T00025295001 transcript:CDP07842 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKSSLQNLPPVNENLVTILSIDGGGIRGIIPATILDFLESELQELDGQEARIADYFDVIAGTSTGGLIATMLTAPNEKDRPLFAAKDIKPFYMEHGPKIFPQRSGFRRMIKFCGIQKMIKFVLNFFPGPVYDGKYLHKILKEKLGETRLRKTLTNVVIPTFDVKCLQPIIFSTFEDAKYPLLDARLSDICISTSAAPTYFPAHKFVNEGNPKEFNLIDGGVAANNPTLLAMTHATKQRGDNGPDFSKMKPMDHGRCLVISIGAGSARKEKKFSAEKVNKWNRLGWVLNGSMPPIVEMFAEASQDMVDYHISVTFKAVDSEANYLRIQDDTLKEQTASVDVATKKNMEDLGKIGSKLLEKTVSRVNLLTGQHEEVGGGGTNKEALKRFAKQLSNEKKDRQLKPQTEN >CDP13417 pep chromosome:AUK_PRJEB4211_v1:8:1766000:1769312:-1 gene:GSCOC_T00038350001 transcript:CDP13417 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLAQLSTFLHEEGRLLGGLRPEVQSIMDELEQMRAFLREAEAKEEHAQPRLQAWIKQNLRARHRVAGEIQSVKSRIESISEAHQRYQSEFGIPAQASNSLPAVNNTTWRYSRDDALLVEEAELVGIDQPKKHLISQLLEGDDHKLKVVSVVGMGGLGKTTLVKKVHEDPEVRRHFPVRAWVTVSETCDFQFLLKDLIRQLHKEGKKPVPQSIESMTTTELKKIVKDFLQQAGRYAIVFDDVWDVEFWNTIKFALPESSRGNRVILTTRKADVAYASCIESRRFVYRMEPLSTEDSRTLFCSKIFDGGNCPDHLMNVAEGILDKCEGLPLAILAISGLLASKDVNRIDEWEMVRRSLGGELEGTGKLDRVKKILSLSYSDLPWHLKTCLLYTSIYPEDYEIGCSDLINLWIAERFVEWREGMNIEDVAWGYFSELVNRSLIQVTGVFYEGLPDYCRIHDLLREVILLKSGEQNMVTVTTGQPTTWPSEKVRRLEIPNEIFNLFHLKHLDLSGTRVERVPKAIGKLQHLEFLDLGDTGVRELPMEILKLQKLRYLRVFQQLRREDGKELCSSLANLTSLRELSVASIGKGDDHEMIDLNHPSLSSSSSSFLQSLRMLILYGRLEKMPQWVARLHGLIRIDLNWSRLMGEEDPLESLHHLPNLGEINLCGSYQGEGLCFKAGGFLNLKRLELKRMEGLRWMRVEEGVTHGYSALEPSSRAVFV >CDP09990 pep chromosome:AUK_PRJEB4211_v1:8:27513399:27518896:-1 gene:GSCOC_T00030515001 transcript:CDP09990 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSWRQIFIILLFRIDWRVLLLFSSLLTIVTVMVQIPRLPYPLSELIFVPPIVESAIYNNTSHATSRNFHFASHNQLAVAQIVPVNLSASAKQNQSVPEVGEIKQLSSRSRKKNRTTTHRRRGRRRRKNVEPFDEITTPPSPPSYANMSKEVLRQIWSLTPHEGLVHARKEIENAPLVQDDPDLYAPLFHNVSSFKRSYEMMELILKVYIYKEGKRPIFHQPHLQGIYSSEGWFMKLMEENREFVTMDPEKAHLFYLPYSVRQLQWALYVPNSHNIRPLSIFLRDHVNMLAAKYPFWNRSHGSDHFLVACHDWGPYTLNAHKELRRNTIKALCNADVSERIFIEGKDVSLPETTIKNPTRPLRNLGGKRVSQRPILAFFAGNMHGRVRPKLLKYWGDKDGDMRIYGPLPKRVSQKMSYPDHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFALPFSEVLNWSAFSVIVAEKDIPRLKKILLEIPLKRYLVMQTNVKMLQKHFHWHFRPIRYDLFHMILHSIWLSRLNQVQVHESAQ >CDP16141 pep chromosome:AUK_PRJEB4211_v1:8:23295782:23296653:-1 gene:GSCOC_T00017217001 transcript:CDP16141 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNRDFNFRPRCFEFLQVCLSYFEVAVWSSKLSHNIQPVLDRLSEKMNECLEQRLLFVWDQSRCTMTQTSIGENPDKKVMFKDLKHVWGEYKSYNSSNTILVDDSPYKSFLNSPYNTIFPTSYTCYTVEDNYLDPEGDFVRHLKKLASADNVQDFIKRNRFG >CDP13462 pep chromosome:AUK_PRJEB4211_v1:8:1203388:1206304:-1 gene:GSCOC_T00038418001 transcript:CDP13462 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTLMVLLSSFSALLASLCILKLVYSLWWRPKLIERELKQQGIGGTSYNFPYGDKLATKKLMLEAWSIPMSLNHEIIPRANPFLHQMVQTYGKVCLSWNGTMPRLILGKAELVRLILNNKNGHFQKTPLANLLTLGLSTLEGEKWAKHRRIITPAFHHEKLQKTGNGTGNFLQVDGWKKSVPSDGSSEIDINPEVQSHFADVIARTAFGRSYREGKKIIELRGILPPRFQISSKKNRRRYELDAQIKAMLRDVTCKKQKATQKGESRNGDLLGLLLQCKEQQGSDGNRRCNRGVQAVLLCWPRNHS >CDP13019 pep chromosome:AUK_PRJEB4211_v1:8:3245740:3246744:-1 gene:GSCOC_T00037754001 transcript:CDP13019 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQVQQPVDPNENPYGYLGIAKNPDGSITRLFQPPSTPASSDPSNPFRLSKDLDINQSKGTWARIFVPRKAFDDDDSSPKQKLPLIIYFHAGGFVACTVNTAIFDALYTPIVTEIPAVVVSVEYRLAPEHRLPAAYEDCFEALHWIIKNSNDEWLEKHADFSKAFLMGSSAGGNIAYHVGLQVAACVDDLLPLQIKGLILHQPFFGGIERTESELRLANDRVVPLTLTDLMWDLSLPIGVDRDHEYCNPMAKIKPDQFDQVKSLGWKILVTGFDGDLLIDRQIELWKKLEEKGVSVTGKFAEGGCHGYELGDPTKAKELAIVIKDLVESTTTS >CDP09757 pep chromosome:AUK_PRJEB4211_v1:8:29462359:29468105:1 gene:GSCOC_T00030212001 transcript:CDP09757 gene_biotype:protein_coding transcript_biotype:protein_coding MRVESRIRYQGYSSMPEYPKGVSSDEEKNMQESETKALFSVIGMTCSACAGSVEKAIKRLPGIKEVAVDVLKDRTQVIFSPSSVNEETIRQTIEDIGFKATLIEETANEKCIWVCRIRIVGMACTSCSGAVESVLKLVPGVQRAQVALATGEAEVKCDPKLLSCKELLQAIDDIGFEAIIVGTGESRNKIQLKVDGLCNENNVQMIVSSLEGQPGIQDIQVEAELHKVSISYLADVTGPRNFIRVIESTGSGQFKATIFPERGGRGTHKQEEIIQYYNSLLWSLVFSIPVFLTSMIFMYIPSTDFFETSSMLISFILLGKYLEGDAVIGGTFNENGVLHIMATKVGSESALSQIVRLVESAQMAKAPVQKFADRISKFFVPLVVFLSFSTWLAWFLAGKLNAYPKSLIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVECIVFDKTGTLTIGKPMVVETRLLKSMALRQFYEMVAAVEVNSEHPLAKAIVEYAKKFREEEESNVWPEVKQFESITGQGVMAVVRNREVIVGNKRLMLKKNVAIPVSAENFLEETEGFAQTGILVSIDHELVGVVAISDPVKPAAQEVISILTSMQIKSILVTGDNWGTAHAVAKEVGIGTVIAEAKPEVKAEKVKDLQALNFVVAMVGDGINDSPALVAADIGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRYTFRRICLNYIWALAYNLIAIPVAAGALFPSTGICLPPWVAGAAMAASSLTVVCCSLLLKNYRRPKKLDALELQGLTVE >CDP12123 pep chromosome:AUK_PRJEB4211_v1:8:30437110:30440059:1 gene:GSCOC_T00035514001 transcript:CDP12123 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRQIGEVVGGLKALMVLKHDIPINQRQCCLLFDMFALAFETISDEIRQNLRLDERNVKWKALEYPLKELHRVFKEGEQYIRLCLDVRDWWGKAISLHLNRDCVEFHIHNLLSSFPVVVEAVEAVAEFSGADLEDMQKRRVALMRKYEADCSDPKFFQWMHGKQYLVPREICSRLQSAGKEDRWFLLEAIREKKSAVPSTLAKHEHRLGDLLLKKLSGSEPPKLKLLPTSVLVGAHDFYVKRRLGLGEGHMKEIQWLGESFALRTFFGEIEPLHEEISLVLSLSHPNILQHLCAFYDEERKEGFLVMELMHKNLKNYIKENCGQRKRIPFSVPVAVDIMLQIARGLEYLHSRKIYHGELNPSNILLRARHSSTESYFQAKLTGFGLASIKSFARSQHSSVDPVIWYAPEVLAEQEQPGKKGNAKYSEKADVYSFGMLFFELLTGKVPFEDSHLQGDKMVRNIRAGERPLFPYPAPKYLSNLTRKCWHPNPFIRPSFSSICRILRYIKKDLIINPEHGQPESPPPLVDYCDIEAAYSKKFPGEESPDLSPVTDIPFQLNAYRLVQKEKSCGSSKDKNCDLGSEELPQRPASIYGDEHVAAIDDLFLVPSDRRSVCSEIIESKNIRAAYDERSVISEIPHKLFFSDQRSIGSESPGRKSLLAAPTEQRPNVADTPQRKVSVAVAVDQISSLSRTPERKVPPTATINHKTKHSENLGKDMGSIADQTTADSKSQDGNSKSKTAAKLHEQSNGRSNVPEKEIKSKTAADPVPVTSGALSRKVSSRKIAHQRKLSEIPEKSVSASLLNPKSNSSSKKSEPTRPAAKPSPPKKSDKKHSMNKKMKDVNSEKNPGNSKDNLPRSSSARISQTIASSSAAAAASPTRGTRFSSTFALKGYMSPQASPLHPCARCCRTSREAILSSPAMSPCRARLTHVSETEIA >CDP16167 pep chromosome:AUK_PRJEB4211_v1:8:23681153:23686613:-1 gene:GSCOC_T00017252001 transcript:CDP16167 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPYDYEDGHQQQSGPDSLSYDPSFVPDPVKSFVVHLYRHIREKNVYEIHQMYENSFQTLSERMFKDVPWPSVDAVAPFVDNDHVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLKQFDQAWNVYGVLNFLQALVEKSMIIQILEQEKEGLEQFTATDGYDYNGGSNVLKVLGYFSMIGLLRVHCLLGDYHTGLKCLLPIDLSQQGVYTSVIGSHIATIYHYGFANLMLRRYVDAIREFNKILLYIYKTKQYHQKSPQYEQILKKNEQMYALLAISLSLCPQVKLVEETVNSQLREKYGEKMLRMQRFDDEAFALYDELFSYACPKFITPSAPSFEDPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSTISMGKLATYLEVDEPTLRTILLTYKHKTHAVDSDGKISSNADVDFYVDDDMIHVIESKPAKRYGDYFLRQIVKLEGVMTDVDRVKLE >CDP20152 pep chromosome:AUK_PRJEB4211_v1:8:7857959:7859289:1 gene:GSCOC_T00004724001 transcript:CDP20152 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGYLILERPNAGIVLSTNARFYAIVKPLYEEIKPESWAWAWTDVKLTSPQMSRETIYKLSLKHLTLQPVSSSASRNPFVEHAVQYAVAAAHAKLGKDKTDALQKILLQGLDITILGCNEFYSYRNQVFHVSCHVSSSRDSIVVFSDHFLLVPLNTMAVSKLVVDLNHIYAPKSNLLVVRVPGFIIIKAYSV >CDP14936 pep chromosome:AUK_PRJEB4211_v1:8:9274989:9280601:1 gene:GSCOC_T00042434001 transcript:CDP14936 gene_biotype:protein_coding transcript_biotype:protein_coding MKHREKDGKPSSHPDKSSRTIPMAIMFIVLCGFSFYLGGIFCSEKDRYETVEDAIESPKSIAAGPLQIKDVSFPECGLDYQAYTPCTDPRRWKKYGLHRLTFLERHCPPAFERKECLVPPPDGYKLPIRWPKSRDQCWYRNVPYDWINKQKSNQHWLKKEGDKFLFPGGGTMFPNGVGEYVDRMQKLIPGMKDGTIRTAIDTGCGVASWGGDLLDHNILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEIHRILRPGGFWVLSGPPVNYENRWRGWNTTIEEQKSDYEKLQELLTSMCFTFYKKKDDIAVWQKSSDNNCYKKLDSPDNYPTKCDDGTEPDSAWYTPLRPCAVVPDNKYKKLGLNSIPKWPQRLHVAPERVTDVRGGSDGAFNHDDSKWKARAKHYKKLLPAIGTDKIRNVMDMNTLYGGFAAALIDDPLWVMNVVSSYASNTLAVVFDRGLIGTYHDWCEAFSTYPRTYDILHVDGLFTAESHRCEMKYVLLEMDRILRPNGYAIVRESSFYVDAVAAIAKGMKWGCRKEDTEYGVDKEKILICQKKLWYSSTKQTS >CDP13485 pep chromosome:AUK_PRJEB4211_v1:8:968055:973138:-1 gene:GSCOC_T00038444001 transcript:CDP13485 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSGKGALISDKKLSSTKITTLNPNAAEFVPSSLRSVTGSTSTAEASSKFAASATATLGKSVLDRSESSVSNNSDEEAHQYWRHQLPDDITPDFKVMGEDDPQGFNSLSLSSLSLTEVNEASRFPASIGSGFMLKDQNELSPHCVNGNSFNEKMRFPVSSYGENLSSGSFNSMSTKPWDKHIFNNDRLLSSVREGAPYNANSRHSLLSDLMNEQQYVDNADPLDFLASQFPGFAAESVAEVYFANGGDLNLTIEMLTQLELQVDGGLNQSINSKALSTPNLSAMDFPALSTIEGQNGMTKFAGDDLQQNISPYRSSEKDNVLLFKSGSSFPSRGAIDFAAAVRKMTPQDSGIWKYDRNGSADVNAGSSRGSHVLASSYNTGQVRGIYNDRLQSRGSARAAPIWLETGEAVANMYSEMREEARDHARVRNAYFEQARQAYLVGNKALAKELSLKGQLHNMQMKAAHGKAQDSIYRQRNPGNPDGRGQERIIDLHGLHVTEALHILKRELSILRNAARSAEQRLQVYICVGTGHHTRGSRTPARLPIAVQRYLLEEEGLDYSEPQPGLLRVVIY >CDP08182 pep chromosome:AUK_PRJEB4211_v1:8:24655188:24655769:-1 gene:GSCOC_T00026935001 transcript:CDP08182 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPISKPKWEAKVSTKLANASADQIWPLLEDFFGIHKYFPSLATSYGIHGSNGEIGSIRYCEGSSIPSQETKENEEERSTVISWSKEKLTAIDPAEKSLSYEIIDSNTGFHSYVSTIQINKNPIPAGDSTIVESGDEDGGRLGCVVEWSFSVDAVGGWRLEDLVKKYEVGLHRMAQKMEDILSNSGEGETYN >CDP14938 pep chromosome:AUK_PRJEB4211_v1:8:9244165:9247330:-1 gene:GSCOC_T00042440001 transcript:CDP14938 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAQKLIHHWKILRGDNVMIIRGKDKGETGLVKRVIRSQNRVLVEGKNLVKKHIKQGQGHEGGIFTVEAPLHVSNVQVVDPVTGKPCKVGIRYLEDGSKVRVSRGIGASGSIIPRPEILKIRTTPRPTVAGPKDTPMDVVLERTYDPKTGLGMPDL >CDP09778 pep chromosome:AUK_PRJEB4211_v1:8:29286680:29287310:-1 gene:GSCOC_T00030239001 transcript:CDP09778 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQYPAISFMFIMVMLTLSQLSSGRSIHEFTYKTKEERFTREVQSQISWNSHVPAPGESRNGDDPNYRVSHRTVPGGPNPLHN >CDP07883 pep chromosome:AUK_PRJEB4211_v1:8:19681648:19689451:-1 gene:GSCOC_T00025370001 transcript:CDP07883 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRDTPNVPCFPECLDWIVENQHQDGSWGLLPGHPLLVKDKLSCTIACLIALRKWRVGKQSVQRGLNFIGSHGWAATDTDQLCPIGFGILFPAMIKEAIELGLDVPLDPVLVDDMMINQTSVLESFIGKEAILATVAEGLGESCFWEAVKNQKRSNGSFVNSPSTTAAALLYHHDKQSYEYLCSVLKEYSSGVPVAHPTELYARLCMVDALQCLGVQRYFRHEMESVLKDADRHWQLKSEEIFLDITCCALGFRLLRTHGYEVSSDELARLVDQHKFSEASGVQFMSLVSILELHRASCLMMHESEVALEQIHTWTTSYLTQQLSNEGILDETLSKEVEYALKNENGTLDRIQSRQSIHLYREDKFDILKTSYRYPNLYSKDLLTFSLYDFNYCQAHHKAELRQLERWYKGNRLDQLKDTRQVLHTAYFLITADVFNPELTEARATYAENIILGTIVDDLFDNFAPREELLNIIDLVKKWDEPSAGDYYSNRVEIFYSALYKTLNEIGAKVVMQQGRCIKNHLVSAWLKMLEGMMSELDWWEDGVTPTIDEYLAAACETINARVCILPTIFLLGIKLPDDIIDGKEYSSLLQHVTIVARLLNDLQTYKKELIESKPNCIQQLLVKGNGVISEEEAITKMKEMIETSRSKLLQMVLQTKGSSIPRVCKDVFWTTSKIAHFLYSFRDEFSSPKEMINHINQVIYEPLILPRNIG >CDP12954 pep chromosome:AUK_PRJEB4211_v1:8:2534748:2535811:1 gene:GSCOC_T00037666001 transcript:CDP12954 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSFCPQRKLSSFLIFSSNKTGLPRTLHPSLTGSMNGAVEVRRRGPPPADITVFEFGSVAASADKVTLAGFCPVSDELEPCRWEILPAQGSDAPQFRVVF >CDP12964 pep chromosome:AUK_PRJEB4211_v1:8:2645739:2647438:1 gene:GSCOC_T00037676001 transcript:CDP12964 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHRFINMGKLAADHSGSQNEMAKLHQACKDWGFFQLINHGTTIVIEKMKLVMEDFFKLPLQQKTAYALPNDSITIFSYFCSNIMLLLYFLKRLAFLVCMTLFKLIGANLGVDPDKLLQKVIGLTPQSDATGLTLLIQVNDVQSLQIKKSNTWLHIKPIPGAIIINIGDIMIMSNGEYSSNEHRAIVDFCKERLSIAAFHRTNFIAKVVGPLVDLVKEIGAQYNTIETEGLLRPYLSSKLDGKSLLDHMRINK >CDP16164 pep chromosome:AUK_PRJEB4211_v1:8:23631731:23634458:-1 gene:GSCOC_T00017247001 transcript:CDP16164 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSTPSVVTCKAAVVWKSGEPPKIEEIQVDPPKASEVRVKMLLASLCHTDILCCHGLPVPLFPRIPGHEGVGMVESVGENVTNLKEGDIVMPLYLAECGECLNCISGKTNLCHKYPLGFSGLLLDGTSRMSIRGQAIYHHFSCSTWSEYIVIEAPYAVKVDPRVSLPHASFLCCGFTTGFGAAWREITVEKGSTVAVLGLGAVGLGVAGGARMQGASRIIGVDRNEMKREKGEAFGMTEFINPKGSDKSISDLIKEVTGGLGVDYCFECTGVPDLLNEAIEASKIGLGTSVLIGAGFETSGDFKYIPLICGRTLKGSIYGGVRPKSDLPLLLEKCANKEIPLDELITHEVTLDEINRGFEYLKHPDCVKVVVKF >CDP16169 pep chromosome:AUK_PRJEB4211_v1:8:23695745:23699303:1 gene:GSCOC_T00017254001 transcript:CDP16169 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSSLTRPISESSLIALKLGDQSPNSLGFPKHFVFSRHGFCSFPPGLVHIQLKPSLAIKNSYQTESSTESTVLSSEVPILSCSEAIERLRTSRETYKSKEQYLAMYSSVFGGITTDPSAMVIPMDDHMVHRGHGVFDTAAIMDGYLYELDQHLDRFIRSATTAKINLPFDRDSIRRILIQTVSASKCRKGSLRYWLSAGPGDFQLSSSGCHQSALYAIVIQNQSPPDYSGIRVVTSSIPIKPPQFAVMKSVNYLPNALSKMEAEENGAYAAIWLDFDGFIAEGPNMNVAFVTKEKELLMPEFDKILSGCTARRALVLAESLVREGILQNIRVDKVTVEEGKNAVEMMLIGSGVLIRSVVQWDEQVIGDGKEGAVSQALLKLILEDMKSGPASVRVSVPY >CDP16200 pep chromosome:AUK_PRJEB4211_v1:8:24202894:24208504:1 gene:GSCOC_T00017299001 transcript:CDP16200 gene_biotype:protein_coding transcript_biotype:protein_coding MYTCNYSFPVCSSSSSQRPSLPVKYDFSSFIGTFLPFPSKPLLRKLEKILTGVPETIKKNAVELIDAFVDLAFDFVDQPLLPSQANFAPVEELEGGIHVADIEGIIPDDFPDGVYIRNGPNPLFGGYKSAISMFGKSSHTWIEGEGMLHAIYFNKLSSGTRTISYKNRYVQSDTFKYEIARRKPAFLPAIEGDSPAVFSALMLNLLRFGTVDKFLSNTNVFEHSGRFYAIAENHAPQEIDIKTLETIGKWDLHGAWDGPFTSHPKKVLSTGELVVFGVSAQRPHMKLGVLSADGRKMKHQVDLGLKRCTLCHDMGVTERYYNVIMDFPLTIDMNRLMTGDMNRLMTGGPLIRYETEGYARIGVMPRYGDAKSIRWFEVEACAALHMINCFEDGDEIVVMACRARGSIIPGPEFGSNKFEWFSRGFKHIRSVDTSQKDLQDGAFFSRVYEWRLNIESGEVKGRYLTGTEFSMEFPVINEKFTGVKNKYGYTQVVDSTASSNSGMAKYGGLAKLYFEDKAFDLSLLDYQPEESMKVERHKFQENTFCSGATFVPNSVGVEEDDGWIIAFVHNERTNISQASYITFTKKFASEPIAKITLPSRVPYGFHGAFLALCSQG >CDP08306 pep chromosome:AUK_PRJEB4211_v1:8:25871878:25879111:1 gene:GSCOC_T00027098001 transcript:CDP08306 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDRPAKKQTAASRKPNGGDKPLRGAERSAYFARREAAKVLRTVLEGDARRRAVGSIKSLVYSPSIRNKRATFALVCETLKHLPVIKDVLRATSVLNSKWKRQEELLCIIAYDILFGKESSATGDAEKLLLSRKDKLRSALVHLLEKKRVKHIKELMPQCKISDMPKPRYVRVNTLKMDVESAILELTKQYAVDKDDMVPGLLKLPPSTDLHKHPLVLNGSIFMQGRASSMVAAALEPKPGWWVLDACSAPGNKTVHLAAIMKGKGKIIACELDKQRVKRLEATVRLAGATNVKVKHEDFLKLNPEEPCCSKIRAILLDPSCSGSGTAIDRLDHLLPSYAADDTGNSDRLMKLAAFQKKALEHAFSFPAAERIVYSTCSIHQIENEDVVKSVLPLAASHGFQLAPVHPQWPRRGLPVLDGSQHLLRTDLVEDGEGFFIALFVRKADKRPEGYAERETCERYRSKRFLRKKMDFVNYFFNNRFRMLLYPQFRFKKQR >CDP12085 pep chromosome:AUK_PRJEB4211_v1:8:30121030:30123656:-1 gene:GSCOC_T00035464001 transcript:CDP12085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39680 [Source:Projected from Arabidopsis thaliana (AT5G39680) UniProtKB/Swiss-Prot;Acc:Q9FK93] MASLAGGSPSMPAQKPPLDPRSVINWQAPWRLKSNHDHPAVKLLKISAGTKNLKFGKIIHAHLLVSNQASENNVVENNTLLNLYAKCGQLSGAQRVFDGMRMRNVVSWGTLMAGYFHAGFCSEVLELSRDMVKVDNLRLNKYVLSTVLSSCAGDGLYCKGQQCHVYAEKSGLIFNQHVKNALVCMYSMCEDVEGAMKVFNGVPGLDIFTYNSLLTALLEHGSLSEALDVFRKLLEADVEWDGASYVGVLGLCACLKDLKLGSQVHSRMLKSGFNSDMFVNCAMIDMYGKCGEITKARKAFGSLKARNVVSWTAILAACLQNECFEEALKLFFEMETDGVRPNEYTFAVLLNSSASLSAVAYGTSLHAHIEKVGYEDFVIVGNALVNMYSRNGDIELAYSVFAKMRSRDPITWNSMISGYSHHGLGKEALTVFRDMLAAEEKPNYVTFIGVLSACGHLGLVEQGFYYLNHSMNMLGIEPGLEHYTCIIGLLGKAGQLDEAENFMRSMPVKWDIVAWRTLLNACHVQWNYHVGMRAAEVILQMDPNDVGTCILLSNMHAKFKRWDGVVKMRKLMRERNIKKEPGLSWTEIRNNTHIFIAGDNKHPESVQIQKKVRELLAEIKPLGYVPDPASALHDVEEEQKVDYLTFHSEKLAIAYALMKTPPNAPIRVIKNLRICDDCHSAAKLISKVTNRLIVIRDANHFHTFRNGICSCADYW >CDP13405 pep chromosome:AUK_PRJEB4211_v1:8:1876331:1878701:1 gene:GSCOC_T00038336001 transcript:CDP13405 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTQEMELQIRVEKKELNSQSRMPSTATTQQRLPTYKDYMWWFRVSLYIVSLLAGQSAATLLGRLYYDKGGNSKWMATFVQSAGFPVLLPILLYVQLSKSSSNNAPPKHPSISTFLLLFLFFGLLLAGDNLMYSYGLLYLPVSTYSLVCATQLAFNALFSFVLNSQKFTPYIFNSLVLLTVSASLLAVHPDSDSTTKISKGKYIIGFLCTVGASATYSLFLSLLELTFKKVIKRETFDAVLLMQIYPSFVATCACVVGLFASGEWKTLPSEMKEYEKGRVSYVMTLFWTAVSWQISSVGLLGLISEVSSLFSNVISTISLPAIPILAVIFFHDKMDGVKVISLILAIWGFLSYVYQHYLDDVNAKSRTSMSNEEASGSFLGTS >CDP09855 pep chromosome:AUK_PRJEB4211_v1:8:28673376:28678404:-1 gene:GSCOC_T00030332001 transcript:CDP09855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aminotransferase ACS10 [Source:Projected from Arabidopsis thaliana (AT1G62960) UniProtKB/Swiss-Prot;Acc:Q9LQ10] MRVIVPLQGVVQGRGGLILGSVIPCALFYFFQLYLKRNRSKGGSGENSPPPPPARSPSGTHLQESSPLQRVQSRLLLSPRGGGSSGPAPLLASRADSIAKQADGPYYVGLKRVSEDPYDRSSNPDGVIQLGLAENRLSLDLVQEWLAENAKESILGQDMSISGIATYQPFDGLMELKVAVAGFMSQVMDKSVSFNPSQIVLTAGATPAIEILSFCLADPGNAFLVPSPYYPDLDRDVKWRTGVEIIPIPCRSADNFCLSTTALDRTFNQARKRGLKVRGIIISNPSNPVGNLFNRETLYSLLDFATEKNIHLISNEILAGSTHGSEDFVSLAEIIDSEDFDRTRVHIVYGLSKDLSLPGFRVGVIYTFNENVLAAAKKLARFSSISAPSQRLLISMLADTKFIHLFMKNNRERLERMHNAFVTGLKQLGIECMKSNGGFYCWMDMSGLIRSYNEKGELELWDKLLSVGKVNVTPGSSCHCIEPGWKVSEICKCRS >CDP07861 pep chromosome:AUK_PRJEB4211_v1:8:19062655:19068763:-1 gene:GSCOC_T00025333001 transcript:CDP07861 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNDGGGTRIVPESVMEAVKRTSINIEEVGIFFDDFLSLCDNDVLSQMNSLERAQSLLLLAKITTTLFTLRLRCNGVNPDEHAVKSEHERLSLYQEKVQRCIELSKAPLRPSATINAQAATRFIEHSLPDLTREQKQSMREISRRQGTTIKHSERSVHKKRKYGSPEKQPVETAAKEFLEKAARELLGDNKGSLKGPVQLEDSDAEIDELFGDCRTDRNEPVLIDDSDDDGQHVN >CDP13010 pep chromosome:AUK_PRJEB4211_v1:8:3130071:3132559:1 gene:GSCOC_T00037739001 transcript:CDP13010 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYFCRLTPFYSVSDVLKKLQEYVEDINENIEKLDTRGPVSKYVLPDENLRGRITISFDEANSGVACNLESPGFHVLCKATEEAVGHVKPYSITGSLPLIRELQDEGFDVQTAGYGLMATYHAKNEYCLLTDMCQGYQVFASIILQLED >CDP08144 pep chromosome:AUK_PRJEB4211_v1:8:24260774:24262416:-1 gene:GSCOC_T00026884001 transcript:CDP08144 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDQDTLAWIIAIDSCFFLHILHSYLVQDETTDRRLLDNTIVTRDIMMLENQLPFVLLKEIRKSLQVSPNSNDQGEEDDIELISMLFQLCEAQSPVKFSIDKTNKCRYRRPLHLLDMMYHMIVNVPGPAVSGCLENGPIQVVPTYAEFRNSSTPSSSSFSTDNEDPDVAHNNLETLLDLVETIGPKRTQRFLSPVKFVSKQNSEHDEIEIPSVSHLWRYAKVQCKPFIGSIQEIKFVEEEATLYLPVMNLNTSSEVIMRNLVAYEAAMCKSTLKFARYVNLMNGIIDTAEDVKLLKQNGVIKGALTDGEIADQFNGMQRCYAGSDHKSNIEVAVEKVNKFYGKKLLIRTVRGLKKNLYASWKCLALVSTGALLLVLGLQTFCDFYECTKLWNFHQGSS >CDP08325 pep chromosome:AUK_PRJEB4211_v1:8:26009540:26012995:1 gene:GSCOC_T00027125001 transcript:CDP08325 gene_biotype:protein_coding transcript_biotype:protein_coding MHSALSSSSSFHAPPRLGPSGVNLLQTHYSSSFFFNPIPNRNPISTANGPSLLSSQQPSRKLLCIPPAGKYVREDYLVKKLSAKEVQDLVKGERNVPLIIDFYATWCGPCILMAQELEMLAVEYENNVLIVKVDTDNEYEFARDMQVRGLPTLYFISPDPRKDAIRTEGLIPIQMMRDIIENEI >CDP18498 pep chromosome:AUK_PRJEB4211_v1:8:22909089:22913466:1 gene:GSCOC_T00009072001 transcript:CDP18498 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSSTLANIFLLLLVAMNLSVSHVSASKQFQNETDRLALLEFKNQIYDDPFGVLNSWNHSQHHCHWEGVTCSARHQRVMALTLRNKHLSGTISPHVGNLSFMRFMELRGNQFQGEIPQEFGRLSRLRALNLSHNAISGKIPVNLSYCLELINISLVGNNLEGKIPIDQLSNLKKLEIFYLGQNNLTGEIPSSIGNLSSLTGLTFDFNHLEGNLPMEMGLLRRLAVFVAAENNLSGILPASIFNSSAANFFQGTIPPNLASLKSIQQIDLSSNNLTGSIPKELEKLQYLRYLNLSYNDIEGEVPNTGIFSNASQMSLIGNNKLCGGIPELEFPPCPVIKGKNRGKLKVIILLSIVLSATLVVLGTVLLYLLVYRKRERRLVAGFSSMPTRVNKLLRISYHELHRATSGFSPENLIVLDLQKNGASKSFKAESKTLRNIRHRNLVSIMSCCSSIDSKGDEFKALVYEFMENGNLDLWLHPSETTAQGTSSRSLNLSQKLNIAIDVASALQYLHDHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPNNRSSEQGTSSSIAVKGSIGYAAPEYGMGHAASTPGDVYSYGILLLEMITKRRPTDDMFMDDLDIHNYVNRALHEQVSEIVDPLLLFEGRDENRRITHGEETINGGRQIHCIISLLKIGLKCSAKLPNDRMHMNEVVRNLHLIKDVLLGLRVHRENLQV >CDP12249 pep chromosome:AUK_PRJEB4211_v1:8:31383018:31387848:-1 gene:GSCOC_T00035678001 transcript:CDP12249 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSALLEIFQGPSFSILDVITQLMMFAAPLWIAVLVGVLVGWTWKPRWANFNGDFSVSASVSSSSSTSFSSIRGMVAQHLSGFVPSFNSFKILQLHSCIPGVSDDDGFDKQNPPALPSSSSSPPSSSNVEKSSLVNEDDLKHVCLLTKEKDGGPSWVQMMNRSNDNMSCEAWRRDPETGPPQYRTRTVYENATPELVRDFFWDDEFRLKWDDMLSHAETLEDCPTTGTMVVQWVRKFPFFCSDREYIMARRIWRSGNTYYCVTKGVPNSAIPRRQKPRRVDLYYSSWCIRAVESRRGDQLTACEVLLFHHEDMGIPWEIAKLGVRQGMWGAVKKIDPALRAYQKQRASGVPLSRCAFLAQINSKVSVDYLRWLESTSSLSEVETLASPEKPSGRNLPKYLVVGGAIALACTLDRGLLTKAVIFGVARRFVKMGKQL >CDP09935 pep chromosome:AUK_PRJEB4211_v1:8:27978882:27979586:1 gene:GSCOC_T00030437001 transcript:CDP09935 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTHATTAIALITINLLFITFVSSNNLPCPAPPPKDSSYTSTPPKPYYPETPPPPKDYSYNRPPPKTDHPKSPPPPKEYSYTSPPKPYSPISPSPPKDYSYTTSPPKTYNPPQAPPPEDYRYTSPPKPYYPKPSPPPHYYYPKETCSIDILQFGTCANPLDFLVTNGKVGTPPEAPTCCSLVEGLDDFEAALCLCTALKGNILGFIYDISLKFSLLCKHCQREVPFGFQCGY >CDP12210 pep chromosome:AUK_PRJEB4211_v1:8:31095990:31097909:-1 gene:GSCOC_T00035625001 transcript:CDP12210 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSHKVERSDIEAGDHIYTWKTGFSYSHHGIYLGGNKVVHFTREENKVSTGGISISFSSTGEVSSLYSAPRAKELPACLHMPDCGFRQPQSGVVLSCLDCFLGGGSLRRFEYGISPLIFVVKLRGGTCTVASSDAPKTVIHRAMHLLHNGFGSYNLFENNCEDFALYCKTGLLIHDQTAPGRSGQVSGVVCAPLAAVLSSPLRWFMSSPISIAAAGAGLYCLSRYATDIGVRTDVIKAEVEDMVLFHGIIEGPNKPTNHHVKSHKRPLESDQSDFPASKRQC >CDP08219 pep chromosome:AUK_PRJEB4211_v1:8:25044492:25049340:1 gene:GSCOC_T00026981001 transcript:CDP08219 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHSHFYPLAALVLLHHLMMTGCTAMKRLSLGTDQSALLALKAHITSGQQEFLSKNWSSAAAASSVCDWIGVQCGSRHQRVTALNISNMGLTGTIPPDLGNLSFLVSLDLRNNSFHGNLLEELSHLRRLRFIRFSKNNFTGEIPMWFVGQIPLSLCKFSHLQVLDLSNNRFSGHIPKEIGNLEKLKELYLSTNNLTGTIPREIGNLQSLQRLNLEWNQIAGSIPKEIGNLTMLTELYFANNSLTGTIPPEMGNLYQLENLQLSYNGLIGSIPHGIFNLSALRNIGLQSNLLSGSLPPDLGYRLPKLLFIDLAWNNLGGVIPVSITNCSRSRILNFARNRFTDLGPNPLNGLLPASIGNLSASLGILILETSGIKGTIPSQTGNLTNLVLLDLQSNHLTGGIPTAFKDLQNLQGLTVGDNNLNDTLDKLCSLHSLNSVDLTTNQFSGSIPECFGNMTSLRELELGNNFLVSAIPNSFWKLKDLLELNLSSNSLNASLPLEVGNLKAITSIDVSANQFSGDIPRTTGDLQNLVILNLSQNQFHGSIPDFGSVYKGILNDGRSIAVKVFNLELEGVLKSFDVECKVLKNLRHRNLVKVISGCWNQDFRALGLEYMCNGSLEKWLYSDNYFLDTLQRLNILIDVASAVQYLHEEYSTPVIHCDLKPSNVLLDEDMVAHVSDFGIAKMLEKEESFAWTRTLATIGYIAPEYGSEGLISAKCDIYSYGIMLMEVFSRRKPNDEMFAGNLNLKSWINNSLPDSILRVLSSILELALKCVCESPSERVSMKVTLETLKKIKLKFLRVMEVDS >CDP07895 pep chromosome:AUK_PRJEB4211_v1:8:20247389:20255575:1 gene:GSCOC_T00025386001 transcript:CDP07895 gene_biotype:protein_coding transcript_biotype:protein_coding MNILTFFELYDRFFSHIFLVGITVPPICPLRLTFGLQLIDTIEKLGLEPYFRREIERILDETYKSWQKKNEEIFYDVTCCAMAFRFLRLRGYHVSADELVNFVDEELFFSTVSPMFTNVTTILELYKASQLIIYPNEEPLERIQAWTTTYLKDQLLNQSINDKKLHKEVEFTLKNYHGTLARVLNRRCIELYDIDKHHILKTSYRDLLTLAIQDFNSCQALHQAELQILERWYEKNELQSSTVPKRVLQSSYFMITADAFEPELSDARISYAQTCVLVTVVDDLFDNCAPREELVNLIQLVKKWDKNSFTQCCSKQVKLFLLALYNTVDELAEKAFVHQGRCIKQDLISMWQELLEGMLTELDWWRENSAPTIDEYLSVGRTTIGAKICVLTGAYFLGPKLSEDILNSEEMKSLWTHVSTVGRLLNDIQTQEKELEQRKPNIVSMHVARGNGVITMEEATTRIEENIERGRRQLLQMVLQTNGSQVPRVCKDFFWTTCKICFYLYKFTNEYDSPKEIVSDAKKIIYEPIKLPKNIF >CDP08324 pep chromosome:AUK_PRJEB4211_v1:8:26001546:26007890:1 gene:GSCOC_T00027123001 transcript:CDP08324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet hypersensitive 1 [Source:Projected from Arabidopsis thaliana (AT5G41150) UniProtKB/TrEMBL;Acc:Q2F6I1] MVQFHENIITDLLEDPQGGLVILSAGLGLHKLIAALLHLHHPSQGSLLILSASPSQKTSIIQNYENTLSSSQTPSEITSDLPAHHRLSLYSSGGIFFITTRILIVDLLTRRLPTTAVAGIILLNAHSLSDTSTEAFIVRILRSSNRALFVRAFSDRPHAMVSGFAKAERTLKCLFLRKLHLWPRFQVYVSQDLERNPPAVVDIRVPMSPYMIGIQKAVIEVMDACLKEMRKTNKVDVEDLTVENGLFKSFDEIVRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRYDAVTYLKYLDSLRASESFRSVWIFAESSYKIFDLAKKRVFRFGRLDGGKYVGQSKTTATKKRKLEDSNSEKEVVVGASADNGAVLQEVLEEPPKWKVLLDILQEIQEERQRKSLSGEELIAEDCAYDNGIVLVACKDEHSCMQLEDCINKGSHKVMQEEWKKYLLSKVELRALPKCNKKKAKEPKGFGILDGVIPSASGQKAEISSISRLEHEALLAAASEINKQTEKNNAAEDELQTCEGSEGHKRARRKGKNKKTVVKPGKSDKKSARKSKSEACSSEDDDQKNQCTSTAAGFDAEQLIKNKQFPPVHFHPLDTDQHILDILQPSVIVVYHPDMVFVRQIEIYKAENPSKVIKVYFLFYDESTEVQKFEASIRRENGAFESLIRQKSMMMIPVDHDISLLLDSSAEPQSIIAQNLITRKAGGRKEVDKETQVIVDMREFMSSLPNVLHQKGMRIVPVTLEVGDYILSPLICVERKSIQDLFGSFASGRLYHQVEMMARYYRIPVLLIEFSQDKSFSFQSASDIGDDVTPNNIISKLSLLALHFPRLRIVWSRSLHATAEIFASLKANQDEPDEAKAIRVGVPSEEGIVENDVRAENYNTAAVEFLRRLPGVTDSNYRAIMDACNSLAELALVPVERLVDLMGGHKAAKSLRDFLDAKYPTLI >CDP09796 pep chromosome:AUK_PRJEB4211_v1:8:29182379:29186989:-1 gene:GSCOC_T00030262001 transcript:CDP09796 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNGDLESRGAAKGRTHNNVSSYQPYYVETSESQWTSWLVPMIVVANVAMFIVIMIINNCPKNNYGIRGQCVAKFLGRLSFQPLNENPLFGPSSNTLEKLGALEWSKVAHQRQAWRLITCIWLHAGVIHLIANMLSLVFIGIRLEQQFGFVRVGAIYLLSGIGGSILSCLFIQRSISVGASGALFGLLGAMLSELLTNWTIYSNKVAALFTLVVIILINLALGILPHVDNFAHIGGFISGFLLGFVLLFRPQFGYLESRQLPPGARLKSKYAVYQYVLVLVALILLIIGFTVGLVMLFRGENGNDHCSWCHYLSCVPTSKWSCNN >CDP16148 pep chromosome:AUK_PRJEB4211_v1:8:23395611:23400613:-1 gene:GSCOC_T00017228001 transcript:CDP16148 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLLLSHLNILGSRTVIAGSCVLAFYRGCYYLMDLLKLLCFLTSVSLGIAAEHADLEVYWKSKLPNTPMPKAVRDIIQNGKPPGVGALSASPPAIPIRFPRYGVIRYGGNPTEGQLRNHQNLTVFFLKMDLHGGSIMNLQFVNILDNTAAFLPRQVADSIPFSSKSVPEILNKFSVNPNSVQAEAIKELIADCEEPGIEGEDKYCATSLESMVDFTTSKLGNNVGAISTEAQKTDPKILKYVIVDVFKLNNDDKAIVACHKQNYVYAVFYCHTLQRTDAYRVNLVGADDGAKAKAVVVCHEDTSAWNPKHLAFQLLKVKPGNVPICHFLPEDHFVSWALKH >CDP09929 pep chromosome:AUK_PRJEB4211_v1:8:28025081:28029275:1 gene:GSCOC_T00030428001 transcript:CDP09929 gene_biotype:protein_coding transcript_biotype:protein_coding MALPQSYEEAKTIPDAWDYKGGQAQRSTTGGWAAAAMILGVEACERLTTLGIAVNLVTYLTGTMHLGNATSANTVTNFLGTSFMLCLLGGFIADTYLGRYLTIAIFAAVQATGVALLTISTTIPSLRPPKCAQGSRSCVQASGNQLLVLYAALYLTALGTGGLKSSVSGFGSDQFDDSDEKERKKMIKFFNWFFFFISVGSLCAVTILVYIQDNVGRRWGYGICACAIVVGLLVFLAGTRRYRFKKLVGSPLTQIASVIVAAWRKRHLDLPSDSSLLFNVDDIPIEGRKKKQRLPHSKQFRFLDKAAIKDHEMTMNKWCLSTLTDVEEVKLVIRMLPIWATTIMFWSVHAQMTTFSVSQASTMHRKINNFEIPSAAMTGFFVGSTLLTVVIYDRIIMPICKRLLKNPYGLTPLQRIGIGLFLSIVGMVAAAAVEIKRLHVAKSHGLANNPVTPVPMTVFWLIPQFAIVGAGEAFIYVGQLDFFLRECPKGMKTISTGLFLSTLSLGFFFSSVLVTIVHKVTGNRKPWLADNLNQGKLNDFYWLLGILSALNLMFFLICAKWYVYKERRLADVGIELEESFFFFFFFCLCKISKECKRTTPSCTFIKS >CDP12218 pep chromosome:AUK_PRJEB4211_v1:8:31131051:31133552:1 gene:GSCOC_T00035636001 transcript:CDP12218 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSPIASAPNFSSIPFPNPRIRIHVSYQYNQKFQVTNKKLFSNAAAVLVAAPTRPRRLTRLQENLVKCSSSSNGNQNETGSSLEDILSGMVDERVEQLFNKEENRVLLDGLEKATHRVEMAKKQLAEIQRQELEAKLLRDYVNKLQSTTSQIAECQKEILDAKAMVEEAERALIGGSGGEEDSFTAVKIQSVDRNEERLESVKAASISAIVGTLAGLPLSLTRITAISDLILPLGITCVSCALFGVTFRYAVRRNLDDIHLKSGTSAAFGVVKGLATLGAEPGLELDTDSLLSTALDGAVYVSENLLIFFLAGIGLDFCMKVGFLSQFPIDTSISNTNT >CDP18857 pep chromosome:AUK_PRJEB4211_v1:8:17245193:17250530:1 gene:GSCOC_T00005865001 transcript:CDP18857 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQALERSLAAEINYHTVHVSSILPSSACKPSAKGPSRKSSLTVVHRHGPCHQLNQESSNRETLTQILSEDQTRVRSIQARHAFGADTDKIRGSKADLPAKRGSAIGTGNYVVSVGLGTPAKSYTLVFDTGSDLTWTQCEPCVRVCYKQQDPIYDPAKSSSYSNISCNAAQCSALSSATGNSPGCSASNCLYGIQYGDQSFSVGFFAKERLTLTPTDVFNEFFFGCGQNNQGLFGKTSGLLGLGRDPLSIVSQTAQKYGKYFSYCLPTKSGSNGHLTFGKGSVPNTVKFAPFSSSSSQSNAFYFLDIQSISVGGQLLSISASVFQTAGNVVDSGTVITRLPPAAYSALRSAFRQQMSQYKTAPALSILDTCYDFSSQSTVKIPKISIVFSGNVKVDLGIEGILLASSSSQAGSWGLHLVDAPKLN >CDP07854 pep chromosome:AUK_PRJEB4211_v1:8:18908964:18910163:-1 gene:GSCOC_T00025315001 transcript:CDP07854 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPDAATRSIPALKRPAFLTVVMIVAVTPCPANTLAMSIMGIMCPGDNRGNDETAGYIATRPLLQ >CDP09949 pep chromosome:AUK_PRJEB4211_v1:8:27890145:27892535:-1 gene:GSCOC_T00030456001 transcript:CDP09949 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNYENREKVRRDEEAAAKEEQLQREQSRKRDTELRLEQLRRARGLSNTSTSTAEAIAVAQAQADEKPASVELKSESDSKHMNLFEGIRIFDPIDEVREVSRDEKEKNKRVKKEEVRVVTAEDEKYRLGYGLVGKGAKLPWYMAKPGGDFADKKMVADEDHDYGGKLEGEVEEGEEGKGKRKGFVGGEVAQGWRIFTAEMTMRGESYFQFGAVLISESCTITFLIFWHSVFIGFSHS >CDP12179 pep chromosome:AUK_PRJEB4211_v1:8:30838582:30840934:1 gene:GSCOC_T00035584001 transcript:CDP12179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g10920 [Source:Projected from Arabidopsis thaliana (AT4G10920) UniProtKB/TrEMBL;Acc:Q0WP62] MDSETEKRIEETVLEILRNSNMDEATEYMIRQSASEKLGLDLSYPPRKKFVRQVVNSYLTEQNAKAAAEHQQQQNDAEEEEEEEDDDEEDEDSDKKKRKAGDKEYDDEGDLIICRLSKSRRVTLTEFRGRTLVSIREYYSKGGKDLPTSKGISLTAEQWASFSKNVPAIEKAIKKMKSRLD >CDP16201 pep chromosome:AUK_PRJEB4211_v1:8:24222855:24224207:1 gene:GSCOC_T00017303001 transcript:CDP16201 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNTRKSLCTFMDEERKAKQSPPRLSFKSFEQISDRDLNYSHSRSSSTAAGMYSLMPPPSPDSPWTLSPLQTPSPSVLYHCIASLHRHEGIIYSIAVSRGIVYTGSESSRICAWRQPDCTERGYIKANCGEVHCVSCMGYYHAEGLLYTGSWDKTVKAWRVSDGKCVDSFLAHEDSVNAIVINQEDGCVFTCSSDGSVKIWRRVYDQSSHTLTMTLKFQPSPVNALALSTSHSSCFLYSGSSDGFINFWEKEKMSSRFNHGGFLQGHRFAVLSLVAIEKLIFSGSEDTTIRIWRREEGSYYHECLAVLDAHRGPVRCLAACLEVDKLMVIGFLVYSAGLDQTFKLWRVKVLPDEKNVCMEGTGRSDKMRITEYEMSPVLSPSWVEKKRQGNPT >CDP11685 pep chromosome:AUK_PRJEB4211_v1:8:4756859:4759246:1 gene:GSCOC_T00034141001 transcript:CDP11685 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNPGRNSPPEPEPESEALRLKNQAEQKYVAGNLKSALKYAKRAHRLQSSLEALPEMLTSFKILRTATKPFTSTPPERGGGDGAASIPPAPPDYYKILQIERFAHINTIKKQYKKLALTLHPDKNPFIASEEAFKRVGEAFRVLSDRIRRKEYDMSLRIAMQNEAEAAAGAAGGGVEEVETFWTACSTCRLLHKFESKYLGHNLVCPSCKKSFKAVEVEVEEEEEENASTVQRGEEGENVGLRTSERIKARRLGKMSSVGGVLERSGGKHKGLREKLMVKDLENVGRKRGLEGGGDDGVIEELRSRSEKKEGRTGGVEMEERATTRARTKRVKVDEEETMTLAEMQMLAKKKVSQQKMKLKAKEKEVEEREKEKDKNMGNEELKEKENEMETQNEKSKEKEIEMENEKEEEEIEKDTQKKQQKLNEKESNMGLERVNEREKRDRKMGVSSNEKSMEVVGRRASRSSRDLVIEKRKRPRQNNREIVAVEASDFYNFDEDRVERSFKKGQVWAIYDSEDGMPRRYALIDEVVSLNPFQVRLSWLEFQNNGDEQLIGWEKIGFHISCGRFKVSEKASVKSPKMFSHLPDCERAAKEVYRIYPKKGSVWALYDENALDSGRKQMKNKGCYDIVVFLTSYSEIHGLSMAYLEKVDGYKTIFKRKEFGAHAVRWLVKDEFRLFSHQIPGRKLSGEEAPGLPRDCWELDPACLPPNLLTIGWVNKDVGTKL >CDP18429 pep chromosome:AUK_PRJEB4211_v1:8:22189345:22194906:-1 gene:GSCOC_T00007243001 transcript:CDP18429 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKVKEEERIEKIIRGLLKLPENKRCINCNSLGPQYVCTTFLTFICTNCSGVHREFTHRVKSVSMAKFSAEEVDALQAGGNERARQIYLKEWDPHRNYFPDGSNLHRLRDFIKHVYIDRKYAGVRRNDKLSMVKASAKEDLQERHSFETSHDFFERHSFEHSFLSRNDGRNLKNHIDARNSPRYKQEMLKSGSQNSRAPRFEIVDDRFRENGYGSVRKVLTHRYSDTESRARSSSPISQKSRDISKEPAIRPLNDILDDKIPPLKVGESPKANATAGSYTIPGPVDKKEEENKKVNTLNSLIDFDSNLEPSATTAVVQTQQTVPVGDGSKSAAMSSANEKASNAPNANSLELLLFGLAHPAGSMPEMSPGGDNPAAIVGSSNPDVGTVMNNGAITTASHIENAAPCPGKSSDSKDKLADAPTLPALQQSEPFVAPPVNSNFTAQKATASLEAANNESLTSEPEHAKVQPTNTSFGQATQVVPQAANDTSLGNELLSGRKELPADLFTSSYSSFAAAVPGWQSTSPYGMGYGTQYHVTAMSMGAHQDSVKSRNPFDIGDDGPLAQGTMLPSMLPLQGQMPNMSTSQGLQPQVVPYSSATQPQGPPYGIMRPPGANTFGVNDAAFASLNPIKQSSGMNDDTAFSSLNPIQQSHGVNPSSATPQSYSLTGGNPFG >CDP11766 pep chromosome:AUK_PRJEB4211_v1:8:6408842:6411634:1 gene:GSCOC_T00034261001 transcript:CDP11766 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKKDDHLRFRTTKNEAIQRKRSRCDENLDRFIPNRSAMDFDFAHYMLNGGKVTRETDSSTNSPCCSPSKEAYRKHLADIFNMNRTRILAFSNKPPSSVEKSFEEPAFLPKKPVKRRRIPQVAERTLDAPDITDDYYLNLLDWSTSNVIAVGLGNSIYLWDVDTGSAIHLVENEEDLGPVTSVSWAPDGKHLAVGLNNSQIQIWDSESSRLVRILREGHRARVCSLDWNNYVLTTGGMDSMIINNDVRIRSHIIGTYRGHTQEVCGLKWSSSGQQLASGGNDNLLHIWSISMASPSSSSGSRNPWIHRFEDHTAAVKALAWCPFQSNLLASGGGMGDHSIKFWNFNTGACLNSVNAGSQVTCLLWNRHEREILSSHGMNDNQLTLWKYPSMTRIAELQGHTSRVLSMAQSPDGYTVASAAADETLRFWNVFGSPEVAKPKREATTEPFPDIARIR >CDP12459 pep chromosome:AUK_PRJEB4211_v1:8:15299717:15301272:1 gene:GSCOC_T00036038001 transcript:CDP12459 gene_biotype:protein_coding transcript_biotype:protein_coding MENRSEIFDVIVVGAGIMGSSTAYQTAKRGKKTLLLEQFDFLHHRGSSHGESRTIRVTYPEEYYPKMVLESEKLWEEAEAEVGYKVYFKTSQFDLGPSDNKSLQATISSCQKNRIPVRVLDHNQVHEELSGKFQLTEDWIGVVTEHGGVIKPTKAVSLFQTLAIKNGASLRDNMEVVDIKKDDSEDAILVCTRNDQRFWGKICIVTVGAWMKRLVEKVSGLTLPIQPLETAVHYWKIKEGHEAQFMIDSGFPTFASYGEPYIYGTPSLEFPGLLKIAVHGGHPCEPDERTWAASPSALTALKEWIQSKFGDLIDSSGPVVRQSCMYSMTPDEDFVIDFLDGEFGKDVLVAGGFSGHGFKMGPVVGRILADLAIEKQAKYVELKHFSIKRFEGNSKGNAKDFDDQVNSVG >CDP13012 pep chromosome:AUK_PRJEB4211_v1:8:3158296:3159497:-1 gene:GSCOC_T00037743001 transcript:CDP13012 gene_biotype:protein_coding transcript_biotype:protein_coding MNASSKAYTNRQSKFSSLMADPIAAISFADPHADPYGRLGLVRNPDGSVTRQFEHPKTPVSSYDGSPILLVKDVPINQPKDTGARIFLPKEALESFPGRKLPLLIYFHSGGFVIGSVATTGFDDLHRALATEVPFVIVSIEHRLAPEHRLPAAYEDCLEALHWIKSSQDEWLEKYADLSNSFLMGSSSGGNIAYHVGLSASSCVDDLKPLNIKGLILHQAFFGGNKRTESELRALNDTLLPPHFTDVLWELSLPVGADRDHEFSNPVLSIKPGQFDQIKALGWKILMAGYENDALFDRQFEIAKILEGEGVPVVANFVEGGYHGIDIFEFPKTKVLCEVVKEFIISFVTTA >CDP13007 pep chromosome:AUK_PRJEB4211_v1:8:3085224:3086117:-1 gene:GSCOC_T00037736001 transcript:CDP13007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLSTASRFIHHQPQPQPQLHHRPSDLHLQHNTQRTLEDEDDFPSDDHQDADASHQLDLIGANSGGPGDVVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGNGCDIFDCVSSYARRRQRGICILSGSGTVTNVSLRQPAAAGSIVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGSVVGELTAAGPVIVIAASFTNVAYERLPLDEDEQLQIQPPVSQAGSVAAAGGGGGGGGGGGVSSHAFPDPSSGLPFFNLPLNMPNLPVDGWTGNTAVRPPF >CDP12969 pep chromosome:AUK_PRJEB4211_v1:8:2695463:2696386:1 gene:GSCOC_T00037682001 transcript:CDP12969 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYTFPVSQRNMRFWLTTPTSFKATMDEYSLQMHKVCMTLFKLTGANLGVDPDKLCSIYQDGIQGIRMNYYPPCQQADKVIGLTLHSDATGLTLLVQVNDVQGLQIKKSHTWVPIKAIPGAIIINIGDIMESNGEYRSIEHRAVVDFHKERLPKEKKMPRIHQQLLQKLQTLSM >CDP13026 pep chromosome:AUK_PRJEB4211_v1:8:3337245:3339136:1 gene:GSCOC_T00037767001 transcript:CDP13026 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSHPVQYLEADTKKAPASMPLPKEIKRRRRRKKESSMDQFTATQIEMPLHYTEADSKKGPTSLPLPKEIKRRRRRKKEITMDQFMPVHVQMPLSDTPQEFATRVHSRRDWKRDARRAHALKEQEVRIHGPVIPVVTSVSASQVQSFASAFYPHSDKECQTRGARVDNEAQKVVPSRRHWKEEARNRKSQNA >CDP18809 pep chromosome:AUK_PRJEB4211_v1:8:288747:293853:1 gene:GSCOC_T00005587001 transcript:CDP18809 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSISRRGSLLSSLVPVFLFLSSASVSFSSGEDAPLFPTSHRIPTSGAFLERNYGVVVSWRAKRFLAENSSTTTGSSGDEEPPLNSSSLVLAAKRTYRKDPLDDFNKYSGGWNIRNKHYWASVAYTAVPFFVVAGIWFLVFGLSLFLMCLYFCCCRRAPYGYSRAAYALSLILLILFTVVAIVGCIVLYVGQGKFHSSTSNTLQYVVNQADMTVGNLRNVSGYLSAAKQVQVAQVLLPGNVQTDIDQILTKIDSSANTLSERADKNSKDIRDAIAAARLALIILSAVMLLMTFLGFVFSIFGLQFLVYILVITGWIMVTGTFILCGIFLLLHNVTADTCVAMNQWVQNPTAHTSLDDILPCVDNATAQETLSKSKEVTSQLVDVLNQVITNVSNINFSPNFAPMYYNQSGPLLPLLCNPFNPDLSNRTCSAGEVDLDNATQVWGTHVCQVSPNGVCVTTGRLTPSLYGQMAAGINVSFGLYHYGPYLVSLQDCSFVRQTFSDIYTTYCPGLQRYSKWVYIGLVMVAVAVLLSLLFWVIYGRERRHRVYTKEHMPKPIEDYDRDKPT >CDP09839 pep chromosome:AUK_PRJEB4211_v1:8:28789181:28790004:1 gene:GSCOC_T00030313001 transcript:CDP09839 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDELFHFLSIPPQQQMPQDTPLMARAPNANSCKPKSCTKKRKSSVAFGDNNVLDNESPVEHKKRIIHRDVERQRRQEMAALYQSLRSLVPDEYLQGKRSISDHMHGTVKYVRHMKRKVDELISKRDELQELMKPGCSFSMLTEFTVSLNKTSVRVQSSTTGMQIILKTTLRGGLPLSKFLSVLNGEGLSVISCVSTNANEGQLHVMESEVP >CDP10007 pep chromosome:AUK_PRJEB4211_v1:8:27289745:27292457:-1 gene:GSCOC_T00030539001 transcript:CDP10007 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMHILNLFSVLSLQLLAISANLETYIVHVELPESYTQLSTSSISSPNEDLDSWYNSFLPTTIASTNEAPRMVYCYHNVFKGFSAKLSAEDVKVMEKKPGFLSARPQRMLSLHTTHSPIFLGLHQNSGFWRESNYGKGVIIGVLDTGIEPNHPSFSDEGMPPPPAKWKGKCEFNTPVCNKKLIGARFFQDGNGSPADESGHGTHTAGTAAGNFVMGANVFGNANGTAVGVAPHAHLAIYKVCTTGCSESDILAAMDVAIDDGVDILSLSLGGSSVPFHNDNIALGAYSAMEKGILVSCSAGNNGPFGSTLSNDAPWILTVGASTIDRQIRATAVLGNKEELDGQSLYQPKDFHPRLYPLFYPGLNQSDTDADRYCTEALLNITQVKGKIVICEVGLIPPTSKGTNVKAAGGVGMIMINSEQEGYTTRADAHVLPATNINYADGLKLIAYLESTSSPVATFSFKGTIIGDSHAPAVASFSSRGPSYSSPGILKPDIIGPGVNILAAYHESVENSTNTKANFHVLSGTSMSCPHLSGVAALLKSAHPDWSPAAIKSAMMTTADLVNLAQNPIEDEKHLPADLFTMGSGHVNPARANNPGLIYDIEPKDYIPYLCGLNYSDREVGRILQRKANCKAESRISETQLNYPSFSIVVGSTIQKYTRTVTNVGDANSIYRVKIDQPGGVNVTVKPRILSFSKVNQKLSYDITFTPLSPYETPSDGSLTWTSAKYSVRSPIAAQPEVVTSA >CDP13542 pep chromosome:AUK_PRJEB4211_v1:8:420192:423881:-1 gene:GSCOC_T00038526001 transcript:CDP13542 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLRRRRRGLGLGLGRGLLPFVSLICLCFLLPPALAVASGNGDGYTINGRVKIPGASSLGFALPAKTSNVKVILNGGQEVTFLRPDGYFSFHNVPAGTHLIEVAAIGYFFSPVRVDVSARNPGKVQAALTETRKGLSELVLEPLREEQYYEIKEPFSIMSVVKSPMGLMLGFMVLVMFVMPKLVENMDTEEMKRAQEEMRNQGVPSFSSLLSGGQRSN >CDP09809 pep chromosome:AUK_PRJEB4211_v1:8:29063975:29065890:-1 gene:GSCOC_T00030276001 transcript:CDP09809 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDMLRMKPKATGMSSPAKGGGKSEAGGWEVRPGGMLVQKRNIDSNQNFNIVPMIKVRVKYGSSYHEVNISSQASFGELKKMLAAPTGLHPQDQKLLYKDKERDSKLFLDIAGVKGGSKLVLIEDELSRERRCLESRKNAKMEKAAKEISAIRVEVDKLAKQVGATELEISAGKKVTESVLLNLIELLMTQLIKLDGITADGDAKLLRRMQVKRVQKYIETLDMLKMRNSTLGNNSSPRVSFQDDHRMFTGQMQVPIHNHYEQKRQTNFVQRSPGPVVVTTKWETF >CDP08170 pep chromosome:AUK_PRJEB4211_v1:8:24529582:24531836:-1 gene:GSCOC_T00026917001 transcript:CDP08170 gene_biotype:protein_coding transcript_biotype:protein_coding METPEFFQGGYYNTHMAPEKRLSDAKNSDHFIIDDLLDYPNDDGMVADGTFDTTITAGTSTDSSTVVDTSCNSSFSGSTEPHLPGGDMGCRNFTDGQFSSELCVPYDDLAELEWLSNFVEESFSSEDLQKLQIISGMKARTNEVSETHDDQPEPNRETATPMLRPEMSVPAKARSKRSRAAPCNWTSRLLMVTPSTTTLTAATTTTIMAAAMSSSSDSEITPSTGKKAVKAPSKKKEVYDQNASMANGEGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLELRRQKEMLRAQQQQHQFLHQNMMFDVSSNGDDYLIHQHIGPDFRQLI >CDP07218 pep chromosome:AUK_PRJEB4211_v1:8:11050287:11052474:1 gene:GSCOC_T00024400001 transcript:CDP07218 gene_biotype:protein_coding transcript_biotype:protein_coding description:ssDNA-binding transcriptional regulator [Source:Projected from Arabidopsis thaliana (AT5G09250) TAIR;Acc:AT5G09250] MSKRGKNKDEDYASEDEEPNKRPKKSSKADDSDDAADDIVVCEISKNRRVSVRNWQGRVVVDIREFYVKDGKQLPGKKGISLTMDQWNVLREHVDEIDKAVAENS >CDP14977 pep chromosome:AUK_PRJEB4211_v1:8:8149474:8150868:1 gene:GSCOC_T00042496001 transcript:CDP14977 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTVLEVSGSGLVKSMPKNFFQHLKKLRSLHFSDFQIEVMPASFYHLTELSWLILKRFSHLTKLQSLKECQNLMVVDLSGAASLPTFPEKNLKSLPKLQTLNLSNSKIKSLPIFHETGELTHLSVSGCSNMDRVPSIRSLTNLQVLDLSWSTIVEFQDKSFQNNTSLKILDLSGTAIPSLPFNIGKPREFYLKNCSEIKYMNCVESSEELEILDFSGACNLVKIEGKFFECLENLRVLNLSGTKVKDLPSLSALHNLRQLLLSCCLNLEKLPRLTSSKLEELDLSDCKAMTMIEDKSFEHLPRLRRLVLSQTKIVHLPELNSLSNLEELNLSGVKSFTGTDFIEHMSKLQVLNLSETLLKELPALTNLKSLKHLFLRGCGQLEVLPVLEVLHNLETLDLSQTALRQLPFVGSLSNLHKLLLSDCSKLENFKNHKLLDMSGVENLPCGISRLTQLQHLALPGMK >CDP12980 pep chromosome:AUK_PRJEB4211_v1:8:2794531:2799279:-1 gene:GSCOC_T00037697001 transcript:CDP12980 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMQAELLKLPRSLLAKTPTIKNTELVLEQMPHIISSLDAHVDNSLQSVPHLKTVIQLLSNIESSQLKSFSKVQLEQEKIETSEQPQESG >CDP18430 pep chromosome:AUK_PRJEB4211_v1:8:22185724:22186551:-1 gene:GSCOC_T00007244001 transcript:CDP18430 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQNATGQSTYACWGGCYNVCFLLSSRPIVERYPCYFNCLASCFPQSALESQLYYCHVGCSAQRCLQIKDASAREKCFGGCGDACKT >CDP09938 pep chromosome:AUK_PRJEB4211_v1:8:27963305:27965986:1 gene:GSCOC_T00030441001 transcript:CDP09938 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIESSSTLGPGGLDISRAFFKPILNSAPPSPTKRHTKISVIGAGNVGMAIAQTILTQDLVDELALVDAKPEKLRGEMLDLQHAAAFLPRTKIHASVDYSITAGSDLCIVTAGARQIPGESRLNLIQRNLALFKLIIPPLARYSPDCILLIVSNPVDVLTYVAWKLSGFPPNRVIGSGTNLDSSRFRFLLADHLDVNAQDVQASLAYMVGEHGDSSVALWSSISVGGVPVLSFLERQKIAYEKETLENIRKEVVESAYEVINLKGYTSWAIGYSAANLARSLLRDQRKIHPVSVLATGLYGIDGGDVFLSLPAQLGRSGVMGVANVELTDWEAQQLRNAAATILEVQSQLEIEDH >CDP08209 pep chromosome:AUK_PRJEB4211_v1:8:24934537:24941129:-1 gene:GSCOC_T00026969001 transcript:CDP08209 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHEIHVPLPHDPGGKSLESDGHFSVPIHVVTQVSQLPIEFLEPSPERQLVIGFDCEGVDLCRHGTLCIMQLAFPSAIYLVDAIEGGEALVKACKPALESNYITKVIHDCKRDSEALYFQFGIKLHNVVDTQIAYSLIKEQEGQIRAPDDYISFVSLLADPCYCGISYAEKEEVRVLLRQDPNFWTYRPLSEMMVRAAADDVRFLLFIYYKMLEKLSERSLWYLAVRGALYCRCFCVNDNQYADWPSLPPVPDQMLGDPNAPEEEILSVLDVPPGKMGRIIGKRGATILSIKESCNAEIFMGGAKGPPDKVFIIGPIKQVRKAEAMLRGRMLDIF >CDP07173 pep chromosome:AUK_PRJEB4211_v1:8:9991157:9992134:-1 gene:GSCOC_T00024325001 transcript:CDP07173 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTASLVPCSKDQKQEELMQEYCKNLLSTLPRKRWYGSSYLYKYNGFWLGPKGMPGLIACQNHFQARDTDFLLITTPKSGTTWLKALMFTLANRKIYPINQNHPLLKQNPHSLVPFMEFFCSPEKMNPDFSCPLGRLYSTHCPLTLLPESVLNSGCKIVYLCRNIKDTFVSYWHFSKKLGAEASLEEFFDMFCEGVSLSGPVWDHVLGYWRESLEKPEKVLFLKYEALQEKPSFHLKLLAEFMGCPISPEEETCGFVDEVLGLCSFDNLSNLEANKSGTFKTVGNEMFFRKGKVGDWKNYLTGEMEERIDHITAQKFFGSGLSL >CDP09890 pep chromosome:AUK_PRJEB4211_v1:8:28392318:28394538:-1 gene:GSCOC_T00030371001 transcript:CDP09890 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFGFVRYLIAYANRHAFSYVPPEVLAQRKERKALNQLERKGVAKPNVPYLCRVCGRKFYTNEKLINHFRQIHESEQRKRLTQIESARGKRRVNLVAKYSMKMDKYRNAAREILTPKVGYGLADELKRAGFWVRAVLDKPQAADVALRNHIVDMMDKRLIDCVVLVSDDSDFVRVLKEARERGLRTVVVGDVNDGALKRTADASFSWQEILMGKAKKEAVSVVERWKDRDVLKRLEWTYDPETERELCFSDVLSEGSDVEDVFSGNEDGNVQQEDAAPWWKLEPGTVTMNSFSHSRK >CDP13521 pep chromosome:AUK_PRJEB4211_v1:8:683155:685485:1 gene:GSCOC_T00038490001 transcript:CDP13521 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIDNDGLILLSNNSVFAFGFNPTQDVTLFQLVVVRNGGSTIIWSANRGNLIHNSDLLIFDRSGNAYLQSGGSTIWSTGTANKGVVAMELLDSGNLVLVGNDSSVIWQSFSHPTDTLMSNQEFTEGMKLVSNPSSNNLSFSLEIKSGDVILSADYQPPQPYWAMGKDNRRIINQDGGYVVSATLEANSWRFYGQNRALLWQFLFSDIRDANATWVAVLGSDGLIIFSRLQSDNTISASSVQIPQDQCSRPAACDPYFVCYSGNKCQCPSTLPSCKLGSVSFCNRSQDSVELVNAGDGLSYFALGFVPPSQKTDLNGCKASCQGNCSCAAMFHDSNSGNCFLFDQIGSLQGSTNGRNYASYIKVLTSAGGGANQGGGGTNKARFVIVIVIVISTVLVIVGLLYAGYRYHQKKNKAFPESPKESSEENFFENLSGMPVRFSYNDLQAATNNFSVKLGQGGFGSVYQGILPDGTRLAVKKLESIGQGKKEFRAEVSIIGSIHHLHLVRLKGFCAEGSHRLLVYEYMANGSLDRWLFPKNKGEFMLDWETRYSIALGTAKGLAYLHEDCDVKIVHCDIKPENVLLDDHFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKNYDPSQSSEKSHFPTYAFKMMEDGNLKDIIDTSLKIDEDDERVSTAIKVALWCIQDEMSLRPSMTKVVQMLEGICPVPPPPSSQLGSRLYAGFLKSISDGGTGTGTSSGPSDCNSDAYLSAIRLSGPR >CDP18539 pep chromosome:AUK_PRJEB4211_v1:8:4412184:4413768:1 gene:GSCOC_T00010777001 transcript:CDP18539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35490) UniProtKB/Swiss-Prot;Acc:O82291] MSVLYHHSITLPFLPTPALKPQLPTLIFSIPPFFKKHHPTISPIYLSSSKYSYSYSDSSEPSKPNPSFSPQDNNDDEEPDRKPKTVTDEWGEKGEAEPEPPTKFSGPDPPGEEADDEWGGVGVKDDRYGNGSPGVEVDGESQKLRDLKRALVDTVYGTDFGFRASSELRAEAIELIAQLEAANPNPAPTESPELLDGNWVLLFTAFSELLPLLATGSLPLVKVEKISQSVNSSSLTIDNSTTISGPVASLSFSASAAFEVRSPSRIQVQFKEGTLNPPEIKSSIDLPEDVDIFGQKINLSPVQQSLNPLQNAVAGIGRAISGQPPLKIPIPGERAKSWLLITYLDKDLRISRGDGGLFVLAKEGSTLLDQ >CDP12139 pep chromosome:AUK_PRJEB4211_v1:8:30557335:30558431:1 gene:GSCOC_T00035533001 transcript:CDP12139 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKVDIVKIEDKSKRQVTFTKRRQGLFKKASALCNISNYEIGIVTFSLAGNMYAWGHPTVDSVLKRYMAYRAQKPDENHQENETSPGDDHQNKREVSLALSLSLPADEKETPKRSDEEKAGQEKGLVLKNNKNNSSNQEGEKRVEGHAAAAKSKVMASWNLPKVDDLEIDELERLMADMEEMKKKVVDRANQMKSSAGRVPSS >CDP12127 pep chromosome:AUK_PRJEB4211_v1:8:30478053:30481402:1 gene:GSCOC_T00035520001 transcript:CDP12127 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHWKDSMKLFRSHIDPETEERLNWTKLGKFYSPEQPQPLQYYRRLWGSSILNNSMQFKAHLPDQCYPQRRFLWYTELQRVRKTENKVKRSLKLIKSINQNREANLKKKMELTQLVEDIHKEYQSIYALYDNIRGEVRNKVHGKDESGSSSSSSTSSLGSNSGTEYYTPEELNARNAVPNKSPSVDSLVSFHEAPQSSVIFKDLRIQEEQPQSVRQKLLDECAQLKEKLHEKEEEIVSISKKLQAFGDQKLFEIKELERQVTSLKLELETVTSQKKTLEETVETRSNEAKQMGKENSRLQILIRELESLSKEKETQISSLLEKFEEDKKQSLSKFKDLMAQASELQLEVDSLRSQKRISEEQLMHATNERSNQVSSLVKQVEFLQQQLDFISSQRSELESQHKNESLEASECFIQMEKTRDKLTDKALNGQEITEDKACLKVKVEELEQEIRTISSQKIELEDQIISTKNEAYHLKVDNENLHRRIFVLETTVKEREHELSALQKKIEAQENDMSTQIKSLTAQINNFHQKLGTLHDEKSGLQLQLEQEKQVSSESLNHMERKNIELTKKIADQQKTVAGLEEAINMLNMEHKQVQNRFDDSKLNFQIAERKIEEMAEEFFKKCGDNLRILSRRIRVAEQLHVENKEWYEKTKDRYEQQNKELKERVENNKVWLSNIKDMTLTADSTLSDLDAVALKFEGCSANFLNRISKVSCELKFAKDWIKRKNKAMTHVKDDLDCLLTQLDHKEAEILVFREKLWKSENKVRELEKMITENEEAMLALKEEKREAIRQLCVWIDYHRSHSDYYKKMMSDKTLQSRKTT >CDP08318 pep chromosome:AUK_PRJEB4211_v1:8:25941558:25946733:-1 gene:GSCOC_T00027114001 transcript:CDP08318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein, Calcium sensor protein, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os10g0564800)] MGCFQSTARKQFPGYEDPITLASQTAFTVSEVEALFELFKSISSSVIDDGLINKEEFQLALFKNRKKENLFANRIFELFDVKHKGVIDFGDFVRSLNVFHPNAAQDDKINFSFKLYDLDDTGYIERQEVKQMLIALLCESEMKLADETIEIILDKTFNEADPDRDGKIDKSEWHNFVTRNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEIAT >CDP12033 pep chromosome:AUK_PRJEB4211_v1:8:29704583:29706708:1 gene:GSCOC_T00035393001 transcript:CDP12033 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDRICSLICVYAIVVVVFSSPAEVTAGDIVHEDDLAPKKPGCENDFVLVKIQTWVDGIENAEFVGVGARFGTTIVSKEKNAQQTHLTRSNPRDCCSPSINKLAGDVIMVDRGKCKFTTKANIAEAAGASAVLIINNQKELYKMVCEPNETDLDIKIPAVMLPQDAGASLEKMLSNSSSGKLPSS >CDP09921 pep chromosome:AUK_PRJEB4211_v1:8:28140569:28140811:1 gene:GSCOC_T00030415001 transcript:CDP09921 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKARTIAGNEIWSPKCISALLLILDNLLQSRPRISRESTEETTAGLIPDSSEKHVASPVLEDVAEKKSTPLLQDKESR >CDP16191 pep chromosome:AUK_PRJEB4211_v1:8:24021223:24024781:-1 gene:GSCOC_T00017283001 transcript:CDP16191 gene_biotype:protein_coding transcript_biotype:protein_coding MITKPLLDDHDGFSGKGGFRTMPFVLANEAFAQVASYGLQPSMILYLTREYHLNMATGSNIIFLWSAATNFMPLLGAIVADSFLGRFRMILFGCVISLLGMALLWLTSMIPQAKPPPCHESNKNCSSATSFQLFLLCSCLGLTSIGFGGITSSSIAFGADQLQKAGGHNNGRVLESYFSWYYALSTISVLIAYTCIVYAQESLGWQVGFGIPVMLMLLSTLSFSLASHLYVKLKAKSRLIVEMLQVAVASYRKRHIELSTESSKLVCHHHRVPSICLPSEKLRFLNKACIILDPEKDLTTDGTAADPWSLCTVNQVEDLKSILKVIPLWSTGMIMSVNNSQLSFSVLQAKFMNRKFGPNFEMPAGSCSMFAVVGAVLWIPFYLQIILPVASRILGRPVHLSTRKRMGIGIALSFVGMIVAATVEVKRRSLAIREGYSDDSDAVVDMSLLWLLPQSFLTGAGATANIVAQNEFYYSEFPRSMSSISSTLSLLGMSAANLVASFLMNAIDELSKLGGKESWISTNINKGHYDYYYWVLAGLSVPNMIYFLICSKAYGPGKEDKEETTFHEQDD >CDP09830 pep chromosome:AUK_PRJEB4211_v1:8:28840318:28848286:1 gene:GSCOC_T00030303001 transcript:CDP09830 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSTLASTAAAAPEEQKGGDNEEMMHKTKVIQFLGRTTPIILQNDNGPCPLLAICNVLLLKNNLSLSPDIPEVSQEKLLSLVAERLIDSNSNIKDKDAGYVENQQQNIADAIDLLPRLATGIDVNIKFRRIADFEFTRECAIFDLLDIPLYHGWIVDAQDLDTANAIGSKSYNTLMGELVALETQNMETQHKKNSEEDCVDFAAATTATLGVPSPCLSRGRSFDDAPISLPDHHIGRKGDIEEEQELMRVLKLSESEVPTSSADVPSANKPNSSIVTEASSDFKQSGPVSLIGTLEENVFDGSKMSCPDEVTVSSNFETSSNHDFDPRGSETVWQDAVCLSSKTEPERSCIKSISGESEYNTVVKVVEDCGSNTLVNIGSGANQSSSRDASSAAGTNVHKPGKDEDNQTLSSSIYEVDEVENERNGRETFDPFSSLTHAPCTDSLNDRTDNVDEHQVFISNVDTGEPIYEGEESILESTDTTHQNREPMYEGEVVLAEQGDRSSIEVCDAKKDQITTKEGELIQNFLKNSASQLTIYGLFCLQEGLKERELCVFFRNNHFNTMFKFEGELYILATDQGYISQPDLVWEKLNEVNGDTVYMTGNFKEFKVENHGNNSWDEQNAMASTADYLASMDNSMQADSSFNSDLQLAIALQQQEFEQQTSQRQNNSQPPSVGGGRLVTGPQVPRNSGKYASQSQSQSQSQSSRQQETKSSKDKCVVM >CDP09913 pep chromosome:AUK_PRJEB4211_v1:8:28225725:28231219:1 gene:GSCOC_T00030406001 transcript:CDP09913 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTSLLPMKSFTIPSPSCAYRCFFPQQSALRPLKVICQHDQQGKNATEPKWRKLVSTALAAAAVVTFSTNLSALADLNKYEAETRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTAADMRESNFSGSTFNGAYLEKAVAYKANFTGADLSDTLMDRMVLNEANFTNAILARSVLTRSDLGGATIEGADFSDAVIDLPQKQALCKYASGTNPITGISTRKSLGCGNSRRNAYGSPSSPLLSAPPKKLLDRDGYCDPTTGLCDAN >CDP12941 pep chromosome:AUK_PRJEB4211_v1:8:2261075:2263834:1 gene:GSCOC_T00037646001 transcript:CDP12941 gene_biotype:protein_coding transcript_biotype:protein_coding MDINGLTHLYPLSILPNLIPPKSPILTPLILGSKNGSSKCAKLLMTLKMFSMNLYFALLATDIMDSSLPARHQVASEIQSIKSRIKNISELRRRYQDEFGIDHRVTGSSTMNDSRRYSRDDALLVEEAKLVGIDQPKQHLISKLLERHDHQLKVISVVGMAGLGKTTLVKKVHEDPEVRKNFPVRAWVTVSQTCDFPKLLRDLIRQLNKDLDKSVPQSIESMTTAELKEFVKDFLRRAGRYAIVRRLALHSSSNSSNIQYHQQRQFYSFEHLRSFITVSSTDPLLSKTFLSEVLRSSKLLKVLELGGQEIGETPNEIFNLLHLTYLSLYGTKLDRVPRAIGKLQHLEYLNLGDTGVRELPVEILKLQKLRHLIVFQPVDPSDDDYGVHGFKGPSKLGGLLALQRLNTIDVSSGSVIVKEIGKLTQLREAEEDPLESLQHLFNLDEICFCGSYQGERLCFKAGGFLKLKQLSLRRMEGLRWMTVEEGACPNLQKLVLDQLPSLEDLPSGIQHLSHLQELHLYEMSSRLIEKVENQKEDSEDYRRMAHIPEIVIGFYADDGEWRIRRLWGKKKKTFLA >CDP09939 pep chromosome:AUK_PRJEB4211_v1:8:27955370:27960497:-1 gene:GSCOC_T00030442001 transcript:CDP09939 gene_biotype:protein_coding transcript_biotype:protein_coding MEALADLEKVQTRILQRVTNLELSLLHGHLSNSPSLSPASEDASSAVATATEARLSAILRSNGVNDFIFKRVSSDYYDWTFDARRDVLGAASIHHLCKSIVLVNTQAPSNVTDCSDRNYSKYYVVVVQYTARFSAEAVKNFLYALNNGQIAKKKFNMRLAPEETSVKLTGYEHNAVTCIGMKTDIPVILDEAIVKLNPDFFWLGGGEVDLKLGARTTEFIKFVKPFIVNCSST >CDP12065 pep chromosome:AUK_PRJEB4211_v1:8:29978962:29981972:1 gene:GSCOC_T00035441001 transcript:CDP12065 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKQSHTRIQVINTVFVLAAVILTSSRDVNGRKVHHREYYFEYSSINCREHSASLTDFGGVGDGTTSNTKAFQDAINYLSQFESEGGSILYVPSGKWLTGSFNITSDHFTLFLHQDAVLLASQDESEWTVIEPLPSYGRGRDTEGGRYISFIFGTNLTDVVITGDNGTIDGQGEPWWDKFHKGELQYTRPYLIELLYSDNIQISNLTLVNSPSWNIHPTYSSNVIVQGVTITAPVTSPNTDGINPDSCTNVQIRDCYIVSGDDCVAVKSGWDEYGIAFGMPTKQLFIKRLTCISPSSATIALGSEMSGGIEDVRAEDIVAINTESGVRIKTAVGRGGYVKDIYVKGMTLKTMKYVFWMTGNYGSHPDNNYDPNALPAVENINYRDIVAENVTMAGRLEGIPGDPFTGICISNVTIELAQNAKKLPWNCTDISGISSGVTPQPCDLLTQQGEEYSPSCDFPTEHLLID >CDP08234 pep chromosome:AUK_PRJEB4211_v1:8:25209741:25214492:1 gene:GSCOC_T00026999001 transcript:CDP08234 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLSPKYPKPSDWRFPLSTQNRLHLTQNLPNYGQQNKRPFECSSEPLIRLVCGMERGDNVLETIFEDENLEDVEMLDVEEGELVEVSTEAEHGESNAGIEVKVGNSEGCTNNPGEKKNKKKKKKSKRKRGNSGPNVTNINRFVLDVCRRLKERKSYLVWAAVGCLGVSALGDLVTEVEAIQACGGQKTVDGRRFRTGGGILWSVLKVRDPNAYKEIMRRGKEFEKQFKPQNVNLDTIHNKENSSQTTGEVVDGLQEASHQENQLKQNTSERNRVSVHERVRIPVAYDDLLDGENPGDGGASVA >CDP12172 pep chromosome:AUK_PRJEB4211_v1:8:30796344:30799602:-1 gene:GSCOC_T00035577001 transcript:CDP12172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G64480) UniProtKB/Swiss-Prot;Acc:Q9FUQ7] MNSMASCFCLKRAGRPTLEEHSILASETSFTVNEVDALYTLYEKLSSSITDDGLLHKEDFQLALLDSSNKHTFFADRLFDLFDLKHNGVIEFGEFVRSLSIFHPRAPEADKIAFSFKLYDLRCTGYIEHDELKEMVLALLRESELTLPDDVVEAIVNKTIMEADLKGDGRIDPEEWKELVARHPSVIKNMTLPHLKEITLAFPSFVMKTRVQDSELFS >CDP07852 pep chromosome:AUK_PRJEB4211_v1:8:18881374:18882095:-1 gene:GSCOC_T00025313001 transcript:CDP07852 gene_biotype:protein_coding transcript_biotype:protein_coding MTVANSEDDSNRNQFFGENNNESHQAGLELVTSNTSSGDVSLRQPAAAGSVVTLHGRFEILSLSGSFLPPPAPPGATNLTIYLAGGYGQVVGGNVVGALIASGPVVVIAASFTNVAYERMSLDEDDHSLQMQPPMAGSSGTGAGGSNNKFSDPSLGLPLF >CDP08148 pep chromosome:AUK_PRJEB4211_v1:8:24327407:24329703:1 gene:GSCOC_T00026890001 transcript:CDP08148 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLKVYIYKEGERPIFHQPVLQGIYASEGWFMKLLQSSKHFVTKKPKKAHLFYLPFSTRMLEETLYVPNSHSRKNLIQCLRNYLSLITSRYSFWNRTGGADHFVVACHDWAPAETRKIMAHCIRALCNSDIKEGFQFGKDVSLAETYVRIPQHPLRQVGGRPPSKRKILAFFAGNMHGYLRPILLEHWENKDPDMKIFGQLPKVKGQMSYIQHMQSSKYCICARGYEVNSPRVVEAIFYECVPVIISDNFVPPFFETLKWESFAIFVPEKDIPNLKNILLSIPKKRYLQMQKRVKLVQQHFLWHPRPVKYDIFHMILHSIWYTRVFQISSR >CDP07170 pep chromosome:AUK_PRJEB4211_v1:8:9950091:9950963:1 gene:GSCOC_T00024321001 transcript:CDP07170 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLICATGTYTIQEIVRSLNIENNLVLKVLSASSIADSANPNSKLSNPLSLFWICYFISFNSVSLFFSSCLVIFKILFFLIHISLLVSDLKTTAIVNKFEKSSNECFVVEVSKWVFHEMGHPKVCPKMHIQKHSSQKLVVVIINRD >CDP13016 pep chromosome:AUK_PRJEB4211_v1:8:3203895:3204890:-1 gene:GSCOC_T00037748001 transcript:CDP13016 gene_biotype:protein_coding transcript_biotype:protein_coding MADKITEFPPGNPQTDPYGFLGFVRNPDGSVARILDMPKTPVSSFDKSPVLLVKDIPVNPSKNTFVRIFLPRKAIESSPGTKLPLLIFIHGGGFVICSAATPYFESLYNSFTVDIPVVMVSIEYRLAPEHRLPAAYEDCMEVMQWIKSPQDEWLTKYADLSNSFLMGSSAGGNIAYHVGLSASSCVDDLKPLEIKGLILHQPFFGGTKRTESELRADNDKILPPCVTDVMWELSLPVGVDRNHEFCNPLLSIKSGRFDQIRALGWKILVTGYEGDSLLDHQINFVEALQEKGVALVAKFAEGGYHGADTFELPRVYALCELVKEFVQSIVC >CDP13063 pep chromosome:AUK_PRJEB4211_v1:8:3942878:3946117:1 gene:GSCOC_T00037825001 transcript:CDP13063 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLFPWLFLIPLLQILSVRHFLLASGQCLEDQRSLLLELKNSFTFSSTSSTKLARWNNSSDCCLWDGVGCDSTGHVIRLELENQTISGQLENSSSLFNLQYLERLNLAFNSFSPTIPTGLSKLANLTYLNLSDAGFVGQIPRDFARMSRLVTLDLSTHFRGFQQLEMENPNLQTLIQNLMELQELYLDGVNISAQGGEWCNALSSLPNLREVSLSSCYLSGPISSSLSELHSLSVINLNNNNLSTTVPDFFSNFSNLTSLSLSSCNLLGEFPEKILQLPMLQNIDLSNNNFVTGTLPQFPENGSFKTIVISSTNFTGSLPDSIGFLGGLSWIDLSNCNFTGPIPSTMANLTELVYVDVSNNMFNGSIPSFGMSKNLYHLDLSLNDLTGNIPSTHFEGFVYLSFINLGYNFFTGKIPPSLFALPSLCKLQLANNNFIGQVEFPSASSPFLDTLDLSGNQLNGSIPMSIFELQRLNVLSLSSNRFNGSLPLQMINRLRNLTTLDLSYNNLSIDASSGNSTVSTFPQLSVLRLASCNLQKFPELRNQSNMIGLDLSNNQIAGEIPRWIWEVGDGSLQYLNLSCNHLVDLPMNATMPNLSVLDLHSNQLQGEFPKLPETAIYVDYSSNKFSNSIPQDIGNSLIFAVFFSISNNSLSGVIPKSICNASYLLVLDLSNNALRGSIPDCLFHDMENLGVLHLGRNNLGGTIPDNFPINCVLKSLDVSKNILEGRVPRSLVNCTSLELLNVGSNKVEDTFPYMLMNLSSLRVLVLRSNRFYGNLSCSLANGSWQNLQIIDLAFNNFSGALCPKWFSNWKGMISHGENGQSAQDHLRFTVLHLSSLYYQDTLTVTFKGSELEFVLVKILKVFASIDLSCNSFEGNIPETIGELNALYLLNLSHNAFTGTIPKSFGDLTQLESLDLSLNRLSGMIPPELANLTFLSVLNLSFNQLLGSIPWGRQLQTFTESSYEGNKGLCGPPLNISCKGNNDALVPSSVDANSVIETGFDWQFAFASLGFSLGAGVTVAILIVSEKGRDWSDKHLERIFLLIFPRNRYDAGKVNAVRNAQIPIAQKFSSQLSIIYK >CDP18444 pep chromosome:AUK_PRJEB4211_v1:8:22023813:22024993:-1 gene:GSCOC_T00007264001 transcript:CDP18444 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWFAKVGKVCLSWTGTRPRLIMGKAKLMRLILNDKDGHFQKPPQNPLVDLLTLGVSTLEGEKWAKRRRLITPAFHHEKLQGMVPEFLASCCNLIDRWKMLVASDGWSEIDINPELQSLSTDVISRAAFGSSYKEGKKIFELQKDHRVPPSLLAAAAIFTAQCSVNGFKKWIKTCERHTNYTEDQLW >CDP18543 pep chromosome:AUK_PRJEB4211_v1:8:4312355:4317464:1 gene:GSCOC_T00010783001 transcript:CDP18543 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEVREQGRREKRKREKRERQKSVRERQAEKEKETMVIDGYGNCRQCYTVIESGGSKNKNVHLRNRQFRTYPIFAKVFIFIHIFNVKFYWSERVENLIYFCSKLGSLQRKVRSQKEQVLNCPRCNSTNTKSSSSSSSSKHDLAAISVNRFDCIVNRCDRKRSWNSKRDGKKKEVRGQGKREERKKEKREKRKEGRKKEIEKEKLMMAADGRANCCQCLVIQTKFNSLNLQKIEGKINSIIRYGNSYKIMSNFSLANHVYLPQKEQVLNCPRCNSTNTKFLVKPMEEMVVSNGSTTSCSKLGSPERKFCYYNNYSLLQPRHFCKTCRRYWTKDEFLKNIPIGRGSRKYKRSLSSSSSSKVPSPKKLLDLIPICLS >CDP09748 pep chromosome:AUK_PRJEB4211_v1:8:29519838:29522741:1 gene:GSCOC_T00030201001 transcript:CDP09748 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSAKEPQSVFDFTIKDAKGNDVDLSIYKGRVLLIVNVASKCGMTNSNYIELNQLYQKYKELGLEILAFPCNQFGEEEPGSNDQILDFVCTRFQSEFPIFDKIEVNGEGAAPLYKFLKKGQWGILGDDVQWNFAKFLVDKNGQAIDRYYPTTSPLTIERDIKKLFGVL >CDP18611 pep chromosome:AUK_PRJEB4211_v1:8:16689806:16690309:1 gene:GSCOC_T00007424001 transcript:CDP18611 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAQKIEQLEEVFGNAENDSLSHLVFETVHYNPSDLSSWFGSMISELYPDMSSIPDSSAISDEFKHKSAKHEQTKMPFNSGQICVQSHRKSSKYAFLRINRKQILDEGPKVDNDNSLRAPQIFSSNNKSTKSLLASYLCSQRPTRTQHKRRRHVRRVLFTRANPL >CDP09841 pep chromosome:AUK_PRJEB4211_v1:8:28769635:28776174:-1 gene:GSCOC_T00030315001 transcript:CDP09841 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTAKENASVVDSSVTEWKNDRNLDGPESHSYRSNEDGCQIGADEQVHSCEQRQNCSETKKGKPCNTRYFIIKSLNHQNIELSIEKGIWATQVMNEPILEEAFRNSGKVILIFSVNMSGFFQGYAQMMSSIGWRRDNVWSQGTGGNNPWGRTFKVKWLQLHDLPFQKTLHLKNPLNQYKPVKISRDCQELPQDIGEALCELLDGKDDVDVSLKRVEFSKVDLPLRRPCVEPSGSLRFEDFVPSVHMAPTLYPSLVYHHQAEASRFDQGYQRPSAGSHGNVRSDSDTSAQFIGWGKSTERSPLASSLTEDDLLEMTYEEYLEAHSRHNKKLYQSGAVPASNIQKSSVKAARFDDVKLGSSSKKRPRHRSPRR >CDP07893 pep chromosome:AUK_PRJEB4211_v1:8:20123062:20126341:1 gene:GSCOC_T00025383001 transcript:CDP07893 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQLPSFALTALFLLLPILLLLVKGMKRSKNSHVSKKMPPGPMQLPFIGNLHQMVGSLPHHTLKKLADKYGPLMHLQLGELSTIIISSPKLAKEVLQSNSLAFANRPQIIVARVMLYNSLGVTFSPYGDYWNQMRQLYIKELLGPKSIQSFFRVMEDEISNMVTSIKESQGTPVVVIDEILKYLNSTISRASVGRLCKDQEALILATREASSLAGVFNLADIFPSLKILQLLSGLKPKLDKLCKILDDILDDIISNHEKTEISTAEEDIVDILLRLKNSNESRFPITNNNIKAIIFELAVAGTITSAVATEWAMAELLKNPRVMAEAQAEVRQAFEREKNIGVNDVQELKYLKLVIKESLRLHPPGPLLAPRECREECKIGDYIIPSGTVTITNAWAMARDPEYWNDPERFEPERFCNSSIDFRGNDLELIPFGAGKRLCPGIDFAVTNIELLLAHLLYHFDWKLPGGISPEDLNMAERFGAAASRKNELRLLPKTYAPSDV >CDP12109 pep chromosome:AUK_PRJEB4211_v1:8:30332925:30340430:1 gene:GSCOC_T00035497001 transcript:CDP12109 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLNVDLFDPRSTMDADFSPGGGAERPIEPRHGSGSDSDFAFAFNDSNFSDRVLRVEILPDLPDSKSDPDGCTTIADWARNRKRRREEIRKENAAEIMQREEQVLSCNMPDTDDILAYENQDEEAIAMVEESTGIGMSSIQLGDGSTENNDSSTNMDCSTVLHVRTIHISSPILAAKSPFFYKLFSNGMRESEQRQVTLRIHASEEAALMDLLNFMYSNSLSTTMPSALLDVLMAADKYEVASCMRYCSRLLRTLPMTCESALLYLDLPSSVLMGDAVQPLTDAAKQFLAARYKDITKFQDDVLNLPLAGVEAVLSSDDLQVASEDAVYDFVLKWARIHYPKLEERRDILGARLARLIRFPYMTCRKLKKVLTCNDFDRELASNVVLDALFFKAEAPYRQRSLVAEESNTSYRRFVERAYKYRPVKVVEFELPRQQCIVYLDLKREECANLFPAGRIYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFASRSKPTEEYTSKYKGNYTFTGGKAVGYRNLFGMGLTGRSYHLIWLFLHLYFSLVYSFNESLGESLDAILHDHAFSTLFHGRSRTGALYNASLPPNLAGMKVSVVRLRSRTLWRLGANFSNFSIPSRTLPVPYVRRLLIVYHDLGNLSTYYYNTSGYTLLTSVVGFLVYDASNLSSTGLRKLDLNPMGKPILIQFQDPESPGGTNPRAECATFGAGGKVFLSKMSSPNLCQSRSQGHFSLVTRFKKRPQVWKFWVIGFVLGFVFLVSVGFILGLVLIVLTVKRTREMEREADEGEFLQTFWVDSSKMPRASITRTHPVLENSGRP >CDP15673 pep chromosome:AUK_PRJEB4211_v1:8:6611267:6611863:-1 gene:GSCOC_T00015628001 transcript:CDP15673 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASLSWGSSSWLSSHCLTQCMRPEATKLPEKASILMVVAQKKAKKTRKIILKEDVADLGKKGPLMDVKAGFYRNYLFPLGKAQIVTPLLLKEMKMEEERIEAEKKRVREEAQQLALIFETVGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQTQRDVDKRIVSVPEIRETGEYIAELKLHPEVTARVRLIVYAN >CDP09846 pep chromosome:AUK_PRJEB4211_v1:8:28744189:28746447:1 gene:GSCOC_T00030320001 transcript:CDP09846 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTEILILISVLSFHSLAVAANDFLGKSNLETYIVHVELPEYSDIQLSSSSGPNEDLDSWYRSFLPTTVASSNEAPQIVHSYHNVFKGFAAKLSAEDVKTMEKKPGFISAQPQMLLSLHTTHSPNFLGLHQNVGFWNESNYGKGVIIGVLDSGIAPDHPSFSDEGMPPPPAKWKGKCQFNTSACNNKLIGARFFSDGNGSPMDEDGHGTHTAGTAAGNYVKGANVFGNANGTAVGVAPLAHLAIYKVCSPGCSESDILAAMDAAIHDGVDILSLSLQGGSGPFYADNIAMGAYSAMEKGIFVSCSAGNSGPFNRSLSNEAPWILTVGASTIDRKIRTIAKLGNNEEFDGDDFNSGYCGSGLLNDTGVLGKIVVCDNGGGVSRIAKGQNIKSAGGVGMILINQLSQGYATSADAHVLPATHLSYTDGVKVLAYINSTKSPMSSIAFKGTIIGDHQAPLVAAFSSRGPSRTSPGILKPDVIGPGVNILAAWHRSVENNSNTKATFNVISGTSMSCPHLSGVAALLKSVHPDWSPAAIKSAIMTTADIVNLAKNPIEDQTLLPANVFATGSGHVNPAKANNPGLIYDIEPKDYIPYLCGLNYTNREVSHLVQRKVNCTAESSIPEAQLNYPSFSIVFGSSIQKYTRTVTNVGEAKSVYTVKVAPPAGVNVTVKPNTLSFSEVNQKLTYEVTFSLLASSANNTVSQGSLAWTSAKYSVRSPIVALFGATQRF >CDP08206 pep chromosome:AUK_PRJEB4211_v1:8:24900756:24902311:1 gene:GSCOC_T00026966001 transcript:CDP08206 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGDHSPRSNPTVQVPPWDFLDDHRTANIHSPYSISLNGSNASSFELALDNLTALQRYLPSNTGSDDVVSDTDDLDIPVDAFSCDQFRMFEFKVRRCARARSHDWTECPYAHPGEKARRRDPRKYHYSGSACPDFRKGACKKGDSCEFAHGVFECWLHPARYRTQPCKDGTQCRRRVCFFAHTPDQLRVLPQTECYDGSPSRLGCNSPGRHAYDSGVSPLSAKNGAFGSSPTSVLSSPPSSPASESPPMSPSGAVSLNSVSGLAQSMRNLQIGNTRMTAGSPPWGIQVGGGFCSPRSPSTLRPGFTSLPATPTPARTRSGLGAFDLWDKACQEEPAMERVESGRDLRAKIYAKLSKENSLESATPVPDFGWISELVK >CDP09874 pep chromosome:AUK_PRJEB4211_v1:8:28512015:28512887:-1 gene:GSCOC_T00030354001 transcript:CDP09874 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKFRRMRRRWDEEEINVRVLINSNCGGPGPAPTVLKILRPWQRGFYVAKTNFSSSPT >CDP12090 pep chromosome:AUK_PRJEB4211_v1:8:30161115:30162185:1 gene:GSCOC_T00035469001 transcript:CDP12090 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEQAKPLAPASHRIHVEEGAEAQSMETKNYSSRRRCIKCCGISTALILILATTMLVLAFTVFRVKKPVLTMNSVKVEGLDVAMEANFRLGTNVTLVAEVSMKNPNVASFKLDNSTTYLYYGGKMVGEAMTPPGHARARRTMHMNITVDILADQLLDVHRLWSDLQAGALPMGSYTRISGKVNILNIIKKRVVVRMNCTMTVDIHSQSMRDQECKKHVSL >CDP09865 pep chromosome:AUK_PRJEB4211_v1:8:28581224:28586099:1 gene:GSCOC_T00030344001 transcript:CDP09865 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G12060) UniProtKB/Swiss-Prot;Acc:Q8GW78] MAAHTLSTPATTPPGISPQNWKHTNHFFTAQNMLKTQSHTLQSPWLGCTSRISVHSSNLRPFIQRHRPIKATVIFSLPTSNPERVASAEKVPKWSAKAIKSFAMSELEARKLKYPTTGTAALLMGILIEGTNFASKFLRANGITLSKVREETVKLLGKADMYFFSPEHPPLTEDAQRALDWAVDEKLKSGDDREVTTAHLLLGVWSQDESPGHKILAAFGFNDEKAQELKSVISEPGFIDD >CDP09803 pep chromosome:AUK_PRJEB4211_v1:8:29112607:29118279:-1 gene:GSCOC_T00030269001 transcript:CDP09803 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNQEAIEMFMSITGVSESIAIQRLEEHAGDLNQAVNAHFAEGDRNITHETPVAAMEDDVMDIDDAAQVEPQRPPFSLLSSNRNLNPFSLLDPNFRRSILDSGTDFTNRDPFVSHPREVREVPIEVKDGNGQSGRSDSAPIIEDVTETAQAHGPEIRGNVILDEDDDKDVPTGPVERATEHNVGSDNTFGGFSHAPSSRPTAPGIDDMPDYSNDIEEEMIRAAIEASKRDAKMSDHQSQVRDFSRDSMPQHQQSHLEDPELARAMSLSLKTAEREKALRELEGEVGVSEVAGHTPSAGVEDYAKSSSPSNGRLHVGISSVPHEEGEDIEEAPLVRHRGRHASIGSADTGRDDEEVDVSPRLSPRNHDSLNAPRVDGNDFPSDEWGGISSEEHDEAVMLEAAMFGGIPEASGYRLPYAPHHMMQNGLNRSMDPYTQRVLRPPSPSLTAQRLLREQQDDEYLASLQADREKELKAKEEAEAALAEERRREEEFRKKQEEEQEVDRQLAAKEASLPQEPPSNDENAVTLLVRMPDGSRRGRRFLKSDRLQCLFDFIDISRVVKPGNYRLVRPYPRQAFSDGESGLTLNELGLTSKQEALFLELI >CDP13503 pep chromosome:AUK_PRJEB4211_v1:8:800179:802029:1 gene:GSCOC_T00038463001 transcript:CDP13503 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKENDAYEEELLDYEEDDEKAPDSVSAKVNGESVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGKLQPSLWVFGIFYILIMQRFVVSMVDFCALASVKSVSLLNK >CDP08167 pep chromosome:AUK_PRJEB4211_v1:8:24513530:24514780:-1 gene:GSCOC_T00026914001 transcript:CDP08167 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGSKKRRAAKRKKEEELQKILDSRVATISEDAIDNSNFSKDANLGDHPVVAASTIVDDGEAISDELNVLPSVGTKTSSSFFLDLKKEETQGYLVANDDDPMIYHAAEGENCAKESEVGLTSNQDSANVFSKNSSEDAVHDVDAPVDILHESVEKGNGDTYVEMNDDAPFDSQTTALSRSDKGSPVQEKGDATLTDHMASEAREDSLWQDDGALQASHNRLVGILSHKEIGVEDKNIGHDRKTLAVSEQDDILKTAIEEVLDTTNKLRELLIKLLHGLDTIQPMEVA >CDP19926 pep chromosome:AUK_PRJEB4211_v1:8:22940277:22940675:1 gene:GSCOC_T00006908001 transcript:CDP19926 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAASTLGDVYSYGILLLEMITRRRPTDDMFMDELDLHNYVNRALLEQVCEIADPLILSKEEDGNRRMTPGRENINGGREMECVISLLKLGLKCSQRLPNDRMHMNEVVSKLHLIKDVFLGVRIHQENLEV >CDP08331 pep chromosome:AUK_PRJEB4211_v1:8:26083793:26087637:-1 gene:GSCOC_T00027131001 transcript:CDP08331 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKLTWYDLVALGVGGMLGVGVFVTTGPVALDISGPSVFISYIIAGISALLSSLCYTEFSIEIPVAGGAFSYLRVTFGEFVGYFAGANILMEYVLSNAAVARSFTEYLCTAFGRNDPNSWRVEVDGLVKGYNMLDFPAVALTAVLTLCLCHSTKESSILNLAMTVFHVAFFGFIIIAGFQNGSPKNLIKPGGLAPFGAKGVLDGAAKVYFSYIGYDSVSTMAEEIRNPSRNLPIGIVGSVLIVSALYCLMALSLCLLVPYNKIPERASFSVVFRNIGWKWASNLVGVGASLGIVASLLVAMLGQARYLCVIGRARLVPSWLAKVHSKTGTPLNATIFLGFCQASIALFTELDIVIEMISIGTLLVFYLVANALLYRRYVITSSHPPFPTLLFLILLTCTSIGFSISWKLKRHWWGLPLFGGLMIAITALFQYLMAPPASQRQEPAKWSVPFMPWPAAISIFLNVFLMTTLQKLSYQRFGIWACFITLFYVVYGVHSTYQAEEMEVCVDNGVTTNSSVQPTKFDIQVL >CDP09843 pep chromosome:AUK_PRJEB4211_v1:8:28763735:28764376:1 gene:GSCOC_T00030317001 transcript:CDP09843 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPHHSGIAALLRRAHPDWSPAAIKSAIMTTANLLNVNNDLILDERMLPADVFATGAGHVNPSRATDPGLIYDNQPVDYVPYLCGLGYTDKEIAIIVRDPVTCATVSSLPEAQLNYPSFSIQLGSASKTYTRTVTNIGKASSTYYIDIGLIPGVDVYVQPGTLNFTEVKQKLTYHISFGRSDKSINNIYVQGAITWVSKEHRVRSPISIELI >CDP12232 pep chromosome:AUK_PRJEB4211_v1:8:31258595:31260203:1 gene:GSCOC_T00035657001 transcript:CDP12232 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLRVRTASFFAGAAVASAMGIYALQQDYKNAHRTHSQQAIGVYQSLEGRISALEKSQEVQPAKSVQAAP >CDP14457 pep chromosome:AUK_PRJEB4211_v1:8:14494835:14495614:-1 gene:GSCOC_T00040941001 transcript:CDP14457 gene_biotype:protein_coding transcript_biotype:protein_coding MMITSWTHSNPRRRYFSYGMKEGKRDEKGCNYFEWYDLIMCRRSTALIPGLLRSMNAKDATIEKLRAWERKLVSATVLLALLLLFVCWCKKPEIRMG >CDP08155 pep chromosome:AUK_PRJEB4211_v1:8:24421599:24424480:-1 gene:GSCOC_T00026897001 transcript:CDP08155 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFGEDELASILEWIQDPRDRNSFSLVCKRWCNVEGLNKFSLRVFEPNYLLSFLPRFPNLLMFESSEPISDAQMEFLARTCPSIQKLDLYYHEGFHTWASTRHSDFGHVGLCALAKGCCNLRSVVLSRRRGVSNAGVSSLVKFSRNLVNLDVRWCKGISDEALEAIGTMSALTSLNLQGCCLISDEGLASLAKGSLCKSLEFLNLAECDRISDDGVMKLVAMKSLEVLKLAECGPKVTDVGGRAVAAIESLKRLNLSWLINVSDDTVFALAQNSKNLATLHLEGCELVTGDGIRAFTSHKSLRKLELYGIYKFNVNDVEELVLGCQSLEFIGMDQRLRSWIPVTEQGNIFRPDCRISWRL >CDP12937 pep chromosome:AUK_PRJEB4211_v1:8:2224103:2227492:1 gene:GSCOC_T00037641001 transcript:CDP12937 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCALLKSIMGFATEDFIIQEEHDGRLPKQKKVKVVFVLGGPGSGKGTVCAKIVEQFGYSHLSSGELLRKEIKAGSEHGTMIQNMMNEGKLVPSDITVRLIQKAMQETDNDKFLLDGFPRDEENVRTFEKLTRIEPDFILFLDCPEEEMTKRLLSRNQGREDDNIETIKKRFRVFQESTLPLVNYYASKGKVRKVDAARPVEDVFESVKTIFSSANEQGA >CDP14931 pep chromosome:AUK_PRJEB4211_v1:8:9330923:9331941:-1 gene:GSCOC_T00042427001 transcript:CDP14931 gene_biotype:protein_coding transcript_biotype:protein_coding METFSYPRPHPLSSLSSTSLSSSKHLLQLPILTPTYRNQSRQPQFTSISATLNTPRGFGPSPKKAKKTKTPKKDYDEEEDDDDDEEEEDREEGIIPEIVTNRMMSRMGFSVGVPLFIGLLFFPFFYYLKVGLKIDVPTWIPFIVSFIFFGTALLGVSYGIVSSSWDPLREGSFLGWNEAQKNWPVFWQSIWGGGSKKKK >CDP09821 pep chromosome:AUK_PRJEB4211_v1:8:28926299:28931033:-1 gene:GSCOC_T00030292001 transcript:CDP09821 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGWRVLSAATILGILFFHGFCLSEKAPNYTFVHEATSAPDVSFYDYIIIGGGTAGCPLAATLSQNYSVLLLERGGSPYGNPNITNLNTFGAALSDLSPSSPSQRFISEDGVINARARVLGGGSCLNAGFYSRASTSYVRDLGWDGKLVNESYEWVEKKVAFEPPVKQWQSAVRDGLIESGVRPYNGFTYDHLYGTKVGGTIFDQNGTRHTAADLLEYANPKGLTVLLHATVHKILFGTKGRSKPLAHGVIFRDAARIKHKAYLKAGARNEVIVSAGALGSPQLLMLSGIGPEDHLRHHNITVIYHQPYVGLGMYDNPMNAIYVPSPSPVEVSLIQVVGITRFGSYIEAASGANFAGGSASRDFGMFSPKIGQLSTLPPKQRTPEALAKAIDDMSKLDAVAFSGGFILEKTMGPLSNGYLMLRKKNPNANPSVTFNYFQEPEDLKRCVDGIKVIESVIESKSFSKFRYDDLTLQVLLNMTANSPVNLLPRHSNASTSLEQFCKDTVMTIWHYHGGCQVNRVVDEDYKVLGVDNLRVIDGSTFYDSPGTNPQATVMMLGRYMGVTMLNERLASEKSN >CDP12202 pep chromosome:AUK_PRJEB4211_v1:8:31014553:31020427:1 gene:GSCOC_T00035614001 transcript:CDP12202 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSGTIVPRFTADTLRSAAKLSQGCHVVPVRLRRAIKKYLREKEDPHMKRKVLSLSESFHEVKEVNLLLPTSTSKELVEDPLKSMQSSKRWKIKSAYGDIGLKYRDDETIAYVASRMPAVYAACYRVLSEVKRRLPDFSPAKVLDFGAGTGSAMWALREVWPKSMEKINLIEPSQSMQRAGQRLIKDLKDLPFIQSYGSIQALTQSISKSERQHDLVIASYVLGEIPSLKDRITVVRQLWDLTGDVLILIEPGTPEGSNIISQMRSHILWMESRKIRKLESASHKSSKSLITMKSGAFIVAPCPHDGRCPLYNTGKYCHFVQRLERTTSQRAYKRSRGKPLRGYEDEKFSYVAFRRGRRPSREPWPLDGMKFDTLKEQHAKRSPEDLEIDYEDQIESEVDDDEQEQNLVSYNSDITETDAITDDDSGEEAEERGRADVGSGWGRIIYSPIRRGKRVEMDVCRATNRDGTEGFFDRIVVTQSKNPTLHHQARRSLWGDLWPF >CDP09969 pep chromosome:AUK_PRJEB4211_v1:8:27720328:27725422:-1 gene:GSCOC_T00030488001 transcript:CDP09969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17300) TAIR;Acc:AT4G17300] MAVALLPAATSFRLKSFTAVRFFSFYRKTPKTHLTPTFHFPLKPSTSNSTPFLPLHYSRTRSFCSVISAAVSSGEATKTETFEKNELQKGERVGEFRKRLRIVDVKGGPDEGLNRLDATLVVRGWVRTFRAQSGITFIEVNDGSCLSNLQCVMSSDAEGYDQIESGLISTGASLLVQGVLVKSQGSKQKVELKVEKLILVGTSDPSFPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWVSSPIITASDCEGAGEQFCVTTLIPSSRETTDSPVAAIPRTEDGSVDWSQDFFGKPAFLTVSGQLNGETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLDDDMACATAYLQYVVQYILENCKEDMDFFNTWIEKGIISRLSDVVEKSFVLLTYTDAIDLLLKAKKKFEFPFCIDR >CDP13468 pep chromosome:AUK_PRJEB4211_v1:8:1129713:1134251:1 gene:GSCOC_T00038425001 transcript:CDP13468 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNTNADVSMGRLSSLISPAILTLNQRKRHTLEVGCALFMQERRQSRRGLVSAALALLNCLSLPKDAMGGGIFDKYLKRKKLDPLESYVPAVILTELQIKDLGKSLDFDQPRFDDCRILLRSGPAASLRINIRAVAQYATDDGDNKSAFDNVDECLRALEELDSLLLHASRNDPGASIQSMKGKIDTAVDALDSLLKTVPSDVLEKGKAIADAYRDSEDDAAGEALDPELKQLESIL >CDP07866 pep chromosome:AUK_PRJEB4211_v1:8:19164326:19171720:-1 gene:GSCOC_T00025339001 transcript:CDP07866 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMAFSSVFSAPLHTLSQANKLNFTPNSVYPVTGSIVSSIKTNFGSSKSAFFQHGFSLPSSNLSGFLLKSSSFSTISARAATEKSIYDFTVKDIDRNDVSLSKFKGKILLIVNVASKCGLTTSNYKELSHIYEKYKTQGFEILAFPCNQFGGQEPGSNPEIKQFACTRFKAEFPIFDKVDVNGPNTAPVYQFLKSNAGGLLADLIKWNFEKFLVDKNGKVVQRYPPTTSPFQIEKDIQKLLAA >CDP13011 pep chromosome:AUK_PRJEB4211_v1:8:3132628:3136538:-1 gene:GSCOC_T00037740001 transcript:CDP13011 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALTFRAANPATTTFLGSKCGVSLYDAAGLSMKKKKKSGKKMKQLVEVVRVTATAERGSGREAVDGGGAERESSSGGGYTSSAMEVTTFNQRFRSAETEFPLWEKIGAVVRLSYGIGIYVAMALAGRFICSMTGIDSSGGFDPSLDAIVEGLGYAAPPIMALLFILDDEVVKLSPHARAIRDVEDEELRSFFYGMSPWQFILIVAASSVGEELFYRAAVQGALADIFLRSTDLVTDARGMASMTGFLPPFVPFAQVFAAVVTAALTGSLYYVAASPKDPTYVVAPVLQSRSRREDLKKLFAAWYERRQMKKIYSPLLEAILALYLGFEWIQTNNIFAPILTHGIYSAVVLGHGLWKIHDHRRRLRQRVQQLKLEEKSTRNL >CDP18485 pep chromosome:AUK_PRJEB4211_v1:8:22779742:22781966:1 gene:GSCOC_T00009057001 transcript:CDP18485 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSREMVKRIVKKIGGEKNLAPGLKDELKKSVPNSKVVMGRAQRGLYAGRHIQFGNQVSHDGGNKSRRSWKPNVQDKRLFSYILDRHIKVKVTTHALRCIDKAGGIDEYLLKTPYHKMDTEMGLYWKAKIEKLYEELGEMEVVFFSPEDEAKFEEQFRELKLEQRAARREARRKMYGWSGKSEAIDEGRADAEGTHQEAARHGEGSSDADIHEPQVANA >CDP14462 pep chromosome:AUK_PRJEB4211_v1:8:14094176:14094415:1 gene:GSCOC_T00040953001 transcript:CDP14462 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKDPAIKLFGKTIQLPEAPAAAMVASECGVDASALSNDISADDTLVQDHPSSPNSLPEDSNPDRNGAEEESDKVPT >CDP17896 pep chromosome:AUK_PRJEB4211_v1:8:21333687:21334437:1 gene:GSCOC_T00011887001 transcript:CDP17896 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFDSATGVGCFGFGKLNNLKGEKYMFTSTRMLIQRGRYMFPSAGMLIVAAYL >CDP16138 pep chromosome:AUK_PRJEB4211_v1:8:23258909:23260318:-1 gene:GSCOC_T00017213001 transcript:CDP16138 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKGLTTRIDRKLSGLSNSFSKQRIFRVDHKLRSQNEVAYEPQMFSIGPYHHGKENLVKAQTYKLWYLKELLLRRGESSTERYINALKDLEEGARSWYAEEDMIGLGSDEFVEMMLLDGFFIIEFLRKYAGWCLYKDYPLNYGPKQGDPIFLNRRTMGSLFRDILLFENQLPFFILVRLLEMTKPPGGAEEENLIDLAIFPDSPLHFFFPGEKPVSLPNPTTNIAGNVGDNGDSQDNCSTSGEVYEHIKCASELQQAGIKFETANKSVSWLDIAFEKGVMKIPTLDVHDVTECVFRNLIACEPYMINGLLDKRYVTDYFHGSPYRPISIRICQVVTGTDRTGTI >CDP13518 pep chromosome:AUK_PRJEB4211_v1:8:705523:711113:1 gene:GSCOC_T00038486001 transcript:CDP13518 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNLIQVTENGVGFENGVREELLSSELQVAIHESNGVPNCSPEIGGLNADFEDSLKLNDGEHIDASGLVVKEGSPTPANSNAADNSEGGKELLNSKPHEALAKSKSGKPLNTRTVSETGVKKSKDGKDALTASGVSNGTLASESNSKLSFAKSKSKSFNERPAASASTNVSQSKQIQQPELISSTSAAQSDCNREKTKPRVLKKSQSSKTEGVAESVSPAEDAKPRKVGTLPNYGFSFRCNERAEKRREFYSKLEEKIQAKEVEKTNLQAKSKETQEAEIKMLRKSLMFKATPMPSFYQEPPPPKAELKKIPTTRAKSPKLGRKKSSPSRVSEEESDEGTHTSRLSLDEKLSQNDSVKGPSIVNLKKPLRKSLPKLPSQKTSLTGETRKASSRKTSISKEMSGSSSQADNSSKSGAAGDVQKQEETPLVEPNQTQPGTDVEHFGEVQAEVALVN >CDP08364 pep chromosome:AUK_PRJEB4211_v1:8:26392960:26404850:1 gene:GSCOC_T00027170001 transcript:CDP08364 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVWQTPANPPDRHDYILRDGMRYVRPYYFEFISHVKNRWANKTIVDLFTEEFKGRPRDYYVSAVKAGRIQVDGRIVPISYVVQPSQKISHFVHRHEPPVMAWDIEILHREAEVLTVSKPASVPVHPCGQYRKNTVVGILQAEHGLAPLFPIHRLDRLVSGLLILARSASRADLFRQQIESGMVQKQYIARVDGVFPEDEQVVDANVNYNAREGMSTVEVGDDYINGNASAKGKSACTKFTRINTNGSHSIVLCEPITGRTHQIRVHLQCTGHPIANDMLYLSKSVTKRSSEGLGADRAAAKSNLSVDQSSHQNHKDEADNVAEEDFRIDPMCTNCPNLAPKGYDGHEEGLWLHCVKYTGPDWVYECPYPDWAFLS >CDP12079 pep chromosome:AUK_PRJEB4211_v1:8:30074599:30077277:1 gene:GSCOC_T00035457001 transcript:CDP12079 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSPIAAGNNVPYLFTPTLSSTAPLYPPLLMNQSMQSPQIASDMDWATILSSTAVNCEQKPTSPCLSMASRNAREGDNLDKNKVKPGKTKKCVPPRIAFHTRSTEDILDDGYKWRKYGQKAVKNCAHPRSYYRCTHHTCNVKKQIQRLSKDTSIVVTTYEGIHNHPCEKLMETLSPLLKQLQFLSRF >CDP13053 pep chromosome:AUK_PRJEB4211_v1:8:3712298:3713345:1 gene:GSCOC_T00037812001 transcript:CDP13053 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPCCETNNGLKRGPWTDEEDEKLINYVQNYGHGTWKSLPKKAGLNRCGKSCRLRWNNYLRPDIKRGMFSDQEDRLIVELHSILGNKWSKIAAHLPGRTDNEIKNHWNTKLRRKLLQLGIDPQTHKPVVPDINQIVDFSRFLSAFNPASNLMNVWTNVLLSQVDATQLAKFQLLQKLLKTVNPGPAQNNLVSSTRFINADGAQVNTDFILPTLQSSNFDPTFPDNNYGLSNAVTFSAQNDKTNSLSSTTSTSTQTNNYLPSVVEATRAHVSSSVDQVNPPQDPLMTSSIIDTFDSWEELMDNEPFWEDILA >CDP09761 pep chromosome:AUK_PRJEB4211_v1:8:29433930:29438576:1 gene:GSCOC_T00030217001 transcript:CDP09761 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFEFLQVFLLSLGLIAGTNLAFPLNEVYALKTFKEAIYEDPLLVLSNWQALDSDPCDWPGISCSMARDHVIKLNVSGASLKGFLAPELYLLSSLQELILHSNSLIGTIPKEFGLLKNLKVLDLGANQLTGPIPPEIGKLSSIVKINLQSNGLTGRLPPELGSLKYLEELRLDRNRLQGTVPASNGSDFADDLHGMYVSSMQPTGLCLSSQLKFVDLSYNFFIGSIPKCLEYLPRSSFQGNCLDDKDPKQRSAVQCVTGGAPTPKSHPVANSRHHPVEHNSKHQTSTSRPAWLLALEILTGVMVGALLLVVLVSALHKWQNRPSIIIPWKKSASGKDYTAIFIDPESLRGVTKLSRQELEVACEDFSNIIGSSSDSQVYKGNMKGGPEIAVISLCVKEEHWTGYLELYFQKEVADLARLNHEHTGKLLGYCRESSPFTRMLVFEYASNGTLYEHLHYGEGCQLSWTRRMRIVIGIAKGLKYLHTELHPPFTISELNSSAVYLTDDFSPKLVDFESWKSIHSRSEKNSGSISNEGAICVLPNGLEARHLDIHGNIYAFGILLLEIVSGRPPYCEDKGCLVDWAKEYLEMPEVMSYVVDPELKHFRYEDLKVICDVVNICIQSSSNSRTSMQDLCAMLESGIDTSVSAEMRASSLAWAELALSS >CDP15690 pep chromosome:AUK_PRJEB4211_v1:8:7542786:7547833:-1 gene:GSCOC_T00015666001 transcript:CDP15690 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGRLHLWFHILVNVLILLRTEAFFVDITYVESAVAKGAVCLDGSPPAYHLDRGFGAGINSWLVHIEGGGWCNNVTTCLDRKNTRLGSSKQMAKQLAFSGILANKPKFNPDFYNWNRVKVRYCDGSSFTGDVEAVNPATNLHYRGARVFVAVIEDLLAKGMRNAESAVLSGCSAGGLTSILHCDSFKALLPLGTKVKCFADAGYFINAKDVSGVQHIEAFYNDVVNTHGSAKNLPATCTSRMKPGLCFFPQYMAQEIRTPLFITNAAYDSWQIKNILAPGVADPHGLWHNCKLDITRCSASQLQIIQGFRLEFLSAVNGLGTSSSRGYFINGCYAHCQTEMQETWLRDDSPRLNDKTIAKAVGDWFYDRSPFQTIDCPYPCDKTCHNRVFESQDQPLV >CDP08286 pep chromosome:AUK_PRJEB4211_v1:8:25654516:25655283:-1 gene:GSCOC_T00027068001 transcript:CDP08286 gene_biotype:protein_coding transcript_biotype:protein_coding MGREFPVGESAVENHPFVSMSLFLASIAATIAIVSSLCGALSRKKATASSSSSGAENQIKENKDVNIPSPTSGNGGSPPEKVTTLTIPGSSSDSDNATKNEELSHQPLPPPPGFQHLSGSTSHHYRSNSTASSSSRQKLPKTMSMRALGNGIGLSSRQSSKRDQENAHDDYASKKRDKKLKHEDSIWKKTIILGEKCRVPDEDDDAIVYDEKGNRITTYHRKSTSMALSRQNSNADPDAIPTTLEGQKDDILKIS >CDP07182 pep chromosome:AUK_PRJEB4211_v1:8:10125118:10130070:1 gene:GSCOC_T00024342001 transcript:CDP07182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carotenoid cleavage dioxygenase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19170) UniProtKB/Swiss-Prot;Acc:O49675] MDAFSSSFLSRLSQNPKLSTSLIITPSSPNIALLHVSAVRIEDRPQTTINANPTTTAPPSSKPLRKEIPAKYTPAKSTPPKTQSPQRQKPSRKLVEPSLPTVFFNAVDDFINTFIDPPTRRSVDPKYFLSNNFAPVDELPPTECEVVEGSLPPCLDGAYFRNGPNPQFLPRGPYHLFDGDGMIHSIKISQGRATLCSRYVKTYKYMIERDLGCTVMPNVFSGFNGLTPSAARVALAAARMLTGQFNLAKGFGLANTSLALFGGKLFALGESDLPYTVKLTQNGDLLTIGRHDFDGKLIVSMTAHPKIDPETGEAFAFRYGPMPPFLNFFRIKPDGTKEPDIPIFSMTRPSFLHDFAITKKYAIFPEIQIGMNPLFMIAGGSPVASDPGKVPRLGVIPRYAMDEAEMKWFDVPGLNFIHAINAWDEDDGDSVVVVAPNILSVEHTLERMDLIHASVEKIKIDLKTGMVWRHPISTRNLDFAVINPAYVGKKNKYVYAAVGDPMPKVSGLVKLDVSVSEGDRRDCIVASRLYGSGCLGGEPFFVAKEPNNPNTDEDDGYVVSYVHDENTGESRFLVMDAKSPNLEIVAAVKLPQRVPYGFHGLFVSENDLNKL >CDP07197 pep chromosome:AUK_PRJEB4211_v1:8:10516113:10518128:1 gene:GSCOC_T00024365001 transcript:CDP07197 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKYATLEELYSDKNRRRRERYAAAKKQKENDPFNTTYTIAVKALRMMDNLAETSTSSMKRMRINKHNDGTSFTNMSTDETIENPLSSSPLMNKYGSLGSPTIQIDEALTSINIHGKSIANVNSIPIVPQDNASDCNTLSTKKAAPWRKTSSSINSSSSKDNDVKVSFDGQRRRKYATPEEAQRERNRRRHERYAIAKKTKQKSSVDNIFTFPLITPELQEDIRTNANFENNNHMLFNDESVCHVRSCFQGPELSEGNTNDSRIENTISMIRTYDSTKNSTTNEHDQLKFPIPESFLGPNFRDASSSTRNTPCRRRSTKHDPLQNIAVEPDVLPSILNCKYCDAKRFHKVPPGFCCSSGEIQLL >CDP07834 pep chromosome:AUK_PRJEB4211_v1:8:18179564:18184665:-1 gene:GSCOC_T00025277001 transcript:CDP07834 gene_biotype:protein_coding transcript_biotype:protein_coding MVREHGWQLPAHTFQVVAIIVYCLLVVAFFAFFAPFLRRNIWEYALVAGYSPVVCNFVLFIHIT >CDP08376 pep chromosome:AUK_PRJEB4211_v1:8:26903283:26907812:1 gene:GSCOC_T00027188001 transcript:CDP08376 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFNCFGVNKDSSPSSGSNLEDSSSSATTPAVKVGSVTRNRSPLSSLLLAEEDKDGTPCKLKEEQELGTPKPEIGLNLKELKDEAKFLKACGTLPETPVEIRQASEKWKDLSAQKGDHEHSKFRSWLTNTSAEKLNLEMNSDQPLTPTKHCERWVKLSDSLDHTPSSCLTEGLNAGRVSNSSVEGNETKNAGSVELIASPSHSLTGSSVPKDITPIIIGKNKSVRFDCDSDTSSVTTKSCPSETSSQSLKQSGSSSNLSIAKFSPYPTPLKLSDEMQTPGTVFPAYLDVMGQGKNTRIRSQYVYSVLNPAENMSQWKDLKNEDVNDYQSSHSRQSCREIDQETSASEVGTGRTSVGQELKVEASLSSWLRATFPNPDGPVVNNGSSTSEKSQRGRTPGDRPILGMVAAHWNDDEHQVSPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEETFNLQRNQTSGTPPPDLNETEEDDTALSQLQPSNHFKSVVSF >CDP13478 pep chromosome:AUK_PRJEB4211_v1:8:1024033:1029802:1 gene:GSCOC_T00038435001 transcript:CDP13478 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAP2 [Source:Projected from Arabidopsis thaliana (AT2G26310) UniProtKB/TrEMBL;Acc:A0A178VYJ7] MDPNGGSSNIFPMDPLVPHRFSIHFLSHIVSFVDNSRYLCVPGTLALQEAFNCFSKFAGAFFIWFARGSNSNINGKILGRHDGSNPINCKGDNQLKRIISQGQKFKGVLDNCICEGKSSIPFILDKISRFSMKQFYIEAEHLRSFPALSLAAALVPPLNNVYPDVLAVPLEAGDIATQRCLSQRPCEIEHQGCNDISFQSLSWTGHAVEPRTGIEFPTILDNSVAAEHNSSFTSEVLVGTGSRIMKIIRIKSLNVYAFGFYVHPFDICEKLGPKYGSLPVSELNKSCDFYEDLLREDINMTVRLVVSCNGIKINTVKDAFEKSLRARLLKTNPDTDFSCLQRFGSIFSNDIPLHAGTTINFRRTANGSFITEIGDNQLGAVQSKELCRAFFDMYIGDIPVCEQTKEQIGENVASIMRRC >CDP11743 pep chromosome:AUK_PRJEB4211_v1:8:5933145:5935190:1 gene:GSCOC_T00034226001 transcript:CDP11743 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLEYMMPIASGSIAEILITYAFHIHPIQEQIGMSDGAVHVIEPLDTEPKRGSLSSQDNGTLPTNPSSSALNSQPSETPPR >CDP09827 pep chromosome:AUK_PRJEB4211_v1:8:28874729:28881440:1 gene:GSCOC_T00030299001 transcript:CDP09827 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFTHSDSLSDDSSGHGQGVQVVPFKTSQGSLKVLLLHGNLDIWVKDAKNLPNLDQFHKNFIDRFKLGGKTEGSSSKGNTSDPYVTISISNAVIGRTFVIRNSENPVWMQHFYVPVAHHAAEVHFVVKDDDVVGSQIMGAVGIPVEQIFSGAKVEGTFPVLNASGKSCKQGAVLTLSIQYTPMEKVPLYHSGVGSGPYQGVPGTYFPLRRGGNVQLYQDAHVHEGSLPSSVLDNGLQYQHGRCWHDIFNAISQAQRLVYITGWSVNHLVSLVRDTGNPTKSILGDLLKEKSQEGVRVLLLVWDDPTSRSILGFRTEGVMGTSDEETRRFFKHSSVQVLLCPRSAGKGHSWAKKKEVGTIYTHHQKSVIVDADAGNYKRKIIAFIGGLDLTTGRYDTPEHPIFRTLQTVHKEDYHNPNYTGSTAGCPREAWHDLHCRIDGPAAYDILTNFEERWLRASKRHGLQKMKSSFDDSLLKLERIPEILRIHDVSIQHDDNPEAWHVQVFRSIDSSSVKGFPKDPKDATDKNLLCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFLGSSYNWNNYKDLGANNLIPMEIALKIANKIRAHERFSAYIVLPMWPEGAPTSTATQRILFWQHNTMQMMYDTIYKALVEVGLERTYEPQDFLNFFCLGNREAEGHGGISDAKSSAAANTPQVRSRKSRRFMIYVHSKGMIVDDEYVILGSANINQRSLEGTRDTEIAMGAYQPYNTLARKHTRPRGQIFGYRMSLWAEHIGFLERCFERPESLECVRRVRALGELNWSQYASEEVTDMRGHLLKYPVGVDAMGKVTPLRGCETFPDMGGNIVGTFVAIQENLTI >CDP16156 pep chromosome:AUK_PRJEB4211_v1:8:23569174:23574520:1 gene:GSCOC_T00017237001 transcript:CDP16156 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGKWDVVFRPLLPIVCNFLLASILVSAERSFRNEASASAQNSTGNPASANFSKLLNFLWQADKSGYQHVWPEIKFGWQIVVGTIIGFFGAAFGSVGGVGGGGIFVPMLSLIIGFDPKSSTAISKCMIMGASISTVYYNLKLRHPTIDMPIIDYDLAVLIQPMLMLGISIGVAFNVIFADWMVTVLLIILFIGTSTKAFLRGVETWKKETIMKKEAAKRLETNGNGQEEAEYKLLPSGPSNDKEKDAKPVEQEVPILENVCWKEFGLLVFVWIAFLALQIIKNHTDTCSALYWVVNLLQIPVSFGVSSFEAFSLYKGWRKIASKGDTGTNFKVHQLITYCFFGVLAGVVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMMFSASMSVVEYYLLKRFPVPYALYFIAVATVAAFIGQHFVRRIIILLGRASLIIFILAFTIFVSAISLGGVGISNMIGKIEHHEYMGFENLCKYTS >CDP09887 pep chromosome:AUK_PRJEB4211_v1:8:28410239:28414295:1 gene:GSCOC_T00030368001 transcript:CDP09887 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEFLLVLLIFFAFSCSRPVHGNAELRALMDIKTSLDPENKYLSSWTSDGDPCAGTFLGVACNEHRKVANISLQSKGLTGKVPPALARLECLSGLYLHYNSLTGQIPKEIANLNELNDLYLNVNNLSGTIPPELGSMASLQVLQLSGNQLTGNIPTEMGFLRKLNVLALEYNGLTGSIPANLGNLGMLRRLYLSFNQLSGSIPVALAGAPNLEVLDVQNNTLSGVVPPALQKLNEGFHFENNPDLCGVGFTSLRNCTAWDNLNINQVAPLAPTSNNNASPLPQFAYVHVHCNQTRCSSSSKLPRIAIVSGVTALTGSLMIAAFLSIFRHRRQKQRIGNTSDISDDRCSIGQIKESCRSPSPLVCLEYSKGWDQMIAEQNCHGLCPTELPKGLKFNLDEIESATQHFSEMNLLGKSKVSFVYKGILKDGSVVAIKSINVTSCKSEEAEFMKGLNLLTSLKHENLVRLRGFCCSKGRGECFLIYDFASRGNLSQYLDVEDDRSSILDWATRVSVINGIAKGIGYLHSSEQNKLPMVHQNISVEKVLVDEQFTPLISDCGLLKLLADDVVYSALKVSAALGYMAPEYITTGRFTEKSDVYAFGVIILQILSGRRKLTNSILLEAESCKFEDFIDPNLKGNYSKYEASRLTKIALDCTNESPDGRPSIASVIQELNRSSDG >CDP09879 pep chromosome:AUK_PRJEB4211_v1:8:28466174:28469857:-1 gene:GSCOC_T00030360001 transcript:CDP09879 gene_biotype:protein_coding transcript_biotype:protein_coding MANSMASIQQGRIRVLKQASGPSIDREKLKGPVVYWMFRDQRLRDNWALIHAVDQANKADVPVAIAFNLFDSFLGAKARHLGFMLRGLQKLHQNLQGMPLNIPFFLFQGEALDTIPNFLKECGASLLVTDFSPLREVKNWKETITERLEDSVSVHEVDAHNVVPIWVASDKLEYSAKTIRGKINKLLPEYLIDFPTLGPSKRKWPNLHHFIDWDKLIADVARKGAEVPEIAWCEPGEDAAMEVLMGSKNGFLTARLKNYSMDRNNPLKPKALSGLSPYLHFGQIAAQRCALEARKVRTLSPQGVDTFLEELIVRRELADNFCFYQPHYDSLQGAWEWARKTLMDHASDKREHTYTMQQLEKAQTADPLWNASQLEMVHYGKMHGFMRQVFLMLLALDCYRCDFSHPLYLVLFYPLFLLFFSK >CDP12204 pep chromosome:AUK_PRJEB4211_v1:8:31025899:31027040:1 gene:GSCOC_T00035617001 transcript:CDP12204 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNQPFQFTFGSITRRNFTDSCLQAASTIPNLHHHHQPFQSVKPLSQRQGSLNKQKPASPLYCC >CDP09862 pep chromosome:AUK_PRJEB4211_v1:8:28608852:28619769:-1 gene:GSCOC_T00030341001 transcript:CDP09862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT1G12430) UniProtKB/TrEMBL;Acc:F4IC87] MASSNSHRNGGVGYTSSITSNGTKVITDRQQQQQLFSSLNSINPKSSTTSSSSLKPKSSLRRSSTGSIAGSATAKDESRGVRGRVRVAVRLRPRNAEEAVADADMPDCVELQPELKRLKLRRNNWDSDTFEFDEVLTEFASQKRVYEVVAKPVVEGVLDGYNGTVMAYGQTGTGKTYTLARLGEEDKSARGIMVRALEDILAEISPETDSVSISYLQLYMETIQDLLQPTNDRISIIEDPKTGDVSLPGATLVEIKDQKTFVDLLSLGEAHRFAANTKLNTESSRSHAILMVNVKRSIKGKDSALSVENGNASHAAKILKPPIVRRGKLVIVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLRDSFGGTARTSLIVTIGPSPQHRGETASTIMFGQRAMKVENMLKIKEEFDYKSLSRRLDIQLDKLIAENERQQKTFQDEIQRIASEAQKRIAEVESNCAGALEVNTCMCVEECIRYSKRRQNEKFLVSHLDVFCCWDHVLSNLNSHLIKICFLSTEINEFKKLLQNETLLRRAAEEEISGLRNEVAQWKRLEAAKSSEILKLHKLLEDESQEKAKLEEEIAALQSQLLQLSYEADETRRNLDKGEMAKLSGDLDSLLPQSNHQSSRDFADGASMAKLFEQVGLQKILSLLEADDADVRIHAVKVVANLAAEESNQERIVEAGGLTSLLMLLRSSDDETIQRVAAGAIANLAMSETNQELIVSQGGLELLSTTAANANDPQTLRMVAGAIANLCGNDKLQMKLRGEGGIKALLGMVRCRHPDVLAQVARGIANFAKCESRAFTQGTKMGRSLLIEDGALPWIVQNANNEASPIRRHIELALCHLAQHEVNAKDMITARALWELVRISRDCSRDDIRNLAHKTLTHSPAFQVELRRLRIDYG >CDP12125 pep chromosome:AUK_PRJEB4211_v1:8:30454988:30466221:-1 gene:GSCOC_T00035517001 transcript:CDP12125 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRDAQLMGLTSRVSNLEEAVARLLRVPVAIIRSGALLARERTQVQVSANGVGLPVYDGHNRGMNIENLRNGNLKNGDLQNRNLQNGNLQNGAVNHVGSENGEFHLENLHKELGVNFENHQTMDSFLNSQLQFACIISGTQFPDLPKEGFYFGPAQTAETAASDHDNAEASSGAQLTFDCAEVENVASDKKEESNGSPDTDLNGKELLESNARTMNGHDVFLCSTDVQAACDAPEVGTDSIHPEKENKTLLAAALTNTDNGCDNQMGGPKQLVIETDSSNQAAASCIPVSEAPGSPIVIEISDSDEENLPAGSTHFFTTCASDINSTGKKVPFINIGKGACFMKTEEEDGTGSMEGCDIYQMPKRKRSMIETSDTNFMVAKRKKEENGQLLRDENGSLGSDSSVKLDKSAGLEPLLLSVQNRLGEVRKGGSVQIGLKKSLFDDNDYSSDSTSSDSESESCLEAYVDKMVAIAKGYRLKTWNFAADMLSDLEKDDELCMNAVCALYRKKNSAEKSPMKSSVLADPGFSYCDAMSVTQLAEFLIDGDPEFKLKRTVSDAQQKDPKVLSKCKDLAIQYYEKLFELYQNGQDPFFHPKF >CDP08245 pep chromosome:AUK_PRJEB4211_v1:8:25307085:25311364:1 gene:GSCOC_T00027014001 transcript:CDP08245 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYKVPQICVAIFSIYIVIVGCGFCLNVKSNILGFPAGLCPIVGVGGHISGGGYGSPLQRYCLMVDNVLDAQIIDVNSQVLDRKEMGEDLFGAIRDGGSASFGVVFAYRIRLVRVPEIHTVFNVQKTKAENATDVLYKWQNVADKIDNDLFIRVLVQPNTGKVKNANRLISSNILGFPAGLCPIVGVGGHISGGGYGSLLQRYCLMVDNVLDAQIIDVNSQVLDRKEMGEDLFGAIRDED >CDP08353 pep chromosome:AUK_PRJEB4211_v1:8:26325150:26326527:1 gene:GSCOC_T00027158001 transcript:CDP08353 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHLLLGFTVIAVVLLIEAAVTYFATETVLSLQQKSTKACKTRVFKFGAGLSVTQLTAQIYQLMILTVSTKGFDSPEARCLR >CDP07184 pep chromosome:AUK_PRJEB4211_v1:8:10184077:10193655:-1 gene:GSCOC_T00024347001 transcript:CDP07184 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTALAPGLSRKLKKVLETRTDGAELLSSLSTLSNFYTENTPHSRRNLRSTIEKRGLSINQEFLLASLTAQQALDRVEEEVNALAECCDKIANALSSCNATTGDIISTTERLKQDLEITTQRQEIVSCFLRDYQLSNEEINALREEDLNEKFFKALAHVQEIHANCKVLLRTHHQRAGLELMDMMAVYQEGAYERLCRWVQAECRRLGDIDNPEVSELLKTAVSCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLALLDPDAVVDTGPSAPQVSKVSENDVVKSESDLTFVLDRIFEGVCRPFKVRVEQVLQSQPNLIVSYKLSNTLEFYSYTISDLLGRETALCNTLWVLKEAAQKTFFDMLKTRGEKLLRYPLPVAVDLSPPTALREGVSLLCEIIETHDGMMFPASGKKPDFHPVISALLDPIIQMCEQAAEAHKSKGAIQLSRRSRTGSDPGQLRKSSIDAILDSGRSISVSQTAEAPSKIFLINCLCAIQQPLLGHEVASEYVKKIGGMIESHINGLVEKEVDNILKRCGLLSKMTHFRVSLDDDEAGKTVNGSALAELEDTAPASLSEGLRAFFGLILGSESSLPEFEQMQVPKLRSEACVQVARSLAGAYELIYKAVMDPKNHYPDPKSLVRHPPDQIRTILGI >CDP12112 pep chromosome:AUK_PRJEB4211_v1:8:30357018:30363607:-1 gene:GSCOC_T00035501001 transcript:CDP12112 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRVAGRKGIRACLAEALTISFRDPVFPNTKVDLEAAQTTFFSSVKQKQSYSVNPGLRIFDDLNFFSSRCLIRLFHASPQLLVRRDDDTPWGLKTQLKGKFKNRPKSSSSPVEAPYVPPKIKRVSRSLPDKTIEIFEGMTILELAKRCGESIPTMQSILVNVGEKVDSEFDPLGIDIAELVAMEVGVNVRRLHSDEGTNVLPRSPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVGMQSGGSITFLDTPGHAAFSAMRARGAAITDIVVLVVAADDGVMPQTLEAMSHAKAAGVPMVVAINKCDKPTANPERVRLQLAAEGLLLEEMGGDVQVVEVSAINKIGLDKLEEALLLQAELMDLKARNDGPAQAYVVEARLDRGRGPLATAIVKAGTLVSGQFVVVGAEWGRIRAIRDTAGKMTNFARPAMPIEIEGLKGLPMAGDDIIVVHSEERARMLSEGRKKKRERDRLRKLEEEKREKEKEEIEGGEEEEEELKATELGDERGRGKRRRKERRKLQEKENKPKRPELPIVVKADVQGTVEAVVDALKGLNSPQLPVFVVHVGVGPISQSDIDMAEACGACIVGFNVRNPPSSISLAATQAGVKIKLHRVIYHLLEDIGNLIVEKAPGTFETQVAGEAQVLNIFELKGRSKAKGADVKIAGCRVTDGRFTKLSTMRILRSGEVVFEGSCTSLKREKHDVDAVGKGNECGLVIQDCIDFRVGDVIQCLEQVNIKPKFISSENGAVRIES >CDP11757 pep chromosome:AUK_PRJEB4211_v1:8:6168288:6174576:1 gene:GSCOC_T00034248001 transcript:CDP11757 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRYLLSKTTPKFFPHSVNALTQILSLCRFSHSSVHHSTSPPYYSLLRSLQSLFSREFHSYSNSGFRSFSSASDYVIQDTDSSVATALNLDNRVPATVITGFLGSGKTTLLNHILTSNHGKRVAVIENEFGEVDIDGSLVASHSSSNEDIIMVNNGCLCCTVRGDLVKMLLELVKTKRDKFDHIVIETTGLAKPGPVIETFCTDELVSRYVKLDGVVTLVDSKHVIQHLDEVKPRFVVNEAVEQVAYADRIILNKIDLVTGADLEVLMKRIKHINGMAQIKKAKFGVVDMDFVLGVGGYDLDRIDSEVESEHHKGHGHHHDHVHDSAVSSVSIVSEGTLDLDEVDDWLERLLEEKEDDLYRMKGVLSVTGSEERYVFQGVHSTLDGCPGKTWGPGEKRVNKLVFIGRNLDETALRKGFKGCLVSESE >CDP16166 pep chromosome:AUK_PRJEB4211_v1:8:23674527:23678139:-1 gene:GSCOC_T00017251001 transcript:CDP16166 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCSRCYLHRSIRVVNFVINAIGVAMIAYSLWLLKKWEEGVDELDLASPVPTPWFIYTCLGVGIVVCLSTLLGHSTANCISNSVLAVYIVSICSLLLVQAVIIVTVFYKTNWEMQISIYVDENHEEFKNFVLFHVEMCRLISISVLVAQLIVALLAGILWAVGCEPNLHCSSSDPPNFTYSFLDDRTSSLSDCTRLPERSFQVHNIGQTLRNSFA >CDP09819 pep chromosome:AUK_PRJEB4211_v1:8:28942411:28943624:-1 gene:GSCOC_T00030290001 transcript:CDP09819 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHGHHRGRSKQVLFGGLDNLFKSTNVNPEDIDILVVNYIVCSVQRLGFLFICQSLHIRSRV >CDP18431 pep chromosome:AUK_PRJEB4211_v1:8:22184196:22185575:1 gene:GSCOC_T00007245001 transcript:CDP18431 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSESTNQIRNQGQRSSVVEKVKNNLIFRSKWAELNGAMGDLGTYIPIILALTLAKDLNLGTTLIFTGVYNFVTGAIYGVPMPVQPMKSIAAVAISDADFNIPEVMASGICTAGILLVLGVTGLMQLVYKLIPISIVRGIQLAQGLSFAMTAVKYIRKVQNFSESKSGSDRHWLGLDGLLLAIICACFIIVVNGAGDDNEYAAETESGANDASGSGNNRRKRLQKIITSLPSAFIIFLLGVVLAIIRGPKAVKGFKFGPSPIEVVKMSKHAWKEGFIKGTIPQLPLSILNSVIAVCKLSTDLFPEKDISATSVSITVGMMNLIGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVALLGLAKLVLGLVLGSSLVKILDQFPVGVLGVLLLFAGIELAICSRDMNSKEDAFVMLICTAVSLVGSSAALGFLCGIVVYLLLRLRKLYGGQSSSAICFHGNP >CDP09988 pep chromosome:AUK_PRJEB4211_v1:8:27534045:27542184:1 gene:GSCOC_T00030512001 transcript:CDP09988 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDFDSIPIDGHFITVGNLKEKIFESKQLGRGTDFDLVVTNAQTNEEYLDETMLIPKNTAVLIRRVPGRPRMPIVTAPVPESEEVKVESESGEVQTVKSSFLGVESSGMKYPDESEWDEFGNDLYAIPETLPAQPSNLVQEAAPPSIVDEDSKIKALIETPALDWQRQSADGFGPGRGFGRGMGGRMMGGRGFGRGGLERKTPPQGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEKEIEGLPSTRSVGDLPPELHCPLCKEVMKDAVLTSKCCFKSFCDKCIREYIISKSMCVCGATNILADDLLPNKTLRDTINRILESNNSSGDNGGSTFQVPDMESRNPQPKAPSPMQSAASKGEQVMPPPAQKEETSKVHEVVEEVKAVVAPQQTMEKVRLSKVADASEATHESVSVKEPASQGSAPLPDEEVQQKPVSSEAAKKKKKKKARLPLNGVAAAEMQWRAQDLAAENYMMPMGPAAYNPYWTGMQPGMDAFMAPYPGAAPYMGFGLGPLDVPFGGVLPQDPFGGQGCMLPFGPPQRDLADFGMGFNAGPPLMSREEFEARKANLKRKREIERRGESRELPPKDREFGREVSSSGDISSGKSKSKAVPPPSSSDNHRPRHRSDRPSPDRRSRDPELPRPSSKKNLDHDYDDHHRHRDHRSEHYQDSHQHHRDHSDDRHHLDSRHPRDHPDDRHRRDQPQRSSSSHHRPESAARPAPEPLAAPKTVSTADKKQKLSVFSRISFPAEDQAAPKKRKLTSSTEAPASGSGSHRGPSSNGYYEDHKAGSTTRKSSAPAAVDYESSDDDRHFKRRPSRYESSPPVAATKEWEEEPRHSKREREKERGSYGKHR >CDP13017 pep chromosome:AUK_PRJEB4211_v1:8:3208554:3209573:-1 gene:GSCOC_T00037749001 transcript:CDP13017 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIAQVEASHPPEDLYGAQGFIPLGFIRNPDGSITREGVDPINPVSSYDSSPILLVKDIPVNPSKNTWVRVFLPKEPIKSSPDKKLPLLIYIHGGGLIKCSAAHPFFDDIYSIFAADIPAVILTIEYRLAPEHRVPAAYEDCLEVLQWIKNSQDEWLTQHADLSNSFIFGNSAGGNIAYHVCLIASSCVDDLKPLNIKGVILHQPFLGGVKRTESELRGVNDKILPQAVVDITWELALPVGADRDHEFCNPMLSVKLGQFDAIKGLGWKILVAGYEGDPLSDRQVELAKILEENGVEVVAKFDKGGYHGIEFYELPKMKILCDVVKKFVESFANVTA >CDP16197 pep chromosome:AUK_PRJEB4211_v1:8:24159363:24161501:1 gene:GSCOC_T00017295001 transcript:CDP16197 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIALGNGREAIQPDVFQALVVEFIVTFLFVFAGVGSAMTADKLSGSPLTGLFFVALAHTLVVAVMISAGFRISGGHLNPAVTLGLCVGGHITVVRSILYWIDQCLASAAACALLKYLTGGLTTPPHTLASGVDCTQGVIMEIILTFSLLFTVYATIVDPKKGNLDGLGPLLTGLVVGANIMVGGAFSGASMNPARSFGPALVSGNWTDHWVYWVGPLIGGGLAGFVYENFFIVRSHVPLSNDETF >CDP12465 pep chromosome:AUK_PRJEB4211_v1:8:15570891:15574671:-1 gene:GSCOC_T00036047001 transcript:CDP12465 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILDFFSWSGKDSQKGTPVIVKMENPNYSIVEIDSPDTAFRPVEKNRGKNAKQVTWVLLLKANRAVGCVAWLATILWALLGTIQRRLIFRQGVGLASEKLGKGKLLLNIIKAFLATSLVFLTFEVVAYLKGWHYFENPNLYIPNSSDFQGFFHMIYVSWLAFRVDYIAPSIQGLSTFCVVLFLIQSLDRLVLCLGCLYIKWKKIKPRIDGDPFKSDDLEGSNKNFPMVLVQVPMCNEREVYELSISAVCQLDWPKDQLLIQILDDSDDEDIQQLIKSEVSKWSQRGINIIYRHRLVRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPTPDFLKHTVPYFKDNPDLGLVQARWAFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVKVLCEVPESFEAYRKQQHRWHSGPMQLFRLCLPTIITSKIPTWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPMWVVCYVPVLMTLLNILPAPQSIPFVAPYLLFENTMSVTKFNAMVSGLFQFSSSYEWVVTKKAGRSSESDLLAAAESDMKALYNSQMMRGSSDSELSEMNQLKEQEKPIPAPVKKMNKIYRKELALAFLLLTASVRSLLSAHGVHFYFLLFQGVTFLLVGLDLIGEQMS >CDP16175 pep chromosome:AUK_PRJEB4211_v1:8:23750015:23757258:-1 gene:GSCOC_T00017261001 transcript:CDP16175 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSPTAPKNGFPVKRLARQLDFTATPAMCTPLAANVILPEHPQAQLQSKLLALAKPAPPAILVSQPQVVSGEKSPPSRAMQQRRKPKVPVVMRLAHTVRRPTSQEVNKYGSPKLPDHRSIELRDATPKKKKQCNCKNSRCLKLYCECFASGTYCNGCNCTKCHNTSEHEAQRKEAIEIILERNPDAFQPKIAKSPIGTTDGSVEAYDVTIMGKHNKGCNCKKSGCLKKYCECFQASVLCSENCNCIDCKNFEGSKERDYVFHEGPTNDFRFVNQAINAAICGTSKFSYFNTLPATKKRKTEQLICRATSVDAVKDRIEQIRQENDLAPTTASFSPLSAPSAQPTTAMKFTHRPLLAEILQSEHLKELCSLLVVVSAEAAKKISGKKHVMNKGDIEEVETSISSAIQGSKDVHAQYRNESFNTSQVEGNLAYGCQSGEGDRETGSTASPETLPLMSNDRDVATEGADSPTGASNPKRNTTIKSPNREVLKEIDAEQERLVLKNFCGFLNKLITCTIIRETSHLSLSRNGLESQLEVVQICNSNPTIQTRKSV >CDP08235 pep chromosome:AUK_PRJEB4211_v1:8:25214759:25216802:-1 gene:GSCOC_T00027000001 transcript:CDP08235 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCISTASSEILNAESAQENVVYHEDTNYSDESQVRVGSIHSHQGSKGLNQDAAILYQGYGMEEGAFCGVFDGHGYYGHKVSKMVRNRLPSLLLNQMNAMAKVISPPKYNEKVNGEVQFSKRFNKWKDAFVSAFKVMDKEIKLLENVDCSCSGTTAVVVVRQGDYLIVGNLGDSRAIVGTKSENGIVPVQLTTDLKPGLPGEADRIRKCNGRVLALKEEPHVQRVWLPLDDSPGLAMSRAFGDFALKNHGIIAIPEVSYHQLSQKDQFLVLATDGVWDVLSNDQVTSLVSSAASEEAAAKAVVDASIAAWKQKFPNSKRDDCTVLCLFLQKSQPCTLT >CDP08375 pep chromosome:AUK_PRJEB4211_v1:8:26661039:26661969:1 gene:GSCOC_T00027184001 transcript:CDP08375 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIHHAREENRQRHIKVGRQVVNVPSFMVRVDSQKHIDFSITSPFGGGRAGRVKRKNQKAAAKKAASGDGDEENEE >CDP09810 pep chromosome:AUK_PRJEB4211_v1:8:29054461:29055999:1 gene:GSCOC_T00030278001 transcript:CDP09810 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPLVYENPTLKPQDTLSAKKTHLSLAITEAKCMANIAIPMILTGLLLYSRSMISMLFLGRLGELSLAGGALAIGFANITGYSILSGLAMGMEPICGQAFGAQRYKLLGLTLQRTVLMLLWTSIPIALIWCNMKRILLFCGQDHAIATEAQSYIFYSLPDLIALSFLHPLRIYLRSQSITVPLTFCAALSILLHIPINYFLVVVLNLGIKGVAISGVWTNFNLVISLITYVTFSGVAKKTWGGMSSQCLKGWRSLMNLAIPSCISVCLEWWWYEIMILLSGFLLNPQATVASMGILIQTTSLIYIFPSSLSFSVSTRVGNELGASRPEKAKLAAIIGLSSSFMLGLSALSFAIMVRNVWASMFTQDASIIALTSMVLPIIGLCELGNCPQTTGCGVLRGTARPKMGANINLGCFYLVGMPVAIWLSFFLGYDFRGLWVGLLAAQGSCAVTMLVVLVRTDWDLQAQRAKELTATIASFNTIDRNEDEDKLSSENTDHSLDSSDFRLIDASPV >CDP07864 pep chromosome:AUK_PRJEB4211_v1:8:19129719:19141986:-1 gene:GSCOC_T00025337001 transcript:CDP07864 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKTEKLSEDGESKRLRYGLSSMQGWRATMEDAHAAITDLDANTSFFGVYDGHGGKVVAKFCAKYLHQQVCKHEAYAAGDIGTSVQKAFFRMDEMMRGQRGWRELAVLGDKINKFTGMIEGLIWSPKSSDGHDQVDDWAFEEGPHSDFAGPTSGSTACVAIIRDNQLLVANAGDSRCVISRKGQAYNLSRDHKPDLEIERERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCEDDDFVVLACDGVWDCMSNQQLVDYIHEQLSSETKLSVVCERVLDRCLAPSTAGGEGCDNMTMILVQFKKSVESDEPAAEKAPEEVGTESQPSSENSLAPEEVGTESQTAQSGSS >CDP09849 pep chromosome:AUK_PRJEB4211_v1:8:28728273:28729936:-1 gene:GSCOC_T00030324001 transcript:CDP09849 gene_biotype:protein_coding transcript_biotype:protein_coding MAREILQKNGHAFLGRTIPDAVTAETDYEHSMAWLSGGPQWRKLRKLCNSQVFTTQRLDALRGLRHQMMENMVKRVSDAREAEEAIYIGRLVFGTTLNLLSNMIFVASQILQIFFPILKPLDPQGIKRDIKRSYDRLHALIENAIDRRTKRRASRSERSGDFLDALLDDSEEHGPDELDRRDVRLLLMDLFIGGTDTTSATMEWAMAELLHNPDKMAKAKQELNQKIGSRHPATSLFRCSRKRNDAASPNSSAPLLLTPPPPTPPKKKGDAPYGYLDYFSSKLKKNYRVRVRIRPVDAPYVIGVQVLKKVTGLVRLWLKTASRNFNCGLNFS >CDP07180 pep chromosome:AUK_PRJEB4211_v1:8:10078820:10083753:-1 gene:GSCOC_T00024338001 transcript:CDP07180 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQSRKWMILAASVWIQAFTGTNLDFSSYSSDLKSVLGISQVQLNNLSMASDMGKAFGWCSGVCLKYLPVWVVIFLAAFMGFLGYGLQWLVIQGHISLPYSLVILLSLLAGCSISWFNTVCYVLCINNFPANRPLALSLSISFNGVTAALYKLIVNAINSTDSTLYLLLNAIMPLIASIGILALVLQQPPPQALSGDAIHRESSVFLHLVILAACTGVYLLVLDIESVTSSASTLGAILFLILLLITTRIFCTQEWAQRTENSSIELQGTSFNSVDLGDLDIRQKLVEIEASSSPLIRSSGNAYDPEENRSCCDQKFFKDRLSVLGEEHSVRLLIHRLDFWLYYLAYFCGGTIGIVYGNNLGQIAQSLGYGSGISSFVYLYSACSFFGRLLSAIPDLLGDNMYYPRTGWLVLGLVPTPIAFLLLVSSGSQAALRTATAFIGLSSGFIVTAAVSMTAELFGPVSTGVNHNILITNIPLGSLLYGLLAALLYQRNIRSSNLLVLMDGSAVCIGRQCYSETFAWWSFISMFGVASGYLLFLRTRAVYSLERNRNWMQYP >CDP08211 pep chromosome:AUK_PRJEB4211_v1:8:24980435:24990679:-1 gene:GSCOC_T00026972001 transcript:CDP08211 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTYDPIMVTKNEKDTREASDHKGNKDLYITTTAQIWHKSGSCPEGTIPIRRVLRKNKSKGTTVDDHARKKPTISPYQFNDNKNMNLLQANHSLAILHTEGYAYFGAKGDIKVCYPSVELDDEYTTSQVALKSGPYNQYEALESGWVVNPSVYGDRQTRFFTYWTIDASVETGCFDATCPGFVQISKDIALGAAIYPISKPNELPYQITIFIFKDPFTGNWWVNYGDKVYIGYWPGELFDRLSFSAETVQWGGEVYSARVGTSPHTATQMGNGEYADRHAGTIRRMRVVQNSQIRIIIITKVLGLKCFTNLISSPLRNCKLGVKMSSHLMMLSIFIIFQSEDGDVIDCIDIYKQPAFKHPALRNHKIQVSFSSSSPEKSQNPDLHVDATPQLWQRSGNCPEGTIPIRRMPNNSESKETSVDDHLRKKPRIIPDPFMESKNTYLLEINRSLAILHAEGFAYYGGKGDIKVWNPSVELDDEYTTSQVALKSGPRKQYEAIESGWAVNPSVYGDRQTRLFTYWTANGIIGLSFVDGSVKTGCFDATCPGIVQVSKDIALGAAIYPISNPTGLPSQITIFIFKDPNTGNWWVNYGEKVNIGYWPKELFNFLSYHAVTVQWGGEVYSTRVGTHPHTATQMGSGQYSDWVSGNSGYIKRMRVIESFGALRFPDWVNSYADEFDCYDTFYISESMADPEFYYGGPGRNYRCP >CDP07901 pep chromosome:AUK_PRJEB4211_v1:8:20400489:20401487:-1 gene:GSCOC_T00025394001 transcript:CDP07901 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEKLIFFLSSIPLFVQLDEVLVVENATKYIKHLEERVDSLERRLDSTTGEEDEQSSVVLSEDTKSDSDSDSSLPRILVYISLRDVIISIQCKNHKNIIWETISEVEKQQLSVISFNTMSFGRAELVINILAKIKEGALINANDLRNDMRLVILNLTED >CDP18325 pep chromosome:AUK_PRJEB4211_v1:8:17610771:17616140:-1 gene:GSCOC_T00005438001 transcript:CDP18325 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQYQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHARFGHIMAPPQRKKEEESQLAKITRDSAKITVEQVHGLMSQVIKDILFNTVRQSNKSQTKSSGPDPMVET >CDP16185 pep chromosome:AUK_PRJEB4211_v1:8:23975489:23977693:-1 gene:GSCOC_T00017274001 transcript:CDP16185 gene_biotype:protein_coding transcript_biotype:protein_coding MENSADENGNMITKPLLDDDNNSSSAKGGFRTIPFILANESFEQVASYGLQPNMILYLTREYHLKMTTGSNIIFLWSAATNFKPLLSAIVADIFVGRFRMILFGCVFSLLGVALLWLTTMIPQTRPPPCDESSNNCSSATTLQLFLVFLFWTYVYWSWRN >CDP12256 pep chromosome:AUK_PRJEB4211_v1:8:31431651:31433874:-1 gene:GSCOC_T00035686001 transcript:CDP12256 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLPERLFGFVVSALAYCFFQYHHHQDSNYHHPKSSNNDNISYKMPKTKARPLSLQTVELKVRMCCSGCERVVKDAIHKLRGVDSVDVELEMEKVTVIGYVDRNKVLTAVRRAGKRAEFWPYPNPPLYFTSTTNYFKDTTSDFKESYNYWRHGYNAADRHGSLPVTQRGDDKVSNMFNDDNVNACCLM >CDP15684 pep chromosome:AUK_PRJEB4211_v1:8:7364067:7366958:1 gene:GSCOC_T00015651001 transcript:CDP15684 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLDQLSIFLREEGRLLGGLRQEVQLISDELGHMRAFLGQVREAAYDTEDVLDEFVARFADQHHATGFYGSVRKIFNSIKTLRARRKVAVQIQSIKARVKNVSEGHQRYQSEFGVATQAGESLAAVNNTTWRYSRDDALLVEEAELVGIDHPKQQLISQLLEGDDSQLKVVSVVGMGGLGKTTLVKKVHEDLDIRRHFPVRAFVTVSQPCNFQELLKDLTRQLHNDLKKPVPESIEAMTAYQLKLCVKDFLQQAGRYAIVFDDVWDVEFWNAIRFALPENGYGNRVMLTTRKADVASASCNKSQDYVYKMVPLSFEDSWTLFCNKIFKGNGCPAHLTDVAKGILGKCQGLPLAVLAISGLLALKDLNVAEEWEMVRRSLGGELEGSGMLDRVKKILSLSYNDLPCHLKTCLLYLSIYPEDFEIRCYRLVQLWSAERFVGKREGMTTRDIGFDYLRELVNRSLIQVTQIFYEGIPYACRIHDLVREVVLSKARKQNMITITTGQCTKWLSEKVRRLVVHSSSNNTEQHQESQCYSFNHLRSFITIESMNPLELPNEIFNLFRLRHLNLCRTGVKAVPKFIGKLRKLEYLNLAPSKLGGLLALQTLDTIDASSGSVVVKEIGKLTQLRRLQISNLRREDGKELCSSLATLTRLWELNIASIRNDDADYEVMDLNYHDQQQHSHSSSMPSTTFLQSLRMLILIALCWSRLRVEEDPLAPLHHLPNLVTIQFIGSYQGDGLCFKAGGFPKLKDLCLEKLEKLKWLKVEDGALPNLQELYLDTLPLLEELPLGIQHSRNLRKLYLSELSSQLMEKLENLNEETEDYRKIAHISEVVIVLWTDEEGWRLHRLWGKKM >CDP13452 pep chromosome:AUK_PRJEB4211_v1:8:1266139:1272215:-1 gene:GSCOC_T00038404001 transcript:CDP13452 gene_biotype:protein_coding transcript_biotype:protein_coding MAENKKTSGEVVVPISGDEKDPKKPPPAASPVRASVDSPSGAQRAPPKIPTTDTLTRRKSIARSVYSKPKSRFGEQSVPVDTNMFDEDISIIQEHAEPSCSSPYRNLSNQPSPNDKMGSSNANTLKETIRNVPITPKTPLMASPGGFGGADEDEEIYKKVSLRNKLRYHRVKVKVLIEWFVFACILGCFVASLTVHKLRQWTIWGLEIWKWCALVMVTVSGMLFTKWLVLFAVLLIELAFLLRKKVLYFVYALRKSVQFCMWLSLVLLTWVLLFRRGVERSHLATKILDYITWTMVTFLIGAFLWLLKTLLLKILASSFHVNAFFDRIQESVFHQYILLTLSGPPVMESAQMLGRANSTVSQFSFRRTKAGKDGKEKKEKAVIDINKLHQMKREKVTAWTMKMLVDVISNTGLTTFSGTLGESVYDGGNEQSDKEITNEEEAIAAAYHIFRNVAQPGCRYIDEMDLRRFMIKEEVDIVLPMIDVAETGQIDRKALTHWVVKVYNGRKALAHALNDTKTAVKQLGKLFTAILIIIIIIIWLLLMEIATTKVLVFLSSQLVLAAFMFGNTCKTIFEAIIFVFVMHPFDVGDRCVVDGVQMVVEEMNILTTVFLRYDNEKIYYPNSVLASKPISNFYRSPDMGDSLEFSIDFKTPLELIGTLKDKIKKYLEKNPSYWHPNHSVVVKEIENVNKIKMAIFFNHTMNFQDYGEKNRRRSELVLEIKRIFEELKIKYDLLPQEIHLVESKSVTTGSSR >CDP07858 pep chromosome:AUK_PRJEB4211_v1:8:18971681:18981562:-1 gene:GSCOC_T00025327001 transcript:CDP07858 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGGLASNGRQFKNPDEMWREEVGDQSKKSEWYNKGVSYWQGVEATVDGVLGGYGHVNDADIKASEAFLNALLAERFTNAGRGHHLVALDCGSGVGRVTKNLLIRYFNEVDLLEPVSHFLEAARGNLAPENLLVSDSYKAANFYCLPLQDFTPDAQRYDCIWIQWCIGHLADDDFVSFFKRAKGGLKPGGFFVLKENIARAGFVLDEEDKSVTRSDSYFKELFNQCGLHIWKMKDQKGFPDELFAVKMYALTTEIPKIVNPSKTRRQSKNRPGVIK >CDP07847 pep chromosome:AUK_PRJEB4211_v1:8:18644759:18650428:1 gene:GSCOC_T00025300001 transcript:CDP07847 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGGGSMEFMQKQVIPLAINLFLLCIHNAYCFYLPGVAPEDFHTGANLSVKVNKLTSTKTQLPYSYYSLPFCHPESIVDSRENLGEVLRGDRIENSPYVFKMREPELCHVVCRLILDANTAKEFKEKIDDEYRVNMILDNLPLVVPIQRVEQQAPPVYQLGFYMGFKGLYAGTKEEKSFLNNHLSFTVKYHKDLQTDSARIVGFEVNPYSVKHEYDGKWGGNNRLTTCDAHAKRTVSNSNAPQEVEDKQEVIFTYDVNFEESDVKWASRWDTYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHADVFRPPEKSDLLCVYVGTGVQFFGMVLVTMMFAVLGFLSPSNRGGLMTAMLFVWAFMGLFAGYASTRLFKMFKGAEWKKIALRTSFLFPATVFGIFFVLNALIWGQKSSGAVPFGTMFALVFLWFGISVPLVFVGSYVGFKKPAIEDPVKTNKIPRQIPEQAWYMNPIFSTLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIVTCAEITVVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLDISKPVSGMLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >CDP09931 pep chromosome:AUK_PRJEB4211_v1:8:28005157:28005959:-1 gene:GSCOC_T00030430001 transcript:CDP09931 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNTTRAIALFLCFNVLFFTFASACGGGCPPKPKPKPKPKPGKCPKDALKLGVCANVLSGLLNITIGNPPKKPCCTLIQGLADLEAAVCLCTAIKANILGINLNIPLSLSLLLNVCSKNVPKGFVCA >CDP12233 pep chromosome:AUK_PRJEB4211_v1:8:31262332:31265568:1 gene:GSCOC_T00035658001 transcript:CDP12233 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFAAKSNKDGTPVEKKGWFDYDYESFVDVSKRVIQGRNRTQQQQVVREVLLSMLPPGAPAQFRKLFPPTRWACEFNATITVPFFDWLVGPSEVVEVEVNGVKQRSGVRIKKCRYLENSGCVGMCVNMCKIPTQDFFTDEFGLPLTMTPNFEDMSCEMVYGQAPPPFEDDPASKQPCFADICSLANPNSSVCPKLQI >CDP13444 pep chromosome:AUK_PRJEB4211_v1:8:1329671:1333724:1 gene:GSCOC_T00038394001 transcript:CDP13444 gene_biotype:protein_coding transcript_biotype:protein_coding MDANPQYGPRTVEEVFRDFKGRRAGLIKALTSDVERFYQQCDPDKENLCLYGLPDEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFNMINDLPTVFEVVTGSAKKQSKEKSSVSNHSSNKSKTNSTRGSDTQGKYSRGVLKDEEEDGLDEEDEDHGDTLCGACGENYGADEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >CDP14970 pep chromosome:AUK_PRJEB4211_v1:8:8505490:8510702:-1 gene:GSCOC_T00042487001 transcript:CDP14970 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDLTHQLAMVIRHNENLKRQERNGAPAHIISEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDQHLELGYKARFCNILIRVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKIPAPAILKPRPLWTGKQVFNLIIPKQINLQRNAAWHQDDEPGPITPGDTQVRIEKGELLTGTLCKKALGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAQTMEKINETISHAKNDVKELIRKAQEKALEAEPGRTMMESFENKVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDFGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLESLKVKKGEFDSMYKYEIDDPNWNPDYMLPEAVEDLKTIREIRSVFEAEFQKLEADRLQLGTEIATTGDNTWPLPVNIRRLVSNAQKTFKIDFRRPSDMHPMEIVEAVDKLQERLKVVHGDDYLSAEAQKNATLFFNILLRSALASKRVLNDYRLTREAFDWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSIYLKHKASETKEKAKKVQCALEYTTLRSVTQATEVWYDPDPMSTIIPEDVEFVKSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDSDLTCIFNDDNADKLILRIRIMNDEAPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKQAKVNKFTQEEGFKTDSNEFMLDSEGVNLLAVMCHEDVDASRTTSNHLIEVIEILGIEAVRKALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILQMAALPRKRDISSCLTLDEIQSIMSTLSFVTARRPTFLAIHVFPLPDFPERTMVDTFRSMRSSRILVISCSTLFWSIANVPLQNTCIMVQWLHIPARRKEIVILLKSKLAMA >CDP14984 pep chromosome:AUK_PRJEB4211_v1:8:7936010:7939615:-1 gene:GSCOC_T00042505001 transcript:CDP14984 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKTHNPFPIEDPVSDTMKLLRDKPPVHYILQIDSFSLLLKILEKSDAKSYDSMTFEACGYKWKLSLYPNGDQKRNAKGFISLYLRIEETNALPVGWEINLNCTFFVLDQIQEKYLTIQDVCGKFRHLYALKKDTGLPRLMQLDVFKDEENGYLVQDKCVFGVEVSVNSYKGRGECLAMPVKPSSATYTWKIVDYSKSDEPRLSDAFTRQDFKWRLIMLLPRGTKRDEAKYLSLYLNSLDSKITLSVYAEFKLRVKHQLNGKDIEQTADHLFTSIQSYRGFGAFQPLNDIADTSKGFLVNDTLIVEVEFVRISSVKSFTEG >CDP16151 pep chromosome:AUK_PRJEB4211_v1:8:23472836:23475849:1 gene:GSCOC_T00017232001 transcript:CDP16151 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAETGFSPVGFLPSMGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCASCGYPAARLRKYNWSIKAIRRKTTGTGRMRYLRHVAVRFKSNFREGTVAAPRKKVAADAAS >CDP13530 pep chromosome:AUK_PRJEB4211_v1:8:593881:595319:-1 gene:GSCOC_T00038504001 transcript:CDP13530 gene_biotype:protein_coding transcript_biotype:protein_coding MANMIMASSKALITCSSTILPTPKLKLSPVQLSFPKLPLSNVPKPHQLLSLPNSISVILAASLAMAPPSLAAEIEKAALFDFNLTLPIIAAEFLLLMVALDKIYFTPLGKFMDERDAAIREKLSSVKDTSGEVKQLEAQAAAIMRAARAEISAALNKMKKETQLEVEQKLAEGRKKVEAELQEALASLEKQKEDTIRALDSQIAALSDEIVKKVLPAR >CDP08272 pep chromosome:AUK_PRJEB4211_v1:8:25541852:25544264:-1 gene:GSCOC_T00027049001 transcript:CDP08272 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSGSNDASISSGNRLEIGAVYPQQQQQPPLASSPTNQSAQPASKKKRNLPGNPDPEAEVIALSPRTLLATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEVIRKKVYICPETTCVHHDPSRALGDLTGVKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAITTNNHPLGLLGGGGNSTTSSVQLHLQNHPLHGGGLPVFPLKREQDQLQLQLQRQQSFNSNLRPPELPPWLSDPGGGPPTSHDLFSNPRLDQTMNHHHENVNNPNPNPTLSSSFQAAAASASPHMSATALLQKAAQMGVTISKPASSQSAAGADEVAALASATTTMLRPHQAAHVSTGLMGSTSIILATSTAGSSLGLASREDQMGIGSSSGSGLMHHHGLMASFGNKTSAITSAEGGVFGGRGNNINDGLTRDFLGLKAFPAARETFLSLDDLHQLGGSSFNDQQGHHNPAAPW >CDP12144 pep chromosome:AUK_PRJEB4211_v1:8:30585666:30586960:1 gene:GSCOC_T00035540001 transcript:CDP12144 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFPTSLTVQTMARYSATVAFTFTLVLLFLVTVSHARVPSDIPEGDVIDPALTRSHAGSDASSVLHLPSDRVNDDVSRSNQPAVDIPESVSISVGSIPLRVSTFRPINRHFPISSSFRFRNCRHHHQHHHDRPFFKPIGQQQQQHVKVPYGNDMILSTGESNELDPFIFHHGRMRRIPAKLVKFHHHHHDDHHEEDDDGFSKFVSKHSHRFGEEKFKKHLRHHEEEEDEDNREEMKERVGKREEEGSFMKRIRKFLDRF >CDP13403 pep chromosome:AUK_PRJEB4211_v1:8:1916881:1920104:-1 gene:GSCOC_T00038330001 transcript:CDP13403 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSATGNSSDSIPSLTQAAPLEAVLFDVDGTLCDSDPIHYYAFRELLPETGFNGGVPITEEFYVQNFAGKHNDDVAAILFPDDIERGLKFCEDKEAMFRRLAKEQLKAVDGLYKLRKWIEDRGLRRVAVTNAPKPNAELMISLLGLSDFFEAVILGSDCTRAKPFPDPYLKALDILQVSKDHSIIFEDSFSGITAGVAAGMPVVGLTTRNPENLLMQAKPSLLIKNYEDPKLWAALEEFDKRAGRTIDTAFANK >CDP07193 pep chromosome:AUK_PRJEB4211_v1:8:10456054:10457762:1 gene:GSCOC_T00024361001 transcript:CDP07193 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCHLPACSGACCNILLSSGIRFSQAHSLLTAQGAATFAVGCIGTDLVPFVCLSSSFVVSSLLFLLFSFPVRADPLFKFPLFIFFPWRSYFFPLSGDVQLSVFLLSHCLLSTQGGAIFAVGCSCPDLLPFVWISSSSFVVSFLRLFFLSSQLQSLLQVSFFLLFFFFFCLEFVCFDAIIADLQSQLQSLDASLSDASDKLTLADQEKVRFL >CDP14469 pep chromosome:AUK_PRJEB4211_v1:8:13933128:13940140:-1 gene:GSCOC_T00040964001 transcript:CDP14469 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCISMALSDFYSSHSDYKTRIVLNSRDSKKDVVGAAAAALDLLKNTEVQAIMGPVSSVQAEFINDLGDKAHVPIISFSATSTLLSPLSSPYFIRATQNDSSQVKAISSIVKAFGWREVVPIYVDNQLGEGILPFLTDAFDKINTRIPYRSVIPSLATDEQIVAELHKLMTIQTRVFIVHLLPSLGSRLFAKAKQLGMMAAGYAWICTDAITDELNSIDPSIIDTMQGVLGVRPHVPNTAELQSFIKRWKLKFQHSNPDIVNPQLNVFGLWAYDSTTALAMAIEEAGVSNIGFDQMSDISGNTTDLESFGVSRNGPKLLQAMLGTAFQGLSGDFIIVDGQLESPVYDIVNVIGNGIKEIGFWTADKGIVRQINPITIKKLGTILWPGDTATPPKGWAIPKNGKKLRVGVPVNARFSQLVKVTRNSQTNTTMVEGYCIDIFDAVMALLPYAVPYEYVPFATSDGMSAGNYDDLAYQVYLGNFDAVAGDIAITANRSLYVDFSLAYTESGIAMIVPTDNQSRNTWIFLKPLTWDLWLTSFLAFIAIGLLIWVLEHRINDEFKGPPWHQIGMILWFSFSTMFFAHKEKIISNLARFVLVIWFLVVFILTQSYTASLTTSLTVQQLQPTIRSVDELIKTRAYVGYQNVSFLSKILLQMGFDESRLVAYHSPEELNDLFTKGSGNGGIAAVFDEIPYMKLILGTYCSKYTMVQAAYKTDGFGFAFPIGSPLAPDVSRAILNVTQGSQILEIEKKWHLETSSCQDFNTSSTPGSLDIGSFRGLFLIMGIVAISAFIIHWTMFLYEHWNVVTNYSSSTWDKIIGLSRCFDCKDAPSHTYRKPDMRGEKTTPGGDKEVSCRPHCSGSPVTISLQASPHTIVPSNPSFSSRMEHNLTISEEREILSCESESPNQEGNTPQ >CDP12059 pep chromosome:AUK_PRJEB4211_v1:8:29944878:29947919:-1 gene:GSCOC_T00035433001 transcript:CDP12059 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNQKDPEKFIWDQMRSPSGTPIGVTGSHNRALPKFMVWLILFVSATYVVYTLKLLNNSRSCDDDFLPTHHRKEKTGLDHIVFGIAASAKLWDKRKNYIKLWWKGEKMRGIVWLDNPVKSREEESDTLPELRISGDTSKFAYTNRQGHRSAIRISRIVSETLRLGMENVRWFVMGDDDTVFVTENLVRVLNKYDHNQYYYIGSLSESHLQNIYFSYGMAYGGGGFAISYPLAKALEKMQDSCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVAPFVTLHHLDVVEPIFPNVTRVQALQRLLVPMKLDSAGLMQQSICYDSHRSWTVSVSWGFAIQIFRGVLSPREIEMPSRTFLNWYRRADYTAYAFNTRPVMRNPCQKPFVFYLSRARIDSSRNLTITEYVKHRVPHPACKWKMADPAQLDKVEVYKKPDPELWNRSPRRNCCRILDSKKKSLAVEVGVCREGEISEIQ >CDP16163 pep chromosome:AUK_PRJEB4211_v1:8:23629484:23629894:-1 gene:GSCOC_T00017246001 transcript:CDP16163 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFYSFKSNGKKSSSLIPSIQTLCQEPAFKSSLLTKNTFEHKENGEVACVGEETFPEKEGGCSTSI >CDP07153 pep chromosome:AUK_PRJEB4211_v1:8:9445234:9446433:1 gene:GSCOC_T00024287001 transcript:CDP07153 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAILLLLSVMAVSGVSPTVYTVGDVGGWSSLCVVNYTEWAAAIEFQVGDILYFEYDPGFHNVVQVRREDYHACNAKNPIAAYSSGKDYIKIKSPGHYFYICGFVDHCKLTDQKVDIRVPKHH >CDP16154 pep chromosome:AUK_PRJEB4211_v1:8:23491691:23494459:1 gene:GSCOC_T00017235001 transcript:CDP16154 gene_biotype:protein_coding transcript_biotype:protein_coding MALASARSIIHSTKIKQFLIISSISRLFLVRGNSSLAAKLVQVPLSRIKETLDSEEKNHFSTLKSQDFSWDGLVSALLSSSFPRKANLVVEWRLEKFIKENEKNQDSYSQLILLCGKIHNIETALRIFSAMEAQGIKPTASVFNGLISACLASNEIVTALSLYELMEISEESKPDADTYTAFITAYASSGNKEAMQAWYSARMDAGYTPDPQTYDALIFGCVKSKDFSNAEKFYEEMTSTGFVPNLSILQNMLLVYSEQRKFHKIKEFMMFVLDGSGNIDRRTGKKVVTLYLDLGRVEDLEELLVVLSNSNQASDILSYVHHAIIRMYVRADRLDDVEFAVGRMLKHGMSFRFPDDVENVICLYFRQAAYERLDLFLECIRDSFTLRRSTYDLLVAGYRRAGLQEKLDMVIDEMKQNGFVMS >CDP13476 pep chromosome:AUK_PRJEB4211_v1:8:1037175:1039644:1 gene:GSCOC_T00038433001 transcript:CDP13476 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGEKGSLSLMNKGGVKSSAYVHGMSCISTIDSPEICNEDGRCLVEEKVVGKETKREDFEAMNTCCSSSSTSSIGKNSDVSGRSVENPGDSAEVQSSYKGGPLDAMEALEEVLPIRRGISSFYNGKSKSFASLADAASSTSSIKDIGKPENAYIRKRRNQLACSLAWDSNKSRSSPLRSNSGGVSKRVINSGRTSLALAVTMSSSGIYHAKNENASPWPKDVISASPPSLLGACHHSQFRDYHLHNGSSLAAPPPRQNFSAWRSLSLADLQQCVSITAACTSSSTSRLDIKPS >CDP08217 pep chromosome:AUK_PRJEB4211_v1:8:25035428:25037299:-1 gene:GSCOC_T00026979001 transcript:CDP08217 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFDGVKIKMKAKQIEVEGPHRKLTCNFKHLNLDFQLITDKATGKRKLKVDTWFGFRKTTAAIRTALSRVENLITGVTKGYCYKMRFVYAHFPINASITNSNCSIEILNFLGEKNVRKVDMLEEVTVIRSEKVKDELVLDSNDIELVSRSAALINQNKDTRKFLDGIYVSEKGQIAEEE >CDP12263 pep chromosome:AUK_PRJEB4211_v1:8:31507369:31508452:1 gene:GSCOC_T00035700001 transcript:CDP12263 gene_biotype:protein_coding transcript_biotype:protein_coding MINSTRKETLFFLQILEIFSSGGSSINFFLHCHIITNHVHRSLSMKDVEQLVNDSQGMAPIPLKKDNQENMADRMAHTKEEDGDVTSDKGRRQISGELNTFWTQTKG >CDP13471 pep chromosome:AUK_PRJEB4211_v1:8:1106187:1106669:1 gene:GSCOC_T00038428001 transcript:CDP13471 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWEKNENRELKRNLQNKGYDPLRPIENQSSKTGLKKLVSREEGSHKMDQGATWRSSRPSSYDQRVIAICLALAAVISPLYIDRIKETEPEPEEETINISSYLPLLLLILIMSIAVSRYLDRSFTSFDPNWIHRVCGSSTGIIILLLILASVLKFKSS >CDP08208 pep chromosome:AUK_PRJEB4211_v1:8:24925886:24934014:1 gene:GSCOC_T00026968001 transcript:CDP08208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin interactor EPSIN 1 [Source:Projected from Arabidopsis thaliana (AT5G11710) UniProtKB/Swiss-Prot;Acc:Q8VY07] MDFMKVIDQTVREIKREVNLKVLKVPEIEQKVLDATDDEPWGPHGTALAEIAQATKKFSECQMVMNVIWTRLAETGKNWRFVYKALAVIEYLVAHGSERAVDDIVEHTFQISSLASFEYVEPSGKDVGINVRKKAETIVTLLNDKDKIQEVRNKAAVNRDKYFGLSSTGITYKSGSASFSSGSFQSGDRYGGIGSTKNGDSFRDSYKDRDRYDEDKFEQSTSVKPRRDSESSQGKTSRKGSSRHGSKGQETKSADVLRTTKNTDEHDKYASMPQGSSHLTNNDDDEFDDFDPRGTSSAKPSTGTSHQVDLFGQDLLGDFMDAPTPASTEKSTTKADQSDVDLFADATFVSATSPTEAIASSGGQKSVDLFASQPAPSSAVSSTVDFFAAPDPVTVTQSDAKSTNQMTSSAVDPFAAVPLNNFDASDPFGAFAQNPANDSSPSSLNGTPLDKPGSQSNLNGSSVDSKPPPKKDGFQVKSGIWADSLSRGIIDLNIAAPKKVNLADVGIVGLTDGSEEKEKGPPPSFYMGRAMGTGSGLGKSGFPSASSEGDDFFSSLSSQQYQFGGFKK >CDP08207 pep chromosome:AUK_PRJEB4211_v1:8:24919141:24920578:1 gene:GSCOC_T00026967001 transcript:CDP08207 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKTKVKRGPWSPEEDQILKKHLLDSGTGGNWITLPQKAASSNYPSFFVTSNIVLNRRWSIIASQLPGRTDNDVKNHWNSKLKKKQLAASFRKPASNTTNDNSSRPASFTSTTSTTTPYSLETQAGAFHPNFSAPLSNLSTEDCTDISVGTEGSKVCSSISKTIEYMSSSSQAQEDSIISESTSFGTITNTINNSMPWLDDYGAIDRGLPMEMTGTAAYSYEILSGIWSRETVLEALHDPYF >CDP09973 pep chromosome:AUK_PRJEB4211_v1:8:27682951:27685912:1 gene:GSCOC_T00030494001 transcript:CDP09973 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGFTPASLIILSSLATFVILLPFSVIFERRLWPRKFRLKLWVQLVLISFGGVTLFQSLFLKGISLTSPAMATAMPNIAPGFIFFIAWALRLEKVELGCIYSKAKIAGTLLCVAGAVIMSLTQSTLGSHKAREAHLSVPPTLSRNLFDERKIMGCFYLMAAVFVLSSTIILQATTLRNFPAPISLSTITTLIGVVLTGIAQLIQDGRIDIGWPLLSIKDIMCYSVLAGSISGACVTFNIWAMKKRGPVLVSIFSPIGTVVSLAFSVITLGSSITVGSLAGMSIMFTGLYSVLWAKGKEGFSENNNSSESEYDVEKPLLS >CDP09971 pep chromosome:AUK_PRJEB4211_v1:8:27711140:27717335:1 gene:GSCOC_T00030490001 transcript:CDP09971 gene_biotype:protein_coding transcript_biotype:protein_coding MWQHGTAVNPESSTGYGQNQQLKNHYGTNVLGNNRVNQQNSSNYGGTIPYHERGSQSQKEFSAVGGSKNFVHAPNFSQQFYQASIEQSEQKHVSDEYFANQNSINFPQQQFTGTHQFSYAPTAGRSSAGRPPHALVTFGFGGKLIVTKGTDSIGGSSYGSQNPVGSSISILNLMELVNEKDGASARGFGVSDYFRSLCQHSISGPLHGGGGGIKELNKWIDERVGISDTSDLDSKKGEALRLLLSLLKIACQHYGKLRSPFGADTLSKENDTPEAAVANLFASAKKLGAQFSNYGAIAHCLQNLPSEGQMRATASEVQSLLVSGRKKEALHCAQEGQLWGPALILAAQLGDQFYAETVKQMALRQLVAGSPLRTLCLLIAGQPAAVFSTDDSAYSSMPGAVNIAQQPAQFAAKGMLDDWEENLAVITANRTKDDELVLIHLGDSLWKEKSDVVAAHICYLVAETSFEPYSEKARLCLVGADHLKYPRTYASPEAIQRTEIYEYSKVLGNSQFILDPFQPYKLAYAHMLAEVGRISDALKYCQAVLKSLKTSRAPEVETLRQLASSLEERIRSYQQGGFSTNLATTKLVGKLLNLFDSTAHRVVGGMPPPVPHAPGGSMQSPEYNQQIGPRVSTSQSTMAISSLIPSGSMEPVSEWASDSNRRTMHNRSVSEPDFGRSPRQDQVDSSKGESSSNGQGETAGGVTSRFSRFGFGSQLLQKTVGLVLRPRQGRQAKLGDTNKFYYDEKLKRWVEEGAEPPPEETALPPPPTAAFQNGTSDYNLKTALKSEGSLGNGSPEFKSPTLDQVPGIPPLPPTSNQFSARARMGVRSRYVDTFNKGGGNQTNLFQSPSISSTRPAASANAKFFVPTPVSSVEDTFDNSSESTQDTTISNEIPSSSAVNDTFHSPAPSSSMNMPRFGSMGSISTKVRSANGSFSAPSRRTASWSGSFEGNSSPPQRAAVKPLGEVLGMPPSSFMPSDSLMHSSASGGSFGDELHEVEL >CDP08171 pep chromosome:AUK_PRJEB4211_v1:8:24538048:24544893:1 gene:GSCOC_T00026918001 transcript:CDP08171 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSSRSSSSVERDGDLIDFVTRIWNKTASEPYYLLHSLVFFSYIPIRFSAAGVLSPSRSGTLLIREIQAVVAFCILTVIKLVREETWETFIANTFFFAKIFLAAIAFVMDYHVALWYTLAFLVIYLSAQQPPHEGLGDLNHLTPLQLETLLTEGNTSRFWLVEFRASSVSSCIRTSSFFPELSIIYSNKRLSFGTVDLGLFPNAAEKFGISLDSLNQLPIYKLFDNGTEVSSLSEVDFETYILSPSLTKKHLCRHFELDKLLLDYVNGK >CDP12152 pep chromosome:AUK_PRJEB4211_v1:8:30659720:30664027:1 gene:GSCOC_T00035550001 transcript:CDP12152 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSSDLRSRIETESELIRMCIEAATESGNAVEAWRRQRRTLERMPSLLAGALLHRLLHRRLLFPSLLEVFKHNVEEIDLRGENCVDAEWMAYLGAFNYLHSLNLSDCYKVNSAALWSITGMPNLKELDLSRCSKITDAGIRHLSSIPSLERLCISETGVTAEGIMLLFSLTNLRVLDLGGLPVTDMALSSLQELKKLNFLDLWGSEISNRGAALLQVFPKLSSLNVAWTKVTKLPILPSLAHLNISNCTITYLFKGEGDKARLAKLVASGATFADVCGALSNVETSLVSHLDLSNAHIDSFYFLPHLSSITYLDLSGSSMADDSVANIACIGAKLRYLNLNNTKVSSAGVGILAGHVSNLETLLLSFTFVDDSALPYIGMMPSLKAINLSSTRIKGSINHEGSDSAAVPSFLALKDLTNLERLELGGPQIKDAALFPLSSFHSLKHLSLQSGILTDESLPHFSAMQNLEHLSVRDAVLTSAGLDSFSPPPALRVLDLRGCWLLTEDAIFLFARKHSKLEVRHEHVSMSLSDTGGSSYLYPSEATSKTSQSKQRQKISLLPSRFDNSALKSYPSKQRQKMTHDRSRLLETDQRLKYTREELLALQFSSTLF >CDP12045 pep chromosome:AUK_PRJEB4211_v1:8:29799947:29803974:-1 gene:GSCOC_T00035412001 transcript:CDP12045 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT4G11400) UniProtKB/Swiss-Prot;Acc:Q9LDD4] MEGWSNLRDESGLREVKIIQDCERKKESASDHDFSLVECGFDECKNRLRGLFNDVLVNFLRERSVNKCIRPLPVLCANDELVDLFKLFWVVRKLGGYDSTSRKNLWGFVAEECGLGFGAVASVKLIYVKYLNELDHWLWQGYSDSIEDRFAKNLDELVDELRKGSADLMEVQRKVEKEDVKRVKSKFDKSRNATDRSKRSVHYSSGANINEVHDSSEGCVDGSEKFYLDSDNDLVSSAKQVIQKVIKEVNGFSKEKTCDDDNKTCSQNENDVSLSGEKVIEKAIINLHDTKVDTPEQGFDVQSSGNVMLSTRNAVDKVVDSRKRKRESSSLSAMLSWVKNTAKHPDDLSIGRLPECSKWKRHGNQEPWFLALLAREARLIKRDANLKVESSFQQKKLRMHPSMYEEDVLNHQSVEKSRCSQRLPVAKCQSCSCCNLPPHCKVAVPQKAEQGCSAKESLLLNVEDSDRNKIDHISMDDPPEREVCVGPEFQAEVPEWTGVIVESDPKWVGKQMWPPEDVKDEPVFGLDRIGKGRPNACDCPFPGNVECIRFHIAEKRLKLKRELGLLFYKWRFDHMGEEVSLSWTAEHEKKFKDMIRLNSASTNKFWSNAFRIFPSTTRDKLVSYYFNVFLVRRRSYQNRVTPKDVDSDDDERECGLIGDRFGYKAIYVPESRLPICFENKQCAELD >CDP21022 pep chromosome:AUK_PRJEB4211_v1:8:9357143:9359481:1 gene:GSCOC_T00007026001 transcript:CDP21022 gene_biotype:protein_coding transcript_biotype:protein_coding SNGTMEVEDIGDVVVTELHHRSLFQDVRKDEFGSVLTFKMHDRVHDLAQFVMEAKHGGTESIRTIRHLALDFPYDEQIVAFPIKRMRGTDQYSSFLSECGSLRVLNVRTAWHVNLSGSQIVELPNSICGMWNLQILNLNDCEHLRSLPKGMRFLRNLRHLCLRGCWNLTHMPSGIGELSCLRTLSMVVLGGKKGFQLSELRGLNMLIGELSIRHLERVEDKKDAEEAWLIGKQSLRRLCLYFNWDSERTLQRYNDEEVLEALKPSPILQFLHIEGFNGSSSFPSWISTVTTVRVFNSAPEYIVGAQESTATAATDNPKGMLKALVLWDMPNLKGMLGREVQGTPGIFTQLQYLSFVDCPTLMLPLPRMPSLKELDVNMCPNMAWASISNLTSLNSLEVGNIEGLSCFPEEMLQNLSLLESLEIKQMKDLRALPRSLASLTALKELAIEECPKLGSLPKELHLFDCFNLVSLSMGTKALESLTHLRIQGSYATALPEEVKHFPALQKLDLRDFPNLTSLPDWFGDHLTSLRDLTLCMCPKLETLPSNIQMMTTLQSLTIENNTTILGCDLLGPRCERGGEEWHKIKHIPDRRLRNKLFVHYPVSVEKANTSTQFIPYPVDMIC >CDP07868 pep chromosome:AUK_PRJEB4211_v1:8:19177646:19181436:-1 gene:GSCOC_T00025342001 transcript:CDP07868 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFRIGYSFLLSLFLLLLVHAPSAQLVPAETRILYRVQQFLEYPDVLKGWNNWTNFCSLPQSPSLVILCSGNHITELTIVGNKSSPSKGFSIDSFFTVITKLSNLKRLSLVSLGIWGPLPAKVDRLDSLEVLNISSNFIQGGIPPEIATFKNLKSLVLADNLLNGSIPDLKGLSQLEELDISNNPLGPKVPSLGNNLVRINLRSMALRSQIPPDFIKFSRLQILDISSNKLQGPIPSFLFSLPSIESINLAKNQLSGELRASVSCHENLTFVDVSNNLLIGMLPSCLASNSKNRKVIDSFNCLSKNTSSKYQRPSSFCHKEALAVQPPTRKQKERTTVKLGLVLGVIVAVVAIIAVLGVLVLVVYRRLERNRAKEYKCESFVFDKNAAHTSPVVHGRHVPRTMRIVSLNLPPYHVFTLEEIEDATDNFDAANLVAEVSQAQIYRGWLRDGSAVLVRCLKLKQKYSPQSLQQHMEVISRLRHRHLVSVLGHCIVTYQDHPNTPSKVFIVLENVANGSLRDHLTDWRKREILKWPQRISIMMSIAKGIQYLHTSGVIGNDLKIENILLDESLSAKISSYNLSLPSKVGSESPLNGQDSSLSSSENTDKDDIYQLGVILLEVITGRPIISPSDIDDLKLQLEICLAESPSKLKDLTDLSIRGTFAYESLKTVAQITISCLNKESSSRPSIEDILWHMQYSVQVQEGWNTSSGNLATKF >CDP09891 pep chromosome:AUK_PRJEB4211_v1:8:28388408:28390141:1 gene:GSCOC_T00030372001 transcript:CDP09891 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAE5 [Source:Projected from Arabidopsis thaliana (AT4G12250) UniProtKB/TrEMBL;Acc:A0A178UUD1] MTQLKPILSHLDAIPSTPGKCKPDKTSPYHSTFHRLRLHSALLPRRTLWSFIFLFLILLFLFLSPPSATSTASNASVNGGKRRSLHTAAVVGPSLGPNWENRAWASARPASKSGLSVLVTGAVGFVGAHVSLALKKRGDGVVGVDNFNSYYETGLKKARNSLLEKSGIFVVEGDINDAALLRKLFETVKFTHVMHLAAQAGVRYAMKNPMSYIHSNVDGFVNLLEICKAANPQPSVVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYYFFTKDILRGKEIKIYEGVDHGTVARDFTYIDDVVKGCLAALDTAKKSTGSGGKKRGAAQFRIYNLGNTTPVPIGRLVSILEKLLRVKAKKKVIQMPRNGDVPFTHANISLAHKELGYKPTTDLEAGLKKFVKWYVGYYGSKKKSAW >CDP08153 pep chromosome:AUK_PRJEB4211_v1:8:24410033:24414538:1 gene:GSCOC_T00026895001 transcript:CDP08153 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFSATSTWGSPTYMTLLEKFDGPKNDRSVFGNVNCKKRDLTIANVASKLPAVAPPAPPPTTTKTVENECKLRAWTSIQQERWEGELEVEGEIPLWLEGTYLRNGPGLWHLGDYNFRHLFDGYATLVRLHFENGRLIMGHRQIESEAYKAAKKNNKLCYREFSEVPKPDNFLSYIGDLAKLFSGASLTDNANTGVVKLGDGRVVCLTETIKGSIVVDPTTLETIGKFEYDDNFGGLIHSAHPIVTDEEFISLLPDLINPGYTVVRMEPGTNERKFIGRVDCRGGPAPGWVHSFPVTEHYVIVPEMPLRYCAQNLLRAEPTPLYKFEWHPKSKGFIHVVCKASGKLVASVEVPLYVTFHFINAYEETDEDGRIKAVIADCCEHNADTTILEKLRLQHLRSFNGEDVLPDARVGRFIIPLDGSRYGKLEAALNPDEHGKGMDMCSINPAYLGKKYRYAYACGAQRPCNFPNTLTKIDLFEKKAKNWHDEGSVPSEPFFVARPGATREDDGAVISVISDKNGDGYALVLDGTTFEEIARAKFPYGLPYGLHGCWVPKK >CDP07867 pep chromosome:AUK_PRJEB4211_v1:8:19174411:19176276:-1 gene:GSCOC_T00025341001 transcript:CDP07867 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQISQLVRNGLYREVLALYSQLHSASVPASFLPKNFAFPFLLKACASLKALSQAQMLHAHIIRTGFQFQMHTATALTNVYMKLKLVEDATKMFDEIRKPTIDLCNAVISGFAQNGFCKEALNMFQLISSRRIRPDSVTIASGLSGCSDAEQGVQLHCLAIKIGVETNIYAATSLLTMYLNCGDLGSAEKVYGLIHCKNVVCYNAYMSGMLQNGAHLQVLNVFNEMRGSSSGNPNLVTLISVTSACAKLKYLRFGRQVHGFTMKGLLRFDTKVGTALVDMYSKCGSSDSAYGVFKELGNGRSLITWNSMIAGMMLNDQSELAIELFVQLEDNQLTPDSATWNLMISGFSRLGQEAEAFLFFRKMLSENILPSLKSVTSLVTACSALSALHFGQEIHAHVLRSGISDDEFLATALVDMYMKCGKTTLAECVFNQFNIKPRDPAFWNAMISGYSRNGRSEDGFKLFYQMLEEKVHPNSATFKCMLSMCSHTGEVDLGWQIFRSMVVNYGLQPTSEQLYIMIDLLGRSGQLKEAQQLLGGITDPSASVLASLLGACEQHADFELGEEIARELSALEPDNPIPFVILSNIYAGLEKWKDVERIRDIMSKRQLKKLPASSTIGVI >CDP13054 pep chromosome:AUK_PRJEB4211_v1:8:3714482:3716155:-1 gene:GSCOC_T00037814001 transcript:CDP13054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15300 [Source:Projected from Arabidopsis thaliana (AT5G15300) UniProtKB/Swiss-Prot;Acc:Q9LXF2] MIRKATANKSCKRHQRSNLWRNCTNFRTLKQIHALMVVNGFNSNSHALRELIYASAIALPSAIHYAHQLFAEISEPDIFMWNTLLRGSAQSSKPSVTMPLYAQMERHYVRPDCYTFQFVLKACTRLSWVNSGKVVHGKIVKHGFEWNKFTRNTLIYFHANCGEIRIARALFDDMAKRDVVASSAMTAGYARRGELSMARRLFDEMPEKDLVSWNVMITGYVKQGEMESARELFDMVPKRDVVTWNAMISGYVLRAEYQQAFELQREMRSAGEYPDEVTMLSLLSACAESGALDVGEKLHSSILDMDEGEMSIMLGNALIDMYAKCGSIEKAFQVFHGMKEKDVTSWNSILGGLAFHGDPEECINLFEKMRRTKFAPNEITFTGVLVACSHAGKVDAGRRYFNLMKNQYKILPNIRHLGCMVDMFGRAGLLEEAFEFIDTMEIKPNGIIWRTLLGACKIHGNVQLGRRANEALLKLDHDESGDYVLLSHIYALKGEWDGAEKVRKLMDDSGVKKEVGCSLIESENRAFLPFVLDSKRQIESRNRTIVDVKAKNVESVT >CDP07882 pep chromosome:AUK_PRJEB4211_v1:8:19578788:19581907:-1 gene:GSCOC_T00025369001 transcript:CDP07882 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSNLIAFFLFLAFVLALFKEWRRSQAAKKLPPSPSKLPIIGNMHHLIGSQPHQALTKLAQKHGALMHLQLGEISSIIVSSSHLAKEIMKTHDLSFANRSEILLSKIIMYNSSDIGFCPYGDYLRQVRKICALELLSAKKVQSFGSIRQDEALHLVKSIRNLADAGEVIHFTDKVHSYTCSTVCRAAFGKVSKDVHSKFLQLMCEIVPLSSTFDISDLLPSFKMLHPLLSVKRQLVKIHLKMDRLLVNIIDQHTNNLQRNMSTGEYGNEDLIDVLLRVKHSGDLQIPITNDNIKAIVIDVFSAGTETSSTTVDWAMSEMIRNPSVMAKAQSEIRTAFKGKQMFEEDDVQELKYLKLVIRETLRLHPPLPLLVPRECREECEIDGYVIPVQTRVLVNAWAMGRDPQYWDDPESFKPERFENNPVDFAGTHFEYLPFGAGRRMCPGIQFGLANVYVPLAFLLYHFNWKLPNGLDPNDLDMSESTGITASRKDKLRLLATPYDPSEGNYNPKMIRIFISQKHEVKVRNKLAGRHGNKGIISKILTTWKTHRASKFPR >CDP18852 pep chromosome:AUK_PRJEB4211_v1:8:17109290:17123913:1 gene:GSCOC_T00005857001 transcript:CDP18852 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNVTTVEQVTDVDDGVLLPDYNQPPPPTPFIGGSVIPIVNKLQDIFASLGKDQHEQLSKLKLPQVAVVGGQSSGKSSVLEALVRRDFLPRGCDICTRCPLVLQLENRPALPGDEDDGLEWGEFRHLPGRKFYDFSEICREIQVETEREAGLNKGVSDKEIRLKVSSPNVLNITLVDLPGITKVPVGDQPNDIEARIREIINSYIRQETCIILAVTPANSDLATSDALKMAREVDPAGSRTIGVITKLDIMDRGTDARKFLLGTIIPLRLGYVGVINRSQEDINKNRSIASALAYEEQFFRDNPVYNGLKNSCGIQHLARKLNQVLEQHIRVVLPNLRKELNNQFVTVAKELHALGEVMETKTEQGAILLNILTKYCEAFSAMVDGKSENLSTTELSGGARIHYIFQSIFVKSLEEIDPCDEVTDANIRIAIQNATGPRNALFVPEVPFEILVRRQISRLLDPSLQCLQCVYEELIKMSHDCEPEEVKRFPRLRRQLEDVTLKFLDDGSKPAERMITNMIEMEMGYINSSHPNFIGGKRALEIAMEHVRASQDGRDVQRNASEMGQMSQSNPSQSDIGAFPNQAGQPQSNGERTASIGNSTTRTWGISSIFGSRSTSGETSSSREPGKTMHDINQAPSIIHLTEPPSLLRPLERGTEYQTEIIVMKLLLQSYYDIVRKNVQDLVPKAIMHFLVNDIRRDLLGIFIKKLYRESLFEELLQEHDDDVMKRKQTGEMFLILQQAIQTLGKVVADVSSTTPSSDTDDASILPRFH >CDP08254 pep chromosome:AUK_PRJEB4211_v1:8:25385970:25389558:1 gene:GSCOC_T00027024001 transcript:CDP08254 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRALVLYGDGLASFISPSHTHLHSLASLACCGFLTLPHSPPLPSENVDSRIIRGFAELVDSSEAYNKGNLKESLEAESPIPSISERFMGMKAAVITNNLNLQCFGSKLGLTILQSNEVIDSGLSLADSSIVASQLLKLLGFEGGKALETSQFDLLFVHMGAGEEPNGLLDLEHVNDLIGALLHIAKPGNEISSRLHLSVILSYGTFIDENPNLSFSVAKHDSNSELSVLFPRQSYTVKGGKLRENVRHLYPMLIAQWQNAVTRKDVVETYSFSDFKEHGGYLVIPADRFLHEVAFKLWKAPKYGA >CDP07216 pep chromosome:AUK_PRJEB4211_v1:8:11030015:11030948:1 gene:GSCOC_T00024397001 transcript:CDP07216 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYVVSLLLVTLLFSSSLFEIAMANTSFCDSKCGVRCSKAGLMKRCLKYCGICCAACSCVPSGTYGNKSECRCYRDKLNSKGKPKCP >CDP07188 pep chromosome:AUK_PRJEB4211_v1:8:10412373:10412780:-1 gene:GSCOC_T00024354001 transcript:CDP07188 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVGHVGHEFGFFLVGLWHLLNHVRLHCLHPRSYTSLPWFSTSTIRYLELFFIMGGCIASISMDLFIGPKRHQPLDPDGTIPSNHLHSFEHSNISLTFFIYALLCFILAKFSLQLNMAFRKCLEPFPLASSFS >CDP09888 pep chromosome:AUK_PRJEB4211_v1:8:28396477:28402285:1 gene:GSCOC_T00030369001 transcript:CDP09888 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVFSAPGDYIYFKSQVPLHKIPIGSKHWRYYDFGPKAVPPLICLPGITGTADVHYKQIMSLSMKGYRVISVDIPRVWNHQEWIQAFEKFLDAIDVHHVHLYGSSLGGFLAQLFAQHRPRRVRSLVLSNTYTETSSFKAAMPWAPIVSWAPSFLLKRYVLTGIRGGPHEPFIADSVDFVVSQVETLSKDDLASRLTLTVDAASVGALVLSDSLITIMDTSDYCAIPQQLKDQVSERYPGARRAYLKSGGDFPFLSRPDEVNLHLQLHLRRVGVEARPDLVQGPPKGSGGESSGEQDDESRDADDKAKDDRTDSAGPSTESGPLLLPESTESHDLDNQLLSNAEVLGASGEFLASILLLAFLISFLRHCTLTGINTGYLRAYWT >CDP09844 pep chromosome:AUK_PRJEB4211_v1:8:28756584:28758848:1 gene:GSCOC_T00030318001 transcript:CDP09844 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMFVFPIAFLLFNFSSAFSDETKPVPVQTQPARDPLKNLTTYIVHLRLPAGDNSTQLNDLESWYQSFLPKNTTGLNDASRMVHSFRHVFTGFAAKLSPEEVKEMEKKEGFLDARPEKTLNLQTTHSPKFLGLYTNSQFQWQYGRGEGVIIGIIDSGITPGHPSFSDEGMQPPPPSWKGKCEFVGTGCNKKLIGARDLLGPKPGQPLDEIGHGTHTASTAAGNFVEGANVMRQANGTAAGMAPRAHLSIYRACYPSGMCTESAIVAAMDFAIQDNVTMLSMSLGGPSKLPFFDDPIALGAFQANKKGIFVSCSASNSGPENGSLSNEAPWILTVGASTIDRDIRATALLGNGDEFDGQSIYQPTDFPPNLLPLVYLGMNGDTFAALCTKNSLKKAGVKGKVVLCETSDLMATVEQGQNVKDAGGAAMIIMNQEIEGYTIIADLHVLPATHVSFAAGQAIKAYINSTCMPRATILFKGTILGVKNAPAVASFSSRGPNNASPGILKPDIIGPGVNILAAWPESVENITNTSSTFNILSGTSMSCPHLTGIAALLKSAHPNWSPAAIKSAIMTTASFVNRNDGHILNEQMFPADVFATGAGHVNPPRAIDPGLTYDIQPDDYIPYLCGLGYTDDQIMKIVQSPVKCSAIHRIQEAELNYPSFAIQLKSSKQTYKRVVTNVGEALSTYYVDIDKIQGVEIDVQPRVLNFRKVNQKITYQISFRRLNMSVGNWYEQGAITWNSEKHRVRSPISVKFA >CDP09780 pep chromosome:AUK_PRJEB4211_v1:8:29281338:29281881:1 gene:GSCOC_T00030242001 transcript:CDP09780 gene_biotype:protein_coding transcript_biotype:protein_coding MKICGRRTQSFTPLTSTLMNCYINIPSKPLFDFSRKPSNPQLLLAAKRRWNRYDVLFRGIRRKNLGSWKMRNQPVVSSASEVGNGNADIVKKRFLFSFPHLFSSYFSNRCFENRLILCCNLLSTFGCSFLLGFEKVYAYS >CDP08320 pep chromosome:AUK_PRJEB4211_v1:8:25975605:25976591:1 gene:GSCOC_T00027117001 transcript:CDP08320 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFRSCFVSISIMILLLLCLSAKRASAAPSEAQCRLERKLGINACKPVVYGKPPSADCCERVRVTDIECVCPVITPKLAALIDLNRAIRLVEGCGRRVPRHFKCGSITTP >CDP12052 pep chromosome:AUK_PRJEB4211_v1:8:29867321:29869064:-1 gene:GSCOC_T00035424001 transcript:CDP12052 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLFVFDNSFFSDPFSPFSDSSVDPQHDFFQTFEDSLNNRNNNDTNSNRIQENSSADETTCSLDQIASALFSSSPPSHQLENLSICQTAQNFPNANDSDYFPLEVIKTEEYQVPLETIAAFNNPFVPQNNTADNVVKLMQRSYSSNSFDGKPNFFFHQRFDSLMESPNMQSQVMNPPENNLSTGQMRRVCSTGDLQVHNTPSKNTLSSSPLSTDGSFLEEANFKVGRYSAEERKERIHRYRAKRNQRNFNKTIKYACRKTLADNRPRIRGRFARNDEAVEIPKASMFTSTRYEEEDDLWTEGFQEEDDEGSAVGRSAKIFYNNLGSAAAAQCQYYSY >CDP12183 pep chromosome:AUK_PRJEB4211_v1:8:30877368:30882168:1 gene:GSCOC_T00035590001 transcript:CDP12183 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGSLIQQHQSNGSVEGQDDLYTELWKACAGPLVDVPKPKESVYYFPQGHMEQLEASTNQELNQRIPMFGLPPKILCNVVDIQLLAEQETDEVYAQITLIPEPDHTEQTSPDSCPSEPPKPTVHSFCKVLTASDTSTHGGFSVLRKHANECLPPLDMTQPVPTQELVAKDLHGTEWHFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGASGELRVGVRRFARQQSSMPSSVISSHSMHLGVLATASHAVATGTLFVVYYKPRTSQFIIGLNKYLESINNGFGVGMRFKMRFEGEDSPERRFSGTIVGVEDISLQWKDSKWRSLKVQWDEPASITRPERVSPWEIEPFVAAVPTSLVPSVTGKNKRLRSHSDLLPPESASSTASAVWNPPHDSPLGNGTAECPRSQLRSANQNHMDISCSQLQGSRNCNLRTHAEGDWLSSSQGNTSVSRFADETESKSTIAWTTFTGCSATPAKLSNHSQSHLHDGRKPDTVASCRLFGIDLISPSTGALDKELLKPANASNVTTQDCLPNTLSGCGSEHKSDLSKDSKDQIVGQLQLPSKEVQSKQSGSTRSRTKVQMQGVAVGRAVDLTMLTGYNELIVELEKMFEIKGELSPRNKWEIIFTDDEGDMMLMGDDPWPEFCKMVRRIFICSGQDVKIMRAGSKLPLPSADNDGTTFNWENGED >CDP12953 pep chromosome:AUK_PRJEB4211_v1:8:2530591:2533838:1 gene:GSCOC_T00037665001 transcript:CDP12953 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVSFVSDHLATLLREEGSLLGGLRQEVQLIKDELGHMKAFLKVAEAKEEDDPRLQEWIKQVREAAYDIEDVLDEFVLRFAGYRHHGFCGSLQRILKAIKSLRARHQVASEIQSIKSRIKNISEGRQRYQVEFGIDDRVTGSSTMNDSWRYSRDDALLVEEAKLVGIDQPKQHLISKLLEGHDHQLKVISVVGMAGLGKTTLVKKVHEDPDVRKNFPVRAWVTVSQTCDFPKLLRDLIRQLYEEGKEPVPQSIESKTTAELKEFVKDFLQQAGRYAIVFDDVWDVEFWNEIKFALPEGNYGNRVMLTTRKADVASASCTESQDYVYKKEPLSIEDSWTLFCNKIFKGNRCPAHLMDVAKAVLDKCDGLPLAIVAIGGLLASKDVSRIDEWEMVRRSLGGELEGTGKLDRVKRILSLSYNDLPSHLKPCLLYLTIYPEDYLIHCSVLVLSWIAERFVEWREGMSIEDVAWGYLSELINRSLIQVTDVFYGGLPEKCQIHDLLREVILIKSREQNMVTVTTGQPMTWPSEKVRRLVLHSSSNRSIIPHHQHRQFYSFEHLRSFITFSSTNPLLSKTFLSEVLRSSKLLKVLDLEDEEIEETPNEIFNLLHLTYLSLYGTKVARVPRAIRKLQHLEYLNLGNTRVRELPVEILKLQRLRDLRVYQQVDPSDEDFGFHGFKGPSKLGGLLALQRLDTIDASSGSVIVKEIGKLTQLRDLYITQLRREDGKELCSSLANLTSLRQLSVDSVGKGDDSEIIDLNHYHHSLSSSSSCSFLRSLRVLIMHGRLETMPAWTTHLQNLVKINLYWSGLRGEKDPLEFLQHLPNLDTINFCGCYQGEGLCFKAGGFQKLRWLSLKGMEGLRWMAVEEGACPHLRTLVLDRLPSLEDLPSGIQHLSHLQELYLNEMSSQLMEKVGNQKEDGEDYRRMAHIPEIVIGFYADDGEWIIRRLWGKKKKTILA >CDP18491 pep chromosome:AUK_PRJEB4211_v1:8:22812150:22818645:1 gene:GSCOC_T00009064001 transcript:CDP18491 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRPYISKTEAARRHVEDIRRNKFSIGAKVPNPLMKDLHNAVAGLSSELYTKDIHFLKELIQNAEDNEYLEAVGPKLEFVMTTKDITGVGAQATLLVFNNELGFSKANIDALCSIGQSTKKGKRRQGYIGEKGIGFKSVFLVSAQPFIFSNGYRICFKEFPDGDCGIGYIVPEWVTTRPSIQDLQSVYKSSIVLPTTTIVLPLKAEKVETVKKELSQIQPEVLLFLQKIKQLSVWEDGILSSRNSLSAVSISSETSLVPARGQVAESRLLHISVQEKHDNSTSKTRCSYYIHRQAFPVKPACIVEQRKDVKQWMISLAFPLGERLKRGTSSVGIFAFLPTSMVTNFPFMIQSDFILASSREAILVDNKWNMGILEQVPSTFVGALITCIKSAEIIKLLPVHCALNLLPAKESPFEELNKVREFIKFMVQSECIVLYESFLDEPNVFCRPTDVLRILPKFRNILTRIKEDKIPVNAVSSQRKFVLHNLLDNKTYDELLNFLGVPSAHCSYDWYGKCIKTCNLLCRASPDVYMDLLSFLAEYWEDMPLKAVDCLPLLKYIIWNGDVLSCSVAQIKQESLKIHLVWSSEEHAWLSKWNLELGCPNDLFFCPDFMATALLKDEKQIILKRWLTSALGVVITDAFSYALEVVGFIRRTKDPDVAILFAHFVYHSFMKKYLGSHNISKVLLAMPIVDKSGYVSLCSRSLVPAYMGMWVKLFGFANPFSYAELGDSYLEAAEFAGLVTPEKELFNFLVMHFKAIDLPDILPPDDALQVASTLLTSEQSLLLLDWIKKLRSQQCQINGWLKKYEIPGRFIESIRSGKWMKTCSGVSSPLHCYLYGGNENAVLLELGKALNVLSAIDEVYFSHAVRSFMDELVFIGVKIGSENMFQLITDHLKPLLSSKMSSNLAVLILGFIRYSRENNKLDGNMKKSLQEGKWLKTLRGYASPVGSVLLNSAEEKAVAQISNLKIVCRPKYGVKLASFLDELKFLGVTVDLEEVYKLIPKHLRFPDNLSSLTKDSVLLLLKCMQFTGADAFESTRHVFSQSWMKTTSGFRCPSESVLFDPMWDRLLKVVSLPIIDESYYGSRIRSYKGELEAIAVIVNLDSASKLVVDKLKLLIASSGLIGTKVISLLNFLKCAKNEMPCLVSAIVSCLSDEMWLKTNNGYSYTSHAILFNCEWATVSQLVDLPVIDETFYGDEIYNFKEELKMLGVVVNFNNGIPIIVHGLKLPEDPSLITVDMFLSLLHCVASLKSDNSSDQSLLEDLLKKLRAARWLKTHLGYRLPQEALLYDLDWEGHLKLLDGPFLDQSFYRNLDSVEMDALRMIGVKTGAQEVCNWFFQNFTSLVQTCQVKRIYKFMYKYHWTPNSEDNVTCQLWWRIISDHHIKDGGRWVDNHDCVISDDCNLFAYRLHILDKLYEMELLNFLSSAFSVAWCPTLDKYMDLWKFWLEGDHQVTSMELKSFWGYILRNWDDSTLEILERNINAFPAVDVSGGIQLVDRGEVFIADDLQLKKSFKESSKRPLFIWFPQDDLNFQNRLSEIYCRLGVKKLSESVECLVNGDFHQMDSEDNIIGKVLVKIVMGFLASRINMPLDARLRKAKSLLDLPIFGTDKPLEVTYSLQVPSSGPGVHVGIYKKVFWDRNSKRLLIHTPSWSSGQKDIQLVTDYARAISEVVLSNCSGHVDLCHIIKLGFAFGFQENEIDKLLMIENLAVSSEDTDFIDHVFPPIEVLPLDMLIMPCTPNTNVSKSKPTSLGKRSRLN >CDP08374 pep chromosome:AUK_PRJEB4211_v1:8:26601200:26605303:1 gene:GSCOC_T00027182001 transcript:CDP08374 gene_biotype:protein_coding transcript_biotype:protein_coding MMQACRTLRETPANFCQAPEKWNNLSAQKGGSENSRCRSWITNTSVEERDLEVKFEQPLTPVKCCGRWVKVSGSLEHKSSSCMTNRQNAGRVSTSSTEGKEIEDAGSVELIASSRNGLTGSLATPRDISPFIIGENKSVEFDCYSDTSSVTSENYSSETSTQSLNQSGSASNLSTANSSCYPTPIKLSDKMQTSGIVFPVYLDAFGQDKNTRITSLYLYSVLNPVENFSQWKQLKNEDTNDHQNSHIKEPCVRVDKETPMSDVGTGGTSAGQELKVEASWSSWSSWPRALFRDPDGDPEHNEFAAGENSHCRRIQVDRSILGMDASDWDKDESHVSPKWWNGNGVPNSTDKYKEVWAMCWIKFQGRIHKCKEVSPKWWDGNGIPNTTHKYREDRKVCWHETPFEERLEKALFEETFIRQRYAWLLVPLRN >CDP14945 pep chromosome:AUK_PRJEB4211_v1:8:9198124:9198249:-1 gene:GSCOC_T00042447001 transcript:CDP14945 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRPGIKRGNITAAEDDLIIRLQSLLGNCWSLIAARLPG >CDP16176 pep chromosome:AUK_PRJEB4211_v1:8:23784278:23788342:1 gene:GSCOC_T00017262001 transcript:CDP16176 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMGAVDDKNETNEPVEDAAETNINGVAVETREDTPSGHVNVPAQEVTADANISAPSELHESADQNARDTGNTAPADPESEQCRDHENATGMPRKKVRKVRLLTDLLGEKSSSRCGAREAVPLEPSRPENTGSEGQINPSKDIHSSVRSPLRKTKPVGEEDERNDPTNVAVNTKASRRDTGKAIMMVEARDSEEEVNACGGEGLFIGTKSERLRHRRSSTTPALGRQNSKQPQVLDCGTIPSLTRRLQAPSEAGNFGNLQSAEQRERCHVDLVSGGLNPLLSLNNAAEGLDLSLDIFAKKAINQSSEGVRKAGMLFGKPLTPNQSDGYQRRSPQFDLNERASHKEMDFLQLGFNGKSSGVLGNLKESDHKADDIPMDIVELLAKQQYERRNGDAGACSGPSGAHVTSNTARGFLEVGEIRTVGQFVKEFPNFPSFRGVNSRSGISTASNYIGSAKGNSTNFSHMGRNNFKLFQGGENQLNSIFTAFAHNQHKQYGGVQVPATTSVRPDLPCSEPVDPLLLSGPTKLSFELGVQQKLPGEQHKGKTISDIKADELKRTEEARLMLSKPGDTIVSSKERGPLDAYANDSIPAMQLLSLMDGRPSGATFHLGSSKIVDKPFAPCSYHPRFSTNERQDFLNRSFLSSPSHPKETSGLRISYSDIYQRSRPLPAALPGQISFKTPEQEKPREKSKKSGSGTGFGPSSSRGKDKQTATLHASDSIVHPQKHHRLEGPRRFNLEASGIMGGVGRANGMSSEGECGWNRNPADFSLPVAGNEYTICARDLKFRKRNASREKRSSVKANGAKRQRKAKSGTETQ >CDP10012 pep chromosome:AUK_PRJEB4211_v1:8:27219021:27225832:1 gene:GSCOC_T00030547001 transcript:CDP10012 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLASVVSYISITIAVYLIPLSSSLPFVVLHGIGDQCSNKGMKHFTEELTEWSNSTGYCIEIGDGAWDSWFMPLEEQTEVVCDKVKQMKDLQNGYNIVGLSQGNLIGRAVVEYCDGGPPVKNFVSLGGPHAGIASVPLCGSGIMCIIADSLIKTGIYSDYIQACISLCLSLSHTHTHTFWSLEHLAPSGYLKLPNNIAGYLEKCRFLPKLNNEIPGERNSTYKARFSSLQNLVLIMFEHDTVLVPKETSWFGYYPDGAFNPVLAPQQTQLYIEDWIGLKTLDDAGRVKYVTVSGNHLGISKPDMKKYVVPYLEDDTSKEDTAEIELGRSADSSENSEMQTLQRPQDEASAEVITAGSSSYSIPSSIRSFFEELLGTTNTQYEPRLRY >CDP12982 pep chromosome:AUK_PRJEB4211_v1:8:2808317:2818763:1 gene:GSCOC_T00037700001 transcript:CDP12982 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPLPSGGNGMSWLKSDTTRAVSDRFASVKNVNDLFFSSSGLKGGRKILARTKILAVKSSERIQSANKSNSSGTSNNNFPSLNGPATTLSRDFALDQTTMDANPWRKTKIVCTIGPSTSSREMIWKLAETGMNVARLNMSHGDHASHQKTIDLVKEYNAQFDSKVISIMLDTKGPEVRSGDVPQPILLKEGQEFNFTIKRGVSTENTVSVNYDDFINDVEVGDILLVDGGMMSLAVKSKTKDLVKCEVVDGGELKSRRHLNVRGKSATLPSITDKDWEDIKFGVDNQVDFYAVSFVKDAKVVHELKDYLKSCNADIHVIVKIESADSIPNLHSILTASDGAMVARGDLGAELPIEEVPLLQEDIIKRCRSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVKGGADAVMLSGETAHGKYPLKAVKVMHTVALRTESSLLTSIAPPSQSSAYKGHMGEMFAFHATTMANTLATPIIVFTRTGSMAILLSHYRPSSIIFAFTNNERVKQRLGLYQGVMPIDMEFTDDAEETFSRALKLLLIKNLMKEGEFVTLVQSGAQPIWRRESTHHIQVRKVQG >CDP14942 pep chromosome:AUK_PRJEB4211_v1:8:9208481:9211721:-1 gene:GSCOC_T00042444001 transcript:CDP14942 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLPTSSSSEKQSKSLPIDTIFKFPSSMPKWPSGGGFASDYIDLGGLQVHQTSTFNKVWTIYGGGPDDLGATFYEPSQIPDGFFMLGSYSQPNNQPFFGWILVAKDTSSDQSSSNETLKKPTDYALIWSSESLKTSQDGHGYIWLPVAPDGYRAVGYVVTATPDKPSLDKVRCVISELTDKCESENWIWGHGKTSSDSDFNVYSSRPSGRGIQAQGVGVNTFIVKNGSDDNSTSTTIACLKNNNFSTFSSMPNLQQIEALFQAYSPWVFFHPKETYFPSSVNWYFENGALLYTKGAESNPVAIQYNGANLPQGESNDEAYWLDLPVDKNAKERVKKGFLQSAEVYLQIKPMLGATFTDIAIWIFYPFNGPGTAKLGLVDIPLGRIGEHIGDWEHLTLRISNFNGILYKVYFSEHSKGIWVDAPLLEFHDGTNKPVAYSALSGHPNYPKPGLVLQGAGDVGIRNDAAKSDKFLDTGAKYSIVSAENLGLGIVEPPWLNYLRKWGPNIVYEPGVEAQKVERLLPQNLKPAFARLVKILPNEFYGEDGPTGPKVKGTWSGDEGS >CDP08357 pep chromosome:AUK_PRJEB4211_v1:8:26342253:26344915:1 gene:GSCOC_T00027162001 transcript:CDP08357 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSASSPHLLLVKSSISFRHSFQCLHRLPASQSWFEAAQINNTSRSITPKSRTSPFSIKAQADAKKEDIVIIGAGIAGLATAVSLQRLGIKSRVLEQAESLRTGGTSLTLFKNGWSVLDAIGVGNELRTQFLEIQGMVIKSEDGNEMRSFNFKDEDESQEVRAVERRILLETLANQLPSDSVSFSSRLVNIQREENGETKLQLQDGSELSAKVVIACDGIGSPVAKWMGFPEPKYVGHCAFRGLGYFPEGQPFNPRVNYIYGKGIRAGYVPVSSTKVYWFVCFNSSSPGPKITDTSLLRQQTNELVRNWPADLLNVIDLTPDDTIIRTPLVDRWLWPVASPPASTGKVVLVGDAWHPMTPNLGQGACCALEDAVVLAKKLAEAVKSEAMSIEEAFRSYQDERWGRVFPLTIRANVVGTLLQWDDPLWCFVRNNIVIPKLVSLGPVLEHTNFEFEPLLTN >CDP12030 pep chromosome:AUK_PRJEB4211_v1:8:29670217:29675520:-1 gene:GSCOC_T00035389001 transcript:CDP12030 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQDVKSDLVLILDYGSQYTHLITRRIRQLSVYSLCLNGTASLESIRKENPKVIILSGGPHSVHAPQAPCFPSGFIEYVESEKIPVLGICYGLQLLVQRLGGQVSIGEKQEYGRMEIEVQKACGLFGNRKVGDKQVVWMSHGDEAVKLPQGFEVAARSQQGAVAAVEDNKRRFYGFQYHPEVTHTHEGMETLQHFLFDVCGVSAEWKMKDVLEQEIKAIQEKVRLEDHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYKERERVMETFERDLHLPVTCVDAAEQFLSQLKGVVDPEMKRKIIGKEFINIFDAFAHDLEQKFGKKPAYLVQGTLYPDVIESCPPPGTQSTHSHTIKSHHNVGGLPKNMKLKLIEPLKLLFKDEVRALGSILNVPEAFLKRHPFPGPGLAVRVLGDISQGNALDILRQVDEIFVQSIKDAGIYDKIWQAFAVFLPIRSVGVQGDQRTHSHVVALRAVTSQDGMTADWYNFEHNFLDDVARKICNSVRGVNRVVQDITSKPPSTIEWE >CDP12184 pep chromosome:AUK_PRJEB4211_v1:8:30887386:30893265:1 gene:GSCOC_T00035591001 transcript:CDP12184 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTTQCFRMNPLVRKVFPENLEKVVSRESFPALDIFICTADPYKEPPLTVINTALSVMAYDYPTQKISVYVSDDGGSQLTLFAFLEAAKFGRHWLPFCRENSIMERCPDAYFSSNYSANSKTQHIKMMYEKMKLRVESAAAKGEIADEYISSEQERTAFSKWTPGFTRHQHPSVVQVLLDSRQDRDITGDSMPNLIYLSREKSKTSPHHFKGGALNALLRVSAVLTNAPVILTLDCDMFSNDPHTVQRVLCLFMDHSVRPNLGYIQLPQIFNGLNKADIYGCEFKPLFQMNPRGMDGQKGPNYYGTGCFFLRRALFGGPSSRVQPEIPELSPDQVVKEPITSEKNLTLANLVAGCNYENHTNWGSKIGFKYGSLVEDYYTGYRLVCEGWQSAFCDPERPAFLGDIPISLNDALSQTKRWSVGLLEVAFSKFSPITFGVQAVGFLEAHCFGHYAFWPVWSVPVAIYAFLPQLTLLNNMPIFPKASDPWFYLYAFLFLGAYIQECLDFILAKSTFERWWNEQRMWLIRGLTSYLFGTIEFFSKLVGIPTQGFNVTSKVVDDEQGKRYGQGIFEFGVPSPMFLLLSVAAIINLIAFLGGFLEVLRGGNLDGLFVQLFIAGFAVLNSMPLYEAMVLRADKGKMPTKTTIISAFIALGLCVMSSFMLRT >CDP07206 pep chromosome:AUK_PRJEB4211_v1:8:10648511:10652720:-1 gene:GSCOC_T00024378001 transcript:CDP07206 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSHTNSTVQITCSTSYYPLKFSKSDENLDSDPDPDSDPDPDPDAALQPTCPDYFRWIHEDLWPWRETGITLGMVEAAKRTASFRLVVVNGTVYVETYRRSFQTRDVFTQWGILQLLRLYPGRLPDLDMIFSCADQPTVVKQFYPNPNATAPPALFSYDGDDATFDILFPDWSFWGWPEIAIKPWEQLSKDLKEGNERMQWVDKEPQAYWKGNAKLTLSRKDLLRCNVSGKQDWNARIYQQDWHGEERQDFKNSNLANQCIHRFKIYIEGFGWSVSQKYILACDSVTLMVKPHYYEFFSRSLMPLQHYWPIRDINKCRSIKHAVEWGNNHQEEAQAIGKAASRFVQEELQMKYVYDYMFHLLKEYAKLLKYKPSTPPNAIEVCSESMACPADGLVMKYMMDSVVTSPSIEAPCTMPPPYDPATFHSILERKENRIRQVETLEEQYWNGQNNHK >CDP11756 pep chromosome:AUK_PRJEB4211_v1:8:6136858:6151589:1 gene:GSCOC_T00034247001 transcript:CDP11756 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MKKFKKSPLQRKGALQEIKSHQWKIRFLRKERESGRVLLSFAGEEDETILNVDFHYAQANVDGCVLSIGDCAYIKGEGRKKHIGRILEFFRTTQGEDYFRVQWYFRVEDTVIKEAATFHDEKRIFYSTMMNDNLLDCILSKVHVAEIPLAVGLEPDTIPPADFYYDMEYSVEYSTFHNLQTDNSYKIDDSHSLQPVEGFHAPITATHLEVFSGSGPLKSELALLDLYSGCGGMSTGLGIGAKVSSIDVVTRWAVDLEKSACDSLKLNHPETQVRNESAEDFLELLKRWKQLCESYIFNDLKNPPEDGPDNQIEGESNETSKLANEDPDGEYEVSCLVDICYGDPNETGKHGLHFKVRWKGYGPDEDTWEPFEGLSNCQERIQDFVRNGLRSKILPLPGHVDVICGGPPCQGISGYNRYRNVEDPLTDERNQQIVVFMDIVEFLKPKFVLMENVVDILRLDGASLGRYALSRLVHMKYQARLGTIAAGCYGLPQFRLRVFIWGALPSERLPPFPLPTHDVVVRYWPPPEFERNTVAYDEGQPRKLEEAVFLRDAISDLPAVTNHESREKMAYDKPPGTDFQRYIRLSKEEMMGSTPIQVTEAKEQMLYDHRPYHLNEDNYLRVCQVPHRKGANFRDLPGVIVGDDNVVHRDTTKDTFVLPSGGPIVPDCVFTFEKGKSKRPFARLWWDETVPTVLTFPHHRSQAILHPEQDRVLTVRECARLQGFPDFYRFCGTIKERYCQIGNAVAIPVGKALGYTLGMTFQNLCGDEPLLTLPSNFSFLQPLKDEIVVLRN >CDP07213 pep chromosome:AUK_PRJEB4211_v1:8:10906911:10909611:1 gene:GSCOC_T00024390001 transcript:CDP07213 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSMICLSFAVVGIFCFLTSSMRKFAAFGRGKLPLPPGTLGWPYIGETFQLYSQNPNVFFASKVKKFGSIFKTHILGCPCVMISSPEAAKLVLVTKAHLFKPTFPASKERMLGKQAIFFHQGDYHAKLRRLVLRAFTPEAIKNIVPDIESIAVQSLESWEGRMVNTFQEMKTYTFNVALLSIFGKDEVHYREDLKRCYYILEKGYNSMPINLPGTLFHKSMKARKELAQILAKILSLRREMKQDYCDLLGSFMGDKEGLTDEQIADNIIGVIFAARDTTASVLTWILKYLGENPSVLEAVTKEQEAIMRTKEECEDKALSWADTKKMPITTRVIQETLRVASILSFTFREAVEDVEFEGYLIPKGWKVMPLFRNIHHSPDNFPDPEKFNPSRFEAAPKPNTFMPFGNGTHSCPGNELAKLEILVLVHHLTTKYRWSMMGPQNGIQYGPFALPQNGLPIKLSLKS >CDP12971 pep chromosome:AUK_PRJEB4211_v1:8:2723255:2724729:1 gene:GSCOC_T00037684001 transcript:CDP12971 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHSATGRASKPEKGKPRLLLLPLLLLLSPQAVLSPFPIICIATNDNFRNVCDFSVIFTFFLLILYLFYHFGTHLIQIQPLKMAQVLNTQR >CDP08158 pep chromosome:AUK_PRJEB4211_v1:8:24446784:24455708:-1 gene:GSCOC_T00026900001 transcript:CDP08158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPGMTSDSGDHSTSVVPISPNPQPTTYPLNHGLKPPIARLSISWARGNTLRVTVLRQPQPSAANGEEEAGGKVLEVKLSDNRDGEIDDAQWRRIAYGSVTPFALLQSRKNSTSTLSKISALDSTEWWQYIMEYSREINSLLGNPKTSPSLAIEDQKTVLKDVQKPTCFKAAWELMEIFYADQHSQAWIPERLLDWLADYDCLFSGTQPTVHSELVNFQKELVTRQAVEDHPKYWEAISSTLAIGWLEIGVKLLRLHGSYDFDQLGSRETENGLVEAVAVLISKMPRLRADLGADKLGECYKNKPDFVKAWEKWQAQITKLDCSAFWLQCEHHQTRDGLKNILQTMLGNSTSLSNATFHWMELYIAHFLYIRPFTAGLESMYNLAQKCMQIKPVSGHHKLIGLLIGILGENPEVVLAECSKSFGSWMMAHAAELLAAGNIQAEMLLQKEQFQLGGICIEELNRLVYAQVLSSHALTWQIAPIYLSSCMKQGMGLLETVLSKQALQHDQVLLKSIEICRLYELGTVSSNLMKITAVHDWKHGKKGSGVFWLQQARDEVRLNRIAKHLFDFVGKSLSDGSFKQWEGLIELLGPESGIAGGLEFLNKYREFRRSLQQVHSGTATDAAQRAAEALISLMKNPSTPQRFWLPILHDSLKLFNWAGRPLLNVSQTNVLLNKLQELSMARLRPDFVNADLPPEALNSVRLAIATNLGRAILEE >CDP16171 pep chromosome:AUK_PRJEB4211_v1:8:23716533:23721193:1 gene:GSCOC_T00017256001 transcript:CDP16171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEROXIN-4 [Source:Projected from Arabidopsis thaliana (AT5G25760) UniProtKB/Swiss-Prot;Acc:Q8LGF7] MQASRARLFKEYKEVQREKSADPDIQLVCDDSNIFKWNALIKGPSETPFEGGVFQLAFSVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDIRGYQSMARMYTRLAAMPKKG >CDP10003 pep chromosome:AUK_PRJEB4211_v1:8:27337371:27339229:1 gene:GSCOC_T00030534001 transcript:CDP10003 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPIKSQPLHNFSLPHLRWVHKNSPHQQSPPHSTLQHRRDSPDFDPPGNDNNTTAAASPKPASRTPRKPQPFSSPCLASFPSASSTHQNQKAEQGDDVVEEGHKPWNLRPRKVVTYPTSTATFTTPSSFRKNDKEKEKSQEETGSSLRNTCPGFAGTERQQRKVVEEKRKLWISLSKEEIEEDVYSLTGSRPSRRPKKRPRTVQKQLDNVFPGLYLVGLSIDSYRVHDSLR >CDP12110 pep chromosome:AUK_PRJEB4211_v1:8:30342724:30344193:-1 gene:GSCOC_T00035499001 transcript:CDP12110 gene_biotype:protein_coding transcript_biotype:protein_coding MATADALSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVDRADREGHLPLFGQYNPKDFVLSIQRPRSVIILVKAGAPVDQTIAALSQYMEPGDTIIDGGNEWYENTERRISDASSKGLLYLGMGVSGGEDGARHGPSLMPGGSHRAYLNIKDILGKVAAQVEDGPCVTYIGEGGSGNFVKMIHNGIEYGDMQLISEAYDVLKNAGGLSNSELADIFADWNRGELESFLIEITADIFQVKDFESGHGELVDKILDKTGMKGTGKWTVQQAAELSIAAPTIAASLDSRYLSGLKDEREAAAEIFKGQGFKEEINNVGVVDKKRLIDDVRQALYASKICSYAQGMNLLRAKSVEKGWNLNLGELARIWKGGCIIRAVFLDRIKKAYQRNPALANLLVDPEFAREMVQRQAAWRRVVGLAIQKGISVPGMSASLQYFDTYRRARLPANLVQAQRDYFGAHTYERIDRPGSYHTEWSKHARGATV >CDP14943 pep chromosome:AUK_PRJEB4211_v1:8:9206360:9206485:1 gene:GSCOC_T00042445001 transcript:CDP14943 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSDIKLIRTDTTLDLSQKAEKGMLVLVLGYIIYSLAIC >CDP13479 pep chromosome:AUK_PRJEB4211_v1:8:1016145:1021889:1 gene:GSCOC_T00038436001 transcript:CDP13479 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLCSRHQEYNQADSEENAQTAEIERRIEQETKAEKHIQKLLLLGAGESGKSTIFKQARNHTRIHIKLLFQTGFDEAELKSYIPVVYANVYQTIKTLYDGSKELAQNEADSLKYVISAETKDVAEKLSSIGGRLDYPHLSKELAQEIETLWKDAAIQETYSRGNEFQVPDCAHYFMENLSRLSDTNYVPTKEDVLFARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVSAVIFCAAISEYDQTLFEDENKNRMMETRELFEWVLKQPCFEKTSFMLFLNKFDIFEKKVLNVPLNACEWFKDYQPVSTGKQEIEHAYEFVKKKFEELYFQCTAPDRVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >CDP12070 pep chromosome:AUK_PRJEB4211_v1:8:30016854:30023023:1 gene:GSCOC_T00035447001 transcript:CDP12070 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIISNPTSLKHGLSLFCPELHSSPSCSSFNPSRRFQRRNFVAASTSSSFANDNREFVIVGGGNAAGYAARTFVEHGVANGKLCIVSKEAHAPYERPALTKAYLFPLDKKPARLPGFHTCVGSGGERQTPDWYKDQGIEMFYEDPVTGIDIEKQTLTTNSGKLLKYGSLIIATGCTATRFPEKIGGNLPGVHYIRDVADADSLISSLEKSNKIVVIGGGYIGMEVAAAAVGWKLDTTIIFPEEHLLQRLFTPSLAQKYEQLYSDNGVKFVKGASIKSLEANSDGHVAGVRLDNGSTIEADTVVVGIGAKPAVSPFDSIGLNNKVGGIQVDGQFRTSVPGIFAIGDVAAFPLKMYNRIARVEHVDHARRSAQHCTKALLTANTSTYDYLPYFYSRVFEYEGSPRKIWWQFFGDNVGETVEIGHFNPKVATFWIDSGKLKGVLLESGSLEEFQLLPKLARNQPLVDKAKLQNASSVEEALEIARASLPVEAVA >CDP12978 pep chromosome:AUK_PRJEB4211_v1:8:2779743:2780513:-1 gene:GSCOC_T00037694001 transcript:CDP12978 gene_biotype:protein_coding transcript_biotype:protein_coding MACLANIETNIVTDQSALVALKEHILSDPSLVLEDYRTANTSVCDWIGVTCGIQHHRVSALNLSFMGLKGSNPRHLGNLSFLVSLDLSGNNFQANQPGDLSRLRRLWFMNFKLNNFTGDNPSWFGSFPELKFFITRLQGLYHPPFSTFRRWKLLFSQEITYLVIFLQTCNDLPSLGGLYLTSNELNGLAIKFNCLFSNSNIVIK >CDP13059 pep chromosome:AUK_PRJEB4211_v1:8:3805244:3807476:1 gene:GSCOC_T00037819001 transcript:CDP13059 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVISSFIENSFLSGHAANLSLKGSPYWMAPELLQSVMQQDSNSDLALAIDIWSLGCTIIEMLNGKPPWSEYEGAAAMFRVLKETPPIPENLSAEGKDFLRCCFRRNPAERASASTLLEHRFVTTSHPPDILSCSLSLSGMKLRDDANSQRERSNSKLGQVPAYSDMHIAKGKLTDNYIHGSLAPVRLANDVATKL >CDP18479 pep chromosome:AUK_PRJEB4211_v1:8:22712457:22714382:1 gene:GSCOC_T00009049001 transcript:CDP18479 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTLLYFNYLGMLKIVIILSFPIAAILQSTFYTMFNHFVEFLDLCCCNLQQILKWWIWLYYIVLISWMLNSRFNVMLTSQYEDINKEIEVFGYSIS >CDP13506 pep chromosome:AUK_PRJEB4211_v1:8:790969:794102:1 gene:GSCOC_T00038466001 transcript:CDP13506 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWKILSSLIEETLPDWQDKFLSYKALKKQLKLIYPKQELIHDDHNTYSSNNDDCVRPSKRLRLEVYDDKGGDYEVSKEVTDFVKLLEEEIDKFNAFFVDKEEEYIIRLKVLQDRLEEADGSNGDLMNVGRQIVDFHGEMVLLENYSALNYTGLVKILKKYDKLSGALICLPFIQKVLGQPFFRIDVLNQLVKQCERMLDYVFSLSEISSQSEAIESCETNTATETEERSLKVPQELAEIEYMENMYMKLASSALRVLKEIRSGSSTVNMFSLPPL >CDP12178 pep chromosome:AUK_PRJEB4211_v1:8:30835546:30837757:1 gene:GSCOC_T00035583001 transcript:CDP12178 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTTSSSRASAFFSVPIKLSNQVQATVHNKQVIFIPKLQTFSIAQAKKTPSVKLSPLLRSASTSSSPAVEEEEDQEKGDEFPHSDNHEVLLQSGSSTLLQEPQTPPSVRGCQVCGKEEIERGCNGEGRIQGGIATVPGFGWWPIKAYRPCPSFVATGGRYRRTGQSMDDIGFGGGGREASAGNDRNAQSSKKKQGPTKFKP >CDP09762 pep chromosome:AUK_PRJEB4211_v1:8:29422733:29426332:1 gene:GSCOC_T00030219001 transcript:CDP09762 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGPRTSATIMVLLFLLVAVWISSSWINSTTLAVSNERKQQMIVSTPQRNSAKRKIEVSLNCSLGNLTQTCPSNYPTTFGFTESETDDGLSNVTCPDYFRWIHEDLKPFKSSGITRDMVERAKGTAHFRLVIVKGKVYVEKYKKSIQTRDVFTLWGILQLLRRYPGRLPDLELMFDCDDRPVIQSSSHRGPNAKAPPPLFRYCGDPWTLDIVFPDWSFWGWQNGCRAEINIKPWENILKELKDGNNRIKWMKREPYAYWKGNPFVADTRKDLLKCNASDKEDWNARLFIQDWIREYEQGYKQSDLASQCTYRYKIYIEGYAWSVSEKYILACDSPTLLVNTNFYDFFLRSMQPVHHYWPIRNDDKCRSLKFAVDWGNSHKQKAQAIGKAASDFIQEELKMEFVYDYMFHLLYEYAKLSRFEPKIPEGAMEICSETMACHSNGTEKKFMLESLVKSPSDNTPCTLPPPYEPRVLGAFLRRKANSIRQVETWENNFYESLENGSKSKTS >CDP12075 pep chromosome:AUK_PRJEB4211_v1:8:30054681:30058933:1 gene:GSCOC_T00035452001 transcript:CDP12075 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPEAPVNYVGVARSSAAFRLMKQMGWEEGEGLGKDKQGIKGYIRVKNKQDTAGIGTEKPNNWAFDTTQFDNILKKLKVQAANVMKDEGEGEDEAEADAISKSSSDNQDKEVKVTRPQGRYKKRERGKHVQAYSSQDLEGILVKRAVSPIPHIEEDVANSEEDVANLVENVKTHIPDSEGKADQHYQVVSPEWWGFKRGFVSGGFLGAEARRRKAIERTQNGDQRTAFHEEDQENLYKLVQNKATTGKQGLGIKDRTKKIAGCYFQGKKTSFDDSDGEESTESRSSPKRKHDELSEVANDCNSNVKLKKLCRQLIKQAPGQSLKLKQLKVLIDEHSSDVFSNFSSKKEALAFLKCKLQGSDKLVVEGKRVSLSVKKW >CDP09775 pep chromosome:AUK_PRJEB4211_v1:8:29311296:29315659:-1 gene:GSCOC_T00030236001 transcript:CDP09775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-10 [Source:Projected from Arabidopsis thaliana (AT1G63260) UniProtKB/Swiss-Prot;Acc:F4I214] MNTATSTFVVRWINFLTMLLAVSVIGFGVWMSTHHDSCRKSLTLPVIGLGAIILVISIIGFLGALKNNSILLWIYLILLCLILVGILVFTVFAFIVTNSGSGHQVSGLRYKEYQLQDCSSWFLKQASALLLNNTHNWERLKSCLVKSDDCNNLARQYKTLKQYKSARLTPIEAGCCRPPSECGYPAVNASYYDLSFRPISSNKDCELYKNSRGVKCYNCDSCKAGVAQYMKIEWRAVAIFNVFLFVVLSIIYLVGCCARRNAAKSPEKV >CDP12935 pep chromosome:AUK_PRJEB4211_v1:8:2218277:2220057:1 gene:GSCOC_T00037639001 transcript:CDP12935 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRWLLISVACFLFMQSLGKSETCPDCFIHSRAGYYPNSDQKGTEVGECGFGKFGATLNGGDVSAASELYRGGVGCGACYQVRCTNSYYCLDKGVTVVITDHGISDRTDFILSQRAFSQMAQSTDAAASLLALGIVDIEYRRVSCSYPNKNITFKIDESSNNPYYLAFEILYQQGKMDITAVQLCETQNFVCKLLDRTHGAVWTTTSPPSGPLSIRMLFSANDEDQTWVVPVNNIPGNWKPGDTYDSGVQVNA >CDP08330 pep chromosome:AUK_PRJEB4211_v1:8:26079812:26082852:1 gene:GSCOC_T00027130001 transcript:CDP08330 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTTTTVAPAAADAAGKPARKFPPPCWTQEETLALIDAYRERWYALRRGYLRTADWDAVAAAVTNRCPDASPAKTSAQCRHKMEKLRQRYRAEKQRSLSYPSGRFFSSWFFFENMDAMENGTSVSVAGSNREPENRENSASGFPLKTFLDQNILKLKLSTKNRSTKVDGNSSPNFGFSQGVRAKNSSKNADQKVGSDFSSKVFNGGYSSYMDIGSDKDEEEMDFHGGFRAKNVDDGMPGLTGFKGKNFGKILGNSRSGFEFESLGGKGFEASDGFHMQTLGDESMVPPRLRLKRIGKVNRSFDADQAKDGSWVSLGTRRKYAENLDSDLESSGGLNGFHDQSRLGFEKRGFGCDSGGRGEAKRGRSSIDEMVSSIKMLGEGFMKMENMKMDIAREMEKNRMEMEMKRNELILESQRQIVDALAQSLMEIKRKKVKITAAPET >CDP15682 pep chromosome:AUK_PRJEB4211_v1:8:7333530:7336382:1 gene:GSCOC_T00015648001 transcript:CDP15682 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLDQLSIFLREEGQLLGGLRQEVQHIRDELGHMRGFLSVAEAKEEGADPRLQEWIKQVREAAYDTEDVLDEFVACFAHHHATGFYGSVRKIFNSIKTLRARRKVAQQIQSIKARVKNISEGHQRYQSEFGRTTQAAGSLAAVNNTWFYSRDDALLVEEAELVGIDNPKQQLISQLLEGDDSQLKVVSVVGMGGLGKTTLVKKVHEDLAIRRHFPVRAFVTVSQPCNFLELLKDLTRQLHNDLKKPVPESIEAMTAFQLKLRVKDFLQQAGRYAIVFDDVWNVEFWNEIRFALPENGYGNRVMLTTRIANVASASCNKSQGYVYNMVPLSFKDSWTLFCNKIFKGNGCPAHLTEVAKGILGKCEGLPLAILAISGLLALKDLYIAEEWEMVRRSLVGELEGSGMLDRVRKILSLSYNDLPCHLKSCLLYLSIYPEDFEIRCGRLVQLWSAERFVGKREGMTMNDVGYNYLSELVNRSLIQVTEIFYEGIPYACRIHDLVREVVLSKAREQNMIAITTGQCTSWLSEKVRRLVVHSSSNNTEQHQESQCYSFNHLRSFITIESMNPLISRALLSEVLKSSRLLKVLDLSDEKTLVEIPNEIFNLYRLRYLNLYGTGVKAVPKFIGKLRNLEYLGLGETQVKELPVEILKLQKLEHLMVYQKVDSSDDTQGYHGFKAPPKLGGLLALQSLTNIDASSGSVVVKEIGTLTQLRRLGISNLRREDGKVLCSSLATLTSLRLLDIASIRDEGGDYEVMDLNHHDQQQHSLSSLRLLLLHGRLEKMPKWIAHLQSLTRIDLRWSGLRDEEDPLEPLHHLPNLVTIQFWGSYQGEGLCFKAGGFRKLKHLYLEKLEKLKWLKVEEGALPNLHQLFLDRLPLLEELPLGIQHSRNLRKLGLSELSSQLMEKLENLNEETEDYRKIAHVSEVVIGLWTDEGWRLHRLWGKNM >CDP18545 pep chromosome:AUK_PRJEB4211_v1:8:4306033:4308169:1 gene:GSCOC_T00010785001 transcript:CDP18545 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIVAPNGSNTSCSKPGSLEGKVSPQKKQVLNCSKGNSTNTKFCYYNSCSLSQPRYFCKTCRRYWTERRSLRNISIGEGSSSSSTSSSVSSSKKLLDLITIWKEWDFGKGWEEKRKREQREGEKERGERDVKKEKETMWALCRKLKRGRIYFTFSPIFAKIFIFMQIFNAKFYWSERVEILNYPKIVMKPMEEIVVHNGSATSCSKLGSLEREVRSQKEQTLNCLRCNSTNTKWIFEKYPSWRRFRKEQKIIIIIFFFSGVIIKEVC >CDP09852 pep chromosome:AUK_PRJEB4211_v1:8:28705654:28707814:1 gene:GSCOC_T00030328001 transcript:CDP09852 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFLIAVAMAATIVAPTMAKEILVGGADGWRLGINYQAWADGIEFDVGDTLVFNYVAGNHNVIKVNGTDFQRCAAPLGAAPLTSGHDVILLATPGRKWYICGVANHCQAGPMKFAITVQVQGGRSPPSASAPGSPPSASAPGSPSSASAPSPASPCS >CDP12201 pep chromosome:AUK_PRJEB4211_v1:8:31008500:31011992:1 gene:GSCOC_T00035613001 transcript:CDP12201 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVKYLLGSAGPSGFGSKSTAEQVTDYCPDLRSITAIITGATSGIGAETARVLAKRGARLVLPARSLKAAEDSKARILSEFPESDIIVMPLDLSSFFSVRKFVADFRSLNLPLHLLINNAGKFTQEHAISEDGIEMTFATNYLGHFLLTKLLVTKMVETAKSTGVQGRIVNVSSSIHSWFSGDPISYLSSITRSRSHYDATRAYALSKLANVLHTKELAQRLQEEMEANVTVNCVHPGIVRTRLTRERDGLITDLVFFLASKLLKTIPQAAATTCYVATHPRLLNVTGKYFADCNEAPASKLASNSSEAARIWSASETMISKLGSVFN >CDP12231 pep chromosome:AUK_PRJEB4211_v1:8:31255084:31257198:1 gene:GSCOC_T00035656001 transcript:CDP12231 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPAFCAILVVIQLHVFPCVSFSSFVFGDSLVDAGNNDYLFTLSKADSPPYGIDFLPSGGRPTGRFTNGRTIPDIIGSNLGATSFPPPCLAPNTTSNAIHEGINYASGASGILDQTGTFFIGRLTLKEQIGYFEKSRSYMVNLMGEEKTNEFLSKAIFSITIGSNDILSYFRPSSPFSGDKIVPEVLQDHMVSNLTTQLKRLRGLCARKFVVVGVGPLGCIPYVRATRLIPEGECSIEVNSVVRGYNSKLKEGLNRLNREVGFGAVFVYANSYDVFRRMIQNYHQYGFENGDGPCCGGYFPPFVCFEGKGKTANASSSFLCSDRAKYVFWDAFHPTEAANLIVAEKLLDGDPSVCSPMNIRQLHHTPHHSHSPTELS >CDP14939 pep chromosome:AUK_PRJEB4211_v1:8:9240524:9243642:-1 gene:GSCOC_T00042441001 transcript:CDP14939 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGGGNGAVTTFVRYFSRKRAPNLRKINPKVPQQEAAVIAESLYHIIKQNGPLSVSNTWNHAKEAGINGLNSKTHMKLMLKWMTGRSMLKQTCNHVGSSKKFVLSTLPEESQVNQPKNSVDMVLKSENPNTKGVRQVQSKTKGAKQAR >CDP07199 pep chromosome:AUK_PRJEB4211_v1:8:10542758:10543491:1 gene:GSCOC_T00024368001 transcript:CDP07199 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIDFDKTLICLRNACNFVGNLVRLKGQFLFVNTNTLFDEIIEEMTKAIGIKNDKSWRLEGFLTNSSSPKKFRGRNKKLNLGAIHAPDCVVIFDTERKSSVILEAEWLQVPIVGHVDSSMPWETYKKITYLVRANDSVQFVYLFCNLITKTFLYEQRKMKTAQGADDLTAGTRYELY >CDP08243 pep chromosome:AUK_PRJEB4211_v1:8:25283586:25289148:-1 gene:GSCOC_T00027011001 transcript:CDP08243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein ATH1 [Source:Projected from Arabidopsis thaliana (AT4G32980) UniProtKB/Swiss-Prot;Acc:P48731] MTEPSSGNPFDANFLSSSELIVLNNRDRILSGIPGPSVVQGDGTSSQAWIHEPNSGRISDSYMLRNMLPEREPMGVVPYGASHQISSTQVQDRYMEGMPLSAASIATLLAARSDNQENLEKPVSSAPLIYPLEIPRSIVLNDNADNLNPSHSSMRYGYDELAGAAVGRIGLQPFESMMNLYPNEWITSENANLDSDSPSGCSRLSNELSLSLAMTNPSVVHRTSIQDQCSEICGSSSPCERCLGSEQTSCNRNLSLGFGSYRPVQLSQFLSGSRYIHVMQELLAEIAAYSLGSLDLMKSPAIGMEDRADASISSSCTAVEGYLSAASEDFSDASNIVRYQIDPVLPGRNAERKKKQLLGLLEAVDDRYNECLDEIHTVISAFHAVTELDPPIHARFALQTISILYKNLRERISNHILAMGAHLSQGVVRENEESFEASFIQKQWALQQLKRKDNQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAMKSGLTRSQVSNWFINARVRLWKPMIEEMYSEMNRRKIRHNDEETNSNQRSRVSVENGRFSMY >CDP18425 pep chromosome:AUK_PRJEB4211_v1:8:22261299:22264803:-1 gene:GSCOC_T00007239001 transcript:CDP18425 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCSSNPTSQNGIFCRRNLMLTGFSTSLSLILPFSTSSDAEEEVKMAHLIDDINAYSYSYPLGLPSKKLAFKWVESRKPERYSSAAPLSPDARLRIVSERVDFIDNLVISVSIGPPNAQILKSNETSAWSAKDVADSVLADKSSLRVTSTQRLAESSVLDAHSSEVDGVPYWYYEYIVRKSPTKIALEPNLFRHYVASTAERDGYLYSLSASTLSKQWNDMGPLLQKTVASFRLLPPTENYVPPYKDPWRFW >CDP18807 pep chromosome:AUK_PRJEB4211_v1:8:260093:262369:-1 gene:GSCOC_T00005585001 transcript:CDP18807 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKTGKGKRPGKGGNRYWKSIGLGFKTPREAIEGTYIDKKCPFTGSVSIRGRIIAGTCHSAKMDKTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVVPAGASGGGKKAFTGL >CDP17898 pep chromosome:AUK_PRJEB4211_v1:8:21369044:21373424:-1 gene:GSCOC_T00011892001 transcript:CDP17898 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFYLIKKPITPSLFLLRLFLTKRPLSPPIAPSTTHPQNPLTPRPPAPTAVAMAFVKAQKTKAYFKRYQVPFKRRREGKTDYRARVRLINQDKNKYNTPKYRFVVRFTKKDIIAQIVSASIAGDLVLAAAYSHELPHFGLEVGLTNYAAAYATGLLLARRVLKKLEMDEEYEGNVEATGEDYSVEPGDSRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFDKETKQLDPEVHRKYIFGGHVAAYMRTLAEDEPERYQSHFSEYHKRGLEADNLEELYKKVHAAIRADPTPKKSEKAPPKEHKRYNLKKLTYEERKASLIERLNALNSAAGNDDDDDDEEEDDE >CDP08310 pep chromosome:AUK_PRJEB4211_v1:8:25900470:25901833:-1 gene:GSCOC_T00027104001 transcript:CDP08310 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLCDAALEGDVTAICQLLQDDPLALAKAALKCEDKNPLHIAAILGHVDFVKAILQVDFAYIMCLARDQDGRSPLHLAAMYGRLEVLQELLDAGSQANSAHSMCLARDRDGRNPLHLAAMYGRVAVLQVLIRAGLQAALEKTDGGGTILHLCVKHNQLEALKTLVDIVKYPEFVNAKNEDGMTILHLAIYYEQHETAKYIVQKNGVDVNARDANGKSALDVLRGVGNIKSEIARRLKVAGAKTSDFSTGLQDLVREHRSWIQVASSIIATMAFQAAISPPGGAWQDDLIADSRGNPVPNPHRAGEAVMAHTHPQRYELFVFTSITSFWAALSTIIITICDLTGRLALFLLSILLHLAIVTLAAAHFTSIHMLYPKGRKKIKRSTDWTEIILLYGVWAVSGILIIAIVHKRLNKKTSGFRPSC >CDP10011 pep chromosome:AUK_PRJEB4211_v1:8:27227804:27232010:1 gene:GSCOC_T00030546001 transcript:CDP10011 gene_biotype:protein_coding transcript_biotype:protein_coding MHMHKAKVDEDKCFASLSLLHILVSHTNIYSIICTSVYPFFFQTGNQEFEDSMATYSPFIIFIVSAFSLVSLCSSIPFILLHGRLGDSCSNPGPVLYTQQLRYLSGSVGFCLEVGNGAITSWDTPLEAQVEEVCRKVKATPELQNGYNIVGQSQGNLVGRGLVELCDDAPPAINFISLGGPHAGVASIPNCSQFDALCNHYTALLGRGVYTNYVQAHVAPSGYTKLPYDYLVYLAKCSFLPKLNNELLQKNATYKQRITNLKNLVLIMFQEDGVVKPKESSLFGYYADGIPGPVLPLQQTRLYTEDWIGLRTLDETGRLKLISVPGVHLLISLSDTMRYVVPYLKDDASVKP >CDP08251 pep chromosome:AUK_PRJEB4211_v1:8:25348592:25352924:-1 gene:GSCOC_T00027020001 transcript:CDP08251 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELKDRLTQAIRDMKNATVVDESVVNECLNEITRALLRGDVPFHFVKELVNNVKKSANLNNLPSGINKRKILEELTTFYCSLVDDHETACIFSELCNMLNPGKPSFTPRKGKTSVVMFVGLQGTGKTSTCAKYAYYHQKKGWKPALICADTFRDGALDQLKQDAVKVNISYYGSSTESDPTEVALEGLKRSHFLKMRQLYEATKPDLVVFLIDSSIGQAAFDQARAFKQSVSVGAVIVTKFDSHAKGGGAISAMAATKCPVIFIGNGEQLDKFEAFNAKAFVCRLLGKHHPAELVDRFRDSVSLDEQAALCQMFTDGENFTFKKYQAVVRHNYKVWMRQMGPLLLSQVRDLQPNVQENVKPEQMKKYLIMMDSLTSEGNSNPKIMKESRIRRIAQGSGCHVGDVMKMLEHYKQLANMCTRRKMDNMARMISRGRMPSGAGMSGDLMNMFRQLSSSAGMARMLSEAI >CDP18544 pep chromosome:AUK_PRJEB4211_v1:8:4310576:4311729:1 gene:GSCOC_T00010784001 transcript:CDP18544 gene_biotype:protein_coding transcript_biotype:protein_coding MIVADVSGNSSQCVFVCDFEVIENSRNNAQLRNWPFRAYPIFVRVFISCKYSMSKFIGLKVVKPMEEIVVPNDNTTSCTKSGSLERKVRSQKEQALNYPRCNSTNAKFCYYINYNLSQPRQFCKTYRRY >CDP12464 pep chromosome:AUK_PRJEB4211_v1:8:15553245:15558284:1 gene:GSCOC_T00036046001 transcript:CDP12464 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKKEKQVRFYNDGKQDFELFWEKKIAQQPLDKQLPTYKGASSVPFMKGEGGFGDRSRVNVFPRFGRSKVFPEGNYEPGKKWVLDPGSELSLQWNRIFLFSCFVALFVDPLFFYLPAVVNNEESSSSCMTTDMNLGITITCFRTLADVFYVLHVFIKFRTAYVSPSSRVFGKGELVMDLSLISQRYLKSDFFIDVIAALPLPQIVIWFIIPAIRSSHADHTNNALVLIVLLQYIPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSIERDATCWKSACRQEFKSTNCSLHFLDCQTVDHDERRTWANSTAVFSNCNPDNTTFFNFGIFGNAVTNNVLSVNFIEKYFYCLWWGLQNLSSYGQTLSTSTFIGETAFAILIAILGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMRHRQLPPDLQERVRRFVQYKWLTTRGVDEESILHALPTDLRRDIQCHLCLDLVRRVPFFSEMDDLLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGTLESSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPKSALNLPSSTRTVKSLSEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRYYSHHWRTWAACFIQAAWRRHKKRMLAKCLSMTESFSLASDEQFVDSNEKTEEATAAKSSNSHINLGVTILASRFAANTRRGAQKLKDVELPKLQKPEEPDFSAEADDE >CDP12035 pep chromosome:AUK_PRJEB4211_v1:8:29720518:29723700:1 gene:GSCOC_T00035395001 transcript:CDP12035 gene_biotype:protein_coding transcript_biotype:protein_coding MIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQCLGAICGAGIVKGFEKGPYERSKGGVNYVQHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTSINPARSLGAAIIYNRDLGWDDHWIFWVGPFIGAALAAVYHQIVIRAIPFKSRA >CDP13475 pep chromosome:AUK_PRJEB4211_v1:8:1039975:1065465:-1 gene:GSCOC_T00038432001 transcript:CDP13475 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRKGSKVWVEDRDSAWVAAEVTDFIGKQVQVLTQNGKKVLALPEKLHPRDAEADHGGVDDMTKLTYLNEPGVLDNLQRRYGLNEIYTYTGSILIAVNPFTKLPHLYNLHMMEQYKGAPFGELSPHVFAVADASYRAMMREGRSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASGLDAEKYKLGHPSTFHYLNQSKIYELDGVSNAEEYVKTRRAMDIVGISSEEQEAIFRTLAAILHLGNVDFSPGKEHDSSTIKDQKSDFHLQMASNLLMCDVNLLLATLCTRSIQTLEGVIIKALDCNAATAGRDALAKTIYARLFDWLVEKINRSVGQDHDSRIKIGVLDIYGFECFKHNSFEQFCINFANEKLQQHFNEHVFKMEQEEYHKEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHGTFSNKLFRNFPTHPRLEKAKFSETDFTISHYAGKAYKNRDYVVVEHCNLLSSSKCPFIAGLFPSSAEEFSRSSYKFSSVASRFKQQLQSLMEILSSTEPHYIRCVKPNSLNRPQKFENQSILHQLRCGGVLEAVRISLAGYPSRKTYNEFVDRFGIIALDMMDGRYDEKTMTEKILQRLNLRNFQLGKTKVFLRAGQIGVLDSRRAEVLDSAAKCIQGRLRTFFARRDFLLHQSAAISLQACCRGHLARKLYTSIREETAAIVIQKYARRWLFRHAYVQLYMSIVFVQSSIRGFSARQKFLYRKEHRAASIIQAFWRMCKIRSAYCHRQSNIIAIQCLWRQKMAKREFRRLKQEANEAGALRVAKTKLEKQLEDLTWRLHLEKKLRVSNEESKLGEISKLHKTVESLSLQLDAAKLATVNEFNKSAVLQRQLELSMKEKSALEREVVALSELRNENEILKNSLLSLEEKNSALEQELVKAKQDTSATIQKLEKVELTCSELQQNLRSLEEKLSNLEDENHVLRQKAISATPKSIRPGYVKPFLDKFSGALALSSADRKPSFESPTPSKIIAPLSQGFSDSRYTKLTTERHQENYDILSRCIKENLGFKDGKPVAACVIYRCLLHWHAFESERTSIFDFIIEGINEVLKVGNEDTTLPYWLSNASALLCLLQKNLRSNGYLNANSHRSPGSSGLNGTVTHVSKSPFKYIGLEDGLSFVEAKYPSLLFKQQLTACVEKIFGLIRDNLKKEISPLLGLCIQAPKNQRVHGGKLSRSPGGVPQQSPSSQWDSIIKFLDSLMSRLRENHVPSFFIRKLITQVFSFINISLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVTAKEEFAGTSWHELNYIRQAVGFLVIHQKRKKSLDEIMQDLCPALTIRQIYRISTMYWDDKYGTQSVSNEVVAQMRETLNKDSQNLTSNSFLLDDDLSIPFSTEDIYMALPPVDPSDVELPKFLSEYPSAQFLVKNINVNTLP >CDP20385 pep chromosome:AUK_PRJEB4211_v1:8:27125050:27126750:1 gene:GSCOC_T00012611001 transcript:CDP20385 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKEEKRSDSENAKRSSSSSSPSASGSKQLKSCSDCHTTRTPLWRGGPAGPKSLCNACGIKYNKKRRELLGLDRGRNDKGKKKRKSSGGGNKSNEGGGVGQSLRMKLMALGGDMVLRRSGKLMGKLREEEQAAILLMALSCGSVYA >CDP12983 pep chromosome:AUK_PRJEB4211_v1:8:2821513:2826535:-1 gene:GSCOC_T00037702001 transcript:CDP12983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.3 [Source:Projected from Arabidopsis thaliana (AT1G32450) UniProtKB/Swiss-Prot;Acc:Q9LQL2] MASFQVSKEEKLKENQEERYTLDGTVDMHGRPAIRGKTGRWFAGIIILLNQGLATLAFFGVGVNLVLFLTRVLQQNNADAANSVSKWTGTVYIFSLVGAFLSDSYWGRYRTCAIFQVIFVIGLAALSLSSHLFLMKPKGCGNETTACAQHSSWEIGLFYISIYLVALGNGGYQPNIATFGADQFDEEDPKEGHSKVAFFSYFYLALNLGSLFSNTILGYYENSGMWAIGFWASTASALAALVLFLAGTTRYRHFRPCGNPISRFTQVIVAAIRNWRVEGPQSDYELFEVEGKESSVTGSRKMLHTHGFKFLDRAAFIASRDFNEKQGYYNRWHLCPISQVEEVKCILRLLPIWLCTIIYSVVFTQMASIFVEQGAAMKTKVWNFEIPPASMSSFDILSVAVVIFFYRRVLDPIVSRIKKSGAKDLTETKDSKGLTELQRMGIGFVIAVMAMLSAGIVENYRRKYARIDCTNCEGSSSLSIVWQVPQYALIGASEVFMYVGQLEFFNDQAPDGLKSFGSALCMTSISLGNYVSSLIVSMVMRISARDDMPGWIPINLNNGHLDRFYFLLAGLTIIDLAVYMACAKWYKSAKIEGKYVEDTEKEACGV >CDP17903 pep chromosome:AUK_PRJEB4211_v1:8:21503853:21505642:1 gene:GSCOC_T00011901001 transcript:CDP17903 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFLPFSLSFLGLLLLFFFLLMVRKTLSKTSKISGKLPPGPRKLPLIGNIHNLFGGLPHIVLRDLAKKYGPLLHLQLGEVSTVVVSSAEMAKEVLVTHDPVFTNRPDRLAINIMWYDKQDMIFTPYGDHWRQLRKICITELLSNKNVRSFSYIRKDEIMKLMESIRSSQGLSVNVTEMFFRYATYMTCRAAFGTISKDTETMIKCLKEAMVLAAGFDAADVFPSLKILPLISGLKRKLLKMHDKMDEILDDVINQHKLNHKSGKMGNAVSGEEDLIDVLLRLQESGNLQMPITDRNIKGVLFDIFTAGTDTSSVTSEWAMSELMKHPRVMAKAQAEVRQVCKGKETIEEDDIQKLVENREVKGYMIPNKSHVLVNAYAIARDPEYWDDPEMFKPERFDQKSVDYTGSDFQFLPFGTGRRMCPGVTFGVANIELPLAHLLFHFDWSLPNGMKPNDLDMDEAAGLSINRKNNLYLVATAYCPPMNE >CDP13453 pep chromosome:AUK_PRJEB4211_v1:8:1264334:1265758:-1 gene:GSCOC_T00038405001 transcript:CDP13453 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSMAMPMTNASQKRLQPPTSDAFVKPLPVRPSKAVFVQPSKSRAKLQIEASLKEKAITGLTAAALTASMVVPDVAQAAGSDLSPSLKNFLLSIAAGGVVLAAIFGAVIGVANFDPVKRS >CDP13415 pep chromosome:AUK_PRJEB4211_v1:8:1784038:1804166:-1 gene:GSCOC_T00038347001 transcript:CDP13415 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVHTQSLLSWCVLSGHLLISVLSFCLGQYITQPADLGKTSKKKYAFGLLQPRYTSLKNDFLSCEDLEGVGSFDTTCLLNSNLFVNSDIHVFGTGNLEILPSVSIACPVEGCIIHFNLSGNIKVGNNAAIIAGSVVFAAGNLTLGAESSINTTALAGSPPSQTSGTPVGNDGAGGGHGGRGASCLKSNETSFWGGDVYCWSSLSKPWCYGSKGGSMSDQHKFGGKGGGRILLHVKDVLSINGSVTAEGGDGGLEGGGGSGGSIFIRAKKLKGFGIISAAGGRGWGGGGGGRISLNCYSKQEDVKVTVHGGFSSGCQLNAGAAGTYFDASVLSLRVSNDNITTETETPLLDFSTSPLWTNVYVENNAKVLVPLLWTRVQVRGQISLLYGSSISFGLSDYPVSEFELVAEELLMSFSVIKVYGALRVAVKMLLMLNSKIEVDGCGNTVVTTSVLEVRNLAVLKGNSIISSNANLALYGQGLLELTGDGDAIKGQRVSLSLFYNITVGPGSLLQAPLDNGSSRSMVTKSLCESSDCPVDLITPPDDCHVNYTLSFSLQICRVEDILVSGVIMGSIIHIHRARTVIVDSIGMITASEFGCSSGVGQGNYSHGAGGGAGHGGRGGSGFYNGILSKGGQRYGRADLPCELGSGSEVPNLSCGNISGGGMIVMGSNQWPLLRLDIRGSVRSDGESCRKAATNSNGSLIGGLGGGSGGTILLFLQSLALYLNSSLSVVGGHGGPLGGGGGGGGRIHFHWSNIDAGNEYVPLATVNGTLSNSGGAGNGGGLRGEEGTVTGKKCPTGLYGTFCTECPVGTYKDVEGSDENLCKPCPLERLPSRAFFVYVRGGVTKSACPYICISEKYRMPNCYTPFEELIHAFGGPWPFSLLSACLVLLLALVLSTLRIKLIGSGYSYVNVNSIEHQNHHHSPYLLSLSEVRGTRAEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIVEIVYEDAFNRFIDEINLVAAYEWWEGSVHSILSVLAYPCAWSWKQWRRRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMIAYIDFFLGGDEKRMDIVTSMQKRFPMSIIFGGNGSYMSPYNLHSDTLLTNLLAQHVPTTVWNRLVAGLNAQLRTVRQGSIRSTLVPVISWIRSHANPQLDFHGVKIELGWFQATASGYYQLGIWVIVGDYSLHDVHQSDILDSGDECSRKFATIDKCHRKAQHGHLSTSHSLLRKRTTAGKNGGLINDITLKSLDFKRDYLFPFSLLLHNTRPVGREDTIQLLISIMVLADLFVTLLILLLFYWMSLGAFLSVLLILPLSLLSTFPAGLNALFSKGARRASLARVYALWNASSLSNIAVAFICSVIHYAVSYLKPHPDTNAWNSRRGDDEWWLLPTILLIFKVVQARFVDWHIANREIQDFSLFSPDPDTFWAYESVS >CDP12164 pep chromosome:AUK_PRJEB4211_v1:8:30751805:30755742:1 gene:GSCOC_T00035566001 transcript:CDP12164 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPLTSEAIALTEKKMDMTLDDIIKMSKNNTSKGRKQRVSNRSKKFVNNVTQDKGAKVQRFMDARSTMRQGALGQRRSNFQGNQFPLATEAARKAAAIPIRNRPFNGIRAVNVNKPRVGAPMVQRRAVNGGGFNMKQSQQQVKVTPKQRPQTLDSLFADMKEQRMKVLSQQNNPVKRNGGGQRLPPWQRARFGK >CDP18417 pep chromosome:AUK_PRJEB4211_v1:8:22333969:22337256:1 gene:GSCOC_T00007228001 transcript:CDP18417 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNAAVKLDVLEIIAKAGPGGKLSPSEIVSQMPTKNPDAPDFLDRMLRLLAGYSVLTCSVVDGGAGAHHERRYGLAPVAKYFIKHQYGATLRQLSVYLQNKLLMDSWYQLEGSVLEGGNAFKRTHGCELYTYMAKDPTYNEAFNKAMSCHTKVVLEKALECYKGFENLKTLVDVGGALGQAIHMITSKYPDIKGINFDLPHVIELAPPYPGIEHKGGDMFESVPEADAIFMKWILHNWDDEHCVKLLRNCYKALPNDGKVIVVDAIVPVNPENSDAAAKSNMQIDLFMMAVCSPGAKGRSELEFRALATEAGFRGIRVDCRLFDLWVLEFYK >CDP13057 pep chromosome:AUK_PRJEB4211_v1:8:3761981:3763061:1 gene:GSCOC_T00037817001 transcript:CDP13057 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPEKGVKKGPWSIEEDQRLVEYIEKHGQGNWQTLPKQAGLNRCGKSCRLRWTNYLRPGIKRGGFSAEEEAAIIALHKQLGNKWSRIAAHLPRRTDNEIKNFWNTHLKKKLLRRGIDPTTHKPVPDFSLLNLSQAQLLSVSDLHNFINPLDAALNLQANANDLIKFQLLKNMMQAINPNPLPYIQGNTNLADLIQLNRLFDGTNTDFTVDPLQAQSMNTSFCNISPLLNQQQTSTSHSLPCLDGQITPGPILDDGFNVSSSNMYNSEYSLPSLVSVTPESSIINPFESFKHGSIPAEAPEQSNVFDDWNSLVNDEASSLFWKDVLG >CDP08183 pep chromosome:AUK_PRJEB4211_v1:8:24658311:24664888:1 gene:GSCOC_T00026936001 transcript:CDP08183 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLRSGVRRGRRGLPIAAAAANVKQDEPSEQPRRNSSRRAATPAKRAVRTTRQRRTTAGNKTGGRINNRNKNRIKKEEAEQPLPQRNDVKKTASVGEQEDKRDAVNLNLKEEVGEKEIMDEYDSGGRSGDKGLGAEDEGSTAPLPERVQVSGSPAYRIERKLGKGGFGQVYVGRRINPPNPNERTGSGAVEVALKFEHRSSKGCNYGPPYEWQVYTALGGSHGIPCVHYKGRQSDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKLHSRGYVHGDVKPENFLLGPPGTADEKKLFLVDLGLATRWRDSSTGLHVDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPDTLCCFCPAPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIFQVGHKRGRLTIEDDDDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADMRLSQHIEKGNEDGLFISSVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSESFPFKWINKKWREGFYVTAMATAGTRWAIVMSRGAGFADQVVELDFLYPSEGIHRRWDAGYRITATAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >CDP08160 pep chromosome:AUK_PRJEB4211_v1:8:24491011:24492326:1 gene:GSCOC_T00026905001 transcript:CDP08160 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFAAKGVIFSVLVLLLLANIQCEAYLSPKFYDGTCPKALSTIRSSIRRAVSRERRMAASLIRLHFHDCFVQGCDGSVLLDATSSFQSEKTAPANRNSVRGFEVIEAAKREVEKICPGVVSCADILSVAARDASVAVGGPTWQVKLGRRDSTTASFSLAQTDLPSPFASLSDLISKFAAKGLSAREMVALSGSHTIGQAQCFVFRNRIYSNGTDIDAGFASTRRRQCPAANGVGDSKLAPLDLVTPNSFDNNYFKNLMRKKGLLISDQVLFSGGSTDSIVSEYSKNPRTFLSDFASAMVKMGDIEPLTGQNGIIRKICSAVNYK >CDP09892 pep chromosome:AUK_PRJEB4211_v1:8:28378989:28382434:-1 gene:GSCOC_T00030374001 transcript:CDP09892 gene_biotype:protein_coding transcript_biotype:protein_coding MVISKAMVLDSETGEFRSVMYKGFASEVFVPYMDYRQNWYFKSFMDAGEFGLGAMALALVPLNDCPRYSYYLDGVFVYADGRPYIQPNMICIFEKYAGDIDWRHSEPPVNGFDHNQARPRITVVARMAASVGNYDYIFDWEFQMDGLIRVQVSLSGMLMVKGTPYENPNQVPSNVEMSTPLVSENVLGVVHDHFITFHLDMDIDSSNNSFVNINLVKEENLGGQSPRKSILKAKRQVAKNEEDARIKLKLYDPSEFHFINPSRFSRLGKPTGYKLVPRATAASLLDLDDPPQLRAAFTNNQVPVIGLFSIQMLFCNRSEPWAGGFLVYQSKGEDTLAVWSDRNRPIENKDIVLWYTLGFHHLPCQEDFPVMPTVSSSFDLKPVNFFESNPILGVMPNFEKDLPICWASTSQ >CDP08373 pep chromosome:AUK_PRJEB4211_v1:8:26570827:26572985:-1 gene:GSCOC_T00027180001 transcript:CDP08373 gene_biotype:protein_coding transcript_biotype:protein_coding MACPREKSRSPFSFIARNRNSQSNSRICFELKFSSAGMVPAAVTTDVASPSRTTSEEKVYDVVLKQAALVKQKKTGRSIHEKKLLEQRTGNISWDLLNKAYDRCGEICAEFAKTFYLGTLLMTPERKRAIWAIYAWCRRTDELVDGPIASHLTPRALDRWEERLAGLFEGHPYDMFDAALSDTVSKFPVDIQPFKHMIQGMRVDLKKSRYKNFDELYLYCYYVAGTVGLMTVPVMGIAPESKSSAESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELGQAGLSDDDIFRGKVTEKWRNFISGQIKRARMLFDEAERGIAELNSASRWPVWASLLIYRQILDVIEANDYDNFRKRAFVGRAKKLVFLSVAFGKAVAAK >CDP18415 pep chromosome:AUK_PRJEB4211_v1:8:22341072:22343099:1 gene:GSCOC_T00007225001 transcript:CDP18415 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHVPRIFHAKQIMNRVLSNLGGKDVPKGHFAVYVGEARRKRYVIPLYYLNHPSFRCLLPQAEKEFGFNHPMAKQSLRPSSKDVSKGYIAVYVGESEKKRFVIPIAYLNQPTFQELLSQAEEEFGFDHPMGGLTIPCREDKFIDLTSCLSKNMS >CDP12981 pep chromosome:AUK_PRJEB4211_v1:8:2802283:2803413:-1 gene:GSCOC_T00037699001 transcript:CDP12981 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSLKLQDTQHQQQPQQPQQSNQIHSLLFRAKIPVTIFNLPFLSGFSTTTHHPSDLSLSLSTHFPSGPTLKLSYSTTSSTTATTASTAANTSTTTPPPPFTLTLKSGIGQYGSPKESPLIISANFSFSPNNPTSNPTFSILFKPRLGSFSLRKSTFSSTPGSPSGKINGEASSFGFVPLERPVNLKDFSLENNGKDSIFKGISMMARTEMPLAKRVLMTLRWGVNFPDDLSKQLPYMAVNKIGIERVDQVKEVEVGKQKRSEGNVGGDSELLKGMCLWMKRELDMLQRENREMKHKLEEMRLGPFGRNGGSSGALGETNRKKTIPVVEESSGFEQWRSKKHGGEENSKKEVKKNGNRLSDVESELERAIKAASSS >CDP11673 pep chromosome:AUK_PRJEB4211_v1:8:4625630:4628127:-1 gene:GSCOC_T00034120001 transcript:CDP11673 gene_biotype:protein_coding transcript_biotype:protein_coding MMLWNMTTYFVNAMQLFMTLGFIPCYSQWARKNRRNYSMTWDVFYVNWDEVLVGATTVVSTLGGFGSEEQMLRINGEANVVAVNAAKDFGISKFILISVHDYNLPSFLLNSGYFTGKRKAESEVLSKYPNSGVVLRPAFIYGKRKVDGFEIPLDFIGEPLDRFLSATENFIKPLSSLPGSDLLLAPPVSVDDVAYAVINAIGDDGFFGVFTIEQIKEAAAAIRS >CDP14947 pep chromosome:AUK_PRJEB4211_v1:8:9177191:9182899:-1 gene:GSCOC_T00042451001 transcript:CDP14947 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVAVVLGNLSIWNPISVPSQCKILSSSVDLRSSKVCELGLLNYRAKYVFYPYERKKFRCHYDYYWASVFEVEYVDRSGQHRLALAEAPNEALPSNCRPTFGAAWLTKDKFKVNETYDCWYTLGISKVDIRQDGLFNCQANDPSSFEMLRRYSILSMRILKSWITSSRGLKHWRLDVVAGVMTGFCTSLVTVGLARVLHQLKSSLHRYSAERTHLSSWAVYIKRACFFIAYFSFMGFLALMYLRRIGLPQMSGMFATW >CDP12474 pep chromosome:AUK_PRJEB4211_v1:8:16278764:16281942:-1 gene:GSCOC_T00036062001 transcript:CDP12474 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTSGLRVDSRLRFTSMSWIPYFSGFMALVLLVFTMGKWIMICLKNNKPRFPLPPGPKPLPFFGCIFQMLRNRPTHRWIYKVMDDMNTEIACFRIFGVHIIPVTSPELAREFFKKHDSIFSNRPVCMSAELSSEGFLTTGLSPLGDQYKKMKRMIVSSVLSPAKHQWLHSKRAEEADHLVNYVYNQCKDDATAGLVDIRLATRHYLGNVIRKMIFNKRFFGKGMEDGGPGAEEVEHVNALFKLLAYMYAFSLSDYMPWMKIFDFDGHRKVLTMAIACVRRHHDPEIEKRIKTWESGLKNEEEDLLDVLIRLKDNKGRPLLTTEEIRAQITELMFATVDNPSNAVEWALAEMLNQPEMLQKATEEIDAVVGKDRLVQESDLARLKYVKACAKEAFRLHPYAPFNVPHVSTQDTVVGGYFIPKGSHVILCRPGLGRNPRIWGDSLKFKPERHMNDMDDARMDLNDPELNMLSFSTGRRGCPGVLLGSTLTVMLLARLLQCFNWKIPSGLSQIDLAEGMDAGFLAKPLFAVAEPRFPQFN >CDP09958 pep chromosome:AUK_PRJEB4211_v1:8:27816496:27818001:1 gene:GSCOC_T00030472001 transcript:CDP09958 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILFIIFVATIILHVSLSYSSILVLPLTHSLSKSQFNTTPHLLKSTSTHAATRFRHRLHSQNQKQTHPLQVSLPLNPGSDYSLSFTLGSQTISLYMDTGSDIVWLPCHPFDCMLCEGKFNPSTIPYTAPLNITHATPVTCKSRACSVAHSSHPTSDLCAVARCPLEEIETSDCKKFSCPPFYYAYGDGSLIAHLYSDNLSLSLSSPSLVLNDFKFGCAHSTLGEPIGVAGFGRGALSMPAQLASSSPEIGNHFSYCLVSHSFDADRVRMPSPLILGRYNSAGENKDRRTDSPGTDPVYTPMLKNPKHPYFYCVGLEGLSVGKKKIAAPENLRRVDGRGNGGMVVDSGTTFTMLPPGLYESVAAEFDNRVGSVYKRASDVEGRTGLGPCYYVAGGDVKVSSNVVVPQLVLHFGGNSSVVMPRRNYFYEFLDGGDGGKVKRKVGCMMLMNGGDESESGGPAGVLGNYQQQGFEVVYDLEQERVGFARRRCASLWDTLNQH >CDP12141 pep chromosome:AUK_PRJEB4211_v1:8:30561038:30562482:-1 gene:GSCOC_T00035535001 transcript:CDP12141 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGSSWDYYTLVNELTQGMEQAKQLRVHLSSGYMEPQDLLLQRILSSYEKALSILKWNGGGSVGQSQPTPLASGAPESSISVEGSPQNEEAKNNFNDYQDLRDVSKKRKTQPTWTEQVRVSPENGLEGPADDGYSWRKYGQKDILGAKYPRSYYRCTYRHMRNCWATKQVQRSDDDPTLFEITYKGTHVCNTATSISVPQHPSPAKQEFKHSYNHNNHQQPQQPNQILMNFRANLRVNTGDSEAKEILFPMSALVDENILGTYSPSFVSPATSGSNYFSMSPCHMANLGRIKNLHSSESDLTEIISATASATNSPIAGLDFSIDSVELDPNFPFNAPGFFT >CDP13005 pep chromosome:AUK_PRJEB4211_v1:8:3059841:3066858:1 gene:GSCOC_T00037733001 transcript:CDP13005 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSGPGPGPKPFSDYVDGGGQSSPTTGGGSGPGGGFNIEPAKKKRGRPRKYSPDGAGSSIALGLSPTPVTPISSASVVGLADSGGGGGGGTLSSETPAKKHRGRPPGSGKRQLDALGAAGVGFTPHVITVKAGEDIASKIMAFSQQGPRTVCILSANGAICNVTLRQPAMSGGTVTYEGRFEIISLSGSFLLSENNGSRSRTGGLSVSLAGSDGRVLGGGVAGMLMAATPVQVVVGSFIADGKKPKGSTVAPAPGMLSFGNPVIGASPPSQGASSDSSDDNGSPLNRSSGPYNNAQTIQNIPMYTNMGWPNSTVKMHPN >CDP21023 pep chromosome:AUK_PRJEB4211_v1:8:9360230:9363419:-1 gene:GSCOC_T00007027001 transcript:CDP21023 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGDCLEVLARDSTKKDHCLLLQVTTRENGIKILVNTDGQYLLRMLESRTFEGSRAFSEQVNVKIQQSLSIGNLAAMDGSTIADVKPRISDNADRIKCWKFSDIAEANQLKTLRLPDPLAASKILRLLYTNSGLALLALGSNALHRLWKWQRSERNPSGKVGFSACCFHFYSRPYFLFGTINSMNFCTCVILTEIPLFYGCNKLMQKLILLLDLSNDLSEAKPAEESPACIALSRNDSYVMSAPNDDIMMLPQDVLKVKIFMHDFCCNHLTNSLFLYLAFGIKKPTDLLITIFFLCIWNIDGWEKKKMKAIQAPPPLIGETKVQFHNDQS >CDP09927 pep chromosome:AUK_PRJEB4211_v1:8:28062501:28063407:-1 gene:GSCOC_T00030425001 transcript:CDP09927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid phosphate phosphatase beta [Source:Projected from Arabidopsis thaliana (AT4G22550) UniProtKB/Swiss-Prot;Acc:Q9SUW4] MGSTSPTIPPPPSSVLRHLIKFDTALSLRLYTITQPILPYSLLKSLEFSGDGRLFFPLILSLLLYPLSTTTAAATNPFLLDLFLGALLDLLLIGLLKHLIRRPRPVYNKNMFVSFAVDHWSFPSGHCSRVSFIATLFYFYSNWVRNLLINSRLNQFVVENIVLIVASWAVITSVSRVLLGRHFVLDVFAGMFLGVLEGFFVFRVFNCENLSSFLR >CDP09764 pep chromosome:AUK_PRJEB4211_v1:8:29410790:29412728:-1 gene:GSCOC_T00030221001 transcript:CDP09764 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPEAFGSTSSNSYMASTRETYTVWLKSLVFHGNGCTIFNSKGEIVFRVDNYQEKSSSEVFLMDLNGDVLFSIQRKKIQVFQSWNGYKWSNSKASKERPWIQVRKCRRILGGNTTDRVALGRDKATGIGYSIIESHRKSEFKIIDSAGRLVAEATQKRSSSGVPYGDDVLTLVVEPQTDQLLIVALMTVHGLINHKL >CDP11691 pep chromosome:AUK_PRJEB4211_v1:8:4823016:4824539:1 gene:GSCOC_T00034148001 transcript:CDP11691 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCCFDICLFIADLFLQSVIKKKYGQDATNVGDEGDIALISRRTRKVLNCLKQLLLKLVTLVKLHPSLGVYKFLLTQSLRLQQTNLVQQICCTEKGNNEERAFRLEVVIGMDVAASEFYGKDKTYDLNFKEENNDGSQKISGYD >CDP14474 pep chromosome:AUK_PRJEB4211_v1:8:13599875:13604536:1 gene:GSCOC_T00040974001 transcript:CDP14474 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEFHTVFRSQVQQDLLSNSTSKMSFRLQFSYAFICNIGNLTFLEELIHDNNFFHGTIPRQHNQLLHPQHLSLYNNSFQGEIPAELGSSRNLLDLYLSKNQFQGTIPHSLGNLADLRILSLSDNLLEGNIPEGLGILSNLEFLKLSSNKLSQNQFFGSMPVSITNASGIVILDIGGNALSGVVPMNMGNLKHLQSLNLAHNCLSGLLPTGVGNLINLGRLDLSNNRLSGELPSSLGFCEVLEFLSLQGNLFKGPIPSSLDLLRGIQLLDLSRNNLSGNIPVSLALFRLIPFLNPSYNMLEGEVPNEGLFMNSTMFSISGSEKLYGSLESANSKNLTYARIEVINLKSNNLESEVPAVLSSFNNLLVLHLSVNHFRGMIPHSLGNLCAVHILSLSGNIIEGSIPEELGKLSNLSSIKLSCNKFSAVPMQLF >CDP12108 pep chromosome:AUK_PRJEB4211_v1:8:30311656:30313738:-1 gene:GSCOC_T00035495001 transcript:CDP12108 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPGHEAKQLEIFEVGPVADGYSMGFLIGHRFSGQIRSRLATDLILQNQLLPFAQTPQGRRLINALSENNQKKFPNYWNELLGTAQGSGVPFLDILLLNFRKEILPFIPKSEADLSSRDDNNDDCSDILVVSDYMAIAAHNEDANVALLGHTYLIKGILPNGLCFTAYTYAGELPSCAFAFNSLGLAFTLNSVPPTQSEIVAGGIGRNFISRDLLEAESMDDALTRIRSSEVSVGHSYNLIDTGKRRILNVETASRTRVSVLEVGETPFFHANMYLHLQVQQVQDENSLTRQKSAALLPKSSKIDFLSLLGDTSDKTYPIYMSGPVLYTLCTVLIDLDENTLSITEGNPEQREPSYVFSMS >CDP09851 pep chromosome:AUK_PRJEB4211_v1:8:28712229:28714257:-1 gene:GSCOC_T00030326001 transcript:CDP09851 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQSWTTRRGSNPRLESTDQVLDIPVTPTAEIKHQQGVGSLISPNLLTALIIASWYLSNIGVLLLNKYLLSFYGYRYPIFLTMLHMISCASYSFVAIRWLEIVPFQQIHSRKQFFKILALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFVITCKKESAEVYLALVPVVLGIVLASNSEPLFHLFGFLMALGSTAGRALKSVVQGLLLTSDAEKLHSMNLLLYMAPMAAMILLPFTLYIEGNVTAVTIEKARLDGFMIFLLVANATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVTVMGMTGFAVTIMGVVLYSEAKKRSKLTAH >CDP07851 pep chromosome:AUK_PRJEB4211_v1:8:18831572:18832741:1 gene:GSCOC_T00025311001 transcript:CDP07851 gene_biotype:protein_coding transcript_biotype:protein_coding MANWSDLQHDMLGLIAQHLDKIEDYVAFGAVCKSWRAAASEKNFKGLRLWQQIPCLMLAAKDDFNREFYSLMEKQVVAKVSLPQLKGKKCYESLGWLLTIGQQGEMSLLNPFSGVEIELPNQNTFPEYDVYETDPDIFVRKMVLSLRPSREAPEDDDFVVMIICGGVGFLAFWRPKDLRWNRIETRNSSYADVIYTDGQFYAIDHMGNVVVCDVLEANPTDQARIIARFSHELWYKKELYLVKSSSTDGEPFLVVTRDNIPNYEDEQGFELDKPIYGTTEFQVFELVSTTGGGKEITSRWKEVENLGSRSIVLGHSSSMCLENNKLAHEIRPGHIYFTDDAWEGYLEIPEGGGKDMGVYNLEKGVTAPLYDAPLRFSRTCPSIWITPNF >CDP08154 pep chromosome:AUK_PRJEB4211_v1:8:24415809:24420017:-1 gene:GSCOC_T00026896001 transcript:CDP08154 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSIRSFGSLICRFSNGVFLRPSHSFALQRKLFFREKLSSCSRYSSSGKWVSAFHFGTGNSVRKIRSFAPLFMGRRSCKIAGRKTAQDAKKTKLYSRIGKEVVSAVKKGGPNPVSNIVLAALLEKAKELDVPKEILERNIKRASEKGQEAYIEKTYEVYGYGGAGIVVEVLTDKINRSVAAIRGVLKDCGGKMADSGSIAFKFKRARVVNIKVTDVEKDQLLSIALDAGAEDIIEPLLDEDDSEEDKSERHYKVVSSAENYSDMLSKLRDSGIPCETDNGSELLPINPIEVDDEAMDLNRELMSKLLELDDVDAVYTDQKL >CDP08244 pep chromosome:AUK_PRJEB4211_v1:8:25302612:25303175:-1 gene:GSCOC_T00027013001 transcript:CDP08244 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNQIPQGLSPEEFSELERLISTYHTFEPTPNTCTSLITQRIDAPAKVVWPFVRRFDNPQKYKHFIKGCNMTGDGSVGSIREVTVVSGLPASTSTEILEILDEEKHILSFRVVGGEHRLNNYKSVTSVNEFDNEGKVYTIVLESYIVDIPEGNTAEDTKMFTDTVVKLNLQKLGVVAMASLHGHE >CDP12207 pep chromosome:AUK_PRJEB4211_v1:8:31052771:31056685:-1 gene:GSCOC_T00035621001 transcript:CDP12207 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYVKAVPPTDLNRNTEWFTYPGVWTTYILILFFSWLMVLSVFGCSPGIAWSVVHLSHSLITYHCFHWKKGTPFSDDQGIYNRLTWWEQIDSGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLLFNTVAVFVLVVAKFPHMHKVRIFGINADQ >CDP07230 pep chromosome:AUK_PRJEB4211_v1:8:12457916:12461812:-1 gene:GSCOC_T00024435001 transcript:CDP07230 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIQKFATRATARKPLQKKKLLRVFSEDYEAVQRKILDPRGSFVTLWNKIFLIASLISLFIDPLFFYMPIVKEEMCMVSSRSFEIVLTVIRSVVDVFYVVQILVRFRTAYVAPSSRVFGRGELVIDSSKVASRYLRKEFWPDLLAALPLPQVLIWALIPGLRGSNKTSTKNLLRSTIVMQFLLRLFLIFPLSSQIIKTAGVVVGAAWAGAAYNLLLYMLASHVLGSCWYLLAVERQEQCWKKVCDLQQPQCEYRFFDCHRMNDSDRMDWFKSSNISQLCSPDGDFFQFGIYADALTLKVASSEFLNKFSYGLWWGLRGLSSVGQNLFTSTYLGEIDFAIVIAIVGLVLFALLIGNMQTYLQSNTLRLEEWRVRRTDTEQWMHHRQLPHELKEKVRKYDLYNWVTTRGVNEEAILRGLPLDLRRDIKRHLCLDLVRRVPIFDQMDECTLDAICERLKPVLCTPGTCLVREGDPVNEMLFIIRGHLDSYTTGGGRTGFFNSCRLTPSDFCGEELLTWALDPRPSIILPSSTRTVTAITEVEAFALVSEDVKFVASQFRKLHSKQLRHTFRYHSHQWRTWAACFIQAAWFRYKRRKEAAVLKAREKLDDHENGDDTLSPLETSNAHRCRSYPPRASRFVRYATTLAPRRNGSRRNGTELNFVSTLHKPVEPDFSVEDR >CDP07189 pep chromosome:AUK_PRJEB4211_v1:8:10414648:10419184:-1 gene:GSCOC_T00024355001 transcript:CDP07189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:Projected from Arabidopsis thaliana (AT5G55130) UniProtKB/Swiss-Prot;Acc:Q9ZNW0] MESNGRPQASEILCQIESLRSSKDEIERQISDLEAQLQQLNCDENNCRKAEEDEVNSNGSSSCLILPSENGSFDPGHGLASDMIYRYSRQLLLPAFGVQGQANLLKSSVLVIGAGGLGSPALLYLAACGFGRIGIVDHDVVELNNLHRQIIHTEAYIGRPKVESAAAACRAINSTVEIVEHKEAFRATNALGIVRKYDIVVDATDNVPSRYLINDCCVVLGKPLVSGAALGLEGQLTVYNYNGGPCYRCLFPTPPPTTACQRCADSGVLGVVPGIIGCLQALEAIKIGSVVGDPFSGRMLLFDAISGRIRIVKIRGRSLQCEACGENAPLTEKQFQEFDYERFTQTPFSTAPLKLSLLPVDARISSKEYHERVVKGEPHVLVDVRPAHHYKIVSLPNSINIPFPSLEARLHEISSALMKKDESKNSADSNASLYVVCRRGNESQRAVEYLHKMGFSSAKDIIGGIQSWAHDVDPKFPTY >CDP09941 pep chromosome:AUK_PRJEB4211_v1:8:27943227:27945230:-1 gene:GSCOC_T00030445001 transcript:CDP09941 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKGFLSARPEALLALQTTHSPDFLGLHKKVGGWSDANQGKGVIIGVIDTGITPDHPSFSDEDMPPPPPEWKGRCEYGNPPCNNKLIGLRSFIRDRPGLPFDEVGHGTHTASTAAGNFVANANVYDNANGTAVGMAPHAHLAIYSACSRHGCRSADVLAAIDAAVLEGVHDEIAIGAFGAIRNRVFVSCSAGNDGPLYQSLRNGAPWILTVGASTTDRDVRATAVLGNNQEFDGQSFFQPKDFQTTLLPLVYPGMNGDQFAATCEKGSLDNIDVKAKIVLCDGFGVETGETVRDAGGAAMILMNQQSSGYTTSAEVHVLPATQVSFADGQAIKAYINSTSTPKATIQFKGTIIGVKDAPAVAAFSSRGPNHASPGILKPDIIGPGVNILAAWSESVEDKTTTKSNFNVISGTSMACPHLSGIAALIKNAHPRWSPAAIKSAIMTTANFTNVTSSPIIDERRVPADIFMIGAGQVNASRALDPGLVYDIQPNDYIPYLSQLNYPSFAIQLPQNTTQTYSRIVTNVGIAVSSYHVEIEEIPGVEVSVQPEVLNFTELDQQMTYQITFRRTTTSSNKSFFVQGAITWISEHRVRSPIAVLLAADTSYDKEFIALEQSEQVTNTV >CDP12215 pep chromosome:AUK_PRJEB4211_v1:8:31122593:31123864:-1 gene:GSCOC_T00035631001 transcript:CDP12215 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRISRCQFSHALQLYLLIQTARVCCYQYKVGDLDAWNVPSSANPDVYHIWSSNHNFTIGDSLLFLYPPSQDSVIQVTAQSYKSCNLKDPILTMNDGNSLFNITSPGLFYFTSGVPGHCEKSQKIRIAVPGNGSYVFPPDDASTAPSPSYPTVFGPMPMQETAPSSAPLTRVRPISMSAATLLFLCVSMIAIGSV >CDP07825 pep chromosome:AUK_PRJEB4211_v1:8:17987845:17991323:1 gene:GSCOC_T00025229001 transcript:CDP07825 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHESKCIQEIVGDVIAKLGRVIAVEEKNQVGIDSRVHKVNALLNLGSDEVHFIGIWGMSGIGKTTLARAVFDRISIHFEGAIFLHEVREQSKCLEILQEKILSKILCLKDLRISSVFEGSNMIRRRLCYKKVLVVLDDVDHLDQLEALAGKHHWFGAGSRIIITTKNKHLLVTHEVDRMYKVELLNEYEAIQLFSWYAFKKDYPAEDYEELSIEIVHYAGCLPLGLKVLGSFLYGRDMAEWRSEVGRLKGIPEDEIMEKLKVSFNGLREVEKEIFLDIACFFKGKKKEYIRRVLDSFNFYPDIGIKVLIEKSLVTVSGGRILMHCLIQEMGWHIVRQKAPDEPGKHSRLWVAEEICDALARDKVTENVVGMWLDLSTPKDVLINNEAFEKMKQLRLLKINNACVSRCPNCIPNEIRWLNWHGYPSKSLPESFQPEKLVGLKLQYSRVVQLWKGIKLLDKLKYINLSYSQKLIRTPDFTGIPNLERLILEDCSSLTEIHPSAGYLKRLQLFNLRNCTSLRSLPKQIILESLEVMILSGCSKVGEFPKILGAMDHLKAVYLEATAIKELPPSIEHLTSLVLLNLSYCKSLASLASSLCRLKCLKVLILSGCSKLDELPEELGHVLSLEELYVDETAISKPPSSIVLLKNLKTLSFRGCKAMACRTWRAFSSSWLLGQKSRDSTGLVLPSVSGLNCLAKLDLSDCNLLDGGFPCDLGSLSSLVELNLGKNNFTSISAASIKNLSRLQTLELGGCKRLEILPELPPSIEVLYADNCTSLQSTTDLLTRYGKLYRVSFSNCFQLLQDEQTSSMIDATWNHMLKELLLVDDNFSICLPGGRIPSWFTYQNWGPSIRIRLPSNWYSDEFMGFAVCAVSDLITTTLEWRDLLQKIPSVRIQFNLIDQEERICNRMFTIGCGGSEKIINSEHTCLAYLSFHEIWLLCFDKVCSPNDWTCIEVSADVYSKKYMVFKAWGISLVYKDDVRQNTELSMIPQSSELGERGLSSSVLANGLKSMRKTRRGREGFSRIPPK >CDP07838 pep chromosome:AUK_PRJEB4211_v1:8:18518060:18518300:-1 gene:GSCOC_T00025286001 transcript:CDP07838 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIGNQRDYTDQIIKGIILVMLTAGTDTSLVTIEWALSLLLNHPEVLKKA >CDP12053 pep chromosome:AUK_PRJEB4211_v1:8:29871989:29877265:1 gene:GSCOC_T00035425001 transcript:CDP12053 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQYMDKMQLRQNYRNLWHTDLMRAIQADPPYCCFSLWCAPCVSYLLRKRALYNDMSRYVCCAGYMPCSGRCGESKCPELCLATEVFLCFANSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLSQLACIFSIVAMIVGSDEISEASQLLSCLSDLVYCTVCACMQTQHKVEMDKRDGKFGPQVMAVPPVQQMSRLDQAYPPTVGYPPPAYGQPAGYPPPQAQGYPPPGYPPAGYPK >CDP12193 pep chromosome:AUK_PRJEB4211_v1:8:30950981:30953062:-1 gene:GSCOC_T00035604001 transcript:CDP12193 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCQYLIILLLLLILLVPSFSGSCGSDGNTKAVNLKISPHFKVRKLGGVYVLDYHEAGPNPSHDPGKGKGGSRP >CDP12243 pep chromosome:AUK_PRJEB4211_v1:8:31342591:31350294:1 gene:GSCOC_T00035670001 transcript:CDP12243 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDTHSAANTITCKAAVVYGPGQPLVIQDVLVDLPKKMEVRIQILYTSICHTDLGAWLGTNEAQRAYPRILGHEASGVVESVGEGVTELKTGDHVVPIFNGECGSCDYCKSDKTNLCEKYRVNPLKSVMVNDGKCRFRSKEDGKPIFHFLNTSTFSEYTVLDSACVVKIDPTAPLHQMALLSCCIATGVGAVCNTAKVQPGSTVAVFGLGAVGLAVVEGARSRGASKIIGVDVNPDKLTKGQTMGVTHFVNPKELEKPVHEVIREMTGGGVEYSFECAGNLDVVREAFLSTHDGWGLTVVLGVHATPAMLPLHPMELFDGRRIVGSVFGDFKGKSQLPRLAQDCMRGVARLDEFITHQLPFEKINEAFQLLVRGKSLRCLLHLSSPQLN >CDP07224 pep chromosome:AUK_PRJEB4211_v1:8:11603812:11610932:-1 gene:GSCOC_T00024415001 transcript:CDP07224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RBL [Source:Projected from Arabidopsis thaliana (AT3G21060) UniProtKB/Swiss-Prot;Acc:Q5E915] MMNAPIIDPLQGDFPEVIEEFLEHGVMKCIAFNRRGTLLAAGCADGNCVIWDFETRGIAKELRDDDCLAAITSICWSKYGHRILASAADKSLTLWDVVKGEKIGRTTLQQTPLQARLHPGSSTPLICLVCPVSSAPMIVDLRSGSTTVLPVSLPDTGNGLAPPSRNKFSDGSAPFTPTAACFNKYGDLVYVGNSKGEILIIDHSKIQVCGVVQVPGASVIKNMVFSRSGQYLLTNSNDRTIRIYENLLPLKDGLKALDESNSGLDELDGVEKVKTIGSTCLSLFREFQDSITRVHWKAPCFSGDGEWVIGGSASKGEHKIYIWDRAGHLVKILEGPKEALMDLAWHPVHPIVVSVSLAGLVYIWAKDYTENWSAFAPDFKELEENEEYIEREDEFDLMPDTEKVKESDVNEDDEVDIMTVEKDSAFSDSDVSEEEICFLPADPTPDAPEQQDKCVGSTSKLADSAQSGSPLSDEAGQNGHAVNQSSSPLEGMDNSAAEDTGGTRLKRRRRPSDKVMELQVEKVKKSSQRMKPSESFCSINHR >CDP12199 pep chromosome:AUK_PRJEB4211_v1:8:30991378:30993621:1 gene:GSCOC_T00035611001 transcript:CDP12199 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIYFPCIFVNSFNMAQFKFQSDGESRKERNSYGMEYLCLPTFINLLVHFFLCKFYFNFAFPGCSLFLSRCVEHIELLATFNFFWLEAPVMKLVSFSCRSNC >CDP09943 pep chromosome:AUK_PRJEB4211_v1:8:27920841:27921324:-1 gene:GSCOC_T00030447001 transcript:CDP09943 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRSCFSFMVGTVFGVYLAQNYNVPNVQKLCNTGLVIAKHIEENYRKPKNRDRDE >CDP12260 pep chromosome:AUK_PRJEB4211_v1:8:31487955:31488943:1 gene:GSCOC_T00035696001 transcript:CDP12260 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLGPFAYMLEWKKRPRPSLSFVAVMKMFMLSSIGTTIHLDIYYVSFGYTSPTVASTLSNVISGLTFVISLPLCTIERVNFSTASRKAKVLGTIACVAGTLIFTLWKGRP >CDP16165 pep chromosome:AUK_PRJEB4211_v1:8:23661137:23661986:-1 gene:GSCOC_T00017250001 transcript:CDP16165 gene_biotype:protein_coding transcript_biotype:protein_coding MQILNQHEPSSIFRQKEFDFQSLGVGTDESFKEMFLGMIASRMYHPRVARKYGVKHVKGFLIHGPSGTGKTLLARTLGVILKNTKVRICLQLILFVKADSFCAHSVYCDYTTANVSFANYTLKHTMRKLKKKEKKKVYIA >CDP14969 pep chromosome:AUK_PRJEB4211_v1:8:8510771:8513447:-1 gene:GSCOC_T00042486001 transcript:CDP14969 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRFPYSPAEVAKVRVVQFGILSPDEIRQMSVVHIEHSETTERGKPKIGGLSDPRLGTIDRKMKCETCMASMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEEDPKFKQALRIRNPKNRLKKILDACKNKSKCEGGDEIDVQGQDSEEPVKKTRGGCGAQQPKLTIDGMKMVAEYKVQKKKNDDQEQLPEPVERKQQLSAEKVLSILKRISDEDCQLLGFNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEASQFLHALNSDYQLILTLCFYFDCTS >CDP07874 pep chromosome:AUK_PRJEB4211_v1:8:19379889:19381489:-1 gene:GSCOC_T00025354001 transcript:CDP07874 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPFNFTAFFLFIAFVLTFIKVLKRSKTAQKLPPSPWKLPIIGHMHHLLGSPPHHALRKLAQKYGPLMHIQLGEISSIVVTSPRLAKEIMKTHDLAFANRGESLAGKILLYNCSDIACCPYGDYWRQMRKICTLELLSTKSVRSFGSIRQDEALHLILHPFLSVKNKMINIRYKLDKVLDKIIDQHMDNLARTKIATGASDNEDIIDVLLRVKDSGELQIPLTKDNIKAVLSDVFTGGTETSSATVEWAMSEMIRNPGVMTKAQSEIRKVFRGKNTIDEIDVQQQLQYLKLVIKETLRLHPPVPLLVPRECREECEIDGYIIPFKTRVLVNAWAIGRDPEYWDDAESFKPERFENSSIDFNGSHFEYLPFGAGRRICPGIAFGLANVELPLALLLYHFDWRLPNGLDSNDLDMTETVVITASRANNLRLLATIYDP >CDP13434 pep chromosome:AUK_PRJEB4211_v1:8:1407028:1412169:-1 gene:GSCOC_T00038379001 transcript:CDP13434 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDDEQTKENPFSFEDNGPTNTLPLKFRFDDKDLTPPEKEDWQKEIDNLFTEMEICLTLPDSDFTESSNSKVMCQAELCLSGKHQLILEEPIGIVCRSCQIVHKEMKDIFPTLTPRRRDWVDLRRSECFGIHELHLDDPGSGNYYTSVDAEGSVLDLIPDHIRMSMYSHQLDGFVFLWKNIVGETCIEKLKTEIFDDGRGAIISHAPGTGKTCLTIVFILSLLKMYPMCRPVIIAPTSMLLTWENEFRKWGYRIPFHNFNSKDLSGNELKTDAEFLRRVGSRMTKLYSWTKEKSVLGISYKLFEQIASGQKGKGSDEKLKEIFLQLPGLVVLDEGHTPRNQQSLVWKVLTGVKTKRKIILSGTPFQNNFEELYNTLCLVNPKLSGSMNSEKRWVSLTNAIDKNSGNAVEELKAMIDPFVHVHKGSILEESLPGLKDTLVILRPTDEQKGILQLISDDWSRFDQVHLVSLISVHPSLAAFSKRFSGDKDRLRVLECSPYAGVKTKFAIELIRLCDASHEKVIVFSEFIHPLRFIMQQLIDQLKWREGIEVLYMDGKRDEKNRQSSISSLNDPSGKVKVLFASTKACSEGINLSGASRVVLLDVVWNPAVERQAISRAYRLGQKKFVYVYHLITSGTLEVEKYAQQANKDRLSELVFSSRDRQRIKSRISSVFEDKILEGMVDNKMLNDIFKNVIHQPKESNVFANFNYVEHRH >CDP10013 pep chromosome:AUK_PRJEB4211_v1:8:27217006:27217962:-1 gene:GSCOC_T00030548001 transcript:CDP10013 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGHVGSNCPKFIFLILNNLPILGFLEVTWAKLLSFTSSVPRLYEINSIDPFLVYYPFTVHSLPRNKNLFLLRCQLSTTALKRTEEAADNHRRTRGNSRFVFNFSFSFFF >CDP12092 pep chromosome:AUK_PRJEB4211_v1:8:30173431:30178278:-1 gene:GSCOC_T00035471001 transcript:CDP12092 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEGLSKSPLDDISRHISLLGFNCIRLTWAVYMYTRHAHVTVAQHLQDLNLTDALAGIQRHNPHLASLTLVDAQKAVIESVASHGVMVLLDCQVSKPMWCCNDNDGNGFWGDAYFDPHEWLRALSTVAKRYKDMHMVMAMSLRNELRGPRQNETLWYHWVEEGAKTIHRANPNVLVLVSGLNYDLDFRFLKTKPLKLGIGKKMVYEAHQYAFSDGQDGLWLTKSVNWMCKNMIQDVEDRVGFLFRGRHPAPLFITEFGGDQIGDNTADNYFLTCYISWLAENDLDWALWALQGSYYLRDGKHDPEETYGLFNSSWGPLRNPQFHTKLQLIQRTLIATGDGLPVALTRECLTGQSAWELAQNSQFHRSSSLVHSASIKMAKKNSSHFHTFLLWILLFSFAKLSKSLPLSTSSRWILDDKTGSRVKLTCVNWVGHLEPLVVEGLQKKPLPYIVDNVALMGFNCVRLTWATFMFTRENYGNLTVRESLNQLSLDGSVAGIAMNNPQLLDATVVEVQKAVVYELGRKNIMVILDNHVSKPQWCCGGDDGNGFFGDEFFDPKEWLQGLAAVANLYKDAPNVVAMSMRNELRGPRQNTNDWYEYIPQGAAAIHGENPSLLIIVSGLGYETDISFVKEKPLVLNFTNKLVYEAHWYAFDTPWQIWLSQTNQICAQRSQRFADHSAFVVSSSKPVPLFLSEFGADQRGGNEADNRYLSCLLAFVAEHDLDWALWTLQGSYILRQGVVELEEVYGMFDVNWDHIRNSTLSRRLQLVKQIIWDPKSNNTTHSKLYHPQSGLCAQIGNNGSVRGSDCQSPGRWKQQEAGSSIQLEAEAEGTFGCLRAVGDGQPATVSSDCGNQTTLWKLVSSSQLHIAAQGGYLCLEMNSSDSVVVTRKCLCLDENSNDVPNCAENPEGQWFKLVPTNESLIFFFFGDKKCANTLFKR >CDP08363 pep chromosome:AUK_PRJEB4211_v1:8:26381315:26383181:-1 gene:GSCOC_T00027169001 transcript:CDP08363 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAWNMPEECESRKFNRIQTRGNASDSYDDACMICADGGNLMCCEVCNSTYHQDCIQLKEVPRGSWYCPYCVCRFCRNPAHDNDYLIECPQCEQKYHWNCHLRREMKIIDLNSMPCAPFCEGRCKEVYDKLERHLVGLKNELDEGFSWSLLHHMDNDTGSYTDDTYKRIVCHSKLAVALRLMEDCFEPIVDRHTRINVIRSVVYNCGANFKRIQFRGFYTALLEKDDEIISVASLRIHGTKLAEMPFIATSDQYRCKGMCKKLMVAIESALCYLNVESLVIPSTSERISNWIEKYGFRLLDSTLNREIICRNTLMFHDSVRLQKSLVPSCLAKSDRSSGGMVF >CDP14468 pep chromosome:AUK_PRJEB4211_v1:8:13983296:13983478:-1 gene:GSCOC_T00040963001 transcript:CDP14468 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMEILMGKAITLEVESFDTIDNVKAKIQDKEGHPPETNGASSSSASSWKRLYPSQLQ >CDP08159 pep chromosome:AUK_PRJEB4211_v1:8:24460102:24460466:-1 gene:GSCOC_T00026901001 transcript:CDP08159 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRSLDYLIFDQSRKKLLAWKNHFEIALGISRGLLYLHQNSRLRIIHRDLKASNVLLHSELNPKISDFSIAKSFGGNQTEGKTRTVIET >CDP14985 pep chromosome:AUK_PRJEB4211_v1:8:7928266:7929599:-1 gene:GSCOC_T00042506001 transcript:CDP14985 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWLAYGCISLNLELAKKLTGRGFSVYICSTPINLGFIQKKITPNYSASIQLVELHRPDTPELPSYYHTTSGLPPHLLSTLQRALNKIDGKYMDYLSDIMKLKIMPVGTLFPEPVDDDQQDKNTKLIQWLSTKTKHSTVFIAFGSEYFWTKEELEEMAFALELSSVNFIWDVRFPLGQRIRPEEGLPQGFLERTRDGGRIVEEWAPQAKILGHPSIGGFITHSGWNSILESIELGVPIINMPMHFDQPFDARSMVDIGAGVEAVRNDNGKFDRKVTAEVIKNVVVEKMGENLRGKMKEVSEKIKLKENQVFDELVDLLTQLVKENSHPSN >CDP08267 pep chromosome:AUK_PRJEB4211_v1:8:25509521:25510867:-1 gene:GSCOC_T00027041001 transcript:CDP08267 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKSYITSDELKKHNKPGDLWISIQGKIYDVSDWVKEHPGGAFPLLNLSGQDATDAFVAFHPGTAWKFLDKFFNGFYLKDYSVSEVSKDYRKLVYEFNKMGLFEQKGHGVLLSMCFMAVLFCLSVYGVLCCERVLVHLICGGLMGFLWIQSGWIGHDSGHYQVMTTRGINRFAQILSGNCLAGISIAWWKWNHNAHHIACNSLEFDPDLQHMPFFAVSPKLFNSLTSYFYERKMAFDSVARFLVSNQHWTFYPVMCFARINLFAQSFILLSSSKRSVPRRGQELLGLLVFWIWYPLLVSCLPNWGERLMFVVASFTVTGIQHVQFCLNHFSTSVYVGPPAGHDWFEKQTSGTLDILCPSWMDWFHGGLQFQTEHHLFPRLPRCQLRKISPFVKELCKKHGLPYNAASFWEANVMTCKTLRNAALQARDFTKPVPKNLVWEAVNTHG >CDP09790 pep chromosome:AUK_PRJEB4211_v1:8:29222422:29225072:1 gene:GSCOC_T00030256001 transcript:CDP09790 gene_biotype:protein_coding transcript_biotype:protein_coding MPALTATRSCFFVGNYLSLRIFSRLQKSVSFHTSLPVFSKVGHETEPLTLTSLGLKSETETTTGNEKNRLQHGVSTLEFPKSKRKKVGSNREKLVAAKKSPDIPAAPFAAQSFSELGLPPLLVDRLEKEGFKVPTDVQAAAIPTILKRRDVVIQSYTGSGKTLAYLLPILSGIGPLKEDSSTFDEPKIKSEIEAVIVAPSRELGMQIVREVEKLLGPSDKKLVQQLVGGANRSRQEDALKKNKPVIVVGTPGRIAEISTAGKLHTHSCRYLVLDEVDELLAFNFREDMHRILEHVGRRSGTGQQGSNRSQGWQPERQTIMVSATVPFSVIRAARSWANDPLLVQAKSVSTLESVSSAGPINFPGTTSNSSSSSNYQKLPAVQSLPPNLQHYFFVTRKQHKVDALRRCVHALDAKSSIAFMNHTKQLKDAVYKLKARGIKAAELHGDLSKLARSTILKKFKDGEVRVLLTNELSARGLDVPECDLVVNLELPTDSVHYAHRAGRTGRLGRKGTVVSICEDPEVYVVKKLQKQLGILIQGCDFTEGKLLVTEEEKMLKSVRQ >CDP09869 pep chromosome:AUK_PRJEB4211_v1:8:28536464:28539552:1 gene:GSCOC_T00030349001 transcript:CDP09869 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSLRPATSSCLRSSTRTPQPSLSCASKVYVGLRVQCPNSYGISKNNLNVEFYNRVYQSIESRSCDTKATRARITMMPIGTPRVPYRNVTEGTWQWVDLWNALYRERVIFIGQNIDEEFSNQILATMLYLDSIEDSKKLYMYINGPGGDLTPSMAIYDTMQSLKSPVATHCVGYAYNLAGFLLAAGEKGNRFAMPLSRIALQSPAGAARGQADDIRNEADELIRIRDYLFKELAQKTGQPVEKIHKDLSRMKRFNAQEALEYGLIDRIVRPPRIKADAPKKESPGLG >CDP09783 pep chromosome:AUK_PRJEB4211_v1:8:29265162:29266993:1 gene:GSCOC_T00030245001 transcript:CDP09783 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTLEVLLVNAKGLEDTDFLNNMDPYVIITCRSHEQKSSVASGQGSQPEWNETFQFTISDSVPELVIKILDSDAGSGDDFVGEAKIPLEPAFIEGAIPTTTYNVVKDEEFKGEIRVGLTFTPEEGYERDSSAQEESYGGWKESSY >CDP13527 pep chromosome:AUK_PRJEB4211_v1:8:620432:622609:1 gene:GSCOC_T00038501001 transcript:CDP13527 gene_biotype:protein_coding transcript_biotype:protein_coding MITQKRSFSEAFREDKTLSLLVWNGRQPNDDEDGEEQERESFGPLDIKNNDDDHDQIVGWPPIKSWRKKFLRGGRQERALERAGVRNSVFVKVKMEGVIIGRKIDLTLFDSYQALTNAVLNMFAKYRNGDEDDGPYILSYLDKEGDWLLAGDVPWQIFVESVQRIELLKRRS >CDP12995 pep chromosome:AUK_PRJEB4211_v1:8:2950400:2954829:-1 gene:GSCOC_T00037720001 transcript:CDP12995 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTVRDKHIRTNRRARSVKPETDHHSTNAPTNTPNSSTINHMAISKSIMETGLRPLNYQMGTSDSVQNPNLSTGPNSVFDDSGWGYCTEEQLEDILLKNLEILYNDAISKLVALGYDEEVALKAILRNGHCYGGMDVLTNILHNSLAYLNSGCCLSNGNSEESEAVFGDLRQLEEYSLAGMVCLLQQIKPHLSRGDAMWCLLMSDLHVGRASVMEIPVLPSPNGNGACASGSVNSGNVEAVGNGPVGVAPALCRFHSGWGFGNGGTSEFPMNGFFSYASEMALQKEIECPKRFNLTPSMKTLLKRNVAMFAAGFRANARQFHSQLQTCPSSASSGDSSVANGTKAESAPVGQNEENVKNQDVVNSVLNKFRDLNLDENIERVPMDQKDEMILSLIHQIKALEEQVKERKEWAHQKAMQAARKLSHDLTELKMLRMEREETQRLKKGKQNLEDTTMKRLTEMENSLRKASGQVDRANAAVRKLETENAEIRAEMEASKLSASESVTSCLEAAKREKKCLKRLLAWEKQKAKLQEDIAAEKQKVSDIKQQLTQVETAQNEAEAKWRQEQNDKEVALAQVEDERRLKEATESNSKRRLEALRLKSEIDFQRHKDDLQRLEQELARLKASQRSNELQHPSTDQLTGNSEVTKPQAEGIVRLLHELDNLDNASEKETNYNRECVICMKDEVSVVFLPCAHQVLCVKCNEGYGKKGKATCPCCRVPIEQRVRVFGAAS >CDP09784 pep chromosome:AUK_PRJEB4211_v1:8:29259500:29260177:1 gene:GSCOC_T00030247001 transcript:CDP09784 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFNSFAFSTLLIAALLSASAHAATFDIRNNCPYTVWAAAVPGGGQRLDNGQTWTLNVAAGTTGGRVWGRTNCNFDGSGHGSCQTGDCGGLLQCTAYGAPPNTLAEFALNQFSNLDFFDISLVDGFNVPMDFSPTSNGCTRGISCTADINGQCPSVLKAPGGCNNPCTVFKTDQYCCNSGSCSATDYSKFFKDRCPDAYSYPKDDQTSTFTCPAGTNYRVVFCP >CDP09866 pep chromosome:AUK_PRJEB4211_v1:8:28561506:28565090:-1 gene:GSCOC_T00030346001 transcript:CDP09866 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPSYLDEYQKLVIRMNTPRVMFDNAGCSNATRVTIDSARRHGILLEAVQVLTDLNLSIKKAYISSDGQWFMDVFHVTDLNGNKLTDESVINYIEQSLGTIHYTSSRSIEGLTALELTGTDRVGLLSEVFAVLADLQCNVVESKVWTHNGRIASLIHVKDCDSGSQIEDCRKINRIEARLRNVLKGDNDIKSAKTSISMSVTHTERRLHQMMFADRDYERKPIISKSNDSLVVLVQNCLERGYSVVNVQCKDRMKLLFDVVCTLTDMQYVVFHATINTAADRACMEFFIKHTDGTPISSEAEKQRVILCLQASIERRASQGVRLELCTSDRAGLLADVTRTFRENGLNVTRAEISTTGDTALNVFYVTDAAGNAADSKIIEGVRQKIGLNDLKVKELPLIYHQKAEEKGEPTVGVGGAMLSLGSLVMRNLYNLGLIRSYS >CDP13418 pep chromosome:AUK_PRJEB4211_v1:8:1675412:1678766:-1 gene:GSCOC_T00038353001 transcript:CDP13418 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVLSFVLDQLSTFLREEGRLLGGLRQEVQFIRDELGHMRAFLREAEEKEEDAQPRLQEWIKQVREAAYDTEDILDEFVARFARHRTTGFYGSVRRIFSSIKNLRARHRVASEIQSIKSRINSISEGHQRYQSEYGISAQASSSLSAVNNTTWRYSRDDALLVEEAKLVGIDQPKKHLISQLLRGDDYQLKVVSVVGMGGLGKTTLVKRVHEDPEVRKHFPVRAWVTVSQTCDFQYLLKDLIRQLHKEGKKPVPQSIESSNTTELKEIIKDFLQQAGRYAIVFDDVWDVEFWNTIKFALPESSHGNRVLLTTRRADVASASCIESRRFVYRMKPLSVKDSRTLFYNKIFNGGNCPGHLMDVAKGILDKCEGLPLAILAISGLLASKDVNRIDEWEMVRRSLGGELEGTGKLDRVKKILSLSYSDLPWHLKICLLYTSIYPEDYKIGCLRLVNLWIAERFVEWREGMSIEDVAWGYFIELVSRSLIQVTGVFYEGSPDTCRIHDLLREVIFLKSREQNMVTTTTGQSMMWPSNKVRRLIVHSSSSNNTQHLQQMQNYCFDHLRSFVRIGSTNPLLYKMLLYDVLRSSKLLKVLDLRGQKKQKEIPNEIFKMFHLKHLDLYGTGVERVPKAIGKLQHLEYLNLGKTGVRELPMEILKLQKLRCLEVYQQVDSSDDNYGFHGFKAPSNMGGLLALEILSYIDASSGSIIIKEVGKLTQLRELRITKLRREDGKELCSSLANLTSLRELRVESIGKGDDHEIIDLNHPSLSSFSSSFLQSLQMLLLCGRLEKMPEWVAHLRGLVRIDLDWSGLRGEEDPLESLQHLPNLHHINFCGSYQGEGLCFKAGGFLKLKWMHLKRMEGLRWMRVEEGALPRLQKLFLQQLPLLEELPLGIQHLSNLQRLSLYETSSHLREKVLENQKEESEDYTGIAHIPEILIGYYTDDRKWRHRSLRAKKKIT >CDP17893 pep chromosome:AUK_PRJEB4211_v1:8:21235637:21237505:-1 gene:GSCOC_T00011880001 transcript:CDP17893 gene_biotype:protein_coding transcript_biotype:protein_coding MDTILEEECLAFDLNTAPARKAFDSLKPDFEDGHDLVLDESKSTSGKEEPDDLIDQLNQMKSENRKLKEMLIDLSENYNTLQNHLVDLVQKHSGDQLTKSRKRKFEAQNSFNSYANEGWNDSLSEAEGSPKRPKEIRTHISRVHVRVDPSDTSLVVKDGYHWRKYGQKVTKDNPSPRAYYKCSFAPSCQVKKKVQRSVGDPSILVATYEGEHNHQHPLRDEMLVSSAVHGADAAALSSVPEMESNSIQQLMVEQMASSLTRNASFTAALAAAISGRLLSHDSDQEK >CDP09842 pep chromosome:AUK_PRJEB4211_v1:8:28765619:28767763:-1 gene:GSCOC_T00030316001 transcript:CDP09842 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNKARISGDEVLTKLKDDGDFDKLRLKIIRTLKDDAELRDNIISMVKQSAVLNRPGAETMKPRQLSDAIHEEIGDKVMSKISDGVWQIISSGSEMKAEIIETVQSVYKKLLDPKVNEDGESPLHSDLLLLRKRHDVNGSVSASGVDVREPEGEPNEPPGFSLHGQAWNNKSSYENDQPGKRLRMSRPCDGKARVEPIKETTSSPDRLEPQSVYNNVQPGFHEVIEQHLDGDTDDDPDVPPGFG >CDP12945 pep chromosome:AUK_PRJEB4211_v1:8:2328072:2329377:-1 gene:GSCOC_T00037652001 transcript:CDP12945 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVTIKATNCWLHKIVSGHPDGSIAIPIILKHAGVIGWGAMAMELSSFFTFVLTIVCFIGTSEDDGYSIL >CDP11728 pep chromosome:AUK_PRJEB4211_v1:8:5644564:5645621:-1 gene:GSCOC_T00034202001 transcript:CDP11728 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKILVVGGTGCIGKWTVKASLAQRHTTYVLQRQEIGLDIDKVLVLLSFKEQGALLVEASFSDHQSLVDVFVCAMSGSFYRSHNILLQLKLVDDIKEAGNTKPSEFGMDPARMGDALEPGRITFDEKMIARKTIEEAKIPFTYICGSCFAGYFVGNLSLMGTLV >CDP12258 pep chromosome:AUK_PRJEB4211_v1:8:31449586:31455020:-1 gene:GSCOC_T00035691001 transcript:CDP12258 gene_biotype:protein_coding transcript_biotype:protein_coding MKENVVPNPEAGSQETSVALGADAAGNSCHVEKQSLDEKRNHASSEHLAVPEETPLTILVSHHPESLHQHGDRIANPAPETELNQNAYLGKDSPKKPDLSRDPSSHEQCRVCQQEKDDTLIDLGCHCRGGLAKAHESCINTWFSSRGSNRCEICQQVAGNVAVPESQPSASYWVWRVGPAHRGRSIGQGRERGCFGPLWVAFSILIGGLLLDVLISITLGVSALPVNIIIGVIVVLGLGTALRLAFEFCNEWSLRRVVHRVEANASMGYHPAL >CDP08269 pep chromosome:AUK_PRJEB4211_v1:8:25522475:25526113:1 gene:GSCOC_T00027044001 transcript:CDP08269 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSTSCSLNIPPSCKKPKCLLVNQCSSSGFPASFSLPTSTSRSKMLILHGKGPKRSSTIYAKAVSLSQESKAQPGSSFPQETGEAGSPKKVMVIGGDGYCGWATALHLSNRNYEVAIVDNLVRRLFDHQLGLDSLTPISSIHNRIRCWKSLTGKDIQLHIGDICDFEFLAETFKSFEPDAVVHFGEQRSAPYSMIDRSRAVFTQQNNVIGTLNVLFAIKEYRDECHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGLRTDETAMHDELCNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAQRGEFRVFNQFTEQFSVNELAALVTKAGEKLGIEVKTVSVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDALLDSLLNFAIKYKDRVDPKQIMPSVSWRKIGVKPKTVAV >CDP14473 pep chromosome:AUK_PRJEB4211_v1:8:13605410:13612912:-1 gene:GSCOC_T00040973001 transcript:CDP14473 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNKAKYLIFQCRIQMQYQFVILLSPSFFLFPFPFSLFSPSLSANLKIFPLCKRHTEIDGNMEENKSTVAKRTRAQTKCQLKKMIDEYYEKKPKEKKGDSEKAHDFDAMNATEGKRREELESSKVRRNDIGMVRINSTSNDEEQKGENVNRNIFNRKRKGAVEKFFNDDESSGSDVRILGEEEVVEEVNLKEFNRMRRRRRETVYSCKNDDGGNGSSVEILQEQESWSSEEGADDSDKDYSEEESGSSDTQSNHWGYCSKDNLEGGIGKDDGKMSPSGRYYIKEKEYSEDVEILGKSMEDNGKEDSVGGNVSSESSLRKRKVHGNLKDGEVVASKLLCLRPRLHSTPKSRKQESGLRTSRKCCLRVSDSESSHFSGEDSDSSEQVPKKKKEGSRISKLSKRRRRVLREVDYVNILLGSMFKDDEQTKQNLFPFEDNGPIHTLLLKFRFDDEDPAPPEKEGWQKEIDNLFTEMEMCLTLPDSDFTESSMDGTHHVTATAKSQAELCQLGEHQLILEEPIGIVCKYCQIVYMEMKDIFPVLKKETSHRRDWFDLCRGDCSGIHELHFGELASRNYYTSIDAEGSVLDLIPNDIRMSMYSHQLDGFVFLWKNIVGETCIEKLKTELSDDGRGAIISHAPGTGKTCLTIVFILSLLKMYPMCRPVIIAPRSMLLTWENEFRKWGSRIPFHNFNSKDLSGNELKTDAEFLRRVGSRMTKLYSWTKDKSVLGISYKLFEQIASGRKGKGSDERLGEIFLQLPGLVVLDEGHTPRNQQSLVWKVLTGVKTKRKIILSGTPFQNNFDELYNTFCLVNPKFSGSITSEKRWISLTNAIHKKSDNVVEELKAMIDPFVHVHRGSILEENLPGLKDTLVILRPTDEQKDILRLISDDWSRFDQVHLVSLISVHPSLAAFSKRLSAHKDRLGVLRCSPYAGVKTKFAIELIRLCDASHEKVLVFSEFIHPLRFIMQQLMDQLKWREGIEMLYMDGKRDEKNRQSSISSLNDPSSKVKVLFASTKACSEGINLSGASRVVLLDVVWNPSVERQAISRAYRLGQKKLVYVYHLITSGTLEVEKYAQQANKDRLSELVFSSRDRQSNKSRISSVFEDKILEGMLDNKMLNDIFENVIHQPKESNVFANFN >CDP17882 pep chromosome:AUK_PRJEB4211_v1:8:20946194:20946946:1 gene:GSCOC_T00011862001 transcript:CDP17882 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKIGAMAILFCGMILLGANVEVMAVRPGPIRPCVLICILTPVYKICNGTKTYTDCGNCCFDEGCTLYFGDGSSLYCEWPWAKY >CDP13046 pep chromosome:AUK_PRJEB4211_v1:8:3620709:3621602:-1 gene:GSCOC_T00037800001 transcript:CDP13046 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDGSLRQSVPTPESYSTPPITIVITIILLVFFFVGFFALYFCRCFMQNLILSWHLRHSPAATPIVPTHPQDNPGIDPKIIQSFPAFTYSIVKDYRKEKYGLECAICLVEFGDDDLLRLLTTCCHVFHQECIDLWLEKHKTCPVCRRRLDESPEKSPISFTNTMRLINGSESLPESVSITVKDETEDERGGSDRGERRTSATAADHVLVERRNNNTELERFSRSHSTGHSIVRTKGSEDQDRFTLRLPEQVQEKIIKGHNTSRSCTVFGEYRSQETTGNGGFGEVSGLSGADINKD >CDP12246 pep chromosome:AUK_PRJEB4211_v1:8:31368427:31371019:-1 gene:GSCOC_T00035674001 transcript:CDP12246 gene_biotype:protein_coding transcript_biotype:protein_coding METKEEGCCCCCFTLLRLHNHRISSLLLILFVVVVSPEFITCAAPIAINYGQIANNLPSPESVVPLVKSIGATRLKLYDADPTVLKAFANTGVEFIVSLGNQYLSKTRDPKQALAWVKANVQPFLPATRITCIAVGNEVLTFNDTSLSSNLLPAMQSVYSALCSLNLQDKVSVTTAHSLAVLQTSYPPSAGRFRPDLAPCLTAILNFHLKTGSPFLINAYPYFAYKANPKQVPLEFVLFQPNPGILDPATNLHYDNMLFAQIDAVHSAVESLGFKDDAVCVQISETGWPSKGDADEAGATPENAKKYNGNLINKLVCGKKGTPMRPNADLNIYVFALFNENMKSGPTSERNYGLFKPDGSPAYYIGFNGTGLVSTSNNTSTTPSSTGSSSSSSSSVPPGSSSGGPTSSTGYLSITSDAGLHALPSAVPHLLLMICWLVLLLAFHLPREH >CDP14937 pep chromosome:AUK_PRJEB4211_v1:8:9248363:9252471:-1 gene:GSCOC_T00042439001 transcript:CDP14937 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSGTREFLIIVIVETSGEVSEIFPNIKSQVPNNHQLILCSGPLVTGLCSPHVSLACSFTGPTKPAPTFPAESFTGKSTYSVETGLEVERMEFFFKSLNEESRDCSFDEQDIQKCPFLRNVSKPTNFSFSSLNLPLHIRGSKGPIFEDGPSFETAFRLFHGKDGIVPLSGKPQLQFEKIEAERAPKFDPLAAKAATISLSSFGPGGPFGFDFFSEKWKKQKKSSGTSKKKNSHQGDPSKHEALGNEWLETGNCPIAKSYRAVSGVLPLVAAALRPPPGIKLKCPPAVVAARAALARTALVKTLRPQPLTSKMLAIGALGMAANVPLGIWREHTKKFSLSWFVAVHAAVPFIAMLRKSVVMPKTAMALTIAASVVGQVIGSRAERLRLKANAENVKMTALIVPAGVSTGYNLLQADGVPSAHCGKQEFMRDQLPDKDINISSPASVCF >CDP08221 pep chromosome:AUK_PRJEB4211_v1:8:25077450:25083990:1 gene:GSCOC_T00026985001 transcript:CDP08221 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSLGTDQSALLALKAHITSGQKEFLSKNWSSAAAASSVCDWIGVQCGSRHQRVTALNISNMGLTGTIPPDLGNLSFLVSLDLRNNSFHGNLPEELSHLRRLRYFRLTSNNFNGEIPMWFGRFPELQFLFLGRNGFSGFIPPSISNSSKLETMTLAENFLEGNIPEKMGNLTVLEELYSSRNYLVGPIPLSFCKLSQLQVLDLAFNRFSTIPREMGNLQNLHGLNLEWNQITGSIPREIGNLTMLTELYFANNSLIGPIPREMGNLYQLENLQLPYNGLNGSIPPGIFNLSALRNIHLAFNRLSGNLPRDLGYRLPKLLVLHLIWNNLGGVIPVSITNCSQLRELELSTNRFTGSIPDLSFITSLTKCKSLVYLELGPNPLNGLLPASIGNLSASLKQLFIDSSEIKGTIPSQTGNLTNLIMLDLQSNHLTGGIPTAFKDLQNLQGLAVGDNNLNGTLESLCNLQRLAYVYLTANRFSGSIPECFGNMTSLRKLDLGNNFLVSAIPNSFWNLKDLLQLNLSSNSLNGSLPLEVGTLKAVTSIDISANQFFGDIPSTIGDLQNLLILNLSQNQFHGSIPESCGSMLSLQELYLSHNNLSGFIPKSLEALRDLNELDVSYNHLSGEIPSGGHFANFTAESFLFNDALCGDSRFHVPSCPRTNSIHRSRTKKVLLFVFVPLGIASVVVAALAIVFRRYWKKYQDSKGTNMVLVPTQERVSYYELLRATDGYSESNLLGIGSFGSVYKGILNDGRSIAVKVFNLELEGVLKSFDVECEVLKNLRHRNLVKVISGCWNQDFRALVLEYMCNGSLEKWLYSDNYFLDTLQRLDIISVRMKISLRSWRKIKLKFMQFGVSRKFQLEAKLREILLILVNKTNVSGSMNYVNSRYPNRGFHFMNFELNMKNKKKYTTPSTCGTRASVEWNFYNTIEAKGPSYNTIECLLVITKILSLGCGNLASHLSLFITCHFQ >CDP19110 pep chromosome:AUK_PRJEB4211_v1:8:21538605:21541026:1 gene:GSCOC_T00007307001 transcript:CDP19110 gene_biotype:protein_coding transcript_biotype:protein_coding MSTILMLSVYYFQQQTYIFMAIFSSSTETIDGGNSTLSPPRDGVLRVNVRFPTRVFNSLSPEVKDLLRRMLSKGVIRRFTAEQVLTHPWMTGEGGDEIRPVAIEEARPVAIIN >CDP08194 pep chromosome:AUK_PRJEB4211_v1:8:24773279:24776145:1 gene:GSCOC_T00026950001 transcript:CDP08194 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLSPFLRIVPPAHSQIAAEPMAAARALTFRLIPSLANSPHAPLSPSQNASSSRYPISLPLAPPLRYSSTSLYWQQSSIFGPAAALSCKDDRRLFWSVSRGFGRVFASWREYRKARRKPAPPTARAKAKSKEKELELTVNICIEEQLPDDPEILDIAELLRVNVPMAMKLGLEGLKDSVYKTRDNSITDVGGFESVELSVLLCNDEFICKLNKEWRGEDHATDVLSMSQHVPELKLPVLMLGDIVISVETAARQAEERGHTLLDEIRILLVHGLLHLLGFDHEISDKAEAEMEKEEEHLLKSLGWKGKGLIQSAYDAETNGSPPLNYPDDRKREGSLRFYRPKFNFIFCDMDGTLLNSESQVSTSNATALKVAISRGVKIVIATGKTRPAVIRLLKKVDLAGKGGAISEFSPGIFLQFFCL >CDP09962 pep chromosome:AUK_PRJEB4211_v1:8:27783099:27783641:-1 gene:GSCOC_T00030476001 transcript:CDP09962 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLVLVAKLKLLSAGHHMCLSTPIMASLVGPFLIKFIFTTFKPLHQVFINAAYASRLFIFQMGQITFSSQPPGFTNGANTRWQRALRLVSERLILARQSQTTDSEEESIRAISALAL >CDP08287 pep chromosome:AUK_PRJEB4211_v1:8:25661535:25664377:1 gene:GSCOC_T00027069001 transcript:CDP08287 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNNRSQFKRICVYCGSNPGHRKVFSDAAVELGNELVRRKIDLVYGGGSVGLMGLISQRVYDGGCHVLGVIPKALVPIEISGETVGDVRIASNMHERKAEMARHADAFIALPGGYGTMEETLEMITWSQLGIHKKPVGLINVDGYYNSLLALFDNGVEEGFIKPGARHIVLAAPTAEELLDKMEQYTPSHDHVAPHESWQLEKLGDYPSEQSP >CDP09937 pep chromosome:AUK_PRJEB4211_v1:8:27970360:27971663:1 gene:GSCOC_T00030439001 transcript:CDP09937 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAESSSTLGPGGLDISKAFFKPILNSAPPSPTKRHTKISVIGAGNVGMAIAQTILTQDLADELALVDAQPDKLRGEMLDLQHAAAFLPRTKIHASLDYSITAGSDLCIVTAGARQIPGESRLNLIQRNLVLFKNIIPPLAKYSPDSILLIVSNPVDALTYVAWKLSGFHPNRVIGSGTNLDSSRFRFLLADHLDVNAQDVQASLAYIVGEHGDSSVALWSSITVGGVPVLSYLDRQKIAYEKETLENIRKEVVESAYEVIDLKGYTSWAIGYSVANLARSLLRDQRRIHPVSVLATGLYGIDGGHVFLSLPAQLGRTGVMGVANVELTDYEARQLRNSATTILEAQSQLDIEDL >CDP08260 pep chromosome:AUK_PRJEB4211_v1:8:25435863:25440120:-1 gene:GSCOC_T00027033001 transcript:CDP08260 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIEDILPLIELKGITGKSRAFAGSFLQDLSQSMANTTCTSHDSELDSCRDEGTAFTLKMVAIAAILFAGVCGVAIPLVGKKRRFLGTDSNLFVAAKAFAGGVILATGFVHMLPDATSNLTDSCLPEIPWSKFPFSGFIAMMAALGTLLIEFIGTQYYERKQGKESQIVRVDSVDMESGIVPAENEGLNGKVFGEEEGGGMHIVGMHAHAAHHRHSHPQEQGACAGNKRSHSHGHSHSHEIDDGNEEGSKRHVVVSQVLELGIVSHSVIIGLSLGVSQSPCTIRPLIGALSFHQFFEGFALGGCISQAQFKTVHATIMACFFAITTPLGIAVGTGISTSYNPNSPRALIIEGIFDSISAGILVYMALVDLIAADFLSKRMSCNTRLQVASYIALFLGAGLMSLLALWA >CDP08226 pep chromosome:AUK_PRJEB4211_v1:8:25125906:25131201:1 gene:GSCOC_T00026990001 transcript:CDP08226 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIIYTCKRVRPPHDAGLAQYRYSVLPGLPRVRAQNGASAGNLYQLENLQLPYNGLNGSIPPGLFNLSALRNIDLNSNLLSGNLPRDLGHRLPKLLAIQLAGNNLGGVIPVSITNCSQLIILELSTNRFTGSIPDALGDLRLLQFLALYGNNLTSDPTSMELSIITSLTKCKNLVFLGLGQNPLNGLLPASIGNLSATLQKLYIYSSGIKGTIPSQTGNLTNLILLALQSNQLTGGIPAAFKDLQNMQGLSVRDNNLNGTLENLCNLQRLAYVDLITNQFSGSLPECFGNMTSLRDLELGNNFLVSAIPNSFWKLNDLLRLNLSSNSLNGSLPLEWGTSLFLFLLTLGSNYFHGNRPHELSGLRRLKFISLSSNNFTGAIPMWFGHFPELQVLALYDNDFTGLIPSSISNLSKLKHLDFRGNSLRGKIPEQIGNLQSLKFLNLEINQLTGSIPLSVFNISTMEKVGFTCNNLSGTLPVDLCRHLPNLRKIALSFNQIHSQIPSKMSNLHKLEALYLIFNELNGSIPVGIFNLSTMSVVSLGFNHLTGNLPSNIGNQWPNLEKFHLSGNNIGGLMPASIVNCSKLKAH >CDP20351 pep chromosome:AUK_PRJEB4211_v1:8:2134924:2136859:-1 gene:GSCOC_T00008796001 transcript:CDP20351 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGGSTHFSVFLLVAIILLCSSSKTVNATCYGKEKQALMDFKKDLKDPSGRLSSWIHDVDCCKWEGVVCSNRSGHVIQLHLQSAVREIDDFGDEEESPLSGKISHSLQNLTHLRYLDLSLNDFSGIPIPSFFGSLRSLRYLDLSGAGFQGMVPYQLGNLSSLRTLSITCSVYSSDLQVDNRQWLAGLSNLEHLDMSGVDLSLASNWLEVINMIPSLVEIHLSYCQLGLISHQLGRETFVFHANFSSLAVLDLSRNRNLFGHLIPRWIFGLTTLASLDLSMTSFEGPLPRGFRNLTSLKLLDLSDNLLNGSVPDELIHLNNLISLNLGRNQFEGFLDGIWNWSSLASLDLSYNNFATFLPSQLSTLTALISLDLSHNQFRGSIPSSIANISNLQHSSIADNLMEGIVSESHLDNLTALRSFDASGNSLTLKVSVSWTPRAQFEILGLGSWKLGPQFPTWIRSQKILLDLNLSFAGISDTILPWFFNSSFNSMVRVQISLKLLKVYMCIIVIHIQCASNANAYKKTAKIKGQCIQKAKAAMTSRHVRARSKRLP >CDP14458 pep chromosome:AUK_PRJEB4211_v1:8:14207288:14217473:-1 gene:GSCOC_T00040948001 transcript:CDP14458 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLIKSPFSLSRFSRVAASKNQRFLCTDPNKTDEPFKVEEAETVDTSPPPSEKLLVLGGNGFVGSHICKEALDRGLTVASLSRTGRSSIQESWANSVIWHHGNLLSNDSWKDALKGVTSVISCVGGFGSNSHMYKINGTANINAIRAASEEGVKRFVYISAADFGVANYLLQGYYEGKRAAETELLTRYTYGGVILRPGFIYGTRRVGSMKLPLGVIGSPLEMVLQRAKPLSQLPLVGPLFNPPVNVTAVAKVAVRAATDPVFPPGIVDIYGILRYSQQKSV >CDP07878 pep chromosome:AUK_PRJEB4211_v1:8:19471323:19476708:1 gene:GSCOC_T00025362001 transcript:CDP07878 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHDVSFANRAKFLSAKIFCYYCSDIGCCKYGDYWRQMRKICMTTPPPLRAYRPIWRTTFGSIWQDKASVLVTTIKALASAGDLINLTENLASHTSSMVCRAAFGRVSKDNYEAHIDNLAKTETAIGESDQEDLIDVLLRVKESGDLHFPITNSIIKAVIIDVFSGGIETSTTTVEWAMSELIRNPRVMVKAQSEIRKAFIAKRTIEETDIQELKYLKSVIKETLRLHPPIPMLIPGECRRETEIDGYIIPIKTRVIINAWAIGRDLEYWDDPECFRPERFENSSIDFNGTHFEYIPFGAGRRICSGISFGLANVELPLALLLYHFDWKLPNGLKPCDLDVTETMGVTAPRKNHLHLYDASLDASAET >CDP08198 pep chromosome:AUK_PRJEB4211_v1:8:24794992:24803345:1 gene:GSCOC_T00026956001 transcript:CDP08198 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAGPGGYILQDVPHLTDYIPDLPTHPNPLRSNPAYSVVKQYFVHMDDTVPQKVVVHKDSPRGIHFRRAGPRQKVYFKSDDVSACIVTCGGLCPGLNTVIREIVHSLDYMYGVNKVLGIDGGYRGFYSKNTIRLTPKTVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGAAAIYKEVRSRGLKVAVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRYCGFIAQYATLASRDVDCCLIPESPFYLEGKGGLFEFVEKCLKENGHMVIVIAEGAGQELLAESGHANDEQDASGNKLLQDVGLWISQKIKDYFAKQRKMAITLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTSGLVHGRHTYIPFNRIIETQNKVVITDRMWARLLSSTNQPSFLGPNAIVEAKKEEAPETQLLDDDGANSGNGDTGNHKIEARDT >CDP11755 pep chromosome:AUK_PRJEB4211_v1:8:6078875:6082511:-1 gene:GSCOC_T00034246001 transcript:CDP11755 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVGSLVWVQRKNGSWWPGKVVGLDEAACPLKHLSPSAVKTPIKLLGKENGSVEWHNLETSKRIKAFRSAEFDGFIKDAESVQSSLAIKNGKYAHREDAVLHALQLEKQEQEKSHKTPDNVKQGPLCRAKRSKCVYLPVEKASSENSVLHCQSSKVIPSACVTEDHYQVSSLAEEKDSAESRVSGFSESCFKDNGRRVKQLTGSFQNRRKKRSINLLEEHLSYTSESSPDSKIASGAGSQNLNSNEQPCTHNSVGTPSTVTNGTSFAVSRKRSRNIQDIVLLDTPCKEERNYRVDSKSDEHLALEDKSLFCSLTGGKYLEDSDSLCFRPVHANQHNMMETMLIDVNITVQASYRKEHVPLVSLMSKSNKKAIIGYPIEVEVLEDIPAIFLVRKNSSSQMDGNADSSAHQLVWRTSKRTPVCYITNPYPPARDGKGEQASKTFGDSGLEAKTTNFPQAEEFFLYPPKRSNLSEQNGDNLQITRSLLREATCIPVEFIFIKLLSSVGT >CDP19034 pep chromosome:AUK_PRJEB4211_v1:8:21956174:21957378:1 gene:GSCOC_T00013087001 transcript:CDP19034 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSIDIATAFLGLAKRQPAPLNRFGATVFTQLWKNEVFMNQSYLICSSVRSRIVFINCTSKAKRFSRRFIASAATTSTPQSEDSDILTKIPPDDRIPATIITGFLGSGKTTLLNHIQEIPIVPVL >CDP18813 pep chromosome:AUK_PRJEB4211_v1:8:317122:322839:1 gene:GSCOC_T00005592001 transcript:CDP18813 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTGKKKGPVGLNRNRTSKAFDEDTAVFINMSQELKEEGNKLFQKRDHEGAMLKYEKALKLLPRNHIDVAYLRSNMAACYMQMGLGEYPRAINECNLALEVAPKYSKALLKRARCYEALNRLDLALRDVNNVLSMEPNNLTALEIAEKVKKAVEVKGVEDKEIVLPPEYVEPPLPSISSKSTKEKLKKKKSNRFEKRKVAEVEQKRDEEPADKKAEDKVVVEEKLSVKEEKVATKTVKLILGEDIRWAQLPVNCNIRLVRDVVLDRFPSLHAVLIKYKDQEGDLVTITTTEELRLAETSGDLQGFLRLYVSEVSPEKEPFYGSNEVEDFNSTSKLSTVAENGNLEKGLELDKGQTCVEDWIIQFARIFKNHVGFECDSYLDLHEIGMKLYSEAMEDTITSQDAQQLFEIAALKFQEMAALAMFNWGNVHMSRARKRVFFKEEGGSKESAMADIKSAYEWAQTEYVKAGMRYEEALRIKPDFYEGLLALGQQRFEHAKLSWYYAIGSKADLEMGASPQVLELYNKAEDSMERGVQMWEEMEEERLNGLSKSDNHKTELQKLGLDGLFKDVSPEEAAEQAANMRSQIHLLWGTLLYERSVVEFKLSLPTWEECLEVAIEKFELAGASPTDIAVMVKNHCSNETALEGFEVDEIVQAWNEMYDANRWRSGVSTFRLEPLFRRRAPKLHSMLQTF >CDP08213 pep chromosome:AUK_PRJEB4211_v1:8:24998885:25003234:1 gene:GSCOC_T00026974001 transcript:CDP08213 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYSLMITAELENLTDLQPQGGCDDPSFNYYFKLKCGNCGEVTPKEVCLCLTDVVPHGKGSTNLVKKCKFCSREGTVTMITGRGRPLTQIQSQFGHFTPLMVFDCRGVEPLDFSFGSGWQVESIEGTKFDGVDFSGGEFVEYDEKGECPVMISNLRASFDVLK >CDP09974 pep chromosome:AUK_PRJEB4211_v1:8:27668320:27671214:-1 gene:GSCOC_T00030495001 transcript:CDP09974 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFILQLRKSPLFFYQRKPNHFLLKQISTTIDPVQESDPVSWANTISALAQGGLISDIALLSACLMMNSGSKPNDYALVHLIRTCTKCGWFSLGQQLHCQIIQSGHDSNVFVSTALINFYVKFELIYEARNLFAEIPEPNLVGWNSLISGYVRSGQFRKSLTLFLQLEKSGISADSYTCTAALSACGQLALLQFGKLIHSKIVKLGVEYSVIVGNCLIDMYGKCGAVEESMRVFDEMIDRDSISWNSVIAANARNGRLEQALSFLHQMTDPDTISYNEVISGIAQFGNIEDAIYLLSRMPNPNSSSWNSVITSYVNRYRARDALEFFSKMHFSGVQKDQFTYSSILSGIAGLSAVTWGMLIHCCTVKSGLDGSVVIGSALIDMYSKCGRITEAEMVFHWLQKKNLITWNTMISGYAHNGDSSKVLRLFEKLMLVKNLQPDRITFLNVLSACWHNRMPLDAANRYFELMVNEYSINPTAEHCSSMIRIMGQEGKVYQAEKMIHQLGFESNGAVWRALLAACVTCGNVKMAKVAAEKVMQLEGDSEYVYVLMSNVYARHEKWRDVIQIRTMMKEKEVRKEIGHSWIELENTFPTSSIL >CDP12985 pep chromosome:AUK_PRJEB4211_v1:8:2854831:2856747:1 gene:GSCOC_T00037706001 transcript:CDP12985 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGKLRIKDAAFRRFLSVAVITLFGAILIIFLHRYSPVSDSVIRDAYESAESLQVTFNYSQRTENVNLPKQNELSIRLQKQNELPPRNLNLYPKLAKDNIVIVLYVHNRPQYLKVVVDSLSRVEGISETLLIVSHDGYFEEINRIIEGIKFCQVKQIFAPYSPHIFENSFPGVSPNDCKDKDDPVKKKCEGTPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLKETRQHLGHILFIEEDHFIYPNAYRNLQLLTELKPVKCPDCYAANLAPCDVKAKGEGWESLIAERMGNIGYAFNRTVWKKIHRKAKEFCAFDDYNWDITMWATVYPSFGAPVYSLRGPRTSAIHFGKCGLHQGQGEKQACVDHGFSNIQVENIDKVPNIKTDWGVHIYWKQAGYQAGFRGWGGWGDARDRQLCLDFANMYDLRST >CDP09768 pep chromosome:AUK_PRJEB4211_v1:8:29379760:29380128:-1 gene:GSCOC_T00030225001 transcript:CDP09768 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAGTYSGILSGGISGKTGPHSFPLARIKKIMKKSGDDVKMISGEAPIVLSKACELFVEEITRRAWRMTMQGKRRTLHKEDVASAVMATDIFDFLVNLVSEHSATSEQEEITTPPAMDLKR >CDP13041 pep chromosome:AUK_PRJEB4211_v1:8:3584309:3586158:1 gene:GSCOC_T00037793001 transcript:CDP13041 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWNEFEHDEGSKIADMISTASLIIALRVKVTTFNILSFTTRYSFGILISPPLPDDLSFKQWFTLNKEEIQNLLNAKTYSDANCLLPPPNEEDIKAISTFQASFPIQKAAWVQGTVKLAYGFTKYWTTACVNCYKIVNADIDWIIHCPSCKQQSEVELRC >CDP07229 pep chromosome:AUK_PRJEB4211_v1:8:12446358:12455240:1 gene:GSCOC_T00024434001 transcript:CDP07229 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLDLTHTTFVLVTKPLSLAKLFCLFCLRSICIVIQTWIELLTAGINFQLKILWNVTIWAIAILSIPVRALTALQKEKLLEIRIQDLQIELENIIWHTKKLEEQLQLAIKEHRLMEALLAEVEDEHAEAISKMELLDGELKDLEAENNQLKEVQGKAFWCSRSKDEGQNSQTVKNALKFRIPLLRSHYKGNGVKGDNMRCRDKWKDGKGIKSEMSGASKVLSEACGLIHPSFQDTMTPNFRMDNVLEQRRQEALSHSFFSAVWSLLVGMIVWEAREPCMPLVLALFVVVIMSLLCVLRFFSTIKNKHAVVAVALLSLNCFMLGMVTCPMLPVFADALAPFVFHASQKMVTWFLAFLT >CDP14944 pep chromosome:AUK_PRJEB4211_v1:8:9202046:9202201:1 gene:GSCOC_T00042446001 transcript:CDP14944 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSDIKLIRTDTTLDLSQKAEKGMLFFVLGYIICSLAIADSSSRWPMWE >CDP13058 pep chromosome:AUK_PRJEB4211_v1:8:3771383:3772492:-1 gene:GSCOC_T00037818001 transcript:CDP13058 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPKRFAVGYALAPKKQASFIQGSLVQLACERGIDLVQIDLQRHLVDQGPFDCVLHKLYSDDWKRQLKEFEEKSPSALIIDQPEAIERLHNRISMLQVVAELEMDPSKIAGAETASFGIPKQTVIYDFGSVSEVNVEKEGLKFPVIAKPLVADGSAKSHKMLLVYNHDGLNKLKPPIVLQEFINHGGVIFKVYVVGEYVKCVKRKSLPDISEENLRSLEGSLSFCQVSNVGAHEINPDKYYKLMHLESAELPPMSLINEIAKGLRRATKLHLFNFDVIRDAKVGNRYLVVDINYFPGYAKMPNYETVLVDFFWKLLTNDDKDFDVTKLATCEIGTRNAMVGHHHFGEDEGMIPASPLKREGKEHSLQV >CDP08233 pep chromosome:AUK_PRJEB4211_v1:8:25202145:25202444:-1 gene:GSCOC_T00026998001 transcript:CDP08233 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKPFKKPQRPYEKEPLDAELRLVGEYGLRCKRELWRVQYALSRIRNNARMLLTLDEKDPRRIFEGEALLRRMNRYGLLEVKTSSIMSWL >CDP09811 pep chromosome:AUK_PRJEB4211_v1:8:29043740:29046611:1 gene:GSCOC_T00030279001 transcript:CDP09811 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGIAPSKGKAYPGNMTCKVFVTCIVAAMGGLIFGYDIGISGGVISMPLFLKKFFPDVWRKEQGLSPSTNQYCTYNSETLTLFTSSLYFAALLASFVASSVTRACGRRVSMVIGGTLFLAGSAVNGFAQNVLMLIIGRVLLGFGIGFANQSVPVYLSEMAPYRYRGALNMVFQLSITIGILGANLLNYFMANLKYGWRISLGCAAVPGIIFIVGSLLLPDTPNSLIERGKREEAKIMLQKIRGIRDVDEEFDDLVIASEESKKVQHPWANIMKEKNRPQLTFAMLIPFFQQFTGINVIMFYAPVLFKTIGFGSTASLMSAVITGLVNCLSTLVSIAIVDRFGRRGLFLEGGSQMLIMQLAIAAAIGAKFGVSGNPGSLPKGYALAVVAMICVYVAGFAWSWGPLGWLVPSEIFPLEVRSAGQSINVSVNMIFTFVVAQIFPVMLCKLKFGLFLFFSFFVVIMTIFIYKFFPETKGVPIEEMAIVWSRHPYWRKFVPAPDNIDDDTKPGSGRIGIEIVKKEQDC >CDP09850 pep chromosome:AUK_PRJEB4211_v1:8:28725239:28727210:-1 gene:GSCOC_T00030325001 transcript:CDP09850 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSVTPKLAMEFNSLLLLSPLLFCFTSILLYIANRSINKQKRLPPGPKGLPIIGNLLKLGDRPHESLTMLAKIHGPLMTIKLGQTDYQHSVIWTPGGPKWQKLRKLLNNQVLTPQRLDALQELRHQMMENMLKRVFEAREAGEAIYIGRLVFGTSLSLLSNMSFSADVLDPNSKEVKELKDLIQMILELVGKPNLADFFPILKPFDPQRIRRDIKRGYDGLHSLIENNIDRRMKQRASSIERSGDFLDALLDHSEQYGPDELDRREVRLLLMDLFIGGTDTSSATVEWVMTELLHNPEKMAKVKQELVEKIGSGFSVKEADILQLPYLDAVLKETMRLHPAAPLITHSAMTYVQLCGFVIPKHTQVMVNAWSITRDTAYWKNPTIFLPERFLNSDLDFRGRDLSFIPFGAGRRICPGLPLAVRIVKLLLATLVHNFDWKLPNGMEPKDMDMRDKFGLTLEKAKPLAAIPMRVSNC >CDP18546 pep chromosome:AUK_PRJEB4211_v1:8:4267538:4269581:1 gene:GSCOC_T00010788001 transcript:CDP18546 gene_biotype:protein_coding transcript_biotype:protein_coding MELSIVKSEASARGRSYCLVESDVPETKCVRRRRRSPSALTVLTGEASPGGRDQQQPQIQQVDQNNGANATTTVKRSSRFRGVSRHRWTGRFEAHLWDKASWNATQKKKGKQVYLGAYDEEEAAARAYDLAAIKYWGISTFTNFPISDYANEIEIMQNLTKEEYLASLRRRSSGFARGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYGTQEEAARAYDIAAIEYRGINAVTNFDLSTYIKWLKPGSSSTASHDLLTSQPVETASIHNFNLTDHQEPHRHEFSFNPNSFKSGPLSIPQKQEVIERKMPLSPCSMSSSPTALSLLLRSSMFREMVEKTSNADNDDQNDGNDLKNQPVQGGGHQQDDFSFFPGSSLSFPYFYPFNSGHKLPELASEEKRVSPFSASRWNDAFNTSPFFNS >CDP14935 pep chromosome:AUK_PRJEB4211_v1:8:9280664:9282880:-1 gene:GSCOC_T00042433001 transcript:CDP14935 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRGKTLGSGSFGFVSIAKTIPSSFPDDEEDQLFFDLPPIIAVKSAEFSCSESLQRERLLLHEFQNCPQIIHCYGADVSEEDGHLLYNMLLEFASGGSLADYVHGSQYGLLEGEVKQFTRSVLLGLSYIHAEGYAHCDIKPANILLVDDEEGNRTAKVSDFGLATKVSERRTGRKRKKGNRGTLFYMDPESVMLGECDSKVDIWALGCTVIEMFTKKPAWDFDFDQCTDLDDFDEFFDRIKNEEVEIPRGLSKDAQDFLAKCLIKNPGLRWTADMLLRHPFVSGLDKAPADQEISEESAFSTIGSSEDDESSEDMDSIKPMLLLKNLARLDKVAAAENSVSDRSLGLKPTLNESVSKKSYEFPLFKRRKGLDPEVLYEAIQCNANFGFLQAC >CDP15691 pep chromosome:AUK_PRJEB4211_v1:8:7551531:7552064:1 gene:GSCOC_T00015667001 transcript:CDP15691 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVPIHKIQISGPALASLLLRFSSSSGAIHGFLFGHVPVSATFSLSDDLTSNLDPSSADADAGTAIPLLTTTVTSFLSHSNPSRILTHLPPLSSAGSPLAAEPLSAPPLMTPPPRTLSPPLLPSCSSLFLFLCRLLSLLSAIRLLNKLAVGVKLDGGKKEELFLFALKKHTDIRVN >CDP17881 pep chromosome:AUK_PRJEB4211_v1:8:20942509:20943310:1 gene:GSCOC_T00011861001 transcript:CDP17881 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNKIGAMAILFCGMILLGANVEVTAVRPGPDKACPTLCIIGIEYVDCDGEKIYDDCTNCCFYKGCTFHFAGGREVYCTWPPVQKLGFGKGVYKI >CDP12194 pep chromosome:AUK_PRJEB4211_v1:8:30955220:30961684:-1 gene:GSCOC_T00035605001 transcript:CDP12194 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWWGLVERDFPTVYNWQGYLEIVLLAKRFGLKVKAVMAFHQCGTGPGDPFWISLPQWVLEEMDKKPDLAYSDRFGRRNMEYISLGCDVLPILYGRSPIQAYADFMRNFRDTFRPFLGSIITGIQVGMGPAGELRYPSCPTQKLTWAWRSRELGEFQCYDKYMLASLNACAWERGVREWANGGPIGAGNLMHDPESTEFFRSNGSWSTPYGEFFLEWYSGLLILHGERICREAESIFRGIEVNMSGKVAGIHWHYGTRSHPSELTAGYYNTSIRDGYLPIARVFGRYGFTMCCTCFEMQDAEEQQVNPLSSPEGLLKQLLLAARVCDIPLEGENSASNLDDDSFQQVLKMSKFYSDGLQRPSFSFNFNRMDKNLFEYHNWVSFTRFVRHMSGFNTFRAKLDFGGGDSCHSSAAAASTGAVLAY >CDP18435 pep chromosome:AUK_PRJEB4211_v1:8:22135852:22136526:-1 gene:GSCOC_T00007252001 transcript:CDP18435 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISVEWRQGFHGPASRNILGVSSFSRGRGAKSQTEVGCPSLVSCLDGFGLLQVSSDHAIVTIYCWKLCSSIKLPDSYYFRFL >CDP09987 pep chromosome:AUK_PRJEB4211_v1:8:27556160:27558749:1 gene:GSCOC_T00030510001 transcript:CDP09987 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASIIPSNATATAKFRATSTAATTPLSPPSVLKFPSISPNYPSTKPLISHSALPHFQHKPLSAVTPLNASSTPPPTATASTFHGVCYVVGDNLDTDQIIPAEYLTLVPSNPEEYKKLGSYALIGLPSSYQTRFVEPGQFKSNYSIVIGGDNFGCGSSREHAPVALGAAGVAAVVAESYARIFFRNSVATGEIYPLESEGRLWWYICLCPKNWDDSCVGNLMVLRLRRFTILASWQKGCTTQL >CDP07841 pep chromosome:AUK_PRJEB4211_v1:8:18559594:18565018:-1 gene:GSCOC_T00025292001 transcript:CDP07841 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQAEGNSIRYVSSELATDVIVTVGEIKFYLHKFPLLSKSNHLQKLVSRGNEENVDEIQLINFPGGPKAFEICAKFCYGMTVTLSPYNVVAARCAAEYLEMTEDVDRGNLIFKIEVFLNTSVFRGWKDSIIVLQTTKSLLPWSEDLKIVGRCIDSIASKTSVDPSNITWSYTYNRKLAATEKKFDDGLKIPGKLESVPKDWWIEDLCELEIDLYKRVMIAVKSKGRMQGKIIGEALKTYAVRWLPDSIDALVSEVHNRRNKSLVETIICLLPFDKSTGCSCSFLLKLLKVAILVGADDALREDLIESISLKLDEASAIDLLVPARSPQSTTYDIELVKRLVNLFVSHEMNNQDLNAIQKSEKGITGLVLARGTWINVGRLVDSYLAEIACDPSLTLSSFTELSRLVPESARPIHDGLYKAIDIYLKEHPTLTKADRKNLCSLMDAKKLTTDASMHAAQNDRLPLRVVVQVLYFEQVRTTAGVQTMDNSTHDALASTRNADEEWQRMGSENGKSLARQLSQMKVKNEDLPKPGKLAKKGSKNRGSGAQLLPSRSRRIFDKLWVVGKGNGNAENRSSETSASSQSPRSIIQGEIKSSGSSSRQRRHSIS >CDP09769 pep chromosome:AUK_PRJEB4211_v1:8:29370604:29376600:-1 gene:GSCOC_T00030227001 transcript:CDP09769 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRGRYPPGIWNGRGGGGAGGFGTVNANPNFQNRNPTYYHHQQQQQQFQQNYGQRNLQNQQHHHHFQQQQQWMRRNPSGTPSDSSVNEVEKTVQPVNPDSGSQDWKARLKLPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDTDYNVIQVVILVPTRELALQTSQVCKELGKHLKIQVMVSTGGTNLKDDIMRLYQPVHLLVGTPGRILDLTQKGVCILKDCAMLVMDEADKLLSPEFQPSLEQLIAFLPVNRQILMFSATFPVTVKEFKDRYLKKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPLIDQAIYCR >CDP11680 pep chromosome:AUK_PRJEB4211_v1:8:4703939:4708065:-1 gene:GSCOC_T00034135001 transcript:CDP11680 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHIHPCASRTISLLPLALCLVLSITITTTVSAFNRTTIRFDEGYTPLFSDFNIDRSPDDKTVRLLLNRLSGSGIISSDYYNHGFFSARIKMPANYSAGIVVAFYTSNIDTWEKNHDELDFEFLGNVNDRPWRFQTNMYGGGSVSRGREERYRLWFDPSKESHQYSIFWSPKNIIFYVDDIPIREVIHHPDLGGDYPSKPMSLYATIWDASSWATNGGKAKVNYEYEPFAAEFKDLVLEGCRVDPIEQISSTNCTDRIARLIAQEYATIKPEGRKAMKWFRERYMYYSYCYDNLRYKVPPPECVIVPTEKERFRDTGRLREKMRFGGSQKRIRRGRSSRRRSRKPAASGSGKEVAAAAAM >CDP14930 pep chromosome:AUK_PRJEB4211_v1:8:9332684:9336805:-1 gene:GSCOC_T00042426001 transcript:CDP14930 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSTKRKTSAVNLLASPSPKTAEATTPGSPMMKSPSESPKEFEFSFNTTKLASSPLMKKKSNSSGGVGGASSASMFMQRTGGGPGGFSPSPLRGINSISDLKGLASSGLDSIKRQLERSQSEILKDIEASQSRLQKRFKIQSQACQQVMDEAERENKKMSDRITETREAMKASYNEFMIEAQSSASRLCKTSIPEISQSFEKSINSLRSRYGISSTSAL >CDP12195 pep chromosome:AUK_PRJEB4211_v1:8:30965353:30970223:1 gene:GSCOC_T00035607001 transcript:CDP12195 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSWRRSGSFIALAIVFFGCLSAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGEVKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSVLTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGIDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKHQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIERMVREAEEFAEEDKKMKERIDARNGLETYVYNMKNQINDKDKLADKLESDEKEKIEAAVKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIITAVYQRSGGAPGGASEDDDSHDEL >CDP07212 pep chromosome:AUK_PRJEB4211_v1:8:10798567:10798716:-1 gene:GSCOC_T00024388001 transcript:CDP07212 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQIVDQVLGKKSGYIKGLGYRSKLISMLFALMHEENKKLEETLKKC >CDP12228 pep chromosome:AUK_PRJEB4211_v1:8:31203538:31204856:-1 gene:GSCOC_T00035651001 transcript:CDP12228 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRGTAPPACPIPSSWTAQHIPADEAELELHGMSGSSTTSKTISKRRFNDDQVRYLESMFEAESRPELRVKQQLANKLGLQPRQVAIWFQNKRARSKSKQIEQDYSVLKASYDDLASKFESLKKENESLHVEVQKLRQLTIRSGKEEYGREDIELEADQTTEFLLKASSSHEQSSTICDENFTRSIDYLVEATNSLYMAQLADGSLTSTEDGCSFEANDLIDNSSCNSQLWEL >CDP07198 pep chromosome:AUK_PRJEB4211_v1:8:10532700:10534575:1 gene:GSCOC_T00024366001 transcript:CDP07198 gene_biotype:protein_coding transcript_biotype:protein_coding MYTEVSMFLMPPFPAIIIMIHETGAFLFAVALLFADKDLFYISKVKAESVPLTN >CDP18443 pep chromosome:AUK_PRJEB4211_v1:8:22031868:22036944:1 gene:GSCOC_T00007263001 transcript:CDP18443 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRSSTLANIFLLLLVAMSFSVNHVSAAKQFQNETDRLALLEFKNQIYDDPLGVLNSWNHSQHHCQWEGVTCSARHQRVIALILGHKQLSGTISPQVGNLSFMRFIHLEENQFHGEIPREFGRLFRLRVLNLSSNALGGKIPANLSYCSEMTTINLKMNKLEGKIPIDQLSRLKKLERINLLSNNLTGEIPSSIGNLSSLIQIKLDFNNLEGNLPMEIGLLKRLTSLDVTGNKLSGVIPASVFNSSAITIFSVADNSFHGKPPTNVGLTLPNLEGLYLGANEFYGNFPTSITNASGLEILGLSNNNFAGQIPANLGDLTQLQRLNLDANLFGNNSSGDLDFIVSLSNCSNLSDIDLTANNFGGNIPKVMANLSDQLAGLDLGGNQLSGTIPEGFGNFVNLYRLGLHMNSLSGVIPRDFGKLQNLQGMRLDHNDLSGQLVSTLCNNTNLFYLDLSFNQFEGGNIFDNVLMDVSQNLQYLDVSQNNFTGIISPHFLQMHSLLRYLNLGKNSFNGSLPAEVGKLVNLVSFVVSHNQLAGDIPISLADCANLENLYMQANFFQGRIPPNLASLKSIQQLDLSSNNLTGPIPKELEKLQFLRYLNLSYNDIEGEVPNTGVFSNASQTSLIGNNKLCGGIPELEFSPCPLIKGKNRGKLKVIILLSIVLPATLLVLGALSLYFWVYQKKERRIVAGFSSMPSRIDELLRLSYHELLRATAGFSPENLIGSGNFGSVYKGRLEKHGNKLVAVKVLDLQKNGASKSFEAECKTLRNIRHRNLVSIVSYCSSIDSKGDEFKALVYELMENGNLDLWLHPETADKATSSRSLNLSQKLNIAIDVASALQYLQNHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPINISSEQGTSSTIVIKGSIGYAAPEYGMGVAASTLGDVYSYGILLLEMITRKRPTDDMFMDEFDLHNFVKRALPGQVYEIVDPLLLSKADDEDTRMTPGGDKTYGGRETECVISLLKIGLKCSEKLPNDRMHMNEVVGKLHLIKDVFLGVRAYQKSLEA >CDP09967 pep chromosome:AUK_PRJEB4211_v1:8:27738523:27741786:1 gene:GSCOC_T00030485001 transcript:CDP09967 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSDDLDQLLDSALDDFQSLNLTSSAQSGDNEGNKQENSSMPSEVQGLGMGLPDLRSKKKGKQKVSKESHVSEALDKLRQQTREAVKGLESVSGPIPMEENIGNDAMMEDWVKQFEELAGSQDMESIVETMMQQLLSKDVLHEPMKEIGERYPTWLEDNRTKLSSEDYQRFSHQYELIKDLNEVYETDPGNFNKIVELMQKMQECGQPPNDIVQELAPEFDLSSFGPLSAETPDAQQNCCIM >CDP08210 pep chromosome:AUK_PRJEB4211_v1:8:24942507:24947565:-1 gene:GSCOC_T00026970001 transcript:CDP08210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EARLY FLOWERING 3 [Source:Projected from Arabidopsis thaliana (AT2G25930) UniProtKB/Swiss-Prot;Acc:O82804] MKRGKDDERAMGPMFPRLHVNDTEKGGPRAPPRNKMALYEQLSIPSQRFKHGVVPINSNSSANGIPPVSSSQGNGQQRGAFCSHQLPLPGQATEKSASNYFDSSAPLMPVEQKKKLEEDDFRVPIFMQSNMGQDRGKTYSNMDRGNSSSPAYTEHSTKNVPVTDNEPRQTVDIGPSVGQESRSQNEENIRESMGGGEQSIRSVSISSSLNKAEVGLRQAETYLRPESRNNSVDKCSRFSNADHNLLPDHRAETHSKSRIPGNNVFDEPTMGISNPNSSASRFDFQAEEGRIFDDTESCEDKTCRSLPTGIADRDDDASETSMVDSISGLEISPDDVVGIIGQKHFWKARRAIVNQQRVFAVQVFELHRLIKVQRMIAGSPHLLLEDSAYLGKPIKGSAPKKLPIDYIVKAIPNVSKQKNDSEKPNHKIECSAENTVGKASLSSVQNGSHLPSHRPFSANSPATGDYSSGSWCFQQPQGHQWLIPVMSPSEGLVYKPYPGPGYMAPACGGCGPPGSSPMMGNFLNPAYGIPASHHYQAMGGVPPFAAPAGPQGYFPPYGMAVLNQGITGSAGEQMNHLAVPGPYGQSPRLGPNYDIQRQNSGNVPNQKSGAIPDAVQQLHASRESELQISTASSPSERVQGSGRGNAAERGDVLPLFPTTPVVEAPSGHVQQPQEVSSSARVIKVVPHNGRLATESVARIFQSIQEERKQYDSA >CDP07828 pep chromosome:AUK_PRJEB4211_v1:8:18028173:18029266:1 gene:GSCOC_T00025232001 transcript:CDP07828 gene_biotype:protein_coding transcript_biotype:protein_coding MISNHPKLYMYKYIYGNINNCLEINWPLYLFNNSFLINFFSMIALLLSTELCFSPIRKCLASTIYHGIR >CDP13024 pep chromosome:AUK_PRJEB4211_v1:8:3311973:3313082:1 gene:GSCOC_T00037762001 transcript:CDP13024 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQETEASSSQHREEDQQQEAAEALEINTTHHLTVPHGLTQDEFDSLKHVVTEFHSYRVNSGHCSSLLAQRIQAPLRYVWPMVRRFDKPQTYKHFIKSCSVREDFRMTVGDTRDVNVISGLPAATSTERLDMLDDDRHVTGFSIIGGEHRLRNYKSVTTVHEFDRDGRIWTVVLESYIVDVPEGNTEEDTRLFADTVVRLNLQKLASATEAMSRDHHQTGGGGSELRS >CDP12972 pep chromosome:AUK_PRJEB4211_v1:8:2724802:2726754:-1 gene:GSCOC_T00037685001 transcript:CDP12972 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSFVLDQLSTSLREEGRLLGGLRQEVQFIRDELEQMRAFLREAEAKEDDAQPTLQQWIKQVRDAAYDTEDILDEFVARFARHRATGFYGSVRRIFSSIKNLRARHRVASEIQGIKSRINSISQGHQRYQSEYGISAQASNSLSAVNNTTWRHSRDDALLVEEAKLVGIDQPKKRLISQLLQGDDYQLKVVSVVGMGGLGKTTLYLLKDLIRQLHKKGNKQVPQSIESLNITELKEFIRDFLQQAGRYAIVFDDVWDVEFWNAIQFALPESSRGNRVMLTTRKADVASASCTESLGFIHRMEPLSSEDSWTLFCNKIFKGNSCPGHLMDVAKGILGKCEGLPLAILAITGLLALKGVNRTEEWEMVRRSLGGELEGTGKLDRVKKILSLSYNDLPWHLKTCLLYTSIYPEDYAIECYDLINLWIAERFVEWREGMSIEDVAWGCLSELVNGSLIQVTDVFYVGLPNTCRIHDLLREVILLKSREQNMVTVITEQPTRWPSEKVRRLVVHGSSSNNTQHHQQRPNYCFDHLRSFVTVGSTNLLLHKMLLSKVSRSSKLLKVLDLTAFGPIWYRSGKSPKSHWKASTLGVSEFGRDWS >CDP12987 pep chromosome:AUK_PRJEB4211_v1:8:2864954:2869088:1 gene:GSCOC_T00037709001 transcript:CDP12987 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDQVCFFTALIMVMVSIVYSLLRKTNDWIYVSPLGGLQKTLPPGDLGFPLIGNMFSFFRAFKFGNPDSFISSFTTRYGQAPMYRTLLFGKPSIIVTTAEACRKVLTDERFGPGWPRSVSDLVGKRGLHGVSNQEHKRLRQLIAAPVAGQEALSLFIGYIEDIARTTFDTWASKDEPIQLLTEMRKTAFKVMMNIIMGNEINDEKSLDHMEHEYTVLSNGLKSMAVNLPGFAYHRALKARKSLVKTFAAIVCKRRMLSKSNNVESRTKKDLLTLMMEIQDDAGNKLNDEQIVDLVIIFLLAGHESSGHAVTWAIILLHEHPQTLQKAKEEQEDIVKRRAFSDTGLNFREIRQMKYLQKVIDETLRVVNLSFALFREAKSDVDINGYTIPKGWRVLPWIRNVHFDPQNYKSPKDFNPSRWEDPTIKIGTLVPFGAGSRLCPGADLAKLEISVFLHYFLLNYRLERINPGSRVQYLPITRPADKCLARIKKLTKSYA >CDP13494 pep chromosome:AUK_PRJEB4211_v1:8:922832:924126:-1 gene:GSCOC_T00038453001 transcript:CDP13494 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVVATLLISLFCSEISLVRGDIGTATSYSPPYIPTRCNGNRPDQFPAGNLFVAVSEGLWDNGAACGRRYRLRCLSGNNKPCKNVGTTIDVRVVDFCPRRPCPSTILLSTDAFAAIAHSPHAKINIEYIQV >CDP08186 pep chromosome:AUK_PRJEB4211_v1:8:24692619:24697846:-1 gene:GSCOC_T00026941001 transcript:CDP08186 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERILERERYQIEQIRQLELEELQVEEVDSDDDSSSENNYRTSGGASASGEFTYDTSLVALHSYLGDVEDTHNRLAFLDGGAVLKLPMFYLEGVVLFPEATLPLRVVQPHFIAAVEQAMTQVEAPYTIGVVRLYRDSPDYRRPPRFAIIGTTAEIRKYCRLEDGSVNVLTRGQQRFRLRRCWIDVEGATYGEVQIIREDSPLRTPREAVGRLAPLLNLRSNIFQKQPLYSPRACRLEDWDGNDSDAMSEESFESELSLHERGLHQSALFSSYHTIHEPTSSEDEKLDPDGEVHQGSSRLDNLLGPLREYNTGRDSAKSALSGNSGNLSFKRMKADKCALDRLREVPRAFWPNWVYHMHDSYTLAQKAADRWKHIVKAPSMDGFVMKPDLLSFHIASKIPVSESLRQELLEIDGISYRLRREIELLESFDRVRCKTCENLIARRSDMLVMSSDGPLGAYVNAHGYVHEVMTLHKANGLAVIGDPVKEHSWFPGYAWSIAECATCGSQMGWLFTAAKKKLKPRSFWGIRSSQVADDTR >CDP09770 pep chromosome:AUK_PRJEB4211_v1:8:29368369:29370515:1 gene:GSCOC_T00030228001 transcript:CDP09770 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKQAEHVEPEQETSAPLALAPAASKESSPQALAPAPAASEESSPEEKPPKHDSSEKALVPIEKPIFIAEKRAEHREDEKNKVDPRDRDAALARVELEKRLALIKAWEESEKAKADNKAYKKLSSIGAWENTKKASVEVELKLIEEEFEKKKAKAAERMKNKMAEIHRKSEEKRAMVEAKRGEDILKVEETAAKFRSTGNVPKKLFACFGS >CDP14975 pep chromosome:AUK_PRJEB4211_v1:8:8162036:8164192:-1 gene:GSCOC_T00042494001 transcript:CDP14975 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFECNTGFEVSVVTSKFDQFLHRRPLTEQVVLRVGEISNALRTMNKEGITQIDPLIIAQASLQSAVAVESSSTDVVAVISKSSEFPDDALFLEISRILMPGGSVLVHLTSQSIPLREVSHPLRKLLLAGLLDVKSSEAVVHRRFCNALEQISGTKSSSKIGSSFSLKKQTKSFPAVHIDDDTDLIDDDTLLSEWDLKKPQLPVGDCEVGKTRKACKNCTCGRVEAEEKVKLGLTMDQLNNLRSACGNVCTYCLI >CDP08152 pep chromosome:AUK_PRJEB4211_v1:8:24396687:24397421:1 gene:GSCOC_T00026894001 transcript:CDP08152 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNCQLPQAYATCNFPNTLTKIDLFEKKAKNWCDEGSVPSEPFFVARPGATREDDGVVISIISDKNGDGYALVLDGTTFEEIARAKFPYGLPYGFHGCWVPKK >CDP09900 pep chromosome:AUK_PRJEB4211_v1:8:28314776:28317528:1 gene:GSCOC_T00030385001 transcript:CDP09900 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKMSTLITVVLMILVATTTTKVAEGQSTPSCASNLVPCADYLNSTHPPASCCNPLRVAVTTQLDCLCKLYENPALLAAFKINITQALKLPGYCGIPGNISACNAQAPGSSASETPPAQSGGKDNNGVGKIAGTGLTSLLLALASLMLS >CDP12063 pep chromosome:AUK_PRJEB4211_v1:8:29967112:29968086:1 gene:GSCOC_T00035438001 transcript:CDP12063 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRESYGGGQSSLGYLFGSDDKKKDTAPPATPVVFAPPYGIDTGEEEKPPDNPSPSSKGNVSGDPKRSPKDLNSGKSITIRPSTKVKSVPGGDSSLGYLFGDKS >CDP12157 pep chromosome:AUK_PRJEB4211_v1:8:30705595:30709944:1 gene:GSCOC_T00035556001 transcript:CDP12157 gene_biotype:protein_coding transcript_biotype:protein_coding MADGFEDEVEPTISIGEYLEGVEEQELEADLVLGGDEGKECTYNKGYLKRQAIFSCLTCTPDGNAGVCTACSLSCHDGHEIAELWTKRNFRCDCGNSKFGEAYCKLFPNKDVENLENSYNHNFKGLYCTCNRPYPDPDAEEQVEMIQCCICEDWFHEEHLGLEPSDEIPRDEEGEPLYEDLICQACSNICFFLTLYPQTIRVTVRQPHVANSAKDKEVIENAPSSFASSEKQHGDCSADTSTGDSTSGAVSVGKGILIGQIKANTAVVLERSQTAGPSKTCIIGTNLLEAAPKPEKSKAMLFCKNWREVLCTCENCLEFYSQKRISFLLDREDSIAEYEKIGKQKRDEKLQQQQGQELSFLSKLGHVEKMEILSGIADMKNEIHSFLESFDASKPITADDVHQVFENLAKKRRRMP >CDP09903 pep chromosome:AUK_PRJEB4211_v1:8:28302474:28303091:-1 gene:GSCOC_T00030388001 transcript:CDP09903 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCGFYLLLFLSFHYFNPATSDPTVSASDFIKAACKTTRYYALCVTSLEPYSNTLQQSERQLVRAALTVALSKAQSAKLFVAKSSNSTGLNPRESQALKDCKDNMVDSFDQLSQSMQELARLNNQVTSRDFRWHMSNVQTWVSAALTDESTCLDGFSGSYMNGKVKAPITRRVVYVAQVTSNALALINRFAATRHRSGSTSNAP >CDP09912 pep chromosome:AUK_PRJEB4211_v1:8:28238523:28243717:-1 gene:GSCOC_T00030405001 transcript:CDP09912 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSHVPPGFRFHPTDEELVDYYLRKKIASKRIDLDVIKDVDLYRIEPWDLQELCKIGTEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSKHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQAKGWVVCRVFKKRLTTVRKEGDLESLCWYDDQVSFMPEFDSPRRIPQSYSSYNPQYSCKQEFELQNNIPQDVFLQLPQLESPKVPQSITNVSCIYINNTDQGVEQVTDWRVLDKFVASQLSHEDAAKQTAYSDGPSSLQVAEHMNMLVNDESKQQEMTSPEFGCVSAPSPQVIFGSKNMLI >CDP11725 pep chromosome:AUK_PRJEB4211_v1:8:5482951:5485446:-1 gene:GSCOC_T00034197001 transcript:CDP11725 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC7 [Source:Projected from Arabidopsis thaliana (AT2G35550) UniProtKB/TrEMBL;Acc:A0A178W000] MVAFSKRCSMASEVNHAEPPFPQFPGAYPGASFSCGGFAFHPSQATQMDSKAGIAAVPIRSVPPLHGPTKNRFVTKPVKIKKNWPPSNDACPSNKSRPKQPNKKLSTTKKTKRPPKIGVNIERKNPDLVYDEAKFDFSRVPPPFCSCTGVARACYKWGSGSWQSSCCNTNLSQYPLPMSPSRPGARVPGRKMSHGAYTKLLCRFATEGRDLSQPIDLKNHWAKHGTNKFVTIK >CDP07875 pep chromosome:AUK_PRJEB4211_v1:8:19390565:19391935:-1 gene:GSCOC_T00025356001 transcript:CDP07875 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQLGEISAIVVTSPRLAKEIMKTHDLAFADRGEFLSGKIICYNCSDIACYEALHLISSIKVLAGAREPIDLTEKVSSYTSSVVCRAAFGKVSKDDHVAFLQLLKEATRLTSAFDISDLFPSFKILHFLLSAETKLLNIHHKVDKVLDKIINQHLENLSERKTSTGEYGHEDLIDVLLRVQGSDELQFPITNNNIKAVIINIFAAGNETSSATVDWAMSEMIRNPGVMAKAQSEIRNAFRGKNSIEETDIQQLQYLKLVIKETLRLHPPAPLLLPRECRVECEIDGYIIPARTRVLVNAWAIGRDPEYWDDPECFKPERFANSSIDFNGTHFEYLPFGAGRRICAGISFGLANVELPLALLLYHFDWKLPSGLNSRDLDIKETVGIATSRSDLYDP >CDP18477 pep chromosome:AUK_PRJEB4211_v1:8:22626233:22643878:-1 gene:GSCOC_T00009041001 transcript:CDP18477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase and polymerase-containing protein TEBICHI [Source:Projected from Arabidopsis thaliana (AT4G32700) UniProtKB/Swiss-Prot;Acc:Q588V7] MASGDSPRARIDQFFASKKKRKAISPSVKSKKVGKDAKIAVEGSPGTKGSLDNFLVGSEENKNSPNRAASESPVKRVPIKRNLTLEISLSSKDEKKDALLPMEVRAQGLDLFGYAQRVNSETSNDFGGSVAGASKEVPENATAGEAENPELKRFATNFLSLYCSASVPSETNVHAIKRHGSPSALDSEDRSSKRRHCNINMSQLHVEGEGICSGDVHSKPLQSAIIDESGNAVSKCSTEVKLGDNETVPGTSLKRCVNASLTIDAAGCITPGSLNGKLGRHETPKSGRGSSIFSPGETFWKEAIQVADGLLIPKDNLHSQFALESEHLKPDKETSMANNLPDGGCGNKLNNLLYAGVARDSNGGINSVVGPVSRHSKDLVKEVSPLPVKHFDFSKIEDKNMDEETPSYVNLSSQHIIKGKTPGCVSQNQEYKQICHNLSLQNNAAHTECDLLGVQDMISKYDATENKLNIWAQDHSDMFTTKDRRLNDLTPKGGFNQDDSPSSFLPLEDRLDLNNWLPSELCSIYKKRGMSKLYPWQVDCLQVDGVLQNRNLVYSASTSAGKSFVAEILMLRRILSTGKMAFLVLPYVSICAEKAEHLEVLLEPLGKQVRSYYGNQGGGTLPKDTSVAVCTIEKANSLINRLLEEGRLSELGIIVIDELHMVGDQHRGYLLELLLTKLRYAAGEGSAESSSGESSGTGSSKADPVRGLQIVGMSATLPNVAAVADWLQQAALYETDFRPVPLEEYIKVGYTIYNKEMNIVRTIPKIADIGGKDPDHIVELCNEIVQEGHSVLIFCSSRKGCESTARHVAKYLKKFSVSPQNGQNELMDLEFAIDALRRSPAGLDPVLEETLPAGVAYHHAGLTVEERETVETCYRKGFVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESVLICKPEETKRILGILNEGCPALYSCLSEDKNGMTHAILEVVAGGIVQTANDIHRYVRCTLLNSTKPFGDVVRSAQDSLRWLCHKKFLEWSEDTKLYTTTPLGRASFGSSLSPEESMIVLDDLTRARDGFVLASDLHLVYLVTPTNVDVEPDWELYYERFMELSALDKSVGNRVGVQEPFLMRMAHGAPLRTSNRLKNTSKGLQAKPNCIAMWNSAMLSDEQMLRVSRRFYVALILSTLVQEVPVAEVCAVFKVARGMVQALQDNAGRFASMVSVFCERLGWHDLADLVAKFQNRVSFGVKAEIVELTTIPYVKGSRARALYKAGLRTPQTIAEASIPEIAKALFESSSWAAQGTAQWRIQLGVAKKIKNGARRIVLEKAEEARIAAFSAFKSLGLEVPPLSRPLLSIAAGNAPQKEASSSSVEESTSSLGGLKHNEQTDNITGFVSKAHEQKLARTSFTGVNSAGAKQGEVVADKTASVMEGPNAPYMHNSTSDYVDNANTSLSCQLSSIRHGRSGYVDKIDNFGEQQQNRGTPHTASKERVLDKGPINASNIPGGFDTFLNWWDNSQEFYLDVHFNRRSEVNSTVLFEIHGMAICWENSPVYYVSIPKDLLLFNSRKTDKMLSNISGDNGNAVPPMDQFDLAKSRWQRIGKIIGKKDVRKFTWNSKVQIQVLRYPAVSIHRLGNLNSAVKSVGLELIDDSYFVLSPLHVQNFIDLSIAAWILWPDEEKSSNPNLEKEIKKRLSCEAAAAASRNGRWKNQMRRAAHNGCCRRVAQIRALSSVLWKLLISEELVEAFLSIEIPLVNVLADMELWGIGVDMEGCLRARNILGKKLKYLEKEAHQLAGMSFSLYMAADIANVLYEHLKIPIPEGHNKGKYHPSTDKRCLDLLRNEHPIISVIKEHRTFAKLLNCTLGSICSLSKLSARTQRYTLHGHWLQTSTATGRLSMEEPNLQCVEHVVDFKMNRIDLDGKELVDEYHKVNAREFFVATQDDWYLLTADYSQIELRLMAHFSKDPSLVELLNKRDSDVFSMIAAKWTGKVESSVSSQERDQTKRLVYGMLYGMGANSLAEQLNCTSDEAAERICCFKTSFPGVATWLQEVVTSCRQKGYVKTLKGRKRFLAKIKFGNSKEKSKAHRQAVNSICQGSAADIIKIAMINLHSVVAEDADTSCSSCALAEKFHMLKGRCRILLQASNRLLMEADPLVVKEAGLLLQLSMESAASLLVPLLVKVKVGKTWGSMEPFHPE >CDP18436 pep chromosome:AUK_PRJEB4211_v1:8:22132156:22135794:-1 gene:GSCOC_T00007253001 transcript:CDP18436 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAFDQCGAVLIEPKDSITITEETRTETNHVHPQQSNDECTANEEFTCQDMISGTDTLDKGYMEHGCSHYRRRCRIRAPCCNEVFDCRHCHNEAKNDLKVDQKLRHDVPRHQIEKAWIAFSFCPSALLCCLAARLKIICSLCGTEQEVRQVCINCGVCMARYYCGTCKLFDDDTSKRQYHCDGCGICRIGGRENYFHCHKCRCCYSTLLKNSHPCIEGAMHHDCPVCFEYLFESRNDITVLPCGHTIHKNCLEEMQEHLQYACPLCSKSVCDMSKVWEKFDLEIAATPMPEPYQNMKVWILCNDCGTSAEVQFHIVAQKCPSCKSYNTRQTRG >CDP13458 pep chromosome:AUK_PRJEB4211_v1:8:1219301:1230927:1 gene:GSCOC_T00038413001 transcript:CDP13458 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRFKFRSSVNFDSVDIDGRSSISVRELRFKIIRHKNLNICQDFDLVFSDASSGQEYDDDNFQIPSGSSVIIKRVPARTAPSAMEPIDAVRSTVGKGSYPQIQAMDQMDECNVFEADVSPVPTAILTGSDLEVDKNKCGNGAKANFTGLRSGRPKLESDDQPIPRGFHVSGNKGKAVEAVEERTKLEKLLDPNSTAVLDTGLPSELKCFLCNTYFKHAVMIPCCQHSFCEKCIRQELVEKTRCPKCFSNKCKVKDLLPNLSLRQAIEHFLESQMLMAGSENAFNKYVPDGESGIQGKDASCAVTVIQREPELPHSPSATGKGSNQVIAESFYGSIMRRNASFGPSELNFNNLAPGRQSAAPTKQKGVNLVHTLPQNHVFDEADSTHDRKRGWWVEGGDRNFQATGRHIKNQGYRTCYMCGSPDHLFRDCPASSGPHPMLQTGPGIVQGGVPGYASSYWNGAACAPVRPFPNIYGSPAMMTFNASLFPVSPYVVPPYAPPMYGGLPTSGGIMRAGTMAHPIGNRAEHHLGHSDYMELRYSESKRKFSNENWGRGQDFDVDEKSHERYGCREPERSHDYSIRKEREVSESHSDDSFARRSRKRKKQGDLGDSDTYSADGRHEKSSRSSVAVRDQKLYSSERSSQVIEHLHTSSSKHSEDKCKPRKRSSRKHHERREQSHSDPSWGHRHVSGKADDVRRRVDSHVRDSHKKHDNHSEFGLEPISPGDQRRSYKDRDSGLESRHPRHKMKLKNEDLYDDRWQMVSDLDEDRRDDYCRHKRKRIH >CDP07171 pep chromosome:AUK_PRJEB4211_v1:8:9973020:9973364:1 gene:GSCOC_T00024322001 transcript:CDP07171 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANSRPSTELLMPKKWNERSDRSPERAKICSEQPKFNSILDGKVPVIYYLARNGHLEHPHFIQVPLSSSHGLYLRGTSSSYFCGVTKCIDVHNTHRYRIVLQKELNLKEKSY >CDP16143 pep chromosome:AUK_PRJEB4211_v1:8:23349427:23351163:-1 gene:GSCOC_T00017220001 transcript:CDP16143 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLKLLYFLSFLFLGVGANHADLEAYWKSELPNTPMPKAVRDLIKDGKLPERVRLRPGATPVLTTYGVRYRFSIYGRNPTEDELHIDPKVKVFFLKMDLNRGSSMNMKFVESVKSPTAFLPRQVANSIPFSSKSVPEILNKYSLNPQSQDAGIIKETIAECEVPAMKGEDKYCATSLESMVDFTTSKLGKDVLAISNEAQKTDAKVQKYGIVSVSKLNNNDKEIVSCHRQNYFYAVFYCHTTQDTDAYMVNLVGADGAKVKAAAVCHRDTSAWNPKHLAFQLLKVKPGTVPICHFLPEDHIVWVPKH >CDP07856 pep chromosome:AUK_PRJEB4211_v1:8:18923132:18924633:1 gene:GSCOC_T00025318001 transcript:CDP07856 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTILAGSCLLCFFFFSFSEADRDLLVGGKPDSWQIPSSKSDHLNNWSQKARFVIGDSLVWNYDGTKDSVLLVNKKDYVTCNTSSPIEAHNDGNTKIKLDHSGPYYFISGAQGHCEKGQKLLVVVISERHTRKFISPAPSPAEEFLQGPAVAPTSSASRFKGSLFMVALGAVLFWGLF >CDP07869 pep chromosome:AUK_PRJEB4211_v1:8:19193147:19200216:1 gene:GSCOC_T00025346001 transcript:CDP07869 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSETQELNPKTPNSNIDESGQPLAAASEDQGNEKEEEPSNSNLVKTHSIKSVILEPLEWLQMLSSRLDSTFVLGVILVYGLSQGFSGSFFKVVSDYYWKDVQKVQPSAVQLFISLYSIPWVMKPIWGLLTDVFPVKGYKRRPYFVVAGVVGAISALFLALSGNLAVALALGFLIGIMTGVAIADVTIDACIARKSIEIKSLAPDMQSLCGFCSSGSLGLLAIPPAALIALGFVINELKTVDDIQFEKKKAVENLGTAVKGMCKTIQCPDVWKPSLYMYLSIALSISTHEGQFYWYTDPKAGPAFSQEFVGIIYAIGALASIVGVLIYHKTLKDYPFRSLLFFAQLLYATSGMLDLVFVLRWNLALGIPDYFFVIMEECVSRIISRIRWMPMMVLSSRLCPIGIEGTFFALLMCIDSLGSLTSKSAGGMVLHLFHVTRTNFKNLWVTLLIRNLLRFGTLALIFLVPNADHSSLVVPFDILTKTANNSSRRDDDNLQLVPLNEKLEV >CDP12227 pep chromosome:AUK_PRJEB4211_v1:8:31200141:31203011:1 gene:GSCOC_T00035650001 transcript:CDP12227 gene_biotype:protein_coding transcript_biotype:protein_coding MNASTPNPIDDPKHNLTQVINSVQKTLGILHQLYLTVSSFNVASQLPLLQRLNNLVLELDNMSKLAEKCNIQVPMEVLNLIDDGKNPDEFTKDVINNCIAKNQITKGKTDAFKGLRRHLLEELEQAFPDEVEAYRDIRAGSAAELKRFAQAQSMLPNGDVKVKSEI >CDP13006 pep chromosome:AUK_PRJEB4211_v1:8:3075452:3076767:1 gene:GSCOC_T00037734001 transcript:CDP13006 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIILPVAILRKCYSGSRLGYRQLTDDVFGKSDDPVTVVVGKEKREFLVEPFVLEESPFRVLIDMVKKENMGGVVYMKGAKRVIFVDVDAILFEHMLWLMQNDCSSFFQLNLKEIIDFYAQEN >CDP07181 pep chromosome:AUK_PRJEB4211_v1:8:10086781:10112535:1 gene:GSCOC_T00024339001 transcript:CDP07181 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELEPRVKPLPFKVKAISRESPSQKASHVLDTDLRNHWSTGTNTKEWILLELDEPCLLSHVRMYNKSVLEWEISVGLRYKPETFLKVRPRCEAPRRDIVYPMNYSPCRFVRISCLRGNPIAIFFIQLIGISIPGLEPEFQPIVNYLLPHIMSHKQDAHDMHLQLLQGMTSRLVTFLPQLEVDLNGFSEAAEPTLRFLAMLVGPFYPILRVVNEREAAKVAGNVSDYEASKNSQVSVAFTVSSNFEPRRLRSASASTLPSSSYLVFRPDAIFMLLRLAYKDQNLGKVCPSAASDIASDEASKSETHGPLSFVDYSTLFGEEIIPPDCNPEPNYLNILDIAMVEEGLLHLLYACASQPRLCSKLADSISDFWLALPLVQALLPALRPIVNGPDQIDDSFSQWKQPFVQRALSEVVAMSSSSVYRPLLRACAGYLASFSPSHAKAACVLIDLCSCVLAPWMAQVVAKIDLAVELVEDLFTELQGAQVLFARARAALKYLVLALSGKVDDIMAKYKDVKHQILFLVEMLEPFLDPAMTPVKSVISFGNVSSTFLEKQEHNCAIALNVIRAATRKPAVLPSLEAEWRRGSVAPSVLLSILEPHMQLPVGIDLRKFPVSESPETQSLTVSSYASVSQNGGASAKSNSQDDSDGRTDNFDITGKMDITEELNALFAPSELASLSLTNASCSVDLKQSDSDSCNVNMEGNNIPKDSNKQSQDNVLPSNIFVVEYSNLQADYLQLINYRDCELRASEFRRFALDLQSQSPLAPEGHNTAIDALLLAAECYINPYFMMPFRNTSQDINKGNVNRNSESYGFTDVRRVLEKKDTELKIVDGLERKRDKAVLELLLEAAELDRKYQKTALDAEIDTSHIEEREEVISLPPDGILFADAITLVRQNQALLCKFLIQRLQRNEQSVHEILMQCVLFVLHSATKLFCAPESIVDIILNFAEFFNGLLKSIYYQFKEGNLQLDQSKLHEVQRRWVLLRRLVIASSGTDEESSTSISVQNGFRLANLIPPSAWLQKVSVFSCSASPLVRYLGWMAVSRNAKQYLKDRLFLGSDLSQLTYLISIFSDELSLVDNIVDQKNDKQKTEESRVRDTGNEQVLGHSSQEYVDLSFHAIYPEISQFFPDLKKEFEAFGESILEAVRLQLRSLSSAVVPDLMCWFSDLCSWPFLGQEQGQLYSKKNPDNLKGFVAKNSKAVILFVLESILSEHMEAIVPELPRLVQVLASLCRSYYCDVTFLDSILHLLKPIIAHSLHKVSKEEIQLSDDSCSNFESLCFDELLDDIRQNNNDQGHQKIYSRALTIFVLATVFPDLSFHCKMTILKSSLCWADFASSELKTSFHDYLCSYQTLMESCKNFLVGTSRVLGIIPFKTSLYCDGRVCESLDDSSESCSWFLGDVCNLASSTEVPENLEKEKDTAVHINEKDCKLTSEEIVEFSEELECLINKLFPTLDECCKIHCKLAKRLAITSAECFVYSKCLSMFRQRLLVPSQIDKEGIMPTSAEYVSMDCWNVSLQEYAQMILVLQEKHCWEVASVMLDCLLGVPECFSLDGVIDKLCSAIISFSSRAPNIAWRLQTDKWLSFLLRRGTHLLPNCETPINDVFASMLKHPEPEQRFIALKHLRKLMGEDANGGAASLSLKPTGGVAYSDLVISPVPILSSLVAGMWDQVACLVSSDTSLLLRTHAMALLLNCIPFAGRQKLQSFLAAADQALPSLANLTRSTCQGPVSKFSLALLANCCLHSPAEDISLIPEIVWQNIESIGVLENESCPLSLERRACQALCRLRAEGDEAKQMLQEVLCSASPEQLDPDFRSTRESILQVMSNFTSVQSYFDFFHKEMDKKCLEFEEAEIEMELLQKEHASPESANDIKDWHRLPFLADCAKDDNRLQQIKNHIRSLEKTKLREEIIARRQRKLLLKRARQKYIEEAALREAELLQELDRERTSEAERDVERQQLLELERAKTRELQHNLDMEREKNTQRELQRELEQVESGNRPSRREFPSSTHSSRPRYRERENGRAVGEGNLRGSTGSMQSETATTSTSMATMPKVVLSGGRQFSGQIPTILQSQDRPDDYGSTYEENFDGSKDSGDSGSIGDPDLVSALEGQSIVSGSSLRHGSRGGKPRQIMERRERESRREGKWERKH >CDP09875 pep chromosome:AUK_PRJEB4211_v1:8:28499911:28504599:-1 gene:GSCOC_T00030355001 transcript:CDP09875 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYTSIHEAEAALGRGLTAAEALWFNYSANKSDYFLYCHNIIFLFLIFSLVPLYYLILEFFFRNSVQPYKIQPKVKLSLSDYFNCYKSVMRMFVIVVGPLQLLSYPSIKMIGIRTSLPLPSVWEILAQLTMYFMIEDYTNYWIHRFLHCKWGYEKIHKVHHEYTAPIGFAAPYAHWAEVLILGIPAFLGPSLVPGHMITFWLWIALRQIEAIETHSGYDLPWTPTKYIPFYGGSDYHDYHHYVGGLSQSNFASVFTYCDYIYGTDKGYRYQKKVLQQLRDGLGNDGDQYGVPFDTSSESLKVD >CDP07837 pep chromosome:AUK_PRJEB4211_v1:8:18474336:18480348:-1 gene:GSCOC_T00025284001 transcript:CDP07837 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQASLPSNNRQETARPLADFPENIWADHIAPFTLDKQEYEMCEREIQILKAEVASMVLATGKTMTERFDFIDKIERLGVSHHFDIEIENQLQEFFNVYTNLGEYSAYDLSSAALQFRLFRQHGFNISCGIFDQFIDAKGKFKESLCNDTRGLLSLYEASHVRTHGDKILEEALAFTTTHLTSGGPHLDSTLAKQVKHALEQPLHEGIPRYEAWRYISIYEEDESHNKVLLRLAKLDYHLLQMSYKEELCEITRWGKGLESVSNFPYARDRFVECYFWAVGTLYEPQHSLARMTFAKVAALITMIDDIYDAYGTLDELQILTDSAERWDGSGVDQLSDYIKASYATLLKFNKEVGEDLAKKQRTYAFNKYIEDWKQYMRTNLTQSRWFLTKELPSFADYISNGAITIGAYLIASAGFLDMDSASEDVINWMSTNPKLMVAYSTHSRLINDYGGHKFDKERGSSTALECYMKDHNISEEEAAKKFREMIENAWKVMNEECLRPTPIPRDGLKMLLNIARVGETVYKHRIDGFTEPHIIKDHIRAMLVDFMSI >CDP11727 pep chromosome:AUK_PRJEB4211_v1:8:5495865:5499040:-1 gene:GSCOC_T00034199001 transcript:CDP11727 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLKSTHMSTDQETIFNTKFKSSIPKLSYPSWYSPETGIYHSKHPSVTLPSDPFLDVVSFIFSHEHSGQTALIDSSSGFSLSYSKIFPLVNSVASGLHQMGVSQGDVVLISLPNSIHFPIVFLGILSLGAIVTAINPLSSLSEVKKQVIDCKPSFGLCLPEKVDELSAFGFPVIGVPHTESLMPNSKVCRNSDFYKLISSDPKLAPRPKINQQDTAAILYSSGTTGTCKGTMLTHGNFIAMVVLFVRFEASTYCDLPSENVYLATSPMFHIYGLSLFVMGLLSLGTTIVVMRNFDADQLVRVIDKYGVTHFPTVPPVLMKLTSRAKDASDGSLKSLRQVSCGAAPVIAKCIEDFVQTLPHVDFIQGYGMTESTAVGSRGYNTENFYKYSSVGLLAPNVQARVVNWTTGCSLPPGSTGELWLRSPGTMKGYLNNDEATKSTIEKDGWLHTGDIVYFDEDGYLYVVDRLKEVIKYKGFQIAPADLESVLMSHPEIVDAAVTGARDEEAGEIPVAFVVRKEGSTLSEAAVIEFVAKQVTPYKKIRKVNFIASVPRSAAGKILRRTLRPLLLSRV >CDP09936 pep chromosome:AUK_PRJEB4211_v1:8:27974614:27977518:1 gene:GSCOC_T00030438001 transcript:CDP09936 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSHMASRIMGGNGVVARSVGSSLRLRSGMGLPVGKHIVPDKPLPVNDELVWDNGTPFPEPCIDRIAETVGKYEALSWMCGGLGFFASLGLLAVWNDKASKIPYTPKVYPYGNLRAELGEEP >CDP07865 pep chromosome:AUK_PRJEB4211_v1:8:19161718:19163250:-1 gene:GSCOC_T00025338001 transcript:CDP07865 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSNPVLPTSLQSWNKIIKKQELEGCHEKAILSFIHMQELGHFADNFTYPILLKAAANLSLDKLGLALHGQIIKNVFCNHSFVQTALVNLYSSFGCSKDAYRVFQQIATKDIIVWNSMLDAYAAAGQMEDATTRKFFNQMPSRNIITWNTMLAGYLGRNCFDSAIALFEEMKEKEYNPDYLTITNVLSACASLGLLEKGEEVHIFALEKGLTSSAHVTTALIEMYAKCGRILSSLQVFYKSQVMDIYGWNAMISGLALHGQASAAFKLFDDMKGKGLRPDDITFIGLLSACSHSGLVHKGLELFSSMEKKCAVNPKLEHYGCIVDLLGRAGFLCHAFQLIESMPFEPGKSIVGALLGACVIYRDTEIGEKVVKLLLKRNGSLTDGEYMMVANLYASCKNLEEANRWMNMMNASGITKTAGCSIIQVNGEMYRFVAGDKSNLINSNAI >CDP12143 pep chromosome:AUK_PRJEB4211_v1:8:30574770:30575617:-1 gene:GSCOC_T00035539001 transcript:CDP12143 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNNELHFVFMNYDPEYERLRSSRTKRGAHELHLYLSKKHDDLLANTLEPGSYKKTLSLVIVDGFAVEITDDQANVLRSAKDVRLVEKNQELA >CDP18542 pep chromosome:AUK_PRJEB4211_v1:8:4332227:4333788:1 gene:GSCOC_T00010781001 transcript:CDP18542 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVVFFAFQNLMRVFLKILTNFNTTLHPLPTTTSSVQCFQEELKIEKGWEEKKKQENKEGERKESGRSRKAKQGREKSGRKIKSNNEIDGKINSIVPNFSRANRVYVSTFFCTISCSKPGSLERKVRPQKEQTLNCPRHNSTNTKFCYYNNYNLS >CDP09867 pep chromosome:AUK_PRJEB4211_v1:8:28542929:28546904:-1 gene:GSCOC_T00030347001 transcript:CDP09867 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGMSSTGVTVVGSDAPSDYHVAPRTTENPPQVSGSTPAIGPQVGVTPPPATAPAGMVAATTTAKKKRGRPRKYGPDGSVTSMALSPKPISSSVPPPVIDFSSEKRGKVRPAGSSGKHHQPRVEMEGLGEWVSCSVGANFTPHIITVNAGEDVTMKVISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLTGSFMPSETGGIRNRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLAGNQHEPKTKKQKADHITVNPSVAYPISSAEVEDPYRTSSSFRGENWSSMPPDSRNNKPADINVTLHG >CDP11752 pep chromosome:AUK_PRJEB4211_v1:8:6047796:6048368:-1 gene:GSCOC_T00034240001 transcript:CDP11752 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNLSLLESLGISDMKDLRALPKSLASLTALKKLTIRECPELESLPEEGLRGLASLQELCIEKCYDLVSLSMGTKALKSLTHLRIKGSNATALPEEVKHFPTLQKLHLIGFRNLTSLPDWFGGHLTSLRHLNLDDCPKLETLPSSIQMMTTLQSLTIEECYLLGPRCERGGEEWHKIKHIPDLKILHYN >CDP08347 pep chromosome:AUK_PRJEB4211_v1:8:26288007:26291737:-1 gene:GSCOC_T00027149001 transcript:CDP08347 gene_biotype:protein_coding transcript_biotype:protein_coding MTGATNQLISVQPDELHFQFELEKQSFCDLKVSNTTKHHVAFKVKTTSPKKYFVRPNTGVIQPWDSCVIRVTLQALREYPPDMQSKDKFLLQSTIVPPNTDVDELPPNTFSKESGKTIEECKLKVVYLTPNSVPGISEDGFKQSIDANAMRRSASFDDNQVLQRLKEERDAAMRQTQLLQQELEMLKKRRNRKGDPGFSMVFALFVGLIGLMVGFLFKLLFSSPSAE >CDP08199 pep chromosome:AUK_PRJEB4211_v1:8:24805500:24809362:1 gene:GSCOC_T00026957001 transcript:CDP08199 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHEFALTGLILNLLLLFLLFNVADAQNIVKSLPGYPGALPFKLETGYVGVGENDSVQLFYYFIESERDVSMDPLVLWLTGGPGCSAFSGLVYEIGMLSAFSGLVSKIDLRNGQDLWKTDKIEKLLRLLDITAATSLNVGPFSFDDERYNGSLPSLHANPYAWTKIASIILLDLPVGTGFSYATTSQGYFSSDTKSTKDAYLFLQKWLLNHPRFMKNRLYIAGDSYAGKIVPMVVLEISNGGNEAGLKPRMSVEGYMVGNPLTDSRKDENWKVPYAHRLGLISDEYYERAKSSCNGEYINPSPNNTECLFALHLIQECISGIYPANILEPKCQHLASREGLQWDQDYLEEDSIDILLPSSDQEKPKCRDDTYVLSRVWMNDPTVQEALQIREGTKEQWRRCNRSISYDMDVASVFDYHQVLIRKGYQALIYSGDHDMMVPYLGTLQWIRDLNLTVEDDWRPWFVNGQIAGYTLNYQFNEDVCCFTFATVMGAGHTAPEYKPKECFAMIDRWFTNYPL >CDP09902 pep chromosome:AUK_PRJEB4211_v1:8:28305096:28308446:-1 gene:GSCOC_T00030387001 transcript:CDP09902 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLLRLPSSAPATSIAHFQQTNMAKPRKFSTQIRCIGWDPEGVLGPAQGGHIARLEFKRRLEKDADARDAFERQVRQEKERRRTVREARAVPDTVAELVEYFLDTEARELEFEIARLRPRLNEEFFSHIQLELGKLRFAVSKTQDMEDRQIELEALLKALQEGTEAYDKLQADLVTAKNSLAKILTSKDVKTTLLELVEKNELNRSLLTLLDENIANAFRANQKEAAEYMSKLRGAVLKYITV >CDP09922 pep chromosome:AUK_PRJEB4211_v1:8:28112662:28116521:1 gene:GSCOC_T00030419001 transcript:CDP09922 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIASKNVAVIGAGAAGLIAAHELRQEGHKVVVFERENQVGGIWVYNPATESDPLGVDMTRNLIHSSLYASLRTNLPREVMGFRSYPFLYKRGSHRDPRRFPGHREVLEYLKDFAVDFKLCGLVRFGTEVWHVGLMENGKWKVTSRKREGNAYNDRNQEKLDEVYDAVVVCNGHYTEPRPAEIPGVEGWPGKQIHSHNYRDPEPFRDQVVVLIGSAASADDISREIAKVSKEVHIASRSVQNGIMGKLAGYDNIYHHSMIESTHGDGSVAFQEGSVVYADIILHCTGYKYHFPFLETNGMVTVDDNRVGPLYKHIFPPALAPWLSFVGLPWKVVPFPLFEFQSKWIAGTLSGRLSLPSPKEMMADIQAFYSSMEASGTPKRYTHNMAGYQFEYDDWLAAQCGCLPTEEWRKQMYVETSMRKRPQPETYRDQWEDEHLVRQAQADFSQYFSKVPSS >CDP18790 pep chromosome:AUK_PRJEB4211_v1:8:135816:137090:-1 gene:GSCOC_T00005565001 transcript:CDP18790 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPGHRSFSIPSCFRTAAAAAPKTVLSANPDLTTSLYQTQQGLFGLTWSIGPFGTRSLHIYLLLDNHHLSFPPSPSFHLQFNPRFTFWKKRGSKTLVGLPNTSSTTARVFWDLSRAKFGSRPDPASGYYVAILIGGEVTLLVGEELLPLCQLPRSIASGGGGARPTAKETAAAEIRNQVMVLRREHVYGNKKRLYYTTRANIGGGQQEIDVSIDYRAMGGEGGPTLCFSIAGQRVLQVKHLKWKFRGNERVEGDDGIPPVQVSWDVYNWLFVQDDDHELTNAHALFMFKFEKKPGDCGGLNLIGFETKKKKKKTTTTMMMKKGFKMRSGRSWSSSSLSSVSSSSNSTSSVMEWASVEENELKGGPCGFSLVVYAWN >CDP13072 pep chromosome:AUK_PRJEB4211_v1:8:4013902:4016611:1 gene:GSCOC_T00037840001 transcript:CDP13072 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQDFQFQSCFRLGIFIFIFLLPSFSLGLEEYISAQYFKKREILDDSKFNSFLKTDVSLSSCQMLQPELNNKEPKMLALHRNLIGEGSHRRLSSSVRLEIQVSAQSCQAIVIERLPSGVFADPFELQHLVRRRVFSNAAVFGDTNLELPSFRSNRSLVEVHVRIDPGMFSGDHKQLEINIELPLHARYQPLGYGISRVEFGLPDIVFSCRNEGNLHEKSCLLVPTDQGVKDEDGNIVWEVPCGNKEHAKIVSFVTFISAILSALLIVWTSVSSSNIAFTADLKQS >CDP11708 pep chromosome:AUK_PRJEB4211_v1:8:5214702:5217006:-1 gene:GSCOC_T00034173001 transcript:CDP11708 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSVLYQSHFMTPQEDHSRIPESDFTLKEQECIAFVKRCKSLRDMKVVHCQIVKLGLIWSSFCASNLVATCALSDWGSMDYACSIFRQIDDPGLAMHGCGQEALKVFSQMLEEGLPPDDVIYVGVLSACSHAGLVEEGLRCFNKMKYENGIKPTIQHYGCMVDLMGRAGMLNEALELINGMPMVPNGVLWRGLLTACKIHSNVELAEVAAKNLFQLNSHNASDYLTLSNLYAKAQRWEDVASTRTRLAEEGLNQEPGSSSVEVKREVFKFVSQDKSHPQWESVYEMLHQMEWQLKFEGYSPDTSQVSLDVDEEEKRQRLSTHSQKLAIAFALIHTSQHSPIRIVRSVRMCSDCHTYTKFISTIYEREITVRDRNRFHHFKNGTCSCGDYW >CDP13433 pep chromosome:AUK_PRJEB4211_v1:8:1436441:1442776:1 gene:GSCOC_T00038376001 transcript:CDP13433 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSSVSEELTEIEGQVSDIFRALSNGFQKLEKIKDTSRQSRQLEELTQKMRDCKRLIKEFDRELKDLDFKIDSETSKILNEKKQSMIKELNSYVALKKQYASNLENKRVELFEGPGEGFAEDNVLLASSMSNQQLMDHGNKMMDETDQVIERSKKVVHETINVGTETAAALKAQTEQMSRIVNELDSIHFSIKKASQLVKEIGRQVATDRCIMGLLFLIVVGVIAIIIVKIVNPHNKDIRDIPGLAPPAPSRKLLWNPN >CDP14951 pep chromosome:AUK_PRJEB4211_v1:8:9150707:9152244:1 gene:GSCOC_T00042455001 transcript:CDP14951 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNNNCLSGPPKHRSMYSLSVPSFVFNNVQLHLNCPLIWVSHLKSCIYSVKALQKIGVSTLQCRISLAIKFWDQTKAVFDNSIQQLNLMDSDFSMFRRV >CDP13438 pep chromosome:AUK_PRJEB4211_v1:8:1372921:1374777:-1 gene:GSCOC_T00038384001 transcript:CDP13438 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLCDAALEGDVTALDQLVQEDPLVLDKAGLKCEDKNPLHLAAILGHVDFVKAIFQVESAHFMCWARDRDGRNPLHLAAMYGRVAVLQVLIHAGFRAALEKTDGGGTILHLCIKYNQLEALKILVDKLKDPEFVNAKNEDGMTILHLAVYYEQYETIKYLLVNAGVEVNIKNANGKTALDLLFGQGVTKSSEISSCLQEAGAMKAKEIRSPIDDRKLKQLEWFEKSREAIMVVAILIATMAFQAGISPPGGVWQADLLEGPNPHTIGEAVMAQKHPKYYWLLIRANTIAFVSSLSTIILLIRGSSIPSKCLMPLLAFVMWLAIATIAMTYAIALVTVAPKGARGRQLGNTSEILVIVLMVWSGWMVTTLYEINALFKKCLKIHRMDSRGYMFSHFVRQVFSRVSLRAPRSSGGVTPRTPSGESRV >CDP13447 pep chromosome:AUK_PRJEB4211_v1:8:1311050:1312920:1 gene:GSCOC_T00038398001 transcript:CDP13447 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDQRVVCVKKVKQKAAEEWDESMPLPGDIIEGIAEGAAANTFIPAKARSELSSQLGSFSRQTEVIWLKVRRGDSVLKLQACVVKKGCSKLQRRFTIRAASDERHVVGLADLTYEQCTALQEMSRKIVTMDSRGFNKEAIKYDWKMKVGTYIPDEGSTVVSSVLFMPLEKEHNIEATTTRTMAWFSAAVSSGAPLVFVNIQTEQITNSKRSNIPAKESARIRQQKYTTDVQRLQGIRLWFLPGVAEVSFKLAPEKGETRFGMNISRIDEGFIYVHSVTKETAAERAGLGDLLEQANKTGHLVVISRLEGRSLMPSTVSSDGLIHCGDNAEIKETLTSAIEELDSIRIHIMSWPNNMIHQAPQPLDVSTLRPPQC >CDP20381 pep chromosome:AUK_PRJEB4211_v1:8:27101486:27109830:1 gene:GSCOC_T00012606001 transcript:CDP20381 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVLEKERKGDYLGKTVQVVPHVTDAIRNWIETVSVIPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLFFSVGQDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHFLACRSAEPLLESTKLKLSQFCHVADGNILNIHDVPNIWHIPLLLRNQNAHDAILKHLNLRSVATPPELQEWTKRAETFDNLENSVRIAMVGKYVGLPDSYLSVVKALLHACIARSLKPSVDWIAASDLEDESSESTPEAHTAAWRTLREASCVLVPGGFGDRGVRGMLLAAKYARENNVPYLGICLGMQIAVIEFARSVLGLQRANSTEFDADTQNPVVIFMPEGSKTHMGSTMRLGSRRTLLQTPDCITAKLYHNSEYVDERHRHRYEVNPEVVGILEEAGLRFVGKDESGKRMEILENPSHPFYVGVQFHPEFKSRPGRPSALFLGLILAATGQLEAYLTKQQNGSL >CDP08150 pep chromosome:AUK_PRJEB4211_v1:8:24337744:24345165:1 gene:GSCOC_T00026892001 transcript:CDP08150 gene_biotype:protein_coding transcript_biotype:protein_coding MATETKSNVVKVKPSGGNQLGSSSISSSSSLAKEKGESSMIKKKAAESSSSKQSADAKQKSLSSTISKSEAKAKGTSSSSKAVTKRKTVTKTREKKVYSLPGQKFDVPEEREPLRIFYESLSHQIPSSEMAEFWLMEHGMLSPEKARKAFEKKQKKQKQLRTGTPIKSPPPSKPESSKKPQQASKNGEVKTKKRIIDDSDDDDDFVLSHKRRKG >CDP12166 pep chromosome:AUK_PRJEB4211_v1:8:30764831:30770267:1 gene:GSCOC_T00035568001 transcript:CDP12166 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKMNILVTGGAGYIGSHTVLQLLLGGYKTVVVDNLDNSSDVALKRVRELAGEHGSNLTFHKMDLRDKVALENLFVSEKFDAVIHFAGLKAVGESVQKPLMYYDNNLVGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLCAVNPYGRTKLFIEEICRDVYGSDSEWKIILLRYFNPVGAHPSGYIGEDPRGIPNNLMPFVQQVAVGRRPALTVFGTDYSTKDGTGVRDYIHVVDLADGHIAAVNKLSDPSIGCEVYNLGTGKGTSVLEMVEAFEKASRKKIPLVKAGRRAGDAEIVYGSTDKAEHELNWKAKYGIEEMCRDQWNWASKNPYGYGSPDSTD >CDP13413 pep chromosome:AUK_PRJEB4211_v1:8:1814818:1829642:-1 gene:GSCOC_T00038345001 transcript:CDP13413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 1 homolog [Source:Projected from Arabidopsis thaliana (AT5G47010) UniProtKB/Swiss-Prot;Acc:Q9FJR0] MDSQANNLYETASQPDTGNDAYTFLEFNTQGEDFDYPEFQELSQPIRSSVWPTPGDSIVSSSSVEAAAAGVADRPASSSDASPSTKSRGGGNNGSSNNGVSGSNSQVAAVDALAAGMSGLNFEETGDDDSFEYGKGDFAVEHACRYCGVTNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQVSAQQINKVEELWKTNPDATLEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEMQLVRNTLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPALSEFPSNSFYEGTLQNGVTINERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLKSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKENECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFFGGGPGIIPNDTFGSVATSSTNADRRGSRSRGSYMPPGPPNGTHKAGMHPTGYPMQRVPLPHYHGAPPSQPYAIPSRGAVHGPVGAVPHVPQPGSRGFGAGRGSAGTPIGSHLPHQQGSQQPIGSLGSSFNFPPLENPNSQPSVGGPLSQPGYVSNMTVQGPSQTFRDGYSLSGMSQDFLGEDFKSQGSHVPYNVAEFSTQASQSGYAVDYVTQGAQGGFPGSFLNQSSQAGYSRFGTGNDFMSQDYMAHGSQGLFTQAGFNDPSQDDTSQNHFGVPNSNPLQSQSMLNPLYSQPFGHYNTQPLNVQATQQQQQQTQQGQGSQNQKLHYNG >CDP08280 pep chromosome:AUK_PRJEB4211_v1:8:25610381:25614435:1 gene:GSCOC_T00027060001 transcript:CDP08280 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTIKIEEEEEGFVFPFSDGGSTGATAGSSSSPRPMEGLHDMGPPPFLTKTFDMVEDPSTDSVISWSKARNSFVVWDSHKFSTSLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANECFLGGQKHLLKTIRRRRNVIPSITQQEGGGPCVELGHYDLEEEIERLKSDRNSLMAEVIKLKQQQQTARDHVMAMDERIKGTEKKQQQTMSFLARAFSNPTFLQPYVDRHRQRQEQQRIQIGHKRRLTMTPSVENLQEVASIAADADQLLNDSGGEQELVNMQREMETLLFTAALDDEPSSIVNLNSPSIPTSTEVVLLGDQPEADVEVEDLVAETPEWGEGFSDLVDQMGYLIAKPDDTSK >CDP19111 pep chromosome:AUK_PRJEB4211_v1:8:21640994:21641807:-1 gene:GSCOC_T00007309001 transcript:CDP19111 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKIGAMAILFCGMILLGANVEVMAVRPGPIRPCPLICLLTEYKICNGTKTYTNCSNCCVDDGCTLYFEDGSSLYCQWPWAKY >CDP08371 pep chromosome:AUK_PRJEB4211_v1:8:26492377:26495693:-1 gene:GSCOC_T00027178001 transcript:CDP08371 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATALVGGLSSLKDRKKHSCLWLPRNSFFFFSLVLVFLSGFTFFHFFSLKRDEYFRPKLKSTLHIFPMEAVSGESSPATPAIRIQSTVALPDQVLVFLKYPPSTPLFAKDDIRCIYLSSPNSTNNHHHHDQLELFPESVEDEHLDRQIVRCPHVPRGLVVSVSFKANGNFPIGPVYRWDSLAYEAMIDRDNTTVVFVKGFNLRSGRVYDPSKFKCVYGWDLSRPKSTLLSDAVSVAQEIVRCKTPRSILNGSHKNWHGNVSIKVSVRQVGRRKMLNSIARPETRLKPGPKVQKRHQMCICTMLRNQARFLREWVMYHAHIGVEHWFIYDNNSVDDIKDVIQSLIDDNYNVSRHVWPWIKSQEAGFAHCALRARDSCEWVGFIDVDEFFHLPSGLSLHDVLKNQAKSKEIVELRAACHNFGPSGLRKVPMEGVTVGYTCRMKSPERHKSIVKPEALNDTLINMVHHFHLNAGLRPANLAKNMLVINHYKYQVWEVFKQKFDMRVATYVSDWKQKRNGQSKDRTPGLGTSTVEPPDWTSRFCQVKDTGLRDRIIKTFADPRTGRLPWQKNIS >CDP12197 pep chromosome:AUK_PRJEB4211_v1:8:30981428:30989030:1 gene:GSCOC_T00035609001 transcript:CDP12197 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) UniProtKB/Swiss-Prot;Acc:Q8H0V6] MTEVASSVVHEVLGRRAHDVDQPIVDYVINVLADEDFDFGADGEGVFEALGELLVDSGWVPDFSECRLACSKLSEKFGKHGLVKAKPTVRSLTAPLRMFDGMDEEEAPKKKPEPVDGPLLTERDKMKLERRKRKEERQREAQYQMHLKEMEAVKEGMPVVCVNHDQGDGAAVKDIHMENFTVSVGGRDLIVDGSVTLSYGRHYGLVGRNGTGKTTFLRYMAMHAIDGIPNNCQILHVEQEVVGDDTSVLQCVLNTDIERTQLLEEEAHLLELQRQVDIEGEDGKTDEKLDAGVDKHAIAQRLEEIYKRLELIDADAAESRAASILAGLSFSSEMQKRATKTFSGGWRMRIALARALFIEPDILLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNTVVTDIIHLQGQQLTTYRGDYDTFERTREEQLKNQQKAFEANERSRAHMQTFIDKFRYNAKRASLVQSRIKALERMAHVDEVINDPDYKFEFPSPDDRPGPPIISFSDASFGYPGGSLLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPTSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCFPGVPEQKLRAHLGSFGITGNLALQPMYTLSGGQKSRVAFSKITFKKPHILLLDEPSNHLDLDAVEALIQGLVLFQGGVLMVSHDEHLISGSVEQLWVVSEGRVSPFGGTFQDYKKILQSS >CDP09805 pep chromosome:AUK_PRJEB4211_v1:8:29088783:29091546:-1 gene:GSCOC_T00030272001 transcript:CDP09805 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAFSMLFSSTNTPAAPASKLGLGDLPESCVASVLLYLDPPEICKLAMLNRAFRGASSADFVWESKLPLNYGSVIDRVADDGWKQGCDDFPKNLCKRDIYSRLCRPKSFDGGTKKVWLDKSSGKFCLSISSNGLAITGIDDRRYWSRLQTEESRFRSVAYLQQIWWLEVDGEVEFPFPAGSYSLFFRLQLGRTSKRFGRRVCNTEHVHGWDKKPVRFQLSTSDGQQGTTQCYINEPGRWIYYHAGDFAVVDPSTSMKVKFSMTQIDCTHTKGGLCVDSVFVYPSEFKERLKLCQMQ >CDP13424 pep chromosome:AUK_PRJEB4211_v1:8:1603526:1617665:1 gene:GSCOC_T00038363001 transcript:CDP13424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G47040) UniProtKB/Swiss-Prot;Acc:O64948] MAESVELPSRLAILPFRNKVLLPGAIIRIRCTSPSSVKLVEQELWQREEKGLIGILPVRDSAETATVAPSLSQGLGTDSVERNSKSQVGVSDAHKHESKNAQEVIHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNVQELSTRGTYYTARITSLDMTKTEMEQVEQDPDFISLTRQFKATAMELISVLEQKQKTGGRTKVLLETVPVHKLADIFVASFEISFEEQLSMLDSVDVKVRISKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDLAALERKMQNAGMPAHIWKHAQRELRRLKKMQPQQPGYNSARVYLELLADLPWQKASEEHELDLKAARERLDSDHYGLVKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGIKRVSVCNPVMLLDEIDKTGSDIRGDPASALLEVLDPEQNKTFNDHYLNVPYDLSKVIFVATANRIQPIPPPLLDRMEVIELPGYTPEEKLRIAMQHLIPRVLDQHGLSFDFLQIPEEMVKLVIQRYTREAGVRNLERNLAALARAAAVKVAEQEEAVPLSKDVQRLASPLLESSLADGAEVEMEVIPMSVNNHDISSAFKASSPLIVDEAMLEKVLGPARYDDRETAERVATPGVSVGLVWTAFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQIAMTWVRARATELKLATVEESNLLEGRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSQRRVRADTAMTGEMTLRGLVLPVGGIKDKVLAAHRYGIKRVILPERNLKDLVEVPSHVLSSLEILLARRMEDVLEQAFEGGCPWRQHSKL >CDP13538 pep chromosome:AUK_PRJEB4211_v1:8:460027:462591:-1 gene:GSCOC_T00038520001 transcript:CDP13538 gene_biotype:protein_coding transcript_biotype:protein_coding MNTASWPYFDPDFDGLSERVYGPVCRVTIDNESSDECTVLKIDSVNKQGLLLEVVQALTDMNLTILKSYISSDAGWLMDVFHVKDGSGNKVTDQNVINYIQKAIGANKEAMAKARARNTKFLESKTSAHEPTAIEMRGKDRPGLFSEISAALADLHINVVEAHAWSHNARLACVAYISDQSTSSPIDDHRLATIEDHLTTVLRATTSQNAGDDVCTNQQEVKHFAGLPDHGRGEGTMTDVERRLHQLMLSVRDFDGPPSASGPSSSWPRSTANIPLGGHEDEEEREKKECQVRIESCDERGYSIVTVSCKDRRRLMFDTVCTLTDLQYVIFHASVDSDEGYAFQEYFIRRIDGCALNTEGEKERVIKCLEAAIERRVCEGIRLELRATNRVGLLSDITRVLRENGLAVVRADIATQGEDAVNAFYVRDMSGNDVDMEFIKTMKREMGPIDLDVKNETPIISRTSPPNGNGRPRFSVGDILRSHVERLSHNIAIG >CDP07211 pep chromosome:AUK_PRJEB4211_v1:8:10758673:10761012:1 gene:GSCOC_T00024386001 transcript:CDP07211 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFVKANPRHFWIVGAIILVIIITILLRRWAVYKNLKIDHDHKPSTEISRSPSSASDCSSNCCSIRTYALEELKVATKEFKVQIGVGATSIVYLAEFQDAGFGAVKRVLEEKGGSQKIFLDEVSVLLRISHPNLVCLMGFCLEKGEQLLLLEYVPNKSLFDRMHTYQGQCSGILSWSNRLNIALDIARALDYLHCVADPPVIHRDVKSSNILLIDDDHAKLADFGLCKLGSDAQSAYTPTAIKGSLGYVDTYYLNTGIVSPKCDVYSFGVLLLELITGLKSVQGSTTLAEWTEDCRRAENLDDLIGLLDPKLRGDVNQEQLRVLFDVANQALLQNFEARPDMAQIVYRISSCLEPQSQPELPV >CDP13448 pep chromosome:AUK_PRJEB4211_v1:8:1308052:1310016:1 gene:GSCOC_T00038400001 transcript:CDP13448 gene_biotype:protein_coding transcript_biotype:protein_coding MENKHCSIVPYHDPTDDPSSADQILMFNNETPCLGLAEFPEIAEPSSRHPMSFPQFQPQGVGIDNILDPNDPLSDPFIWEVINSESTGGNAAAGNCEAGSSGIHGERIIGQGNNSSDFSGAMPPQTSSGFGNPIQLSVWPVPPSPYNCSCCHILREIIHINGTQVSNFEIHGRLGVISHGVLDTYEMDWSTRSHQYRIVKNFLVQYCQERKREGYTMIQDPLSVFYEALCVGFNEKQSHDDLFLQPSSVFSEQRERTGKMKLKDFSDFFHLPITVAARKLKVCPTVIKKKCRQGGLSRWPYRKIKGIKRKISMKQQSLTAATAEERAQVLAEIQVLEQKLENIFAELST >CDP07151 pep chromosome:AUK_PRJEB4211_v1:8:9411006:9413327:1 gene:GSCOC_T00024282001 transcript:CDP07151 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYVTFKIQKGLSLLNIHRSHRSTQFHAPPQSETATIPASSHPTARLFSSLRFSLLLPLSGELQEEREGNFTFQRTRLHHSPEPNLHQSSFVFRMSRSMNASSLKRKRPESSSANGSLVDADRAVFNVIKSKEDMGIWTRDIKFETKLADTVVNKSLKSLLSKKLIKEIVNIKNKGRKHYMAAEFEPSKEVTGGAWYVDGNLDKELIGVLKGLCLKIINSKKVATVEGVYDFLTKSKVTTFDCTNQQIAEVLNSMVLDNEVIEVKSTGLGEYHSIPIGTVCYRIAKGAGLGESLKIGAMASIPCGMCPRISQCTPDGVISPSTCVYYQKWMNF >CDP11690 pep chromosome:AUK_PRJEB4211_v1:8:4821776:4822855:1 gene:GSCOC_T00034147001 transcript:CDP11690 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVIKSIIYTLIFLILSDIKIIMYSFAKTLSEISNFHFAGQQNFGSSHLSRQTISQSSLPKFSFPHKVFSAESLRNQTTFASLLKRRRLPENGYGRHCKEIIKLIGEPLITNEGKKWAKVHKLANHSFLAESLKSKVPEMIASVEGRGVAGKQRYQLSSYAKKAPLAPCPVPRLLPHK >CDP08237 pep chromosome:AUK_PRJEB4211_v1:8:25225308:25230033:1 gene:GSCOC_T00027002001 transcript:CDP08237 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHDHSCEDHDCSANWTLYQHIDLPRVSALNEAVSGSVRSVFRAWDQRLNSSEGYLESNEGDPELIVFIPFTSDVKIKSISVIGGADGTSPAKMRAFINKDGIDFSDAQSIQPIQEWDLVENFQGVLEYQTRYAKFQSVASITLHFPENFGGETTQIHYIGLKGEATQLKRDVVATIVYELRPNPSDHKTRAELGGGLSQVE >CDP15681 pep chromosome:AUK_PRJEB4211_v1:8:7268035:7269419:-1 gene:GSCOC_T00015645001 transcript:CDP15681 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNNCLSDAWKGLLDTAGTMIPNYDPEVAVSVNKFVDQLPAVFNQLAEGISEFRPTPSENLDCFQKSYSVQHTLLVKFNVDAIDETDILEETLKPRVESIGGTLQKIILNGTHITPCIQEPRWQVGDIYSPVDAVAQGLKTISLNDTRVLTRTITDWFSQLEG >CDP09785 pep chromosome:AUK_PRJEB4211_v1:8:29255019:29256945:1 gene:GSCOC_T00030249001 transcript:CDP09785 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLNFLLFSTVLIITLLSTSTVTEAATFEIQNDCNYTVWAAARPGGGRRLTTGQTWTINVENGTAGRIWARTNCSFDGSGRGSCQTGDCSGVLQCTASGEAPATLAEYSVNAFNNQDFLDVSLVDGFNVPMEFSRPSAGCTGGIRCAADINGQCPPELRAPGGCNNPCTVFKTSGYCCTSGVKCEQTYLARFFKDMCPDAYSYPQDDQTSTFTCPSGGNYRVIFCP >CDP07161 pep chromosome:AUK_PRJEB4211_v1:8:9590529:9593976:1 gene:GSCOC_T00024302001 transcript:CDP07161 gene_biotype:protein_coding transcript_biotype:protein_coding MISVPQVAFPIGRKGNKILLTTRNKNVAMRIGPNGFHYEPRLLTNAESYELLQSKALRGQPNQVWSYLAMEMVKFCRGLTLAVVVLKGILATKHTFNEWNVVYTNFKSYLGKGESIEQHQGEVQKILALSYNDLPYKLKPCFLCLSGFSEDEDIDTETLYLWWIAEGMIFAED >CDP11751 pep chromosome:AUK_PRJEB4211_v1:8:6018333:6021360:-1 gene:GSCOC_T00034238001 transcript:CDP11751 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVGILIDTLNSMIQKEFGLLCGVATDMQKLSRLLSATKAVLEDAEQKQFTDKAIQQWLQELNIVRILTKERDRVDQNVSVLPIVGVGGLGKTTLAQLVFNDQRITQHFDLKLWVWVSEDFDVKRIIKVLICSIQRTLTEELESLSLERKLQGLLRGKRYLVVLDDVWNKNPEEWEKLKSVLECGSRGSSIVTTTRMEKVATIMGTLQTHYLSSLSENLYWSLFRQRAFGPQEAEEYPNLVVIGKEIVKKCGGVPLAAKALGGFLRFKREENEWNYVKCSEIWNLPEDEVHVLPALRSSYLNLPVELRGCFAYCAVFPKGSEIEKEEVIHLWMANGLISSNGTMEVEDVGDAVLTELYYRSLFQEVKEDEFGNALTFKMHDLVHDLARSVMEAKHGGTESNRTMMLGVPYDQLTVAFPITITGTDQFSSLLSQCGSLRALIIRSTWWGEMFTELPHAISKLKHLRHVNLSGSDVVELPNSICDLWNLQILNLNDCDKLRSLPKGMIFLRNLRHLCLQGCWNLTHMPSGIGKMTCLRMLSMVVLSGKNGFQLSEMRDLNMLRGILIIRHLERIETKKDAKEACLIKKQSLRKLHLCWDSERTVQRYNDEEVLEALKPCPNLQFLHIEGFNSSSFPSWMSTVTKVTVDGSAAEYIVGARESTAADARSPSLKQLELMNMPNLKGMLGREVQGTTSTPRAFSQLQSLSFFNCPTLTLQLPRMPSLKELYVENCPNMAWASISNLTSLNSLKIKNIEGLSCLPKEMLQNLSLLESLQIRHVRALRALPRSLASLTALKELIIWGCPMLKSLPEEVKHHLTSLQHLTLHKCPKLETLPSSIQMMTTLQSLTIGACDLLEPRCEEGGEEWHKIKHIHKLSKEINIFMY >CDP08228 pep chromosome:AUK_PRJEB4211_v1:8:25177776:25181374:1 gene:GSCOC_T00026993001 transcript:CDP08228 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFLMMYAAIFLVSKNFIHLGMNWRVKFHPIFLGVWDFSHSPCHITKISGHIPKEIGVLDNLEELYLAREGNSTYILVKFAGIIPPDIGNIHNLKGLFMENNQIMGSIPREIGNLTMLNEIYLHKNFLTGMDLEKTISNLQELGRFHLPYNNFNGSIPAEIFNISTLRVISLGFNLFTGNLPSNLGSKLPNLEQIQVDGNYLGGLIPASIANCSKLTLLSLAANQFSGSIPNSLGDLTLLLYLGTIASEIGNLANLLLLALGNNKLTGVFPTTAKNLQNLRGLSLSRNNISGTLDHFCLQSLTELYLYHFSWNPSTCHITIFRVRSQSHWRHFNPSDSLMFLITILSGEIPFEGPFKNFTSKSFISNGALCGDSKFHKEGASGGTTMVVVSTQERVSHYELLQATDGYNKSNLLGIGSSGSVYKGTLNDGRTVAVKVFNLQLEGALKSFDVECEVLRNLRHRNLVKVISSCSNQDFKALVLEYMSNGSPEKWLYSENYFLDILQRLNVMIDVASALQYLHQEYLTPVVHCDLKPSDVLFDEDMVDRVSDFGIAKLLGKEESFALTKTFATIGYIALLLLFFSLFNLFYFFSWVEFFTRRRPSDETFAGQLNLKSWLECIGSLMKLASNCARDSPNKRLSMKEVLEAQKKIKLKFLEGSRG >CDP13469 pep chromosome:AUK_PRJEB4211_v1:8:1122996:1126200:-1 gene:GSCOC_T00038426001 transcript:CDP13469 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTWLLLGCCIFFISAEAATLQNKVTDNPADQLVTALNSNRTAHKSSSLYSNPGLACIALQYIKAYQGDCNEVGGPNAKKPADGEFAETFAPNCGVEVKTLGPITGRLLGCETKYVKPDEAFSGILMKNSKSVEILYSKNHTEVGAAVSGSDGGSPYFWCVLFSNGTSNSSFVLEGGVAKISRPGCFSGANDQCNGANALFKIPILVSVAVGALIFSLSSLGV >CDP14477 pep chromosome:AUK_PRJEB4211_v1:8:13328417:13329071:1 gene:GSCOC_T00040980001 transcript:CDP14477 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKRKNNSSSVIGYDTKAYCRPSLIGGNYGLLDTTTFVPNPDYYSALLWHQLMGRNVLATNFTGTKKIHA >CDP14961 pep chromosome:AUK_PRJEB4211_v1:8:8832692:8833740:-1 gene:GSCOC_T00042473001 transcript:CDP14961 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDHNERPNSTLYQQLMALQPLYPTASLRELISFADDLFPYASEDDLISLEEEGLMGQDDGYSTGNLMISSGLREDNIIQQLKIRRRSRRQEEVADDDEGFEEICVVCQGEYEDEEMLGALGYWTRVFRRLGGGLSPHQPPLKSVAGHHPSPCSIFVYVYSKN >CDP12959 pep chromosome:AUK_PRJEB4211_v1:8:2572654:2579718:1 gene:GSCOC_T00037671001 transcript:CDP12959 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTADELLGWKDFPKGLKVLLIESDACSAVEMRLKLEEMDYIVSIFCNENEALSAISNKSECFHVAIVEVNTQNSSGIFKFLETANDLPTIMISDNNCISTMMKCIALGAVEFLQKPVSDDKLQNIWQHVVHKAFNAGGKDVSEALKPVKESLISMLQLPFGNAETGKQASVEAEQENNQDLLSGCDKYPAPSTPQLKQGERSLDDGDCQDQANFSMEQDSAEQDGECKSVETTCGNSIFECTALGSPASGEDAVKEEHESTNCCRTEINTTTCYEGKDRPSNKTSNAAGLNRSSAVRNTCGIKASKKRSKVDWTPELHKKFVQAVEQLGIDQAIPSRILELMKVEGLTRHNVASHLQKYRMHRRHILPKENERRWPHPGGSAQRNYYPHKPVMAFPPYHPNHTIPGGQVYPAWIPPGTYTGGIHIWGSPYYPGWQVPNESWYWKPYSMTHADAWGCPVMPQTVGSYPQFAQTAPDFQTTIEMQYTGSTLLNPSDLHAAEEVIDQVVKEAISKPWLPLPLGLKPPSTECVLNELSRQGISKIPPHTKAPNIR >CDP08268 pep chromosome:AUK_PRJEB4211_v1:8:25518086:25521391:1 gene:GSCOC_T00027043001 transcript:CDP08268 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKPQPVQVPYCQVCTLPAEYCEFGRDFEKCKPWLIRNVPNLYPHLLQEANDKEAEKLSEQLQGSGISPAGSPAATAGEPSASKQEDVKRLPGGKIKRKEKQEVVIEKIVRNKRKCITTVKGLELFGIKLSDASKKFGKKFATGASVVKGPTEKDQIDVQGDIAYDVVDFIRETWAEVPESAVFFIEDGKRVSAA >CDP19384 pep chromosome:AUK_PRJEB4211_v1:8:23073525:23081497:-1 gene:GSCOC_T00003998001 transcript:CDP19384 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLRSSTLANIFLLLSVAMNFSISHASSAKQFQNETGRLALLEFKNQIYDDPFGALNSWNHSQQHCRWEGVTCGARHQRVIALTLRSKQLSGTIAPHVGNLSFMRSIQLGDNQFHGEISQEFGRLFRLRVLNLSSNAISGKIPANLSYCSELVTISLAGNNLEGKIPMDQLSNLKKLENFFLYKNNLTGEIPSSIGNLSSLTQLDFDFNNLEGSLPLEMGLLKRLVQLFLAANKLSGIIPASIFNSSAITVISVGANYFHGNLPINMGLTLPNLEELGVGANKFYGNFPTSITNASGLKVFDLSENNFKGQIPANLGDLTQLKRVNLGFNFFGSNSTGDLDFIASLTNCSNLRILSLSANKFGGNVPKIMANQLTELFVGGNQLSGTIPQGFGNFVNLIQLGLEVNSFSGIVPRDFGKLPNLQGLRLDHNDFSGQLVSTLCNNTNLFYLDLSFNQFEGGNIFDNMKIRLVVLCLLNLESLYIWWISMFPTINLLEIYPSHLLIVQIWRIFLCRPIFSKEQFHQILASWKSIQQLDLSSNNLTGPIPKELEKLQYLRYLNLSYNDIEGEIPKTGIFSNASQISLIGNNKLCGGIPELEFPPCPVIKGKNRGKLKLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSIQGTGSTIAIKGSIGYAAPEYGMGLAVSTQGDVYSYGILLLEMITGRRPTDDIFVGDLDLHNYVNGALREQVSEIVDPNMTPGEETINGGREIDCIISLLKVGLKCSARLPNDRMHMNEVVRKLHLIKDVFLGVRVHQENFEA >CDP08334 pep chromosome:AUK_PRJEB4211_v1:8:26122455:26124436:-1 gene:GSCOC_T00027136001 transcript:CDP08334 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSRQPQHHHHHHQHLHLHQDCKTRGYNRKAQLLEYTRQLRESARSQASSPLLHPKPVANHNHHRQPMTQMIAVQKKRRHAVTPTCMGNWKLMVPGFFRSLLMPHKKKSKNKRKRTNNRSTATKIKAIMKSFQVNRRKGFTSKMFATLRKRR >CDP12187 pep chromosome:AUK_PRJEB4211_v1:8:30902455:30906795:1 gene:GSCOC_T00035595001 transcript:CDP12187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit RPN12 [Source: Projected from Oryza sativa (Os07g0435100)] MDPKFIEVSQLFDRFKAAFVRNDLDTCANLLSQLKVSLTGFKSLPPLFENTPNAIRELSLARDIYEHAVVLSVKNEDQDAFERDFFQLKPYYTDARGRLLPSPQEYPILGLNLLRLLVQNRIAEFHTELELLSPSALENPCIRHAIELEQSFMEGAYNRVLSARQTVPHETYVYFMDLLAKTVRDEIAGCSEKAYDSLSVKDARQMLLFSSDKEVLEYIREDHPDWEIKNGLVIFQRAKESAPCKEIPSLQLINQTLSYARELERIV >CDP09856 pep chromosome:AUK_PRJEB4211_v1:8:28670123:28672861:1 gene:GSCOC_T00030333001 transcript:CDP09856 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLGTCFHCLALFSSLCLLELVTVSSVTEKEILLQFKSSISSDPFNSLSSWDPSGSPCQDYKGVFCNPAGNVVKIVLWNTSLGGNLSPALAGLKSLRILTFFGNKFTGNIPSEYGQIDTLWKINLSSNALAGSIPEFLGDLSNIRFLDLSKNGYSGDIPSALFKNCYRTRFVSFSHNNLSGAIPVSIGNCLSLEGLDFSYNSLSGGLPSEICTIPRLAYLSLRGNVLSGSVQEQVSACGSLEVLDIGSNLFTGLAPFGALALANITNFNISSNEFQGELPEIESCSERFEFLDVSGNNLDGKIPLSITKCSALKYLDLGFNRLTGSIPVEIANLKRLLVIRLANNSIDGTIPKEFGSIEWLQALDLHNLGISGEIPDEITNCRFLRELDVSGNSLRGSIPQNLYNMSYLVILDLRSNQLNGSIPSTLGKLSNLHSLDLSQNILSGQIPSSLGNLKNLTHFNVSYNNLSGAIPLVQSIQGFGSSAFSNNPGLCGAPLETSCAPNGTKTETGKPKLSPSAIVAIVAAAVILTGVCVITVMNIKTRRGRREDEAVVVAESTPLASTDSNVIIGKLVLFSKALPSKYEDWEAGTKALLDKECLIGGGSIGTVYKTTFEGEISIAVKKLETLGRIRNQDEFEQEIGRLGNLRHPNLVAFQGYYWSSSMQLILSEFVPNGNLYDNLHGLSYPGTSTGAGNPELNWSRRFQIALGTARALAYLHHDCRPPVLHLNVKSTNILLDDNYEAKLSDYGLGKLLPLLDNFGLTNFHNAVGYVAPELAQSLRLSDKCDVYSFGVIMLELVTGKKPVESPAATEVVILCEYVRDLIERGTASDCFDRSLRGFAENELIQVMRLGLICTSEVPTRRPSMAEVVQFLESIRNGLGL >CDP08311 pep chromosome:AUK_PRJEB4211_v1:8:25902506:25906438:-1 gene:GSCOC_T00027105001 transcript:CDP08311 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLYDAAVEGDATTLSRLLQEDPLAIHKAALKCDDKNPLHIAAILGHVDFVKAILQVDFAYIMCLARDQDGRNPLHLAAMYDRLEVLQELLDAGCQANSAHSMCLARDRDGRNPLHLAAMYGRVAVLQVLIRAGFQAALEKTDGGGTILHLCIKYNQLEALTTLVDILKYPEFVSAKNEDGMTILHLAIHYKQHEVTFFLSFFINFMDNFFFISLLSFYLLGKGKGEEKEKGRRGVAGGPLLRKSRVDNVKSEMARLGHTTGAQTRNFSTGLQNLITESRSWIQVACSIIATMAFQASMRPPGGFWQDDLIVDSQGTPVPNPHRAGEAILAYAHPRSYQLFVFTSQMSFWAALLTIIITICDFTGSLARLLLSLLLYIAIVTLTTTQYISIISVYPKGLTQKRRQRTALAGVVLLYSSVCLLGGILVIAVVWRKLKRKNRVQLNHLGELRDSAAAAAAHV >CDP13544 pep chromosome:AUK_PRJEB4211_v1:8:405614:412085:-1 gene:GSCOC_T00038528001 transcript:CDP13544 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MATTLLLKCYRPFLSPPHSPVSALLQKRVFVPTGACPNTRTVQSKIVRLRYFSSISTSNSTNPQTRPGINSENGNIQPENNKQLWLYNTMSKQKELFKPKLAGKVGMYVCGVTAYDLSHIGHARVYVFFDVLYRYLRYMGYEVKYVRNFTDVDDKIIARANQLGEDPINLSRRYCEEFHYDMTHLQCLAPSTEPRVSDHLPQIIDMIKQILDKDFAYMIDGDVYFSVDKFPEYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAACDRSNVSYWMHNGFVTVDSEKMSKSLGNFFTIRQVLEVYHPLALRLFLIGTHYRSPINYSDVQLETASDRIFYIYQSLYDCENVLGEDDEATWKNVNPPATASCINKFHDDFLTSMSDDLHTPVVLAAMSDPLKTINDLIHTRKGKKQELRLESLAALEKALRNVLTVLGLMPTSYSEGLQQLREKALKRAKLTEDEVLQRIEERTTARKNKEYEKSDAIRKGLAAVGIALMDSPEGTTWRPAIPLALQEKLVAAT >CDP14958 pep chromosome:AUK_PRJEB4211_v1:8:8928954:8929931:1 gene:GSCOC_T00042467001 transcript:CDP14958 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTASLVPCSKDQNQEELMQEYCKNLLSTLPKERWLGSSYLYKYNGFWLIHKVLPGLIACQNHFQAQDTDVLLITTPKSGTTWLKALMFALANRKIYPINQNHPLLKQNPHSLVPFMEFFFSPEKMNPDFSCPLGRLYSTHYPLTLLPESVLNSGCKIVYLCRNIKDTFVSYWHFSKKLGAEASLEEFFDMFCEGVSLSGPVWDHVLGYWRESLEKPEKVLFLKFEALQEKPSFHLKLLAEFMGCPISPEEETCGFVDEVLGLCSFDNLSNLEVNKSGTWRAARNEMFFRKGKVGDWKNYLTSEMEERIDHITAQKFFGSGLSL >CDP09826 pep chromosome:AUK_PRJEB4211_v1:8:28883175:28886195:1 gene:GSCOC_T00030298001 transcript:CDP09826 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPANGSAETKPLKFLIYGRTGWIGGLLGKLCEAQGINYTYGSGRLESRESLESDIASVNPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCREKGLILINYATGCIFEYDAAHPLGSGVGFKEEDTPNFIGSFYSKTKAMVEDLLKNYENVCTLRVRMPISSDLANPRNFITKITRYEKVVNIPNSMTILDELLPISIEMAKRDLTGIWNFTNPGVVSHNEILEMYKEYIDPKFTWKNFTLDEQAKVIVAPRSNNELDATKLKNEFPELLSIKESLLKYVFKPNQKTAA >CDP08370 pep chromosome:AUK_PRJEB4211_v1:8:26482683:26484511:-1 gene:GSCOC_T00027177001 transcript:CDP08370 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDEVVVIKSPHQNSRNPPQKSKGVAQNGGDGKETLFSPRFRSVAAMAGWDEEALMMASIVVEDTPDRHFKQKKRPTLQSLKTPPTNSRRKRRGQRRSPSSLPVVALDLEDDETPTESETKKEMTESTTVRDKENKRSGSQSDAQSSIISPSSSAIPCIDRLREELSCAVCLEVCFEPSTTPCGHSFCKKCLRSAADKCGKKCPKCRQLISNGRSCTVNTVLWNTIQMLFPQEVEARKAAGALNSRQAQRQSPTRPNHTNARNRAVQALSSPESGNRSPSSRRGYQITRRQSVQPSRLSRRRNELPSQDQDAALALRLQREEFMGAFRGPDDEYRNSFAIARANLRAMASRAIRARGFFNHAEKKKWFQVLPLPYYSHKVAKEP >CDP09905 pep chromosome:AUK_PRJEB4211_v1:8:28284444:28287947:1 gene:GSCOC_T00030391001 transcript:CDP09905 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGKYDEAIRHFTEAINFSPTNHVLYSNRSAAYASLGKYSDALPDAEKTVELKPDWSKGYSRLGAAHLGLRRYDDAVSAYKKGLEIDPNNDALKSGLADAQSAQARSRPAQQPPSPFGDVFSGTEMWAKLTADPSTRALLQQPDFVRTMQDIQKNPSSLNTYLKDQRVMQALGVLLGLKLNARGGPEEDTEMPESSPERKRPAEAEPVKEEKRPEKAPEPEPEPMELSGEVKEVKERKAQAQKEKEAGNAAYKKKDFEAAIQHYTKAFELDDEDISFLTNRAAVYLEMGKYEDCIKDCDKAVERGRELRSDFKMIARALTRKGTALVKMAKCSKDYEPAIETFQKALTEHRNPDTLKKLNEAEKARKELEQQEYFDPQIADEEREKGNQYFKEQKFPEAVKHYTESIKRNPKDPRAYSNRAACYTKLTALPEGLKDAEKCIELDPTFVKGYTRKGAAQFLMKEYEKALETYQEGLKLDRNNQELLDGVRRCVEQINKASRGDLTPEELKERQAKAMQDPEIQNILTDPVMRQVLNDFQDNPRAAQEHMKNPLVMNKIHKLVSAGIVQMK >CDP09825 pep chromosome:AUK_PRJEB4211_v1:8:28887114:28890164:-1 gene:GSCOC_T00030297001 transcript:CDP09825 gene_biotype:protein_coding transcript_biotype:protein_coding MLLISQVIQSLVHSYHRSFNGFAAKLTPEEAARVSKMEGVASVFPNRIFNLQTTRSWNFMDFGTDKFGPAQEEDVIVALLDTGIWPEHESFSDANFGPPPAKWKGTCKATNFTCNKKLIGARYYNSENIYDKSDIKSPRDRIGHGTHTSSTAAGRKIEGASYLGLAEGVARGGVPNARIAMYKVSWHEGSSETDILKASDNAIADGVDIISVSIAASDPYDYFESAIAIGSFHAMKHGHFNLKCRRKLWSSSCISLQLLTMVADVFPLQLLTFWFLLLGTIIAHSTGYDVAYNYPFPALAISAEDGVEVLDYIKNRESPVASIMVAETSFKDISAPIVASFSSRGPNPISPDILKPDITAPGMDILAACSPIAPPTPHASDTRSLMYNVISGTSMATPHASAAAAYVKAAHPDWSPAAIKSALMTTSFDVDPRKHPDLEFSYGAGHINPTRAIKQGLIFAADEEDYVKFLCKHNIDNLRQITGDNSTCKGITPGRGWDLNYPTMALYPWFAISAESYLERKGSEINAVFTRTVTNVGKPSTYHAALRLLQSSIYNATVKPSTLTFSSVGEKKSFTVRVTGPKITQQPITSGAVVWADGVHQVRMPLAVYNYRPGAPYDDA >CDP09845 pep chromosome:AUK_PRJEB4211_v1:8:28749117:28751360:1 gene:GSCOC_T00030319001 transcript:CDP09845 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASITLFFILFLHSSLAILVASDVSNQIELETYIVHVQKPPASKVLSDLESLDSWYSSFLPATTANTNEEEPRMVYSYHNVFTGFAAKLSSEEVKALENVEGFVSARPQKVVSLHTTHSPDFLGLHQNFGFWKESNYGRGTIIGVLDTGIRPDHPSFADEGMPPPPAKWKGRCEFNFTGACNNKLIGARFFRSAGGGTPLDEEGHGTHTASTAAGNFVKGANVFGNANGTAVGIAPFAHVAMYKVCSSSCPESDILAAMDVAIDDGVDVLSISIGGLSSSFYDDNIALGAFSAMEKGIFVSCSAGNNGPFSSSLANEAPWILTVGASTIDRKTTATAVLGDNQEFDGQTLFQPKNFRHTQLPLIYPGLLNASDFGPSLVSPGILKPDIIGPGVNILAAWPVSVENNTNATSTFNIISGTSMSCPHLSGIAALLKSSHPDWSPAAIKSAIMTTADLLNIENRLIEDERYLPANIFATGAGHVNPSKANDPGLVNCTETIPEAQLNYPSFAIRLGSSSTPQTYTRTVTNVGDANESYKVQIIPPTGVSVAVGPSTLNFSEQNQRLTYQVTFSRTANSFSGTFQGFVIWSSAKHFVRSPVAATLL >CDP12061 pep chromosome:AUK_PRJEB4211_v1:8:29956954:29959255:1 gene:GSCOC_T00035436001 transcript:CDP12061 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWAPRKPKLIKEKKRALDFKMATTAKYFITDLKLGGPFSMAVTMSGRTIRQGCFTATGTRSPIVFSKRALKLQHTEINGPRELMFRKGKVQSKPLSQVALATDSADISINTPATTNSIMQFYKSINDKKLKQLEQLLSDDCFFDDYSFPKPFEGKQEVIKFLEQLITSMGHHTEFSVEHICEGDDLTAAVNWHLDWKKKQVPFTRGSSYFGFARDGETLVIKKVQAVIESPIKPGGLALGLFKIITSLFDAFPGAAESTFVLFAHYGLL >CDP11731 pep chromosome:AUK_PRJEB4211_v1:8:5732125:5733182:-1 gene:GSCOC_T00034206001 transcript:CDP11731 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKILVVGGTGCIGKWTVKASLAQRHTTYVLQRQEIGLDIDKVLVLLSFKEQGALLVEASFSDHQSLVDVFVCAMSGSFYRSHNILLQLKLVDDIKEAGNTKPSEFGMDPARMGDALEPGRITFDEKMIARKTIEEAKIPFTYICGSCFAGYFVGNLSLMGTLV >CDP08354 pep chromosome:AUK_PRJEB4211_v1:8:26326864:26330785:-1 gene:GSCOC_T00027159001 transcript:CDP08354 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISDHNPIHAMKVPIIDLSHLSRQDFYEKSLVIKEIHEACQNSGVFHVINHGIPKSVIDEALEVNQKFFDLPGIMKEEILELGSRDPFSPVKLARFQHSALGSDLLQRDVLRLQAYPFEDFVDIWPKHPADYREKMGRYTAEIKKLAIQVFVAIMESLNLDATYLKENFDKGMQLVATNSYPSKSISDIKIGTPPHTDFGIITILVQTAPGLQVMDNLDGTWKDAPKLEGSLQVFVGDLLEVLSNGMYKSVMHQVIVPSTNKTRMSIASFHSFEFDEIVEPAKKLVDEEGVKSAHCSMGSSTDYNMLMPIIDLSLLQKDHQTRSLVISQIRESCRTAGIFAVINHGIPETIAEEALTVNKNFFNLPLKIKEEFFSPDMYKPVKYGTNQGGAHGILWEYLKLYSHPFETFVDLWPKSPPDYRNVTDCDNIECVWIVNYLR >CDP20352 pep chromosome:AUK_PRJEB4211_v1:8:2160909:2162762:-1 gene:GSCOC_T00008797001 transcript:CDP20352 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCVKQKLRKGLWSPEEDEKLFNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLILRLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLMKQGIDPITHQPLSENEVRDHEEKNSTDNSASLQMVPFSSGLLPNLPSSGMEKAYPITSTTSSYCLGGNTEFSRDQQMVSKQVLDPLFLLEFQATIDPCGYDKFLSTQYQYTMRPQNQSTEFEGNANYAYGSMPTLTNFDQRSVTDTDFSDSSNSRMSSFLMNEAKESSSNSTSNINSGHPSIQIHTMAAESANVFSWEADHQNKFDSVFDYQQQFNEIKIEEDHKPRPWQEGQLQAHNSGDFSNYPLTSLSEEDLSGASLDVFHQI >CDP12099 pep chromosome:AUK_PRJEB4211_v1:8:30228330:30235541:1 gene:GSCOC_T00035481001 transcript:CDP12099 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFGNRALPFAILVAATGAAIQKAIKSFGGQGKAIVLQEKHEQDFVHKADDGLANEDSISRLPDDLLSDVLSRLDLIEAVGTRILSRRWKNVCKVRSELHLDCLDMFRPNCSHDMGSHWVKFRFLEAVDQSLQLYSGQSITYLRISCCFMKKFEPKFTQWMQVVATLDVQELDLKFICSSLPLYECVKSNMGELFPVSFQLLTAVATMKHLRLLACSLQPSFPTQFNSLEGLYLDLVHLSDGELPRMLSSCVNLQTLRLGFCKLTPKLSISGPCLQLKFLYVHSCPGLEEIDICAGNLITFSFFHNGPIKFSLCVPKLEDARITFTGNGSIPYFFGEVLKDCPKLKNLLFQTHSDKLQYTPGKMDMFSNLRTLYFVPGMRSPPDLLNVVPILEACPHLEEFRLQLLCRGFNEERGREWPPRRLGQLKEVEFNGFHGTVNEIHFATYLVKNAPALERLWIRSPYRFYCDDYHLETGGGWYMDERVDTLHEELMMQAVSSKLQVNIERSPRTELKICGKE >CDP08327 pep chromosome:AUK_PRJEB4211_v1:8:26025051:26028089:-1 gene:GSCOC_T00027127001 transcript:CDP08327 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQNWLCIISVHLLILHIAIASSFSVHHFCHYDEALALLQFKEQFKISASNEFSSDCSYFGQHPYPKITSWNESTDCCTWDGVTCHVITGHIIQLDLSCSQIEGVISPNSSLFGLSQLQKLNLAYNDFQQSRISREFSGLTHLTHLNLSTSNFNGQIASEISHLSKLVLLDFSLHPPSSAILRLQKHDFQMLLRNLTKISVLCLSAVHIASEVPLNFSSSLTYLDLSSTGMHGKLPDHVFEIPNMQALVLGSNENLTGILPKFNSSISSLEVLDLSFTNLFGELPVSIGCLKSVNSLILFGCHFSGSLPESIGNLSKLTDLILDLSGNGFQGPIPDSFNNLQKLTSLSLSYNSLVGPLPPSVVNLTALVDVDIRFTLLSGPLPANASGLQELISLQITHNLLNGTLPPWLFHLPAVIFLDLAFNHFTGQLPDFTGNSSLTFVFLDHNKLQGPIPKSISTLRNLIWLDLSSNNLSGILGLSSCGLKEFPGFIQNSKNLSYLDLSSNNIRQIPSWLPSTAWDSLTYLNLSYNAISTPFMPPWKSLSVLDMRSNQLQGPLPISICNLEVLFFLDMSENKFSGEIPRCFGNFSSGLAVLNLKNNRLQGSIGMIFAPKNGLRYLGLQGNLFEGQLPRSLVKCEKLEVFDVGNNRINDTFPTWVENLKELKVLVLKSNRFFGTIDNNFKTKSPFKKLQIMDLSNNEFTGVVPIRLLTSLRAMMNSDRTESRAMYMDAGYIFYDNDYRYSLSISVKGLSMELPQIITTLTAIDLSSNRFSGEIDDVIGDLVDLKVLNLSHNRFSGHIPSSFGNLSSLESLDISCNQIDGEIPQQLTMMTSLEFLDLSQNHLVGRIPQGNQFNTFSNDSYKGNVGLCGLPLTKKCSESDFEVPPPLPIDQEEEQSDFFSGFTWKPVIIGYGFGVVLGLALGWLMFATGKPQWVVKFVEEARYQRRKQKTR >CDP18428 pep chromosome:AUK_PRJEB4211_v1:8:22216965:22225330:-1 gene:GSCOC_T00007242001 transcript:CDP18428 gene_biotype:protein_coding transcript_biotype:protein_coding MENSIGKISAAAGVEISRKTKSLDLQSIYKSRVSNDGHSKKGKYPVQNGGEDRDDNKKEKKKRKKIVKEVALDSLEPVVKKSRKSIGEGRGNDARSGSVSVDSSRSLSGFSHKNGLNGLSLSLGGSGNVIHIPKRPRGSVGRKKFETNGPANMSGPSGSVDRIGNSNGETRKVESSGSSSGKAGSADKVAKLPSRSGGSKVKRKRNVDEVKDSRNGISTSSHLVKDEGGHVVNNGDKSSKKRRSNHRKRKELRSGVETAQKKVEPSVDNSSSVFDDFQDDDDDEEKLEQNAARMLSSRFDPRCTGFTSRIRSSSSPPDNTVSFSNSSRRDFVSRRADSSANLKTKDASRVLRPRKELKEKGLSRKRRHFYEIVTSNLDAYWFLNRRIKVYWPLDESWYYGRVNDYDPKRKLHHVEYDDRDEEWIDLHNEKFKLLLLPSEVPAKNDRTKTPMIDKYIDKRKTDSEDDDSFDENYLDSEPIISWLSRSSHRVKSSPSSHSKKQKTLQFSSSMVQPVVSVKTDDTEADVGSLAGDGNKSDSDSTLPEKSADGERAETSLLGSPSSSKGSPCVVYVRRHLRKNSGGFSPACRNDKTRRSPCPTVAPFDSAEDNLHSWKWYDNSVGCSGIDKLLWSIDDQGLLRLGVPFGESVRFRLDVSLPVLRFLGCSFLVDRFGFSHALVPPNYGGIMTTWPEVALEMLFVDNSTGLRYLLFEGCLKLALKLFSLVLTVFSQSSEEWKFIDMQLPITSIRFKFSCIQDLRKQQEFEFYSFSKLKQSKWLYLDSMLQRYCLLSKQLPVSECTYDNIKTLEGGSYQSCTPYVGTGFFPLKKRLVHSILPVGVSRESSSKTTSSFAFNSAIKLGKIPAFALSFTAAPTFFLSLHLKLLLEQNFSSINFQDNASLSAIGDSEVDVQSTAILHPDIDPCPENVIGKIPGCDKQTSLADAGSQFLSSAEPCSGKDVSSEVSDVDRGKSASNGKQDMTLSPSISKDFDMLETDRVVNPSNHESHNQELEQNVASSDLSVSRTVAPTGLSNTTGFSSLGGLSIELPSSDQNDKPLDQGVNISGQVSDLAGNMSDGVLQSPCTSGLRSSLRRDRNCSNNSPFGDHSPVWPHGKSNFISNGFGNGPKKPRTQVQYTLPPGVYDSSSRYQSQSQKSFPYKRIRRSNEKRVSDGSRSSQKNLELLSCDANILVTVRDKGWRECGARIILELTDQNEWKLAVKVSGVTRYSYKVNHILQPGSTNRFTHAMMWKGGKDWVLEFPDRSQWTIFKEMHEECHNRNIRAASVKNIPIPGVRLIEESDDYVSDVLPIRNSPKYTRQVQSDVDMAMDPSRVLYDMDSDDEEWILKNGKILFADENKPKEISFELFEKIVDVLEKFAYSQQRDQFTVSELEEFMVGIGSMQLVKGIYEHWRQKRQRKGMALIRHLQPPLWERYQHQVKEWEQAVAKATAVSTVGCKEKILLNERPPMFAFCLKPRGLEIPNKGSKQRSHRRFPVSGHSQAVLGDQEGSHTFGRRLNGISVGEEKSVLSGNSYEFSDSSPSLQASARVFSPRDAGGLGFFSLTSDVPEWNQYSKYHRYKPKNGAFPSPSSSQFQYPQRTIGMRNGAHRWNMELPEWPSQKHHIYEGSQRHALEQLDGSDFPEFKLRDASGAAKHALNMAKLKRQRAQRLLYRADLAIHKAVVALMTAEAKKTAFDSSKGGD >CDP12051 pep chromosome:AUK_PRJEB4211_v1:8:29852299:29860187:1 gene:GSCOC_T00035422001 transcript:CDP12051 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFAISGDKVRPSKKIKFSNKEHRSTAVEDYDPSYAEDIDDDYRDGEGKKWDFTELELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIVSVLSKLSKTKLPKEMIKFIHDSTANYGKVLKRLLKDEVIGRARISTEGLHGSDGFTVSKSMGEIEGRHDELLNEAELAAAAEEKETHSFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPQAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDEQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTEYAMKLRKPMIYGATSHVERTKILEAFKTSRDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGRLQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDSGPELSYHRLDEQLALLGKVLSAGDDAVGLEQLEEDADGMALQKARRSAGSMSAMSGASGMVYMEYNTGQKRPGHGMKSKPKDPAKRHHLFKKRFG >CDP14965 pep chromosome:AUK_PRJEB4211_v1:8:8611494:8615881:-1 gene:GSCOC_T00042478001 transcript:CDP14965 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSVPVESSSINVVVVISKSSEFPNDALFLEISRILKPGGTVLVHLTSQSTPLQETKSSLERKLLLAGLLDVKSCEAGQSIGKIGSSFSLKKQTKSLPAVQINDDTDLIDEDTLLSEEDLKKPQPPVLLKCDCEVGKARKACKNCTCGWAEVEEKVKLGLTMDQLNNPQSACGNCGLGDAFRCSTCPYKGLPPFKMGEKVTSSQNFLAADI >CDP12226 pep chromosome:AUK_PRJEB4211_v1:8:31197768:31199826:1 gene:GSCOC_T00035649001 transcript:CDP12226 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >CDP12253 pep chromosome:AUK_PRJEB4211_v1:8:31403151:31405073:-1 gene:GSCOC_T00035682001 transcript:CDP12253 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYSSSSSSSGASPQVSTEDIMDQVKLQLAQAYAEEFLETLRGKCFEKCITKPGSSLSGSESSCVSRCVDRYIEATGIISRALFRTSS >CDP18499 pep chromosome:AUK_PRJEB4211_v1:8:22923488:22924178:-1 gene:GSCOC_T00009076001 transcript:CDP18499 gene_biotype:protein_coding transcript_biotype:protein_coding MVISQLHSHPESLFLYLNTLVEVHTTGNLKFSCLRKYGSLHFPSGRMAKHQSDRIKTFLEELYDFPKLLRSKPIQLTDEVTEQYLEVSISSTVDEVLERRDSCHHVILSSYRVENCSRLCQEYGIVDAASFLLESVGDVGSALMLILSGLNEKFIVLEASIISLVFQLLF >CDP07196 pep chromosome:AUK_PRJEB4211_v1:8:10479014:10484005:-1 gene:GSCOC_T00024364001 transcript:CDP07196 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKNGQEEKIPSWFCKVFQISICILCYRAKMMNSTFLNTPCNAGPIKFDCVGITFTPAGLADCSFSCLRPSSSVRLRRRSYKIRASDAEYETAVVAGNMPEATQDERGIMCEPCGGRGWLVCDFCKGQKTNVKAENRRIYRRCPSCRAVGYILCSKCKVFKCVTFPDASDGVDLVL >CDP08319 pep chromosome:AUK_PRJEB4211_v1:8:25969174:25973527:1 gene:GSCOC_T00027115001 transcript:CDP08319 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEPLKDLGAGNFGVARLVKDKKTKELLAVKYIERGKKIDENVQREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFAKICSAGRFSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRLKICDFGYSKSGLLHSQPKSAVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKSIARIMSAQYSIPDYVRVSADCRQLLSRIFVTNPSKRITIPEIKQHPWFLKNLPKELIEVEKAKNKEPDPHQQLQRVEEIMRIVQEAKIPGDGTKSAAQTTAGSIDPDDLESEIDNSGDFGVRV >CDP14475 pep chromosome:AUK_PRJEB4211_v1:8:13384843:13387140:-1 gene:GSCOC_T00040977001 transcript:CDP14475 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEKEFSDLREFCCPLTDRMLKLYLPEIRGKRCWGSSDGWLVTIGADFQMCLLNPLTRKQILLPPLHKCSNLNTLICSPEEFRDYFVCKVVLTSSPASPSCVILAIYSDFAKMALAKLGDESWTPLQSSSCLFLDVIYFGGQLYAIDSLGNIMIYSICGSCIETIPSNLIQSEDELEDRLLYLVDIGGQVHVVQRYVSEILTTQTPERKTWKFEIYKLEAVSHKLEEINCLGDWSIFVGNNHSFAVSTSDHPECCSNCIYFTDDYSGISDPISHGYDVGIYIFENHKIQPFIGEDVSPARFSVPLWFRPVLV >CDP08367 pep chromosome:AUK_PRJEB4211_v1:8:26442892:26444199:1 gene:GSCOC_T00027173001 transcript:CDP08367 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEALDSSTYPMVGGDGPHSYARNSNYQKESLDSAKQMMNELIDQHLDPGNHLYSFNPGNNSFRVADFGCSVGPNTFRAVHNIIEAVENKYKSLPVETEMPEFHVFFNDHVNNDFNTLFRNLPATGRYFAAGVPGSFYGRLLPSSTLHFAHCSTALHWLSKIPVDVMDKNSPAWNKGRICYSGAAKEVKDAYSTQFGKDVDSFLRARAQELVPGGLMMLVADGLPDDVHMCESSIGENLNVLGSCFLDLAKMGMIAQEMVDSFNLPFYYPSPSELKTLIEVNGLFEVKKIEKLDSSSAKKGVQLDVDVCILHMRAVLGELVKKHFGEGVIDILFERHREKYIGNPVLSDERYIKDASYVVFLKRKIKVTS >CDP11705 pep chromosome:AUK_PRJEB4211_v1:8:5103475:5103717:-1 gene:GSCOC_T00034165001 transcript:CDP11705 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTRFGLGTALVVQAANVYPDAYALYFHVRFIFLLVSLIGSILVVTWHRFCVPRFWGFYLVGLYVIFMILSLIIAMFWV >CDP09895 pep chromosome:AUK_PRJEB4211_v1:8:28349328:28352974:1 gene:GSCOC_T00030377001 transcript:CDP09895 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKVCVREMLSNATLDSVYDLRRRELRQTIKFLYRQAGKPVNVGEQMFLTVLNVITNMLWGGTVKGDERASLGAEFRQVVNEMTGCLGAMNISDFYPALARYDLQGVQKKTRILAGRFDKIFERMIDQRVNAVGDDGKESKDFLQFLLQLKDEGDAKAPLTMTHVKALLMDMVVGGTDTTSNTVEFALAEMLRKPEILKNVQQELETVVGKDRIVEESDIQKLPYLYAVMKEVLRLHPVLPLLIPHCPSETCIVGGYTVPKGSRVFINVWAVHRDPSIWENPSEFRPERFLDGKWDYSGNDFNYFPFGSGRRICAGTAMAERMFMFSLASLVHSFDWKVPEGEHLNLEEKFGIVLKKRMPLVAIPIPRLSDAFLYE >CDP12031 pep chromosome:AUK_PRJEB4211_v1:8:29679964:29684444:-1 gene:GSCOC_T00035390001 transcript:CDP12031 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIGSPRLPLPKGKYRWSCIWGLVDMFDFRTGRTHQKRLSNGRTRHKHDAQHSRKVDTLADFCEKGQGIEDGAGLGNHAVDAYKTKVKDTVQEEFPTEKQIQKLLKAMKQHQGKSDLADNDKASKSLKKASQMLANHRKERDHEGHHPCSCSDELSLDNHKFAAMLEEIFSQIHQDGRFNGDIHRSLIPSRFKQLDEINVQLLQMSAKAFIDQIYIKRRYTSKDAVSSKSEPFSDASEILHVNRDLFLKLLQDPNSLLVKHIQKLQFPPREKKGINSSPSSHIQKRNANKLLWQKLKQRYGFSSKRSTSSASNAIVVLKPGSNGRKMPENVSCHCSSLQSHHSLKNKRENSKSTYFSLKEIKRKLKGVGGESEREQRSISLGDGLNQLYRNKNSLKYVENGISPIISKGESRSVNDAKRMGKQPKPKGLISHKGPEIDFKNVSECNSSTTSCSNQQSDIFIEAKRHLSERFRNLNLAETLPRKQTPRTLQMILSLPDHDYLFTRSPKRDTSASASMLMRFFPYSDIEKGKGVSWPSPQKHNEEVQLSADSGSDDQMKTFEIRPNIPEKISDDIEGRENICATGDDLKPTGCMNDTEENESLLPGNMNILEVPCERDKVDRTCCGPSTESTKLLYDNGYKSSSLADSSEDHHHRSLLRPASSPLDISAIEIVDACKYREGHPSPVSVLDPFSSEDANSPTSTTAKQDESQLQPRRIDFDDHLQVESLEDDPKVDIPASTDEEEYMSACIRAVMQASNFDFEELSAFSPCLPHVLNNLYSADSVGLFRVEANCELNLLLDCIHEVLLGIYSCYFGCFPWLSLLKPNIRPAPLEANVIEEVVKEVNCYISPKLGQPTLDQLVGMDMAKFGLWFELRPDAEDIAIQIAEDVLQESMMDTILELQI >CDP07833 pep chromosome:AUK_PRJEB4211_v1:8:18153822:18165349:-1 gene:GSCOC_T00025276001 transcript:CDP07833 gene_biotype:protein_coding transcript_biotype:protein_coding MVREHGWQLPAHTFQVVAITVYCLLVVAFFAFFAPFLGRNIWEYALVAGYSPVATLVFVLYVRCTAINPADPGIMAKFNSEPRNKISISNGLSAQDLPQKFDEHSVGARSSVSSPSRSSVPAANSSKRASLEAGSTNMQVTSPTAKSSCWHPGKIFCAVFVHEDCCNHDGIDDPEGAGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYVTFIALMALSLFWLVIEASVGIAVLVRCFVNKKNMEAEIVDRLGNGFSRAPFATVVAVCTAVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGPSMDEDLPNIMYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQHKCLAIAGRSSTSIRTWNGSVNN >CDP07879 pep chromosome:AUK_PRJEB4211_v1:8:19481954:19483647:-1 gene:GSCOC_T00025364001 transcript:CDP07879 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPFNFVAFFLFLSFLLALIKGLKRSKTAQKLPPSPWKLPIIGHMHHLLGSPPHHALRKLAQKYGPLMNIQLGQMTAIVVTSPRLAKEIMKTHDLAFADRAEFLSGKIMCYDCSDIACCRYGDYWRQMRKICTLELLSAKSVRSFVSVRQDEALHLISSIKVLAGAREPIDLTEKVSSYTSSVVCRAAFGKVSKDDHAAFLQLMKEALPIASAFDISDLLPSFKILHPLLSVESKLLKMHDKEDEILEKIIDQHIDNQARRNISTGEYGQEDLIDVLLRVKESGELQFPITKNNIKAVIHDVFGAGTETSSSIVDWAMSEMIRNPGVMAKAQSEIRNAFRGKNTIEETDIQQLQYLRSVIKETLRLHPPIPLLIPRECREECEIDGYIIPVKTKILVNAWAIGRDPEYWDDPECFKPERFEESPIDFTGSRFEFLPFGAGRRICPGISFGLANVEVALALLLYHFDWKLPNGLDTKDLDMKETVGITASRTNNLRLLATSYDTSSVNCDP >CDP09871 pep chromosome:AUK_PRJEB4211_v1:8:28529220:28532813:1 gene:GSCOC_T00030351001 transcript:CDP09871 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVWAWLLFFFIIIGVIVMVVFQLMCLADLEFDYINPYDSASRINKVILPEFITQGVLSLLFLLTGHWIMALLSIPYLYYNFTLYNRREHLIDVTEIFNMLNREKKKRLFKLGYLMLFLFMSLFWLIYSALEDDDHFA >CDP12118 pep chromosome:AUK_PRJEB4211_v1:8:30399471:30403021:1 gene:GSCOC_T00035508001 transcript:CDP12118 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPESPYAGGVFLVTIHFPPDYPFKPPKELQKFSRKSCSLQFVDTRYFISNVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >CDP08273 pep chromosome:AUK_PRJEB4211_v1:8:25551014:25554508:1 gene:GSCOC_T00027051001 transcript:CDP08273 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSLLWVTVVQIFLVIAYQGTDGASDRVESILDYIPTEERGRTAYHFQPPKNWMNDPNGPMYYKGIYHLFYQYNPYAAVWGEGILSWGHSISYNLVDWIHLEDALDPTDPYDIRGCWSGSATILPGGDPAIMYTGVESTNRQVQNIAVPKNLSDPFLLEWAKLDQNPLMTPVDAIGSEFFRDPTTAWLGKDKRWRVVIGSEINGHGTALLYQSEDFVHWAKSHKPLHFSNKTDMWECPDFYPVSTNDTKGIDTSGLGRTTKHVLKASFFGHDHYIIGTYDSETDDFFPDTDFMDSNVKLRYDYGIYYASKTFFDGAKRRRILWGWVKEADDQSDDISKGWSGLQSFPRTILLDKSGKQLSQWPIEEIEGLRKDEVNLQNKEIEGGNIFEVTGITASQADIEVSFHLPNLDDAELTHPEWLDPQLLCSEKNASTGGVIGPFGLLILASENLTEYTAVFFRVFKGHDKYAVLMCSDQSRSSLREEVDISTFGAFVDVDPAEKISLRSLIDHSIIESFGGEGKTCITSRVYPTLAIGQESHLYVFNYGTESIRIANLSAWSMRRAQFFQSTKEEKPKLIEE >CDP12261 pep chromosome:AUK_PRJEB4211_v1:8:31489048:31489749:1 gene:GSCOC_T00035697001 transcript:CDP12261 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGEVAYQIYPARLTLHVLICFCASLQSSFLALFWGRNPRTCKLHWNAQLVAILYCGVVISALAYYLQTRGISNMGPVFAAMFSPLLLLVAGIFSAIVFTEQFHLGRYVKLAEPKLLRQSELL >CDP12989 pep chromosome:AUK_PRJEB4211_v1:8:2873519:2879754:1 gene:GSCOC_T00037712001 transcript:CDP12989 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEQDGHRGNEIEESRSNSTAEITLKKIGPSPPSRLIVPSSIKVHDLRKLIAQNGDLPSENLTLIWRGNVLHDNKNGNDVIIQLKNGDSLIVATKPKPPPKHADDGLDDDDDHELRFQLPQSVTGWKRRLFIALREKLKLPDILLMAMVSLSVKMWILIVLWFILAPVAQKLDLGPLYVLATGFAIIFYNLGQRQPGDVSAYSIFNEDFRELPGTLNAERLDRDIRAGQF >CDP07904 pep chromosome:AUK_PRJEB4211_v1:8:20646924:20647646:-1 gene:GSCOC_T00025404001 transcript:CDP07904 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKPEAELHSGPRSIPRISAPTVGPSIAPPLPVQAPYFQPLNFPPNSSWDMRGLNNHMPRNPISPREQSDGSGSDPEHRGILLPGGHLVKLLESEDVKDFSHICKPLFKKAIWLRPKATRSCSKEIKKLDL >CDP07911 pep chromosome:AUK_PRJEB4211_v1:8:20791857:20797832:1 gene:GSCOC_T00025416001 transcript:CDP07911 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCCWGGAIISCPKSGPRNILVDFASTGSRRRRVELGSYAKTTKPRFVVRAAMVDSYEGSSNFIQRMERAWLISQQPRPVACSSCNSNGHVDCKWCNGTGFFIIGDNMLCQVPSRNTSCVICAGKGSMRCSDCKGTGYRAKWLGEPPIAK >CDP13003 pep chromosome:AUK_PRJEB4211_v1:8:3029906:3039017:-1 gene:GSCOC_T00037730001 transcript:CDP13003 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVNLFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKAAERPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQIKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTSFQVTSGRAKYNASIDCLVWKIRKFPGQTEPTLSAEVELISTMAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >CDP11694 pep chromosome:AUK_PRJEB4211_v1:8:4840562:4843804:1 gene:GSCOC_T00034152001 transcript:CDP11694 gene_biotype:protein_coding transcript_biotype:protein_coding MAYERKNFRLASSSCFLFHTLFHIFITASAAAIHFETSNETDHQSLLDIKGLIKGDPFQALSSWNDSIHFCDWRGVTYGRLHQRVTVLNMSSFHLVGSLSPSMGNLTFLRELNIQDNNFHGTIPEEVSRLFRLQYLRFANNSFEGELPLNITGCSELSILDLRGNKLIGRIRDDLSTLSKLRALSLSRNNFSGSIPSSLGNISSLQILSISRNNLGGNIPAEIGRLSNLHVLELSSNKLLGAVPPQLYNISTLQIFSITNNLLSGQFPATVGLTLPNLTLFLADLNQFFGSIPTTLANASGLIKISIGDNSLTGPIPQNLGSLKELQVLHFGHNPLGTDKANDISFISSLTNCTNLQILSLSRIQIGGMLPTAIANLSTKLTSLWLNDNIISGSLPSGIGNLASLGYLDVRNNSLSGTIPDSVGKLVKMQELYLSENSFTGEIPSTIGDISELQILVLEQNMLTGNIPVSLSNCSNLQGFTVSQNRPSGVLPKELLGLSSLSIGLLLAQNQFTGSLPSEVGNLKNLVSLDISENKLSGEIPTSIDGCEMLEYLRLKGNFLEGFVPSTLGELKSVQVIDLSQNNLSEQIPASLAKLKFISTLNLSYNMLEGEVPMDGIFANSSAFSALGNGKLCGGIKALNLSSCPKPTKKKAKLSTPIVIVIAITIPLAIVLLLISAYAIHRLRSSKQQLPFTSAAEKQNQKLSYAELYDSTNGFSSENLIGEGKYGSVYKGVLKPGEQMVSVKVLKLHQHGAHKSFLAECAALRNIRHRNLVKIITSCSSLDFKHNDFKALIFEYVPNGSLENWLHPSSAEEEGQSLMKLQLIQRLNIAIDIASALDYLHNHCGTPIIHCDIKPSNILLGDDFRALVSDFGLAKVLSSIEGKSHQHQSSSVAIIGTVGYVAPEYGMGGEVSTLGDVYSYGILLLELFTGKRPTDSMFTEDFSLHSYVKTALSHQVMEIVDPKISMEAESIAGIITKTSKGGSISQEECYLSMFRIGVSCSSEIQRDRMNIKDVLSGLQAIRNEFVQVNEMRAM >CDP18803 pep chromosome:AUK_PRJEB4211_v1:8:223438:228300:1 gene:GSCOC_T00005579001 transcript:CDP18803 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVLNDFFFFFLVVNFSREKEKKKKRRRRNRFQFQTITICKYFFSIYSFRTGQPQKYKRAKGLRLLASGSKLLCSPLPLCGTAGGGYFSNFLEEVETSGGGPPAQSAAQPSGNSHSPLLDDCLKLLRGERDEQRLAGLLLVTKFCNKQDHSTILQVYRAVGPKFLLRLLRTGMGKVGGGGGGGAENRDAYLQLSVTVLAAFCRVPEIAASQDMLSLIPLFQEIISTGSASSVIEDCYEFVYLVANTHEDGVRALYDSGSMQLLASQLSTLPDGSPIVELAMRLVQLIISRLPAEKVFIEHPSELAILVVGLARLFALLQTALKFEALHLLSAILSSQCSAPVRGALNSMANSTWSTDMRVGIVAVLNNRVAPAEKLQALILAECTISIVGEEWLIGSVKLPDVQDPIPVDRCMLLVLGTSRVEIAVLLNELARLRDEASKSHSLNAEVIHMKQRNLAICYSLVEKVIKLVSKFGGDEDLHPDATISDSTLTMIISGLNETISVVLDYLEDVKDHGENKGDDLLASVRLIGSYLAETPHACGEKVKALLGYMLSIQGEDEASPFYSICFLIPMLCQITMRTDGCKLLASSGAYGAVIEYLIRLIAPTSSTVEDAGSVSLACDTILNFLMKREQIAFTFSGASFIKLLSALSHWTEDRGDPDSLMMASSICALILDSISEETLIRHPDLSNDDLVSLSQLMKRSLAMCGKGMMSDEESDLYQIVHACYLRWVDRFPRIKELIER >CDP14953 pep chromosome:AUK_PRJEB4211_v1:8:9139895:9141406:-1 gene:GSCOC_T00042457001 transcript:CDP14953 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQHLYLHPYPHPFSLGFYREPISLLLPSAAQNKSIQFNLNAHQISAKKCQMKIEISMPGAHLATVEAKRRRQTGCYLLSQLQNCALAKFQKLPLIKFF >CDP12219 pep chromosome:AUK_PRJEB4211_v1:8:31133676:31135919:-1 gene:GSCOC_T00035637001 transcript:CDP12219 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQTLSAPPSSSPTLLSTLKPSLLSVLKPPINLHRHHLKLLTRKVRNGKCRAEFSADTPIGVAIGACILNSLAFPIPSSPEDDDEGDSVIDSADARFAVMGIISFIPYFNWLSWLFAWLDTGKRRYAVYAIVYLAPYVRPNLSISPEDSWLPIASILLCIIHVQLEATIKNGDFQGFQLFSEVAKHLPSNAANDDEEKENDNMNLPSAQERYRNNTGNSGKPRRKPFKDSTSLDTEDGEADEGKKH >CDP08299 pep chromosome:AUK_PRJEB4211_v1:8:25808122:25810592:-1 gene:GSCOC_T00027089001 transcript:CDP08299 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTEDPTSLTVAAQKKEVEGEESATAGAPGTEDEDTGAQVAPIIKLQEVAVTTGEENEDVLLDLKAKLYRFDREGNQWKERGVGTVKLLKHKETGKVRLVMRQSKTLKICANHLVLPSISLQEHHGNDKSCVWHAADFADGELKEETFAIRFASVGDCKAFKEKITEVAESVDNKAGESEEATTTADLLNKLSVSSKDQGEKHEGKVASAALEKKDADEKLENPADDYVLV >CDP18495 pep chromosome:AUK_PRJEB4211_v1:8:22859097:22864002:1 gene:GSCOC_T00009068001 transcript:CDP18495 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPITMLRFRSSTLANIFLFLSVAMNFSVSHVSASKKFQNETDRLALLEFKNQIYDDPFRVLNSWNHSQHHCQWEGVTCSTRHHRVIALILRHKQLSGTLSPHVGNLSFMRFMELGGNQFHGGIPQDFGHLFRLRVLNLSGSALGGKIPANLSYCSELITISLRGNKLEGKFPIDQLSNMKKLENLNLLTNNLTGQIPSSIGNLSSLIRIGLDFNNLEGNLPMEMGLLKRLVLLGVAGNKLSGIIPASIFNNSAIMIISASDNSFHGNLPTNIGLTLPNLNALGVGKNKFYGNFPTSITNASGLEVLDLSKNKFAGQIPTNLGDLKQLQILYLNDNLFGSNSTGDLDFIASLTNCSNLRITDLGGNKFGGNIPKVTGNLSNQLTTLYLGGNQLSGTIPEGFGNFFNLFVLGLQENILSGVIPRDFGKLQNMQGLSLHQNELSGQIVSTLCNATALYYLDLSFNQFEGGNIFDNVLMNCQNLQYLDISHNKFTGIISPHFLQTHSSLTYLKFGENLFIGSLPPEVGKLIHLVDFNVSHNQVSGDIPMSLADCSNLENLSMQANFFQGTIPPNLASLKSIQQIDLSSNNLTGSIPKELEKLPFLRYLNLSYNDIEGEIPNRGIFINANQISLIGNNKLCGGIPELEFPPCPVIRGKNRGKLKVIILLSILLPATLLVLGTALLYFFVCQKGERKMAAGFFSMPTRVDKLLRISYHELHRATSGFSPENLIGSGNFGAVYKGRLEKHGSKLVAVKVLDLQKNGASKSFKAECKTLRNIRHRNLVSIVSYCSSIDSKGDEFKALVYEYMENGNLDLWLHPETADQATSSRSLSLSQKLNIAIDVASALQYLHNHCEAEIVHCDLKPSNILLDNDLVAHVGDFGLARLLPKPTNISSEQGTSSTIAMKGTIGYAAPEYGMGVAASTLGDVYSYGILLLEMITRKRPTDDMFMDELDLHNFVNRALPGRVYEIVDPLLLEMDSVISLLKIGLKCSEKSPNDRMHMNEVVGKLHHIKGVFLGVRAYQKNLEAS >CDP08314 pep chromosome:AUK_PRJEB4211_v1:8:25917351:25923395:-1 gene:GSCOC_T00027108001 transcript:CDP08314 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHRQKIFHWTSLPIRQPHPHHPAGGMVRENDGVEKKKRKRNNGGGEEGEQEKKMYRGGGGSKAVPAGFVLEGDRKRINEALDKHLERSSPSTSVTTTTTATGRALNGKDHHRSSSMFKTNSDNHHFKDAKASDAEESETDSEESDVSGSDGEDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHEEQNELVESAAEMLYGLIHVRYILTTKGLAAMLEKYKNAEFGRCPRVFCCGQPCLPVGPSDVPRQSTVKIYCPKCEDIYTPRSRFQENIDGAYFGTTFPHLFLMTYGNLKPQKPGQGYVPRVFGFKVHKP >CDP16162 pep chromosome:AUK_PRJEB4211_v1:8:23626842:23628826:-1 gene:GSCOC_T00017244001 transcript:CDP16162 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVFVKPTRKRITCMQKENTINKKPKRESCPPMKVKIEVDEMQITCYDEMMEKNVESSHADSDKTKSSPQENRKQIMCYSKNVETSSDSSMAGSDKAKSTALLDRANEFVAKLPSDDPNFVKLMLPSQVSGGFWLQWPKDFCQKHMPKKDEYIVLLDENEKEYDIKYLAQKSGLSGGWRGFSIKHQLSKGDVLIFQLVDSNKFKFKVHIFKAKGGSRKLERDNGLLNSEGKVASEIAKDVKETESFNILWNGEVIDSEISEHVRSQYYQLCCSKKSGLHDGLVKCIDKKLAAGIISETVKIADAIRAAEITTTSCNDVRRWDQTLKGFEGMGMNVAFLRAAIKNILDSIQRKQQEKIKRKTNERAQAEKEMRNLETQLVNVKKKIRVLDDEINNVIRAQNEKHEHSFKEAAIAPS >CDP16180 pep chromosome:AUK_PRJEB4211_v1:8:23843684:23844197:-1 gene:GSCOC_T00017267001 transcript:CDP16180 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTTRGRKKIEIKMIDDLSNRQKLQLVHSLGKGVFAFGHPNIDAVINKYTTASASSSCVADRNTSLVAEIQEHNQHYAKVSEELEIERKRKETIEGSKVENNGSFWWDEPIDNMGLEELQQYKASLEELKKTVLIRADDIDAVER >CDP09737 pep chromosome:AUK_PRJEB4211_v1:8:29604277:29606682:1 gene:GSCOC_T00030189001 transcript:CDP09737 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRFTQMPPSDDEDDGPPPPPPLPRRSSANGDEEKTNQRKRKKMKLVDEEEDEEKRVKEDSVRDRKKQKKVIKEEEATAAEPSGDEEEAPQEDAKPIGDVIRASGKGRGRRNHYEAFEYDGMRYDLEDPVLLVPEEANQKPYVAIIKVCVNCHKSCCTS >CDP13509 pep chromosome:AUK_PRJEB4211_v1:8:765050:766323:1 gene:GSCOC_T00038473001 transcript:CDP13509 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRKFRGVRQRQWGSWVSEIRHPLLKKRIWLGTFETAEAAARAYDEAAILMNGQNAKTNFPVVKENPNEPSPKTSTDHQDSPPLSVTAPPAESLSEILSAKLKKCCKDPAPSLTCLRLDNSQIGVWQKRTGRHSGSNWVLKVELGKNKDHQNSTQQASLCSSSSTTTATTTSSSSGSSTTSSVGMDEENRVAMQMVEELLNWNCPPPYLTNP >CDP14980 pep chromosome:AUK_PRJEB4211_v1:8:8066371:8068054:1 gene:GSCOC_T00042499001 transcript:CDP14980 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGPKLPFIDFSNQELKPGFPLWNSLKIQVREALEEYGCFEASYDRIPIQLRKSIFDAVTELFDLPLQVKVKNSNGKPYHGYIGQSPLFPLYEGMGIDEANVLEHAESFSKDMWPEGNSEFSKTIQNYAEQLSELDQMVRKMVLEGLGVEQYIDEHLESTHYLLRVIKYKGPQTNETKLGLPSHRDANLVTILHQNQVQGLELQKKDGQWIDFKPSPNSFVVMIGESFHASLFNKFCSAWTNGRLHPPNHRVLMTGNEARYSVGLFSFPKDGYMVKAPKELVDETHPLLFKPFNCPDYLAYIYKRGKKVDSSLKTYCGA >CDP13441 pep chromosome:AUK_PRJEB4211_v1:8:1349945:1352360:1 gene:GSCOC_T00038391001 transcript:CDP13441 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRTQFERLRQKTREGENQERLRQETREEEMGERLNDAAVMGDAERHLRDAAVKGDAATLKRLIREDPLLLDKVSLNCQDMNPLHTAASFGHVEFVQEILEVNGEMCLARDPRGRNPLHLAAIKGRVPVLQQLIRAKPLAAREKVAGGGTVLHLCVQYNQLEALKFLLQTIKDDEFVNLKDGDGMTVLHLAMCDGQNKTIKYLLDDKKVDVNARNANGNTALDLLHEEADSEIAQSLKDAGAERAKKDIAGSDWLSKKRETLMVVASLIATMAFQAGVSPAGGVWQDDSLPGAEPHTAGEAVMAYKHPRYYRNFIRTNTVAFVSSLSTILFLISGLPFKNRFFMWALMVIMWLTISAIATAYGISIAIVTPKDHRKQLSHVIETAVTVWCGVMALLLLGNTMRLVNRWLRRHGIDLFKKVRHRNRDVQPQTNHDQESLQQIHSS >CDP12182 pep chromosome:AUK_PRJEB4211_v1:8:30857610:30860918:1 gene:GSCOC_T00035589001 transcript:CDP12182 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAGTFSSSSILKNSESGRNGSSLCQYSGLRTVDSFKLDSSKPKGFISRSASKCRGIRAMASPTVSAPKRETDPKKRIVITGMGLVSVFGSDNDTFYSKLLEGESGISLIDRFDASNYSVRFAGQIRDFSSKGYIDGKNDRRLDDCWRYCLVAGRRALDDASLGQEVLETMDRSRIGVLVGSGMGGLTAFSNGVEALIQKGYKKITPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEADIMVAGGTEAAVMATGVGGFIACRALSQRNDEPEKASRPWDKDRDGFVIGEGSGVLIMESLENAEKRGANIIAEYLGGAITCDAHHMTDPRSDGLGVSSCITKSLEDAGVSPEEVNYVNAHATSTLAGDLAEVNAIKKVFKDTSEMKMNGTKSMIGHGLGAAGGLEAIATIKAITTGWLHPTINQYNLEPEVTIDTVPNVKKRHEVNVAISNSFGFGGHNSVVVFAPFKP >CDP12133 pep chromosome:AUK_PRJEB4211_v1:8:30519796:30523120:1 gene:GSCOC_T00035527001 transcript:CDP12133 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYCGEPPGVRVYTVCDESKYLVVKNVPALGCGDELLRLFSTYGQIEECKPMDAEDCEPFTDVYWIKFHQVDNARFAKRKLDEFVFLGNRLQISYAPHFESLSDAKEKLEGRRNEVLARLKPRSSNASAAYGHGPSARDQSLGLQQREFGDSHYTARSGGSASMTHVSSDKEYFPLESMNQTVRLVREKLNEIQSSTDNSEVQSSKRPRVDNRRRI >CDP11698 pep chromosome:AUK_PRJEB4211_v1:8:4954549:4957533:1 gene:GSCOC_T00034156001 transcript:CDP11698 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIFANSSAFSVLGNGKLCGGIKALNLSSCPKPTKKKAKLSTPIVIVIATTIPLAIVLLLISAYSIHRLRSSKQQLPFTSAAEKQNQKLSYAELYDSTNGFSSENLIGEGKYGPVYKGVLKPGEQMVAVKVLKLHQHGAHKSILAECAASRNICHRNLVKIITSCSSFDFKHNNFKALISNISAEEEGQSLMKLQLIQRLNIAIDIASTLDHLHNHCGTPIIHCDLKPSNILLGDDFRALVIDFGLAKFLSSIEGKSHQHQSSSVAIRGTVGYVAPEYGMGGEVSTQGDVYSNGILLLELQKLVYLNKLFTGKRPTDSMFTEDFSLHSKVKMALPHQVMEIVDPKISMEAESIPECYLSMFRIGVSCSAAIQRDRMNIKDVLSGLQAIRNEFIQVINESQMR >CDP12167 pep chromosome:AUK_PRJEB4211_v1:8:30774304:30777993:1 gene:GSCOC_T00035569001 transcript:CDP12167 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDSGEQLRREMVMASERENFELSGPLHLSSMDWKSTDYRRSVAACLVQGVYILERDRQEKRQGSEALAPPWWNFFHFQLCTPLVDEADSSTFGAIYELKHPMPDDKSSVNDSPRYVIAFRGTLTKGDAFSRDLQLNVHLVRNDLHQTSRFEIAIQAVRSIVATYGSSNIWLAGHSLGSALALLVGKSMAKTGVLLEAFLFNPPFVSAPIERIKDKKVKHGLRIASSVITAGLAFAMKNSHRKNETGDTFVALSEWMPCLFVNPADHVCSEYIGYFEHRKNMDEIGVGLIEKLATQHSLGGLVMTAMGKEAGEPLYLIPSAKLTVNLSPSQEFKEAHGIHQWWRSDLLLELKTYKY >CDP08193 pep chromosome:AUK_PRJEB4211_v1:8:24767236:24770850:1 gene:GSCOC_T00026949001 transcript:CDP08193 gene_biotype:protein_coding transcript_biotype:protein_coding MTELTLTFSKIPQLLLYFLLISLPLLVNSQASVTERSILLNLKEKWGNPGALQSWNSTSSPCSWREINCSGGGTVTGIHLSDKSISGAIPDFICNLKNLTSIALANNFIFQTFPTSFGNNFTGDIPPAIGNLTELKTLYLHSNLFNGTFPAEIGNLSNLEVLGMAHNQFSPAAIPPEFGKLSKAKFIWMAGTNLIGQIPESFSSLANLEHLDLALNDMDGGIPSGLFLLKNLSVVLLYRNWFSGPIPSVIESLNLTQMDLSINRLTGIIPADVGKLQQLQFLLLYSNQLEGEVPASIGLLPGLINFRIFNNKLSGVLPPELGLHSKLEAVEVSNNQFTGNIPENLCAGGTLFGVVAYSNNLNGGIPKSLETCDTLRTIQLHYNGLSGEVPAGIWTLKNMTSVMLSNNSFSGGLPRMVAWNLTRLEIDDNKFSGQIPVEISSWAKLTVFKAGNNMLSGPIPVQLTNLSQLITLTLDGNYLSGGLPSQIISWISLTNLNLSRNDLSGPIPSAIGSLPDLLDLDLSENQLSGSIPPELGSLKLTTLNLSSNRLAGKIPSEFDNMAFERSFLNNSHLCAINLISNLPSCNVKSQRSNKLSPRILAVVLVLVVIAFLVTAVMTLFWKKKQRCDLATWKLTSFQRLDFTEENILSRLTEGNMIGSGGSGKVYKIPVHRPGEYIAVKKIWSSKKLDHKHESEFLAEVQILGSIRHSNIVKLLCCISSEDSKLLVYEYMENHSLDRWLHGKKKKPSSLTTPVQNFVLTWPMRLKIAYGAAQGLCYMHHDCSPPILHRDVKSSNILLDSGFDAKIADFGLAKLLVKKDEPVTMSGVAGSFGYIAPEYAYTTKVNEKTDVYSFGVVLLELVTGREPNGGDEHTSLVEWAWKHYGEGKPIADAIDEEIREQRYLEVMTTVLRLGLVCTNSIPNCRPSMKEILQILHRCTPLEDNGGLKAGCDIAPLLGSAKYLSSYKCRSKKIVNEDDDSFSCSV >CDP12151 pep chromosome:AUK_PRJEB4211_v1:8:30649359:30653150:-1 gene:GSCOC_T00035549001 transcript:CDP12151 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHLDGHKTSTTKGTLLAKKARKARRKRLQIRRMMTAGLKPPLHFSEDKDACSCARKRSFDYKVATSKSNEAPADEDVSLKDKKLKGAAGNRSGDLGFSGSASKKSPAPGDGDNLPEGEVGEKEGVAGEVEDRGSMTRLICGSVSVIGRRRVMEDALTVAPGIVAGQYEFFAVYDGHGGARVANACRDRMHHLVEKELQANTRDKVLSSENESGVDWSQVMTACFLRMDEQVVGVRRLEVGEAERAVGSTAVVVMVGPEELVVANCGDSRAVLCRGGRAMALSNDHKPDRPDEKERVEAAGGRIIDWDGCRVQGVLATSRSIGDHYLKPYVISEPEVKVCKRTESDDFLIIATDGLWDVVPNDVACEVVRRCLNGQISKRLSKEPGAAAEAAAILAQLAIAKGSRDNISIIVVDLKQPTEQQSFH >CDP07203 pep chromosome:AUK_PRJEB4211_v1:8:10639653:10642251:-1 gene:GSCOC_T00024373001 transcript:CDP07203 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKKGALAYEERRHERLEQNKKKLEQLNLTKLSQALHSTISPKPSPMKKVKPKVARQPVDPSTIRRSSRVADKPPPNYKEIYLLFQLCNKRSVLRHFDRRSLPYFPGCSVVNLRSLYYLVLWQVPIEPLGRPRSYRYSRRDLSNRVYASDEDREYAHERAEVLQSGLDSKIPSFVKPMLQSHVTGGFWLGLPVQFCKTYLPKRDETVTLVDEQEEEYPTKYLAVKTGLSGGWRGFSIEHELVDGDALVFQLIEPTKFKVYIIRVNQAEDSSN >CDP08360 pep chromosome:AUK_PRJEB4211_v1:8:26352304:26354334:-1 gene:GSCOC_T00027165001 transcript:CDP08360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g16835, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G16835) UniProtKB/Swiss-Prot;Acc:Q9M4P3] MRSPRLRIRNEPFSFPFFRTFPRFIFLRYFSSSYLPAVENSDAFPKPTTLQSTPSPAPLHKLHLVPPCNLAPCDQRQMNYAVSMNKKITSFVRCGDLDSALKLFYKMAFRTTITWNSILAGFSRKPGKLKEAQQWFVKIPEPDTVSYNIMLACYLQNGELEAAGNLFSQIPCKDVASWNTMIAGFSRNGMMSEAKNLFLAMPRKNNVTWNAMIAGYVESGNVELALEMFQANPAKDVIAYTAIVTGFMRSGKVDFAEKMFLEMPVKNLVTWNAMISGYVENGRGEDGLKLFRTMLELGIRVNESTLSSILLGCSNLSFLKLGKQVHQHVFKSPLYLDITVGTSLISMYCKCGDLEDAWKLFLEMPRKDVVTWNAMISGYAQHGAGEKALSLFSKMRNNGGMRPDWITFVGVLTACNHAGLVDLGIQYFELMQKDYGVKPQPDHYTCMVDLLSRAGKLAEAMDLIKRMSCRPHMAVFGTLLGACRIYKNLEVAEFAGKNLLSLDPTNAAAYVQLANLYAANNRWENVSIVRRLMKENKVIKTPGYSWMEIKNVVHEFRSGDRLHPELDSIHEKLNELEKKMKLAGYVPNLESDLHDVEKQQKEQILLLHSEKLAIAYGLISLPPGEPIRIFKNLRVCDDCHQATKFISAIEPREIIVRDTTRFHHFKDGMCSCRDYW >CDP07824 pep chromosome:AUK_PRJEB4211_v1:8:17961327:17962776:1 gene:GSCOC_T00025226001 transcript:CDP07824 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGILLCGSICTDKKRKAVSVKLLLDMKMKQRTRKGFKSGGQLLLKLLLSLAGMFPKLPMGKVTSFVLQKFELAYLLFRPVVGYLVMIF >CDP08223 pep chromosome:AUK_PRJEB4211_v1:8:25106004:25106871:-1 gene:GSCOC_T00026987001 transcript:CDP08223 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSGQLYPLPANEASSSVLVATFSSTHSLNGKGSTGGEKLSGNGEVEKGLLTLISSYAPGLQVCDPNGRWYLADSGFVPGDLLLLTGKALSHATAGLRPAASHRFALDIPPGTSSGGRTSLVFRLMPQCNAILDCSLIAAAGHVIPQSYVPISVTQFMDDIIVLVMC >CDP12453 pep chromosome:AUK_PRJEB4211_v1:8:14957641:14959508:-1 gene:GSCOC_T00036027001 transcript:CDP12453 gene_biotype:protein_coding transcript_biotype:protein_coding MALDFIGISKINEQVALQEAASAGLKSMEQLIRLVSHQQQMDCRELADSTVSKFNRAMSSLNRTGHARFRRGPVNKPQNLGFQSSPVPSTSTSSQFHPCQDLNLSPAPPQQMLTLDFTKPNLVAPISNQVDPKATNCEVVAKDSFSISPPMSTSVNSSSFMSSITGEGSVSNGKQGSSSMFLAPAPALSAGKPPIAGKRCREHDRHSDSASGKTSGSGRCHCKKRKSRVKTVVRVPAISSKIADIPPDEYSWRKYGQKPIKGSPFPRGYYKCSTVRGCPARKHVERATDDPTMLIVTYEGEHRHTQGAMQENSTTSAGSGGTLVVFESTGYKEQRD >CDP18412 pep chromosome:AUK_PRJEB4211_v1:8:22429349:22431330:1 gene:GSCOC_T00007220001 transcript:CDP18412 gene_biotype:protein_coding transcript_biotype:protein_coding MHEMNTEIACFRLGGIHVIPVTSPEIAREFLKKQDSIFSSRPVCMSAELPSSKYLSAVLSPSGNQQKKMKKIVISSVLSPAKHRWLHGKRIKEADHLVNYILNQCNNSLTGGEVNIRIAARHYCGNVTRRMFFDKRFFGRDYVPWMRSFDMDGHEKILSMAVGSVRKYQDPEIDRRIEMWKNGLKKEEEDLLDVLIMLRDGTGRPLLTTEEIKAQIMELMLAAVDNPSNAIEWVLAEMLNQPELLQKATQELDTVVGRDRLVQEFDLPRLKYIKACIKEAFRLHPISPFNVPHVSTQDTIVGGYFIPKGSHVLLSRLGLGRNPRIWEDPLKFKPERHLEDLDEVKVDFNNQELHFLSFSIGRRGCPGVQLGSTMSIMLLARLLHSFTWEIPRGLSRIDLTESPLFAIAKPRLAII >CDP12138 pep chromosome:AUK_PRJEB4211_v1:8:30550159:30555640:1 gene:GSCOC_T00035532001 transcript:CDP12138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MEETLLTLDQDTTCTAWNYSGQRLAAGSINGTLAIYDSKDPASSSFTCTSRFKVQETSILKIVWVPPEFGDAVACICSDGSYSLWEEVVEDADILQWKLCKRFDRNSSRVLDVQFGVFATCLKLVAAYSDGQVKIFDLLDPLDLINWQLQAEFQNVIESTSKFGRPSCLSAAMCWNPQRGELQQSSFVLGFNSDILQLNSSKVWEFDQDHQRWLPVAELALPEDKGDQVFAVEWAPNIGRPYEVIAVATCKGISMWHVGSNHDPNGRLSVERVALLSGHNGEVWQMEWDMSGMTLATTGSDGVVKLWQSNLNGVWHEQALFEPTS >CDP11700 pep chromosome:AUK_PRJEB4211_v1:8:5069753:5072536:1 gene:GSCOC_T00034159001 transcript:CDP11700 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVVGFLINQLSTLLSQESTLLGGLRADAQYIKDELGSMKAFLRQAEAKEDNDSQLQEWVKQVREVAYDTEDVLDDFAFRFAHGDADGFFGRVGKIYNSIKNLKARHRISLEIKDIKARVAEISARRHRYQLPQSTQEIGSSSSHVANADCDIRDQALLIEEAKLVGIDQPKKELISKILDDHSHLKLVSVVGMGGLGKTTLVKKVYDDAAVKKQFQSHAWITVSQNFQFKVIIKNLIQRLYEEIRQPVPPQVESMDGIRLSEFVKDFLKERRYILVLDDVWSLDAWEAIKYVLPDYNIASRVVLTTRIIDVASASCLASHDFIHIMKSLSYEDSWTLFCIRTFQSNGCPSNLEEVCRKILKKCEGLPLGIVTMGGVLALKDKDRIDEWEMIFCGFGCEMDGSSKLDRIRKILLLSYSDLPHHLKNCLLYLSIYPEDHPIDVVKLLDKWIVLGFIEKEEGMMATDIAMRYLKELINRSLIQVKDTRVDGGLKECGLHDFLREIIVSKSKEQSFTTVSTGYCTRWPDKVRHLAIHNFTDNPPQGFSSLKCLRSVETFGYEDPLTTSFLSKFLCGGPKFLKVLNLTGAELDNIPKEVFKLFHLKYLVISSTRIKVIPKSIGQLQNLEFLILAQTTIMELPVEILKLRKLRTLTVGKEGDYSNNFALWGFKSPDGIGKLTSLESLECIEVNNGKIVREIGKLVQLRQLSITKLRREDGKELVSSLSRLTNLRELYICSIKEDETLDLQHSVSPRLGFLTWLGLTGRLERVPEWVISLQSLRTLLLHNSELSEDENAIDCLGHLPNLVKLTLYRAYEGETLCFKAGGFRKLRQLGLVQLKRLKWVRVEEESMSSLQEFVIMGCKLVESLPLGLQNLTKLNVLGLADMSDDLIHEVQNLDKQSEDYQTVSHIPQVWTGHRINGRWKTKFL >CDP08301 pep chromosome:AUK_PRJEB4211_v1:8:25825560:25833340:-1 gene:GSCOC_T00027092001 transcript:CDP08301 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKETTNSKYQQFKRQPRLPKFALPKRYDLKLKPDLTACKFSGAVDISVDVVSDTKFLVLNAADLSVRANSVHFTSSSNKAFDAVAVELCEEDEILVLEFAESLPIGVGNLSIAFDGTLNDRMKGFYRSVYEHNGEKKNMAVTQFEPADARRCFPCWDEPACKATFKITLEVPSELVALSNMPILEEKVNGNLKTVSYQESPIMSTYLVAVVVGLFDYVEDQTPDGVVVRVYCKVGMVDQGKFALDVAVKTLGIYKEYFALPYSLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDDKHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADALFPEWQIWTQFTDESTEGLRLDGLSESHPIEVDINHAAEIDEIFDSISYRKGASVIRMLQSYLGAECFQRALASYIKKYACSNAKTEDLWSVLEESSGEPVNKLMNSWTKQKGYPVVSAKLKDQILELEQSHFLLSGSPGDGQWVVPVTLCCGSYDSRKSFLLQAKSEAHDIKELLGASVSKSSSWVKINLDQAGFYRVKYDDDLSARLRHAIEKKYLSTMDRYGILDDSYALSMACQQSLASLLALMGAYKEEIDYTVLSNLISISAKVVRVAADAVPHLLDNIKLFFINLFQYSAGRLGWDPKPGESHLDAMLRGELLTALALFGHEETQKEASRRFSIFLDDRDTPVLPPDLRRAVYVAVMQKVNKSNRSCYDSLLRVYRESDLSQEKTRILGSLGSCQDPEVILEILNFLLSSEVRSQDVVHGLGVSREGREIAWKWLKDNWDQIVKTYGAGFLVTRFISAVVSPFSSCEKAAEVEEFFASRMKPFIARTLKQSIERVLINAKWVHSIQNEENLEDVVTELACRKS >CDP17895 pep chromosome:AUK_PRJEB4211_v1:8:21294544:21295145:-1 gene:GSCOC_T00011882001 transcript:CDP17895 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVASRDDIHMTAGQQQVAFSLTPNFYQNLSDSVCFYQIFNSATPNSLKIPRFIDHFINGIKTPMLLINTGHRSTQIGVKHKRLHRNWRDFILQHQLQHNETLVFVPESENIFIVLIFDDTGVEKNFPWYHTFNVY >CDP08249 pep chromosome:AUK_PRJEB4211_v1:8:25331529:25333874:1 gene:GSCOC_T00027018001 transcript:CDP08249 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCSCCGTTGHNSRTCPTQRRRNAARMKLFGVQLLDQSPFPSSSNNLSMKKSFSVDSLSVSQFNAPSRSRTPSCSPSPQDHVLLESSGYLSDGLIQTTQEKKKGMPWTEEEHRIFLVGLEKLGRGDWRGISRNFVTTRTPTQVASHAQKYFLRQNSLNRKINRRPSLFDMVERDKSALQSVRPIFSWSIEQECSISGGMLLPKISTASCMVNFSSSSPPEQDLKSSQVPVPVGVSESLEHSFIPSSSSSTNPEHDHDQNSSSPPPNLELTLAVPQARHNQRKPIAVASCLLTVV >CDP09750 pep chromosome:AUK_PRJEB4211_v1:8:29510877:29513300:1 gene:GSCOC_T00030203001 transcript:CDP09750 gene_biotype:protein_coding transcript_biotype:protein_coding MFWPRKFKNSPRRYMMKQSVWPCVLMAVISVGKKKSRASKKVQTEDFALFHSLAKILFRIPAALFLLILIFLWSTSTTFISGNILHVCLSSRKLNNLYCISAGTQPNFHIPIPLINGSSASITREENDARSLSDVHAVDGVIKNAISTVYKSSNKYLDEEVMTALNQVEDQLRIHRSWISFDQSHTNCDGRGVYVYELPPKFNKDILAQCGDIFPWANLCKYFSNDALGEPIQKLGKGWYHTHQYSLEPIFHSRVLKHPCRVYNENEAKLFYVPFYGGLDILRWNFKNVSDDVKDSLSSELIRWLETQKPWFQKSGKDHVFVLGKITWDFRRYDRESWGTRFLELDEMQNPIKLLIERQPWRINDIGIPHPTYFHPQTDDDIITWQLKLIRSRRGSLVSFAGAARSGAPKNIRSILIEQCTSASNGNCRFLNCGSGACDQPESLIELFMESEFCLQPPGDSATRKSVFDSLISGCIPVLFDPFTAYYQYAWHLPEDHEKYSVFIDEDAVRSRQVNVAERLEKIPIKEREDMRRYIVYDLLPGLLYGDSNSKLEKFQDAFSITMNNLIERVNRL >CDP18782 pep chromosome:AUK_PRJEB4211_v1:8:11500:12730:1 gene:GSCOC_T00005555001 transcript:CDP18782 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSTKPRLTRIVRLTLGTGLEPNPEISDLSIDGISQQVHNKILTPNSTQEMGFNSSHEITPISTQQKGDTQVM >CDP07892 pep chromosome:AUK_PRJEB4211_v1:8:19994271:19994710:1 gene:GSCOC_T00025382001 transcript:CDP07892 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKQLQWYYAAIKKSSKKTNAAFGFCSPKRQRFNPSTRFFRKFLHFSFSFALCFLFFFLVVFLSPAAFHSFSFLVST >CDP11706 pep chromosome:AUK_PRJEB4211_v1:8:5199583:5200569:1 gene:GSCOC_T00034170001 transcript:CDP11706 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTEVEQKDDHFEELFQRLQPKKPVAGSLLANYQGVWFGADLLQATLTFQKHFKAIDSDIMLATMPKSGTTWLKALTFSIVNRNNHSVDDSPLLFSNPHYLVPFLEIYLYKDGNIPDIDSMPCPRILATHLPYQFLPSSILDCSNCRIIYLCRNPLDVFTSVLQFLLQNGRISSPSMSIDVPFEEFCQGIHPYGPFWDHCLGYWDASLKNPQKVLFLKYEDLKKDINSSVKKIADFLGYPFSAEEEEAGLVEEIAMLCSFENLKNLDCNKEGEIKAAFRAKHSSFFRKAEVGDWVNVLTPSMANRLEKLFQEKLGESGLTLEINSK >CDP11730 pep chromosome:AUK_PRJEB4211_v1:8:5718691:5718911:-1 gene:GSCOC_T00034205001 transcript:CDP11730 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFSGDEIAPFFGFLGATAALVFSCMGAAYGTAKSE >CDP17592 pep chromosome:AUK_PRJEB4211_v1:9:7406722:7412175:1 gene:GSCOC_T00005097001 transcript:CDP17592 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQIKRIENAASRQVTFSKRRRGLLKKAFELSVLCDAEVALIIFSPSGKLYEFSSSSATSTIERYQKNIRNLCPSEKMALQHSQNFEEEVAILRKKLEILEETKRKLLGDGLDTSSFDELQQIEGQLERSLNIIRSRKSLLFWEQIDHLKEEEKILRKENAELREKVHLQYEQQRLGQSISRQPLSLRQVKEIETRLFIGLPESSNYP >CDP17295 pep chromosome:AUK_PRJEB4211_v1:9:10118231:10130996:-1 gene:GSCOC_T00003770001 transcript:CDP17295 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAAKSKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVHVPDERFEWLCQLYKPKSEVSAFLEIHDIAGLVRGAHQGQGLGNSFLSHIRAVDGIFHVLRAFEDPDIIHVDDSVDPVRDLEVISEELRLKDIEFMEKRIEDIEKSMKRSNDKQLKIEHELCERVKAWLTDGKDARLGDWKAADIEILNTLQLLTAKPVVYLVNMTEKDYQRKKNKFLPKIHAWVQEHGGETIIPFSGALERDLTDLPPEEAAKYCEENKVQSALPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQTKAPQAAGTIHTDFERGFICAEVMKFEDLKELGSESAVKAAGKYRQEGKTYVVQDGDVIFFKFNVSGGGKK >CDP04157 pep chromosome:AUK_PRJEB4211_v1:9:3542125:3549569:1 gene:GSCOC_T00017466001 transcript:CDP04157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS1 [Source:Projected from Arabidopsis thaliana (AT1G65470) UniProtKB/Swiss-Prot;Acc:Q9SXY0] MAEPMVIDVDEAAPTGKMSGSDKRKALKRKRGFAGGLPDLTPEEKSAKIKALKEEMKSLFNFYLELNGNKENENVEDGSLNNNNVDSAIAVLMEESRLPLSRLAVEILEKLRGKFGSDNSGGGGLSSLASVKSRLLLIGQRVFYGISDADADLLEDDSESALWCWETRDMKLVPKSMRAVLKSRRTYRKKIQERIIAISAMIAALEKSKNHQNHQELMKAAEKLGKTFNEAEIRLLVGNSLQKNEAEGSLKEAKQEEKLLIKQLEKNKREEAKEKRRMEQELQKEKLQNEKELKRSQDEAKKEEKRREKKESEMKKQIKRHQEEAEKDQRRKEKEEAENKKKLSLQKQASLMERFLERGANPFSKNDQPPRSATDPSPKMDKEKTDSITLAMDSVLSMDTEVKVEDIWNLHLNSWHCLGNSIRSNRHMHWGIRRKPKTDLVKKLKLTANKGLAREEEMNIEKLVDGWVGSSTDSRLSPTNSDSITANGRAHVQSKQLLQFDKSHRPAFYGFRLKKSQVVSARHPFVKDPELDYEIDSDEEWEEEEPGESLSDCEKDGEEESLDEGCSRDDGDDESEDGFFVPDGYLSEDEGVEVDKLEANHLAEETKSSPSSKEVVNQLFRQQKCLYNLTEHALRKNQPLVVLNIMHQKAPLLSADNVTGAEKHEQICLQALSICAFPVGTFVQISISDDTEDQGACTSSTKTNSTTFASPPTILDSELAQIVSVIQSCSNGINKVVECLHEKFPTISKSQLRNKVREISDFVDNRWQVKKEVVVKLGLTISPEKGGGRTKSIATFFSKRCLPPSAKSINPYETSPQISQKPASSTQQQEGTAQEH >CDP04375 pep chromosome:AUK_PRJEB4211_v1:9:1595337:1605265:-1 gene:GSCOC_T00017745001 transcript:CDP04375 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLGWKRPSDTFHVTLSYGADDALDDASPRSSRSSSASSAAGVGGSSPFSSSLAMLSQENNHEQFGFRVDLDWTAGEDEDQVALRLQSQVMVALPSPQDTVEGSVASGVAGEDFGGEVGVEMRVVKRREPLKGVIMWRVGGSGQQSDGMGVFVRLMRSNFANGVGGCAEHWKSVTLVSLCGLGLSVLPVEVTQLPLLEKLYLDNNKLLTLPPELGGLKNLKVLAVDFNLLASVPAELRQCDGLLELSLEHNKLVRPLLDFRSMAELRVLRLFGNPMEFLPDILPLHKLRHLSLANIRIVADDNLRSLNVQIEMENSSYFVASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDEGNRVVVGKDENAVRQLISMISSDNQHVVEQACSALSSLASDVSVAMQLIKTDIMQPIEGVLKSASQEEVISVLQVVVKLAFTSDIVAQKMLTKDILKSLKLLCAHRNTEVQTLALLAVGNLAFCLENRHTLVTSESLRDLLVRLTVASEPRVNKAAARALAILGENEVLRRAIRGRQVPKRGLRILSMDGGGMKGLATVRMLKEIEKGTGKQIHELFDLICGTSTGGMLAVALAIKLMSLERCEEIYKELGKLVFAEPVPKDNEAASWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKRVPKVFVVSTLVSVAPAQPFIFRNYQYPAGTPEISSAISENLTTGGLGAATSGAQVGSKRNAFLGSCKHHVWQAIRASSAAPYYLDDFSDGAYRWQDGAIVANNPTIFAVREAQLLWPDARIDCLVSIGCCSVPTKVRKGGWRYLDTGQVLIESACSVDRVEEALSTLLTMLPDIQYFRFNPVDERCEMELDETDPTVWLRLEAATDDYIKKNSMSFRTVCESLLENSHDEKFPDSLKSQQFVKAKGLKSVLDDNSPSIGWRQAVLLVEASNSPDSGRVFHHARSLETFCGRSGIKLSLVNDISGTLRATAGSTFPTPFTSPLFTGSFPSSPPFYSPDFGYQRVGRIDLVPPLSLDGSQSAKTTASPPDSPARRRQLTLPVLSLHDKLRNSSQVGLIHLALQNDIYGSILSWQNEVFVVAEPGELAEKFLQTVKYSLLAMFRGRRRKNASIITDISTISDLVSCRPYFQIGGVVHRYIGRQTQVMEDDREIAAYMFRRTVPSVHLTPEDVRLMVGAWRDRIIIFTGIYGPTQALIKSLLDSGAKAVICPSAEPEETQLATFQGSGEFNAVENGKFEIGDEEAEDEDMEPASPISDWEDSEPEKNGAPSHYYWDDDEEELSQFVCQLYDSLFQSGSRVDVALQNALALHRSLRYSCHLPSIM >CDP18213 pep chromosome:AUK_PRJEB4211_v1:9:4767041:4772336:-1 gene:GSCOC_T00007124001 transcript:CDP18213 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWTQGGDSETEEEESDYEQEDNEVPVEDINKAAKSKYLQGSDTESDDEDGQKRVVKSVKDKRFEEMTATIDQMKNAMKINDWVSLQESFDKINKQLEKVMRVNESDRVPNVYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNSMKQKLKKNNKQYEEMINKYRENPEVEEEEDGDDDDEDGEDEDSEFEEDPSKLGMESDAEEDEDKEAGEDDANETGPGWEKMMSKKDKLMDKQFKDPSQITWDTVNKKFKEIVAARGRKGTGRIELVEQLTFLTRVAKTPAQKLEILFSVVSAQFDVNPSLNTHMPINVWKKCVQNLLTILDILTQYTNIVVDDMVEPDENETQKGTDYNGAIRIWGNLVAFLERIDVEFFKSLQVIDPHTREYIERLRDEPTLAVLSQNVQEYLERAGDNKGAAKVALKRVELIYYKPQEVYDAMRKLAEQNEGGEGETDGEPKAVEESRAPPSFVATPEIVPRKSTFPESSRTLMDILVSLIYRSGDERTKARAMLCDIYHHALLDEFSTSRDLLLMSHLQDSIQHMDISTQILFNRAMAQLGLSAFRVGLIAEGHGCLSELYSAGRVKELLAQGVSQSRFHEKTPEQERMERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANSHDAKRKVISKTFRRLLEVSERQTFTGPPENVRDHVMAATRALRQGDYQKAFDVINSLDIWRLLRNKDSVLEMLRAKIKEEALRTYLFTYSSSYDSLSLDQLAKMFDLSDKQTHSIVSKMMITEELHASWDQPTRCMVFHDVEHTRLQALAFHLTEKLTVLAESNERAQESRIGGGGLENLPLRRRDGQDYAAAAASGGTARWQDLSFSQGRHGTGGGRTGYNAGGRTYSGQAGGFSRDRTGQSRGVGRTYQTGSAARASQMDGSTRMVSLNRGAYA >CDP05634 pep chromosome:AUK_PRJEB4211_v1:9:12332768:12334732:1 gene:GSCOC_T00020801001 transcript:CDP05634 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFQPQGLNVEEDSAILFSTSDSPGGLSSISTRCSSSSFHHSPPTDPSKQSYELRLRKLSYTIYENGILKSVSFTARSSEILAVVGPSGTGKSSLLRVISGRVRNKDFKPKSVFINGYAITNTAQLRKICGFVAQDDDLLPLLTVKETLMFTADFRLKEMSTREKEERVDGLMVELGLVHVADGFVGDEENRGISGGERKRVSIGVELIHDPSILLLDEPTSGLDSTSALQVIELLSSMAKSKQRTILLSIHQPSYRMFEYISNFLILSGGTVVHNGSLESLEETINRLGFQIPVQLNALEFSMEIMNALEESCSETTDDLLINSEDEMWRKDVGRGQFQPPVDQYGVKGGFFIRNMYEIKVLCSRFLKIIYRTKQLLLARTMQAVVGGFGLGTVYLKVRNDAGGVAERLGLFAFSLSFLLSSTVEALPIYLQERRVLMKEASRGAYKVSSYMIANTLIFLPFLFLVAILFSVPVYWLVGLNPSISAFVFFVFMVWLIVLMASSLVLFLSAISPDFISGNSLICTVLGAFFLFSGYFIPKECIPKYWLFMYYVSLYRYPLDSLLTNEYWSLRSECFSWSNATEDYSTKCVLTGNDVLKGRGLERDTRWINVGIMLGFFVFYRVLCWVILARKVAKTTI >CDP12567 pep chromosome:AUK_PRJEB4211_v1:9:9650454:9656897:-1 gene:GSCOC_T00036218001 transcript:CDP12567 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAKLVRKSPLLYRYVQFSCKPTSHFSSAPKIRPCSTSISSRGFSFRSCYHSISLTSTPVYKEVGHSKISFRQLGSDHFRLFVVSDGGSGGGGSGNSGSGGGNSGDGGSGAAGGSGSGKNWSFLLWYLSLLEKYPVWTKAVTSAFLTFIGDLTCQLAIEQVPSLDWKRTFLFTLLGLVLVGPTLHFWYLYLSKLVTIPGSSGAFLRLFLDQFLFSPIFIGFFLSTLITLEGRPSQVIPKLEQEWFSAVVANWQLWIPFQFLNFRFVPQQFQVLAANFIALIWNVILSYKAHKAILTK >CDP04171 pep chromosome:AUK_PRJEB4211_v1:9:3357411:3363039:1 gene:GSCOC_T00017481001 transcript:CDP04171 gene_biotype:protein_coding transcript_biotype:protein_coding MECHVCGNFSFKDGGDGFYYCSICSSQADDIVDTGVDDEDLFGNKIYSQTARRSQPTTAAAAEDISQFKQTQSQQNLLQDLVVDADTDAGDGVGPTGPSDFGSYPENLSYDDYYSEIRSRYLMGYQILIQLQCKALVEKFKVSPLIIGLVGPIWLRYLAFTRIMAVDWADQVIHESESQKQGEAADFQPPAKQSKEPHNIFGQRAVTLWHISLRRTIPLSHSLAINFLVCLLAREAILPTDILKWILEGKLPYFAAFVEIEKQLGPPPSACPISSSHMFRPKTTMSAQKLEALAGSIAQKINLELPPVNFYGIASRFVGQLSIPVKSILQQAFRIYEWSMPPELYISANILRLPTRIWVMSILIVAIRILYNLNGSGKWEKSLSSSRSSSSLAGKDGKQPTYTTNMTDDAEKDLSSHDLCLRDNASDDEEFELDAVDLLKILETKYDDLRDTYDYSKGLTSYLQHCKDVVFAGSGQSFEDYEEEKIIEDLWEFYQNKGVECLEDQEMNSFQDSGLHKKRSMDDIRNIQIQNKECRYCKNNLSEDGSQYSMDEKNYSSRFEDSSHEGRSSMESRKVKAIRELKLDMETNRFSYIPPRQVIMSQRYISYNRRRIEGAFVYAAHADYYILLRACARVAQVDVRSLHIGVLEFEKRLKQLEEKMGKCLHLKIPSGSSGFCANEDD >CDP04543 pep chromosome:AUK_PRJEB4211_v1:9:179361:183499:1 gene:GSCOC_T00017970001 transcript:CDP04543 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAIRPSAEQRQKPLGDNQNSEMKDCGSLPPHVSKTSKSKSALPKKLPESGKVMTTVTVESLEIKNPSNCNGRGSNNPSIEISHSNNPSADVDRVSRTVGLVLSETGSSSEASGEKEKKASELEITKNSSVSGKLSDGASSLGKTSGSAKTSDRADFVESGKSSMCRDSTSSDVSDESTCSSFSCSVSKPHKANDLRWEAIQGIRTKDAMLGLSHFRLLKRLGCGDIGSVYLAELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCVVSPTLVKTSSIESDPLRKNPIYCVQPTCMEPACMQPSCVVPTTCFSPRLFSSKSKKDRKPKNEIRSQVSPLPELIAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPDSPIVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVEFERIPVPAASTSEKAAKAAAAADKKGFDNYLEFDFF >CDP18166 pep chromosome:AUK_PRJEB4211_v1:9:4439939:4452865:-1 gene:GSCOC_T00007064001 transcript:CDP18166 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRMSIHNFLGIFKKNGRILKADSNRKFKAMSRICVKNLPKYVGEDRLREFFSQKGEVTDAKLMRTKDGKSRQFGFLGFRTEQEAEEAIKFFHRSFMDTCRITCEIARKIGDPNIPRPWSRHSLKKQEKSSEEGKEVPGSNSSKIANSKEKKKKEKNKKDDGNDDPQLQEFLDVMQPRSKSKLWANDTLTATSTDQRKMIGDKQSEVRKGDQKSKLNESDDEENRSSETLDADKSQNPVHDDVISDMDYFRSRVKKEWSDSEGEDGENEDSYEEGDIENGNSDSRRQTKDEMDIEDEDEEDVQQENDKDGDGEEPVDELIETEGPSSTVEDPKEVLESGRLFVRNLPYTTTEEELEEHFGKFGNVLQVHIVVDKDTKRSKGIAYVLYALPESASRALEELDSSIFQGRLLHVMVAKQNSSSEKQKTNVLTQQSAKTFKQQKVEERKTSEASGNTRSWNSLFMRPDTVVENIARKFGVSKSDLLDKEADDLAVRIALGETQVIAETKKALANAGVNVASLEDFASGKTDGIKRSNHVILVKNLPYGSSEGELANMFGKYGSLDKIILPPSKTLALVVFLEAAEARAAFRGLAYKRYKDAPLYLEWAPANILSQNASSSDGSDDNVVGEHDVKKVLLEQQRGITDADVDPDRVESRSLYVKNLNFKTSDESLKKHFIGHLKEGRVQSVRVKKHVKNGKNVSMGFGFIEFDSMDTAMNVCRDLQGTVLDGHALILQLCHAKKDEQVPKIGENDRSSTKLIVRNVAFEATEKDLKQLFSPFGQIKHLRLPMRFGKHRGFAFVEYVTKQETKNALQALSNTHLYGRHLVLERAKEGESLEELRARTAAQYTDGQSSTKFSKKRKHMAVLDEGSLRFERIAD >CDP05660 pep chromosome:AUK_PRJEB4211_v1:9:13304795:13306234:-1 gene:GSCOC_T00020845001 transcript:CDP05660 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFKLMMMVSPLMGHLTQALELAKLMLARNNQLSITALIMELPIDPDGTAKIQSLIAATNVEGLHFHHLSTPEDTSDWNITHRRFFILKLLEYQKPHVREIASKTQKLSGFLIDLINMTMIDVADELGVPTYLFFTSGAAFLGLRFHFQTLEDEQNQDISELVKGESHLVLPSFAKPVPISVLPTLATKKEFRSNVFLKCTRDYRRAKGIIVNTFSYLEFNAISSFSLNSYYGKSSLPPIYPVGPILNSSQIQTQSSEDYSSMMKWLDCQPKNSVVFLCFGSLGSFHLDQVQEIAYGIERSGHRFLWVLRRPSTKKGGFPREYENLELVLPEGFLDRTASIGKVVGWVPQLAVLSHSAVGGFVSHCGWNSMLESIFFGVPIATWPIEAEQQLNAFQLVKELGIAVEISLDYNQEKENQALVKAEQVEKGIREIMDGENEVRMRVKEFSEKSRLATKEGGSSYLALDNIIQDICSRSRG >CDP14572 pep chromosome:AUK_PRJEB4211_v1:9:21186920:21194271:-1 gene:GSCOC_T00041101001 transcript:CDP14572 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLAVLCFLLISLHMKAVCEERQVYIVYLGEHSGNRNFEEIEEHHHSFLHSVKGSKQEAETCLIHSYKHIINGFSAFLTPEEASTVSEMDGVISVFRSQTRRLRLQTTRSWDFTNLLEANGDLSRVNGEKLLQRASYGKDVIVGVFDSGIWPESRSFNDEGMEPVPKSWKGTYKKSHYEAELGPVKEKMEFRSPRDKSGHGTHTASTIGGRRVPNASSLGGFANGTASGGAPFVRLAIYKVCWQPDPLNVVVCPDGDTLAAFDDAIKDGVHVISLSIGGNTSFPYAEDGTAIGSLHALKRDIIVVCAAGNSGPTPSSVANVAPWLISVGASSIDRIFQSTIVLGNGLIVQGRTVTPFRKTKKYPLVYAVHVEIPGKTTNLTTGWCFPGTLSKKHVKGKVVFCRVGFISQALEVRRAGGVAAIFGNPYVGKGVYEIPFLLPGTTVLQNDRATIVSYILNNENPTATLFPGRTIIGTGPAPFMAPFTALGPNGIEPNILKPDITAPGLNILAAWTEASPPTHLHQDHRVVKYNIASGTSMSCPHASAVAALLKAIHPDWSSAAIRSSLMTTARRVNNVQIPITDAVGNIATPFHYGAGHFQPSKAADPGLVYDASYTDYLLFLCSSGTAFLDPSFKCPKHVPPPSDLNYPSLAIAKLNGTMTVSRTVTNVGTGNSTYTVSIVPPPGYTVEILPTKLYFSKIGEKQSFSITVKVAASIKETKFEFGWYTWSDGVGHVVSSPIVVSAA >CDP04183 pep chromosome:AUK_PRJEB4211_v1:9:3252772:3254287:-1 gene:GSCOC_T00017496001 transcript:CDP04183 gene_biotype:protein_coding transcript_biotype:protein_coding METNMRYPEIISALPKREGLAPSLDFYEYQGFWFPLVYLEATITLQEHFKANPEDIFLCSSVKTGTTWLKALAFSILTRDRFIQSPNPLLNTVPHECFPHMEVDLGDDPSYRTPQLPLLATHIPYTSLPKSILESGCKIIYICREPKDAFTSYWHMLQTLKHLSTGPDAREPAPSLEEELEMFCQGKSAFGPCWDHVLGFWRASIERPETVLFLKYEELKKDELFYVKKLAEFMGKPFSQEEEIEGVPEKIIGMCSFRNLSNLEVNKSGFYQKGRVYNNSFFRKGVVGDWKNLLTEDMKVMIDCTTEQKLQFSGFTFGSSSEQETEGKSMVQGLGRDRDRDRDDSTESSLERVS >CDP04535 pep chromosome:AUK_PRJEB4211_v1:9:232115:235768:-1 gene:GSCOC_T00017962001 transcript:CDP04535 gene_biotype:protein_coding transcript_biotype:protein_coding MPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSKLGFDDYIEPLTLYLHRFREFDGGERGGSLRAAHHDLAVGKRANIDHLAAAAGLGLGGGGFATYPPPHFHLPHHPPFVAAGFFTTAAVPIHMNGFLKDPSSTGGGQATSSQAAAVAASDGGHQEQAFDNCEE >CDP06295 pep chromosome:AUK_PRJEB4211_v1:9:17562535:17565465:-1 gene:GSCOC_T00023059001 transcript:CDP06295 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNASVVHFAIVTSSLISLLSLPGGFPYISAVENDVYCLKSIKDSLQHPYNYLSLTWNFDNATEGGFICDFTGIDCWHANENKVLNIRLSDMGQKGQFPGGQENCTSLVDLDLSNNQLSGPIPSDIGQVLKFITTLDLSNNQFSGLIPSSIASCKYISVLKLDDNSLRGPIPQELGMLKRITIFTVANNMLTGPVPNFIKATPPEVRYENNLGLCGAALEVCKDDSDSGLSNRVLFLSGFMTGWAITMSLVLFICLSGMPVLSLKKLITKMKEKINALADIARLEKYVTRISFAELRSARLCTRQNSIIGIGKMGTMYKARLPNGWFLAIKGLFNSEQLHQKIASETITLGKLRHRRLVPLIGFCPEKEDLFLVYKYMSNGNLYNWLHGRKDEVDIMAHWALRVKVAAGIAEGLAWLHHKCSLRVVHGTISSKDILLDKNFDPKITNFWEAKFIKLEDQSSNWSFFQSSDSLDLGSFKKDVYCFGIMVLELITRKQPQQVKSLIENLCGTSVSHKFSTLAEVDQSLIGHGFDDEIIQFVKVAENCVLPNPDHRPSMLQVHETLAAFAEIYNYTADCEMSY >CDP04557 pep chromosome:AUK_PRJEB4211_v1:9:82445:86288:-1 gene:GSCOC_T00017990001 transcript:CDP04557 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGASRKLKKAAKKILSQTCASFSWTTTSNTSTNQTSHVNVLPDTPSNALHVSSDSPCCYLCKPSITSPRPICSNGTSDQAVSTTNTTISASKSICTICLDPLNCSSGSNPCPAIFTAQCSHAFHFACISSNVCHGSMTCPICRAHWTQLPRNLDSHCSLQSNQSDPILQILDDSIASFRVHRRSFLLSAHYDDDDPVEPDHASDHQRLHISLLPFHLAHPDIHLCAHTNSGHQLTSPSHLMVQSSVVPAEHPATAGQYPFACTSTRAYLCVRLEHQPATDLVLVASPNGPHLRLMKQAMALVIFSLRAVDRLAIVTYSSAAARMFPLKRMTSYGKRTALQVIDRLFHTGPANPIVGLKKGVKVLSDRVYRNPESCILHLSDGPTRSYHSFSTEVPVRIYRFHVGFGFGTLNGFVMHEFEEFLARMLGGAIKEIRLKIKEDARVIKLGELRGGEERRIPLILRKCGHVCVEYSYVDSGIDECSKTGEIVVGIPDQSERNDGLDSVASMGGRSSSAESWDYHDSFMARRWAKHLHGNRPLIIKPSSITPLMGPVDMLPAWGEWDDKQRIGVEEQLKHLLAFDQHAFSIYIYLFL >CDP11267 pep chromosome:AUK_PRJEB4211_v1:9:6297237:6299215:-1 gene:GSCOC_T00033401001 transcript:CDP11267 gene_biotype:protein_coding transcript_biotype:protein_coding MYVYMYVWHKFCSNPVQLLQRTCSKPGKRRRKRGRRIGKGSGNMMGHESNVNPTLSKSTGLPRKRFYRARAHSNPLSDSHFPVPVAPSQFDYSSHYPQISNDSKKIEFADIGCGFGGLLISLSTLFPATLMIGMELRDKVTEYVKERILALRTGNPGQYQNISVVRTNSMKYIPNYFEKGQLKKMFFLFPDPHFKEKNHRRRVISPYLLDEYAYALAVGGIIYTITDVEELGEWMKSCLERHPLFEPLTVQELEDDPVVKLLSTATEEGQKVARNGGQTYQAVYRRRTGPIE >CDP04083 pep chromosome:AUK_PRJEB4211_v1:9:4312373:4312970:-1 gene:GSCOC_T00017371001 transcript:CDP04083 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNGSHGIFLSLALLLTIMAATPHVGKGAISLTPAALLVNGRLYCTPTGNPSPIGNSPPLVNATVIITCPNITRVPVRTDINGFFNATLVTRPGVNLNLNLSINSIISVCQVSVQLPVRSCPVLPVRGVLRGVLTPVGLLLANALSGLTGTVGGLVNGVGGVVINVVAFAFGFF >CDP14515 pep chromosome:AUK_PRJEB4211_v1:9:21988813:21994832:-1 gene:GSCOC_T00041027001 transcript:CDP14515 gene_biotype:protein_coding transcript_biotype:protein_coding MGCANSKLDDLPVVALCRDRCAFLDEAIRQRYIISQAHLHYFHSLKTVGASLNRFFDHVEVASADQPPSPLLNLPPAHRKAHPPQPSSKLPAPSSPLPPKAHRHSHSNSGSHINFHSDDDDDEDGDDADHQHLHRFHDDSSSSSGPSPPLHDPYRPQPEAYPPPPDTQHSVDFDYQRLYLPSSASPYYHGGDLGGNFQHMNFMRKQSTPSVTYQQRPLSPEIVRMGANPNFYPTYINYSDIPGGSAGFLGSAPPYYASSSSAALPIGAYSSSASTSKEPPPPPSPPRASAWEFFNLFEGSDKYYSQYTPSRDWREVREEEGIPDLEEEDYQQEVVKEVHGNQKFVNGSRSGGRISGGGKATLEDEGDGEGEHSEALYRPRPSTGMESDAAEYEVHVVDKKVVDDDEERANVAGFKNRVGGRFKGGSEVVREIQIQFERASELGNELAKILEVGKLPHNRKHAAYQVPSKMLHAIAPSLSVVSSQPSTSNENADSTSLDAEGELNLKPKNLSSTLQKLYLWEKKLCEEVKVEEKMRILHERKCRKLKRLDERGAEAHKVDATRAMVESLSTKIRIAIQVVDKISVKISRLRDEELWPQLHELIQGLARMWKSMLECHHNQCQAILEAKHLDGIASHKHSSEAHIEVALQLEHEFISWAVGFTKWIGALKHFVRALNNWLMKCLLYVPEETPDGMAPFSPGRIGAPPVFVIFNQWNQAFDRVSEKEVVGTMRDFAASVHPWEWDKVEVRQRMTANKETERKMKNLEREDQRLQKEMQTLDKAMVVGDGNGLSPTGQVVYQSDTSKSSSLQVGLQRIFEAMERFTANTLKVHEELLQRIEEVLAREPEKVL >CDP04467 pep chromosome:AUK_PRJEB4211_v1:9:740047:743585:-1 gene:GSCOC_T00017870001 transcript:CDP04467 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCFKPFRLRKSKSKPLSIPSSSSRTQLNTDTYNMERKRFDSLESWSMILESENVETWEASKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTHKEETRAKLEQQFKSEVALLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSSNLLLNDEMRVKVADFGTSCLETQCREAKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPMPASCQPVLAHLIKRCWVANPNKRPDFSEIVSALEKYDECLKDGLPLTLHSGLVSKNAILDRLKGCVSMNSSIPVHA >CDP04319 pep chromosome:AUK_PRJEB4211_v1:9:2129338:2131177:1 gene:GSCOC_T00017670001 transcript:CDP04319 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFGVTEFGILDRIVYKNKNQHRRWSYFQYLLKVRRDLRLLQSAKLEEILNSCFLVIHGKGPKQKLLKRRRCDGGKCNFLERLLGVVRLLSKVVHLFVICCSQLFL >CDP18161 pep chromosome:AUK_PRJEB4211_v1:9:4407366:4409893:-1 gene:GSCOC_T00007057001 transcript:CDP18161 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHIRISLIDPKYKEQKERMFAKIRDTTLAPDDEITKNIVGLARTCPDIFGTTEEEVSNAVKAEIEKKKDDQPKQVIWDGHTGSIGRTASQAMSQNAGGEDLNEAANSDMRNLPGPAAPPPPRPGMPSIRPLPPPPGLALNIPRPPHTVQYSTPTGPGVLAPPPPRPPVVVLPRPAPHPMSMMPGQQPLMVNRPQMHPSMSMNSPNMPVPPPPGSQFTPLSAPRPFVPLSMSQPGMPMVPPPSMPQGMPPPPPEEAPPPLPEEPEPKRQKLDDALLVPEDQFLAQHSGPARINVSVPNHDEGNMKGQVLEILVQSLSETVSSLKEKIAGEIQLPANKQKLSGRPGFLKDNLSLAYYNVAPGETLQLSLRERGGRKR >CDP04148 pep chromosome:AUK_PRJEB4211_v1:9:3597926:3615265:-1 gene:GSCOC_T00017456001 transcript:CDP04148 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGDSVDSILCPDVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESKAPYNSITLPETFDLDDFELPDNDIFQGNFVDHHISSREQITLQDNMEGVVYSTSQFGLDERFGDGDTSGLDLDEELLMGKIDVAGHSGLSADPQASVHSMTPLKLDEDHQGMPANPETVIEDVNEDADLMDYAQAPRTPGLVEEPNLSNVQETSACDDHIESEDNHLMESAVKENLENTSSRSNLHQGNEHMVGWSMPIDTNTDVAPFVPCEENGFHSSDLRIKPGVSPSEVNTEDMSSNDHAVAGVGVVCQGPKLADDAIAISDSLNREKELLCGNVKIVDDVPSFRLSHDDHGEITGVISEGFDEGVLGSASSYLQVTEGGEKSNNMNNNAISERPSSPRDGLEFGAELLGTSGLERPESIACVEPKNSQNSNLVLENGVPCDQIHVLRSCNAELGELDSSLAVAEHSVDLEPSDRAALPLETSKTVEILQASGDSTVVQGEICNSVDDSGQSLKENHTTVPASLEDIHAGSGNLKSSGDIDLPAPEKLLSVPEGFGDRPRSVLMEVTPGDVGGVDESDAGSRIVSGKKRSYTESTLTEQSLNSVESSRAVRTKKTVEAVPDDDDLLSSILVGRKSSVLKMKPTPPPSEITSLKRHRSAPRTSTSKRKVLMDDTMVLHGDTIRQQLMNTEDIRRLRKKAPCTRPEIAMIQKQFLEDEIFGESIFSGVSICLASLHNQRYDISGITICKNEENDATLTSVTDLKLTSVNEENAENLCIENTMDSSGQQAVASEIDGGGVLATARDNGEMQPAQTCELNDNKVGEDCDPNMDDNSKEVQMKTGEDLVLAEPEHFQNLAETEIDGRISIAGAVNPASLLEFEKNNLVSGDIGDMDRVQTASLDKRSEMDDLLRMDELLLSSDEKRQSECVGVDNSAADGVIGKVNDDNDDTYKADAFVVSLETEASVGDGFSLDAIQGGATAETERDTNCLVLENNAFGSSIEMARDMDGRVETNDQICDGIVGELPIISTSSAPENVSWQAVTFHEVQHPMPHGSYGDSTVDPEISLIDAHDREDLNYSAAANDTEFLNFDDDEVAEVTDDYTPNAEEIRFIENSGWSTRTRAVAKYLQTVFVKEAECGKKAFPMDNLLIGKTRKEASRMFFETLVLKTRDYIHVEQERPFGNVTLKPRTKLFKSDY >CDP04476 pep chromosome:AUK_PRJEB4211_v1:9:687447:694078:1 gene:GSCOC_T00017882001 transcript:CDP04476 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLILKPSCSGCGSTAELYGSTCKHLTLCVTCGKTMAENRAKCYECGTPITRLIREYNVRACSSNDKNYFIGRFITGLPNFSKKKSENKWSLQKEGLMGRQVTDTLREKYKNKPWLLEDETGTQYHGQLEGAQSATYYLLMLQGREFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYQRWMMKAANNGPAAFGEVEKIDDKEAGAGGGRGRKKAGGDDEEANASDRGEEDEEEEAARKNRLGLNQKGGDDDEEGPRGGDLDLDDDDIEKGDDWEHEEIFTDDDETCYTEEREELAPEIPAPPEIKQDDEDEEEGDEEEGGGLSKSGKELKKLLGRANGLNDSDAEDEDDDDDDMEDDISPVLAPKQKETLKEEPTENISPLKSAAPGPSRGTPPTSKSAKGKRKSNGEEVKAANATPLKKVKSENEVKPVKSENTSGSKNTVTPKAVSQQPSSKTGSTPSGPVTEDEIRAVLLQKGPVTTQDLVAKFKSRLKTKEDKDAFAAILRRISKIQKTSGSNYVVLRDR >CDP12585 pep chromosome:AUK_PRJEB4211_v1:9:9294527:9306040:-1 gene:GSCOC_T00036247001 transcript:CDP12585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 22 [Source:Projected from Arabidopsis thaliana (AT2G34780) UniProtKB/TrEMBL;Acc:F4IIV5] MEEDVTVKDESCNSCCQQWKEKYTKLKEKHSKVEDGRNALRKGIKLLEQENDKLKSEYQALKKAFEDERARAESEKQDKVLESATRVTLEHEISTLKSQILLLQENGGLAAKGVDEEVANLQQRVSEAETEINKHKELLQKERKRVDTEKEKVEKERKKARDAAEKLNAEKKKASEEKRIADIERVKVEELRHQLESLKCEVDEAKSKLALETAKHEQENKKLKAEKENTTKERMRADMEKAKAAEQGKLAEENWKKAMDERSRADALAWQLDKNKHRLEELEKQISNLVSNRKFVDIPVENPPGGLAELAGKVGSLTWKSEAGALEACNKLGEWQQKNVREKKQAISEIEKAKNQMKAAKRYKRKAMEEKNHADHLFHELEGNRKRLEEVQREIQELVSSGKLFESSHPASGKSLKDETAEIKLLRKQLKFEKKRVKHAKEVAKLEVGRNCLLQQEVHRLKQEFIPFAQRLDLLDNCLFHKFDGINNLEKEGGLDLDQEHLHLKPSQLVLHTQNEHVKPSCITSIATGGSTPLKRNKRLNVSLPPVSGEMYLSPTTGIDSNLELLLRGSNRKMLQSSAINSSSASFSDRPLVGSQERGTFSVTTSANLAHGQTIGPTASRLSSDTRKRYDKKLAVGAENSVGSPIKSGAIESGSYKKRKRKRVSDAVESIEDLYSAGQKWHQQVLEKLSVLHGMLDGECPKSFGERVLVQDNMYSKLVRPDKKKKVSNGQGVAVPHLCDPCVLKTSAEDSDVCENASPAVCDVLQTAPTLKDGITNHFGSKLHEPTNAEEVFGHDYMGLVRLDNPIDENRFCKAIRKPISPLSPVLCNIEFPFNETFETEICTSRSLPDESLCEVFSNAEQNVVPSCNFDVVNLEIDSNNRNLKDIQASKILSLGMATKGSSENLGNNGSYDSDVICVSSSSSSQINNLIMASTAQKNVELKIPCESRSAAFNGYPVYCAASSSNNDSSSISTIFSFITDCMSKLSSNSSLKLWMQNILLSLQRANNLSTQERVSGFFSLLLHYTSEIANGDHGDLVCNSLGFIDSFAQQMRAVLNDADTRKMFLESCDLHELVSLIEDFLINRQILVYDDVSHGVLLQHDSRAKVVLNDNCIFWSIQPASTDLLFAGGLLLASIFAAVDEIGFICEVSCNILSMRKINSLLLLRLLHVFAYLCSSKYFTLEGFSLKMSVLKCFVVFLERQDLSGGCISCLPSVAGTSMKISACSSCPFKDDVVSVDFIVSILLGKLKEQMEALNSSSCQSVILEMKKMDVQCHGEAIPSNFVPNENLINFIDTLSLLELVAVSVSWDWTFGNIVLPLFRMLDCVQEQFFPAIITLLGQLGRIGVDANGYENSGVESIRQWLSAFLSRTTYKDFGLLIQFASAFALLDLIAKSFEEVVEANFESFATGNQPVAMDAVRKWFSSLSNEQQSSFRSLHSSSTCISTNANQTVASQLDSCWRVDIAAKILTSPPSM >CDP06317 pep chromosome:AUK_PRJEB4211_v1:9:16503834:16508323:1 gene:GSCOC_T00023092001 transcript:CDP06317 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGSEIKRTQEERKKMEQDLASLTSVTFDTDLYSADRFEGYERSIPVNDDDDTADGPDNEIARKLNSYTAPKEFFKDAPRPGNEDDLGFKQPSRIIDREDDYRKRRLNRVISPERVDPFLDKTPGPDVRSYADVMKEEALKRQKDDVLKAIAKKKEEEAVKPVSKEKEAEKPKKRNRWDQSQDDTSAKKAKGGSDWDLPDSTPGIGSGRWDATPTPGRIGDATPSVRKNRWDETPTPGRLADSDVTPAGGVTPGATPAGMTWDATPKLAGLATPTPKRQRSRWDETPATMGSATPMSNATPAAAATPGVTPFGGADLATPTPSAINLRGAITPEQYNLLRWEKDIEDRNRPLTDEELDAMFPQEGYKILEPPASYVPIRTPARKLLATPTPIGTPLYNIPEENRGQQFDVPKEMPGGLPFMKPEDYQYFGALLNEENDEELSMEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMHILIREFQSPDEEMKKIVLKVVKQCVSTEGVEPDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCGEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALIHLMNYVWPNIFETSPHVINAVMEAIEGMRVALGAAIVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPMLDDEENNVYSRPELVMFV >CDP04232 pep chromosome:AUK_PRJEB4211_v1:9:2903557:2906084:-1 gene:GSCOC_T00017558001 transcript:CDP04232 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFSKGIGNLKALVGHHQEGPLAIQAPIVSSYNERIRPLLDCVDRLRNLKLMQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQSHPSSEPELSLEFSGRVVPTDEDHIAEAISVATDEIAGKRKGISNVPLTLTVKKNGVPDLTMVDLPGITRVPVHGQPEDIYEQISAIIMEYIKPEESIILNVLSATVDFSTSVVTKADITPEGLLEKVTADDVNIGLGYVCVRNRIGQESYEEARAEEAMLFEIHPLLSKINKSMVGIPVLAQKLVQIQATIISKCLPEIVSKITDKLTASVEELNKLPQHIKSVPEAVAAFMCIISSAKESLRKIFIRGEFDEYPGETEMHSTARLAEMLSNYSDELQFISIKVEQKQKFLEEEISILEEAKGISLPNFLQRTAFLTSLQKMVNAISATPVKFVKQFWEYLQGVFVPVLMKHSENYPQLQSSIRRAAQNLVSKKREQSIDWVLQIIEMEKLTDYTCNPEYTATWHKLMESQDTFMDIINDRWKTTTLEINGIGEVEVGHMVQSHSDEQAFDLKMRMIAYWKIVLRRLVDSMALHLLFSIQKLVNKDMETEMVNELIGHHGGGLERMLEESPSIADKRYRLNRSIKLLRESKEIVAEIMDNIAAYAD >CDP04284 pep chromosome:AUK_PRJEB4211_v1:9:2409079:2415111:-1 gene:GSCOC_T00017623001 transcript:CDP04284 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHSSLFIQRTGESLSTMGASGATSPCLPNLPPPLVEKYPQLPDSLHVTSEQVLSTNTLPSRPAPLASRSGAVGHQFSTTSGFPRDTKFPPISPQGSQSQNYPFLSKSLRAETSLETIPSFSGVHSAPVDSYHIRNGGNGNTSWGKDALQDFPDFSGNVPVQNDQAESLKGVIASEDHAKRTEWNEWADDLISVDDSLDSNWGDLLVDVSVPDPEPKVLKLSPEVPLREAQPSSHTPVSSVQSIDVSSPLSAAPLAKPRMRWTPELHEIFVDAVNKLGGSERATPKGVLKLMNKEGLTIYHVKSHLQKYRTARYKPEPSEGTTEKKSPTVTDMTSLDLKTTTGITEALRLQMEVQKQLHEQLEIQRSLQLRIEEQGKYIQLMLEQQKKFEEERSKKKDSNPVEASLAPSKAVEPLPDDEKSETLEKNNVPKALEKENEAKVLSSGDAGVTAEQTQNPNRKQKSPERKTSEDNDQDGNASPVKRAKVDGSLNS >CDP17607 pep chromosome:AUK_PRJEB4211_v1:9:7576170:7584248:-1 gene:GSCOC_T00005115001 transcript:CDP17607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-adenosylmethionine carrier 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G34065) UniProtKB/Swiss-Prot;Acc:F4HT41] MSINADTDSPGILKHQTGNVKHQMKGAATTFRNEHDPFDFMRFLYEGAVAGATAGVVVESVLYPIDTIKTRLQAVHGGGKIILRGLYSGLAGNLVGVLPASAIFVGVYEPTKQMLLKSFPESLSALAYLTAGAVGGVASSIVRVPTEVVKQRMQTGQFSSAPNAVHCIVAKEGFRGLYAGFGSFLLRDLPFDAVQFCIYEQLRIGYKLAARRDLNDPETAMIGAFAGAITGAITTPLDVIKTRLMVQGSAKQYEGILHCVGTIVREEGTSSLFKGIGPRVLWIGIGGSIFFGVLERTKKLLSNSGPIHPSPDSLKQD >CDP04090 pep chromosome:AUK_PRJEB4211_v1:9:4207965:4215432:-1 gene:GSCOC_T00017382001 transcript:CDP04090 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNSIIHVSAASSVLFPSYLINPISQKGSLNFVPCLSISRRPKNGVFSVKATSSANTGSGQQQPASSSEPKNPLAVVLDIPKNIWRQTLRPLSDFGFGRRSIWEGGVGIFLVSGAVLLALTLAWLRGFQLRSKFRKYLAVFEFEQACGICTGTPVRIRGVNVGSVIRVNPSLNSIEAVVEVDDDKVIIPRNSLVEVNQSGLLMETLIDITPRNPIPTASIGPLDPHCVKEGLIVCDRQKIKGYQGVSLDALVGIFTRLGREAEGIGLANAYSLAERFAVVIEEAQPLLSKIKAMTEDVQPLLAEVRDSGLLKEAESLMTSLTQASEDMRKVHSSVMTPENTELIRKSIYSLIFTLKNVENISSDILGFTGDEATRRNLKLLIKSLSRLL >CDP04338 pep chromosome:AUK_PRJEB4211_v1:9:1971985:1980963:1 gene:GSCOC_T00017694001 transcript:CDP04338 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSASAAAAAAAVVLSPPVISFDHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSERWKDFLLRQAESARLPINGLSPHNDGITYHAGTRNEDADIVVGNEKEEENIGGEKPDSGTLTTNEGEEGQPTVKAKAHGVQIWTEVRLLLHPIEDAMSFRVKKTAGYVKKEQGVGTGKHLPPIEEARPAKGASEEDSEEEFYDLERSESTDNISALGAGATGDLASPESLIPWKEELEILVQGGVPMALRGELWQAFVGVKTRRVEKYYQDLLASNVKSANNTDNRHVESEDCKKESTADCIAISEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALLGILDDYFDGYYSEEMIESQVDQLVLEELVREKFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNESRLQELRNKHRPAVKAAIEERSKGLRAWKDSKGLASKLYSFKQDPGSIIAGANRADQGVNKQINGDVSPIHAASATMDQLYTGLTDDMEIDSVPDLKEQVVWLKVELCKLLEEKRSAELRSEELETALMEMVKQDNRRQLSARVEQLERELAELRQAFADKQEQETAMLQVLMKVEQEQKVTEDARRFAEQDANAQRYAAQVLQEKYEEAIASLADMEKRVIMAESMLEATLQYQSGQNKAQPSPRSVQQDSSQVRNSQDSSQDIPMRKISLLSRPFGLGWRDRIKGKPSNVEESNDDKLVDAKVEQTPSTPQKETNSHQLEDNVQ >CDP14579 pep chromosome:AUK_PRJEB4211_v1:9:20988249:20992420:-1 gene:GSCOC_T00041110001 transcript:CDP14579 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPLQFVNFGKSLIVPSVQELAKQPISKIPPRYVHHDEESPIVSHETSLPAVPVIDVERLLSENSMDSELEKLHLACKDWGFFQVVNHGVSTSLLDCLRNEITDFFKLPFEEKKALWQEPENHEGFGQLFVVSEEQKLDWSDMFYITTLPDKLRKVELFEKLPLKLRDAMEAYSLEVKILAMTLLREMAKVLKIDEEELKGLFSDGVQSMRMNYYPPCPEPEMAIGFNPHSDADALTILFQLNGTPGLQIRKDGRWLPVTPLPDALIVNVGDIIEILSNGLYRSIEHRATVNSDRERLSIATFYSSNLDAEVAPARSLIGPHNPAGFRSIPVEKFIREFFAAKVHGKAHLEFMKIQAGEHQSC >CDP04169 pep chromosome:AUK_PRJEB4211_v1:9:3381868:3384391:-1 gene:GSCOC_T00017478001 transcript:CDP04169 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLNYLFLPGIGYNGFPRGCSDDMLPWSKKSKNGNPLETKYPYVCHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRLDNSQTTYVASQKLLSMAGVKIRRHQPQMNQILIKFQEL >CDP04164 pep chromosome:AUK_PRJEB4211_v1:9:3429010:3432962:1 gene:GSCOC_T00017473001 transcript:CDP04164 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRLRIPILVFGLLLCFIILVPCCFSDNPNKTDNGDENNQNKNNVKEIVDEGQFIEVKSAPEDVVWVVQLSDLHFSVHHPERAQDFKSIVGPTLSMINPSLVLMTGDLTDGKSKDLLTTKQDKEEWIEYQNIMEDVVRRSGLNKSIFHDLRGNHDNFGVSSFGGPSDFFSRYSLNGQLGRNGPVDSIIIQTGERKLLFVGIDTTSSSGLRGPTNFFGHPTDELLSQLSSALRKWDSQSAEPITKISFGHFPLSFSAASSSGNTLEDVFLLHSLSAYICGHLHTKFGKNLKRRHESIHPLYPHKMIQFNANTIPSDNRKDCSDGLTSVEEFWEWEMGDWRKSRAMRILAIDRGHISFIDIDYKLGAKNTIILPTFPLDSRFMAASYHKHNCDSMDLSLYETIRVLVFSASPIVSVVARIYDSRPGYLLEVVETSLRKLESTSSRGDLYCAPWNFKAFEDPSPERYLLQIEAIDNLGRSTLSELRPFSINGLHAKLSWSWKEFILMGCHWAALYFPIFWSFYFLVLSTILVPKILLFFSRRHYSYKHYIANRGFVNCLAWIFTELYNIPILWGCLVAYLFYLILCPWLFGQVFTDGKERGYMTYKGWVLKPSKNGNLEFLGFPDIMVVVLPHLFFVVLPAIVVIGGLAAERGRYRDYLLSLSGKKKEENQSEIRGYAPSSDGRHNRSQFLSSERWTRNILLVVSLAICWVHFKASLL >CDP04247 pep chromosome:AUK_PRJEB4211_v1:9:2734060:2737246:1 gene:GSCOC_T00017578001 transcript:CDP04247 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSTFDSAKALSILFVFGVYFLQTASSPPPGDAVHLQLTVSSAYAACGLNFTSIPYEPSGECIGGINEKTSDWDGFPSSICCRNALNTLTQALARQATTGDGNIFIDGERWKNCNDPFHQQQSVSVQACGFDDLFYGSSQCSSLKLSTITQNSSFQAAFHQCASFNPSFDNFCSNCTNALINATNQFLDQLYEQDNNTEKVICGVALIVAVVAGEIDNESQIKDFYRCLPALIESGHYIKIKSTLARALLAVLLATTGLILIIALIKYVTKSKKQEKKRVRAKDIVAWSALYSFSKAEIENAMNYGNEKECLGRGSAGVVYKGILPSGQVVAIKHVHKSNTSDSFTREIEGLSRVRHPNLVCLFGCCMEDGEQYLVYEYCSAGNLAQHLLKKETVLPWESRVKILRDCALALRYLHHYVDGCIVHRDIKLTNILLTENMEPKLSDFGLARVLGMEESKVFTDVRGTIGYMDPEYMSNAKLTCASDIYSFGIVALQVLSGQKVIELDLDARDQLTRKAKDVSMGKRPLKDIEDPTLEGNLDAVDFESILQIAVLCVAKSSDGRPSIDIVFEELDKAWKNTVAVRVGLSIIRCSATYRINL >CDP04102 pep chromosome:AUK_PRJEB4211_v1:9:4070135:4073596:-1 gene:GSCOC_T00017398001 transcript:CDP04102 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKSKPQGSNSHEISPTLSHINGRPVLQPNCNRTPLLERPPAAASTTITTSTGGNSSPRIRASVTTTPPASPQLKSPRQPATKRGNDPNGLNSSVEKVVTPRSTTKSSVTSKKKSKKSSNGGGGHVTDSAENSSSSSLKYSSSLIVEAPGSIAAARREHVAIMQVQRKMKIAHYGRTKSAKYEGKVIPLDPSAITTTTVKEEKKCNFITSNSDPIYVAYHDEEWGVPVHDDKLLFELLVLTGAQVGSDWTTVLKKRQDFRDAFSGFDAEILATFTEKKITSICTDYGIELSQVRGAVDNANRILEIKKEFGSFDKYLWSFVNHKPISTQYKSCLKIPVKTSKSESISKAMVRRGLRYVGPTVIHSFMQAAGLTNDHLITCPRHLQCLALASQGPAVAPAL >CDP04154 pep chromosome:AUK_PRJEB4211_v1:9:3562222:3569285:-1 gene:GSCOC_T00017462001 transcript:CDP04154 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MDSSRPDGENNGLTSLTTSATDVAWFVLGPDQQPIGPYSSSELREHYSSGYLSDATLVWFQGATNWQPVSSVPGLLTDLPVQNAQIQLAVPKTSNEEDEFEKWQREVREAEAEAERAVTIEPEKPSTPPEGEEEFTDDDGTLYKWDRTLRAWVPQEDNSENTANYGVDDMIFVKEEEVFPTIKADDFPVEEEIKGTSDTVEANPNGKRKLPEKTAEKKEANKPPDSWFELKVNTHVYVTGLPDDVTVDEVVEVFSKCGIIKEDPEMKKPRVKIYVDKESGRQKGDALVTFLKEPSVDLAIQILDGTPFRAGGKIPMSVTKAKFEQKGETFLPKKVDKRKKKKLQHLERKMLGWGGLDDAKLLIPATVILRYMFTPDEIRADENLRSELEEDVRDECTKLGPLESVKVCENHPQGVILVKFKDRKDALKCIELMNGRWFGKRQIHASEDDGSVNHALVRDLEAEADRLEQFGAELETD >CDP04163 pep chromosome:AUK_PRJEB4211_v1:9:3435004:3446476:-1 gene:GSCOC_T00017472001 transcript:CDP04163 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G65430) UniProtKB/TrEMBL;Acc:A0A178WLP2] MDSEGAMLMHDAESLDDNFYSGDDSDDADVIMYYDFTDNDSDDSDELPSHHRFQPNYTVLTEADICQRQEENITQISTVLSISRIAASILLRHYNWSVSKVNDEWFADEERVRRVVGLLEDPIPLPDAKELTCGICFETYPSDRMSSAACGHPFCVECWQGYMNTSINDGPGCLMLRCPDPSCCAAVGQDMIDLLAVREDKEKYKRYFLRSFIEDNRKTKWCPAPGCEYAVDFIVGSGSYDVTCRCSYSFCWNCTEEAHRPVDCGTVARWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKYEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGVYDDAEKRREMAKNSLERYTHYYERWATNQLSRQKALADLHQMQAVQLEKLSDKQCQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEYEHAKRQFFEYLQGEAEAGLERLHQCAEKELQGYFEAEGPSKEFNEFRTKLAGLTSVTRNYFENLVRALENGLADVDSHGACSRAASSKSLGSGSIKVKGIRGKVIGCRSSNSRNIDDSGHWSCDYCTFANVKSATVCQMCQQCR >CDP04347 pep chromosome:AUK_PRJEB4211_v1:9:1896846:1897539:1 gene:GSCOC_T00017705001 transcript:CDP04347 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAVVINLPRLAVSQLYLGRYDNELPIKGSFDTDCTISGTLNGVRVKSWPASKSGSATNMHFEGIIIQNVSNPVIIDQEYCPNKQSTNTAPSSVQIAQVSFNNITGTSATPAAVTLLCSKSIPCEGVEVADIDLAYNGNQGSVSSNCANVKPALSGKLNPPICTNATVIAQAA >CDP06338 pep chromosome:AUK_PRJEB4211_v1:9:15389131:15389458:1 gene:GSCOC_T00023138001 transcript:CDP06338 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRFRLLKQRKAGNLCCYFSFTWSSKRSCYYNLPWYTTLANQHQRWMFRARMAFLLQRQSY >CDP17335 pep chromosome:AUK_PRJEB4211_v1:9:20430709:20431647:-1 gene:GSCOC_T00004099001 transcript:CDP17335 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTKFLEHASLYPCVCIICFLSCENLQKRTCKGNNSYQLMDSIALASCVLLLHLLIVLGACDIIPKAKDSGTNAIRLHGMDANNPHRNDTTHHVAHVHEKKSMHDPSLSSSHMMHQMDPRTTVFFVLDDLKLGKTMSILFPDGDLSPLSSPYLWPREQADAIPFSLAKLPQILQHFSFPQGSRKAQVRNIHSELSRLSL >CDP04370 pep chromosome:AUK_PRJEB4211_v1:9:1635314:1642342:1 gene:GSCOC_T00017739001 transcript:CDP04370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MLKNSFLLCSGVCYLHVPVQQPIFICKKRNRSIKSAAAAAVAYNATSSSAAAAASTLEISSASSPSSFPLFQTPSSLQPTPASELEPADPDFYKIGYVRSVRAYGIEFREGPDGFGVYASKDVEPLRRARVIMEIPLELMLTISQKTPWMFFPDIIPVGHPIFDIINSTNPEIDWDLRLACLLLYAFDCKDNFWTLYGDFLPSADECTSLLLATEEDLLELQDHNLASTMREHQQRALEFWEKNWHSAVPLKIKRLARDPERFIWALSIAQSRCINLQTRIGALVQDLNMLVPYADMLNHSFQPNCFFHWRFKDRMLEVMINAGQRIRRGDEMTVNYMSGWKNNLFMQRYGFSSPVNPWDVIQFSGGARIHLDSFLSVFNISGLPGEYYHNSRLSTNGDNFVDGAVLAAARTMPTWSDGDVPPIPSIERKAAKELQEECQLMLAEYPTTAKEDQQILDSMPEARRTLEAAIKYRLHRKLLIEKVIQALDVYQEQILF >CDP17334 pep chromosome:AUK_PRJEB4211_v1:9:20422156:20423698:-1 gene:GSCOC_T00004097001 transcript:CDP17334 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKMDTEGKVETEKMNKAIAMAYDVQSKIVEDLFGDHLHLGFYDSSSVIPGSDVNSAQTRMIEAALRFASVSDKRGIPDKSLVDNGRGIGRNLAEICTFKQRNGRHCSRFGGC >CDP04210 pep chromosome:AUK_PRJEB4211_v1:9:3080511:3082163:1 gene:GSCOC_T00017529001 transcript:CDP04210 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFIVSNVDRSREGWTEEEMAFDPRILASLQRPLWDALKKTINRLVNKVTVSNVRNVAKELLSENLIWGRGLFCSAILKTSIASHTFTPVFAALVAAINSRYPDVGYLLIKRIAEQFEIGCKQNDKHQLFLFVLLQDATEDNIEVAAILVRQCGSTILESNPRGLAAVFERFREILQDGDTDKRAESLIESLFAIRSSRFSSYPAMRHELDIAESADQVIHEISLCNDLDPEMYLDVFHLDPQVLMSDEERYKMLKAIVLGEEDYEKHADDGAAKSNQGISEEDSSIEDKTVTDVENLKNTIFLTMMSGIDFEDAGHKLLAIPLRQNQEMVICNMLLECCSHQKTYNRYFTLLAQQLCLLKEEYRETFESCFLLQYSIVDSLKTHKLHNVAKFFAHLLSSDSLAWHILACIRLTGENTAATTSSSLGMFIKILFQELAEELGVPLLKKRLNDPTLQGSLDSIFPKNNNNTRNLRFSINFFTSIGLGGLTDNLRENLRNMQKQNHQVSSHSDQGTQSFGTGGSKPFQ >CDP14550 pep chromosome:AUK_PRJEB4211_v1:9:21522764:21530598:1 gene:GSCOC_T00041073001 transcript:CDP14550 gene_biotype:protein_coding transcript_biotype:protein_coding MADFEPLLLRRRDADAAVAVAVADLGEDKQDVVEAAKDNKITPPRPRVASLDVFRGLSVFLMMLVDYAGSIFPIIAHSPWNGLHLADFVMPFFLFVAGVSLAIVYKKVPDRIQASWKVVLRALKLFFLGILLQGGYLHGVTSMTYGVDIERLRILGILQRIAIGYLVAALCEIWLPRRRWRKEGFPGNYLCHWFIVLSLVAVYVGLLHGLYVPDWKFISANNGDIYEVKCSVRGDLQPGCNSAGMIDRYILGIQHLYNKPVYRNLKECNTNSVPSWCLAPFEPEGILSSITAAVSCILGLQSGHILVHFQDHKERLYNWSLLSFSFLALGLLLSFIGIPLNKSLYTISYLLVTSATAGITFCLLYVLVDVCGWRRLTCVLEWMGKHSLSIFILVTSNIAVIMIQGFYWRAPENNIVHWIITHVAHK >CDP04098 pep chromosome:AUK_PRJEB4211_v1:9:4129119:4131103:1 gene:GSCOC_T00017394001 transcript:CDP04098 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSFSLCFLVFFFLETSAFDDDALSFLHYYRSCPDVEKIIHNKVKQWVAKDYTLAPALMRLHFHDCAVRGCDASVLLNHQGSERRSNVSKSLRGFEVIDDIKAEIEKKCPKTVSCADILTAAARDATVLAGGPFWQVPYGRKDGRVSIAKEAEMVPMGHERVTDLIEFYQSKGLNILDLVALSGAHTIGRSTCGSLQFRLFNYMGTGKPDPTINPKYLNFLRRKCRWASEYVDLDATTPKTFDVQYFKNVQNKMGLLRTDQILYSDSRTAPLVNALASQTHVFHHQFAASMVKLGNVQDYLSADDGEIRVNCNCVNA >CDP04540 pep chromosome:AUK_PRJEB4211_v1:9:190654:196372:-1 gene:GSCOC_T00017967001 transcript:CDP04540 gene_biotype:protein_coding transcript_biotype:protein_coding MAYATTDNSIQPRDVCIVGVARTPMGGFLGSLSSLSATKLGSIAIQSALKRANVDPSLVQEVFFGNVLCANLGQAPARQAALGAGLPYSVICTTINKVCASGMKATMLAAESIQSGTNDVVVAGGMESMSNAPKYLPQARLGSRIGHDTIVDGMLKDGLWDVYNDFGMGVCGELCADQHNISREEQDSYAIRSFERGIAAQKSGAFTWEIAPVKISGVKGKQPLVIDKDEGLGKFDAYKLRKLPPSFKQNGGTVTAGNASIISDGAAALVLVSGEKALKLGLKVIAKIKGYADAAQAPELFTTAPALAIPKAISSSGLEQSQIDYYEINEAFSVVALVNQKLLNIDPEKLNAHGGAVALGHPLGCSGARILITLLGVMREKIGKFGVASVCNGGGGASALVMELMPFTRVVRSSL >CDP12639 pep chromosome:AUK_PRJEB4211_v1:9:8174351:8176414:-1 gene:GSCOC_T00036341001 transcript:CDP12639 gene_biotype:protein_coding transcript_biotype:protein_coding MELQEVLHMNGGEGDTSYAKNSSYNQLVLTKVKPVLEQCIRELLRANLPNINKCIKVADLGCASGPNTLLTVRDIVQSIDKVGQEEKNELEHPTIQIFLNDLFQNDFNSVFKLLPSFYRKLEKENGRKIGSCLISAMPGSFYGRLFPEESMHFLHSCYSVHWLSQVPSGLVTELGISANKGIIYSSKASPPPVQKAYLDQFTKDFTTFLRIHSEELLSGGRMLLTCICKGDESDGLNTIDLLERAINDLVVEGLLEEEKLDSFNLPLYTPSLEVVKCIVEEEGSFEILYLETFKVRYDAGFSIDDDYQVRSLFQVYCDEHVKAAYVTFFFRAVFEPILASHFGEAIMPDLFHRFAKNAAKALRLGNGFYNSLIISLAKKPEKSDM >CDP04403 pep chromosome:AUK_PRJEB4211_v1:9:1326780:1330040:-1 gene:GSCOC_T00017780001 transcript:CDP04403 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSNLTMWVSSKTSLSDSTALSFRSSITPVQLPSNNSTTANPSRPSSVTPIHCGLRELRDRIVTVKNTQKITEAMKLVAAAKVRRAQEAVVNARPFSESLVEVLYNINEQLQTDDIDVPLTKVRPVKKVGLVVVTGDRGLCGGFNNAIIKKAEARIAELKGLGLDYTIISVGKKGNSYFIRRPYIPVDRFLDGTSLPTAKEAQAIADDVFSLFISEEVDKVELLYTKFVSLVKAEPVIHTLLPLSPKGEICDINGNCVDAAEDEFFRLTTKEGKLTVERDIMRTKTTEFSAILQFEQDPVQILDALLPLYLNSQILRSLQESLASELAARMTAMSNATDNANELKKSLSIVYNRERQAKITGEILEIVAGANALT >CDP04560 pep chromosome:AUK_PRJEB4211_v1:9:60652:69478:-1 gene:GSCOC_T00017993001 transcript:CDP04560 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLIQPREGVIIQEEHINNSSSNRTTAGVGIGAIGVGLGDPCLVLTSDPKPRLRWTADLHDRFVDAVAQLGGPTKATPKAIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKDLVEASKDGLAAAYLLESPHANKSPQSLPDSDMNEGYEVKEALRVQMEVQSKLHLQVEAEKHLLIRQDAEQRYMAMLERACKMLAEQIVGCTIRGPDREDYQGLGTKTQIGASHNAYGLQPSESAEVRRIHSPEEVIRQIKQCADCSTESCLTSRDNPAGLCPGGSPSPGGKKRFLSMDSASASFIYG >CDP12621 pep chromosome:AUK_PRJEB4211_v1:9:8466195:8473287:-1 gene:GSCOC_T00036309001 transcript:CDP12621 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLPFSSSFRSWFHPCLASKITFTRRTNSLSIVTRSSLSDNSALLQAAKHTVDSYVKSGMVVGFGAGHASDLAIHYLGQQLKAGALKDIVGTPTCVGSASVAAKVGIPLEQYEGSSKIDVAFSDADVIEEVTLSAIIGRRKMMGEESIIQEKTILGAAEKLVFIVTEKQYRSDLDGSIPVLIQSFNWMETAEEIDDLFLGDAEVWRRPSVGYAGPLGGDFPMVTKEGHNVLDVIFTSPIIILADVANCLEQVDGVVEHGIICRIPCTAVIASENGLRIIDNNLILEAGRA >CDP11261 pep chromosome:AUK_PRJEB4211_v1:9:6427333:6428483:1 gene:GSCOC_T00033388001 transcript:CDP11261 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMLKVFFLGCILHLASAQLQNGFYSTSCPRAESIVQQVVVKKFSADRSITAALLRMHFHDCFVRGCDASILIDSTKTRSSEKDAGPNLTVRGFELIDEAKRNLEAACPSTVSCADIITLATRDAVALAGGPRYNVSTVSNVLKTGPLIEPVKSKGRGSTGRTGSTSVQ >CDP05642 pep chromosome:AUK_PRJEB4211_v1:9:12664335:12666046:1 gene:GSCOC_T00020819001 transcript:CDP05642 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKGWEILGHPLAQISSQKIYFETPLLSSSAAPSTIPPPLPPLSTATPTSALLADLLDYNSIMRPSGSVPNLEARPFSIFSIAMIFLPLVSPE >CDP14576 pep chromosome:AUK_PRJEB4211_v1:9:21058103:21060986:-1 gene:GSCOC_T00041105001 transcript:CDP14576 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTETTVKKDTEVTVPPNLDGKSCESGSRAKLLVSNGCNKRKAEFVLDGEREKRKRLDPVVKQQCRTILETLITHPTGWIFRTPVDPVALNIPDYFSIISHPMDLGTIKSKLAGNRYFSAEEFASDIKLTFSNAMLYNPPDNPVHRMAKELECVFIRRWKLLEAKWKRETACAQQDTFSSKSEKTAQNTMRAFDKKSLEQISSGLEKNAQNRAKPSCKKPQGLISNGIEKKAQDTRKAFSKNSQGVVSNESEKNAQDTKKAFCKNSQGLISGKFEKNAQCKKSDTGLVAKRSMLLEERQKLKKDLIEMLKGKVNGKLQTVLQKFGFFDIRKEKIDVNIDDLQDDILWELKRVLKDSSDASSAKESKDKILSTQTKIQHRESKVIQDRETKTIQDCQSKTMSTHSCRVNADSVCQLTEGSGSGEDEEYTWPSSGLSTTITSAISGECWTPLIDDEQALKKALRIAKLKSRFAETISKANGDKTDAEQERERVKRLQREEELFKARIRGEQLKKEAELKRQRDKEREAARLALQLMEKAVQLEDNLMTLKELEMLTQCSPTFILHGCCPVMVLRRLETGEILNPLEQLGLHIKDDFLEEDDDEETFLTWEGEEGEILG >CDP06348 pep chromosome:AUK_PRJEB4211_v1:9:14797118:14803829:-1 gene:GSCOC_T00023161001 transcript:CDP06348 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLFFIKSPIIPRGPTILLFLYSKKFSITPKPHHSPAFGLVVTTQDLSLGRSRVQPLPPINLPLYLCTKILNHKGVKCNLPFFIQPKFSITNKFSLSSTQISQNKKSPSLFVTPRNFLFQKLDTLLPTAIFNISSLQYIALDQNKFSGTIPLTTGNKLSNLKFLSLEQNHLTGIIPTSISNASKLVALSLHDNELTGSIPNSLGRNMSNLTQIFLDSNNLTSMIPANLLSMKNLQVLNLSSNFLSGSLPLEIGNLKAAYNLDLSINQLSNIIPTTIGELQALQNLSLAKNNLQGSIPESVSNMVSLEFLDLSHNNLSGVIPNGEIPQGGPFRNFTGQFFINNEALCGDSGLNVPPCQRNSTRRSSKRKVLLLVISLSGIAAIPIIAIGAILTLRRLKKRKGLGGTELMSVANYERFSYYDLLQSTDNYNESNLLGEGSFGSVYKGILSDGIVVAVKVFNLQVEGASTSFDRECEVLKSLRHRNLTKVLGNCSNPDFKALVLKYMPNGNLEKWLYSNNHFLDRFQRVNIMIDVACALEYLHYGYYTPVVHCDLKPSNILLDEDMVASVSDFGITKMFGEGESILHTVTLATLGYIAPEYGSEGLVSRRIDVYSFGIVLMETFSRVKPSDEMFSSDLSLKSWVEDSLPNALQVIDANLIRPEDEHFTGKLKCVILILKLALNCCRESPGERMNMKDVLAELKNIKRQLLMTVSALHFFFKANFTFQCRKLLSLSI >CDP04369 pep chromosome:AUK_PRJEB4211_v1:9:1644404:1647209:-1 gene:GSCOC_T00017737001 transcript:CDP04369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 19 [Source:Projected from Arabidopsis thaliana (AT3G07950) UniProtKB/Swiss-Prot;Acc:Q8LF05] MSTPPLSGGGVLTGGFTKLCKGLAVVLVGGHIVVQIIPTASSYLALIPAKTIPFAWNLITAGYIEQSVHGVVISTLGLLFLGKLLEPIWGSREFLKFIFVVNFLTSVCVFITAICLYYITRQENYLYMPISGFQGILSGFLVGIKQIIPDQELPVLKLKAKWLPSLMLLVSIAASFFTADSASYLPTLVFGAYIGWIYLRYWQSKPETKLRGDPSDEFAFSTFFPEFLRQAMRVAIFRIQLSFCTSFPYFP >CDP04565 pep chromosome:AUK_PRJEB4211_v1:9:19052:22555:-1 gene:GSCOC_T00017999001 transcript:CDP04565 gene_biotype:protein_coding transcript_biotype:protein_coding MDELQISPVDSRESPGKEQQAAGVGILLQIMMLVLSFVLGHVLRRHRFYYLPEASASLLIGLIVGGLANVSDTETSIRAWFNFHEEFFFLFLLPPIILYPFFGLQPKPFFSNFGAIVTFAIGGTFIASVVTGILVYLGGLMYLTYKLPFVECLMFGALISATDPVTVLSIFQELGTDTNLYALVFGESVLNDAMAISLYRTMSLVRSHSSSEQNFFMIIVRFLETFVGSMSSGVGVGFTSALISYLTF >CDP12581 pep chromosome:AUK_PRJEB4211_v1:9:9379211:9383005:-1 gene:GSCOC_T00036242001 transcript:CDP12581 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISSFSQLAELKHWFIVEPKSRILGLKPELEFLAISNGEFKSYLHRAIVNRYKERISVAYFVCPREDKLIKPPEDLVERQASRKYPNFKWLDLLDFTQKHYRADGATLQNFTKWLMSSNKIYS >CDP12603 pep chromosome:AUK_PRJEB4211_v1:9:8767875:8779283:-1 gene:GSCOC_T00036281001 transcript:CDP12603 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREAAIMDKEHQSALKFRVEDVNESQKERPPKSSLANEVTQTLALTKPMIEQSYSMNKDRTNLSKVPLPPSAALFYNGYSPQMEVVESCQSIYKLNMYLRARKDDVNAGVPGRFLHAVIGPDICDVGSVATTIMYAFYLNESLQNKLFCTVPIINMTRVDMESRAELKWLLDACHVDQSSLVFLDEIDLSYYDLFGSLKLVLLNCNKLPARQEALKEALVEIFSCSKHDDVYSWVKSATFGEEASCSTLIAEKFLLTTPEILAGQRFSRLLLAGILMDTGNLRSPLSTSKDNYMATLLINGAGRYGCNGLYQILRYKMYDVPELSIGEILQKDIKKWTKIGKLISGNSRLTVLNIGMSSIGISIAQLLSLDSTSNLEISHFQRLEKLSLLLIVSGYYDAEKNFKREILVSAESVELLKNLIQFMKSSAPDLPLKILHHRDLREEMKAFEVDRVTSRKTIERLLEEYIEVSN >CDP04554 pep chromosome:AUK_PRJEB4211_v1:9:99345:104263:1 gene:GSCOC_T00017986001 transcript:CDP04554 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIPNDMLSLLSSSPTASDSWVSQNVSRYMVKNGVNIKYVAVGNEPFLTSYSGQYQSYVVPALTNLQQSLAKANLAGIIKLVVPCNADAYESSLPSQGAFRPELNQIMTQLVSFLNSNGSPFIVNIYPFLSLYGSSDFPQDYAFFDGTTHSVIDGPNVYNNAFDGNFDTLVAALSKIGYGQMPIIIGEVGWPTDGVVSANLSAARAFNQGLINHVLSNKGTPLRPGVPPMDIYLFSLLDEGAKSTLPGNFERHWGIFSFDGQAKYKLNLGNGLLKNAKDVEYLPFRWCVADPSKDLSTVANHFKLACSYADCTTLNYGGSCNDIGAKGNISYAFNSYYQLLKQNVQSCDFDGLGVVTFLDPSVGDCRFLVGITDGKSSGICLDGRLVIVLSLIFWVSYIYLV >CDP11240 pep chromosome:AUK_PRJEB4211_v1:9:6704766:6708768:1 gene:GSCOC_T00033358001 transcript:CDP11240 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIYKLQTFLNSAVGVGGAKQQRPFCLLQLSFKHTIPMARSALDEMSATGAFVRTPSTFRNLISRDPNSPFPAEAERYHLYISYACPWASRCLAYLMIKGLDKCISFTSVKPKWERTKEADEHMGWVFPASSSEEAGADPDPFNGAKSARELYEIASTNYSGKYTVPVLWDKKLNTIVNNESSEIIRMLNTEFNHLAENPELDLYPSHLQDQINEINGWIYDDINNGVYKCGFAKKQEPYNEAVKKLYEALDKAEEILNKQRYLCGGTVTEADVRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKDIFQIPGVSSTVNMEHIKKHYYGSHPSINPFGIIPQGPDIDYSSPHDRARFSK >CDP05636 pep chromosome:AUK_PRJEB4211_v1:9:12353059:12354672:-1 gene:GSCOC_T00020804001 transcript:CDP05636 gene_biotype:protein_coding transcript_biotype:protein_coding METPINLYQNTQSHLNKYSSIVFDSYPPQMGICNGELISQISNPDSPLDIEEFRRQGHMIIDFLADYYQNIEKYPVRSQVDPGYLKSRLADSAPYHPESIETILNDVEKDILPGITHWQSPTHFALFPCSMSIPGFLGEVLSTGFGTVGFNWMSSPAATELENVVMDWFGKMLNLPSSFLFSGGGGGVLQGTTCEAMVATLTAARDQMLRKIGKANIGKLVVYASDQTHFSVQKAAQISGINSNNFRVIQTTKSTNFGLSSDSLHSAINADIDAGLVPLFLCATVGTTVATAVDPLRSICGLAKQHEIWVHVDAAYAGSACICPEFQHFLDGIDGANSFSLNAHKWFFTTLDCCCLWVQDPNALIKALSTKPDYLKNQATDSNRVVDYKDWQIALSRRFRALKLWLVLRSYGIVNLQKFIRNHVKMAKHFEGLIAKNNNFEVVIPRNFSVVCFRLSPFALTGNQKIMSSEEDLNEINRKLLESINSSGRVYMTHGMIGGVYTIRFAVGASLTDYRHVELAWKTIQEHADTLLNDLCV >CDP12611 pep chromosome:AUK_PRJEB4211_v1:9:8682226:8683387:1 gene:GSCOC_T00036291001 transcript:CDP12611 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLFWAIRGGSFRILLAWIPRLVPIPVIVTVFTVPRTLEQEQPNCFTDGKVHSLESLMMNVPPAFLLQGKSSYTRTCFEAKSNCVKKPIPEFALVGIWKRFWEEDAPFALWTPYGGVMNKISESETPFHRRNGTKFMILWLSTWHSGASDKITTKKHVEWIRRLYDFMTPLHSCPHLMLEEYWYKDPNTCVVLHDSGEKLYKPPLDFQLVGQLKKHRGADTISFWLPQAPPGFVSLGRVTFKSAAKLSDFSILRCISSDMVTGDLFLWDTSNTKFMKEPFMWMVGNELSTFIVLGDFRKPPI >CDP12629 pep chromosome:AUK_PRJEB4211_v1:9:8352296:8352663:-1 gene:GSCOC_T00036323001 transcript:CDP12629 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHNNPKRQKNNLYLYLTDDYCSNTSSSNMSNKQSCFPKPLSHGFIKLERINIQIPGHNTLF >CDP17326 pep chromosome:AUK_PRJEB4211_v1:9:19961553:19975610:1 gene:GSCOC_T00004081001 transcript:CDP17326 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSMNGMSEDHSSLGSSTKRPEGHKTRYNKDVMPGSELWTDGLICAFEFRKSMINSKDPATLHEIGASGNGALDSDADRPNMQSDCLHSVERFEGSHWIPIGWARISELVQTVQIDAGWSEQQVDLMDDEEDLTVADLAAPYWERQAGPTWWCHVAAGHPCVDAWLNSAQWLHPAISVALRDENRLISDRMKHLLYEVPVRVAGGLLFELLGQSAGDPYADEDDIPIVLRSWQTHNFLISALHVKGSASRINVLGIAEVQELLVAGGYNAPKTVHEVIAHLACRLARWDDRLFRKSIFGVADEVELKFMNRRNHEDLNLFGIILNQEIRKLSTQVIRVKWSLHAREEIVFELLQHLRGNTARSLLEGIRKSTREMIEEQEAVRGRLFTIQDVMQSTIRAWLQDRSLRVTHNLTVFGGCGLVLTIITGLFGINVDGIPGAANTPYAFGLFSGVLVFLGIVLIVIGLLYFGLKNPISEEKVEIKKLELQEMVKMFQHAAETHAQVHKPVSRHNLPPTAADKFLQEADYLLIS >CDP06331 pep chromosome:AUK_PRJEB4211_v1:9:16077703:16079061:-1 gene:GSCOC_T00023119001 transcript:CDP06331 gene_biotype:protein_coding transcript_biotype:protein_coding MEENAVILNKRNFGGRRKIQIKKIEKKKNLLVSFSKRRAGLFKKAEEYSKKSGAQVAILVQSPGGRFFTFGGPDSASVDSILNQYLAGMMPSSSSSSSAKNGTVVDDQGKSNPVEIEENTMEEEVIEQGLMETNQVSDGKESLLKQLLDNDDLQCFDELENYMVTMKGLESEELSQEDDGTNRAADDTINGDPDSSSLLNPNVNLDYFGGFPVNNDQMIGVADTAVNDANPVYFSGLPVTNDFFQEYNEMTRAAADAASSSLLIPKVNLDYFSGLPKNNDFFQDDEMARPADTINGDADPSSLAIPNVNLDYFGGLPMNNDFFQDDEMKRAADAVNGDVDSSSLLIPSVNLDCLGGLPLDCDFDEPSPQYNDWKKSTYSRYRDMVN >CDP18198 pep chromosome:AUK_PRJEB4211_v1:9:4652034:4652576:-1 gene:GSCOC_T00007103001 transcript:CDP18198 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQMKGREKIEMKKIEKEDDLYASFTKLRNELFKEASELCTECKVDIGVIIFSPTGEPHSFFHPNADKVVNRFLRRDMPRDDADQLAEALARARVEQLEQQLHELEVQQEIEEERAKKLDELFAQDGIVGWPGVPIDQMDMEMVTNLESKIDNLLLQLEEHAKKLTEEASSNVPPSKI >CDP18177 pep chromosome:AUK_PRJEB4211_v1:9:4527405:4529168:-1 gene:GSCOC_T00007077001 transcript:CDP18177 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGKESINEAQPRSYWRWSKKDFFPEESFQSWSNYRSALSQTGIRFKDRFVGRSDDANEVGEVRKESENDMKKCLSWWDLIWFGFGSVIGAGIFVLTGQEAHKHAGPAIVLSYVASGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFAAFIAAGNIFLECMVGNAAVARAWTSYFTTLLNRHPNSLRFHTNLADGYNLLDPIAVVVLVVASTIAMTSTKKTSYFNWIASAINNIVIIFVIIAGFAHADTTNLSPFLPHGAEGVFQAAAIVYFAYGGFDNIATMAEETRNPSRDIPLGLLGSMSLVTVIYCLMALSLSMMQKYTDIDPNAAYSVAFQSVGMNWAKYLVALGALKGMTTVLLVGALGQGRYITHIARAHMIPPWFSLVHPVTGTPIYATLLITISGSCIAFFSGLGVLSSLLSVSTLFICMMMSVALLVRRYYARGITPQRDALKLMIFLLLIIASSMGTSAYWGLNPKGWLGYTITIPLWFFATLGISVFLPQQRAPKVWGVPLVPWLPSLSIATNLFLMGSLGAEAFIRFGICTVVMLIYYVFVGLHATYDLAHQKSSKTVDEEDTGNPNS >CDP05621 pep chromosome:AUK_PRJEB4211_v1:9:11681868:11683641:-1 gene:GSCOC_T00020775001 transcript:CDP05621 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRSSLPSILDRRNPFKNIIIVVTCIIQTHDSCTFAGAVPDEVWNLTLLSSLDFGDNKLTGGIPEKIGNLQRLELLNLGNNTLSGSMPAGIFNISSLQYIGLHRNKFSGTIPLTMSSKLSKLKFLYLCQNYLSGVIPNTISNASQLVYLLLHGNELTGSVPSSLGSLRNLQFLLLASNRLSSESSNPELSFFTFLTTCRFLRYLTVDQNPLNGFLPSSLSNYSTSLEVLHAANCQIKGNIPVGISNLSTLLKLDFSSNELIGSVPKTINRLANLQEFSLGWNQIRDVLDIFCGLHSLGNLALSQNQFFGSIPECLGNMTSMRMISLDSNNLTSTIPANLLSMKDLLVLNLSSNFFSGSLSQEIRNLKAAYSLDFSFNQLSGQIPTSVGELQTLQYLSLAKNSLQGSIPESISNIFNLEYLDLSHNNLSGVIPKSLEALKSLEEFNVSFNRLSGEIPRGGPFRNFTSQLFMNNEAL >CDP04332 pep chromosome:AUK_PRJEB4211_v1:9:2034969:2039733:1 gene:GSCOC_T00017687001 transcript:CDP04332 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDPHSFTDSTHPLTTHISLSLYFDFPSSTILASTLISLPTTHSGPFTLDTRSLSITSVLDPTTLTPLPFTLTPPIPHPVFGQSLIITLSNHSQVIIISKTSASSSALQWLSPPQTFNQAFPFVYTQCQSIHARSIFPCQDTPAARIRYDAKLNIPRQLFAVMSARHVDRRAPVVGSGEARGACDDSLWCGDDRVVDDFLMEQPIPPYLFAFAVGELGFRDVGPRSRVYSEAAPAVLDAAAREFAGTEDMIRVGEKLFGPYDWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEAVQGEDRAALNIGIGWKGLVDAVERFKDNMEFTKLKTNQEGVDPDEIYSEIPYEKGFQFLWRIERQIGRPAFDEFIKKYIATFKFQSIDTDTFLNFLKANVPGIENQIDLKLWTEGIGIPPDAMEPVSSIYTKIVSLANEFKLGRMPREDEVADWHGQEWELYLENLPKYVEASQALALDARYRLSESKDYEVKVAFLQLAIASKCRDYFGEVEKTLKEVGRMKYLRPLYTALVQGAGKDEEKIFAKRVFSEARDCYHPIAQGVVETILRKYV >CDP04425 pep chromosome:AUK_PRJEB4211_v1:9:1126751:1129392:1 gene:GSCOC_T00017812001 transcript:CDP04425 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGWGVTVDNPDKIGFFGNKPVFGFNLSPRLNPSKDSHAAASSDGEKRVVVGEVDFFSDKKKANDILIKKEDCHGEDKMKTNMDVVNTGLQLVIANTGSDQSTVDDGVSSDIEDKRAKLELAQLQVELERMNAENRRLREMLSQVSNNYTALQMHLMTLMHQQQQNAKPQTTQDHEIGERKSEENKPENGGVVVPRQFLDLGPSGTAEMDEPTNSSSEERTLSGSPRNNMELSRNKGVGREESPESQGWAPNKVAKLNAPSKTVDHAQAEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTVLITTYEGTHNHPLPPAAMAMASTTSAAANMLLSGSMSSADGLMNPNFLARTILPCSSNMATISASAPFPTVTLDLTQTPNPLQFQRQPSTPFQLPFGTPPQNFPPVANPQMHQVFGQALYNQSKFSGLQVSQDIEAAAAAAAQMQNQGQHPQVQQGQHQPSFADTLSAATAAITADPNFTAALAAAISSIIGGSHPSSSNNSNPTTSTPPTANFPGN >CDP12637 pep chromosome:AUK_PRJEB4211_v1:9:8262715:8266648:-1 gene:GSCOC_T00036336001 transcript:CDP12637 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGRWAQCGFLLAFELRRSYVSGASATFSRSRHHDLRCCPAILSACRTRCCPLFLLLYCWLVKTCYIHLRLRFCLLNSSYYLISTSAIQSFSDMVYKWSVVFGDRSFEKGWDEYCHENITNKHDMLLLRHIGHLIFDVIHFSELQK >CDP04495 pep chromosome:AUK_PRJEB4211_v1:9:553485:554347:-1 gene:GSCOC_T00017910001 transcript:CDP04495 gene_biotype:protein_coding transcript_biotype:protein_coding MWETSMKGLSSLVKRTTPSSFAYICEKIGNSLTDKMDDLACFAPGMLVLGSSGYASDESQKFLSLAEEVNTVFKRFIISRSV >CDP04298 pep chromosome:AUK_PRJEB4211_v1:9:2300823:2304077:1 gene:GSCOC_T00017642001 transcript:CDP04298 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMRGLKNLLPLLFLFACFWLEDCIAMDTITIDHPIKDPETIVSSGQSFTLGFFTPVNSNNRYVGITINIPAQSVVWVANRDNPIKDSAGSLAISGDGDLVVLNGQKEVLWSSNVSNSVANSSAQLLDTGNLVLRDNSNGRVLWESFQTPTDTIVRTMNIGVISKNNMIRLTSWRSPSDPSAGNFSFGVDPLRLPEFFIWNHSKPYWRSGPWNGNVFIGIPEMGTSYLRRFDLITDQNGSQYFTHSFTSNLALYDYVLNSSGVLMEKVSYYGDGHSDVSWTSLESQCDVYGKCGPFGSCNPQHSPICTCLQGFEPKNKEEWDEGNYTSGCSRKALLQCDRNISAGQDGKPDVFLKLNNIKVPDFSHLMLFLRATEEECGIQCLNNCSCIAYAYTAGIGCMHWNSSLIDIQQFSFNGADLHVKVAYSKPGFSNRIKAVIASTVILGSLFLAISAYFLRKRLTRHRGNKQNVNLTLSEAWEVSRMETGVSDNSEQSKLEELPLYSYETLANATENFHAKNKLGTGGFGPVFKGELFNGQQVAVKRLSNSSNQGIKEFMNEVVLISKLQHRNLVRLLGCCVQREEKMLVYEYMPNKSLDSYVIDSKKRNLLDWNRRKFIIEGIGRGLLYLHRDSRLKIIHRDLKLSNILLDEELNPKISDFGLARIFGGKEDQANTNRVVGTYGYMAPEYAMGGQFSEKSDVYSFGVLLLEIVSGKKNTSFHYEENKLSLIGYAWKLWTLKQAIILIDPLLSDPRIEMEVLRYVHAGILCVQESASDRPNMSNVLSMLNSEIAELPPPKLPAYTATLGLSESESSQHSVNDVSLTTLQGR >CDP14566 pep chromosome:AUK_PRJEB4211_v1:9:21295191:21301656:1 gene:GSCOC_T00041094001 transcript:CDP14566 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPVSCTIQVPVQLPLHRPKEMWSTRVNPLLHFKFVNCSFFSMQEVSSVITRAEKARRTVVNDTNSPEDVCEYGSFDRKSTKMVRKMGKKEHHLWQKRDSARSGQKALNLVRNISGLPNEKEAVYGALDKWIAWEAEFPLIAAAKALRILRKKSQWVRVIQVAKWMLSKGQGTTMTTYDSLLLAFDMDCRIDEAERLWNMILHIHTRSTSKKLFSRMISLYDHHNMPDKVIEVFADMEELGVKPDEDSLRKIARAFGTLGQVDKKKLVLDRYQGKWKYIHFNGERVRVRRIHCDE >CDP05619 pep chromosome:AUK_PRJEB4211_v1:9:11675618:11677467:1 gene:GSCOC_T00020773001 transcript:CDP05619 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPFLKLPPFSTLAEKIYHLQIIIIIIAPSLIVIVCQRHYEPYSYRVPTTEVRECYAPPFDLELFRNDQHRLKIVVDSENEVDLMVQTRHLRDVIVLVVRGLVQRFNSTSLNSLLKIDN >CDP04475 pep chromosome:AUK_PRJEB4211_v1:9:694467:697012:-1 gene:GSCOC_T00017881001 transcript:CDP04475 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLLFLLFLGIGGKRVAAQVHHVVGDDNGWTPSTDLGSWLTGRVFRVGDKIWFAYPATEERILELQTSEEFFTCDLSNPIRMYTSGLDKIPLESEGVRFFTSGSSDSCKNGLKLPVKVHPQVKNETLADGPTSPAAAPHFPGLSVALFVGLALFCVGM >CDP17590 pep chromosome:AUK_PRJEB4211_v1:9:7382159:7382410:1 gene:GSCOC_T00005093001 transcript:CDP17590 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKTQIKRIEDATTRQVTFSKRRKGLLKKAFELSVLCDAEVALIIFSPSGKLYEFSSSRYINHQHLHMICSYSLSISLFRY >CDP20161 pep chromosome:AUK_PRJEB4211_v1:9:19641351:19642545:1 gene:GSCOC_T00000753001 transcript:CDP20161 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNQQLFPRKPFLSPANEAGGYMLSAPISSVFGETICPPGATGLWPLHDVEFRPSEACPRNFIIFDQTDNRSQIMLHPATGSRFCYPGSNTWAAPSEYKAIKFADNEHREVTSLKEDSDDINALLSSDDEHEECDDDELSTARANVYCGSNSPDSCSNYESPPRKTRITSSRKTSGDISSCTGRKRQRMRKMVKALRGIVPGAHQMDTVAVLDEAVRYLKSLKVEVQKLGVGKLKSYA >CDP17621 pep chromosome:AUK_PRJEB4211_v1:9:7767645:7772728:1 gene:GSCOC_T00005134001 transcript:CDP17621 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQELLVEEGFERQKDNIKSHQKKVKFRDRRARDESIVLPIYVCHDRRRSFEAPKQKSGKASSRNGSVASISTRRAGSDSGISMTGTIDEGFFRRNEPALDEVAIRAVVSILSGYIGQYLRDKKFRETIRAKCYSCFTRNKDSESGDLENMQSGIGVVERLVEDHGPEKELNVKSLQYCIRLLGSTISSVNSKSSKNGTSATCRRQNSQLAACAELYLSIVYKVYKSDRVSARHLLQVFCDSPHIARTNLLPEVWEHLFLPHLLHIKIWYNEELESLSNSMYPDEETKMKALDKAYNDQMDIGTQKFALYYKEWLKDGAKAPAVPSVHLPSTVNRAPSRRHSESFSSHSANKSSLYRAIFGPILERHSTWGNDKHENLSYHSCPEDQEKFFRKEDKIDMESRSFGSQRSSTLSDRKVHAELWPDNKKSDYFRLFNCRSDMAQHGVRKDNTLPNASVEKDRKRRISLSNDLSTAVRTICTSDSLADCETAIRLLANAWLNSHGDPTVETAISKAQVIEAIMDVLSASSDDEILELAISLLAQIVTKQELNAKIIMNFDPQLDIFARLLRDNSLFLKASILLYLVKPKAKQMIAMEWIPLVLRILEFGDHLQTLFTIHCSPQVAAYYFLDQLLNCFDEDKNMENARYAVAVGGLNLLLRRVEIGDIVEKNKAASIIYYCIQADGSCRHYLAQNFNNQAFISLLALKDKKTQNRAFALLTELFCLHRHHERIELLSKLIKGWGRMNTLHILLVYLQKAQPEERPLVAALLLQLDILGDPSLLGLELPVDSSECSVYRYEAVEEIVKAMDCQVLNEQVQEQSARALLILAGHFSYTGEPVAETWLLKQAGFDENSLDASSCNKVAFNNFTNLVREDEEAENWRRRTAMILLKSGRRNLLVALSDSMANGIPRLARASLVTVTWISSFLHSSGERSLQPLACSILAPQLIEALNYENSMEERILASFSLLNLLKGSDGVDRLQRLMTKELVNELDNLSQVTWTAKELISVITSSSNSGA >CDP14524 pep chromosome:AUK_PRJEB4211_v1:9:21865161:21869342:-1 gene:GSCOC_T00041038001 transcript:CDP14524 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGPQRASSSARIVPVVHDEAPQQQFPVPFSSRSTPLGLLLLQQHSSRETSDEDFCRPTNTTAAILTIDIPDKFGSHKQQQHDPDDWLPITQSRRGNAFTAAFHLLCSGIGIQALLLPVAFVPLGWVWGTLCLTLVFAWQLYTTWLLVHLHEPGPAGAAGTRCSRYVVLSIIAFGPKLGKLLAIFPTMYLSGGTCVVIIITGGGIMELLYKLICGDDPKCSSDTVLTGAAWFFFVFVCLAILVSQFFPSLHSLAPVSLIGSMALATYFTMLWTLSIREGRPGGVSYEPSKAAASEMSRIRGIINALGIIALTFKGHNLILEIQGTIPTDPQHPSRHGMWRGVTASYLLIAVLLFPLAIGGYWAYGNMMPAKGILNALSSSLKHQRTSRSVMAAIYVIMLVHLFSAFQIYGMPVFDNLERIYVSKKNQACPRWVRSAIRVFFGGLTYFIAMAFPFLGSLGPFIGAITLPLTLAYPCFMWLAIKKPKVLSSMWCLNFGLGSLGLVMSFLLAAAALWSLVADGLDANFFKPH >CDP05603 pep chromosome:AUK_PRJEB4211_v1:9:10986767:10987863:-1 gene:GSCOC_T00020743001 transcript:CDP05603 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCLTGFGRTRCLVARVILQRDDVELVAINDPFITTYYMTYMFKYDTIHGQWKHHEIKDDKTLLFSETPVKVFRLENPEKIPWAISTRFVGGIIMTHRDDTGSMLPPKLAPVQVFKKVFYWLFVLFL >CDP17323 pep chromosome:AUK_PRJEB4211_v1:9:19835929:19842780:1 gene:GSCOC_T00004078001 transcript:CDP17323 gene_biotype:protein_coding transcript_biotype:protein_coding MISEALLDNLNNLQFSPLTFKYLYCGPQAFTQFHHHLVTTSRQVMTFGGLLRFLYVGKSASSSSGYTAIWISSAPA >CDP04117 pep chromosome:AUK_PRJEB4211_v1:9:3934319:3936532:1 gene:GSCOC_T00017416001 transcript:CDP04117 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENGNVIEHKAYARVGLLGNPSDVYYGRTISFSLGNFWASVRLQPSKELVIVPHPTHDLVQFESLSHMVNRLQAEGYYGGVRLLMAICKVFHNYCRDENISLREGNFTLSYDTNIPRQTGLSGSSAIVCAALSCLLDFYNVRHLIKVEVRPNLILNAEKELGIVAGLQDRVAQVYGGLVYMDFSKKYMEELGHGNYTPMDITILPPLYLVYAENPSDSGKVHSTVRQRWLDGDEFIISSMDEVANIALEGRAALLAKDYGKLVALMNRNFDLRRSIFGDNALGALNIKMIEVARRVGAASKFTGSGGAAVVFCPDGPSQVELLEDAYHKAGFVIEPVKVIPSLLNDIDLKSISSK >CDP20845 pep chromosome:AUK_PRJEB4211_v1:9:4860997:4861969:-1 gene:GSCOC_T00013394001 transcript:CDP20845 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSILKRSILKRYRFVNRGHGTVVPLSTPQLMSSQKPKPILEVKLMDAV >CDP14510 pep chromosome:AUK_PRJEB4211_v1:9:22034656:22038095:1 gene:GSCOC_T00041021001 transcript:CDP14510 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPVYFNGGIAVKGLTTGWAGNSGSGCQPPPLPPSQLSWRRPSANTSANLLRLYPLSANQPNKRFLSYSHTRNKCFISGPNHPLSSDCGVQSFNGETSDSIILHQHPAISTCNGGLQIHKIESELETISLSQSQIPDIRRELVMLSLPAIAGQAIEPLVQLMETAYVGRLGSLELASAGVSITIFNTISKLFNIPLLSVATSFVAEDISKNISQVSGTEMGDSTNGKPFDAQVGRQQLSSVSTALVLAIGIGIFEALALSFGSGFLLNLMGISHGSPMRAAAKQFLALRALGAPAFVVSLALQGIFRGFKDTKTPVFCLGKCLT >CDP18194 pep chromosome:AUK_PRJEB4211_v1:9:4635671:4641360:1 gene:GSCOC_T00007097001 transcript:CDP18194 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVEKAGASVEISEPLLQQVDDDDDSKNKDLPGEEFIPDWKHQITFRGLLVSALLGVLFCIITHKLNLTVGIIPSLNVAAGLLGFFFVKSWTAFLSKLGLSVHPFTRQENTVIQTCVVACYGLAFSGGFGSYMLSMDEKTYKLIGEDYPGNRAEDVKNPGLLWMMGFTFVVSFLGLFSLVPLRKVMVLDYKLTYPSGTATAMLINSFHTSSGAELARKQVSCLGKYLSISFFWSCFKWFFSGVGGSCGFDNFPSLGLALYKNTFYFDFSPTYIGCGLICPHIVNCSVLLGAIISWGFLWPFVSRHAGDWYPADLGANDFKGLYGYKVFVAISLILGDGLYNLIKIIAITFKETCKISTRQKDLPIFKEVLDSDTSKRLLEEKKRDEVFLKDRIPFWFAASGYVGLAAISTATIPIIFPPLKWYLVLCSYIIAPALAFCNSYGTGLTDWNLASTYGKIGLFIFASLVGSNGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSARSMFVSQLVGTAMGCVIAPLTFWLYWSAFDIGSPDSPYKAPYAIIYREMAILGIQGFSELPKHCLELCLFFFAVAVAVNFLRDVTPKRVSQFIPIPMAMSVPFYIGAYFAIDMFVGTVILFVWERLNRKEAEDYSGAVASGLICGDGIWTIPSAILSIFRINPPICMYFGPSSGS >CDP14581 pep chromosome:AUK_PRJEB4211_v1:9:20846458:20848685:1 gene:GSCOC_T00041117001 transcript:CDP14581 gene_biotype:protein_coding transcript_biotype:protein_coding MESMTLGQAKDFELQKLHSSCKEWGVFQVVNHGVSSSVVEKLKYEIEEFYKLPLEEKMRYKLRPGDVEGYGQTIANLKDQKIDWADRFLMVINPIHKRNPHLLPELPSSLREAMEAYFKETQKLAMVLLKLIGQALEIDKREMEEMFEEGLQLVRMTYYPPCPQPELVVGLRPHSDAGGLTILLQVNGEQGLQVKKNGVWIPVNILPDAFVVHIGDAMEIFSNGIYKSIVHRATVNSVKERISIGMFFNPKLEMEIGPATSLINEKNPPQYKRMPMEQYVKDFFSRKLRSKTIVDQMRIKGEQPNTE >CDP04556 pep chromosome:AUK_PRJEB4211_v1:9:88614:94384:-1 gene:GSCOC_T00017989001 transcript:CDP04556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G47780) UniProtKB/TrEMBL;Acc:W8PUB8] MKPRKAALLLLLVSTVLAPIVLYTETLGIYLPSSATSSSSSSSSGNDFIVEEASTFTFGGDIRPLNILPQESTTILKEPLGFVYSENSTQSLAVNVSDASANDNARITRQLTEDSLQHQATVLSVLSGGRTGDAFENPIRQVTTTEELKAQSKDGEELHSQETEFKTIHTDSTKEMSRTSSEKSDSAFGKMQTNVEHHTSRNSGRNGAREQMDAQNDKQKEQAALPDARVSQLKDQLIRGKVYLSLSATRNNPHFIRELRSRMKEVQRVLGDVTKDSDLPRNAFEKLKAMEQTLTKGKQIQDDCAAVVKKLRAMLHSTEEQLRVHKKQTLFLTHLTAKTLPKGLHCLPLRLSTEYFMLNSSQQQFPGQDKLEDSRLYHYALFSDNVLAAAVVVNSTISHAKDTSRHVFHIVTDRLNYAAMRMWFLANPPSNATIQVQNVEEFSWLNPSYSPVLKQLGSPSMIDYYFKTRRAESDSNLKFRNPKYLSIMNHLRFYLPEIFPKLGKVLFLDDDIVVRKDLTKLWSLNLKGKVIGVVETCGESFHRFDRYLNFSNPLISKKFDPRACGWAFGMNIVDLDEWKRQNITEVYHSWQNLNHDRQLWKLGTLPPGLITFWNRTYALDRYWHVLGLGYNPNISPKEIDRAAVVHYNGNLKPWLEIGIPKYRSYWAKYVDYDQMYLRECNINP >CDP04246 pep chromosome:AUK_PRJEB4211_v1:9:2739781:2746153:-1 gene:GSCOC_T00017577001 transcript:CDP04246 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMKPSSVSAHSARTLLPLHLKSKSSKLFNPINLKPSSPSSILCPYKFKPLCHFVAVASSEPSPVVHSTAPNQSRNVSFSEESATQIHVEQVGSPFVGPSNFGFPKLSTSDQAFSLLLFIAVTTTASLVGFLAAAIPTLSAMRRAAISVAKLADTARQETPSTMAAIRLTSLEISDLTYELRDLSQEITDGVSKSAQAVQAANAGIRQIGSLARERTMSMIEERANLPDISLQPVFAGAAQKTSHAVGRATRTFMNIISKRETSLENEHASALDSLQV >CDP11291 pep chromosome:AUK_PRJEB4211_v1:9:5905043:5909794:1 gene:GSCOC_T00033440001 transcript:CDP11291 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFHNLFPLGLLVLLCFLSASFAMFPTNITTDQSSLLAFRAHISVDPLQILAKNWSVSSSVCDWIGVSCGSRHRRVTALDISNMNLSGTLPPQLGNLSFLVSLNMSMNNFHGEMPHEIARLRRLKVLILAINNLKGELPWWIGSFHQLRHLNLRNNSFTGLIPSSISNMSNLQYIVLSNNHLLGDIPTGIFNISSLQFIDLRNNGLSGVLPSDMSQCSELRVLSLSYNEFGGSIPKEIGALKKLEELYLGYNYLEGNIPIGIFNISSLQIIDLTNNGLFGVLPSDMCYHLPGLSFVGLSNNKLNGQLPSSNLAQCSELRVLSLSYNEFGGSIPKEIGALKKLEELYLGHNYLEGQIPKEIGNSTMIKWQHFGYNNLTGTTIFSITEIRHLYGLIYVLNIILAGVIPREIGNWYFLQQLNLQFNSLTGSIPMEIFNLSKLSAMSLLQNQLSGNLPSIFGYRLPNLEYLDLGINHLSGALPSSISNSSNLRRIELGDNKFTGPIPTSMGDLRFLELLELSGNLLVSDSSSPELSFITSLTKCKYLSILVLGGNPLNGIIPNSVSNLSTSLEQLNATNCKIKGSIPDGIGNLTSLIILDLSNNDLNGSLPATIKDLQKLQYMDLSMNKPISRVPLHFLCALNNLDTMNLGQNQFMASIPKCLGNLTSLRHLDLSHNRQYSAPPEEIWNLKYLLELDLSSNLLSGSLSYAITNMKKAYWVDLSTNQFSGGIPNSIGDMQNLQNLSLAHNRLQGSIPESIGKMLSLESLDLSHNFLSGSIPMSMEILRYLRHFNVSFNNLSGEVPSRGPFINFTAESFTSNQALCGAQRFHVPPCPNNSAHKLRTKKLHQTIFILLGVIIAAGVLSFGFVYLRYRKKDTLSSGANLSLVAMPERISYFELLQATNRYNESNLLGAGSFGYVYRGTLDDGRDVAVKVFNLQVDGAFKSFDVECEVLRNLRHRNLTRVISSCSTPEFKALVLEFMPNGSLEKWLYSHNYFLDLMQRLDIFIDVACALQYLHCEYSTPVIHCDLKPSNVLLDQDMVAHLSDFGLTKLLGKENSITYTETLATLGYLAPEYGLEGLVSAKCDIYSFGIMMMEVFTRTNPNSEMFGEKLSLKSWVANSIPDGLANVIDANLLEESDEHFVEKLSCIGSIMKVALGCTMESPRERSSIQDVLVALKNIKLQYMSPLCSGT >CDP12636 pep chromosome:AUK_PRJEB4211_v1:9:8290865:8294593:-1 gene:GSCOC_T00036334001 transcript:CDP12636 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVEGHLEEEKLDSLNLPNYTPSVEEIRYIVEEEGSFEILYLETFKLRHDAGFSIDDDYQLRSHSQVYCDEHVRAAYVASFIRAVYEPILASHFGEAVIPHIFHRFAKNAAKLLRMGKGFFNNLIISLAKKPEKPDIFIILTITIILNLFQIINES >CDP04108 pep chromosome:AUK_PRJEB4211_v1:9:3994790:4001173:-1 gene:GSCOC_T00017407001 transcript:CDP04108 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGGGGYFVDEKAVRVENIFLEFLKSFRAEGNAREPFYEAEIEAMRPNESNTMFIDFSHVMRFNEVLQKAISDEFLRFEPYLKNACKRFVMEQKPTFITDDNPNKDINVAFYNLPLVKRLRELATSEVGKLVSVTGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEPIICVNATCQNRTKWALLRQDSKFADWQRVRMQETSKEIPAGSLPRSLDIILRHDIVEQARAGDTVVFTGTVVVIPDVLALASPGERAECRREAPQRKGFTAGQEGIRGLRALGVRDLSYRLAFIANSVQICDGRRNTDIRNRRDNDEDDYQQFTTEELDEIQTMRNTPDFFNKLVDSIAPTVFGHQDIKRAILLMLLGGVHKLTHEGINLRGDINVCIVGDPSCAKSQFLKYTSSLVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPNGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREDALSPAFTTAQLKRYIAYAKTLKPKLSAEARQLLVESYVSLRRGDTAPGSRVAYRMTVRQLEALIRLSEAIARSHLDTQVQPRYVRIAVRLLKTSVIRQGNVESSEIDLSEFQEENRDDADGGDHGDGGTGQEEAHADGASIEPRQGNAESGAGAGNRQGKKLVMTDEYFQRVTRALIVRLRQHEETVLQEGAGLAGMRQRDLIQWYVGQQNAKNSYSSMEEAAAEVTKLKAIIESLIRREGHLIVVDDGTQATGEGEGRSAPVSRNDRILAVAPNYVID >CDP14565 pep chromosome:AUK_PRJEB4211_v1:9:21304980:21309398:1 gene:GSCOC_T00041093001 transcript:CDP14565 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGKEEEHQHSGDNQQAATNQADVGWMGAIQQQYRRIKENAETYPYVWGSYIVVYGGFGLWFAYRWRKLRRTEDRVRVLQERLRKLVEAEESASSKVAESSTVGEKAPTSSDKSKI >CDP20841 pep chromosome:AUK_PRJEB4211_v1:9:4839450:4846261:1 gene:GSCOC_T00013390001 transcript:CDP20841 gene_biotype:protein_coding transcript_biotype:protein_coding MARMVLVGSVENYTRADFPADFAFGAATSAYQVEGAAFEDGKMPSIWDTFVRAHSDFYGGATGDIACDQYHKYKEDVQCMVDTSLEAYRFSISWSRLIPNGRGPVNPKGLEYYNNLIDELIMHGIKPHVTMYHMDTPQALEDGYGGWLSRTMVRDFTVYADVCFKEFGDRVRHWTTVNEANAFAIGGYDNALIPPGRCSLPFGLACTKGNSSTEPYIAAHNMLLAHSSAVKLYNRKYKGSQHGFVGLNIYAPWFFPYTKATADIKAAQRAIDFYIGWFLHPLVFGDYPDIMKKNAGTRIPALTRHDFQLVKGSFDFIGLNHYATFYIKDDPSSHKMEIRDVNTDIAATIMYERGDSSLDQDNETSSGLYEVLEYLKRVYANPPTYVHENGQRTVRNGTLNDTARVEYMHAYIGTLLRALRNGANSKGYFMWSFLDGFEVVGGYQKGFGMYFVDLSDKQLKRYPKLSAHWYSNFLRGRSISPNEVIEAEHQHFVSSTAKSSW >CDP04404 pep chromosome:AUK_PRJEB4211_v1:9:1300004:1313867:-1 gene:GSCOC_T00017783001 transcript:CDP04404 gene_biotype:protein_coding transcript_biotype:protein_coding description:SS3 [Source:Projected from Arabidopsis thaliana (AT1G11720) UniProtKB/TrEMBL;Acc:A0A178W4M9] MEVPLPLQKPLSCRAVVNGRTHIKITPFWGFLPHRTTSLLSSQSSLWSKDYGVAHISHRITASADFSRRRQRRTPTPRTQDSTPKGFVPRTQPGTSSQRRDQKNNGQKESQSNLPPKDLGTANKNRIDLKQTSEEQDDDIEQAKEEDYENEIDNVVEEDWPSRKPPLDAEMSKLTENGRIRSGNKDLTETNEVRGVAKENEVDGHLSGIALEDQPFDVIASNKPVEIDDPKQQDTIKKNDVNQIGSTRIVKYMSEDEFLKPEQKEKDDSSLRLRMEMEANLRKHALERLAEENFEKGNRLFCYPELVKPDQDIEVFLNRSLSTLSNEPDVLIMGAFNDWRWKSFTTKLDKTSLNGDWWACQVHVPKEAYKIDFVFYNGKDVYDNNDKKDFCITVEGGMTVPEFEDFLLEEKRKELEKLAKEEAERKRHEEEQKRIEAEKAAREADRAQAREEAARRQEMLKEWIKKAAKSVDDVWHIEPSDFKGGDKVRLFYKKSSGPLIQAEELWLHGGHNKWKDGLSISTKLARSERKSGDWWYAEIVVPNRALVLDWVFADGPPHQARVYDNNDRQDFRAIVPRRVPEDHYWVEEEHQIYQNLQEERRIREEAICAKAEKTARMKAETKERTLKTFLLSQKHIVYTEPLDVQAGNAATVFYNPTNTVLSGKPEIWFRFSFNRWTHRMGPLPPQRMLPADQSFHVKATVKVPLDAYMMDFVFSEKEDGGIFDNKNGMDYHIPVIGGVIKEPPMHIVHISVEMAPIAKVGGLGDVVTSLSRAVQDLKHSVDVILPKYDCLNFSHVKDFQFHKSYSWGGTEIKVWFGKVEGLSVYFLEPQNGFFGKGCIYGCHNDGERFGFFCHVALEFLLQSGFHPDIIHCHDWSSAPVAWLFKEQYMHYGLSKARIVFTIHNLEFGAHLIGKAMAYTDKATTVSPTYSQEVSGNPAVASHLYKFHGILNGIDPDIWDPYNDKFIPVSYTSENVIEGKRAAKEVLQQKLGLKRADLPVVGIISRLTHQKGIHLIKHAIWRTLDRGGQVVLLGSAPDPRIQNDFVNLANQLHSSHNDRARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLYDTVFDVDHDKERAQACALEPNGFSFDGADAAGIDYALNRALSAWYDGQDWFNSLCKRVMEQDWSWNRPALDYLELYHAALK >CDP14490 pep chromosome:AUK_PRJEB4211_v1:9:22157773:22166034:-1 gene:GSCOC_T00040997001 transcript:CDP14490 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) UniProtKB/TrEMBL;Acc:F4IMH3] MMMMKKRKRKKEQQLSLGELKTLGEQLLSSRSHINNLPRLLNFIKHPREDFHPAYALESLLSLQSFFTPLLPDLSASRSRSSISSSDASTTAAAHLIYSTWLRSKFDDFLQSLFSISTSALSDPTLREVVLETIMEFVKVANAGNFHSSIFHRFLHAIVHSTLGVGDILLQLLASKYFKYIDVRYFTYISLEKIARTLEPDDSADDKGATSESAAGNRSRASMEVCIRMIYHLLSRIPPLEVSVVESNYEMWSCVDIFTRKFDKKNHDHLSDAEDKQQKLRLHDRKDFSAASVTKKMKLKFSKAWISFLRLPLPLDVYKEVLATLHQAVIPHLSNPIMLCDFLTRSYDIGGVVSVMALSSLYILMTQHGLEYPNFYEKLYALLEPAIFMAKYRAKFFELIDSCLKSPLLPAYLAAAFCKKLSRLALSVPPSGALIIIALVHNLLRRHPSINFLVHQGYVNETGKVTSATDKSGVDRTDDMDSANKQGIDQFDDEQSDPMKTNAMRSSLWEIDTLRHHYCPPVSRFVLSLESDLTIRAKTSEVAVQDFSSGSYATIFGEEIRRRVKQVPLAFYKSTPTSLFSESDFPGWTFDLNDGEAVAVNDENGTLDTPKEHDHISVKRQCLVPV >CDP04214 pep chromosome:AUK_PRJEB4211_v1:9:3052280:3054448:-1 gene:GSCOC_T00017535001 transcript:CDP04214 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRSSWWSFNSGHKTTDQYTGSSLFPGLQSCSGCIDQHQTLRLLNLLFLRSWNCWSCIECHSLLVPVLLGLIFCMI >CDP04236 pep chromosome:AUK_PRJEB4211_v1:9:2833528:2836315:-1 gene:GSCOC_T00017564001 transcript:CDP04236 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLTSHKLTHASSSSLQQTAHSIPSIHRVSIIGIIGMDDSGEEGEVKGYCTSPGEEECKSKASWILSKGLQLGKTILITGVVISSAPVVLPPLVVVSAVGFAVSVPAGVVVASYACTEKLMNKFLPMPASSPELEIETGLLDKAEKEESEFGGEFLPEEELEKQIADPKEVEMRTEFGEDGKKEQHVEGHEELREEKLYKDESFKEKGYEEDVGEYLEGEDERSLGGQDVKIEGVEKMDKEPLIEEQKDEKPSAETKRVVVVTVPEKKNADDVTKTDEVVIIARGTRSEIKSVEMVTRNDEDKALRQGTTGLLEKICDKGDIGESVKKNKKKNKHHGKKSHGVGGKKEHNHGKTTIEMNEKFNKQFSEKGEKTIADANRGCAEATGEGSSTEKVDVDDRSSVLASHRLIGDQGILANGNRAAESCKTEEVELKPGAVTQGSRVDSNVSDEKEVNAIESNTETRETAEKGAKEKNLDFVENQLQVFPKSKEEAPISSNEISAREGKMWEQINAMRMIVGYKAAPQSSCMEELKALYIFTGVEPPSSFDKPCDLREAEDKLHFLMSIVGVK >CDP17597 pep chromosome:AUK_PRJEB4211_v1:9:7478249:7481464:1 gene:GSCOC_T00005103001 transcript:CDP17597 gene_biotype:protein_coding transcript_biotype:protein_coding MMPIGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNFSREDYLASLRRKSSGFSRGVSKYRPLSSRWDPQFGQFSGADYFTSIRYGAADDATAENEYIGGFCIDRKFDLTTYIKWWGTNKPRQVDPHAKSSEETSYRPGEDMSSELKSVELTAKPTEPYEMPRLGVPQEGKHRKGAVSAMSILSQSTAFKSLQEKGLKKKAIDENDENEDKNVINKKDYGKGVEKCSHDGGNERVGAGLGMTGGLPVPRNVYPLTPLLTAPLVTNYNSIDSLNDPVLWTSLVSVLPVGSSRTSEVAKNESSSDYNLFQQEG >CDP04295 pep chromosome:AUK_PRJEB4211_v1:9:2320867:2324251:-1 gene:GSCOC_T00017638001 transcript:CDP04295 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNGSTTSQPAPAVVKLKPIEATPETFQEFGQVIEASPDGEEFGPADAQLDLSRGIPRFYIMHLEDRALKFSNITHHANVTQCLGSIGGNVWYLGVAKPSIVDPSEIKGTTGVDVIQSHCGHYHVPPSVDDVRAFRISGPKFLKLNRGTWHAGPLFKQDAMDFYNLELSNTNVVDHTTHNFVKKNNVVFMLDD >CDP17336 pep chromosome:AUK_PRJEB4211_v1:9:20432736:20434297:1 gene:GSCOC_T00004100001 transcript:CDP17336 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVALVAFFSIALLACFTEARKDPRGILRPAASPGAFTEQNEHLGSNTLNEFESKPGSILHADEPRSILPYHGRDANSKEEKPQMKDFESKSESVLLFYGGDKANLQEAKPYISKISNKSLDQCSFTIREPAMMLSKIRINQT >CDP04114 pep chromosome:AUK_PRJEB4211_v1:9:3951754:3956067:-1 gene:GSCOC_T00017413001 transcript:CDP04114 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKDPFEVAFEEQEESPPESPIAADENETQTPAAAAASGQGDDVGTSVPPSLPSTTTGAAISIGAAGPIVKSKEEDEEEDEENMEIELGKFPPSGDPDKMAKMQTILSQFTDEQMNRYESFRRSGFQKANMKRLLTSITGSSKISVPMTIVVSGIAKMFVGELVETARIVMTERKERGPIRPCHIREAFRRLKLEGKVPRRSVPRLFR >CDP14499 pep chromosome:AUK_PRJEB4211_v1:9:22087488:22087747:1 gene:GSCOC_T00041007001 transcript:CDP14499 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAFTANDSGTLSDNVLDFDLMDELLFDGFWLESTRESNFWEPGKHFRRSKND >CDP14502 pep chromosome:AUK_PRJEB4211_v1:9:22061850:22063859:-1 gene:GSCOC_T00041013001 transcript:CDP14502 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGRGRVVVVVVRERPCIPLRFFSSLALLAGPSSPSRRSSGGGYYDAPTTNCNASSAAAENAKVIDNFIDHCYRGDLPRAMKALDSMQQRNIFAHSLAYSHLISCCAARHAIEHGRSIHRHVFSPGYRPKTFLLNHLLNMYVKFRLLPEAQALFDQMPRRNVISWTTMISAYAAASSPPLQRRALDLLILMLRDGVCPNMFTFSSVLRACHELQLLTQIHCSIIKVGLESDVYVRSALIDVYSRWGEMSSALCVFGEMVTRDQVVWNSIIGGFAQNSDGDPALHLYIRMKRAGFQADQSTLTSVLRACTSLALLELGRQLHVHVLKYDQDLILNNALLDMYCKCGSFKDSDSIFSRMVDKDVISWSTMIMGLAQNGFSRRALELFKAMAVSKIKPNHITILGVLFACSHAGLVDDGRYYFRSMKKLYGIDPGREHYGCMVDLLGRAGRLDEAVELIHKMECEPDAVTWRALLGACRVHRNMDLAAYAAKQIIKHDPDDAGTYILLSNIYANSQRWDEITEVRNAMRHKGVKKEPGCSWIEVNKCVHAFILGDKSHPQIIAIRRELKQIIHRLKEMGYVPDANFVLQDLEEEQMEDSLLYHSEKLAMAFGIMALSSGKTIRIRKNLRICGDCHDFAKLLAKMESRSIVIRDPIRYHHFEDGHCSCGDYW >CDP12643 pep chromosome:AUK_PRJEB4211_v1:9:8092739:8093358:-1 gene:GSCOC_T00036346001 transcript:CDP12643 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLVFVQYFEEEVAILRNKVQLLEETKRLKFLRDGLDASSLDELQQIEDQLEKSLSIYSLLFWERIYQLKEEEKFLKKENAELQEKAGYSCYS >CDP04146 pep chromosome:AUK_PRJEB4211_v1:9:3628454:3633772:1 gene:GSCOC_T00017453001 transcript:CDP04146 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSLKPFQTLTGIQQLAESHKFKGWLLDQFGVLHDGKKPYPGAISTLEKLANFGAKMVIISNSSRRACTTLEKLKSLGFDPSLFVGAITSGELTHQHLQRRDDPWFAALGKSCIHMTWKDRGAISLEGLGLQVVENVEEAKFILAHGTEALGLSSGAATPMKLGDLEKVLEECAAKNIPMVVANPDFVTVEARDLRVMPGTLATKYEKLGGKVKWMGKPDKIIYKAAAEMAAIDPSQCVAIGDSLHHDIKGANAAGISSAFITGGIHATELGLDTFGEIADDSSVVALALRHDAYPSYVLPSFNW >CDP11255 pep chromosome:AUK_PRJEB4211_v1:9:6575792:6580864:1 gene:GSCOC_T00033378001 transcript:CDP11255 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRPKIRSPPPNSKSPFFNVFPNSSFSSSHKMPRKSFIKPTLTLASLLSFSLFALYFVLSSPNFYIQQKIHDGYVDDKANKVKVYVYDLPRKLTYGVIESYEKSRGGEAKTDDALLKYPGHQHSAEWYLFRDLNRPSHDRVDSAVARVYDPDQADLFYVPFFSSLSLVVNLNRPGTLGAAAPSLYSDEEMQESLIEWLENQEYWKRNNGWDHVFICQDPNALYKVVDRVKNGVLLVSDFGRLTHNQGSLVKDVILPYSHRINSYLGNVGVENRDKLLFFMGNRYRKEGGKVRDLLFQLLENEEDVIIKHGAQSRESRREATRGMHTSKFCLHPAGDTPSACRLFDAIVSLCIPVVVSDYIELPFEDVIDYRKVAIFVDTNTAVQPGYLVKLLRTVSTERILEFQRDLKKVKHYFEYEDPNGTVKEIWRQVSQKLPLVNLMKNRDKRLVKRDLTEPDCSCLCSNQSGIQTTL >CDP04461 pep chromosome:AUK_PRJEB4211_v1:9:794823:800147:1 gene:GSCOC_T00017861001 transcript:CDP04461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase 4, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G22260) UniProtKB/Swiss-Prot;Acc:Q56X52] MATSTSSVVFGISVSSSTSLKIRSFRNVPTVLNSHTPSGLNVVTSPHHRHTATQRPLSRNSFRVQATVLQEDEQKVVVEESFQSKSYPENGGGGNGEPPDASSSSGLEKWVVKIEQSINIFLTDSVIKILDTLYHDRHYARFFVLETIARVPYFAFMSVLHLYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSWWFDRFLAQHIAVFYYFMTVFMYMLSPRMAYHFSECVESHAFETYDKFIKDQGEQLKKLPASNVAVKYYTEGNLYLFDEFQTARPPTSRRPKIENMYDVFLNIRDDEAEHCKTMKACQTHGGLRSPHSYTDDACEEDAGYGLPQADCEELTQ >CDP04443 pep chromosome:AUK_PRJEB4211_v1:9:951223:953575:-1 gene:GSCOC_T00017836001 transcript:CDP04443 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNMSRLGPLDKHLLGPLAEPKKKGQRSHSTKASRYLALHLRFEIDMVAHSLCEFGGGKEEQKELEAYREKHFPALIELKKTQKLPSMAALREEGLCPLMPEETVLMLAALGFNRKTRIYLAGAHIYGGKSRLTALTTLFPNLVTKENLLSSAEIEPFANFSSQLAALDFITCTAADNFAMTDSGSQFSSLVSGYRIYYGGGEMPTIRPNKRRLADIFVKNNTIEWSVFEKRVRKAVRQTKRVFSRPTGRSVYRYPRCLECMCSDQP >CDP04379 pep chromosome:AUK_PRJEB4211_v1:9:1580393:1581592:1 gene:GSCOC_T00017749001 transcript:CDP04379 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLKLRSILLLQNHRRFSTSILNPNSNTPLTSKEKSRAALSLLRAEKNPERILDICRAASLTPESHLDRVAYSKAISKLRDLNYFNGIRSFVEESMARPDMKSERYISHFVVLYGQAGMVADARKTFEEMHEIGLDRTVKTLNALLFSCVLAKDYKEMKRIYMEYPKIYGIVPNLDTYNTVIKGFCESGDSSSCYSILAEMGRKGVKPNGTTFGTMIAGFYEEEKFEDVGKVLKMMKEEHSITPGTSIYNIRIQKLCKLKRCREAKALFESILSRGYKPNKVTYHHLIHGFCKEGDLEEAKGLFERMVKSGIKPEGECYFTLVYFLCRGEDFEAALKICKECLAKGWVPNFTTMKLLVEGLASISKVDEAKEIIGHLKKEFSAYADRWTEIEEGLAK >CDP04275 pep chromosome:AUK_PRJEB4211_v1:9:2463549:2487534:-1 gene:GSCOC_T00017611001 transcript:CDP04275 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/TrEMBL;Acc:A0A178VZQ9] MDEEKENRDEEQAEEIEEVSASSDSLIDDADDMNDDVSHPEASLMYYQPLTEQEIEDLLNELIEVESKAAEAQEALEEESLAKVEAEVREELRQALAGDELENVAAEEMEIFKEDWENVLDELEKESANLLEQLDGAGIELPSLYKWIESQVPLGCCTEAWKTRTHWVGSQGTSDAKDSVAAAEKRHGKTLEEGASGFLGKKLAITAGSEVARDNMGTDWDKFAELCSDKSNLDGISFGSKEWASVYLASTPQQAAELGLNFPGVDEVEEISDVEDNSIDPFIADAIANEGDLSLTEEQKRNFRKVKDEDDAKVSRKLQSHLKRKRNIRRCKEEVLGKEVFAENGSLGCPVPSNLCSNHSLKKSSLENGYSPDGNLDDAFQMHETSSNVDVENLKSNADSVMVAQLRPCILVNSQDLNCSDDCDGLGCHNPNMDGSPPPRCYASRMVDICDLTNNGDKMTSSNFPLERQNKHFRCTACDKVSWEAHLHPLLKVSICLDCKNLMESKIQEDLDCSECYCGWCGQNSDLKSCRSCKNFFCAGCIKRNLGEKILLQVQKTGWQCCCCIPSMLQDLTLQLEKVVESDGLTDSSSDTDSDDSDANIDIVIGTKRRQQKKIRRILDDAELGEETKRKIAIEKERQERLKSLGAQISKRSSILKSTSCNWNSPERRTVEVLDDPLRGYIVNNVRDEGEDPIWIPPSISVKLKPHQLEGVRFMWENIIQSVKKVKSGDKGLGCILAHTMGLGKTFQVIAFLYAAMRSVDLGLRCVLIVTPVSVLHNWRIEFNKWQPSEVKPLRIYMLEDVPRERRAELLAKWRRKGGVFLIGYSAFRNLSLGKHIKDRHIARDICCALQDGPDILVCDEAHMIKNTRADVTQALKLVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHDFQNPIENGQHTNSTAEDVKIMNQRSHILYKQLKGFVQRMDMNVVKDDLPPKTVFVIAVKLSSLQKKLYKRFLDVHGFTKDKVLGEKMRKRCFFVGYQALAQIWNHPGVLQLMKEDKDSVRHEDVVENFGGDDSSSDENVDYNLIAGEKLGRNDNGYLHQDWWRDLLQENTYKEVDYGGKMVLLLDVLTLCSNMGDKALVFSQSLSTLDLIEFYLSKLPRPGKLGKCWKRGKDWYRLDGRTAGSERQKMVERFNEPSNRRVKCILISTRAGSLGINLHAANRVIIVDGSWNPTYDLQAIFRVWRYGQTKPVFAYRLLGHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISKEEMLHLFDFGDDENPDPLHELGQEKIDAADSHVSSNIGAVLKQKIPLTSGSTSSDKLMQTLIARHQPRWIAHYHEHETLLQENEEEKLSKEEQEMAWEVYRRTFEWEEVQRVSPDASRFDQQAKNNKFAHEQQTVPLEETSFDEKPGRRNTVPSAPEINLESTTSRARNRMITRKCTNLSHLLTLRSQGTKMGCSTVCGECAREISWEELSKTSGK >CDP11265 pep chromosome:AUK_PRJEB4211_v1:9:6311466:6316147:-1 gene:GSCOC_T00033398001 transcript:CDP11265 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYFEHKWIVPYWFIKSSITYSNCSGASSSTSNNCSETSRKQTLLYFPIMRPPKLFFLLFLILVVVTVPLYFLVSIINLTVIAGVTIIVFSGLILLRKRRQKKQKQGHFERNGGLLLEKQLCATDGAIEKTRIFISRELEAATDGFNESRILGQGGQVNHRNVVKLLGCCLETEVPLLVYEFIPNGTLFNLIQNDNEAESFPFTWSLRLKVATEVAGALAYLHSGLSIPVFHRDIKSTNILLDGKYIAKVSDFGASLSIAIDKTHMTTRVQGTFGYIDPEYFLSSQITDKSDVYSFGVVLLELLTRQKPIPSREEGEDVYLGLAQRFLTSMEENSLPTILDPQIIDQTNEEEVIAVAKLAQRCINWDGRRRPTMKEVSIELENIKMSRGDLTIQENYQSPSCTDEEAVVMCDVYHTWTIGNENVKSTSDAYAVLNNTTFHVKYLNPATFLAIEEMHLKPIHHFEDFVNEVVILSQVSHRTVVKLLGCRLLIEVPLLVYEFIPNRSLHNLIHNQSDDDFRFTYNLRLRIAIEIAGSLAYLHILKFQLPSYTEM >CDP04533 pep chromosome:AUK_PRJEB4211_v1:9:246986:249526:1 gene:GSCOC_T00017960001 transcript:CDP04533 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGEEKLSTVTISGKLLCHACADIHDHHHQANQLDQPLPAPAPVSGASVAVFCGTSWKSRKSCARGTTDEYGDFLIDLPSHLHAIPNLEKVCLVRVLHLSKNSDCRPAFTGKHKAIRLLSIEDGSRAYTVKTIHLTTKHSKSCRNVSRNSKDMIHVYYQEKGN >CDP04097 pep chromosome:AUK_PRJEB4211_v1:9:4138944:4140512:-1 gene:GSCOC_T00017392001 transcript:CDP04097 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQTRGRRKIEMKKIENADDRYASFSKRKNGIYKKASELCTLCSMDIGILIFSPTNKPYSFFHPTMETVLNRFHKKDQQPDPTQQLIEAYTRANVDRLNKQLDELDAQMEIESNRAKHLDQIGAPKDPFAWLPTPIQEMDEEMVAKVEAWVGDMLVQLQNHANELNKGASSSAAVPQAAGPSASAYVPIQDFGFGTASSSAGFHPQDYTFGGSSSAFVPRKDFNVGGSSSAFVPPKDFNVGGSSSAFVPPKDFNIGGSSSASVPPKNFNIGGSSSAFVPPKYFNVGGSSSDFVPPKDLNVGGSSSAFFPPKDLNVGGSSSAFVPSNYFNVGGSSSAFVPPEDFNLGAPSSGVAPPEGFNLGAPSSAVAPVADSSFGVSSEDFTFGTSSSAFVSSEDFNLRASSSAAIPPEGYSFEEFYYEAASSLGVAPEDFYSEVSPPFVLPRDDFNYETSSITPMESYNFEAPSIIPPPEDFSVGASSSPIPPPEDFTAGASSSPIPPPEDFGAGPSSSPFPPKNSNP >CDP11277 pep chromosome:AUK_PRJEB4211_v1:9:6115868:6117117:1 gene:GSCOC_T00033421001 transcript:CDP11277 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSRLRHQLPYELFRKPFFCPVHKLVNSVGMARGFAQPALKEDEEDVEEIEVDQRRLPADYDPATFDPAEHRSPPTERVWRLVDEISSLTLVEVAELGSIMMKKMGMKEPPVVGVMKPGDVGMGAVSMKGPATAAKEEKKVEKTVFELKLESYDAASKIKVIKEVRSFTDLGLKEAKDLVEKTPAVFKKGVSKEEGEQIIEKMKAVGAKVVME >CDP04511 pep chromosome:AUK_PRJEB4211_v1:9:402120:409382:-1 gene:GSCOC_T00017930001 transcript:CDP04511 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGNFQAEEDPSQRSRRRKNATNSEHLESANAGQGTAEGKRALYHCNYCKKDITGRTRIKCAVCSDFDLCIECFSVGAEVYPHKSNHPYRVMDVLSFPLICPDWKADEEMLLLEGIEMYGMWNWAEVGEHVGTKTKEACIEHFRNAYLNSPYFPLPDMTHVAKNRKELLATAKNEEKRGFSALGELTPKDESQFSPSRVKIEDQHKSGPSGRLPSAVNAGTTGKKKASNKVQVRDRHDSMKPKGKSFDNNRSNCSKDEGPSLMELSGYNPKRQEFDPEYDNDAEHLLADMEFKETDTEEERKLKLRVLHIYWKRLDERKRRKDFILDRNLLHQDAFEKDLSQEEKVLCRRYDVFMRFHSKEEHEELLKATVAEHRTLRRIQELKEAQAAGCHFSNDADRYLDWKRKKETELNGCDDGGNSMAFLNGPVASDSTDAYSINLDFVSFSEAELLSASEKRLCGELRLAPAQYLKMVEVMTTQIFSGNITKKSDAYSLFQIEPTKVDRVYDMLVKKGIAGPL >CDP16095 pep chromosome:AUK_PRJEB4211_v1:9:18694830:18702439:-1 gene:GSCOC_T00017124001 transcript:CDP16095 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6A [Source:Projected from Arabidopsis thaliana (AT5G46250) UniProtKB/Swiss-Prot;Acc:Q94A38] MEAEGVPIPSISASHPPTSDDHPDFSPAGSPDLADEQHQQLLMPSDQPAVTLSDDLRDKIIKQAPSFFPTFCFLLVEYYFSDENLPTDKFLMKYVSKDKDGYVPIGVVASFKKMKKLTRDTSLIVSALRQSSLLVVSNSGKKVKRLQPLPSTEAKDPMLCTVLVENLPDDHSVENLQMVFGVAGNIKHITLRDPHAARESRKVTTAEKLLSGKLHALVEYDTVEAAEKAVAHLNNEQDWRYGLRVKLLKKKTKEVGKKVWREVDAEKNIVQASNTAVEEENNDSSDHHDDSHDEEEGDHLTKDKSGEHPRKEKNGDHLHKENNGQRNRHRGRGRRQKYHGTNGHGHGTPPSSHVEPSKPPPGPKMPDGTRGFTMGRGRPLVSNAI >CDP18160 pep chromosome:AUK_PRJEB4211_v1:9:4401052:4407175:1 gene:GSCOC_T00007056001 transcript:CDP18160 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLFSRAFLIVSTLVLSSTSFLTEARFVVEKSSISILDPSDIRSKHDAAIGNFGVPDYGGSMVGTVVYPDTGATGCSPFEGDKPFRSKSSTPTILLLDRGGCYFALKVWNGQQAGAAAVLVADSVDEPLITMDSPEESSDADGYLEKIGVPSVLIDHSVGESIKGALKKAANVVVKIDWSESMPHPDQRVEYELWTNSNDECGIRCDEQMNFIKNFKGNAQILEKGGYTRFTPHYITWYCPHAFLLSSQCKSQCINHGRYCAPDPEQDFGMGYQGKDVVFENLRQLCVHRVANESNRSWVWWDYVTDFHIRCSMKQKKYSKECAEEVMRSLHLPIEKIKSCMGDPEADVENQVLKIEQDLQVGRGSRGDVTILPTLVINDVQYRGKLERIAVLKAICAGFKETTDPPICLNGDLETNECLENNGGCWQDSHSNITACKDTFRGRVCQCPSANGVQYRGDGYKSCEAVGPGRCMVNHGGCWSETRHGLTVSACTESDLTGCHCPPGFTGDGYKCEEIDECKEGKVCQCDDCTCKNTWSGFECGCRGDKLYILEHDTCIERHTSKFGWFLTLLVLAAVVAASMAGYIFYKYRLRSYMDSEIMAIMSQYMPIDNQQNQVVHHEAEPLQQRSSV >CDP18212 pep chromosome:AUK_PRJEB4211_v1:9:4758921:4761195:-1 gene:GSCOC_T00007122001 transcript:CDP18212 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIFLPPSWAPSTKLPIFQLSCRANLKQLNSSRNIHACLLKYPLASKIMIRNLPYTASESSLLKEFSKYGKIAEVKLVKDEKANRSKGLAFIQYTSQEDALLAVDNMDHKYFDGRVIFIDLAKPRSNEFGGYPRTSGPPEEQHMPAEDEDDE >CDP04481 pep chromosome:AUK_PRJEB4211_v1:9:649267:655284:1 gene:GSCOC_T00017889001 transcript:CDP04481 gene_biotype:protein_coding transcript_biotype:protein_coding MTELFFHFQENNLDNYTSKVAAENWALSCGENSKPKKVRIAFHPLESRLTASPGSSSTPCSFSVLCSTHFSPVNSSNEKEISRNPGIGSKPYNLHRFSLSPFFTVFTMVENSSSKRQKIVEQSSGSASASPPPSTVAFENPLLPLASYEDDDDEEDDKRGGNLNRVLSGGRGSEPNGNTHKEEYDEEEDDDDTLMVGTRGKRSRAVEVRRDCPYLDTVNRQVLDFDFESLEAGHHVYINLRTEKVYCLPDGYEIVDPSLDDIRHVLNPRFTREQVLHLDKNKQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFMSWLLNTLHADLKGSKKSGNIIHQCFQGELEVVKESHSKPIVEKKVDGDAVINGNGVDTGSEVHSSFTESTRMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGETVTEVVRPRMARMKYRVTKLPPYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPAPKQNERLRSKYDLIANIVHDGKPGEGSYRVFVQRKSEELWYEMQDLHVTETLPQMVALSEAYMQIYEQQQQQQQF >CDP04189 pep chromosome:AUK_PRJEB4211_v1:9:3204629:3213498:-1 gene:GSCOC_T00017502001 transcript:CDP04189 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLRRNGSVVALALLVSLVVNGVIFDVEGNNNVVFEVEHKFKGRRNENGGRGSFLTSLKAHDSHRHGRMLAALDMPLGGNGSPTDAALYFTKLSIGTPPQDYYVQVDTGSDILWVNCAGCVRCPKKSSLGIDLTLYDMKASSTGRLVTCDQDFCLSAFNAPASDCKVGNPCAYSVTYGDGSSTGGYFVRDYAKLNQLTGNLQTIPMNGSIVFGCSSQQSGELGSSTEAVDGIIGFGQANSSIISQLASAGKVKKIFSHCLDGINGGGIFAIGQVVQPKLKTTPLVPNEAHYNVVLNAIEVGGDVLNLPSDVLGGGSGSGTIIDSGTTLAYLPDDVYTPLMEKITASQSNLKIHIVENQFKCFVYSGNVDDGFPVVTFHFEDSLSLTVYPHEYLFDLHDDQWCIGWQNKGMQTRDGREVTLLGDLVLANKLVSYDLENQTIGWAEYNCSSSIKLRDEKSGNVYAVGSHIISSARGLNAGKALRFLLLIITSLLHALLIP >CDP04382 pep chromosome:AUK_PRJEB4211_v1:9:1556841:1563447:1 gene:GSCOC_T00017752001 transcript:CDP04382 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMPLSFPATHFGQPTANWWHKINESVEWQDGIFYTLCAAYALVSSVALIQLIRIELRVPEYGWTTQKVFHLMNFIVNGVRAIVFGFHKKIFLLHPKVLTFVLLDLPGLLFFSTYTLLARSLPTDKLRIYYISINCGIYFLQVCIWAYLWIHDNSVVEFIGKIFIAVVSFIAALGFLFYGGRLFFMLRRFPIESKGRRKKLREVGSVTAICFTCFLIRCLVVVLSAFDADASLDVLNHPVLNLIYYMLVEILPSALVLYILRKLPPKRISAQYHPIR >CDP06293 pep chromosome:AUK_PRJEB4211_v1:9:17667841:17680171:1 gene:GSCOC_T00023055001 transcript:CDP06293 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAESLSIGDEQYISIPCGGPIYSPHMLGRLTRVSEFESSVFEQLQNLKAEIGWDSLEISDDEFCVNDLKIINEEVLVNRAFEEAFKDGQLIENVSQKSQEQSCQRLGGKDTLVSCDSSTGGPRNFEKTVSNDNVSQKRKRKRHVNRETVDLEEGYVAKVQELAKIKQKQDEDKTAARLHSFNGSCRNQSPTISQMNNDKMTSLKSTSFSPKVRLSNAPEQVPVRFPEVILCLEVYHRSRTWSKVVLFR >CDP17618 pep chromosome:AUK_PRJEB4211_v1:9:7728713:7731697:1 gene:GSCOC_T00005128001 transcript:CDP17618 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFATVSTSLQAAQIPGPLPAIFHWFYLAVELAFLAVLVSSYLQRPYPNASRLIQHLAVLFGAVAFILAVSTPFLHPPLKPLSHKLLLIAFLIIILANRYISFWA >CDP04341 pep chromosome:AUK_PRJEB4211_v1:9:1951586:1956118:1 gene:GSCOC_T00017697001 transcript:CDP04341 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTLRRIVSPCWRPSVENEGQNSGRGGDLGCRVDGLWWYKDSGRHVHGEFSMAIIQANNVLEDYSQLESGPLSLEESGPQGTFVGIYDGHAGPEASQFISDHLFDNIKKYTSENQGMSADVISKAYLATEEAFLSMVEKQWLIRPQMASVGSCCLVGIICSGVLYIANAGDSRVVLGRMDKSSKEVKAVQLSTEHNASLPSVRDELQSSHPDDPQIVVLRHKVWRVKGLIQVSRSIGDAYLKKTEFNREPLLPKFRLPEPFQKPILKAEPSIFVQKLYPEDQFLVFASDGLWEHLSSQEAVDIVNTCPRNGIARKLIKAALCEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVYLDFPLISRSSLRESVVSVRGGGGSVEDANA >CDP04220 pep chromosome:AUK_PRJEB4211_v1:9:3012816:3015442:1 gene:GSCOC_T00017544001 transcript:CDP04220 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGGDIYKVVTAMAPLYVALGLGYGSVKWWHMFKLDQCDAINRFNCYFIIPFFTFEFTAHVNPYTMNRGFLIADVIAKFIVGIVLALWVNLSKKGSFDWCITTFSLASLNNTLVLGAPLLKAMYGDLGENLVVQSSVIQSLLWFIALLILLEWRQARSEAVDARSMNGDSHAEIEMGRDLEESSSAPVAIHSPSFLSVLNTVRTKLAKNPNSYACIIGLIWALVSNRWHIKMPEIVEGSILIMSKAGGGVAMFTMGLFIAWQEKFIACGVGLTFYGMVLRFVVGPALTAAGSFAMGLRGDVLRIAVMQAALPQSITSFVYAQEYGLHANVLSTAVIFGTIVSLPLLIGYYAVLDILR >CDP18170 pep chromosome:AUK_PRJEB4211_v1:9:4474570:4480431:1 gene:GSCOC_T00007068001 transcript:CDP18170 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYTREAAKVTSIFVYPIKSCRGISVFRAPLSSTGFRWDRQWLVVNSKGRAYTQRVEPKLALVEVELPNEAFSDGWGPNNSSYMVIRAPGMDPLKVSLSKPSATADGVSVWEWSGSAFDEGDGASKWFSRYLGKPSRLVRFNEASETRNVDPNYAPGYKVMFSDQYPFLLASQGSLEALNKLLKEPIPINRFRPNILVDGCEPFAEDLWKEIRIQNLTFNGVKLCSRCKVPTINQETAIANSEPTETLKKFRSDKVLRKKPQGTKVYFGQNMVCSHSQIPGKGKMITVGDPVYVLKAFSSAADAEA >CDP14489 pep chromosome:AUK_PRJEB4211_v1:9:22178709:22185623:-1 gene:GSCOC_T00040996001 transcript:CDP14489 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAIGATIGNLLQGWDNATIAGAVLFIKRELKLESDPTVEGLIVAMSLIGAVLVTTFAGGVADWLGRRPMLIVSSVLYFVSGLVMFWSPNVYVLLLGRLLDGFGVGLAVTLVPIYISETSPPEIRGLLNTLPQFCGSLGMFLSYCMVFGMSLTSSPSWRLMLGVLSIPSIAYFAVAVLYLPESPRWLVSKGRMIEAKQVLQRLRGREDVAGEMALLVEGLGIGSETHIEEYIIAPADELAEDQEPSADKERIRLYGPEEGKSWVAQPVTGQSVLTPVSRQGSLINQNVPLMDPLVSLFGSIHEKLPDTGSKGSMFFPHLGSMFSVAGNQPRNEEWDVESLGREGEDYASDAAGVESDDNLQSPLISRQTTSIEKDMAGPPLHGSILSMRQGAAIRGANGETSGSTGIGGGWQLAWKWIEREGQDGKKEGGFKRIYLHEGGVSASRRGSVVSVHGDDVPAGGEFIQAAALVSHPALYSKELLDQHPVGPAMIHPSEAAAKGPSWKDLLEPGVKHALVVGIGIELLQQLSGINGVLYYTPQILEEAGVGVLLSNIGISSASASLLISAITTLLMLPSIAIAMRLVDIAGRRSLLLWTIPVLLITLVILVIGSVVNMGKVGNAAVSTVCVVLYFCFFVMGFGPVPNILCSEIFPTRVRGVCIALCCLTYWIGDIIVTYTLPVMLSSMGLGGVFGTYAVVCIISWFFAFLKVPETKGMPLEVISEFFSVGARQAVAIAKNN >CDP04294 pep chromosome:AUK_PRJEB4211_v1:9:2330005:2332344:1 gene:GSCOC_T00017635001 transcript:CDP04294 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNPPPNPSLNPSQLITTITNLLTTQKSPLSPPSSALLRPYLPHLTPPILHSIFTSPTLLSSHPTSLLSFFKLVQSHKPCLTLPLPSLISLLNSLFRRNKFADAKALLLSFISSDHPRHNLHHHLLHPSKSLPPPNNALLDTSIGAYCQCGHTHLAAMVFKKMKRLRLRPNLLTLNTLLNSLVKYPSTHSVHFCKELLNDALKLGVKTNTNTFNILISGYCLEYKFRDATILLNEMREFGCEPDSVSYNTILDALGKKGRLQEVRDLLLDMKNRGLVPNRNTYNILVNGYCKMGWLMDAAKIIELMTQNSLLPDIWTYNMLINGLCNEGRINEAFKLRDDMEGLKLYPDVVTYNTLINGCFENGRSSEAFQLLEEMKGRGVKLNEVTHNIVVKWYSNAGKMREASDVLRRMEEDGFSPDCVTYNTLISGYCKAGNLAEAFRIMNEMGGKGLKMDTVTLNTALHSLCQQRMLNEARDLLKSAVKRGYIVDEVSYGTLIVGYFKDENVDTALKLWSGMKEKGIFPSIITYNSVIAGLCKTGKTEQAMGKLNELLENGLVPDEITYNTIIQGYCWEGNIEKAFLFHNKMVEKSFKPGIYTCNILLRGLCRERMLSKAIKLFNTWISKGKVLDAVTYNTLITALCKEGRLDEALGLVAEMQEKKLEPDSYTYNAIVGAFADVGRINEAKELLPKMAEMGISFDLPAAINKEQDAAGESSDQFDQSSIATAEQITELCTKGRFKDAMHIYGEMTKKGIAVHRSAYIALMNGLVKRRKSTLKSV >CDP17601 pep chromosome:AUK_PRJEB4211_v1:9:7508706:7517480:-1 gene:GSCOC_T00005108001 transcript:CDP17601 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGLGVLDSVKELQLQSQLIEAGVKLLVPSSSADDLLAALDKVEDLLSIVGQDPSSLIQDGLLPSMMALISDRLLRHPNTDVRISVMSCICEVLRISAPHQPYENERMKDIFRLTLAAFEKLSLFSGRCYAKALHILEIVAKVRCCIILLDIGCDSLVTNIFEVLLSTIKFNHPQAVFSYMEDIMTWLLDESDDIPLGLLKPLLASVKKENQITSPVSSWLGEKVLKNCSTKVRPYLMNAVKLMRLDINDYADIVASLCRDMPAGDNVVMVEAEAYASFVVPAEAELCGSLLHDGTSLQIDDDDSRSKDEIEQTKSMAKESVAAETLESGGEIQARAGVGIVPSSMGRESKSLVEREKDYEHSGMTGSPNSLEMPYKRKNHGKVSSLPHSLSAEESSFHLEPEKESEPSYFQPRTARFNSTPPPISPDPSDVGKSLQRRGRSKKKGNMANHDSDLDGRFQGFDGHLRIGSSRTKHAEENPVRYSRRKKSAVKNNMERTAGVKNVVIKTEKDIHSDSEEQPLIIYKLKDGRKGAEDKKALKSEVSKKPRIAKEYGEELVGSRIKVWWPMDSQFYEGVIASFDPSKKKHMVLYVDGDQEILDLKKERWFLLDDQEKKGSLTSSDAAAVRSRTRGRKRGRKSAARSALDILHIKTEIEVLAKDDEESKDEEENLRSETLTDASKSSN >CDP11245 pep chromosome:AUK_PRJEB4211_v1:9:6668253:6671924:-1 gene:GSCOC_T00033365001 transcript:CDP11245 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARILLFAAFLSVHCVTSALTNTTDQSALLVLKSHISFSSNNVFLEKNWSAASSVCSWIGVTCGSRHSRVTSLDISNMGLTGSIPADLGNLSFLSYLDLSNNSFSGNLPGQLALLRRLKLLDLRRNNFSGKIPPSVFSSLPELQFLHLSRNSFSGSIPASLSNLTKIEELALNRNFLQGSIPEEIGELHSLTFLNLEGNQITGPIPPHVFNLSLLQNIALSGNDLSGDLPVDICYSLPKLEGLYLSSNELEGLIPPSIGKCSQLQILSLSSNEFSGTIPREIGNLTLLTLLHLGGNNLEGTIPTEIGNLQRLEVFGLDGDSLSGSIPASVFNISTLRDFTCVANSLSGNLPSDMGKKVPNLETLHFGMNNLSGNIPVSISNASKLTILDISNNGFSGPIPNALGNLGSLVLLNLGGNNLIIESSSSELIFLTSLTKCRNLTELDIGGNPLNGTLPASIGNFSTSLQIFDSSGCNIRGTIPDQIGNLTGVSLLSLFDNNLIGTIPSTIDGLQSLQQLYLDNNKITGSVPNNICSLQKLGAIGLRGNQISGAVPSCMGNISSLRHLILASNSFSSSLPPRLWWLKDLLRFDASSNSLSGFLPPEIGNLKAVIEINLSRNNFSGNIPQSIDGLQNLINLSLAQNNLEGPIPNTLGKVVSLETLDLSHNKLTGMIPQSLEDLKYLRVFNVCFNQLSGQIPSGGPFQNFTNQSFLSNGALCGAPQFQVRPCPMISPHRRRKRFFSIIYIILGISSLILVSALGFLIARWQKKKENAVETDPSPATAHQRISYYELEKVTDGFSESNLLGIGGYSTVYKGTLPDGTPVAVKVFNMKLAGALKTFDTECEVVRNIRHRNLTKVITSCSNQDMDFKALVLEYMPNGSLEKWLYSHNYFLDIFQRLDIMIDVASALDYLHNGYSTSVVHCDVKPSNVLLDEEMVGHVCDFGIAKLLGAEDGITHTKTIATIGYIAPEHGLEGLVSTKCDVYSYGIMLMETFTRVKPSDDMFAAAMTLRQWIIDSFPSGLLQVLDSNLLKPEEENFEAKMRSVSSLMELALDCTETSPNARKNMQDVLSTLKRIRMQLQSSHGK >CDP17300 pep chromosome:AUK_PRJEB4211_v1:9:10212208:10221288:-1 gene:GSCOC_T00003776001 transcript:CDP17300 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MESQELMEGERVDEGNVSGLDGDEGEQAGLGENVGENLEDELLVDLDTYLDDIDDRLMISRMVSDSVIKGMVNAVEQEAAEKIAAKELKVANIKEILKFHNVDFDAIESFGPSMIEDELESIRSGRGVTFEEACSVHDKMGESLKGLSSIVREQFKNLEKGIDGARGCSSIKSINSGSELVGLGGVLWEKESESWVDVDRMLDSLNMTLENICYQAYDMLRLSKSTLSQWHWERDLQEELENMVMHSSIHTIQEEFEQKLWSESALLSGSQSVDWIEKFSQISDVRKELAAMLKSLSHSETEQLSSHGSHDADHFHRRALSSHINSSSSLGDGNGKLEGSTTDVPENHEAVQLKHLNKEELVAHFNNIITKLRRDHESTVAELTEDYFSLKREYLKERGSCLPHKKDKEFDLLRKRIPAVILKLDDILAENEKPPASNSNTGSFHDLKDRPLGLLSGNHQLKDSLRDRKNEVKLLSSQVADAAEKKLEHSIVEANSALEDAHIEAAVIEDIYKCVVSEIARCMKCATEESDLKYLITQEVYDIVLKGVVAKAEVAPTFEFEDSELESLIAQGIFDVLLRESLKNAVEELDTWYGKYLTESQSRRSLEVKAVEKDNQLKSEAEHHKRLKQELAVSVQEKEKFAMDISKEREWFELATQELRRDASQQKLLVSQRTKELELVGDQLAEASSQIEADKVKIQILNEKLKEAYQDLEEADKQRKMELALNQEKHASFLQMKSKEREQMEAVIVDVQGLLKMLADFECKVTGKMKANSLRVEDSSSQLNSLVKKANLLKRTGRVYQQRLERKCAHLQKAEAEVDLLGDKVDKLLNLLEKIYIGLDHYSPVLRHYPGVMETLKLIRRELTGESMKQRQFSPC >CDP17315 pep chromosome:AUK_PRJEB4211_v1:9:10655973:10661227:1 gene:GSCOC_T00003803001 transcript:CDP17315 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEKESIKAAAEDISREFKTLVNSEDLDSIRQLQHLILGRLQDSNAVLSHFNEYSEHCFAEVSADFSRNTRLLKSMKSDLDYIFQKLRSMKAKILATYPDAFPDDSTIEELDRRPDLELPQ >CDP04361 pep chromosome:AUK_PRJEB4211_v1:9:1731061:1733796:1 gene:GSCOC_T00017724001 transcript:CDP04361 gene_biotype:protein_coding transcript_biotype:protein_coding MILQCRPGYLWAAICPQENTQICLKFRIHFEGARQAQSFRLLATRRRKCTLNLKEEPLKISKKKGSIAGAVSLIIGTSIGSGILALPKKTSPAGFFPSSISMTVCWVFLLIEALLLVEVNVRLLKKKSMRLKSAEWGIISIRTTAQETLGEWGGALATVTYVFLGYTSMIAYTSKSGEILNHLIDLPESVSGIFFTAFFTTLIFVGGTQATDQVNQWLTIAMIGLLVAIEVLAVAFGGWSGFGGSDNWEKVPATIPVIIFSLVYHDLAPVLCSYLGGDLSCIRASVLLGSIVPLLALLIWDAIALGLSEEVDQVADPVERLMRVSWGGVSFMVEAFSLLAIGTSLIGTLLSFSEFFREQLNSLLTFSPMTRKLKERSNSDFGLMEWWTSKKLSFTATAMVVVPSLFVSTTVPDAFSAATDIAGGYCMTMLYGVFPPAMAWAVLSKNGEGSDHIAGSRFRPTLICVGILAGAILIEQIFQDLSILQS >CDP04136 pep chromosome:AUK_PRJEB4211_v1:9:3733416:3734351:-1 gene:GSCOC_T00017441001 transcript:CDP04136 gene_biotype:protein_coding transcript_biotype:protein_coding description:AS2 [Source:Projected from Arabidopsis thaliana (AT1G65620) UniProtKB/TrEMBL;Acc:A0A178WIA0] MASSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHRVFGASNVTKLLNELQPHQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQSLGITSHGLIAAAAAAATATTHHHHHPQNLGINFIGGGGSGREQYYHHQFFPRDQQQVIRAFDGGNNYDASSLLAMNVSASIGQLSQFQHPRAAGGDGRRTPIEPS >CDP04377 pep chromosome:AUK_PRJEB4211_v1:9:1590397:1592715:1 gene:GSCOC_T00017747001 transcript:CDP04377 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAPLKASKSSINDLKISKKYDDSDALSTIVRSVSLNTGPSKQRTVAEDILRYGHVKVAAEVFTFRELAAATDNFNSELLVGEGGFGRVYMGRLKKTDQVVAVKQLDRNGLQGNREFLAEVLTLSLVHHPNLVNLIGYCADGRQRILVYEYMRNGSLEDHLFDIPPHKKPLDWFTRMKIAKGAAQGLEYLHDTANPQIIYRDLKASNILLDDEFNPKLSDFGLAKLGPTGDKDHVSTRVMGTYGYCAPEYAMTGQLTTKSDIYSFGVVLLEIITGRRAIDNTRPTAEQNLISWAKPYFKDRRQFTFLADPLLEGDYPVKCLHQAVAVAAMCLQDEASTRPFIGDVVTALEYLAMSTDESFSDEEEAIDFQ >CDP04497 pep chromosome:AUK_PRJEB4211_v1:9:545092:546812:1 gene:GSCOC_T00017912001 transcript:CDP04497 gene_biotype:protein_coding transcript_biotype:protein_coding MGATMRCMVILGVLFALFMSSTSAQSCSKYNFASNKVFSACSDLPYLNSYLHWTYSPSSQSLEIAFRRLGTSSSRWVSWAINPTSQGMVGSQALVAFQKSDGTMRAYTSPIKSYQTGFQEGDLSFPVSDLSATYSNNEMIVYATLKLQNSSSTLNQVWQEGPLSNDSPGMHPTTGPNVQSMGTLNLLSGESKTTAGASSSKLKAKNASPLIIHGVLNAVSWGILMPLGAIIARYVKEFPLADPAWFYLHVTCQLSAFILGVAGFGTGLRLGSQSPGVTYAVHRGLGIALFTLALVQVSALLIRPKKDHKWRSYWNCYHYLVGYGILGISIANIFKGLDILSPEKKWKRAYIGILVTLASLALLLEVIVWFLKRSKRSAGADKLKSSHGTNGTNGLNGYGGRKPETV >CDP04084 pep chromosome:AUK_PRJEB4211_v1:9:4309126:4309780:1 gene:GSCOC_T00017372001 transcript:CDP04084 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYALTFFFSNFGPNTTTFTVPAELFPARFRSTCHGISGAAGKLGAIVGVVAFQWASPDNYHQPGIRMTAPLVLLGLVSLVGCVTTYLFTRETMGRSLEENENEDQACGSGTGWGLVRHFSFTRCSLPKLCANNEVADG >CDP04539 pep chromosome:AUK_PRJEB4211_v1:9:196659:198601:-1 gene:GSCOC_T00017966001 transcript:CDP04539 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTNLILKAAAAENGEEDPGGAVGGGSGTSSSSRRRSTLDLDLGNGSQVVYVARFLGYQESWDYFHYLNKHIPWTRPTIRVFGRSSVQPRDVCYVASEGLPDLAYSGYQPHAHSWDEFPPLKDILEAVHNALPGSCFNSLLLNRYKGGSDCVSWHADDEKLYGPTPEIASVSFGCEREFLLKRKPGKNFRAAKKRAEGKRPRVAEQHSFTLKHGSLLVMRGYTQRDWLHSVPRRAKAQSTRINLTFRLVPSHAG >CDP11256 pep chromosome:AUK_PRJEB4211_v1:9:6568137:6569631:1 gene:GSCOC_T00033380001 transcript:CDP11256 gene_biotype:protein_coding transcript_biotype:protein_coding MKNELVFVAAPGRGHIVSEIEFAKRLSEVDRGISVTVLLMKSSSLDLDSFIQELAASVSSSNIQFISLPKADPPPSELKSSNESYFAAYIEKHKCLVKDAIINHVLPKSGTHLAGLVIDLFCSSMIDVANELGVPSYVFCTSSAAFLGLVLYLPIRHSLIGTEFSISDPDSSIPAFANLVPSGVLPSFLFNKHGGYSSFLSHGTRFQKAKGFIINTFAELESHAINFLTADKESPQVYTVGPVINLDITKKLSESDRKIMKWLDDQPSSSVVFLCFGSIGGFEPPQLAEIAIALKQSGHRFLWSVQPSPPKDFTVKPKVYANFSDVLPKGFLERTKDRGLVCGWAPQVEILRHHAVGGFVSHCGWNSILESLWNGVPIATWPVYGEQQSNAFQLVKDLELAVELTLDYRFENSDEIVVADKIEKAINWLMDSENPVRQRVKDLGEKGRKALMDGGSSLISFGRFIADISVNRL >CDP04509 pep chromosome:AUK_PRJEB4211_v1:9:430731:434371:1 gene:GSCOC_T00017928001 transcript:CDP04509 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKQQPSSRYNTPSSSFLSSSSSPRSSAKSDPSSSTDTLFLASNHTPPSSSRALVKTKNARATHHHHHNFTSMVKKFMETKSAHSSSSSRKLSRKASATNEPLKLTIAAEFLAEDLKKNPASAKKATPLSALQNKLFKGGKRNNEESKILNERKALTEVKGNTRTLAMVLRSERELLSLNKDQENQISELKLMLEDKNREVEKLKDLCLKQREEIKSLKSAILFPDVMNSQLQELLAKQGSELKEAKQLIPGLQRQVTSLTGQLQCLAEGLAEVKADKCSVRGCFDNHSSPRTPTYEHEEATNSLEFSSGDHTAPGSPDDMFLKDLNPCLTPYYKTKSMEFEKLDFPDDEGLFRKNLKFGHEFGFNSCTQKLSKSSDCCQCSKTSSTSVPAARRSDESKRNCGKQMHHKLF >CDP05605 pep chromosome:AUK_PRJEB4211_v1:9:11077917:11079863:1 gene:GSCOC_T00020749001 transcript:CDP05605 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKGRHRLVLFPVPLQGHINPMIHLASILHLKGFSISIIHTQFNSPDHSKYPNFAFHSIPDGLLEHQFSTSDLAALVTRLNLNCIRPFRECLASLLSDNEEHVASLITDSIWHFTQDVADSFKLPRIVFRTTSVCSFLAFHALPHFREKGYLPKQDSQLEARVGEFPPLKVKDIPVIKTRFPESLDRIISLMMEGTKAASGLIFNTFKELEDNELMKIGQEFCIPIFAVGPLHKYFPASSSSLLRQDQSAIIWLDKQAPKSVIYVSFGSIAEMDETQLSEVAWGLANSRQPFLWVIRPGLVQNSEELARLPNGFLDAVEGRGYIVEWAPQQEVLAHPAIGGFWTHSGWNSTLESICEGVPMICSPFFGDQMVNSRFVNDVWKLGLQLEKGLDREEIEMLIRRLMTEKEGEEIRDRVMSLKDTINSCLEQGGSSNQSLESFIDYILSF >CDP11248 pep chromosome:AUK_PRJEB4211_v1:9:6638923:6640572:1 gene:GSCOC_T00033371001 transcript:CDP11248 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGKSSLRLHSRLSLPNLRFIAFSSSFSTSTPAVDFLNDAVEDDNDSSTTTSSSSKPTTVTSSLSPAESLVAEKLHSLIKNHHRKNPTLNPNPNSPNPINPDLTLPTLSFDLSKISPIHSLSPAVIVHVIEKCGSVRHGIPFSQTLAFFNWAISRSETLISHEPYNEMIDLAGKVRQFDVAWHLINLMRSKNVEIPIETFSILIRRYVRAGLANEAVHAFNRMEDYDCKPDRNAFSKVISVLCKKRRAVEAQSFFDSLKDRFEADVVVYTSLVHGWCRAGNMSEAERVFGEMKAAGIQPNVYTYSIVIDGLCRCGQITRAHDVFAEMIDVGCEPNAITFNNLMRVHVKAGRTEKVLQVYNQMKRLSCVPDVITYNFLIETHCRDGNREDAIKVLNSMVYKGCEPNVHSFNPIFRCIAKSGDVNAAQRLFARMKELKCQTNTVTYNILMQMFADSKSTDMVIKLKKEMDESEVEPNVNTYKILISMYCKMGHWNNAYKYFREMIEQKYLKPSQQVYQMVLEQLRKSGQMKKHEELVEKMVYRGFASRPL >CDP11283 pep chromosome:AUK_PRJEB4211_v1:9:6058576:6062670:1 gene:GSCOC_T00033428001 transcript:CDP11283 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFHLLSPLGLVLLCFLSASLAMFPTNITTDQSSLLALRAHISVDPLQILAKNWSVGSSICDWIGVSCGSRHRRVTGLNISYMNLSGTLPPQLGNLSFLVSLDVSANNFHGELPHEFVGLRRLKLLNLSFNNLEGEFPPWIGSFPQLHRLFLRNNSFTGLIPSSISNLSKLESISLSFNRLQGNIPIGIFNISSLQMISLTGNGLSGVLPSDMCYHLPGLIRLSLSLNKLNGQLPSSNLAKCSELRVLSLSLNEFGGSIPKEIGALKKLEELYLGGNYLEGVIPREIGNWVSLQQLNLQSNSLTGSIPVEIFNLSKLSLMSVTQNQLSGNLPSTFGYRLPNLEYLYLDINYLSGALPSSISNSSNLRHIEFGGNKFTGPIPTSMGDLRFLELLDLGGNLLVSDSSSPELTFITSLTKCKYLAALSLDVNPLNGIIPDSLSNLSTSLEQLNAANCKIKGSIPDGIGNLRSLILLDLSNNDLTGSLPATIKDLQKLQYMDLSMNKLISRVPLHLLCALHNLDTMNLGQNQFMASIPKCFGNLTSLRHLNLSHNRLYSAPPEEIWNLKDLLMLDLSSNLLSGSLPYAITNMKMANWVDLSTNQFSGGIPDSIGDMQNLQNLFLAHNRLQGSIPESIGKMLSLESLDLSHNFLSGSIPMSMENLRYLRHFNVSFNNLSGEVPSKGPFINFTAESFTSNQALYTLSSGANLSLVAMPERISYFQLLQATNGYNESNLLGTGSFGYVYRGTLDNGRAVAVKVFNLQVDGAFKSFDVECEVLRNLRHRNLTRVISSCSTPEFKALVLEFMPNGSLEKWLYSHNYFPDLMQRLDILIDVACALQYLHCEYATPVIHCDLKPSNVLLDQDMVAHLSDFGLTKLLGEENSITYTETLATLGYLAPEYGLEGLVSTKCDTYSFGMMMMEVFTRTKPNSEMFGENLSLKSWVIDSLPDGLADLHSLNHESGSRLHNGVSKREKQHTRCSCCAEKDQASIHESPVFRDLMVHAFSLSKIATFLIVSVINLQGGEKSQQD >CDP04119 pep chromosome:AUK_PRJEB4211_v1:9:3918392:3924585:1 gene:GSCOC_T00017419001 transcript:CDP04119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2A [Source:Projected from Arabidopsis thaliana (AT1G30825) UniProtKB/Swiss-Prot;Acc:Q8LGI3] MILLQSPSKYLLQILTTRLENLDKGVELDSHWIEFNDVRYHVQASMKNPNLLFLSVSLPPPPPETVSFGGLPPGAIEAIKAAYGVVAQILDPPRDGFSLTLKLNLSKLPPDEEHKHGVLTKIASVREVVLGAPLRVFLKQLASRTVASDIDKVVALVHRPMESFFLIPQVEKVTVVFPMRFKDSIDILLATSFLKEFVDARRTAGLNNAPPCSWSPSPPPELKGAPPEALSSNAGFVSFVIFPRHVEGRKLDRTVWSLSTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDSAKPEYEKAVKATQNRSFKRLSLKDGRSSLKSFS >CDP17308 pep chromosome:AUK_PRJEB4211_v1:9:10426732:10429391:1 gene:GSCOC_T00003788001 transcript:CDP17308 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIIRGGKVKFVKNVVRYCTARLRRLYKSLKFTHGRGKYSKRAITASMVTEVRYLHVVLYTAERAWSHAMEKKTLPDGPNARQRGYLIGRLRKAVKWATLFQDLCSIKGDSRTSLEAENSESVDQINSPRFSINVLQLLKSAQMQHGLRFGDYARYRRYCTARLRRLYKSLKFTHGRGKYSKRAITASMVTEVRYLHVVLYTAERAWSHAMEKKTLPDGPNARQRGYLIGRLRKAVKWATLFQDLCSIKGDSRTSLEAEVC >CDP05664 pep chromosome:AUK_PRJEB4211_v1:9:13471994:13478775:-1 gene:GSCOC_T00020849001 transcript:CDP05664 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRSESQSGRRFKLSHLLMGLAALYLIVICLKFPKFLESAAVLSNNGNDVGKEGLRDGFHRILQNNVNQNAPPMPREEALEEKKGGSTPVNPLSLHYGRIAAAILRRRNRTSDFSVVEKMADEAWSLGTKAWEEVGKHDGKDIEMNATLEGKPESCPSWVSVNAEELARGDNLMFLPCGLAAGSSITVIGTPRVAHQEYVPQLARLRAGDALVLVSQFMVELQGLKAVVGEDPPKILHLNPRLRGDWSHRPVIEHNTCYRMHWGSAQRCDGLPSKSDDEMLVDGFLKCEKWMRNEIVDSKESKISKESKIFSWFERFIGRAKVPEVTWPFPFMEGRMFVLTIRAGVEGYHIIVGGRHVTSFPYRTGFTLEDATGLAIKGDVDVHSVHATSLPTSHPSFSPQRVLSFSEQWKSHPLPHHGIQLFIGVLSATNHFAERMAVRKTWMQSLAVRSSNVVVRFFVALNPRKEVNAVLKKEAAYFGDIVIIPFMDRYELVVLKTIAICEYGVQNVTAAYIMKVDDDTFVRVDNVLEQIEGFSPKRSLYMGNLNLLHRPLRTGKWAVSFKEWPEAIYPPYANGPGYIISSDIANYIVSQHLKRSLRLFKMEDVSMGMWVEQFNSSKPVQYSHSWKFCQYGCTEDYYTAHYQSPRQMLCLWANLIKGRARCCNY >CDP04366 pep chromosome:AUK_PRJEB4211_v1:9:1691708:1701591:1 gene:GSCOC_T00017732001 transcript:CDP04366 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSMCSMSSEIAFSSCESLQGRNLYLMPLKSFGKDNARMQKRVVGNVLVSSHLIPHHLEESFPRKAQLEGKNQKLQFIRTLLIDNYDSYTYNIFQELSIVNGVPPVVIRNDEWSWEDAYRVLYQEKAFDNIVISPGPGTPTCSADIGICLRVLLECRDIPILGVCLGHQALGYVHGAKVVRASVPVHGRLSDVEHNCCRLFHDIPSGRNSGFKVVRYHSLVIDPQSLPMELIPIAWTSSPEAVPFLGIQGYDSVSDAHDRQGDQQMFVDCIATKLNERKSWPSCHPQETKSEKVLMGIMHSTRPHYGLQFHPESVATGHGRQIFKNFADITKDYWLRFRSSSSPEGQVYAACMQVPDVSHLLQDVMRGHLVKKMDEAKHFNFYNMPKLKYLSNDVKFLKLRWRKLASPAGRLGGARDIFCKLFGDFKAENTFWLDSSSVEKERARFSFMGGKGGTLWKQVTFRLSNESDAEFRSGGYVSIEDAQGFTQSIILEDGFFDFLNKELHSFRYDQKDFEGLPFDFYGGYVGYIGYDLKVECGMALNCHKSRAPDACFFFSDNFVVIDHHKDDIYILSIHEQGTSASTWLDDAEQKLLSIENSTTKSLMFQVSQGSIDDPLKLGFSAGKSREQYMKDINNCQKFIKDGESYELCLTTQLKKKIGEMDPLGLYLNLREKNPAPYAAWLNFSKQNLCICSSSPERFLRLDRHGILEAKPIKGTIARGSTKQEDELLKLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVHVPHLMEVESYATVHTMVSTIRGRKQANVSAIDCVRAAFPGGSMTGAPKLRSMEILDALENCSRGIYSGCIGFFSYNQTFDLNIVIRTVVIHEDEASIGAGGAITALSSPDEEYKEMILKTRAPASAVFDYESKSH >CDP04353 pep chromosome:AUK_PRJEB4211_v1:9:1799054:1801216:-1 gene:GSCOC_T00017712001 transcript:CDP04353 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHPVAEANEKSPFGSLTPEEFYARHKVTHGTEFITNPRGLKLFTQWWIPLPDSGKPLIGVVCVVHGFTGESSWFVQLTSVHLAKQGFAVCAIDHQGHGFSEGLQAHIPDINPVVDDCVAFFDDFRERHVPPNLPAFLYSESLGGAIALLITLRKDLKRPYDGVVLNGAMCGVSDKFKPPWPLEHFLSIVAAVVPTWQVIPTRGRIPEVSFKVEWKRKLAMASPRRPLARPRAATAQELLRLSGELQDRFGEVTVPLLIVHGGDDVICDPACAEDLYKRAASKDKTIHIYPGMWHQLVGESDEDVERVFGDIVEWLRTRAERAASSSYS >CDP04109 pep chromosome:AUK_PRJEB4211_v1:9:3985590:3987524:-1 gene:GSCOC_T00017408001 transcript:CDP04109 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSWKEKVLPKIKKVFEKNGTKKAAAAEVTKAFEESKGQYTTEFEGKKTELEAKVVEVYEASPSEVKALIKEPKEAGLKKHSAAVQKFLDELVKIEFPGAKTVSEGATKVGPSYLSGPITFLFEKVSTCIPEEVKKEEEAPAAAAETTAESSEVKEKEIVVEAEKKEEVVTEAVVEKVEAPAEAPPAKEAKVEEAAPEPAPAAEPPKA >CDP17289 pep chromosome:AUK_PRJEB4211_v1:9:10020178:10020381:-1 gene:GSCOC_T00003760001 transcript:CDP17289 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLPIFHRDIKSSNTLLDENFLTVILDFGLSRSVLLDKSHETTRVGDSERAWFLRLLVLNWQTTQG >CDP17304 pep chromosome:AUK_PRJEB4211_v1:9:10282242:10292044:-1 gene:GSCOC_T00003782001 transcript:CDP17304 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRQSAPGRPTSGKRRLNDLLLQRDNRVCADCSAPEPKWASANIGVFICLKCCGVHRSLGSHISKVLSVTLDEWSDDEIESMIEVGGNASANSIYEAYIPEGVSKPGPDATHDARSKFIRSKYERQEFLKPSLRILSAPKSSSLQTSLSRKIMSTFRSASSSHASEGMVEFIGMLKIKVVKGTNLAIRDMLSSDPYVVLTLGQQKAQTAVVKSNLNPVWNEELMLSVPQNYGAVKMQVYDHDTFSADDIMGEAEIDIQPMITSAMAFGDAGMFQNMQIGKWLKSNDNALIEDSTVNIIDGKVKQAVSLKLQNVESGEIELELEWIPLDQ >CDP04345 pep chromosome:AUK_PRJEB4211_v1:9:1904712:1906719:-1 gene:GSCOC_T00017703001 transcript:CDP04345 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLTLWNEFAKDDGSKIASNIASAPMIIAMRIKVTTFNSLSSTSRLSSCILYNPPVPEDMQMNEWYAAHKQELKNMLEANAFKDTSLLLPPRGPEFIKDIKTVLASFDKQKCWHTVCANCHKNVDADIDWIITCPSCNESTSIQMSCRIGIKLTDETGKMNCTIYSPEVEKLIPYTAIQLRDAYETGVALDMQLAESISKHTVVCFVRSFEVEYQSQQQKKNVVVKLYTLEELPQMITSINHEDPLNVQTQLMTPAITEVKARASEDIITPLLAPTIEDKAAINTSATAAAPGKSSAKRSLNFTSKAANSISSVVDEASMLIEEDDSKQQSSITFEPETYSYKQVGTGSSKKKKSDLKID >CDP04400 pep chromosome:AUK_PRJEB4211_v1:9:1346327:1346980:1 gene:GSCOC_T00017777001 transcript:CDP04400 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHRTRVDGNDKVEKGTASIEIFLSMAKGTIKRRESKPEFSEDEEMLMARMYRLVGPSRWALIAGRIPGRTPQEMEKYFTSKNASTSN >CDP04207 pep chromosome:AUK_PRJEB4211_v1:9:3093239:3096126:1 gene:GSCOC_T00017524001 transcript:CDP04207 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILEEEESEFDNDVVMVPPPNFATVEDNSIYRSGFPQPSNFPFLQSLQLKSILCLCTEPYPEENLEFLKANNVKLFKFGIDGTKEPTAIPRSTITEALKVLIDVRNHPVLIHCRRGKHRTGCLVGCLRILQNWCLSSVLEEYKHYAGTKSRPTDLLFLEKYDASFLRHCLQNLICQYQGYGSRKRRLLYKEDLVQKPRITSA >CDP17591 pep chromosome:AUK_PRJEB4211_v1:9:7391811:7393607:1 gene:GSCOC_T00005094001 transcript:CDP17591 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSNDFSATSTIERYQKSIKNRPTSKQMDLERLQHFEEEVAIQRKKIELLEETTRKLLGDGLDSSSIDELQQVERQLERSLSIIRSRKILLFCERINQLKEEGKILRKKNAELREKYEERQLELSIGQQFSSLEQVKEVETQLFIGLPNR >CDP11282 pep chromosome:AUK_PRJEB4211_v1:9:6064771:6067721:-1 gene:GSCOC_T00033427001 transcript:CDP11282 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRPKKKKNTVHGYELSILLEQGRYSSIAERMQDYQVIAMVIFLGPKLKFIQDQIQENVKNLMSQQLRIPSGSSGR >CDP05629 pep chromosome:AUK_PRJEB4211_v1:9:12079170:12084699:-1 gene:GSCOC_T00020790001 transcript:CDP05629 gene_biotype:protein_coding transcript_biotype:protein_coding MRAILRSIRQFRSALRYRGDIFYNGLSKRQHCRVNKNGGSVYYTPQCTDFWRCQHPSYMISRALHTDAVNVANGELIQGGPLVEYERRIAAGELVDGDICQLGTLRQLQRLYDELVEKADACRLDRYAASEKAGRSRWLWSRFIPQSSYAPVKGLYLCGGVGTGKTMLMDMFFDQLPCNWRKKRIHFHDFMLNVHSRLQKHNGVADPLEVVAGEVSDESILLCLDEFMVTDVADALILNRLFRHLFSHGAILVATSNRAPDNLYERGLQRDLFLPFIALLKERCIVHEIGSSVDYRKMTSAQQGFYFAGKDLSSLLNEKFHQLIGNHKAAPQEVEVVMGRILQVPLGANGCAYFPFEELCDRPLGAADYFGLFKKFHTLALEGVPIFGLHNRTAAYRFVTLVDVMYENKARLLCTAEGSPVELFEKIVTIADAQQMSPRTSSRSRKSDDSDLCVDNELGFAKDRTISRLTEMNSREYLEQHAAMVAEMQQLPADSSKDVLHA >CDP04272 pep chromosome:AUK_PRJEB4211_v1:9:2505937:2508881:-1 gene:GSCOC_T00017607001 transcript:CDP04272 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFFQRTDHYRRLPHKKQRKGEKKASFSVLSRSYPFFNLLPALDGCIIHMVILTCIRAIKDFYFATSSFQHLRYHNCSSEIKKIIFPQFSSHQRKYSTANGVLSPKVLPDEAYPILQSSGLQHWFKNWQELRKHKLTASTFSGAIGFWPKRRVQLWLEKIGAIEPFSGNLATCWSNIKEEEALERYKLITGNTVCFPDFQVYRKTNHEEDDWLAASPDGLVESLIYGLPSRGVLEVKCPFYDGDMTKAFPWRRIPLHYIPQAQGLMEILDRDWMDMYVWTVKGSSLFRMYRDPEYWDALKIALSDFWWKHVHPARELYTNSAISNPLFELRSFRPAPRHELCSFLVYESKRIVDNSRLIMREIHGKLVD >CDP18163 pep chromosome:AUK_PRJEB4211_v1:9:4427630:4429462:1 gene:GSCOC_T00007060001 transcript:CDP18163 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIQQTSIAQFTLDLEGLKEANKIMALPGQPKRVNFDLYSGYVTVDPKISGADPWADDYALSYLNNPDVQKSLHATTTGTSGPSSLCSNSINWTDSPDHVLPTIKELMASGINLWIYRGICEKENHFSSLVHPRRGWRICGGISELTFVTVRGAGLLVPRYQPARALGVFSSFMEGKLPSSS >CDP12605 pep chromosome:AUK_PRJEB4211_v1:9:8733926:8755630:-1 gene:GSCOC_T00036283001 transcript:CDP12605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MDSSPSRLGRYESNLANHSSKIVRNSSSLAKSISDSGSQSLASILNNPHAGKSDYWWSPTSSIPAPEFAPLPAANSAGIPKPGSEVNKPDFGPYLSSITEHYSRFHDIQQHESLEGQDSEFAGQGEALVACLREVPALYFKEDFQLEDGGTFKAACPFKTTAENLVLQEKLSQYLDTVELHLVKEISLRSSSFYEAQGQLEDLNAKIVEGCNRIRELKETIRLLDSDLVGSARRVQEVNIRRENMVALRKKLMLIQYVNQALSTLKLLITSADCAGALDVTLDLQHLLDGNELTGMHCFRNLRDHVAASVDSINSILSAEFLHVTMHDEVSIEGVRASTSIATNGKEEKTELNEEEVSNLRDRLLPVIIGLLRTARLPAVLRIYRDTLTADMKAAFKAAVSELLPVLVAKSLGLEFISGGRMVNTDGGGSSLADKLKNLSPECFLQLLSAIFIVVQAHLVRASEVKKAIEWIMCSREGHYAANTVAAAIAVGAAAAETTQETDSHGSNLLSYSSIGDARDNDATRPSDSSGDFRTDVLRENTEAVVAACDAAHHRWAKLLGVRAKTHEKLRLQEFLSVYNLTLEFMNVTEKIGGRLGYSIRGILQSQAKNFVECHHESQMTKMKAILEQENWNEIDVPEEYQNIVTSLFSSELLVSGDTDDPPVDIATRNGEMGTNGDGSNSTQNVDRIDSVGSSGDSVVQPLPAQNNITENDTSVAQSSDARHRERGRSSCRTLLFKGLAYHMVNCGLILVKMLSEYIEMITYLPAQSPEIGQRLVELLKFFNTRTAQLVLGAGAMQVSGLKSITSKHLALSSQVIGFTYAVIPEIRRILFSKVHETRKTVIAFEFDRVTQDYKIHQDEIHSKLVQIMKERLLFHLRGLPEIVESWSSSEDSNTQPSQFARSITKEVNVLQRVLSRTLHEFEVQSIFRQVVVIFHAQISEALSKIDISTPQAKTRLHRDIESLLQCIRSLPSGNWSDSSPPNWGQLDELSVQRFGREVDP >CDP11259 pep chromosome:AUK_PRJEB4211_v1:9:6451084:6457947:-1 gene:GSCOC_T00033386001 transcript:CDP11259 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDGRIVAIKKSKKVDENQLEQFINEVVILSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTLFTLIHNGNNEELPLTWNLRLRIATEVTAVAKLAQRCLNLNGKKRPTMKEVAIGLESLKLSSVQSTTPENFQSPSCTEGESFVSFNNNYTWTTEGDSFRSASDVHPLLNKHYYYLPCNDVKLEKVITTCNVSMLRLEKKKKIIEHHTKFHINCRSQKSQSSFRSRKLDHKNQIRRLQKLSPDYNCTQFVGLVVKKKLPSCMGDTFLLRNSISGSSELIPVIVNKILNRNQIKINLDAHSAMSDLRLYSMLLLFVSIIVPSAAAAAAASEPRFPMARPGCNETCAGNAVTIPYPFGIGRDCAMNESYIVTCNHSFANSSNATSSKPCLSQVANSTWSPFFYSKDDNKLMLFGCGNALLNQDPDNQVLSGCTSMCQINSSITGCYGINCCATSVPFYINKYQLNYTITNFNNSCASAFLADQSWTPGRLSEPFIRRSLASVPVVLSWTLDGPVANPDCFLSESPLELESGHILRYQCECQLFGTGTFVYKINPYLDGACNYGIKCNINRKIQAHMACVNCNMHHACTTTGSALNICNHCNMDLYRCFCRCWSSISHNSYLYLVQSSEKEAHQKAPREIF >CDP18206 pep chromosome:AUK_PRJEB4211_v1:9:4707386:4709119:-1 gene:GSCOC_T00007113001 transcript:CDP18206 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCIWLSLFSNSSIFVYSVIVKDIGTAQDLVFVDGISTIGEIDDAFICATLDWWLPEKYDYGTCSWDHASLLNQDLNNIILLNAVKAFSLLKIRLGGTLQDNVIYQTQSNQRCHSFVKNSSELFGFAQGCLPSSRRDELNSFFKKSGYVRSNTLVRLKLFGLNALNGRRIRSDGSTVGAWDSSNVEAFIRYTVEKGYNIYGWELGNIIYLSMVSLLQKCFFSYASDIIVLHNKVQEIYKDVANKPIVLAPGGFFDVNWFTDFLRRTNNAVDLYWNRLPFFFLFKSDGENDLPHLLIWL >CDP18191 pep chromosome:AUK_PRJEB4211_v1:9:4611614:4613725:-1 gene:GSCOC_T00007093001 transcript:CDP18191 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEAVKRPWDEDPFTLTEEVMKNISLEVVREKLLDHVHQEIPYNIEHRLVDWKELRDSSLRIEQHFITPKMSQRKILVGKKGSKIGRIGLEANEELRSIFKRNVHLILMVRLKS >CDP17298 pep chromosome:AUK_PRJEB4211_v1:9:10175544:10180068:-1 gene:GSCOC_T00003774001 transcript:CDP17298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable receptor-like protein kinase At1g30570 [Source:Projected from Arabidopsis thaliana (AT1G30570) UniProtKB/Swiss-Prot;Acc:Q9SA72] MRLQGMGFFGLFVIGMICVLVRIGDAQMNAFLVNCGSNSSINVNGRKWIGDSSPGNNITMSAPGIEASTAMVGGDTVYASLYKTARIFTDRLNYTLQGAQGNYFLRLHFYPFAFENFNANQSYFSVEANGLKLVSEFNVPGEIGDKNSNLQASKGNSSFTSLVKEYFFNVESNGIAIDFIPSKGSFGFVNAIEVIPVADKLFLDSVKKVGGNGANSSLNLSKRGIETMYRLNVGGSTINPDQDSELWRLWELDSGYMINVDAGSEIRNKSYIVYASPNDTYFAPIPVYESARTMSNNDVLEKRFNMSWKLEVDPDFDYLVRLHFCELVFNISNQRNFRIYINNKTAADNFDIFTRAGGMNKAYHEDYSDVMPSQSNNLWIQLGPDASAAAAGTDALLNGLEVFKLSRDGNLAFVQSYQKSEEKKSSRSLILWVAIGAGIASIVILAAIVALIFWSCRKPSTQQGDTKKTSPGWRPIFLLDSSTNAKGSPRGQNPSGFAASRSGRRFTLAEIRAATNNFDESLVIGVGGFGKVFKGELEDCTLAAIKRANPQSQQGLTEFETEIEMLSKLRHRHLVAMIGFCDEQNEMILVYEFMANGTLRSHLFGTDLPSLTWKQRIEVCIGAARGLHYLHTGSERGIIHRDVKTTNILLDENFVAKMADFGLSKTGPSLEHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVVCARAVINPTLPKDQINLAEWAMKWQRQKSLETIIDLRLNEKYSPESLTRFGEIAEKCLAEEGKSRPTMGEVLWHLEYVLQIQEAWLSTIAEEMSFTGSQLLELPEVNDTTELDKEGGLDKPCKNGVSAAVAADQPDQLVVGGAGVFADFKSTREVMIQKGMTVM >CDP17623 pep chromosome:AUK_PRJEB4211_v1:9:7781473:7787026:-1 gene:GSCOC_T00005136001 transcript:CDP17623 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRSYHRQGGGDRRDIGESYEEYQQGQTSHRGFHGRYNRGPEDAAASDNWWGASGSHHRRPQQQQQSGGVGGSIGRESRRQQPMERRFWPHQSGSSGSRDGGSWRRQQSGSDGITTETASWRRQQSGGGGTTETGSWRQQRPGGSGEPAETGSWRQQSLAGGGEPTETRQQSSGGGGLMGREASADRNWGPSSSSTTSYQNQKPCRSFPDPVQLDVPLLRISDQKPLSYHLEKTENIIVPLRRPDSRTFATRSISLVANHFPVKFNPSGIIMHYAVHAKLVSSIGHKPLKRSIPKSLMGLLKEQLFSNDPKSFPSDLVAYDGERNIFSAVPLPSGDFKVEISHGEDVTSCSYIVTIKKMNELKLSRLKEYLNGDLLYIPRDILHGMDLVMKENPSKYRIRLGRNFFCKNYREGDDLKHGVAAYRGFQQSLKPTSQGLSLCLDYSVLSFLKPLPVLEFLKENIEGFREADDATRMRRQVLNALKGLKVRVTHRITKQKFTISGLTEKVAGELWFDLVDADPQAPPKKIKIVDFFWQKYEKEIRHLNVPCLDLGNANKIHYVPMEFCVLVVGQRYPKERLDRKTASFLKKLSLASPEERRRTICDMVQAKDGPDGTVAKNFQMEMDYNMTSLEGRVLGAPVLRLGAANGNVYPVRVDQEKCNWNLDGKSVVEGKPIERWALIDFTLSSRCKLQAEEFIKNLRHHSAILGIHMEDPLVCHFTGMHEFSSIVKIERLLRMVISDARHRSGSQATQLQLIICVMAGKDPGYKYLKWVSETKIGVVTQCCLSLHANKGEDKFMVNLCLKMNAKLGGSNVELNERFPDFADDDYVMFIGADVNHPAARNSTSPSIAAVVGTINWPAANQYAARVQPQDHRKEKIMNFGSICRDLVSTYAQRNMVRPKKIIVFRDGVSEGQFDMVLNEELLDLKQAICDVHYQPTITLVVAQKRHQTRLFLNGRNNEGVTGNVPPGTVVDTKIIHPFEFDFYLCSHYGSLGTSKPTHYNVLWDENAFETDKLQKLIYHLCFTFARCTKPVSLVPPVYYADLVAYRGRLFQEVVTKQSSAPLWSTSAISSASLSSSAASFNQNFYTLHPDLQNIMYFV >CDP04348 pep chromosome:AUK_PRJEB4211_v1:9:1887573:1889154:1 gene:GSCOC_T00017706001 transcript:CDP04348 gene_biotype:protein_coding transcript_biotype:protein_coding MARALGTTLLCSWLFFTCIVQAQTGPIDVTQLGAKPDGSADMSQVLADAWKQACNSTTPSTILIPKGTFLLKEASLAGPCKAPVEVQIQGTVKAPEDPAQITKDKEWMSIIYVDQLTLSGGGTLDGQGAKAWTQNECRVKTECSKLPNTLSLNFVNNTVIRDLTSLNSKLFHVNLFGCNNITFQHFTIIAPGDSPNTDGIHIGHSTGVVITDSNIGTGDDCISIGDGAKQVNISKVTCGPGHGISVGSLGRYDNELPVEGIFVTDCTISGTLNGVRVKSWPASKSGSATNMHFEGIIMQNVSNPVIIDQEYCPNNQCTNTAPSSVKIAQVSFKNITGTSATPAAVTLLCSKSIPCEGVEVADIDLAYNGNQGSVSSNCANVKPALSGKLNPPICANATVPAQAA >CDP14583 pep chromosome:AUK_PRJEB4211_v1:9:20805266:20807201:1 gene:GSCOC_T00041120001 transcript:CDP14583 gene_biotype:protein_coding transcript_biotype:protein_coding MESMTLGQAKDFELQKLHSSCKEWGVFQVVNHGVSSSVVEKLKYEIEEFYKLPLEEKMRYKLRPGDVEGYGQTIANLTDKKIDWADRFLMVINPIHKRNPHLLPELPSSLREAMEAYFKETQKLAMVLFKLIGQALEIDKREMEDMFEDGLQLVRMNYYPPCPQPELVVGLRPHSDASGLSILLQVNGVEGLQVKKNGVWIPVNILPNAFVLHIGDVMEIFSNGIYKSIVHRATVNSVKERISIGMFFNPKLEVEIGPATSLINEKNPPQYKRMPMEQYVKYFFARKLQSKTIIDQMRIKGEQPNTE >CDP17604 pep chromosome:AUK_PRJEB4211_v1:9:7554441:7556529:-1 gene:GSCOC_T00005112001 transcript:CDP17604 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSNDWLNFVPGCKKPRIVKEYGEKLIGSRIKVWWPIDRQFYEGAIDSFDPLRKKHKVLYVDGDEENLNLKKECWILLGGSSCHQVSH >CDP14528 pep chromosome:AUK_PRJEB4211_v1:9:21837267:21841360:1 gene:GSCOC_T00041043001 transcript:CDP14528 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSKAEAARARKSAVEADRKDREARDKEEQYWRDAEGAKSRAAKKREEEAEKRAEVAARKAEARRLAEEEEKDLEKALKKPDKKANRVSVPVPKVTEVELRRRREEEQAAIQKRAEEEKRKNSRTAAEEEYERMVLVENTNRDDSIIEASTIEEAIAQMTVADNLPVDKHPERRLKASFKAFEEAELPRLKEDKPGLTHTQYKDMIWKLWKKSPDNPLNQVADMP >CDP04198 pep chromosome:AUK_PRJEB4211_v1:9:3152100:3154119:1 gene:GSCOC_T00017513001 transcript:CDP04198 gene_biotype:protein_coding transcript_biotype:protein_coding MREISITLLKVGESYKESLIRLQFLDFSTSWFMSSKERPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELIAKSIESSDLNFSRYGDTFFEASISGFL >CDP04274 pep chromosome:AUK_PRJEB4211_v1:9:2494666:2496848:-1 gene:GSCOC_T00017610001 transcript:CDP04274 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCLYISCIYILVHVVYRTIKGEEVETMERMFFQCPIAQIVWKIAPVRWEGLRDLQTNMWRWWEAVVQTRTKEQGMEQINLTTNILWLIWKARNKFVFG >CDP04385 pep chromosome:AUK_PRJEB4211_v1:9:1521352:1523244:1 gene:GSCOC_T00017758001 transcript:CDP04385 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHLKNLFTRYQEQFGCGPGLGPGSGTCLLKVDGITPPFIKSLHRTAAALYRTDPWKWLRPEHLFGIKVGKDSDWSSRKQPFPCVQFIGGNGGDIGIYMFRAHDDAKKMTASRETIRVPNTELLRVTYELESIMFPSNKRMIKSLALEVSGTDRFPVIDVVRCTSSVELQFRNPTLEELKFAYAVLRAIPLVLPLLRQYYDAGPKWSRMMYFESFIETVDVQWPLEMARGNDLVAVTVSHPPGHRYEEQNSSTASSTPTKLSEPSKEETLVDMDSVGSFRLCMMCEKEISGEQSICCGRCGAIVYCSSLCQHQDWNVAHKDTCALYKAMMEREEELAMTIFMFNCSAEQPCKWLESLGVHQKGMWKRKCNCFSRWFYGLLPVEGDLRDSWGDLDDDQYPHDSPIDDYTRDGLSSVILLSGWSEYYNLRSLPFSSPVADILSHPLTVYYALTALSISSKNLLLKGKEVIVHYIGPKGELDWMPAFAEIGHLLNGLGSIQMFMIGPEVPTNLSGTTSGVSSRVRINFVRGIYQEEVAYLPSPQVILALNCELETNASWVGALDLINLREHPAFFSCQSEISSSSAKQVLRGAGLHISYPMTPNPFRSPVRNYGPSSNFPSYSNGFLLGVNT >CDP18179 pep chromosome:AUK_PRJEB4211_v1:9:4544085:4545848:-1 gene:GSCOC_T00007080001 transcript:CDP18179 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGKESINEVQPRSYWRWSKKDFFPEESFQSWSNYRSALSQTGLRFKDRLVGRSDDANEVGEVRKESENDMKKCLSWWDLIWFGFGSVIGAGIFVLTGQEAHKHAGPAIVLSYVASGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFAAFIAAGNILLECMVGNAAVARAWTSYFTTLLNRHPNSLRIHTNLADGYNLLDPIAVVVLVVASTIAMTSTKKTSYFNWIASAINNIVIIFVIIAGFAHADTTNLSPFLPHGAEGVFQAAAIVYFAYGGFDNIATMAEETRNPSRDIPLGLLGSMSLITVIYCLMALSLSMMQKYTDIDPNAAYSVAFQSVGMNWAKYLVALGALKGMTTVLLVGALGQGRYITHIARAHMIPPWFSLVHPVTGTPIYATLLITISGSCIAFFSGLGVLSSLLSVSTLFIFMMMSVALLVRRYYARGITPQRDALKLMIFLLLIIASSMGTSAYWGLNPKGWLGYTMTIPLWFFATLGISVFLPQQRAPKVWGVPLVPWLPSLSIATNLFLMGSLGAEAFIRFGICTVVMLIYYVFVGLHATYDLAHQKSSKTVDEEDTGNPSP >CDP18162 pep chromosome:AUK_PRJEB4211_v1:9:4415875:4417603:-1 gene:GSCOC_T00007059001 transcript:CDP18162 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNLPILPLPAPPSDGNLGPVPLAQITEEDEKQNGSQEDLSKADNSNSAPISVATHTRTIGIIYPPPDIRNIVDKTSQFVAKNGPEFEKRIMASNGDLILWKDLII >CDP17321 pep chromosome:AUK_PRJEB4211_v1:9:19786261:19788720:1 gene:GSCOC_T00004076001 transcript:CDP17321 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGWNTRTKHPIINFMVYCDRHMIYHSSVDCTNVKKIAEYIFKLMDEVVEASVKSWKKIWEVNDNRWYNQLHYDLHVTAYFLNPILQYSRTCEFNLDKVRKGLKKVIAKLEPNLDAQVDSINESVSKIFTRFQLNSYLYKHLNHANENAPNLRNIAVKILSQTCTSSGCKRNWSTWSLIHTKLRNRLAVKKLHKLVFVHYNMRLKVKILMHQGDTDDFYNPIDLNHIFHQDDILDDWIRENEQPTLPEDNLDWLDKGIHQTELESSEYQEHDNDGLVDTLKQKSKTKQTSKHTISSSSNKSDNGNDDDDNDDNGDGGNNSFKHGGGYNQDSQQTGGMSWAQGQDNYYATQDTDHGYRPGIEAQHQFLNNLT >CDP04134 pep chromosome:AUK_PRJEB4211_v1:9:3747702:3752826:1 gene:GSCOC_T00017439001 transcript:CDP04134 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGSTAAGRAIRLLHRSSSITARHYYAVATSFLPNLTAPLGLPISNNDSFDHSFHNNVSCKCTTLGFSAYSTVAGTAAAATSSSEVDFVNLAVEAELPRLSCGGIGDAYSAIELALDSVVKIFTVSSSPNYFLPWQNKSQRETMGSGFVIPGRRILTNAHVVADYTFVLVRKHGSPTKYRAEVQAVGHECDLAILVVENEEFWQNMSPLELGDIPYLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLLAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKHFIAGVEERGNYVGFCSLGLSCQSTENVQLREHFHMHPGLTGVMVSKINPLSNAHKVLKKDDILLSFDGVPIANDGTVPFRNRERITFDHLVSMKKPNETAVLKVLRNGEEHEFNITLQPLQPLVPVHQFDKLPSYFIFAGLLFVPLTQPYLHEYGEDWYNTSPRRLCERALRELPRKAGEELVILSQVLMDDINTGYERLAELQVKKVDNVEVENLKHLCQLVEGCEKEAIRFDLDDERVIVLNYSMAKVATSRILQRHRIPNAMSGDLLNNERKVSNISSACSS >CDP17330 pep chromosome:AUK_PRJEB4211_v1:9:20074328:20076134:-1 gene:GSCOC_T00004086001 transcript:CDP17330 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFWIHHWGGECSKAWRMMMAGEKGDDGVVVVDVRMKDRNFLLLLIFKPLADATLHGHRDICRILEVNGDKDSTNDHSMTIRREEDSYEVNIDMFELKLQHSSMIEQAKSMSSNPSQLTELQICNGI >CDP05639 pep chromosome:AUK_PRJEB4211_v1:9:12515934:12529555:1 gene:GSCOC_T00020809001 transcript:CDP05639 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLAITCMDLCEKQICQSLHTLWSAPFRIVVALILLYQELGVASLLGALLLVLMFPIQTLIISKMQQLSKEGLQRTDKRIGLMNEILGAMDTVKCYAWEDSFQSKVQNVRNDELSWFRRAQLLAALNSFILNSIPVVVIVVSFGMFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLLAEERILLPNPPLEPEVPAISIKNGYFSWESKADKPTLSNINLDIPIGSLVAIVGSTGEGKTSLISAMLGELPPASDATAVVLRGTVAYVPQVSWIFNATVRDNILFGAVFEPSRYETAIDVTSLQHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVCIFDDPLSALDAHVARQVFERCIKGELRGKTRVLVTNQLHFLSQVDKIILVHDGMVKEEGTFEELSNNGPLFQRLMENAGKMEEYVEEKETVQNIDDKTSQLSANGEANGLPEDPTSKTKRKGGKSVLIKQEERETGVVNIKVLKRYNDALGGTWVVMILFMCYVLTEVLRVSSSTWLSYWTDQSTSSAHGPLFYNLVYALLSLGQVFVTLTNSFWLIISSLYAARRLHEAMLNSILRAPMVFFQTNPLGRIINRFAKDLGDIDRFVAPFVNMFLGQVSQLISTFVLIGIVSTMSLWAIMPLLVLFYVAYLYYQSTAREVKRLDSVSRSPVYAQFGEALNGLSTIRAYKAYDRMANINGNSMDNNIRFTLVTMSGNRWLAIRLETLGGLMIWLTATFAVMQNGRAENQEAFASTMGLLLSYALNITSLLTGVLRLASLAENSLNAVERVGTYIELPSEGPAIIDGNRPPPGWPSSGSITFEEVVLRYRPELPPVLHGISFYIPPSDKVGIVGRTGAGKSSMLNALFRLVELEKGRILIDDCDAAKFGLTDLRKVLGIIPQAPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRSSLGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDSGRVLEYDTPERLLQNEESAFSKMVRSTGAANAEYLRSLVFGGEGDNKLQRETQLDGQRRWLASSRWAAAAQFALAVSLTSSQNDLVQLEIDDDDNILKRTKDAVMTLQGVLEGKHDTVIEETLDQYQVSRERWWSALYKMIEGLAVIGRLGRSRLHQSGFEFEDRAIDWDNIST >CDP04462 pep chromosome:AUK_PRJEB4211_v1:9:787660:791018:-1 gene:GSCOC_T00017862001 transcript:CDP04462 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDETEVLLSKYKSLPAENSFHEHEATVGLKKFTSSPAWFFLDQSSLWRAGLSWSLFFLLTIGVPLVSHFVFACSSCDQDHVRPFDSIVQLSLSVFATLSFISLYSFTRSYGLRKFLFLDKLSDESEKVRHGYTLQIERSLKILSAFVLPCFLADGVYKIWWFASGGTQIPYFYNVYLSHIIVCVLLLSSWLYRTSVSFLVCVLFRLICYLQILRLEDFAQVFEKESDVAAILLEHLKIRRNLRVISHRFRRFILSSLILVTASQFASLLVTTESSSTVNISTAGELALCSITLVTGLFICLRSAAKITHKAQSVTSLAAKWHICSTTNSFDDFDAETPRSHITSDQAIYTDSDAYCDTDNEEGDGDDEIDNTNMVPVIHAVSYQKRQALVTYFEHNRAGITVYGFMLDRSWLHTIVAIQLSLTLWILNKTIGIGQ >CDP05602 pep chromosome:AUK_PRJEB4211_v1:9:10985192:10986229:-1 gene:GSCOC_T00020742001 transcript:CDP05602 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKKGKSILIEKVDCNEHKSLCSKYGVSGCPTVQWLPKGSLEPKKYEGARSAKALLSL >CDP14552 pep chromosome:AUK_PRJEB4211_v1:9:21518777:21519144:1 gene:GSCOC_T00041075001 transcript:CDP14552 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFHFEGLKFHINYIWGTISVIFLYRKYSLVGNPPDATFLKLNAGGFSKAGAQEAQPTAWLRLGRLERDFTLQFNKKFSPILLLNLTLKSLLI >CDP04245 pep chromosome:AUK_PRJEB4211_v1:9:2747945:2750071:-1 gene:GSCOC_T00017576001 transcript:CDP04245 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEENDHFNALPEGCIAYTLSLTSPRDACRLSSVSSTFCSAAQSDDVWESFLPPDYRDILSRSEQGIQLLPLYTSKKQLYLHLCDNPILIDNGTKSFSLEKSSGKKCYMLAARDLTIVWGDTPCYWQWIPLPMSRFTEVAELVSVCWLEIRGKIKTSLLSPDTTYASYLVFIWNDAFGFDHVPAEGEVGMSGEEGQKKAVHLDPETHRQQGMPRRQRGRFGHRQTATMRWREVRPADRDAQTSKQRSDGWMEVKLGEIFIKGGEDVDMEMALTEVKGGNWKRGLIVQGIEVRPK >CDP14496 pep chromosome:AUK_PRJEB4211_v1:9:22099621:22105246:-1 gene:GSCOC_T00041004001 transcript:CDP14496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G38270) UniProtKB/TrEMBL;Acc:W8Q6G8] MQVIVHALLVKAQASNLFTFDRESRHFSQLNDCPQCVDTGEQGRIESASQQEEKDIDIIVTCNDLSGSMKMRSVKSRDLSASWVWKDPGGVNGHTLSSKVLGDPLKLELLLNESDQHSGERSYHGSEIQHQLVSPLHPMKLKRRMLRQERRERRTAELIQQSKETDDKMQEAAIERAREFDDTVKGKYSIWRKEYENPNSDSTLKLMRDQIIMARAYATIAKAKNEMVLYDSLIKHSRESQFAIGEATSDVELQPSAFDRAKEMGHILSTAKDQLYDCITIARKLRAMLQSAEGSLNGKKKKSAFLIQLAAKTVTRPLHCIPLLLTTDYYLHGYQDKDFPNKEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHAKEPEKHVFHIVTDKLNFAAMKMWFLVNPPAGAVIQVENVDEFTWLNSSYCPVLRQLESAKMKDYYFKAHQASSITSGADQLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLSPLWSVDLQGRVNGAVETCKESFHRFDKYLNFSNPKISENFDPNACGWAFGMNVFDLKEWRKRNITGIYHHWQEMNEERALWKLGTLPPGLITFYNLTYPLERSWHVLGLGYDPALNQTAIQNAAVVHYNGNYKPWLDLAIAKYKSYWSAYVMFDNPYLRLCNVDEQ >CDP04414 pep chromosome:AUK_PRJEB4211_v1:9:1210662:1220402:-1 gene:GSCOC_T00017795001 transcript:CDP04414 gene_biotype:protein_coding transcript_biotype:protein_coding MANSELSFSVLCIVCIIFASLSYLIPGIKAQQGHEEPYVGVNLGTDVSKLLSPADLVAFLQLQKITHLRLYDADADILKALAKTKIRVIISVPNNQLLAIGSSNTTAATWVGRNVAAYYPQTLITAIAVGDEVLTTIPSSAPLLVPAIESLYSALVAANLHTEIKVSTPCAASIVLDPFPPSQAFFNQSLSSVISQLLQFLSRTKSPMMMNLYPYYVFMQNKGVVPLDNSLFRPLTPSKEMVDPNTLLHYTNVLDAMIDSVYFSMKNLNVTDVVILVTETGWPSKGDSKEPYATIDNADTYNSNLIKHVFDRSGTPLHPEITSSAYLYELFNEDLRSPPVSEANWGLFYGNSTPVYLLHVSGSGTFLANDTTNQTYCIAADGVDTKTMQTALDWACGPGRANCSEIQPGESCYQPNNVKDHASYAFDSYYQKEGKAGGSCDFKGVAMITTSDPSHGSCIFPGSKKLSNKTSQQVVNSTQASGAVTIRFSSLHATKKRQNAYAYGFKMRQFLSPKIKQTPAIFFPFLLLKSSTAIPKTQSLPMSWTCSVCTFINPSSHQKPTCQICLSSQPSSSLALSQSPSPTKPKWACKACTFLNQYDNSSCEVCGTRASASLLSILENDDDDFDELGSGVGDVFLPLRACNSGSTSNKNKRKIWESSIGDANDLADSGNDFRGVKAASREVQCVASETGLDRGNKPVKIMSYNVWFREELEMHKRMEALGDLIQLHSPDIICFQEVTPNIYEVFQQSSWWKLYRCSVSDDEAFTRAYFCLQLCKLSVKSYSCRPFANSVMGRELCIAEVNVQTERTLIVATSHLESPCPAPPKWDQMYSKERINQAKEAIKFLEKNPNVIFCGDMNWDDKLDGQFPLPDGWVDAWVQLRPSENGWTYDTKSNKMLSGNRTLQKRLDRFVCNLRDFKISEIAIIGMDEIAGLSYCKEKKVKNEVKKLMLPVLPSDHYGLLLTICPQQRKQEWLLNKNLLKRYAIKTWHHGRKSDTRLCMEEYVKIGDSEKECGERGSNTRPSDLQSDALPTELSPLGCRVDENIQLTNRMTR >CDP04465 pep chromosome:AUK_PRJEB4211_v1:9:755849:758693:-1 gene:GSCOC_T00017868001 transcript:CDP04465 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIGKRLQGKVAIVTASTQGIGLGIAERLGLEGASVVISSRKQINVDEAVEKLKARGIEVYGLVCHVSNLQQRKNLIDKTIQKYGKIDVVVSNAAANPSVDSILETKEPVLDKLWEINVKSSILLLQEAAPYLKKGSSVVLISSIAGYQPQASMAMYGVTKTALLGLTKALAAEMAPNTRVNCVAPGFVPTHFAAFITTNADVRKAIEDKTLLNRLGTTDDMAAATAFLASDDASYITGETLVVAGGIPSRL >CDP11269 pep chromosome:AUK_PRJEB4211_v1:9:6258686:6269798:-1 gene:GSCOC_T00033405001 transcript:CDP11269 gene_biotype:protein_coding transcript_biotype:protein_coding MASGMEIDEEHETKGSMWVLDQKLDQPMDEEAGRLRNMYREKKFSSILLLRLAFQSLGVVYGDLGTSPLYVFYNTFPKKIDDPEDVIGALSLIIYSLTLIPLLKYVFIVCRANDNGQGGTFALYSLLCRHAKVKTIPNQHRTDEELTTYSRSTFNENSFAEKTKKWLEAQDLRKNALLLLVLAGTCMVIGDGILTPAISVLSASGGIKVNNPKMENGMVVLVAVVILVGLFSMQHYGTDKVGWLFAPIVLLWFLLIGGIGIFNIWKYDSSVLRAFSPVHIYRYFRRQKRDGWSSLGGIMLSITGTEALFADLAHFPVSAIQLAFTVVVFPCLLLAYSGQAAYLMQNTDHVVDVFYRSIPESIYWPTFIIATLAAVVASQATISASFSLIKQAVALGCFPRVKVVHTSKNFLGQVYIPDMNWILMILCIAVTVGFKNQSQIGNAYGTAVVIVMLTTTLLMMLIMLLVWHCHWILALIFTILSLVVEGTYFSAVLLKVDQGGWVPLVIAGAFLGIMVVWHYGTVKRYEFEMHSKVSMAWVLGLGPSLGLVRVPGIGLVYTELASGVPRIFSHFITNLPAIHSAVVFVCVKYLPVYTVPEDERFLVKRIGPKSFHMFRCVARYGYKDLHRKDDDFEKKLFDNLFLFVRLESMMEGCSDSDEYSLYGQQTQQSRDFLLKDNLNTSTSVADLTISSVDSIVPVKSPLNATPTVSSGPESSQTEVDELEFLNSCREAGVVHILGNTIVRARRDSRFYKKIAIDYVYAFLRKICRENSAIFNVPHESLLNVGQIFYV >CDP18922 pep chromosome:AUK_PRJEB4211_v1:9:7120272:7129319:1 gene:GSCOC_T00011294001 transcript:CDP18922 gene_biotype:protein_coding transcript_biotype:protein_coding MRMESSEEDDDFPAIESVTPQHKIDTIYQSNTEKGIRKICFELLDLKDAVENLCGNMRTKYSAFLRLCEEVVETEHELNDLRKHISAHGILLQDLMTGVARELEEWTHVNDDIQEVEYRPQVLELDNTFSTEEVDQRMVFLENIDVLLAEHKMDEVIEAIDAEERSHPELKISADTSTNEPSFYRTALLERKLILENQLVDTIEQPSIGIGELKKALSGLLKLGKVPLAHQLLLKAYGSRLQKSIEAFLPLCPCYPETYSTSLSNLVFSSILLTTKESANLFGDNPVYSNKIVQWAEWEIESFVRLVKEHAPSSDSATALRAASVCIQASLNHCSALELQGLKLSKLLLVLLQPYIEEVLELNFRRARKQILDFSGSDESMLLSPRFASPLTTFATSSDSSLVESGIRFIFIIKDIVEQLTHLVILHFGGNILTRISQLFDKYIDVLIKAIPSTSEDENLTDLTDVPFRAETDSQQLALLGTAFTIAEELLPMVVSKIRNILSESKEAGIGPVENVMPSANNTLESKDWRRQLQHSLDKLKDHFCRQYVVSFIYSRDDKTRLDAQTYLQEKEEGLFWDSDPLPSLPFQALFGKLQQFGIVAGDVLLGRDKLQKGLLARLTETLVLWLADEQEFWGDLEDDSTPLRPLGLQQLILDMHFTVEIARFAGYPSRNLHQVASSIMARAFRAFSARGVDPQSALPEDEWFVETAKGAINRLLQGASGSDTSEIDEDHIISDPDASPSSLSSMDGSGSESFASAEMGDLESPVFSDTES >CDP11234 pep chromosome:AUK_PRJEB4211_v1:9:6900719:6903114:-1 gene:GSCOC_T00033346001 transcript:CDP11234 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIFMFLHFETMYHVSVKSHVSVKFFTKELKVRCTLTSIRVEERKVIYKRQLRFNKPFFHFWKSLQTSADATSTEKLLIQATQGRSVIHIYLTLESK >CDP04235 pep chromosome:AUK_PRJEB4211_v1:9:2837513:2840036:-1 gene:GSCOC_T00017563001 transcript:CDP04235 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLPGITRVPVHGQPEDIYEQISAIIMEYIKPEESIILNVLSATVDFSTCESIRMSQKVDKTGERTLAVVTKADKSPEGLLEKVTADDVNIGLGYVCVRNRIGDESYEEARAVEAMLFETHPLLSKIHKSIVGIPVLAQKLVQIQATIISKCLPDIVRKINDKLTASVAELNKLPLQLKSVPEAVTAFMRIISSAKESLRKIFIRGEFDEYPDEKEMHCTARLAEMLSAYSEDLQSISIQSEQNQTFLVEEISILEEAKGINLPNFLPRSVFLISLQKKVRAISATPDEFVNKFWEYLQGVLVPVLMKHSENYPQLQPSIRRAAQSLVSKKREQSVDWVLQIIEMEKLTDYTCNPEYAATWHDLMKSQDQFMIIMNDRKKSTTLEINGIGEVEVGHLRNHLVVAQQAFDLKMRMTAYWKIVLRRLVDSMALHLLFSSQNLVNKDLETEMVNELMGPHGGGLERMLEESPSVADKRDRLNGSIRLLRESKETVAEIMDNIAAYGD >CDP04455 pep chromosome:AUK_PRJEB4211_v1:9:850967:854157:1 gene:GSCOC_T00017851001 transcript:CDP04455 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSFNQFSYTIKSKTFQHPQFGTKVSNSAVNFTDCGLKKPLQSSISIKESSKKRPGFVVLVATGDDYGPEEEAAGVAVAEEPPPKEPREIDILKKRLVDSFYGTDRGLNASSETRAEVVELITQLEAKNPTPAPTEALTLLNGKWILAYTSFIGLFPLLSRGTLPLVKVEEISQTIDSEAFSVENVVQFAGPLATTSITTNAKFEVRSPKRVQIKFEEGVIGTPQLTDSIELPESVELLGQKIDLNPVKGLLTSVQDTASSVAKSISSRPPLKFSLSNRNAESWLLTTYLDDELRISRGDGGSIFVLIKEGCPLLKP >CDP06341 pep chromosome:AUK_PRJEB4211_v1:9:15332333:15337704:1 gene:GSCOC_T00023144001 transcript:CDP06341 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQVFRHWIPTVKDAFDRTLMVAKFFCSLHVTNTFLCTCALAMGPSMLPTLNLTGSLVLVERLSIRFGKMASGDVVLIRSPEEPRKVVIKRIVGVEGDAVGNEEATAVVPKGHIWIEGDNKHNSRDSRQFGPVPYGLLEGRVFWVMWPPEDFGSVGRKVENISLESAGCQKT >CDP14518 pep chromosome:AUK_PRJEB4211_v1:9:21947587:21949412:1 gene:GSCOC_T00041032001 transcript:CDP14518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:Projected from Arabidopsis thaliana (AT4G35190) UniProtKB/TrEMBL;Acc:A0A178UWQ5] MKETMEQKVVTRSRFKRVCVFCGSSSGKRECYRDAALELGQELVARKMDLVYGGGSIGLMGLVSQAVHNGGGHVLGIIPKPLMGKEKTGETIGEVRPVAGMHQRKAEMARHSECFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYDFLLTFIDKAVDDGFIKPSQRHIFVSAPNAKDLVQKLEEYVPVHDESIAKVKWEGEQQQQQQPSNPQVPSGVQCFAG >CDP06332 pep chromosome:AUK_PRJEB4211_v1:9:16068065:16069320:1 gene:GSCOC_T00023120001 transcript:CDP06332 gene_biotype:protein_coding transcript_biotype:protein_coding MISQSTKQKNEDDKYSISEGELDLEDQCATKKNVVKLPSSHAAQELISHLLNKADESSSSSGELKAEEKIFIEEHQHPVILSKREQIQDENNGTKEEAITLVCEWCIEPISSSDLHYSCVECGYYVHFTCYKLPSELQITKHPEHPLLLRYEANAVGHFVCNACQRQSNGEFYECDRDSCELRFCIKCASASMIPNVVHAAHKHLLTQYGSSDPTKCNACGTKSDGFVFACKYCHFYLDYECALLPPATKQRWDKHPLILVYPPYFDHPEEFYCVLCEEEINPNTWMYHCRDCDYSLHPWCIPQFKLFRDLKFGRSLLVDDHPHHLTHVPEARYKSFCEACKDSLDWKESFECNSCSYYLCPDCAFEREL >CDP18186 pep chromosome:AUK_PRJEB4211_v1:9:4569531:4570259:1 gene:GSCOC_T00007087001 transcript:CDP18186 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGWKAAAIGANNQAAQSMLKQDYKDDITREEAVQLALKVLSKTMDSTSLTSEKLELAEVFSVDGKVKYQVYSPEALNKLLVKSGLTQPAPEAS >CDP04239 pep chromosome:AUK_PRJEB4211_v1:9:2806333:2814768:-1 gene:GSCOC_T00017567001 transcript:CDP04239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MAAAASLPLLQFSGHSLQPKLPTNKKFRPTFVDPLSPPASSSKSNGSCRNFAVIRCAYDSNGDGRGPGSGGGGVEDGAKSVEKIIEEKRRAELSARIAAGEFTVEKSGFPSVLKNSLLKLGLPKDILEFLFGKIGPADDYPKIPEAKGSVSAIRSEAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNSKAYSKGVLAEILEFVMGKGLIPADGEVWRVRRRAIVPALHKKYVAAMISLFGQATDRLCRELDEAASNGEDVEMESLFSRLTLDIIGKAVFNYDFDSLKVDTGIVEAVYTVLREAEDRSVAPIPVWEIPIWKDISPRQKKVNAALKLVNDTLNDLIAICKRMVDEEELQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTLLIAGHETSAAVLTWTFYLLSKEPSVLAKLQNEADAVLGDRFPTMEDLKKLKYTTRVINESLRLYPQPPVLIRRSLENDMLGEYPIRRGEDIFISVWNLHHCPKRWEEAERFNPERWPLDGPNPNETNQDFSYLPFGGGPRKCVGDMFASFETIVAVSMLVQRFNFQMAVGAPPVQMTTGATIHTTQGLKMTVTRRMRPPIVPTLEIPAVRSDSSVNVSDISTAPDQKSEVSPSVS >CDP17317 pep chromosome:AUK_PRJEB4211_v1:9:10672239:10675955:-1 gene:GSCOC_T00003806001 transcript:CDP17317 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLYGDLPPPSSSADDDKATSTSTTNVWSSTSKLAPPTLRKPFPPPQTILKSQPKPKPLPQLISKPPADENVNPNPNKEMMSTAPFQPALVGVTSSVMEEYDPARPNDYEEYKREKKRKQAEAEMRRELEERERREKEKEEKERRERERERERDLNISGEEAWRRRAAMSGGGGGGGQRSPSPPVSGGNGAGEGFSIGKSESGGLGLSAEGKMTAAQRMMAKMGWKQGQGLGKQEQGITTPLMVKKTDRRGGSIVNASASKQQEQPPDKKVKSVSFNGPPTRVLLLRNMVGPGEVDDDLEGEIAEECQKYGTVNRVLIFEITEPNFPHDEAVRIFVQFERAEQSTKALIDLEGRFFGGRVVHACFYDEERFAKNELAPLPGEIPGF >CDP04131 pep chromosome:AUK_PRJEB4211_v1:9:3767269:3768380:-1 gene:GSCOC_T00017434001 transcript:CDP04131 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCDCGMVGDDHFVLCKAALIFGVTRWIFFCAQKLVKQYYMHMFSWSFDHHEPTSQSSSAVHHDHSSSALEMVRDYLSLTTFENIKQRLPEEESHDMSCAVCLKRFKKNDQVWELNNCRHVFHKQCLDRWLLYDARLTCPLCRTSLITMSSSESCSMPQQQQQPSWAVERILYLFGDDLLSPSSYSSGMEDLSY >CDP14522 pep chromosome:AUK_PRJEB4211_v1:9:21891917:21892356:-1 gene:GSCOC_T00041036001 transcript:CDP14522 gene_biotype:protein_coding transcript_biotype:protein_coding MISGHFIVYTADQMRFLIPLAYLNSDIFKKLLEMSKEEFGLPSDGPITLPYRAVFVEYIFFSIGRGSIAGDLQKAWLLSITTTGHCSSSSLCQERRDDQLLVH >CDP04215 pep chromosome:AUK_PRJEB4211_v1:9:3047463:3049779:1 gene:GSCOC_T00017536001 transcript:CDP04215 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRVGGGRRDYNDSPSLLTRAVNSVFAFVRLAEFEILFVLFFIIAYLIFKDLTSRPGYNQILVKKPGGPDWWPY >CDP04140 pep chromosome:AUK_PRJEB4211_v1:9:3658375:3669061:-1 gene:GSCOC_T00017446001 transcript:CDP04140 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILWRKKYLFDSLNRPKYLLHCCSSFEHGSSSMIPDSWNQSRGVRYASSTCDQTNETEPNLTKDELLTNVARGYLRHNSCMIPNLGSRNGIGGSSLGVKWITEYARQYSTAAAGQPDLGQDDNKNEESTVKQKKEASPEECDQAVEGLSTVKAKAKAKQLQDTQKDAKPMIKRIWAMLLGIGPALKAVASMSREDWAKKLRHWKDEFKTTMQHYWLGTKLLWADVRISSKLLYKLASGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMELLLPVFLKLFPNMLPSTFQDKMKEQEALKRKLNARIEYAKFLQETVKEMANEVKDLRSGEIKKTAEDLDEFLDKVRRGNTVSNDEILAFAKLFNDELTLDNISRPRLVNMCKYMGIKPFGTDAYLRHMLRMRLQKIKEDDKMIKAEGVESLSEEELRQACRDRGMLGLVSADELRQSLRDWLDLSLNHSVPSSLLILSRAFLVAGKVKPEEAVQATLSSLPDELVDTVQVTSLPSEDSAAERRRKLEFLEMQEELIKEEEQKEEKEHARIKESIEKQKDVALEEMTSPTMKEAQQMKAAILDKQEQLCEISKALAVLASASSVSKEREEFLRLVNKEIELYNSMVEKEGTDGAEEAKKAYRAAREDSNQAVERALGDKVYSALRDRVDAMLQKLEKEIDDVDATIGDRWRLLDRDYDGKVTPEEVASAAMYLRDTFGKEGIEELINKLSKDKEGKILVEDIVKLGSQTEESETTEAGKT >CDP05626 pep chromosome:AUK_PRJEB4211_v1:9:11831972:11833395:-1 gene:GSCOC_T00020786001 transcript:CDP05626 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNVDLQCPSCYKKVKKILCKFPEIRDQVYDEKHNLVTITVVCCSPEKIRDKLCCKGDKVIKSIEIVELPPPPKPKPPEKPKEPEKPKEPEKPKEPAKLKETDKPKEPAKPPVVVVVVEKPKIPEKPPFEPVPIIPPPPPPAPVPVEPCLHPPVPVGVCCVPCSEGYGGGPCYYWYGQPVPPLPYYDSYGYEYGYGYGKGYPYSRCDYFSEENAGGCTIM >CDP04277 pep chromosome:AUK_PRJEB4211_v1:9:2452887:2456305:1 gene:GSCOC_T00017614001 transcript:CDP04277 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSQYCCWENQYEDELLPVEPKPFTLPAPIPQWPQGQGFATGRIFLGEIEVAQVTKFERIWSCNLFWRKSDGVSFYRPVDIPDGFFSLGHYCQSDDKQFHAHFLVAREVASLTHDSQSHDMASESPALKKPLSYNLVWSSSSRNDGHGFVWLPNAPSGYRSMGFVVTNDADEPELEEVRCVRADLTTSCETSDILFSADTLRVWSTRPCDRGMFGKGVSVGTFFCSPLSWTYFFASYFSSLDEYNIACLKNLDTSLNAMPNIDQTHALIKHYGPTVYFHPDEEYLPSSVSWFFINGALLYKDGKDDGIAIDSRGSNLPRGGENDGKFWLDLALDDNERNYLMCGNMESTELYVHVKPALGGSFTDIVMWIFCPFNGPATIKAPFMNIPLNRIGQHVGDWEHFTLRISNFTGELWSVYFSEHSGGEWLDACELEFINDNKPIVYSSKHGHASYPHAGCYLQGATKLGIGVRNDCAKSKYFADSSTRYQIIAAEYLGNGVLAEPQWLEYMREWGPTIVYDARSEADKIISHLPFLVRFTVESLFELFPTELYGEEGPTGPKEKDNWLGDERC >CDP04459 pep chromosome:AUK_PRJEB4211_v1:9:813306:816230:1 gene:GSCOC_T00017858001 transcript:CDP04459 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEGPYDYDNTPMCQNAIGATKLQSFPAAEADNSPQEKNSFDSPGEELLAPPLNFSMVDYGVFRSGFPEPANFSFLRTLGLRSIIYLCPEPYPVANAEFLKANGIRLFQFGIEGSKEPFVNIPEDSIREALEIVLDEKNRPLLMHCKRGKHRTGCLVGCLRKLQRWCLTSIFDEYQRFAADKARVSDQRFIELFEISGLEESCVPVSSSKGQ >CDP05606 pep chromosome:AUK_PRJEB4211_v1:9:11111889:11112035:-1 gene:GSCOC_T00020751001 transcript:CDP05606 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFIKTLMGKTINLEVESSNTIDNVKAKIQDKEGIPLDQQCLIFTDK >CDP18171 pep chromosome:AUK_PRJEB4211_v1:9:4480480:4482512:-1 gene:GSCOC_T00007069001 transcript:CDP18171 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGVKFGKRNFGKQNPRVLSAAAAALLCSSSSLNMPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDSEKADKLKQSLPPGLSVQDL >CDP06299 pep chromosome:AUK_PRJEB4211_v1:9:17393344:17396131:1 gene:GSCOC_T00023065001 transcript:CDP06299 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYRFHQYQVVGRALPSETDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRYHCIQIIKTATVPAKLCKRESTKQFHNSKIRFPLVFKKVRPPSRKLKTTYKASKPNLFM >CDP12571 pep chromosome:AUK_PRJEB4211_v1:9:9574003:9584377:-1 gene:GSCOC_T00036224001 transcript:CDP12571 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKVVLCSESRSTKTKSEMQNQAYFFLVLLALPHSLFASSNDAKCQCDEAKINRGGFPENFLWGAGTSAYQVEGAYNEGGKGPSLWDNFTHAYPEKIVDQSNGDIAVNSYHYFEEDIKITKDLGLDAYRFSISWSRILPRGNRNGGVNQEGIDYYNNIINDLLANGIQPFVTMFHFNVPQALEDAYGGFLSSRIVADFLDFADILFSKFGDRVKYWITLNEPWTFSNHGYAIGRFAPGRCSEWQQINCTGGNSGTEPYIVTHNQLLAHAAVVHLYRTKYQIWQKGKIGIALAAIWFEPYNSTDENLMATDRALDFMLGWFMQPLTSGQYPQSMRVRAGNRLPNFSNTERDLLIQSFDFIGLNYYTSRYVLDKPNPSSLSYINDSEVDIVVERDNKPIGEVSVIGSWLHIYPKGLRELLNYMKMKYNNPTIYITENGNSKFIWLNEARNDSMTILEAIKDDIRKDYIHDHLCCILQAIEQFEVNVGGYFVWSLMDNFEWAFGYSIRFGIHFVDYNDELFTRYPKHSALWYKSILVKKIKIAQSIVSNDAKKDRQKYVPSNVFYQ >CDP18921 pep chromosome:AUK_PRJEB4211_v1:9:7102505:7111816:1 gene:GSCOC_T00011293001 transcript:CDP18921 gene_biotype:protein_coding transcript_biotype:protein_coding METTTEIKAGGGGAEQPQIVDVRSVVEAVSAAAEDDDAATEAPLYEVESLCMRCEENGITRLLLTAIPHFRKILLAAFECPHCGERNNEVQFAGELQPRGCHYCLKISSGDRQMLNRQVVKSESATIKIPELDFEIPPEAQRGSLSTVEGVLIRAADELEALQEERRKVNSQTADAIDQFLIKLRACAAANSSFTFILDDPSGNSFIENPFAPSLDPSLTIKFYARTPEQQASLGYLVDPSPSGEAGDGASLNTQNGPDQIQSEPHGSVGARAGRRAIAQGNSTEIAEALFRYSAPEEVMTFPLTCGACAAMCECRMFVTNIPYFQEVIVMASSCDSCGYRNSELKPGGRIPPKGKKITVHVENLKDLSRDVIKSDTAGVKIPELDLELTSGTLGGVVTTIEGLITKISENLERVHGFTFGDSLDDTKRSKWQEFTTRLNKLLSLEEPWTLIIDDALANSFIAPATDDIKDDHQLSFEEYERSWEQNEELGLNDMDTSSADVAYNAADAGPNVETEV >CDP14574 pep chromosome:AUK_PRJEB4211_v1:9:21078772:21088807:1 gene:GSCOC_T00041103001 transcript:CDP14574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease 1 [Source:Projected from Arabidopsis thaliana (AT1G29630) UniProtKB/Swiss-Prot;Acc:Q8L6Z7] MGIQGLLPLLKSIMMPIHIKDLKDCCVAVDTYSWLHKGALSCSKELCKGLPTTKHIEYCMHRVNMLRHYGVKPILVFDGGPLPMKSEQENKRGRSRRENLSRAIEHESNGNPAAAYECYQKAVDISPSIAYDLIQVLKQENVCYVVAPYEADAQMTFLAVSKQVDAVITEDSDLIAFGCPRIIYKMDKFGQGVEFRTSLLQQNKDLNLIGFTKQMLLEMCILSGCDYLQSLPGMGLKKAHALMKKFKSYDKVLKHLKYNSAAVSPLYEESFRKAMLTFQHQRVYDPLTEEIIHLSEPPNSFDGSLDFLDTSISNDVAKGIAKGDIDPFTKMPFEHEHGGAELAVNESYQLKNFKPEGESKKLDLPAQKNLLTNYFCFASVEAKRKYIAPRLTPMLKRPNPENGIFSSTRTIEADAESRRLMTLTTMLPESVDCTAANKASELLESRHHGVDESEDTQEDKGAQPAMVQHSVCKPCSALHKESTSDQNQSKLRTENTKMIVRSSYFLHKDVKETNQVKKKDRAEAASNKCGIPAGSCANMLGSESSEKNISVMSKNVIVRSSYFKHKSIRERGPCDKSEKPLVKESNAPHDNNLYVLDEIEVRATTDQGNATGRSFCFQHSSLNKYEKLKVDDQIATDTDEYSIPDISLTKNFLEDSRKKRKITNIENARVSDDTSMVGDTTRDTNAQEGKFGCNISHLGHYSDIAEKSMEKFASVISSFKFTSNGSRASGLRAPLKDVKNTCRTRSSSNMDLSKFAYAPSKKTSSTSRRL >CDP04100 pep chromosome:AUK_PRJEB4211_v1:9:4097107:4100566:1 gene:GSCOC_T00017396001 transcript:CDP04100 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATIVGALLGLGTQLYSNALRKLPYMRHPWEHLLGMGLGAVLANQMVKWDAKAQEDLDKLLAKAKEANERRYFDDEED >CDP04431 pep chromosome:AUK_PRJEB4211_v1:9:1078921:1080714:1 gene:GSCOC_T00017818001 transcript:CDP04431 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGLNNMHFKHGNISYLLTHPSPKFSISSAMAKSGAFFLAVSWTILIDGFFFEGLVYGFQPLQAQKIHSIPQNNTNSKTQTLNAIDSCWRSNPKWDSNRQALANCAKGFGNMVLKLENELIMNSYKTIDGRGVKVEIANGPCITIQNVSHVIIHGISIHSCTLGKRGLVRSTPDHVGLRLGSDGDAITVFTSSHVWIDHNYLANCADGLVDVVSGSTSVTISNNYYTQHEEVMLFGHRDGNIEDQIMKVTVVFNHFGYGLVQRIPRVRVGYAHVANNFYEPWLFYAIGGSSNPTILSEGNYFIAPNRTDTKQVTRRDNAGGPNNWKNWNWRSLDDVFVNGAYFVQSGNGSCYPNYNGSQVFTVASGDLVPSLTSDAGPLKCYPGLAC >CDP11276 pep chromosome:AUK_PRJEB4211_v1:9:6117255:6120659:-1 gene:GSCOC_T00033420001 transcript:CDP11276 gene_biotype:protein_coding transcript_biotype:protein_coding MESLMHFLILICVLTNSHSEIAANEFQEQSNLETYIVHVELPDPDDAEYGTNAITGEDLESLYNSFLPTTATTAAISNATLPHIVYSYHNVFKGFAAKLSAEDVKEIEKKPGFVSARQQKLLSLCTTHTPSFLGLHQNLGLWKESNCGKGIIIGILDTGIVPDHPSFSDEGMPAPPAKWKGRCEFNSSVCNNKLIGARYFHDGNGSPLDETGHGTHTASIAAGNYVQGANVFGNANGTAVGIAPLAHLAMYKVCTAIGCFESDILAAMDAAIEDGVDIISASLVGGDYQFYANSLLLGAYSAMERGIFVSCSAGNDGPSKSSLSNEAPWVLTVGASTIDRNIRATAVLGNKEEFQGETINQLKDFPPTLFPLFYPGKNQDNIKSKYCASKSLNNTEVRGKIVVCIDGGTISGIEKGENIKAAGGVGMILINHKNDGYTTFADAHVLPATDLSYADGLKVIAYINSTESPMAAISFKGTVFGDNHAPMVASFSSRGPSRASPGILKPDIIGPGVNILAAWRHSIENNTNTKFNVLSGTSMSCPHLSGVAALLKSVHPNWSPAAIKSAILTTADLVNCAKNPIEDQRHHPANIFATGSGHVNPSKATNPGLIYDIEPKDYIPYLCGLNYTNREIGILLKRKVNCAVELLMPEAQLNYPSFSIIFGSPVQRYTRMVTNVDEANSTYTVKIIPPAGVNLTVKPTTLGFSQANQKLTYEVTFTLVASPAKSRVSQGSLSWTSTKFSVRSPIVATINGK >CDP14514 pep chromosome:AUK_PRJEB4211_v1:9:22007102:22009162:-1 gene:GSCOC_T00041026001 transcript:CDP14514 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAASMKQRKFRKNFPANSILYFPLQFFLCIQSGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIALRNENIHGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSILRLTRQLTRLFEWTNDVADRLAFSEVLTSDTLFSVTMAETYSLLGVDAKDIITLEKYLQDYFANILKKLKDIKAQSKQTDIYF >CDP11227 pep chromosome:AUK_PRJEB4211_v1:9:7002983:7004700:-1 gene:GSCOC_T00033337001 transcript:CDP11227 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNPHYEADPKASRRTKENGRDVIVVKPRALNIVWGNDDRYWNVPGPRDDGKPAELLQVSWLEVAGSVDADPQKTYDVSFRVSLTPDAFGWGSYPIYIMVKRGKFGKFDWKKVYLTNCDGINRIHLTGKSVQNGRENQGSTDRKLYFGLYEVWSGKWKGGLKIHDVTIREV >CDP04095 pep chromosome:AUK_PRJEB4211_v1:9:4162689:4174448:-1 gene:GSCOC_T00017388001 transcript:CDP04095 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIAGYYYDEEKKRFFPTRGPIPGSSRKRKSESSSSADKSQKPASESDQARRTQSWMKSRSKILQFRELCGNIIPSRKGKFNFQTTCQNKQASQPLIWKYGQTQKKVDNVVQQMNIDIDTPAGTLETDILLAGGLNGTLSLFLVGNVEQEFNYEIECSPEPVWPVNKVQQTECIAPHNLCMPVHASIFMGSDITCIKMLRMPVDGALTRNVLITTMGSGASRGVAYIMDVTAPLGYYSSTSESLRRLASFESTIWDADCSYNGDKVAIGANRGAFLVNVESRVASQVLHCKSDVLSLQLDNPGNIILCGLRNGAILTVDARQKCQDFASQHRNPNVHHNSSRPKVECTNVELKVKKLPLQLQRNAHYPPKISMPSSIACLKSLMLYDQYFLASSMDGSIKLYDQRLIQKGAVQSYEGYVNSHTRIQLGVDPTERFVMSGGEDCKMRLWSIKSGEMLFENRFMSSVPSVVCWAKPAGELLDDQDYWQKHEWGVWIGSREGLFYMDWC >CDP04506 pep chromosome:AUK_PRJEB4211_v1:9:452941:454585:-1 gene:GSCOC_T00017924001 transcript:CDP04506 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLAIIFLSSVLLQKSDGQREDWCTSDEQYPDEELQKAMEWACHNGANCDSLQPNRPCFLPNTVRDHASYAFNSYYQNMKHKGASCYFLGAAVLTALNPSHGSCKFETLP >CDP20846 pep chromosome:AUK_PRJEB4211_v1:9:4862049:4865131:-1 gene:GSCOC_T00013395001 transcript:CDP20846 gene_biotype:protein_coding transcript_biotype:protein_coding LILMRIFLLTFMGLKTSQIFFKGILHSILHAPMSFFDTTPSGRILSRASNDQTNIDVFVPLFTNFFISMYITLFGIIIITCQNAWPTVLLLIPLGWLNYWFRGYYLATSRELTRLDSITKAPVIHHFSESISGITTIRCFRKQERFCQENVNRVNSNLRMDFHNYGCNEWLGFRLELMGIFILCISALFMIVLPSTIIKPENVGLSLSYGLSLNSVLFWAIYVSCALENKMVSVERIKQFTNIPSEAAWKKEDCLPSPEWPSKGNVELIDLQVRYRPNTPLVIKGITLSIKGGEKIGVVGRTGGGKSTLIQVFFRLVEPSEGRIIIDGIDISTLGLYDLRSRFGIIPQEPVLFEGTVRSNIDPIGQYSDEEIWKSLERCQLKEVVAAKPDKLDSLVVDNGENWSVGQRQLLCLGRVMLKRSKLLFMDEATASVDSETDGVIQMIIREDFSSRTIISIAHRIPTVMDCDRVLVIDAGKAKEFDKPSSLLEKPSLFGALVEEYANRSSEL >CDP04201 pep chromosome:AUK_PRJEB4211_v1:9:3122412:3127550:-1 gene:GSCOC_T00017517001 transcript:CDP04201 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAIADAAMDVVQRRLMFEDECILVDENDRVVGHDTKYNCHLMEKIESENLLHRAFSVFLFNSKFELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEENVLGVRNAAQRKLLDELGIPAEDLPVDKFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVNPNPDEVADVKYINREQLKELLRKADAGEEGLKLSPWFRLVVDNFLFKWWEHVEKGTLLDAVDMKTIHKLT >CDP04105 pep chromosome:AUK_PRJEB4211_v1:9:4036276:4037382:1 gene:GSCOC_T00017402001 transcript:CDP04105 gene_biotype:protein_coding transcript_biotype:protein_coding MPALIMDTQEADESFISVADLHVCAKKNIQYSLLSESESMGSEKRISVDPFSLRESANSKLMIPVLPPLHPPTKPKFLSYSLPNSASSSPKFGTMLPKKKSKNLNQVASLSVNPFFHRDSIALTNLERLRASHLRRSKSCGEGRTSAPPEDFDLKWVAKSNSVKHDHQSNNKNYMYTAEPNLEHKKSYGAEKATDSVDENFKCGALCLFLPGFGKGAKPVRARKEEPAEMVHIQGPENLALLVSKRVSLEKFECGSWRSSAILDDAEGQKDASNLFYDLPLEMIRCNASDTDSPVTAAFVFDKDRKGVLKKNSSRTTSKKSPDSSRHVRFSTSSPTSYPASPTSCVTDDVRLHKAREDLDSFLEAQTA >CDP17614 pep chromosome:AUK_PRJEB4211_v1:9:7677854:7680913:-1 gene:GSCOC_T00005123001 transcript:CDP17614 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEKNCCSTQLIDGNGEFNFVGLEKFMKAMKFSQCGLSYAVVAIMGPQSSGKSTLLNHLFYTNFREMDAFKGRSQTTKGIWIAKAVGIEPFTVVMDLEGTDGRERGEDDTTFEKQSALFALAVADVVVINMWCHDIGREQASNKPLLKIVFQVMMRLFSPRKTTLLFVIRDKTKTPFEYLEPILREDIQKIWDTVSKPLAHMDTPLSEFFNVEVTALSSFEEREEQFKEQVAQLRQRFFNSIYPGGIAGDRRAVVPASGFSFSTQQIWKVIKENKRESD >CDP12563 pep chromosome:AUK_PRJEB4211_v1:9:9784702:9786564:-1 gene:GSCOC_T00036208001 transcript:CDP12563 gene_biotype:protein_coding transcript_biotype:protein_coding MEINIISPNKYRYQCYPSWAELKVWGNAEPGSTNPIDWPNIKHPFSPLLFAGVRLLKLSPARNPPPNSSFPFPCLLPSPTKKPNQPAPGFCPSPYSASSTPAHQPTLFWLLVVPLSVS >CDP04512 pep chromosome:AUK_PRJEB4211_v1:9:393966:402052:1 gene:GSCOC_T00017931001 transcript:CDP04512 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNDQVFVAWEERIISQEKGNRVVHYYLQQSSEDTVLAVVGTERSIRHMTYVVPKGFVDAYGFTGIVTAGTKWRARRDVVEWLTKLVSGFRLPVIVSKHQMIESRLRLRSPGYSMAGLHNLTNSMDQVQVSGKFRVQDSDIMWSGEAWNCSKELKHYQALCRKKTTIAVYSFVLIMAEEGNHYLGYLEDLYEDKKGEKMAQVRWFRHNQEVKQVIPELNAHPEEIFITPYVRRITAKCIDQVVTVLTPNHFEKCLALFPEKLSSRIYVCHREVKNNKIETFSLSNLRGYDNQAIVSSLNHHHDSMNKAKVHKPTEEENDFHSEDSTRQGTRRTRSRRRNHFGVTNLIPGKQMERCEPTHRKLKIKLSNKASINLVGAEPSQSSSEDDEKNIELLCQDSGIRGCWFRCKILQTSKKSLKVQYCDIQDVDGPGKLEEWVPASRVATPDELGMRFAGCHTVRPWPPHNSSDCSFEVGDAVDAWWSDGWWEAVIIGLDISGGDNVQVYSPGENKFLTLQRKDLRPSRDWVDNKWIEVKAKRDILSVIPSTVSCTLKLSMSTSSETSTHDSSRLPEAQVHVLSKLEASESGVKREHHSALSANQKDLDSLNLKKRLCNRHADDLVDKGFKAGNFNAHGMVKTVSEGVSGSQHKFVKK >CDP14551 pep chromosome:AUK_PRJEB4211_v1:9:21519208:21521396:-1 gene:GSCOC_T00041074001 transcript:CDP14551 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIVVLPPSEGKATFIKIILQFLDIHDNGSNDQIHVHCLLLVFFLIDQVIKLGFVSLLSARTTLLCGVINHNIFHHFGCSHLHFIIFISVQTVWFCLKT >CDP17594 pep chromosome:AUK_PRJEB4211_v1:9:7455980:7459743:1 gene:GSCOC_T00005100001 transcript:CDP17594 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSCHFQLQHLLILLLVSTLHSLLGLLSYIHSFTNMSDLEASLRPKRKKGLVDYFVQFRWIIVIFVVLPISFTMYFLHYLGDVRSECKSFKQRQKEHDENVKKVVKRLKERNPSKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILDVDKERMIARVEPLVNMGHISRVTVPMNLAIAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIILADGQLVRATKDNEYSDLFYAIPWSQGTLGLLVAAEIKLIPVKEYMRVTYKPVVGNLRELAQGYIDSFCPRDGDQDNPNKVPDFVETMIYSPTEAVCMTGRYASKEEAKQKGNKINRVCWWFKTWFYQHAQTALKKGEFVEYIPTREFYHRHTRCLYWEGKLILPFADQWWFRFLLGWMMPPKVSLLKATQGDAIRNYYHEMHVIQDMLVPLYKVGDALEWVHREMELYPIWMCPHRMYKLPVKTMIYPEPGFEQQHRQGDTHYAQMYTDVGIYYAPGPVLRGEVFDGADAVRRMENWLIENHGFQPQYAVSELTEKNFWRMFDADLYEECRRKYRAIGTFMSVYYKSKKGRKTEKEVQEAEQAILETPYAETA >CDP04208 pep chromosome:AUK_PRJEB4211_v1:9:3087130:3087975:-1 gene:GSCOC_T00017526001 transcript:CDP04208 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFLHPADFDSIISSHPRTFTHSLPQLYSPCRAAIMASNSANRDLLSPHNNNNNNNATTISRTRLIFTYGTLKRGFYNHRLMESLISTGDASFIGECTTKESFPLVIGPYGIPFLINLLGSGHRIPGELYAVSDRGLARLDELEGIETGHYERLPVDVVSGDGQVVAVEGYFGHRSFGEELWRKNGEKGLREFGKEMAEKYVVRANRRLGSNFVDDVWKFISA >CDP04346 pep chromosome:AUK_PRJEB4211_v1:9:1902314:1904628:-1 gene:GSCOC_T00017704001 transcript:CDP04346 gene_biotype:protein_coding transcript_biotype:protein_coding MIHYVSLQNIMKHNISFDKSLVLLTLGGTENNLKNGTHLRELASQSVAKSQLLRAIMNIAPLAISTTGQGYSGVDLTSAVTSDQETEERRLEADAMVLADRGVVCIDKFDKMNDQDCVAIHEVTQQQTVTIAKAGIHASLNAQCSVVAAANPIYGTVRCRIYNIGLPHSLLSPFDLLFIVLDQMEPGIERHISEHVLQMHSFHSTMDRDKRSTVFVKYNPMLYGTKTSRKHETLTIDFLKKYIHYAKHRIQPELTDKPSDQIATAYAELRSTSPNAKTKAGTLPITARTLETIIHLSTAHPKFKLRSQINLYILKADVDAALQVLNFAIYHQKLTKMKEHKQEKERQNERKCRSENNASDTGRPHHQGARNDRENGDASDRTE >CDP11226 pep chromosome:AUK_PRJEB4211_v1:9:7014363:7016223:-1 gene:GSCOC_T00033336001 transcript:CDP11226 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNPHYERAQQNVERKMAQDGKEVITVKPRNLNIVWGNDDRYWNIPKNGDDKPAELLQVCWLEVTGSVDIDSQKTYEVSFRLSLTPDAFGWGSSLLYIMVKRGNSGKFAWKKVSLANKGTEVFHIKGELAQDDISRDKKLYFGLYEVWSGKWKGGLKIHDVTVKEI >CDP04281 pep chromosome:AUK_PRJEB4211_v1:9:2420933:2425769:-1 gene:GSCOC_T00017620001 transcript:CDP04281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR4 [Source:Projected from Arabidopsis thaliana (AT3G04380) UniProtKB/Swiss-Prot;Acc:Q8W595] MKNIGIAAQTVKPVLKRLLKLYDRNWALIEDDNYRTLADAIFEYENDKVNQTSSSTKDNESRRLDLEEGEVPLDANEEELMDPSPSFSKYLRGQSHSLVYETSIKDRGDIHISTFQDPTGENAVTHRQLNLFCAGQAYGDPSFVLEKRERIREYHDQRNVTKPTRKEPLNCSSHVSFPASYICSGNGKKADASAPELGDSLQSTYDIASSSCGQVKISLKCNHALGQSKFQWPNLDALMKYTESKFLRSYKIIDPKFSLIHLLKELCDSYMELTSNSADRSLLNKSPEKDRNKKVRSSASSNSLNLAVPQQQRVSHDRGRAFHNVYDITNGNEKISISLLDEYGNEHLPNFVYIPQNIIYQNAYVHASLARIADEDCCASCAGDCLSSSVPCACARDTGGDFAYTREGLLKEEFLGACISMNEEPHKHYHFYCPDCPLERAKNAYRPQKCKGHLVRKFVKECWRKCRCSMQCGNRVVQRGITRKLQVFLTDDGKGWGLRTLEELPKGAFVCEYVGEILTNMELYERNNQSSSKDRHTYPVLLDADWGSEGVLKDEDALCLDATYYGNVARFINHRCCDANLLDIPVEVETPDHHYYHLAFFTRRKVHAMEELTWDYGIDFDDHNHPIEAFRCRCGSAYCRDGKGKSQYIFLC >CDP04378 pep chromosome:AUK_PRJEB4211_v1:9:1582403:1586110:-1 gene:GSCOC_T00017748001 transcript:CDP04378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MAFFRSILKTLISQSTKSPLQNPNSVSSSARTFVSLCSSSQSYISHNSNSNIIKNPFFLRPHFLSPIPSSTFGPLFLSSPPWKLSQSATPLHLQCDVALLNLSPRVPYALNNIITYKLRFQDLNSKEKKYSEGFNGSKLDHTDSDALVKVTDSYLNLPNFISFGRLVSGPLLGWMITHEMYLSAFVGLGISGVTDWLDGYLARKMGINSVVGSYLDPLADKVLIGSVALGMVYKGLLHPGLVALVVLRDVALVGGAVYKRASSLQWQWKSWFDFFNLDGTCPEKVEPLLISKLNTVLQLVLVAAALLQPEFGSEETQSYITYLSWLVALTTVGSTAAYGIQHLKTGSKSMATSL >CDP04515 pep chromosome:AUK_PRJEB4211_v1:9:382927:383841:-1 gene:GSCOC_T00017935001 transcript:CDP04515 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNELSDTGISSQSNRYYYLFIKKTFKFLLPVSLVSFLLSYVTGFSFFFAYNFHFSALVFPLFARALERKYMFLVCNGILAFLGKTFKFYSSSLSVPDFNDHDESTRSTAEAREEKPVSESTLFAGQEDEIHACPDKTEVNAAEEKLKLQENGNEHVSVSFTSEIDQGTQAGGLMIDNEDLDLIEEEEEEIPLDEEDMEEGGGLLCASASEEEVGVNINTEELNRKFEEFIRKMKEEIRIQAQQPQLVTV >CDP04172 pep chromosome:AUK_PRJEB4211_v1:9:3351183:3356509:-1 gene:GSCOC_T00017482001 transcript:CDP04172 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVMLQSFIIHPTTLSVTSFKPTKLLLSPRRLPSISLTFNQESPIYSLHHHRYTPFLSPPSYTTSTYFLLSIGSSATHPPAFLSGSKVLLAAPEVGVSTETSEWAMPDFYTLRRNVEVTSERVEEIRAAAGLKQLEEDLAKLEDAAVDSSLWDDRAKAQEILQDLTDVRDRMKLLNDFKAQIEDAETIVKLTEEMDSIDTGLLEEAVSIIKDLNKALDHFEFTQLLSGPYDKEGAVINITAGAGGTDAQDWADMLLRMYVRWAEKQKYKTKVVEKSLGDEAGIKSATIEVEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFSGIEVMPLIPESMDVEIPEEDLEITFSRAGGKGGQNVNKVETAVRITHIPTGVTVRCTEERTQLANKIKALGRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGYETSDITSVMDGELDLFIKSYLKYKYSTSVSNNQE >CDP17329 pep chromosome:AUK_PRJEB4211_v1:9:20064895:20071981:1 gene:GSCOC_T00004085001 transcript:CDP17329 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTPVQFQSRLLSLSMAHYYLLEIYFRHRVEVCPKASPDPMDDLTTKKCVSCNAKDMRPMTVEAAHSLIPQVQGWNLVTEDGMMKLQRTWKVKTFMKGMEFFKLVADVAEAEGHHPDLHLVAWNNVKIEIWTHAVGGLTENDFILAAKINRLDLHQLLSRKVGE >CDP04505 pep chromosome:AUK_PRJEB4211_v1:9:458253:466693:-1 gene:GSCOC_T00017922001 transcript:CDP04505 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSDQAALHHSSIALLQERFKQLQRTREMRQERELLRLLSETRGHHTSSSSPSTMQYQPSSGPFHNSEMFFHQRQSFQPALSLWPDSQMNPANVAGLDQSVPQDHTRSWPCGTSTTGNTSTIMNKFDDMSSDIHRCNSFSFFWSFAIDPGFSDLLGHSYMGTSSGSHFNHQPSPRMLPPRQQPRSGGLQTSLSLVSPDACGSPNFQERGSNSDQIRESPSESASSRETWPTADALMGKKVDKDKERENGFAEHSVVRHISSSETMTLRDLARERVDVIAERMQHLPDEFLEKFKNELRVLLEGLGGSQQREEFLFLQKLVQSRGDLTDKTLIVAHRIQLEILVAIKTGIQAFLHPSVSLSQASLIDIFLYKRCRNIACGNALPAEDCTCELCSKRNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRNGQIGMGPSVKNGASSAEMLFRCRACSRTSELLGWVKDVFQHCAPSWERDALLRELDFVRRIFRGSEDNRGRKLLWKCEELIEKLSSGVAEPMACKAILMFFQELEGDLAKGQESEEGGRLIAPQEAFNKIADVVQEAIRKMEMVAEEKMRMVKKARLAVDACDQELKDKTREVAALQMERQRKKQQIDELESIVRLKQAEADMFELKANEARREAERLQRIALAKTEKSEEDYASRYLKQRLNEAEAEKQFLFEKIKLQESSRASQSSSGMYSKIQDLLKNM >CDP05653 pep chromosome:AUK_PRJEB4211_v1:9:12898661:12906875:-1 gene:GSCOC_T00020833001 transcript:CDP05653 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSSSRFSLHFFLLSTSIHTHQHTLRNTETLSEEILMAADTSHLEKMGRELKCPICLSLLNSAVSLSCNHVFCNCCIEKSMKSASDCPVCKVPFRRREIRPAPHMDNLVSIYKSMEVASGVNIFVTQTAPTTRISGRHESHSDGDTIGDIQENINTCIGTSAEENQKICKRKGSKRSTQAKCPLKPSFPKKKRVHVPQSPPSETPIRLEKLVNETAEISKNEPESSFLMKEKHVSKKKGEPLFTPFFWLRDEEDPENPTQQTDEDQIMDTPPDGPCFSDIKDSDDEVPNEMPPDGEKCIAYSDVDLFDSEMFEWTQRGCSPELQSSPVPMQVFSESTFHFLQAEECGEDIIEPATHITTTIVDSKVQNREVRISETDKEDLGLPCLSVEIPMDKIASKAAGMSRKRIKKSVEYSQSKRAKMITNKARRAHKESERRPGKSMPEEQFGNNDNVFNLPRKTSKRNRKVSFDANVAEAAGNTSTSSGGTNPLFKGKNKVMIGLPDLLGHKRQKRGSKRVKIGKMKDSLKLEKHSPDLRAKKPEELDERLTLKQCGGLISHSGQKVSPEEGALGVDRVLRRCGDNHQMARCFEGNKSGNTLTDLYQNGDGEVAAEIFPSVGADQEKPTSRGTQNPAGVHPQGASSPNKIQCAFCQSAEDSEASGVMVHYLKGKPISGDEIGGPNVIHSHKYCTEWAPNVFFQDDNAINLEAELARSRKIKCGLCGMRGAALGCFQKSCRKSFHVTCAKMTPNFRWDYDNFVALCPLHASCKMPCEATGSESHTKRKSAPKGDYHIQQAQVVKDDVKEHLQWKCDKKAKNLILCCSGLTSAEKDIVSQFQQLSGVIALKNWDLSVTHIVASTDENGVCKRTLKFMMGVLEGKWIVNIEWVKACIKLMELVDEQLYEIKVDSHGIRDGPSRGRSRLLDKKPKLFSGYKFFFLGEFVPSYKGYLHDLVIAAGGTVLNRKPISEEQKIFSSECPPSTTFIVYSLEQPEKCGASKRNTILYRRRFDAEALASSTRAVAVSNSWILNSIAGCKLQNLPE >CDP04138 pep chromosome:AUK_PRJEB4211_v1:9:3690250:3694257:-1 gene:GSCOC_T00017443001 transcript:CDP04138 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEMVENKQVIFKGYIEGVPKETDMELKVGNMIKLEAPKGSGAFLVKNLYLSCDPYMRGRMRDFQGSYIPPFNPGSVIEGFGVSEVLDSDNPNLRPGDLVSGLTGWEEYSLIYKTEQLRKIEPDDIPFSYYVGLLGMPGFTAYAGFYEVCAPKKGDYVFVSAASGAVGQLVGQLAKLHGCYVVGSAGTSEKVDILKNKLGFDEAFNYKEEQDLDAALKRYFPEGIDIYFDNVGGAMLDAALLNMRIHGRIAVCGMVSQHSISSPEGQHNLLALISRRIRMQGFLQSDYLHLFPRFLENVISLYKEGKIVYIEDMHEGLESGPAAFVGLFSGKNVGKQVIRVAYH >CDP04415 pep chromosome:AUK_PRJEB4211_v1:9:1204586:1209013:-1 gene:GSCOC_T00017796001 transcript:CDP04415 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g22010 [Source:Projected from Arabidopsis thaliana (AT4G22010) UniProtKB/TrEMBL;Acc:O65449] MRDCKILCSLITSCILLVSVLNGAYADNPYRFYTWKITYGDIYPLGVKQQGILINGQFPGPHIDCVTNDNLIISVYNYLNEPFLISWNGIQHRKNSWQDGVQGTTCPIPPGKNFTYILQAKDQIGTYFYFPSLGLHKAAGGFGSIKIYSRPQIPVPFPPPAGDFIVLAGDWFKKSHRQLRYILDSGHSLPFPDGLLINGRGWNGYTFTVEQGKTYRFRISNVGIATSINFRIQGHSMKLVEVEGSHTLQNYYTSLDIHLGQSCSVLVTANQPARDYYIVVSSRFTSHVLTTTAVLHYKNSFTRVSGPPPGGPTIDIASSLSQARSIRWNLTASAPRPNPQGSYHYGLIKPSRTIILANSAPYINGKLRYAVNSVSYVPADTPPKLADYFKIGGVFNLGSMPDKPSWGNAYFATAVMHADYRAFIEIVFQNWENTVQSWHIDGFNFFVVGMDGGQWTPASRSKYNLRDTVSRCTTQVYPRSWTAIYLALDNVGMWNIRSENWERQYLGQQFYLRVYTPSNSLRDEYPIPRNALLCGRASGRHTRPLF >CDP11296 pep chromosome:AUK_PRJEB4211_v1:9:5725663:5728979:-1 gene:GSCOC_T00033447001 transcript:CDP11296 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGGYSSRSSDGGEVVMKPAWLEGLMAETFFAACGVHENRRKNEKNIFCLDCCQSFCAHCLPSHHSHHFLQVRRYVYQNVVRLDDLEKFIHCSFIQPYTINSAKVIFLNKRAAQSKSGKTSGNACFTCDRILQEPFNFCSLSCKVDYMVYHGEDLSSILCRSEESDFAVSQFERLHVDGPELLDDDGQITPNSIWELEDPLVYRGSTCPYTSSMDNSGISMEPEVVKKKKKGSGFLPGIELSLSNRRKGAPHRSPLS >CDP04364 pep chromosome:AUK_PRJEB4211_v1:9:1707052:1709449:1 gene:GSCOC_T00017730001 transcript:CDP04364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G48850) UniProtKB/Swiss-Prot;Acc:P57720] MIDAIDAVRVRGDSVGGVVTCIVRNVPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAGTFLTGSEHNDEFFMDEHGRIRTRTNRSGGIQGGISNGEMINMRIAFKPTSTIGRKQNTVTRDRHDTELIARGRHDPCVVPRAVPMVEAMVALVLVDQLMAHHAQCMLFPINPALQEPVEMARSEPVQF >CDP04287 pep chromosome:AUK_PRJEB4211_v1:9:2392344:2396603:-1 gene:GSCOC_T00017627001 transcript:CDP04287 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGKKKGSEEFEPREVDDFDGGKAGRKKRRRSEFVDDVAEEEEEEEREYRAGKRRRSKRKSGLQYLDWEAVVDDDEEEDQEDEEGFDDDFIENGSADILDKDDAIQTHRSFLVDSEDDEEEDIEALEKRVQERYGKRDLELDEEPTEVEQQALLPTIRDPKLWRVTCVNGHEREVAFCLMQKSIDEGSQLQIRSAVALDHIKNYIYVEADKEAHVKEACKGLCKINSKQIMLVPLKEMTDVLSVKTKAVHLSRGAWVRMRNGMYKGELAKVVDIDNVRQKVTVKLFPSNNLRALGDGVSKKNDFHITPRSKDGFLLKEVSFRSVNACSVHPTIDELEKFLHSSHIEDGDMANLLSLSTNRKKAQFFKGDRVIVVKGDLKNLKGWVEKVEEDNIHVKPDVGYHLDYLVMSPKELCKYFEPGNHVKIICGAAEGTTGFVASVEGHLVNIISDTTKELLQVFADHVMEISEAASGVDQIEDFELHNLVQLDDSSFGVIIHIENESFQASFMIWCKVLKGIPGKAVVEIVKLGNIRCKLDGIKYQTKDQLNHQLSVNDLVKVVEGVWRGRTGKILHIYKGNLFIYDHHHLENAGFMCAKSQSCILLAGGSRATNNWNGENTSSCSRVHLSQQRSSRGCSSMNARGRYGVQQERDALIGAFIKIREGVYKGHKGRVKNIKGKNVQVELEAQMRVVLGKFFDELSNLLF >CDP18927 pep chromosome:AUK_PRJEB4211_v1:9:7265717:7272535:-1 gene:GSCOC_T00011300001 transcript:CDP18927 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIFLLSWVICFSCMQVHAAGEGNKPLISMNGRNLSEKELKFEEHARRELIPGRSSFISIDCGIENGNDYTDSETGISYTSDTLYVESGVNMKISDLFLSQTQNYQKLLSTVRSFPEGNSSCYRLKPTANGGGNKYLIRAFFMYGNYDFKSQPPLFKLYLNANEWDEVKLENASQILIKEVIHNPPTDYIHVCLVNVGSGTPIISALEIRELNNTIYETMYDDSLILYRRLDEGASSTISNKFQRYPDDLYDRIWEVPDYQPDWEPINTTSYISEEYLDNAYKPSPIVMSTAVRPVHGSSLVLSQNVDRNQQFYLYMHFLEVEDLTSTQMRKFVIYVNNKIWSYPVVPGSGPNTIYSKYSVGSTDTLLFSINMTNDSTLPPILNAVEFYIPKKFPILPTNQSDVDAMISIRSTYGVKKNWQGDPCVPEELRWDGLDCNTNDQGLYRIISMNLSFSGLKDEIAFSLSKLDSLQSLDMSHNDLTGTIPDFLANMPSLRTINLSGNKLEGSVPAPLLDKMNNGALALRYFKF >CDP04253 pep chromosome:AUK_PRJEB4211_v1:9:2689163:2694904:1 gene:GSCOC_T00017584001 transcript:CDP04253 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPPFPPFHPLPPPPPPSTTTTAAAPDLPTALSNLTSLLHHTQATLNSLASLLPPSPPSGALIPCPFNTNHRLPPSSIFSHYLSCPSSPSPLDPQALLHSLNYPKTLHSSSENSFTQPLQNPSSTELCFSLENYLNSPQESHFYSNCPGAVVITPCKYDFSSSPPPMLTLPGFLSAECANFTHANGRLDDKGFDVWPIRLLPSEIWAVGNEIEAWVDYPCSYSYRVLRCILRSWKSNLSFLHPWIIANSPKYGVVIDLAMVPHILLLFRFCLKAVTREAIGFLDSLISSKRQDKIHCPVLSKVMMWLGSQLALLYGETNGKFLAIGMFKQCVLDSALSSSFFPVAEISNESAKLNELDDKLEGPVEKCGKNEGNSMLHDTVQSSMIFASQVAAAAASLYERSWLEEKIKMLRDTRPLTAYQRAVEHEHISRRADEELLKRSDYRPVIEHDGVLWQQAHSEVPNRLKTREELLAEERDYKRRRMSYRGKKMKRTTTQVMRDIIDEFMDKIKQASGHSFPKDGENTEARAFEGSSLHNSSSDTLKPRKSETKLELIRNEQHVYGASLHSNHARGSIHSEDKYIEHYKQHKRTSQRHGESLDDNRSTKRSRHDRESYSRSPDRQRILGQLSPEAQIQVAASQLSERLAEERMMGVIQNLEIETEGRSTMISHRVQSLRTDIIPWNRMMIMMMMLKPCSYFIDSHLQCLDNLKQFNVFHDGFPELSLRYWVALLVFWCCSLSTPVSSSVSLVVKSFLDINFLLNAWL >CDP18192 pep chromosome:AUK_PRJEB4211_v1:9:4614496:4619086:-1 gene:GSCOC_T00007094001 transcript:CDP18192 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIRALRILTSSLSSNSQKTLFNSPFLQRFYAVGTQPDHLPTSSTEEEDDTTSSSSVFDSSEYDMGFSLNGDSEAGKIKNPTWDEKYRDRVKSEVFKEEIKSSRIVKKSEEKKKNAAVLAMSLLDAAIRWQDKEDEDDDENKEVTVEDQKSLAVGIIGAPNAGKSALTNFMVGTKVAAVSRKTNTTTHEVLGVMTKGHTQICFFDTPGLMLKKSGFPYNDMKVRMESAWSSVGLFDVLVIIFDVDRHLKRPDSRVIRLIQRMGSQVNPNQKRVLCMNKIDLVEKKKDLLKVAEEFKDLPGYERYFMISGLKGSGVNQLTQYLMEQACFISPRFFLFF >CDP04218 pep chromosome:AUK_PRJEB4211_v1:9:3029630:3030381:1 gene:GSCOC_T00017541001 transcript:CDP04218 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRGRPEVQVQLKYLLVYSLHTCWSFMERRSPQASTFSFAVEGWPYWMIHSQI >CDP14537 pep chromosome:AUK_PRJEB4211_v1:9:21733151:21734868:-1 gene:GSCOC_T00041054001 transcript:CDP14537 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIAYWRNHILVTVLTWLGIAPKGVVDVHEMLFVTADYLTRGGETGIFTPMHMVLCRKPEHSNSSD >CDP04432 pep chromosome:AUK_PRJEB4211_v1:9:1063179:1063973:1 gene:GSCOC_T00017820001 transcript:CDP04432 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRLFILFLLLSSITIIVGAFRADDMIDEDEDLSNFDDFLTPDFGGSKPLIRLSGRSLAQQKLPGNYTCDVYPRVCRLKGSAGPDCCYKKCVNNKLNDTINCGGCNIKCKFGENCCNGKCKNTLYDKNNCGGCNVKCKPGDRCDYGFCGYA >CDP04244 pep chromosome:AUK_PRJEB4211_v1:9:2751861:2753923:-1 gene:GSCOC_T00017575001 transcript:CDP04244 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMKNESNGGIDLYALPEGCIANALSLTSPRDACRLSLVASTFRSAALCDEVWERFFPADYRDVLCRSAEGIELLRSAISMKQLYLHLCDKPVLIDGGTKSFSLEKSSGKKCYMLAARDLTIVWSDTPRYWKWIPLPESRFSVVAELLDVCWLEIRGKIRTSMLSAETNYAAYLVFTSKSRIYGFEYQPAEGEVGISGQEGKKQAVHLDPDGAQRPGHQIMPRWRRGLQRGDGWMEVELGEIFIKEGQDVDLEMSLMEVKGGNWKSGLIVEGIEIRPKEGK >CDP14547 pep chromosome:AUK_PRJEB4211_v1:9:21654144:21658152:-1 gene:GSCOC_T00041066001 transcript:CDP14547 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENALKVLGISEGASFEDIVRAKNSILASSNDDKATIAKVEAAYDMLLMQSLSQRRAGKVVSSSIRYADVKPVSAPKLGPMPQWLKNSVSVEAPSTGDLGIQAGVYGALMVLTYVNGASSSAAPYAGADVPGLILASSFGASLYFITKKNVKIGKATLFTIGGLVAGAVVGSTVENWLQVDIVPFLGIHSPATVVSEFVLLSQFLVSLYLR >CDP14543 pep chromosome:AUK_PRJEB4211_v1:9:21677375:21681214:-1 gene:GSCOC_T00041062001 transcript:CDP14543 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDFQRSVSLRASRRPDRINLSYQKGGGGNSAPDFSMKIIWKKGFIRLVLVAGIIWMLLILSALLFHIWSCQSSLAFFSAFCNKDSKVFDKLYTMGLVRPPHRCPIPVADDPNTVVIPKRGSPERFVQSLSYIMEDDMATHRSESPPLFGGHQTWKQRDKSFKVKPTMKVHCGFMKNGGAEMDPKDIEYSKKCRFVVASGIFDGYDLPYQPSNISQRSRKLFCFLMVVDEESYKFIKGNVTVRKDEAGGQWVGIWRLVLLKHPPYDEPRRNGKVPKILTHRLFPHAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIAQHKHHRSVYEEADANKRRKRYARPLIDLHMRIYRYEGMEPWNPKKGTLSDVPEGAVIIREHTAMNNLFSCLWFNEVNLLTPRDQLSFGYVVYRLGGLFKFFMFPNCEYNSIFILHPHIREHSSPIEWVKTLEEFEKDPSLKETRGGLGLWNPYPGNLDLVVLPPVARTSKAG >CDP04513 pep chromosome:AUK_PRJEB4211_v1:9:391663:392582:-1 gene:GSCOC_T00017933001 transcript:CDP04513 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSELLVTKSRDEFPKVGSHLVLLGAVTVGVALIVMGFDDDHKALAFGPEGPLVEEFWENMRRYALYALTVSTGFAYTVFQPILELLRNPVSAILVLAIFGGSIYIVTQVLSAMVGVSDFSYDYSY >CDP06335 pep chromosome:AUK_PRJEB4211_v1:9:15684076:15684903:1 gene:GSCOC_T00023131001 transcript:CDP06335 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSTEILHDFSPMIRVYKDGRVERLVGKDIVAASVDPQTRVESKDVQISPELDISARLYLPKNAQQDIKLPLLLYFHGGGFLVESAFSLAYHTHLIAVVAEAGVVAVSINYRLAPEHPLPTAYEDCWIAVKWVASHSNGKGPKVCLRDYADFDRVFFGGDSAGGNLAHNMALRVGLEKLDGFNLDGIFLNCCKRVLIYVAGKDILKDRGWLYKEALEKSE >CDP04371 pep chromosome:AUK_PRJEB4211_v1:9:1632898:1634301:-1 gene:GSCOC_T00017740001 transcript:CDP04371 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSLLHIVLESFLLVVVQSLLGNCSNGDSEKEPFIAAHNIILAHAAPVKIYKAKYQKNQGGTIGIIVLTGWFEALSNSTADNDLYRNYIFDSIINLIRFLDPIAFGRYPKEMNDLLGSTLPKFSSNDSDNLKLGVDFIGINHYTSFYVGDCCIPPANPHLEVPGQKVSLDKPTLKMASPLENLQDTITISPTRNGKTVTYISERYNNIPIIITENGELPTLTPLLMNPLNDVKRVEYLRDYLDYLSRAMRKGADVRGYFVWTLPDAYEWLHGFTKRFGPRHVDHTTLKVETHS >CDP14505 pep chromosome:AUK_PRJEB4211_v1:9:22051079:22052542:1 gene:GSCOC_T00041016001 transcript:CDP14505 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGMQMLLENNIPLENVRICYSPFSRTSHTAEVVASVMNLPFVGPQCKVIGDLRERYFGPFYELASHDKYLEIWALDEKDPFLPPEGGESVADVVTRLTEALVSMESDFEG >CDP04139 pep chromosome:AUK_PRJEB4211_v1:9:3673879:3683163:1 gene:GSCOC_T00017445001 transcript:CDP04139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase small subunit 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16290) UniProtKB/Swiss-Prot;Acc:Q9FFF4] MNPRLVVHAVAASESGKGRGGTENAAAVSVAKTSAPPSPPPARPSRVKRHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKTLQQVVEQLNKLVNVLKVEDLSREPQVERELMLIKLHADSETIAEVMWLKDIFRAKIVDISEHFLTVEVTGDPGKTAAVLRNFSKFGIKEVARTGRIALRREKMGETAPFWRFSAASYPDLEGTVPAQPILKNRDTLSGGNSSDPPRGDVYPVEPDDSYVANQVLDANWGVLYDEDSNGLRSHTLSMLVNDSPGVLNLVTGVISRRGYNVQSLAVGPAEKEGVSRITTVVPGTNETIGKLVQQFYKLVDVHEVQDITPLPFAERELMLIKVAVNAAARRDVLDIASIFRAKPVDVSDHTITLELTGDFNKMLALQKLLEPYGICEVARTGRVALVRESGVDSTLLRGYPLP >CDP11242 pep chromosome:AUK_PRJEB4211_v1:9:6694674:6697272:-1 gene:GSCOC_T00033361001 transcript:CDP11242 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAIVSGAKTHSISPLLRRHLSSLFHPLSPAAPLLSQRQMFLGGVRRTQMAQQFVRFTHDGRPRGSLWRGKKMIGKEALFVILGLKKFKEDDEKLEKFVKNHVLRLLKMDMVAVLNELERQQEVSLAIKIFRVIQKQGWYKPDVYLYKDLIIALARSRKMEEAMELWESMRKEDLFPDSQTYTEVIRGFLRYGSPADAMNIYEDMKKSPEPPEELPFRILLKGLLPHPLLRNRVKQDFEEIFPDRHIYDPPEEIFGLR >CDP05630 pep chromosome:AUK_PRJEB4211_v1:9:12103642:12108414:-1 gene:GSCOC_T00020791001 transcript:CDP05630 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKSDAFQRQGQAWFCTTGLPSDLIVEVGEMSFHLHKFPLLSRSGVMERLIAEASDEGEEGYLIKLPDIPGGAKTFELVAKFCYGVKLELTASNVVYLRCAADHLEMTEEYGEGNLISQAEIFLNQVVLKSWKDSLKALQTCDDVLPYAEELHITKRCIESLAAKASTDPNLFGWPVMEYGGPMQSPGGSVLWNGISTGARPKHSSSDWWYEDASALSLPLYKRLISVMESRGIKQDILSASLSFYAKKYLPGINRRQSAGESSSRLTGLGATLTEEDQKLLLEEVDRLLPMQKGLVSTKFLFGLLRTAKILHASLSCISTLEKRIGMQLDQATLEDLLMPSFTHSMETLYDVDCVQRILEHFLAMDQVTGGASPCSVDDGQLMGSPSLTPITMVAKLIDGYLAEVAPDVNLKLPKFQSLAASVPEYARPLDDGLYRAIDIYLKSHPWLAEADREQLCRLMDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSDNLDGGSRQLRSGLVGPNEGGWATAVRENQVLKVGMDNMRMRVSELEKECSNMRQEIEKLGRGKGSSTWGSVSKKFGFRLKSQMCSAQEGSISNQNSSTGKAEKVKDKNGKQKKNLSLDD >CDP04228 pep chromosome:AUK_PRJEB4211_v1:9:2943961:2947101:-1 gene:GSCOC_T00017552001 transcript:CDP04228 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVSMIHFSLSLQPHTVYKSYSFTILFHLQEKYGRPCRACTGRGFYPCKLCKASGTIQWSPLYDPLVINPCLCPTCDGLKVQHCLNCLGSGFV >CDP04290 pep chromosome:AUK_PRJEB4211_v1:9:2377495:2385754:-1 gene:GSCOC_T00017630001 transcript:CDP04290 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGGNDPVMESFLNSIQVVKNAFSPLESSVRKAAKDFEQCWPHITFKKGCSTKCGGNGNVTRAESVAKMGLKEKDGGNGGKEDGGCSNCLQFAVAWSLLINGFVQAFPSPFKHGKKRIQRVCDENAVGGGTPRVSCEVKEKGSKMGGGMEFCDEHLKEKEDKGLSLECFLGFIVDQFIQNVQKFDVRMQEIKCSSTAGGESGPVADDQFDHLRALASILEGKRADVNVFFGSLKFARVGGVPSSIVGVTSSNKEEGDIGVNNAETQEGSAGGNSPQKLANGLLSIPLSNVERLRSSLSTVSLTELIELLPQVGRPSKDHPDKKKLFSVQDFFRYTEAEGRRFFEELDRDGDGQVTLEDLEVAIRKRKLPRRYAREFMRRTKSHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLQKSEILASLRNAGLPANEDNAIAMMRFLNADTEESISYGHFRNFMLLLPSDRLQEDPRSIWFEAATVVAVPPPVEIPAGSVLKSALAGGLSCALSTSLMHPVDTIKTRVQASTLTFPEILAKLPELGVRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINVAPTLPDFQVQSVASFCSTFLGTAVRIPCEVLKQRLQAGLYDNVGQAIIGTWHQDGLKGFFRGTGATLFREVPFYVAGMGLYAESKKVAQQLLCRELEPWETIAVGALSGGLTAVLTTPFDVIKTRMMTAQGRNLPLGLVAISIVRHEGALGLFKGAVPRFFWIAPLGAMNFAGYELARKAMDRSDENGYQGNQKRSASTAQASK >CDP04430 pep chromosome:AUK_PRJEB4211_v1:9:1081509:1083199:1 gene:GSCOC_T00017817001 transcript:CDP04430 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSFLLLLSWILICCFSTFQAHKIHITPYKTTPTNFNPISPYYPQKKAMNIIDSCWRWNPKWASNRQALADCARGFGNAALGGKNGAIYVVTDTSDDPINPKPGTLRYGVTQDKPLWIIFQRDMVLKLENELMVNSYKTIDGRGVKVEIANGPCITIEGVSHVIIHGISIHDCKPGKRGMVRSSLNHVGERTGSDGDAIAVFASSNIWIDHCYLARCTDGLIDIIHASTSITVSNNYFTQHDKVMLLGHQDGYTADKVMKVTVAFNHFGPGLVQRMPRVRYGYAHVANNRYDQWLMYAIGGSSNPTILSNGNYFIASKNPDSKQVTKRDIEGGPGVWKNWKWRTSKDVFLNGAYFVQSGLGSCSPLYSASQLFSVASGFLVPSLTSDAGPLNCHPGEAC >CDP14553 pep chromosome:AUK_PRJEB4211_v1:9:21510176:21511324:-1 gene:GSCOC_T00041077001 transcript:CDP14553 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSQNFSNLTLKLILFGCFPYECKSKLNGDIKRDKISIQDLIGINRINCEIQNNLLKESRVCKDEIQRKILNFIYIGISTQRKGDVT >CDP04472 pep chromosome:AUK_PRJEB4211_v1:9:714338:719308:1 gene:GSCOC_T00017877001 transcript:CDP04472 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSTRYGPATVFTGPVRKWKKKWIPLTPPNNNNTSNHHHHQTAAPNGAGNGNNGSHLLLYKWTPITPSLNGNNGYGNGKTNGDNNEESKSSHKDDAVAVDEPPKRQFKYIPVAVLEEQKNEVSEQVEDEVKPMETDTDGMEPTSKNDGFDEKPDINDVPAEECQASDNDPVGRQDLNESTLDLSLGLKAHDGENDSDAKVEQNKDG >CDP17312 pep chromosome:AUK_PRJEB4211_v1:9:10498273:10507455:-1 gene:GSCOC_T00003796001 transcript:CDP17312 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLHNLSDNGEADEQQSHSESLFQSACQVTGISHPVMTAPSMQYAAPPQLGAGITMAQAGYPYPDPYYRSIFAPYDNKPYPAQPYPTQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKALKSRKPYLHESRHLHALRRARGTGGRFLNAKKDENTQKENDSGDNPDSDISLTAKKDGHASSESAS >CDP14479 pep chromosome:AUK_PRJEB4211_v1:9:22298086:22309635:-1 gene:GSCOC_T00040986001 transcript:CDP14479 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEKSLTCVRSSSAVEPEEAKLITVVNESKEKCLSKEMPGLELATDDEKTKGFGSASAEMEVELNNEVEGEFENPPNVQPDTDTKTASNMLLPDRNAFLGDESETEENQAAFMKELEAFHKERFLEFKPPKFYGEPLNCLKLWRAVIKLGGYEQVTACKLWRQVGESFNPPKTCTTVSWTFRGFYEKALLEYEKHKMRCGELPFTDASFVEPSGAGNQAGTSQSSGSGRARRDAAARAMQGWHSQRLLGNGEVGDPIIKDKNLASTPKREKQLKSVGLLKRKKASPVDHPLHGASMKVSKPQLDTMVVDIGNPADWVKINVQRTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGQPEQLDNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEQSDT >CDP12640 pep chromosome:AUK_PRJEB4211_v1:9:8150949:8153472:-1 gene:GSCOC_T00036343001 transcript:CDP12640 gene_biotype:protein_coding transcript_biotype:protein_coding MELQEVLHMNGGEGEASYAKNSSFNQLVLAKVKPVLEQCVRELLRANLPNINKCIKVADLGCASGPNTLLTVRDTVQSIDKVRQEMKNELERPTIQVFLTDLFQNDFNSVFMLLPSFYRKLEKENGRKIGSCLIAAMPGSFHGRLFPEESMHFLHSSYSLQFLSQVPSGLVTELGITANKRSIYSSKASPPPVQKAYLDQFTKDFTTFLRMRSEELLSRGRMLLTCICKGDECDGPNTMDLLEMAINDLVVEGRLGEEKLDSFNVPIYTASVEEVKCMVEEEGSFEILYLQTFKLRYDAGFSIDDDCQVRSHSPEYSDEHARAAHVASLIRSVYEPILASHFGEAIIPDIFHRFATNAAKVIRLGKGFYNNLIISLAKKPEKSDI >CDP14535 pep chromosome:AUK_PRJEB4211_v1:9:21747102:21753204:-1 gene:GSCOC_T00041051001 transcript:CDP14535 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRARTSLDTAVVDIWKREVGDLSTRNFAHRLAASEDLVLRLDIFGKLDKHRGCVNTVNFNADGDILISGSDDRRVILWDWEGGNVRLSFHSGHHNNVFQAKIMPYTEDRSIVTCAADGQVRHAQILERGKVETRLLAKHHGRAHKLAIEPGSPHIVYTCGEDGLVQHIDLRTGVATELFTCQPIRERSFLSVVQLNAIAINPRNPNLFSVAGADEFARLYDIRKYKWNESTDFGQPVDFFCPTHLRGDKRVGITGLAFSDQSELLVSYADEFIYLFSKDMGLGPHPVPPSPVSSGSDGTEMGNDHQTSSFPADMDADALAGPQVFKGHRNCETVKGVNFFGPKCEYVVSGSDCGRIFIWKKKDGELIRVMEADKDVVNCIEPHPHTMVLASSGIESDIKLWTPKALERATLPTNIEKIDLRTGVATELFTCQPIRERSFLSVVQLNAIAINPRNPNLFSVAGADEFARLYDIRKYKWNESTDFGQPVDFFCPTHLRGDKRVGITGLAFSDQSELLVSYADEFIYLFSKDMGLGPHPVPPSPVSSGSDGTEMGNDHQTSSFPADMDADALAGPQVFKGHRNCETVKGVNFFGPKCEYVVSGSDCGRIFIWKKKDGELIRVMEADKDVVNCIEPHPHTMVLASSGIESDIKLWTPKALERATLPTNIEKVLIPGRIHFFPIGGYEDDSDDDDEEYFYYDGGDSEDFSDDYEEEEEEDDEDSVGDNDDDVDCESVDVEDDNSVGDSDDVVGCESVDVEDEDGEDEEFYDSIIDDCDGSFDTINEDEDDEFGDSDDDDWL >CDP17306 pep chromosome:AUK_PRJEB4211_v1:9:10323437:10326060:-1 gene:GSCOC_T00003784001 transcript:CDP17306 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRIEEYNRELEALRVKEKAENMIHNEDYAGARDTLQRARNLFPLGEIVPKQTVCEILSAANINFPGCEIDYYWVLQLVPSAKTLDIKHQYQKLRNMLQPLKHNFPGTDVALKLIEDAFFVLSDNQKRSEFNLQRSTAWENYESPPLEATISSELSEMKGGMSALASGDCQNVSLENLGTRSQDCLTTGMHLMRNGGAWSNSTSNTSEGDMAEVMLDVNTLSEQNHAVSRDHPSSSRNMAHEVLYQDIYNFDDDREVDNMAIGQIWATHYQSNEHQNRRYAQIIARSMSTVTVMWLKPIPVTNAERRWCEAGLPVGCGSFRLDLESGEQVIAPLQFSYKCSLTTKVAAQQFDMYPQKGEVWAVYEDWNLEEWSYNPEVTNSCNYRLVEILSDFSTYTGFDCTYLVKVPGFRSIFQRETGGGISITVRVLPRMLYSLSHKVLAYRLTGEEIDGVVSGMLEVDQLALPNNMRGKPDEAEMWKMEESGGKADDDAIMSERKSPNLSSLTGQVWAVYCGRDKMPRQYVVIHNVFSRTQVLVKFLEPEPEPEPDLDNNWRQKSLPIACGAFSVGDVIMGMKISQLSHLVKVGKTMPGYVIYPAKGEIWAMYQRWNCAWKLSDLESCEYWIVEVLSDFSEREKIVVARLGEVKGCFTFFQRLQLDGFEMICEISRAEIHSFSHRIPFCKVPGVGDYGISESSLHLEPNCLPPKRRKLA >CDP14548 pep chromosome:AUK_PRJEB4211_v1:9:21645513:21648673:1 gene:GSCOC_T00041068001 transcript:CDP14548 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASRLEEEEEVVSICRDRKHQLKLAVERRYALADAHYRYCQALYGVSAAIRLFVARHSSPASPFMITFPPPTPPSPPPENVVSNPLFLQQTPSEPTKEALTCESSTASDSSEEEIEERVEKEEPPSCGYFYMEMPQSMPSPHRDFGWDFFNPFTSVRPEIINGYNRISEEDLRVVREREGIPELEEEGERFEEESNAVVVEEKLGGRHHEESSRVEVAKAVNDSNVSQVEQKGLTVIDTPVRGRELLEALQDIEDHFIRAYDSGKELSRMLEVNRVQLQSNLEEIKENSTKMIQAITWRSTSSRSSSCKSLVASSSKNCLTWTEFKNDLFDDYGGMDSGSHSLTLGRIYAWEKKLYEEVKAGDNTRQLYERKCNQLRNQDARGVEGATVDKTRAAVKDLYSRILVAIRSAETISQRIEKLRDEELQPQIMELLQGMMRCWKAMLESHEIQNKIMFEVKTFTCPTFGKFCNDSHRLSTLQLGAELRNWRTCFTEYVASQKAYVEALHGWISKFIVPEVEFYSRSRISAPPCRENGPPLLTICRDWLASLDKLPDKDVGSALRSCEKDIRALWVQQGEEQQQKRKVDSLSKELDRKILVFQKAENKFHELKSTDHKLDLESDRGAECFKERKDLLDNFRGKVDMEKENHQNCMQETQRITLNGFQTGFGRVFESMTEFSKITLKMFNDLSSSSHDAEKFGSVSYSEGSQLEDGKR >CDP04278 pep chromosome:AUK_PRJEB4211_v1:9:2442789:2443976:-1 gene:GSCOC_T00017615001 transcript:CDP04278 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPFQLLELNVISAQDLAPVSQNLRTYAVAWMNPERKLRTRVDQQGKTNPTWNDKFVFRVDEKFLDSETSSVMIEVYAIGWLRDTPVGSVRVLISNLIPQNVRKTNNSQRRFVALQIRRPSGRPQGILNMGVTLLDNNMKSMPLYSELSASAKNGNGNSDQKEEKIKLRRTQSDCTEVKEKGNKWKGNGEGSVYNSSVVNGPTRGGSSLNGPNLNGSEIGVAKNGSMCNSDVGPSPSVVAAAVARGLYPTPLPKPHDPGSSILGDWTADDASVEGLKSKIDRWKMELPGKCDKTPNSYQKIPKEKERKQHWRRRKSANEGSGRFSCFGAACGCEFTIVCGANNGGRRSRSRSRSSSKHLANSELDSQLVSQHGIQ >CDP04503 pep chromosome:AUK_PRJEB4211_v1:9:488806:489737:1 gene:GSCOC_T00017918001 transcript:CDP04503 gene_biotype:protein_coding transcript_biotype:protein_coding MATDESQEYADWRARQKERERERRRMRDRQRRQSMSLEERERHLARRRRNYQLRRQRAANAQLGFQQTHVNLSNASSEVESDVQNDNLALVSLPEFTVQSNCGTFEDGFGGAYEKHASVIKFDGKCETTLHESHKYPRILRFNRIKQLARRLNSPVDKTGTDGDSRQIGAAVTNEEIVNPHFYVCRPGVTGRKIRLIHVKHLARALHSNVGKTLQQN >CDP04132 pep chromosome:AUK_PRJEB4211_v1:9:3758737:3762773:1 gene:GSCOC_T00017437001 transcript:CDP04132 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCLPLDKLPPSNFAGNLENSINPISASISRGRWNTSLWINYARWEESQQDFNRARSQTERVLEAHYRDQSTLLKYAEFEMRNGFINHARNMEEMVLMGWQSDLQGWLPYIMRFELRYNEVERARVIFERFVKCHPKATSWIKFAEFEVKNGEISWARNYFERAVDKSRKIRMWRGKGVYISLRLIMLPKGGPRSCVGSLLLLRKLYGDKEWIEDGVVGKRRFEYEDEVRKNYLNYNAGFDYMRLEAWFEDSAETETTRPSRHRLDLNIKYFKNSRFVETATDMPRPIELERFMSKQLPLRHIETEDGPMVQQCMRNTLTIYLFPEETQCKNLKIIEAAYKWKKPKNQSKPKISSVFSILISNAMLLFCKF >CDP06289 pep chromosome:AUK_PRJEB4211_v1:9:18054055:18059335:1 gene:GSCOC_T00023046001 transcript:CDP06289 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVNFSGPAVGLWLCGPLMSLIDTAVIGQGSSVELAALGPGTVFIDNTSYVFMFLSIATSNLVATSLARQDKDEVQHQISNLLFIGLGCGVFMLVFTRLFGPWVLTAFIGAKNTDIIAASNTYVQIRGLAWPAILIGWIAQSSSLGMKDSWGPLNALAVASVINGIGDIVLCRGLDYGIAGAAWATMVSQVAAAYMMIRSLNDKGYNAFSMSIPSPNELVQIIMLAAPVFLTLISKVAFYSLLVYFATSMGTHTVAAHQVMIQLYCMCIVWGEPLSQTAQSFMPELIYGANQSRPKARTLLKSLVLIGALSGLILGFIGTSVPWWFPKIFSHDPLVIKEMHKVLFPFFLALSVNASMQSLEGTLLAVRDLKFISSSMSILFSLGALLLLLLSSRGCGLRGCWFALVAFQWSLFSVALWRLTLPNGILYVEDLHSNQMDKLKVA >CDP04192 pep chromosome:AUK_PRJEB4211_v1:9:3194637:3196601:-1 gene:GSCOC_T00017506001 transcript:CDP04192 gene_biotype:protein_coding transcript_biotype:protein_coding MILLCISTFFGQKKKGKEAEANCKGDYDSEDVPCQATISHIDGLVSGLNIYDILEPCYHNNKIPETTSTDNTSLPKSFQELGKTDKPLPVRKRMFGRAWPYRAPVRDGIVPSWPQLTQSLHARGVSVPCIDDEVATAWLNNEAVRKAIHASPESGSWGVCNALSYNHDAGSMIPYHKNLTSAGYPALIYRQKPPYGDHDMCIPFTGTQAWTASLGYEVVDQWRPWLSNDQVAGYLQEYAHNLTFLTVKGSGHTVPEYKPRESLDFYSRWLQGQKI >CDP04501 pep chromosome:AUK_PRJEB4211_v1:9:510040:510339:1 gene:GSCOC_T00017916001 transcript:CDP04501 gene_biotype:protein_coding transcript_biotype:protein_coding MSATALLQKAAQIGAATTYVSLLKGFGIVSSASASIGQQEWSGRAIESNSASLAAGLGLGLPCDSGSLGWNSFCQPLKTCQQRRQRYIWLIQTQGKRYV >CDP11263 pep chromosome:AUK_PRJEB4211_v1:9:6389275:6390884:-1 gene:GSCOC_T00033390001 transcript:CDP11263 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDQFNNIPMLVKDKTLLYFPIMSPPKLFFLLLLILAVVIVILYLLGVEIINLAVIAGVTILVVSGLILLQKRRNKKKQQGHFERNGGLLLEKQLSATDGAIEKTRIFISRELEAATDGFNESRILGQGGQGTVYKGILADGRVVAIKKSKVDKSLLGQFINELVIVSQVNHRNVVKLLGCCLETEVPLLVYEFIPNGTLFSLIHNDNEAEFFRFTWSLRLKVAAEVAGALAYLHSGLSIPVFHRDIKSTNILLDEEYIAKVSDFGASLSIAIDKTHMTTRVQGTFGYIDPEYFQSSQITDKSDVYSFGVVLLELLTRQKPIPSRGEGEDVYLGLAQRFLTSMEENSLPTILDPQIIDQTNEEEVIAVAKLAQRCINWDGRRRPTMKEVSIQLENIKMSRGDLTIQENYQSPSCTDEEAVVMCDVYHTWTIGNENVKSTSDAYAVLNNTV >CDP04115 pep chromosome:AUK_PRJEB4211_v1:9:3949109:3951116:-1 gene:GSCOC_T00017414001 transcript:CDP04115 gene_biotype:protein_coding transcript_biotype:protein_coding MALMEQRSFLGSLGAYPFSETTITSSRILYGASSSGLFIQCSYNTGRNMNLMARSCASKNTKLYYRKLPKNLHNPRRPELPPDPSLLGLDTVAKRSMPGSSTNDIFIDDNNFDDLVVDDEEKEEGSYVSHDENGEIMWDQDEIEAISSLFRGRIPQKPGNLNRQRPLPLPLPCKNRALGLPNQKKFSRKSVAVSRQSVSNQLYRNPTFLVGLAKEIKDLPPKEKNVSLVLNKWARFLRKGSLSITVRELGHMSCPEKALLVFCWTQKQLHLYPDDRILASTVEVLARSHELKMPFKFDDVKFISMVSRNVYEAMVKGFIKGGSLNIAWKLLSAVRDSKRMLDSGVYAKLILELGKNPDKEVLVLSLLEELAAREDLNLTPQDCTAIMKVCVRLGKFHIVEGLYDWFKMSGHVPSVVMYTTVTHSRYSEKRYREALDVVWEMETTNCLFDLPAYRVVIRLFVALDDLPRAVRYFAKLKEAGFSPTFDVYRCMIQIYLSSGRIAMCKEVCKEAELAGFKLDEQIRSQLFELDK >CDP04113 pep chromosome:AUK_PRJEB4211_v1:9:3962529:3966861:1 gene:GSCOC_T00017412001 transcript:CDP04113 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNLVIRLAIFPSLLLAFLTTATLSHASHNLFLRRQASVLVSVKQKFQTSSPSLDSWNMSNYMFLCMWNGITCDENRLVVSLDISNLNLSGSLSPAITELRSLINISVAGNGFFGVFLPEIHKFENLRILNLSNNVFSGNLSWEFSHLQELEVLDGYNNNFSGPLPFGISQLQKLQYLNLGGNYFSGQIPASYGGLNQLNFLSLAGNDFSGFIPGEFGNLTSLQWLYLGYFNTFDGGIPSELGKLINLVHLDLANCGLVGPIPAELGHLKMLDTLFLQTNQLNGSIPPQLGNLASLKSLDLSNNVLTGEIPAELSPLQELTLLNLFMNRLHGEIPQFVEELPQLEVLKLWQNNFTGSIPQKLGQNGKLIELDFSTNKLTGLVPSTLCSGRRLRILILLNNFLLGPLPDDLGRCKSLSRVRLGQNFLSGSIPHGFLYLPDLSLMELQNNLLAGQLEETNAQVSTKLEGLNLSNNRLSGPLPISIGKFSGLKILLLNGNHFSGNIPSEIGSLGNMLRLDMSKNNFSGNIPPEIGKCLSLTYLDLSQNQLSGPIPVQIAQIHILNYFNISWNHLSQKLPKEIGSIKSLTSADFSHNNFSGSIPETGQYSVFNSTAYVDNPDLCGSYSNPCNYSTAAELAHNYQGDGKSKVPAKYKLIFALGLLVCSLIFAVLAIIKTRNARKHSKSWKLTAFQKLEFGSEDILECLKEHNIIGRGGAGIVYKGTMPNGEEVAVKRLGISKGSHDHGLSAEIQTLGRIRHRYIVRLLAFCSNKETNLLVYEYMPNGSLGEVLHGKTGIYLQWDTRLKIAMEAAKGLCYLHHDCSPLIIHRDVKSNNILLNSNFEAHVADFGLAKFLQDNGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGNFGEEGLDIVQWANIQTNWSKEGVVKILDERLKNVPLNEAMQVFFVAMLCVQEHSIERPTMREVVQMLAQAKQPNTFHMQ >CDP04448 pep chromosome:AUK_PRJEB4211_v1:9:927679:932831:1 gene:GSCOC_T00017841001 transcript:CDP04448 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPGKRDLDSYTIKGTNKVVRAGDCVLMRPSESDTAPYVARVEKIEADNRSNCKVRVRWYYRPEESLGGRRQFHGAKELFLSDHLDVQSADTIEGKCIVHTFKNYTKLENVRPEDYYCRFEYKAATGAFLPDRVAVYCKCEMPYNPDDLMVQCDGCKDWYHPACSGMSIEQAKQLDLFVCSDCASVDVKKPPTKLTESPVMNGKLETKRQKK >CDP04380 pep chromosome:AUK_PRJEB4211_v1:9:1570361:1572067:1 gene:GSCOC_T00017750001 transcript:CDP04380 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPWGGVSCCLSAAALYLLGMSSGRDADILKSVTRVNQLKDLGCKFKVCILLSGIFNLYRTRQAKKKHKEGH >CDP14577 pep chromosome:AUK_PRJEB4211_v1:9:21047599:21053539:1 gene:GSCOC_T00041107001 transcript:CDP14577 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELEKPMAEEKEEKIPPVEDDEGPEEGEIVEESEETGSSSMGNPSKSLVTKHPLEHSWTFWFDNPSAKSKQIAWGSSIRPIYTFSTVEDFWGIYNNIHHPSKLAVGADFHCFKNKIEPKWEDPVCANGGKWTVNLQRGKSDTSWLYTLLALIGEQFDYGDEICGAVVNVRSRQEKIALWTKNAANETAQISIGKQWKDFLDYNDQTGFIFHEDAKKLDRAAKNRYTV >CDP18203 pep chromosome:AUK_PRJEB4211_v1:9:4686058:4686806:1 gene:GSCOC_T00007109001 transcript:CDP18203 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCTIAPKTHSILYPVSNQITLPTLLNLQNLNIKRLSNAVSLFDPTRSCGGGGGWSGKLTLTSRGRPVIEAKRKEKTGWSADQANPDELKWIHLGQIVESLSNGMFRALVPIRNVGPIIGYGSGKMRTNRILILPGDVVKVELGRYDPARGRIVYRFHPKEWKKKAEKEEDEEEEGKFEHFKGEKRGKKQRS >CDP05654 pep chromosome:AUK_PRJEB4211_v1:9:12928520:12928686:1 gene:GSCOC_T00020835001 transcript:CDP05654 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTGAQLNSRSQSLKQGKTITKGKRPPLGVDRSSSLL >CDP04360 pep chromosome:AUK_PRJEB4211_v1:9:1734132:1736545:-1 gene:GSCOC_T00017723001 transcript:CDP04360 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRGQNGIQLLLAAEQEAQHIVNAARAAKQARLKQAKEEAEKEIAEFRAQMEAEFQRKVAQTSGDSGANVKRLEQETEAKIHHLKNESSRISHDVVQLLLRHVTSVKI >CDP04464 pep chromosome:AUK_PRJEB4211_v1:9:761416:766940:-1 gene:GSCOC_T00017866001 transcript:CDP04464 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVSVPITYSCSKDHQKIYQYWFNFADSDGDGRLTGNDATKIFAMSNLSRPELKQVWALADSKKQGFLGLTEFITAMQLIALAQEGHEINSALLKNAGKRRISKEQYIQLHLNNFKISVKIFLCLVFLAVLESLNLPVMEGLAALQAKTNVSPVKEKLEGNGTAELQSNPLVKLSKRKSAKKSLSSVAPVTSVTDGLKRLYNEKLRPLEVTYHFNDFGSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLGCSYPGAHIGPEPTTDRFIVVMSGPDERSIPGNTIAVHAEMPFTGLTTFGGAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDMILLLFDPHKLDISDEFKRVISSLRGNDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKILNTPEVVRVYIGSFNDKPVNEEAVGPIGKDLFEKEQDDLLVDLMDIPKKACDRQINELVKRARAAKIHAYIMSHLKKEMPSLMGKAKTQQRLIDNLEDVFSKVQKEFHLPAGDFPSVEHFREVLKSGYKIDDFEKIKPKLIQAVDDMLGYDIPELLRNFRNPYE >CDP04174 pep chromosome:AUK_PRJEB4211_v1:9:3340691:3343433:-1 gene:GSCOC_T00017484001 transcript:CDP04174 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNALLKYLRVNVHPVQPFLQNPRTVIGGSISNFLRHRCFSEEVRGSFLDKSEVTDRVVTVVKNFQKVDPSKVTPSAHFHNDLGLDSLDTVEIVMALEEEFGFEIPDNEADKISSINLAVDFIASHPQAK >CDP06330 pep chromosome:AUK_PRJEB4211_v1:9:16089327:16090357:-1 gene:GSCOC_T00023118001 transcript:CDP06330 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPSFAGKAVKLNPSAPELMGNGRISMKRTSRPAPSGSPWYGRDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVQFGEAVWFKAGSQIFQQGGLNYLGNPNLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >CDP04339 pep chromosome:AUK_PRJEB4211_v1:9:1960382:1963872:-1 gene:GSCOC_T00017695001 transcript:CDP04339 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPYGHDDDGKKPLPPSANSAKLLTLPTILTIGRVAAVPLLVATFYSDNWWGPNAATGIFIAAAVTDWLDGYLARKMKQGTAFGAFLDPVADKLMVAATLVLLCSKPLEAGIFGQASWLLTVPAIAIIGREITMSAVREWAASQDGKLSEAVAVNNLGKWKTATQMTALTILLATRDSSLIGAGTLVASGVVLLYISAWLSVWSLVVYMRKIWKVLLM >CDP11287 pep chromosome:AUK_PRJEB4211_v1:9:5967085:5971120:-1 gene:GSCOC_T00033434001 transcript:CDP11287 gene_biotype:protein_coding transcript_biotype:protein_coding METEKVVVERGGGKSTATHCYSKYPLKFIVHNKVGPSQTDAVWITLSLLVGDSIKCDISVGDGCTTVLTTQASTKVYKSVESKCSEQVLEARIGSDALLAVIPFPVTCFSTAKYSQTQVFKVFPSSSLLIVDWITSGRYGRGEKWDFELYKSTNNIFLEADEPLFLDTILLEQGRYSSIAERMQDYQVIAMVILLGPKLKFIQDQIQENVKNLMSQQLCIPSGGSG >CDP17617 pep chromosome:AUK_PRJEB4211_v1:9:7705022:7706750:1 gene:GSCOC_T00005126001 transcript:CDP17617 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVKHILTKSSAFSFFLKKLTTVVFELEAQAYAQENGLFFMETSAKAATNVNDIFYEIAKRLPRLQPAANPSGMVLMDRPADRVANSSCCS >CDP04238 pep chromosome:AUK_PRJEB4211_v1:9:2824090:2825559:-1 gene:GSCOC_T00017566001 transcript:CDP04238 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVNIRDDEVDIVIGALHLDLTSFMEDWRAVFSRFHLIIVQDPDLKGDLKIPGGFSFDVYTKSDIESVVGSSSSSVVFSGYSCRYFGYLVSHKKYIISIDDDCIPAKDDKGELIDAVAQHITNLMTPATPFFFNTLYDPFRKGTDFVRGYPFSLRSGVQCGLSCGLWLNLADYDAPTQALKPGQRNSRFVDAVLTVPARAMLPVSGINIGFDRELVGPAMVPAFRLAKEGKFRWETVEDIWSGMCVKVICDHLGYGVKSGLPYVWRNERGNAVESLKKEWEGVKLMEEVVPFFQSMRLSQGAVTAEDCVIEMAAAVKERLEPLDPVFARASEAMVEWVKLWKVVTSRLSNSAA >CDP04092 pep chromosome:AUK_PRJEB4211_v1:9:4196354:4198989:1 gene:GSCOC_T00017385001 transcript:CDP04092 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLSNLLSKQPTQAIPTAQFFWVFRNLNSWAAAIRKASSSHEALKIYTQMQQKRVPFDSFSILFTLKSCTVLNNLPLVRHFHAHIVKIGFSSHVYVATSLLNAYVVACFEDACYLFDEMPERNIVTWNTMITGYSRYGELGKARVVFDQMPARDPSSWSAVISGYMGNCLWDDGLALFREMVVTEGLRPDQVVIGSVLPGCGHMGSVGLVFGKSIHGFVIKNKWELDVELGTCLVDMYAKCGFLKDACLVFEMMTYRNVVAWTALICGFAQHGCGADVFLIFQRMRECDVKPNELTFTGLLSACAQAGLVEEGRGYFRMIEEYGLRPRMQHYGCMVDLFGKAGLLGEAYEIIRAMPSEPNVVIWGSFLASCKLHKQFEMAERVIDRVMRTVRPENDGGVYTLISDLYVLNGNWGEAERVRRLMLNQSVRKARGSSFIRSGTI >CDP04305 pep chromosome:AUK_PRJEB4211_v1:9:2246555:2249104:1 gene:GSCOC_T00017651001 transcript:CDP04305 gene_biotype:protein_coding transcript_biotype:protein_coding MREHFEKYGEILEAVIISDKVTGRSKGYGFVTFKDAEAAKKACEDATPIINGRRANCNLASLGARRPRPSSNMPPPPPPQLQVGPRGGNTAAAGPANHLPWYYPGGAPPPAAAAPFHHHHQAVPIYGYSPTYIATDMSYSHKLSYTGGSYMNGHFSQVYPGQPMVGTNALMPMYPLYHFHQTQTMGLPAHMYSPTTPPPMASAPALISKPTSISPSTVCLAVE >CDP17608 pep chromosome:AUK_PRJEB4211_v1:9:7589408:7595719:-1 gene:GSCOC_T00005116001 transcript:CDP17608 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASGKRKQRIKWSKLYTFSCLNPRTTDNDAPNQQLLGQPGFSRVVFCNQPELHKIKPHKYPSNYVSTTKYNFMTFLPRALFEQFRRVANLYFLLGAALSIPKLAPFSPESMITPLVFVVGISMLKEAIEDWSRFLQDMDVNSRKVKVHSRNGYFVEKTWKELSVGDVVKNSDIEHRRIPASGKEPTIKGFNFRDDRLMDKMWIHGSNVHDMIMFFRVMALCHTGIPVEDGNSKRLKYEAESPEEITFLIAAQEFGFKFCQRTQSVMFLQELEPSSGIEVKREYKLLNLLEFNSARKRMSVIVSNEAGEIFLFCKGADNIIFDRLADNGRIYQQATTAHLSNYAEDGLRTMLFAYKKIEVVEYESWNTLFTKAKATVGTEREELLEHASEMIEKDLFLLGAVAVEDKLQKGVPQCIDKLAQAGLKLWLLTGDKRETAMNIGFACSLLRHDMKQFHLVLSKEAESSHQLKAVEEDILSQIRNSYQEIVKGNKKDAPSALIVDGKAMEVALRSEISNQFLQLAVMCDSVICCRVSPKQKALIARLVKEYTGKTTLAIGDGANDVGMIQEADIGVGISGMEGMQAVMASDFSLPQFYFLERLLIVHGHWCYKRISKMILYFIYKNVAFGLTLFYNEIYSNFSGDDLYDDWYMVLFNVLLTSLPVIALGVLEQDVSADVCLQFPALYQQGQRNICFSWKRIFGWILNATLSSVAIFTVTIYILSPAALRQDGKVADLAHIGTIMYTCVIWIVNCQIALIVTHFTWITHVLIWGSILCWYIFLVLYGMLLPEHSQGGFHILIEAIGTAPMYWIVTFLVVVVTLLPYFIFSIIQRSFYPMDDQVIQEIKYGRTDVSNKPMWLREQQNSRKRTQIGFSARVDAKIRHLKERLHRTKK >CDP17307 pep chromosome:AUK_PRJEB4211_v1:9:10397929:10408248:1 gene:GSCOC_T00003785001 transcript:CDP17307 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLMLLIFVVGFALCVESKYMVYNTSAKIVPDKLNVHLVPHSHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLIPALLADENRKFIYVEQAFFQRWWRDQSPAMQKIVRQLVDGGQLEFINGGWCMHDEAATHYIDMIDQTTLGHRYIKEQFNVTPRIGWQIDPFGHSAVQAYLLGAELGFDSFFFGRIDYQDRAKRKAEKALEVVWQGSKSLGSSAQIFAGAFPENYEPPSGFYFEVNDDSDIVQDDMNLFDYNVQDRVNDFVAAAFSQASHILANITRTNHVMWTMGTDFKYQYARTWFRNMDKLIHYVNEDGRVNALYSTPSIYTEAKYASKESWPLKTDDYFPYADCINAYWTGYFTSRPAIKRYVRILSGYYLAARQLEFFKGRNEAGPSTDSLGDALGIAQHHDVVTGTEQQHVANDYAKRLSIGYKEAEDVISTSLAYIAQSSSESGLKLQQCPLLNISYCPPSEVNLSPGKKLVVVIYNSLGWKRSEIVKIPVVSANVIVQDSTGKEIESQILPVVDAAMALREFYATANVGKSPVGGPLYWLAFKVVVPPLGFSTYTVTSGKRAATTSVREKFYRSDGNQNDAIEVGPGNLKLVYSGSDGKLTGYINGKNMVKSSLEQSYSYYVGDDGTKDVAPVVPQASGAYVFRPNSTFPIQSQEKIPITVLRGPLFDEVHQSVTSWIYQITRVYKEKQHAEVEFIVGPIPINDGLGKEVVTQLTTTIKNNKTFYTDSNGRDFLERIRDYRSDWNLQVNQPMAGNYYPINLGIYMKDKDTEFSILVDRSVGGSSIFDGQLELMLHRRLLVDDSRGVAEALNETVCIPSACKGLTVQGKLYFRIDPLGEGAKWRRSFGQEIYSPLLLAFSEQDGDEMTNFKVPTFTGIDPSYSLPDNVALITLQELANGEVLIRLAHLYEVGEDKDLSVPARVELKKLFPNKQIIQITETSLSANQKREDMEKKRLVWSAEGSTHKSQRVSRGGPVDPIKLVVELAPMEIRTFLINFSKKLSTL >CDP04203 pep chromosome:AUK_PRJEB4211_v1:9:3115298:3117090:1 gene:GSCOC_T00017519001 transcript:CDP04203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seipin-1 [Source:Projected from Arabidopsis thaliana (AT5G16460) UniProtKB/Swiss-Prot;Acc:Q9FFD9] MMEEGEEEVLLKNCFPIPNPTLYWFTKLVILQADVFYNCLVVLFSPFLFLLSLISETSQSHYQESKESKLTAESAVHAAAGVPSKLFRGCGVLLKKVFLGFLGAVHVCKILMLLLVVAVILGVGLVRFWAEEPVFIGERLHFDYTKAHPVAAFSFHCDSWCQGYTHQINYKKNVGVPVGHTFYVSLVFLMPESDYNREIGLFQVTAEVISRNGNIMARSSHPCMLRFRSWPIRTMQTFLMGLPLLLGIRAETQKVTVPMLKHKEDFPRTEAIKVTLIPRAGTDFLPQLYEAEILLKSELPWAKELVHRWKWTFYVWTSMHIYVLLLAILLRCSRPLILPVMRKTPSSTDIKQESEVKASSEQTEEKSSRDERYASVTLRRWRENRSKRKAMLLHQDMAETVVSSASSTKLTREDTGATLEDDDEDDTEDSESVWCW >CDP14507 pep chromosome:AUK_PRJEB4211_v1:9:22047072:22050096:-1 gene:GSCOC_T00041018001 transcript:CDP14507 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRQKQTDCILRMLNLNQPLNPGAGAGDDEEVYKILIYDRFCQDILSPLIHVKDLRKHGVTLYFLIDKDRKPVLDVPALYFVQPTPHNIRRIVLDASNSLYDSFHLNFSSSIPRPLLEDLASGTLNSDSIHRISKVHDQYLEFVTLEDNLFSLAHKACYLQLNDPSAGDKDIEDIVDRIVAGLFSVLATLSVVPVIRCPRGGPAEMVASRLDQRLQDHLLAKNNLFTEGGNFASSFQRPVLCIFDRNFELSVAIQHDFRYRPLVHDVLGLRLNKLNVQGEKGGMKSYELDGSDPFWVANGALEFPDVAVEIETQLNKYKKDVEEVNRKTGGGGDVDFDGNTKHLMNAVNSLPELTERKNVIDKHTNIATALLGEIKERSLDSYAKKESDMMVRGGIDRNELVGVLKGKGSKSDKLRFAIMYLISTENIPQSEIEMDKVVKCFVGISKCR >CDP04267 pep chromosome:AUK_PRJEB4211_v1:9:2547442:2551472:1 gene:GSCOC_T00017600001 transcript:CDP04267 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGALQLLSLLSSSPPPPRHNLCSQNFWKKSQNPSSQNNHTGFSFSPKAGGRVGGSEWERSKTSTSTHEPHRRSRPSYEFDFYEDEFGLGNARKQRRKWWSEDSSPWDTDIDDDDELLDGLGILEGSIGFSSIFKVLGSFGWMIPPIILSMLLGTGTDTFFMALVLPLAQSALSLLFDSVWGRPTNRHRTTSKSQKRKRTSAGATSSSRMKEEKQRRSQNGKRAGDYWSWGSNNISAEKGEERAQNFGGWDELDRAERMQEETNTARANQTRRDTEGKLSRRARNRHTPLLVRLLIAFFPFLGFWSKLL >CDP04477 pep chromosome:AUK_PRJEB4211_v1:9:681132:685700:-1 gene:GSCOC_T00017883001 transcript:CDP04477 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSFVEVPADSHFPLENLPYGVFKPEPHSPPRPAVAVGNHVLDLSVIASAGLFDGPILKNSDCFNQPNLNKFLELGRPAWKEARATLQKLLSATKPTLRDSASLRSKALVPMDKAQMLLPIVIGDYSDFFSSMHHAKNCGTIFRGPENAINPNWFQLPIAYHGRASSIVISGTDIIRPRGQAHPTGNSPPYFGPSRRLDFELEMAAVVGPGNELGKPIDVNDAADHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTVSPWIVTLDALEPFSCDAPIQNPPPLPYLAEKTSKNYDISLEVLIKPAGQEDSFVITRSNFKHLYWTITQQLAHHTINGCNLRSGDILGTGTISGPEPESFGCLLELTWNGQNPVSLGATTRRFLEDGDEVVFTGFCKGDGYNVGFGTCSGKILPAST >CDP14495 pep chromosome:AUK_PRJEB4211_v1:9:22106120:22109312:1 gene:GSCOC_T00041003001 transcript:CDP14495 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTAVVKSRGVAGNFVKMTDIRTKVLTFRDLLDLSPCIGSASVNELLIWTLQDLHRLYPSLKPSIPVSEIERASTDQVLRCFCDFLKSLGDSWINNGEWMVKCKYDASIKLNQNDLEDIAQSMLEDMNKLARERMFDMMDEDEQMNGYSPSETAFGKALSESYSDSKNSLSSSPATPTSVLQDMTNMLSKGTKQSYTPPLLLQLRVQAVEKLNPIDIKRLSFHMLPHAAAPDPNYPLQKCETFEGRRLELEAKHESVSKAAGTNEVNQDFEMEEDTFDVVMTNSDESAIEAGKTRTTIENLVTGPVPCTPAKGDIDVVPPPTSLPKLRSNVSEKENTPQIPVEYKLPLDKIASQGSAAPPPPPPPPPQMFPKNEVLLARQCQTQLLETICPTPPPPPPIPSPIAEGSIAITPPPPPPPPLPPVTSMSTTFFPPPPPPPPPPSTSNIPGSIAPPPPPPPPSSMPSGNFTFHPPPPPPPMGSGKIAPPPPPPPMGASNGGVPAPPPPMQPGMRGPPPPPPGLGGAKDPRLRKAATKLKRSSQMGNLYRLLKLKVEGGSNLDAKSSRKGKISSTSGGQQGMADALAEMTKRSAYFQQIEEDFKNHEKSIRELKVSINSFQCSDMTELHKFHKHVESILEKLTDETQVLARFEDFPTKKLEALRMAAALYSKLDTIITTLKNWQIESPVGPVIDKIEKYFSKIKQELDALERTKDEESKKFQSHKINFDFGILVRIKELMVDVSSSCMEQALKERRDAKAMENAQKGPKTECPKKRSGKMLWKAFQFAYRVYTFAGGHDDRADQLTRELASEIQTDPNH >CDP06347 pep chromosome:AUK_PRJEB4211_v1:9:14804178:14805160:-1 gene:GSCOC_T00023160001 transcript:CDP06347 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIHYFFLLKFSMVFLAVGAASNSNITTDQSALLALRDRMIASSEPQEILAKNWSVTSSVCDWMGVTCGPRHRRVTALNISNMKLTGDLPPQLGNLSFLVSLDLSSNNFHGELPRELAHLRRLRYLYLGINNLGGELPSWFGYLHKLQQLTLSNNSFSGSLPPSISNMSKLESLWLTDNSIEGTIPIEFQNLHSLKILIIDRNQLSGPLPLHTFNISSLQIISLFQNSLSGILPDTICHALHKLTYLGLGGNNLIGQMPSTLSECSMLQTLALPLNYLRGPIPKAIGNLTVLETLALESNNLRGKMY >CDP14517 pep chromosome:AUK_PRJEB4211_v1:9:21956387:21958222:1 gene:GSCOC_T00041031001 transcript:CDP14517 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 36 [Source:Projected from Arabidopsis thaliana (AT2G17040) UniProtKB/TrEMBL;Acc:F4IME8] MDDSTTSSDLELPGFRFHPTEEELLDFYLKSTVLGKKLHCDIIGCLNIYDHDPWDLPGLARIGEREWYFFVPRDRKRGSGGGGRPNRTTKRGFWKATGSDRKILSLSNPKKMIGLKKTLVFYTGRAPRGCKTDWVMNEYRLPDHHDRDHRTCHSLSGTPNAVVLCKIYRKATSLRVLEQRAGVDQQQPEEAKALSIPVLLHHHHHTSKLSSGLDKKHVAITPAITADDDEEDDEDDHDSLECKGKSRTCTNNLRLPSTTTTGKSGNKLAELQVPSRLSMDWTQDPFWAQLRSPWLDNLATPNYHANVLNF >CDP04180 pep chromosome:AUK_PRJEB4211_v1:9:3281636:3284068:-1 gene:GSCOC_T00017492001 transcript:CDP04180 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHFPLLFFFLLLIILSSSKPTYSIPISTTIQSPTLGPRSTDFNTVSSIHDVLKSHSLPIGLFPKSISEFAFDQVSGRFELHVTDSPCDAKFETQVRYEWNVSGTISYGRIQEISGLSAQELFLWLPVKGIQVDIPSSGLIYFDVGVVSKQFSLSFFETPRDCSAATAEEGGGNRRNELSQPIDLSFMKGRGRIIQKSFERSSKEHHEGNELTAVS >CDP17626 pep chromosome:AUK_PRJEB4211_v1:9:7818893:7820590:-1 gene:GSCOC_T00005139001 transcript:CDP17626 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTKFLAISLLLLSSFAIPIFCASNTTTTAPPPPPPQCGEAKVTGCHNRAEALKLKLVAIFAILVTSMIGICLPLFSRSVPSLQPDKNMFVLVKAFASGVILATGYMHVMPDSFDCLRSQCLPEKPWRKFPFTTFVAMLSALVTLMIDSFSMSYYKKCIADQSSSKDVKDGALESQHIGHGHRNLEAADDDDSAASQLLRYRVVAQVLEVGIVVHSVVIGLSMGASDNPCTIRPLVAALCFHQLFEGMGLGGCILQAEYGAKMKAIMVFFFSSTTPFGIALGIALSNVYSDDSPTALIVVGLLDACSAGLLNYMALVDLLASDFLGKKLQNSMMLQVWAYVAVLLGAGGMSLMAKWA >CDP04104 pep chromosome:AUK_PRJEB4211_v1:9:4043135:4046452:1 gene:GSCOC_T00017400001 transcript:CDP04104 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSAAFFPPFFFLLFSMLALTPIHRFNAAALSQDQDQDNDATWLLSFKASVYSDPSNLLGSWNTSPNPCSNSSSSSSWFGVSCDPISSRVVSLNLTIPSQGRQPNGFNILSGTLSSSLAHLTHLRILSLAHHAFSGRIPPQLGSLNSLQILELQGCNLSGSIPHSLFLSLSLHFLNLSHNSLSDSIPPGFLNSTGALAVLDLSNNLLSGALSSSKSSSNGCPQSLAYLSLSNNFLVGNIPANIGTCSNLTTLLLNGNLFEGKIPSTLGRLSRLQVLDISRNNLSRNKLSGYLPERLGELEGLQHVLLAENNLTGEIPNQIGELTSLLALNLSHNDLRGYIPTNLGNAKNLEIVLLDHNSISGEVPSSLAALSNLALLDLSFNNLSGPIPPFKHAINCDSFKGNPFLHSCPDHGVSAPPTGLPFPLKVRKGQNRSKLRSFVIGISTSVSVLVSILTVIFVFILGRRKLSRLASLRRKVVVTFTGAPPGLNYDNVIRATGNFSVGNLIGSGGFGSTYKAELVPGFLVAVKRLSIGRFQGIQQFDAEIRTLGRIRHKNLVTLMGYYVGKAEMFLVYNYLPGGNLDTFIHEETCMNKEWRVIHKIAVDVANALTYLHYSCVPRIVHRDIKPSNILLDEELNAYLSDFGLARLLEVFQTHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELLSGKKSLDPSFSEYGNGFNIVAWARLLVAEGRSFELFSPELWQSGPHDDLLAMLRLASSCTVESVSVRPSTKQVLDKLNQLNA >CDP04454 pep chromosome:AUK_PRJEB4211_v1:9:856200:856694:-1 gene:GSCOC_T00017850001 transcript:CDP04454 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTREAKKQKLQVRGCKALCCSCRLSVSSSEEAESSSSSDRYPTISSLAHAMVQERLDQMIKERQETRNEERRRRSRGVEGTKFVVMVAMEKSSYDPREDFRESIEQMITANRIYEPRDLRRLLNYYVSMNSEDYQGVILEVFHEVCTRLFLSCKHHLCRGIS >CDP04291 pep chromosome:AUK_PRJEB4211_v1:9:2365176:2366703:1 gene:GSCOC_T00017631001 transcript:CDP04291 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSTTTTTTTICAASQNQSLSPSSSPSLSPRAGSPKNGPPSPPPVILSPCAACKILRRRCAEKCVLAPYFPPTEPLKFTIAHKVFGASNIIKMLQELPEDQRADAVNSMVYEANSRIRDPVYGCAGAICQLQKQISELQAELAKAQAEMLNLQCQNDNLKSLICMGMAAQGDREIMSEQHLSCDNTSLFLDDANIYAAWEPLWT >CDP14484 pep chromosome:AUK_PRJEB4211_v1:9:22211925:22219746:-1 gene:GSCOC_T00040991001 transcript:CDP14484 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGELVAFPLLTMPIESNYRACTIPYRFPSDNPKKPTPTELSWIDLFLNSISSFRRRAESDDTVLDAPARAEKFAQRYAEILEDLKKDPESHGGPPDCVLLCQLREQVLRELGFRDIFKKVKDEENAKAISLFEDVVHLSDAIDDECKRMENLVRGIFAGNIFDLGSAQLAELFSKDGMSFLASCQNLVPRPWVIDDLDAFIAKWSKKPWKKAVIFVDNSGADIVLGILPFARELLRCGTQVVLAANDLPSINDVTYPELIDIVSKLKDNQGKLAGVDTSNLFIANSGNDLPVIDLTRISQELAYLASDAELVILEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKYNEVLG >CDP04552 pep chromosome:AUK_PRJEB4211_v1:9:106006:111524:-1 gene:GSCOC_T00017984001 transcript:CDP04552 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRLGKKLPINPPGLCQISIILHFFWEIKVTAVVLLLPLPLLLKELCASVLASIVLIRCSQKEMAEKGGKGFSLPKGSLKGKDDNSAKSKQGRKVQIDFEDVSDPLEPNSPKTNGKVNTPISKGDSGKGGKASKAASGGKNSVTKAPSPEFKIEEELPKNVKCLMDCEAAEILQGIQDRMVVISADPSIKIPISFDSGLTYAKRGGTFSNPQSVRKILEPLIKYGVSEGEMCMVANLKVESDDEVFALVPSLKSEEGKLRDPLKTALNELAKIQSSV >CDP18926 pep chromosome:AUK_PRJEB4211_v1:9:7259277:7264365:-1 gene:GSCOC_T00011299001 transcript:CDP18926 gene_biotype:protein_coding transcript_biotype:protein_coding MFKCSEIIEITNTFRTVIGRGGSGIVYLGCLEDGSNVAVKMLSSSSATRGQKQFQSEVEILIKIHHTNLVSFVGYCAEGRTMALICEYMANGNLRQHLSGKNLNPLSWKERVHIALDAAQGGLEYLHDGCNPPIIHRDLKSANILLNQKLQAKLADFGLSRIFASESDTHVTTAVAGTAGYLDPEYNYTFKFNEKSDVYSLGIVLLELITGKPAIIKGTDDVNIHIVEWVNKRVERGDIHEIMDPKLKGKFNINSAWKFLEAAMTCTMAMASQRMSAMELLVELKQCLAIELSEEIASRAADFPQDGGLEIDTAPSPR >CDP04195 pep chromosome:AUK_PRJEB4211_v1:9:3181474:3182495:-1 gene:GSCOC_T00017509001 transcript:CDP04195 gene_biotype:protein_coding transcript_biotype:protein_coding MITSAEKYSNTSKSSTRYPRMNQPKSVENQPSLFRSSAKPYRYIHQIHTNEDSPEKFSEQQLLITLGRQKLIIQVQLSKTRA >CDP04450 pep chromosome:AUK_PRJEB4211_v1:9:898709:903295:1 gene:GSCOC_T00017844001 transcript:CDP04450 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDKKPSKPSSSSRTGSGRIRTLSDLNRPSAGDDSDSDDGAPQEYYTGGEKSGMLVQDPSKGDDVDAIFDQARQMGAVQGPLENLRPSSSSRSFSGTGRSLNGEFVPSAPQQPEAVVHNIVFWRNGFTINDGPLRRLDDPENAPFLESIRRSECPKELEPADRRSQVSVNLTRREENYPEPEKRHAPFQGVGRTLGSTSSAVEVPEPTVSAPLNTAPSPSRGLVVDESLPSTSIQLRLADGTRLVAHFNYNHTVGDIRAFIDASRPGSARAYHLQTVGFPPKVLTDATQTIEQAGLANSVVIQKL >CDP04216 pep chromosome:AUK_PRJEB4211_v1:9:3043340:3045111:-1 gene:GSCOC_T00017537001 transcript:CDP04216 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIVKRVLLSMFIIIVFLFNLPTYSHANGLPEAVKNGTVVGEEMVPMIEPGKEMMLMLNESRRKLGSFQICALCTCCGARGGYCLPTPCCYAISCNIPNRPFGFCSFLPKTCNCFGCHI >CDP11236 pep chromosome:AUK_PRJEB4211_v1:9:6871310:6878657:-1 gene:GSCOC_T00033350001 transcript:CDP11236 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLEELVKTLEALELWMKLIKKTPQDYVDPTLDPVLLVPGIAGSILNAVDEKTGKEERVWVRILGADHEFRTKLWSLFDPSTGETISIDRDSRIEVPEDRFGLYAIDVLDPDMIIGRESVYYFHDMIVEMLKWGYQEGKTLFGFGYDFRQSNRFQGTLERFAAKLESVYTASGGKKINIISHSMGGLLVKCFLSLHSDIFEKYVKSWIAIAAPFRGAPGYITSTLLNGMSFVEGWQQNFFVSKWSMHQLLIECPSIYELLPCPDFHWENPPLLEVWREKTSVNGNSTVMLESFSPVEAVPIFTEALTCNMASCGDLKIPLPFNMEILRWSNETRKVLSSAKVPQTVKFYNIYGTNIETPHTVCYGSEDAPVSDLRQLPKDLANYVNVDGDGTVPVESAKADGLNAEARVGVPGEHREILCDRHVFRIVKHWLRADNDPFYNPINDYVILPTAFEIERFQEKGLEVTSLKEEWEMIEDDSDEQDEMTHQEMPLVASLSVSHVGGHEGSREEACGTIVVHPQHNGKKHVELNAMSLSANA >CDP05644 pep chromosome:AUK_PRJEB4211_v1:9:12695744:12698566:1 gene:GSCOC_T00020821001 transcript:CDP05644 gene_biotype:protein_coding transcript_biotype:protein_coding description:PID [Source:Projected from Arabidopsis thaliana (AT2G34650) UniProtKB/TrEMBL;Acc:A0A178VTE5] MLELYRSSDDQLIISEEGSGSNTYYTSSKSSMSSDSHCTSFSRLSFDLPSSSSPEDQQQQQQQQRQISLKPHRSSDSSFQALLRSAAFSRRLSFRDFSLVRQIGSGDISRVYLCRLRGGPERGRLYAMKVVDNEILAMKKKIQRAETERKIMKMLDHPFLPTLYAEFEASHFSCVVMEYCSGGDLHSLRHKQPHKRFSISSSRFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLTDFDLSLCSDAIPAVESPDFISDPSSSPSLDSQPRTPTPFSCISNRLFRSRKIQTLSTNRLFVAEPVSARSCSFVGTHEYVAPEVASGRSHGNAVDWWALGIFIYEMIYGRTPFAGPSNEATLRNIVKKPLAFPTDAPNSLSEYHARNLISGLLNKDPNRRLGSKRGAADVKTHPFFKGLNFALIRSVTPPGVPGMSGKQKTTASRHERKQPAAPFDFF >CDP04177 pep chromosome:AUK_PRJEB4211_v1:9:3305110:3310498:1 gene:GSCOC_T00017489001 transcript:CDP04177 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVYSPKSSSWILLLLTLSFLLTSSFAFQSDELLVDDEEFGLEGGSGSTPDVGSTIPARPSQPARKRSPDFSSGSDLDSKVQFTLEHAFGDSDFSPAGTFAARVKNSPHSGQTLTKLRFSRTDFTAADKDNFKKLLEADDFYRIRIPSNLLTSPGRDYVISSVKARCLPRHGLDEHIVIHMDGVNVLAVNYGSQGACQYPRHLKFPKRWLFNSHTVLKSGEQAPRTPVFSEETAVGENGEGEGVAPPERSFWAKYWMYLLPLGLIVMNAMTQAMNMPEEQQGGGQAGSQVQQRGQSAAVRRR >CDP04128 pep chromosome:AUK_PRJEB4211_v1:9:3776297:3796372:-1 gene:GSCOC_T00017430001 transcript:CDP04128 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] MAGAAGGFVTRAFDSMLKECANKKYTALQTAIQSYLENAKHSNQQSSSSATMQTASSLGDESLTDTQAGSAKDTTEPDDSTTPSQCSVAADPIGRPKSAGGTITVTLANAGNTLGGDDAELVLNPLRLAFETKNAKVVELALDCLHKLIAYDHLEGDPGLDGGINGPLFTDILNMVCSCVDNSSPDSTTLQVLKVLLTAVASAKFRVHGESLLGVIRVCYNIALNSKSPINQATAKAMLTQMLSIVFRRMENDQVPTSSVSVAHKEAAAKSESNLGNEPASSNDQNDRESTLGDAISINQEKDTSVASLEELQNLAGGADIKGLEAALEKAVHLEDGEKATKGIDLEGMSIGEHDALLLFRTLCKMGMKEDNDEVTTKTRILSLELLQGLLEGVSVSFTKNFHFIDSVKAYLSYALLRASVSRTTSIFQYATGIFSVLLSRFRESLKGEIGVFFPLIVLRPLDGSDLNQKQSVLRMLEKVCKDSQMLVDLFVNYDCDLEAPNLFERMATTLSRIAQGTQNMDPNSITASQMGSIKTSSLQCLVNVIKSLVNWEKAQRESGKLKESSEVENSAKESDDSKGREDQASNFEKLKAHKSTLEAAVAEFNRKQEKGIEFLISSGLVESTPASVAQFLRNTANLDKVKIGDYIGQHEEFPLAVMHAYVDSMNFSGMKFDAAIREFLRGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNSLVWPKMSKSDFLRMNALHDAEESAPTELLEEIYDSIVKEEIKMKDEPVGIAKSSKQKPEAEERGRIVSILNLALPKGKSSGDSQSESEAIVKQTQAFFRSQGRKRGAFYTSHQIELVRPMVEAVGWPLLATFAVTMEEGDNKPRVVLCMEGFKAGIHITHVLGMDTMRYAFLTSLIRFNFLHAPKEMRSKNVEALRTLITLCDTDTNALQESWLAVLECISRLDYLTSNPTAAATVMQGSNQISRDAILQSLRELAGKPAEQVFVNSVKLPSESVVEFFTGLCSVSAEELRQIPARVFSLQKLVEISYYNMARIRMVWARIWSVLASHFIYAGSHPDERVAMYAIDSLRQLGMKYLERAELANFTFQNDILKPFVILMRNSRSDSIRRLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDLELIVESAFENVEQVVLEHFDQVVGDCFMDCVNCLIGFANNKTSHRISLKAIALLRICEDRLAEGLIPGGALKPIDINAETTYDVTEHYWFPMLAGLSDLTSDPRPEVRNCALEVLFDLLNERGSKFTSSFWENIFHRVLFPIFDHVRQAGKENSVSAGDEWFRESSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKTDQSVVSLSLGALVHLIEVGGHQFSDRDWDTLLKSIRDAIYTTQPLELLNDMGLENSRHHTALTRNLEVISGDTPTTPSANNGPLDNHQQNGSDSGNTYSMVSTNAGDDYEGSEGVPSPSGGAQKSIDAGGLQRSQTFGQKFMGNMRDSLFLRSFTTKSRNPSSDVFIPSSPSKLSDIVEPDAKNEEESSLLGTIRSKCITQLLLLGAIDSIQTKYWNNLTTSQKISIMDILFSLLEFAASYNSYTNLRLRMQQIPAERPPMNLLRQELAGTCVYLDILQKTTAEVNGNIEEAHKKSINENGDNHLVTTGATTTEQIKDEKLQGIAEEKLVSFCRQVLMEASDFQSTMGETANMDIHRVLELRSPIVVKVLNGMCSMNSKIFRNNLREFYPLITKLVCCDQMDVRGALADLCSKQLTELLPKAQQPVH >CDP04376 pep chromosome:AUK_PRJEB4211_v1:9:1593371:1594582:-1 gene:GSCOC_T00017746001 transcript:CDP04376 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAPVEVGTRGTVGSLLKKEIEYFRRLEVDCCGSSVQPQRHVQDFTSTGIKSWPSFRFLNLTWRRKKRRGGTGSGVRPGMCSMVEVSDSHRLGEFPRFSYRNLRADMKEFEV >CDP04318 pep chromosome:AUK_PRJEB4211_v1:9:2132963:2133740:1 gene:GSCOC_T00017668001 transcript:CDP04318 gene_biotype:protein_coding transcript_biotype:protein_coding MALELTWLVKVFVLHPSNLRSNVPYSSDLEKCGENARMKLPAFNECSLDELKMAPSRFSIENIVSEHGDRARNVVYKGQFEDDASWIAVKHFNKFAWPDSRQFLVVITPFKQVCNYSLHKCDIILFMYVL >CDP17611 pep chromosome:AUK_PRJEB4211_v1:9:7657470:7667638:1 gene:GSCOC_T00005119001 transcript:CDP17611 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLWNIKKLLLSSFSQENPPFAEFAPSPPPMESSSCSILQPILGFSHCCNSRSTSTASRRLYYNRRFPETHLHNLHLFSHSSSNSSLFHAKRLQFMCNNASSSSTSSVDQNPPQKLAVLLEVEGVLMDVYRFGNRKAFNLAFRKLGLDCANWTEPIYSDLTRRSRGDEEKMLVIYFNRIGWPTSVPTNENAAFVKSVLREKKNALDELVMSKSFPLRPGVEDFIDDACKEGVPVVILTAYSKMGEKVTRSIIEKLGNDRMSMVKIIGNTDVEKSLYGQLVFGKGVSSSLDEQLAKEVAKAASAEKQRIAKEVASMLKLSVDIDTSSSESLQHVVATLRAGAEYAEVPLYNCVLIAGGQFGAAGAERISMPCIVLRSSFTARAEFPSANAVMDGFGGADLTISRMLKRRWS >CDP20842 pep chromosome:AUK_PRJEB4211_v1:9:4846331:4847911:-1 gene:GSCOC_T00013391001 transcript:CDP20842 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEKSVMVVGIDDSEHSFYALQWTLDHFFTSSPAVSPFRLVIVHAKPTPSSAVGLAGPGAADVLPYVDVDLKKIAARVLESAKEICSAKSVNDVAVEAVEGDARNVLCEAVEKHHASILVLGSHGYGAIKRAVLGSVSDYCVHHAHCTVMIVKKPKIKH >CDP05616 pep chromosome:AUK_PRJEB4211_v1:9:11324331:11332081:-1 gene:GSCOC_T00020765001 transcript:CDP05616 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIYYFFLFIFSFARLDVTASGNSSVTADQSALLAIRERMMTSGSHQILAKNWSVTSSVCDWIGVTCGSRHRRVTSLDISNMNLAGTIPPLLGNLSFLVLLNISGNNFHGELPRELVQLFRLRYLDFANNNLGGELPSWFGLLHKLQFLSLENNRFTGPIPPSIANMSSLENLWLSNNSIEGTIPIEFQNLHNLKNLDRRNPFKNIIIVVTCIIQTHDSCTFAGAVPDEVWNLTLLSSLDFGDNKLTGGIPEKIGNLQRLELLNLGNNTLSGSMPAGIFNISSLQYIGLHRNKFSGTIPLTMSSKLSKLKFLYLCQNYLSGVIPNTISNASQLVYLLLHGNELTGSVPSSLGSLRNLQFLLLASNRLSSESSNPELSFFTFLTTCRFLRYLTVDQNPLNGFLPSSLSNYSTSLEVLHAANCQIKGNIPVGISNLSTLLKLDFSSNELIGSVPKTINRLSVPPCQSNSTRRSSKRKVLLLVISMLGTAAILTAVGVAILILRWLKKPKVSGGTKSMSLAKYGRFSYYDLLHSTDNYRDSNLVGKGSFGSVYKGILSDDTVVAIKVFNLQVEGSLKSFDKECNVLKSLRHRNLTKVLGSCSNPDFKALVLKYMPNGNLEEWLYLHNNFLDLTQRVNILIDVACALEYLHYGYDTPVVHCDLKPTNILLDEDMVAHVSDFSIAKMFREGESILYTDTLATLGYIAPEYGSEGIVSTRIDVYSFGIVSMETFSRMKPSDDMFLGDLSLKSWVENSLPDALQVIDENLIRPEDEHFTDKLNCVILIMKLAVNCCRESPGERMNMKDVLVELKKIKQQLLLTASA >CDP12608 pep chromosome:AUK_PRJEB4211_v1:9:8709377:8715507:-1 gene:GSCOC_T00036287001 transcript:CDP12608 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKLSSLSRRNLHFLQLLLQYQWQLCVLLMVFNLQKSLLAAEEPQEFVDYGYTVKSVGINSAGNSLTAHLELIKNSTVFGPDLPQLLLTASFETNGRLRIRITDPNSRRWEVPYDILPRQPPPPPPHTPPQPPHNRHLLSDRTSDLEFRLSSTTPFGFSVSRLSTGETLFDTTPETNNPNTFLIFKDQYLQLSSSLPSDGANLYGLGEHTKSSFQLKYNQTLTLWNADILSTNIDVNLYGFHPFYMDVRSPNGRSHGVLLLNSNGMDVVYTGDRITYKVIGGIIDLYIFAGPTPNMVVDQYTQLIGRPAAMPYWSFGFHQCRWGYKNVSNLEAVVANYAKAGIPLEVMWTDIDYMDGFKDFTLDPVNFPFDKMKNFVDALHLNSQKYVLIVDPGISINETYGTYIRGKAEDIFIKRDNISYQGVVWPGNVYFPDFVNPAAGLFWSNEINQFHNIVSFDGLWIDMNELSNFITSSPTPSSPLDDPPYKINNHGAKKSITYLTVPATAMHFYDFTEYNVHNLYGFLESRATNQALVKLKGKRPFVLSRSTFVGSGKFTAHWTGDNAATWDDLAYSIPTILSFGLFGIPMVGADICGFSLNTTEELCRRWIQLGAFYPFARDHTTKDSNSQELYLWDSVAASAKKVLGLRYRLLPYFYMLMYEAHTKGTPIARPLFFSFPEDVNTYGISSQYLLGKGVMISPVLKPGAVTVDAYFPAGNWFSLFNHSQSVSLSQGKYVTLDAPLDHINVHVREGNVLAMQGEAMTTEAARKTQFNLLVVLSKNENSTGELFLDDGEEVEMGSEKGRWTLVQFNSHVYKSFVRLETKVVNGNFASSQKWTINKVTFLGLENVRALKGYQISTSNAQRRNQNAGISSSFKLSGQFASVEVSGLNTLIEEEFKLELNLSV >CDP04179 pep chromosome:AUK_PRJEB4211_v1:9:3284967:3290265:-1 gene:GSCOC_T00017491001 transcript:CDP04179 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVELRRLNKELQLQKRSLACRLSSLESQLATSAKVSESDIVEKIKEEASLLRHTNQDLCKQVEGLQMSRLNEVEELAYLRWVNSCLRNELRNCSNLNSDTICSPYAIERPRESICLSSNGSDEDSGYSSISRLNLIKKLKKWPITDEDMQQLDHTDNFRDPNWDNSESSMRRHSISGLKCYSQDFMLDKRRQSDGFMRFKEPTKEVQMLDSQKYDLRANKLPEFLSSCQDACRVKASLDVEKRALRIPNPPPRPSCTASSTQKAQISSQVPAPPPPPPPPPPPPKFIGRNMTGTVKRAPQVVEFYHSLMKRDSRKDSLNGGTCDASDVANVRSSMIGEIENRSSYLLAIKADVETQGEFVNSLIQEVNNAMYQNIEDVVAFVKWLDDELCFLVDERAVLKHFEWPEKKADTLREAAFGFRDLKKLEHEIENYIDDPQLSCDAALRKTVALSEKMERTVYNLLGMRDLMIRRCKEFQIPTDWMLDTGILSKIKLASVKLAKVYMRRVAMELQSKGALDKESSMDYMLLQGVRFAFRIHQFAGGFDADTMHAFEELRNLSVVLNRK >CDP04419 pep chromosome:AUK_PRJEB4211_v1:9:1181264:1185183:-1 gene:GSCOC_T00017801001 transcript:CDP04419 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHSLNELVHPHGTLVSCTKFWVFLGIRILAKMRGGLWQLGQSITRRLVQADKKTIARRCFASEADLKKTALYDFHVANGGKMVPFAGWSMPIQYKDSIMDSTVNCRENGSLFDVSHMCGLSLKGKDTVPFLEKLVIADVAGLDPGTGTLTVFTNEKGGAIDDSVITKVTNEHIYLVVNAGCRDKDLAHIEEHMKSFTSKGGDVSWHIHDERSLLALQGPLATPVLQHLTKDDLSKLYFGEFRILDINGATCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHTTPVEAGLTWAIGKRRRAEGGFLGADVILKQLEEGPPVRRVGLFSSGPPARSHSEIQNEKGDNIGEVTSGGFSPCLKKNIAMGYVKSGNHKAGTKVKIVVRGKSYDGAVTKMPFVPTKYYKPS >CDP17603 pep chromosome:AUK_PRJEB4211_v1:9:7549988:7552307:-1 gene:GSCOC_T00005111001 transcript:CDP17603 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEFYEGVVDAFDPLSKKHKVLYVDGNEENLNLEKESWFLLGNTSCHQVSCLISYFFFIMLFVVFLLSPPPPPKKNKTKKISLPLIY >CDP04392 pep chromosome:AUK_PRJEB4211_v1:9:1418360:1425797:1 gene:GSCOC_T00017767001 transcript:CDP04392 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKGAGMSGGEPQSSNFYLHSVFLLLLCLFTSYCSSSSYIKSSVIAQRKHALLPEISPSEAPQPLLPLLAPSPFSPFTNSSIPKLSGVCILNFAAVESMMRMTSIDCVGGFAPYLANVICCPQVDATLTVLVGQSSKDTNMLALNGTLAEPCLSDFEEILVGQGANDSLPRICSIHPSNLTEGSCPVGDVNAFESTVDSSSLLAACGKIDTVNECCKQVCQNAIAEAAGKLAVKTYDLMSIGGSHALADHSTRINDCRTIVLRWLASKLDPSHAKEVLRGLSNCKINKVCPLVFPNMSHVIKSCGSELHDQRACCKSVESYVSHLQNQSFVTNLQALNCAASLGLKLQKANITKNIYNLCRVSLKDFSVQVTPQGVESGCLLPSLPSDAVFDSSTGLSFLCDLNDNIPAPWPAPSQLPASSCNKTVKIPALPAAASGQSRICSADQASHALFVVLAIFALLMKI >CDP18173 pep chromosome:AUK_PRJEB4211_v1:9:4494816:4498703:1 gene:GSCOC_T00007072001 transcript:CDP18173 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEVAAAKVASIFIYPIKSCRGISVSEAPLASTGFRWDRLWMVVNSKGRAYSQRVEPKLALVEVEMPIEAFSDVWEPNNNSILGDSTSKLLKILILPVAFDRRFSFSAQCGPKYLAGDQCSLHPTVVRATGMDLLKIPLAEPSTIADGVSIWEWAGGALDEGNEASEWFSRFLGKPSRLVRFNEASETRPVYSDPVRSYKIKFNDMYPFLLASQGSLDALNDRLEEPIPMNRFRPNILVEGCEPFSEDLWKEISINRLTFYAGELCYRCKIPSINQETAVAGSEPTETLRKFRSDKVLCPDKKPQGRVYFGKMLVCKDSLTQWKSKKIQVGDPVYIHELLPSYNDAAA >CDP04335 pep chromosome:AUK_PRJEB4211_v1:9:2000775:2012060:-1 gene:GSCOC_T00017690001 transcript:CDP04335 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRVDVDGLPTKGLVEVNQDHVRDDQRAVKNEITGDGHGISEEGESRTNEEVNNGRIDLVQAQTVLLAQHHQQQQPQGPVVRWERFLPFRSLKVLLVENDDSTRHVVSALLRNCSYEVTAVSNGVEAWKLLEDLTNHIDLVLTEVAMPCLSGIGLLSKIMNHKTCKNIPVIMMSSNDSMGIVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSGSGSESGIRTQKSTKSKSVEGSENNTDSNDEDDNGSIGLNYRDGSDNGSGTQQSSWSKRAVEVDSPQRMSPWDDLADPPDSTCAQVIHSRPEAHSSNWVPVNATRKAHECDDDPDNVAMGKDLRIGVPRDPDLQLKDQSDEVQGNMASTEKHKFSTPDIKKDGEKMEKGMVEYRNEMAKDEIQDKDNDLMGAITNITMTHGGNAHNEVQNDPSKIAVQKDMDLYNAKELPSLELSLKRLRDVGNGGNSTQERNILRHSDHSAFSRYNTTSTTNQAPTGIVGSCSPVNNSSEAAKTESMQNLQSNSSGTPNQGSNGSSNNNDMGSTTNNAFTKVETFGEKTTPRAAVSVRPCSAFQPVQNGYDTSPQPMTAGKPDSAKTMVAQARAMHQQPQVEHHHHHHYHHHHHHVHSLQQQQQLLNGDDLSLRNMMSGAPQCGPSNMLGVAIEGNAANYGSASGSNNGSNGQNGSSGQNGSSTAVIVEGTNVASDNGATGKCGAGGGIASGSRSCVDQDRLSQREAALYKFRQKRKERCFEKKVRYQSRKKLAEQRPRVRGQFVRQTVDDNKSKDRDKDPDS >CDP04563 pep chromosome:AUK_PRJEB4211_v1:9:34437:38530:1 gene:GSCOC_T00017997001 transcript:CDP04563 gene_biotype:protein_coding transcript_biotype:protein_coding MNACPATTALPSTFYARVKRKSGGSSFPSTRCTYTKRRYLISLATGSVVVETTPSQQETYSNSVVRRLILLRHADSSWENRSLRDHDRPLTKNGREDAIKVSQKLQLLGWIPELILSSDSRRTRETLNIMRKEVRGFLEAEVHFLSSFYSVAAMDGQTAEHLRQAISEYSTDEILTVMCMGHNKGWEEAASTFSGVSVELKTCNAALLEAAGKTWEEAFALAGIGGWKLHGIVKPDAGL >CDP14504 pep chromosome:AUK_PRJEB4211_v1:9:22056199:22060789:1 gene:GSCOC_T00041015001 transcript:CDP14504 gene_biotype:protein_coding transcript_biotype:protein_coding MENTAVLREWYDRVDSDKTGGITATQLQTAFGIGNLQFPLSVVQQMIRMYDFDRNGTMSFPEFVELNKFLLKVQQAFADLERGRGYLVPDDVYKGLVKIGISLDSPAFYTVCESFDQKRSGRFRLDGFISLCIFVQSARNLFSSFDTSNQGRVSLDLNQFIYCTANCRI >CDP04231 pep chromosome:AUK_PRJEB4211_v1:9:2926279:2929842:-1 gene:GSCOC_T00017555001 transcript:CDP04231 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVSLKTSKPLLFQSKLLCFSLFYFFSSLFLALYTTLSNTKCLFRSSPFDPIQYSLFSYPSSYGEHKYAIPTLRSSCDSPVYFSDYAAVSQEIQDLCRNSTALGSRTLNYMQRNAQSFGGNFSTQKRFSFFDHPRDGAEIPCGFFQGIPITFHDRAIMDRCDRVVVVSAIFGDHDKIRQPRGLGAKTLDSVCFFMFVDEVTLDRLDYHNLISRKVKEPKVGVWRIVKVASEKLYESAAMNGVIFKYLVHRLFPNSKYSIWIDAKLQLVIDPLLLVHSLVIQEDADMAISKHPFFLHTMEEAMATARWKKWWDVDGLKSQMETYCENGLEPWSPNKPYPSDVPDTALIIRKHSMATNLFSCLVFNELEAFNPRDQLAFAYVRDHMNPTLKMNMFDVEVFEQVAIEYRHNLKQGGPSVPKGPKINRASFDLFVNKTCSKCEQYLLKMWGESHD >CDP04487 pep chromosome:AUK_PRJEB4211_v1:9:597441:599277:1 gene:GSCOC_T00017901001 transcript:CDP04487 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLPRELITDILTRLPVKSLLRFRCVSKPWCSLIDSRSFIKMHLLQSKKTGSNLFLMLGFLGIYSVELDSLDAANRLRPPYSASDVSNSCNGLILVLAKIPFIWNPFTRKYRELPATPVEQPVDFEVGSAYVTHGFAYDAVNDDYRVVRVEEFRGFDSEWIRSEAKLYSSKSDKWRKIQNFPYQLPYKRAWGAHLNGVLHTGVRTGDLGYFDSSIWAFDVRTEQHYTLPKPDFTGTDLEFTVEVLGGCLCLVRPRKRYRTDVWIMKEYGVKESWTKLLTIAPPLVERYITIGPLAYSRNGEEVLLNHDDKQLIWYDLRRKTVRNVSVDGLPFVFYAEVCVASLIQPDVSGEGDETEELRQQEKSRVKKRVDFLSEGFKLVL >CDP11251 pep chromosome:AUK_PRJEB4211_v1:9:6613652:6621556:-1 gene:GSCOC_T00033374001 transcript:CDP11251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit alpha 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18820) UniProtKB/Swiss-Prot;Acc:Q56XV8] MSLLSFSLKPPPFSGITEKPNALGFLRRNKVDNLIMNMNWRKKINRKMMIVRAGPKKINQGRECREALLKGINKVADAVSVTIGPRGRNVVLLESDTLKVINDGVTIARAIELSDAIENAGAMLIQEVATKMNDSAGDGTTTAIILAQEMIKLGLLAASFGANPESLKNGMQKTVKELVKILKKKSYPVKGRKDIQAVASISAGNDKYIGDIIAEAIDKIGPDGVISIESSPTFETSVTVEEGMKIDKGYMSPYFITNVDKSLVEFENAKVMVTDQRISSAREIVSMLEKVTQLSVPLLIIAEDISKVVLEMLVVNKSKGILNVAVVKCPGFGEGKKALLQDISLMTGADFLSGDLGLTLEGATSDQLGIARKVSITSNSTTIVADPSTKAEIQARIMEIKKNLAETDSKYLSQKLSERIAKLCGGVAVIKVGAHTEVELEDRKLRIEDAKNATFAAMDEGIVPGGGAAFIHLSKEVPIIKEQFQEPDEQLGAEIIQMALLAPANYIAANAGVDGAVVVEKIRACDWQIGYNALTGQYEDLLRAGVVDPCKISRYALQNAVSVAGLVLSTQAILVEKTKKPKPLVPDIPGISP >CDP14520 pep chromosome:AUK_PRJEB4211_v1:9:21917734:21921118:1 gene:GSCOC_T00041034001 transcript:CDP14520 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVVDLACLLCLLLLISSSSTATACDRCVHSTKAAFFNKASALQSGACGYGSMATSFNAGHLAAAVPAIYKDGAGCGACFQIRCKNTQLCTKQGAKVIVTDLNKNNNETDFVLSSRAFRAMAVQGKDQDILKLGILDVEYKRVPCDYRRNLGIRVEESSQKRSNYLAIKFLYQGGQTEIVGVDVAQVDSPNWTFMSRNYGAVWDSSRVPSGALQLRVVVTAGYDGKFVWAKNALPADWKNGMVYDSGVQITDVAQEGCSPCDDGSWPATARLI >CDP04296 pep chromosome:AUK_PRJEB4211_v1:9:2313143:2317913:-1 gene:GSCOC_T00017639001 transcript:CDP04296 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIVKQELSFIYGDLEGEKLIDITEEDDDLLINSDYFDSLEDQCIRLSVRYDNPNKHEGFKSPESQPSQEIESTPLEKLQQEGQKLSCHDFVPARPSYLRQSLAWDSAFFTSAGILDGDELTFINEGFRTAEMQRPPDTVLSSLLKRKTGSRNSTVSDSTSTSDAAVRYLSKSKGLRNCRLSTQSLSLSNNFSSVSPAGSSFGMSSSGPGSRTKQKSNDTEVHFDTPVTLTRTNAQDEVNPSPHLTNNLHRKFAACLLNKQTNSLVLQSKQGSADASHVPTESRKKLKPSYLRMPSPKIGFFDEVLLFHFEMQCTPPTKSGPTSRKTPDKPLKARTSSETRCMKHGSQSTNPVKDIKLRDVSSDGKLKSCSPQGWSTKKSGSDSSLRFQSGTCGETEEKFCSKCRKVHSGKCEQKRVGHTDERTRVKGRLNSRLSRDAKVQRTDPVLQPQPSAPVKKEKNSCKQHNANNSHSSVEDKAKILANLRDQVNDLSRYFEVIDLSREMLTDQKEHDNGRPVSQVDNNISKLNGDNNVIMAHGQKQDVLPNTVPGSSPPSSSRTPLADKTSISNATGLFTQSTTIEKLAEKPSKSPAPEGLPNYKENTLALYEL >CDP18919 pep chromosome:AUK_PRJEB4211_v1:9:7078872:7080356:1 gene:GSCOC_T00011290001 transcript:CDP18919 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLGKTTLAAAVYEHPSVDTCFEVRAWGNLSQVYQKETLLSSILDQVHANPSHDISGEDVGQMLYQSLKRRRYLIVLDNTWNIETWSGLRSIFPDDENGSRILFTTRSHGVAAQANSFPYALRLLSNEESCELLWLKLFNGETCPGELSSISKRIACSCKGLPLAVVLIAGALNRTKKEKDSWEKVAKTFIRSQSIQERIWDILEESYKLLPDHLKPCFWYLGTFPEGTTISVSKLMRLWISEGFIQQPNSGQRSLIQEAETCLKDLIDRSLVLVTRKGSKGGVKECRVHDVLREFCLAKLKQERYEMQEHIFPGGISVLYGDFRRPEQNLLSTFPPTKEPQISSLTYYDMTDIEKESRAREDLLKQKELRDLHPRIRDGTLSEQAIFSDLIPVGRGLLSEQVPNRYRRLHYGFVIKYKYLRVLDLANVLFQNSADTSDLVNIANLAYLRYLAVRIRTTETPSEIGNLRNLKTFHLSGAIGRVTLPEAIWKLV >CDP11224 pep chromosome:AUK_PRJEB4211_v1:9:7025734:7030664:1 gene:GSCOC_T00033334001 transcript:CDP11224 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKLAEQLMQIMLVSSAVVAFISGYVLGSFQIMLLIYAAGVLLTSLITVPNWPFLNRHPLKWLDPSEAEKHPKPVSANTSSKKKAGKKSMAETMSVSPTNFHPTNSSLPACCFLLKCIHRGQVLPTQFELLLHQHHCNRIILRVGLRQWTITVTDHSFEEGWDAFCEHNIVKRHDTLLLRHSGNLIFDVIHFCELQKQVLLPWTVPLPDLLHMNVAASRDDIHTPTRQQQVASSLRPNFCQDLSDSICFYQIFNSATPNSLKIPRFIDHFINGSKTPMLLINTGNKSTQIGVKHERLHQNWRDFILEHQLQHNETLVFVPESENIFTALIFDDTGVEKVFPWYHTFNIYSHA >CDP17615 pep chromosome:AUK_PRJEB4211_v1:9:7691269:7694030:1 gene:GSCOC_T00005124001 transcript:CDP17615 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGGNNVLRDYRKGNWTVQETMVLIEAKRMDDERRMKRQGDSVGGEKPGGKPAELRWKWVEDYCWRNGCLRSQNQCNDKWDNLMRDFKKVREYERRLADHQKQLITIGESSSERERSDEKSYWKLEKNERKANNLPSNLLPQIYDALVEVVERKVQRGSVVGLVGAGGTTASIPNVPPSSAAALVGQPSSLPPSMQHLPISPPVSALPLPPPVVAQTPVQQQQQQQQHPHTQPFSQQLPTVVNAINYLKLEILSDSDTSEHSDSPAKRRRRGEGTSGGASGSESHEVGTAISRSASVIAETIQACEEREERRHRELLSLHERRLQIEESKAEISRQGINGLVDAVNKLANSILALASHKTQSTPK >CDP04280 pep chromosome:AUK_PRJEB4211_v1:9:2435405:2436993:1 gene:GSCOC_T00017618001 transcript:CDP04280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 39 [Source:Projected from Arabidopsis thaliana (AT3G04370) UniProtKB/Swiss-Prot;Acc:Q6E263] MDPSKTLNHLHFFLPTFVLCYCMILQSVYTSNLDYKQLVYNHCANQTSEDHTTVSLSSILPALFQELLEHSTKSKFFETNAGNDKVAVSGLFQCRGDLSNKDCYSCVNKLPEVSNKLCSQSLPARVQLSGCSIHYRADGVQTSGLQLLHKSCSGQTGSLGFPERRDAAFASVQECIMSGQGFCEEICESIHVMAQCDGNLGACDCGECVNTAVEIAQETCGYSVSGEIYLDGCFVSYKYQGNEFNGDWNEDKGSFGRNSNKLIAIVIGGIAVVLTGAGLCYFCRSWGRKKDGKYNSVDYVRGLMSPNLPSISIFQQYTSNWQPLYVVPHQLLITNAF >CDP04358 pep chromosome:AUK_PRJEB4211_v1:9:1747347:1750110:1 gene:GSCOC_T00017720001 transcript:CDP04358 gene_biotype:protein_coding transcript_biotype:protein_coding MATATYPPPPPFYRLYKKYSEDPKSAPEPPPPIEGNYQLFGATYTTDDVLPSLEEQGVRQLYPKGPNVDFKKELRALNRELQLHILELADILIERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHILEIQIQRRKQAVEDIKRRREEAQRLLKEALGTLDGQ >CDP04240 pep chromosome:AUK_PRJEB4211_v1:9:2785554:2786194:-1 gene:GSCOC_T00017570001 transcript:CDP04240 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLHFQVRDATGNQLLGSPWRIILGDNNPFSFLLQPLNGIPCIPFSAGQPQDEQLLEVILPLLKHLSQQKDVRPVLYERFHMPEGFQRHGIPASWWGNYEGIVKN >CDP17612 pep chromosome:AUK_PRJEB4211_v1:9:7667999:7668305:1 gene:GSCOC_T00005120001 transcript:CDP17612 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNLKDYARRVVVKKAREQAGKAVYSYEGQVSCRFVMVFHHDNDSMPRVWTGKEDVKAITRRALCSNLQRYSVLQENDA >CDP11235 pep chromosome:AUK_PRJEB4211_v1:9:6879578:6884147:1 gene:GSCOC_T00033349001 transcript:CDP11235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45040) UniProtKB/Swiss-Prot;Acc:Q93VA3] MQLLYLRPSCNTNICSFSTQSKNVSSRRQNQVAQIPKEQQLNFLKTVAAPLMAAILAFSPLLHPPVSLGQTIDIQKGANLFRQACIGCHDSGGNIIQPGATLFLKDLQRNGNDTEEEIYRVTYFGKGRMPGFGQNCTPRGQCTFGPRLQEEDIKLLAAFVKLQADQGWPNVENSGD >CDP14532 pep chromosome:AUK_PRJEB4211_v1:9:21773248:21777444:-1 gene:GSCOC_T00041047001 transcript:CDP14532 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFSSSSFNRSFKSNGRKISIENTEPPDHEKQPILSDHHHHHHSHPTTMASPSSSEVIVKIDGPDHARDAGHGGTNNQVWREPSIEFWKDNDSASPGGLDYRHHPTNANDMDVVVPDPLSKLIGQFLNKQRAAGAELSLDVDLEVDELHRDQQDGRSSCTSINDNCRNRNPPNFPPLSGDYQTRNADHNDDYELKVSYQQAPAAMSIGSTPTHKVIDIAPDERRRQFSKEIESSSDDDDHDEELEEEENNEKVHPLQEFHRRSNTDANNSNDGHNGVDDVQVLRCTSIQRRTGVLGRMKTKSRLIDPSPERPERRSGMIGKPSGPIRVSGMLGRASGMLGKQPAPPDDEEDDPLFDEDLPEEYRKANLNALTLLQWISLFLIVSALACTLAIPRWKKMKLRGLQLWKWEVLVLVLICGRLVSGWGIRIVVLLIERNFLLRKRVLYFVYGIRKPVQNCIWLGLVLISWQCLFDKKVEGSNSFLRFVNKLMVSMLLATILWLVKTLMVKVLASSFHVSTFFDRIQESLFNQYVIEMLSGPPLIEIKAIREEEDGTMDEVWKLQNAGATLPPDLRPPAFHSAKSGKLSGKLMGTAGLPPRPSRTFSVKISSPMAKHHDDPGITIDDLHRLNPKNISAWNMKRLMNMVRHGVLSTLDEQIMGSSHHDESATQIRSECEAKVAARKIFRNVAKPRAKFIYLEDMMHFLREEEALKIMNIVEGSPESEKISRASLRNWVVNAFRERKALALTLNDTKTAVNKLHQMVNVIVGIIIVIICLVILGIATSKLLLFVSSQVVVVAFIFGNTCKTIFEAIIFLFVMHPFDVGDRCEIDGVQMVVEEMNILTTVFLRFDNQKILYPNSTLATKPIHNYYRSPDMGDSVDFVVHIATPAEKIAIMKQRIISYVESKKEHWYSSPTVVLMDLEGLNRLKMSVWIRHRMNYQDMGTRWQRRALLIEEMINIFKELDIDYRLLPLDINVRTLPPVNSNRFPSTWPTSSS >CDP12626 pep chromosome:AUK_PRJEB4211_v1:9:8436936:8441674:-1 gene:GSCOC_T00036315001 transcript:CDP12626 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPDPLFALRNNFYLGAYQAAINNSDVPNLSSEEAVERDSLVYRSYIALGSYQLVINEIDSSAATPLQAVKLLASYFASPANKEATISSIKEWLGDPAIGSNPILRLIAGIIFMHEEDYNEALKHTNAGGTMELHALNVQIFLKMYRSDYAEKQLRIMQQIDEDHTLTQLANAWMNIAVGGSKIQEAYLIFQDFSEKYQSTCLVLNGKAVCCMHMGNFDDAETLLLDALNKASFSLQIIFYIGSQDH >CDP05618 pep chromosome:AUK_PRJEB4211_v1:9:11665393:11666593:1 gene:GSCOC_T00020772001 transcript:CDP05618 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIIVTPSSLVIACQRHYEPYSYREESQGREAGFQNFPTTEVRGGYALPFHVSFINDQHTHKIVVDSENEVDLMVQTRHLQGVIVLVIRGLAQRFNSTSPNSLLKIDN >CDP17598 pep chromosome:AUK_PRJEB4211_v1:9:7482601:7484900:-1 gene:GSCOC_T00005104001 transcript:CDP17598 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAEECRRIKNIPLSIMGCKDRLVWPYSATGEYCVKTGYMLAREMQKEKMKVQQKEECSKRGGDAGVWKFI >CDP17624 pep chromosome:AUK_PRJEB4211_v1:9:7789569:7796440:-1 gene:GSCOC_T00005137001 transcript:CDP17624 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKGISVAAPGESGSGFSGIGVVMERSANAAPIQVQKKLDFYVAESVANYLALMDGLVEAKENKIRKVFAFTDSKILHDQVMHGERLEDPLLMALKQRILEHTCDLEAFTLDFVPRININALGLAQVAVGVIAFPAEGNQSTQSCSICCDEKLASMMMTMKCSHKFCSHCMKQYVEDKIQFSQVPVRCPQLKCKYYLSATECKTFLPVISYQSLEKALADANILNSDSMYCPYPNCSVLLDPNECSSSRASSSSNSENSCVECPVCQRFICVDCGVPWHSSTTCEEYQSLPLEERDGSDITLCHPAQNKRWRRCGQCHRMIELMHGRYRMRCWCGHEFCYSCGSNYCHGQQTCQCTFWDEDYSEDLVNHPPQEFEQWAWDSFEPLPLMMDAYSDQERSQLALIQRFLAGGFSLTDSQPDQSPPRCTDTYAETMKNLHQLPWLERFVSVISDDFYEDYFQ >CDP17294 pep chromosome:AUK_PRJEB4211_v1:9:10103511:10108430:-1 gene:GSCOC_T00003768001 transcript:CDP17294 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIGVLALACCFFCALGQLPSQDILTLLEFKKGIKHDPTGYVLQSWNEESIDFNGCPSSWNGIMCNAGSVAAVVLDNLGLLADADLSVFSNLTMLVKLSMSNNSISGKLPNNVGDFKSLEYLDLSDNLFFSTLPPGIGNLGRLKNLSLAGNNFSGSIPDAISGLGSIQSLDLSDNSFSGEVPSSFMKLTNLVSLNLSLNGFIKKIPKGFELVANLQVLDLHGNMLDGNLDPEFLLLTTATHVDFSGNLLASPSQQQKFLPGISETVKYLNLSHNQLTGSLVDEAQIFGNLKVLDLSYNQLYGDLPGFTFVYDLEVLKLSNNRFSGFIPNDLLKSGSLVLTELDLSGNNLTGPVSMITSTTLQTLNLSSNALSGELPLVTGSCVIIDLSSNQFEGNLTRMLKWGNLEIIDLSKNRLTGPIPEATAQFLRLNYLNVSHNLLNGSLPKVLTQFPKIGTLDLSFNLLNGPLLSSLLTVPTLQELHLQRNTLSGSIDFFPPSSNESNLRILDLSHNQFSGNFPDGLGSLSRLQGLNIAGNNLSGSLPASVGDIKSLDSLDISQNHFTGPLPKNLPDSLQSFNASLNDLSGVVPENLRKFPLSSFYPGNSELQFPNPPTGSNQVPAENHRKGHFKPIVKVVIIIACVIALVIIILLAIFLHYIRMSRRPLPIASKDVRHQAPQNLSTFSGRDSAGSLVVSAEDMMTTRKGSSSEIISPDEKMAAITGFSPSKGSGFSPSKGSGFSWSPESGDSYTAENLARLDIRSPERLAGELFFLDDTISFTPEELSKAPAEVLGRSSHGTSYRATLENGLFLTVKWLREGVAKQRKDFAKEAKKFANIRHPNVVTLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLTWAQRLKIAVDVARGLNYLHFDRAVPHGNLKATNILLDGPDFNARVADYCLHRLMTQSGTIEQILDAGVLGYRAPELAASKKPLPSFKSDVYAFGVILLELLTGKCAGDVVSGEDGGVDLTDWVRLRVAEGHGSDCFDAALMPEMGTPAAEKGTKEVLGIALRCIRSVSERPGIKTIYEDLSSI >CDP14508 pep chromosome:AUK_PRJEB4211_v1:9:22042932:22046210:-1 gene:GSCOC_T00041019001 transcript:CDP14508 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMHAPVYFEKYDVHGDMKSIPPEVIDSIRKNKVCLKGGLKTPVGGGVHSLNVQLRKDLDLYASLVHCFNLRGLPTRHDNVNIVVIRENTEGEYSGLEHEVVPGVVESLKVMTKFCSERIAKYAFEYAYLNNRKIVTAVHKANIMKLADGLFLESCREVASKYPSIKYNEVIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGNEKVVEQRKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGDSNTQEVVDAVIASLD >CDP05670 pep chromosome:AUK_PRJEB4211_v1:9:14306076:14311861:1 gene:GSCOC_T00020861001 transcript:CDP05670 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGIKDGRFFLGNFYCMDKQGNIILQDAVEYRCIRRSPASPVEQRGLGLILIPFSCRISCHVDCSVEEQLSLLSL >CDP04474 pep chromosome:AUK_PRJEB4211_v1:9:703592:705247:-1 gene:GSCOC_T00017879001 transcript:CDP04474 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLSSFWGPVTSTNEWCEKNYVYSSYIAEFFNTLSNIPCILLALIGLVNSSRQRFEKRFSVLHLSNMILAIWNILYHATLYRVLQQSDETPVIWETLLYIYVLYSPDWHYRRTMPTFLVVYGAAFAIVHSHMQLGIGFKVHFLMLCLLCIPRMYKYYLYTDDLRAKRVAKVYAVTLVAGTLCWLFDRVLCRDSWPVNPQGHAMWHVFMGINSYFANTFLMFCRAQQRGWAPKVVHYMGILPCVKIRKPKVR >CDP18174 pep chromosome:AUK_PRJEB4211_v1:9:4499014:4507277:-1 gene:GSCOC_T00007073001 transcript:CDP18174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) UniProtKB/Swiss-Prot;Acc:Q9M9G6] MATSHPQHRCVFVGNIPYDATEEQLKEICEEVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKNADRNREQGRGGPGMVANVDNQKQFGGPAVLKDSSLLQPIGVSVAMTAASIMAQALGAAQTGGMSNQIGIPSQPTLGSDPLTLHLAKMSRSQLIELMSEMKAMATQNKEQARQLLLACPHLPKAILQAGIMLGIVPPHMLQMPNIRQVSAPPLQPLLQDGMQNQLLTTQSVPGLPPLPQNKGQYSLLPTAQEGAISASRPNSMLNNQHASVTQFPIQPQIQLPQPMQNKVLQQNQLPIQSGNPALSLIRPQSQGNFSFRPQIQAAASSSLKHHVQTPPQMQHLGQVTAAATAASIQTSQSIQPPLLDQGFQHGSSLLSGIQDSINKDPRGQVMISRVNDSLDPTNQPSKLVRLNDGRPVPSPTDENMATSASGPSQTFSMTTNQVPKVEEASVSEKQAVQVQLPPDVETALLQQVLLLTPEQVSSLPPDQQQQVIQLQQMLRQAS >CDP14575 pep chromosome:AUK_PRJEB4211_v1:9:21065087:21067801:-1 gene:GSCOC_T00041104001 transcript:CDP14575 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQQTGFISTYSALSEAAGVAGNFFAFVLFVSPVPTFRRIIRRQSTEQFSGLPYVYALLNCLICLWYGMPIISPGIILVATVNSVGAIFQMIYIIIFIAYAERGKKVKMLGLLLAVFAVFSIIICVSLKFFEPPNRQLFVGYLSVLSLISMFASPLFIINLVIKTKSVEYMPFYLSLATFLMSLSFFGYGMFKQDLFISVPNGIGGLLGIIQLVLYFCYSRSSDGGRPRAPLLESYA >CDP04417 pep chromosome:AUK_PRJEB4211_v1:9:1191822:1195868:-1 gene:GSCOC_T00017799001 transcript:CDP04417 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVQFKLERMLNELEDLERRGLFSRREIAEIVKQRRKFEYRLKRPSPLKEDFLAYIEYEMKLDELRLLRKKALLKHQTGKDKKWKKSVADYAGVSRIVEIYRLATNRFKGDIQLWFQYLEFCRQRRNGRMKKALAQLIRFHPKVPGVWIYAAAWEFDQNLNTAAARALMQNGLRACPTSEDLWVEYLRMELTYLNKLKARRVALGEDDGTLTRDPREAAEKQWRAENSELFMALDEEKEDALESNANAASEEKPDLFRVKGLSILRTVYSGAIEALPSSFSLRTRFLEILEATDLTHSDDMRDEILADLRRDFSKEPEFWDWLARQQTYERRSGQKKNEEIFPEQFRKTIQIYEEGLKAVPSATMFEFYVKFLMDAISCIIGDNQPEDSSKSGQGVDPISHLLMVYEEAQNSGFISEDLACEHVSFLLQLGKLHEARKLVEKLCAGKFSNSVKLWALQISIDMRCVQDRSNSPTKANLSSIFDILINFLTHVAISEAEKLWRMAFKYFAHHQHFFDKLVETSVVALTKFGGSDNGFSLGSTVVNHVLQRDGVQRAREIYKRLLALPRPGPILFQNCIELEMNLASVGNSDCLVNARKLFESALTTYSQEPSLWRDYHTMEVKIGTPDKAAALHWRARKALKYNVKLATTNL >CDP04453 pep chromosome:AUK_PRJEB4211_v1:9:861644:869317:1 gene:GSCOC_T00017849001 transcript:CDP04453 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATLLSNGDAKPQNTGRFASVYSEVQNNRLDHSLSLPAVLRKSFQVVDGPPSSAAGNPDEIKKLFPCLFGQPSAVLVPGDAGNAPQSLKVGVVLSGGQAPGGHNVISGIYDYLQDRCKGSTLYGFRGGPAGIMKCKYTVLNSEYIYPFRNQGGFDMIRSGRDKIETPEQFKQAAETALKLDLDGLVVIGGDDSNTNACLLAENFRNKNMKTRVIGCPKTIDGDLKCKEVPASFGFDTACKIYAEMIGNVMVDARSTGKYYHFVRLMGRAASHITLECALQTHPNITLIGEEVAANKQTLKNVTDYIVDIITKRAEFGYNYGVILIPEGLIDFIPEVQLLIAELNEILAHDEVDEAGLWKQKLQPQSLELFKLLPAAIQEQLMLERDPHGNVQVAKIETEKMFIQMVETELENRKQAGAYKAQFKGQSHFFGYEGRCGLPSNFDSTYCYALGYGAGALLQSGKTGLISSVGNLAAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKQFASLREEWALNNRYISPGPIQFVGPASDKVNHTLLLEFGAQA >CDP14512 pep chromosome:AUK_PRJEB4211_v1:9:22017058:22022860:1 gene:GSCOC_T00041024001 transcript:CDP14512 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKASSSELDLDRPNIEDYLPSGSIQEPHGKLRLRDLLDISPTLTEAAGAIVDDSFTRCFKSIPSEPWNWNIYLFPLWCVGVLFRYLVLFPLRVIVLTVGWIIFLSCYIPVHLLLKGHDKLRKKLERCLVELICSFFVASWTGVVKYHGPRPSIRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVARKLREHVEGPDNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPIAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCDVWYLEPQNLKPGETPIEFAERVRDIISVRAGLKKVPWDGYLKYSRPSPKHRERKQQSFAESVLRRLEEK >CDP04469 pep chromosome:AUK_PRJEB4211_v1:9:727621:732716:1 gene:GSCOC_T00017873001 transcript:CDP04469 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFILWLHGLGDSGPANEPIKTFFTSPHFKNTTWAFPSAPSNPVTCNYGAVMPSWFDIHEIPVTANSPKDESGVLKAVQHVHAMIDKEIASGINPDNVFICGFSQGGALTLASILLYPKTLGGGAVFSGWVPFNSSILDQIKPPAKKTPILWSHGMDDRTVLFEAGQAGPPFVEQAGVSCEFKAYPGLGHSISGEELRSLELWIKSRLQSSS >CDP14500 pep chromosome:AUK_PRJEB4211_v1:9:22080617:22083108:1 gene:GSCOC_T00041010001 transcript:CDP14500 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPPAPAKLTAIPAATPITHWENGPSCKLNNSLHKLDRSDQKDYIEMLRSLSSVELSKHAVELEKRSIQLSLEEAKELQRVQALDVLERYSKHSRVPSNQQNM >CDP04550 pep chromosome:AUK_PRJEB4211_v1:9:119257:126662:1 gene:GSCOC_T00017981001 transcript:CDP04550 gene_biotype:protein_coding transcript_biotype:protein_coding description:IDN1 [Source:Projected from Arabidopsis thaliana (AT3G49250) UniProtKB/TrEMBL;Acc:A0A178VBM0] MAEKMDETRNGAYSQPLSFAYDSKKLEDDIHCLGLKIKQHEDNIKYLRQQVHRLDDSILDMQVALGKYHSSSTHRMENKDLSHVGSEEEMVEHILAHENSAAGTLFQLKACHESHASHLAWMKDVVGVVATLGNVEDDNLSRLLSEYLGTEKMLAVVCKTHNCVTALEAYDKEGFISKTSGLHELGASIERPLDGRFLAICLENLRPFVGNFVVNDPQRRLDIVKPRLPNGETPPGFLGFAVNMINIDKSNLYFVTSSGRGLRETLFYSLFSRLQVYRTREEMLRALPFMTDAALSLDGGIMRGAGMFCLGKGKDVVLRFPKNSGRSSLPKEYFETETAMKEEKWKKERLSEDIRREQIVLDQVKFNYEIKKQEFVKYLAESSSHSTQHQLQSERERLTPRS >CDP14531 pep chromosome:AUK_PRJEB4211_v1:9:21779576:21788278:-1 gene:GSCOC_T00041046001 transcript:CDP14531 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEDNESCSSRVVDDSNININNNSNRQQRKKAEVYNEVLRRLRESRHPEALTPGFDHQLWSHFNRLPARYALDVNVERAEDVLTHKRLLHLAHDPVNRPAFEVRLVQVPPVSDGNSFDSSDPSSPRKEVARSIHPPPAFGSSPNLEALALEASKSPDEDADITVHPVRKASRPMHEITFSTDDKPKLLSQLTSLLAELGLNIQEAHAFSTMDGYSLDVFVVDGWPYEEVEQLRNALQEEVVKIKEKSWPNQQFLSPLGGSDQTVIKCEPDQLTIPNDGFDVWEIDPQHLKFEHKVASGSYGDLYKGIYCSQEVAIKILKAERLNSELQKEFAQEVYIMRKVRHKNVVQFIGACTRPPNLCIVTEFMAGGSVYDYLHKQKGAFKLPSVLKVAVDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVVWELLTGKLPYEYLTPLQAAIGVVQKGLRPTIPKHTHPKLAELLERCWQQDSTLRPDFSEIIDILQQIAKEVGDEGEERRKEKSGGFFSALRRGHH >CDP04297 pep chromosome:AUK_PRJEB4211_v1:9:2306287:2310491:1 gene:GSCOC_T00017641001 transcript:CDP04297 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVSFHLIKFLKLEDHDQVQTLFYSYQYHLLSLIDSTDTITINEPMRDPATIVSASQNFKLAFFSPVNTSDRYVGIILNIPAQSVVWVANRDDPITDSAGMLTISEDGNAVILNGQKNVLWSSNVANSVANSSAQLLDTGNLVLRDNSNGRILWESFQTPTDTLVRTMKIGVISKNSMIRLTSWRSPSDPSVGNFSFGVDPLRIPEFFIWNHSKPYWRSGPWNGNVFIGIPEMSSAYQNRFDLVTNPNGSEYFTHSFINDLALLYYVLNSSGVLVEKVSYYGDGHSKVSWTSLESECDVYGKCGPFGSCNPQHSPICTCLQGFEPKNKEEWDKGNWTGGCSRKALLQCDRNISAGQVGKPDGFLKLANIKIPDFAHLMELLRSATEQDCGNQCLNNCSCIAYAYSTGIGCMYWSSSLIDIQQFSFNGADLHIRVAHTELGFRKNMKAVIASTVVLGLLFLAISAYCFRKWLTRHRGNKQNVELSLFEEGEVPKKESILSDKPEQSKLEELPLYSYETLAIATDTFHVKNKLGTGGFGPVFKGKLLSGQKIAVKRLSNSSNQGIKEFMNEVELISKLQHRNLVRLLGCCVEREEKMLIYEYMPNKSLDAYLFDLQKRDLLYWNRRKLIIEGIGRGLLYLHRDSRLKIIHRDLKPSNILLDEELNPKISDFGLARIFGGNQDQANTNRVVGTYGYMAPEYAMKGKFSEKSDVYSFGVLLLEIVSGKKNTSSHADENDLSLIGYAWKLWNENEAVKLVDPALSDPRVEMEILRYVHVGLLCVQESANDRPNVSNVLSMLNSEIAELPPPKLPAYTARLGSTESEGSQQSGHSVNDVSLTIIQGR >CDP18181 pep chromosome:AUK_PRJEB4211_v1:9:4552386:4553249:1 gene:GSCOC_T00007082001 transcript:CDP18181 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSKMGYQGEVKLVYYSDKYIFFKLLIKLYLDPGRIRIKILYFVSNPFLCLMKRIQIQVRVTELIFLPVPEII >CDP04088 pep chromosome:AUK_PRJEB4211_v1:9:4264624:4269334:1 gene:GSCOC_T00017380001 transcript:CDP04088 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQKQQLLPLFTFSCILFLLSTGYVLDAAGAEPSQALNRKFSLDGILRSEESEKYAVIFDAGSTGSRVHVFRFDPNMDLLRIGQDFEFYDKTNPGLSSYADDPQAAALSLKPLLLEGQAVVPEELRPQTPVKLGATAGLRMLKNGASDEILQAVRDLFKNESSLEYKAEWVSILEGSEEASYMWIAINYLSGRIGKPYPDTVATVDLGGGSVEMTYGISEETAANAPKCSNDGEAYVHEKYILGTKYHLYAYSYLYYGQLAARAEIFKVSRNSTNPCILDGYHGFYTYGGETYEASSPPSGSSFKKCRQVTLKALKLDAPCEHEKCTFNGTWGGGGGEGQENVYLASFFFDIAIEAGIISPNVPSASARPIDFKRAARLACVTKYEDVKTVFPNVYDEDLPYLCMDLVYEYSLLVDGFGLDPFKKVTLVRQINYQNSLIGAAWPLGSAIDAVSSLTNWRMYQY >CDP04384 pep chromosome:AUK_PRJEB4211_v1:9:1524360:1525260:1 gene:GSCOC_T00017756001 transcript:CDP04384 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSTFYPSTWNSNLTESLLYPYHFIPENYVHWTETPESHIYSADLPGVKKEQIRVEVEDSRYLIIRTEAATGESTVPAKNFIRKFRLPERVDISGISAGYENGVLTVEVPKSFVRRGFFIEPADMPERMHVLARAA >CDP18918 pep chromosome:AUK_PRJEB4211_v1:9:7067110:7073583:-1 gene:GSCOC_T00011289001 transcript:CDP18918 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQKSSLIYAFVARGPVVLAEHTDFSGNFNSIAYQCLQKLPANSNNNKFTFNCDAHTFNYLVDNGFTYCVVAEESAGRQIPIAFLERVKDDFVSKYGGGKATTAPANGLNKEFGPKLKDHMKYCMDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHQQAQDFRTTGTKMRRKMWLQNMKVKLIVLAIVIALILIIILSACKGFNCGK >CDP14506 pep chromosome:AUK_PRJEB4211_v1:9:22050235:22051005:1 gene:GSCOC_T00041017001 transcript:CDP14506 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGSGGGGECEGEAEGGATTSSLALRNRYWVLRHGKSIPNAKGLIVSSPENGILEEYRLAPEGVDQARLAGRSFQKAFLSVWVFRVVLKEQDGMKYWFPGLSLLGLCSYFSFNPLLNHVLKP >CDP04087 pep chromosome:AUK_PRJEB4211_v1:9:4274783:4277370:1 gene:GSCOC_T00017378001 transcript:CDP04087 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQHLIAFVFVSCMPFLQTTYSLSLSGKLSNGVLLSNDASGKYAVIIDAGSTGSRLHVLHFDRNLDLVQIGQDFEFFKAIKPGLSSYADGPEAAAQSLKPLLEKAEAIVPKEFRANTPVKVGATAGLRLLKGDSSEKILQAVRDLLKNESSLKYKAEWVTILEGSQEAAYIWAAINYLLGTAGKKYSETVGTIDLGGGSVQMTYAVSKEVALKASKLSKKETYIQEKNLLGSTYHLYAYSYLNYGLLAARAEILKVSRNSSHPCILYGYHGYYTYGDVAYRASAFPTGPNMKKCRAFVLKALKINAPCKHKKCTFNGVWNGGGGDGQKNLYVASFFYDRASQVGVIKTNVPSATARPLHFLSAAKRACRTKYKDIKSVFPNINESDRPFTCLDLVYEYSLLVNGFGLNPFKKITLVKKIKYKDSLLETAWPLGSAIDAVSSQN >CDP04424 pep chromosome:AUK_PRJEB4211_v1:9:1141033:1146392:1 gene:GSCOC_T00017810001 transcript:CDP04424 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMNAVTVTLFISLLLLPLAFSSSNDGLVRIGLKKIKFDQNNRLASRLESKDGETLRSSIRKYHFRGKLGDPADTDIVALKNYMDAQYFGEIGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSVPCFFHSKYKSSQSSTYKKNGKSAEIHYGTGAISGFFSQDNVKVGDLVVKDQEFIEATREPSVTFLVAKFDGIMGLGFREISVGNAVPVWYNMVKQGLVKEPVFSFWLNRKTEEEEGGEIVFGGVDPNHFKGKHTYVPVTQKGYWQFDMGDVLIEGKPTGYCEGGCSAIADSGTSLLAGPTTIITMINHAIGASGIVSQECKAVVQQYGQKIVDLLLGEAQPKTICSQIGLCTFDGTQGVSMGIESVADEHAGRSSGLRDAMCSACEMAVVWMQNQLSQNQTQERILNYANELCDRLPSPMGESSVECGSISSMPKVSFTIGGKVFDLAPEEYILKVGEGAAAQCISGFTGLDMPPPRGPLWILGDVFMGRYHTVLDYGKMRVGFAEAA >CDP17613 pep chromosome:AUK_PRJEB4211_v1:9:7670540:7677764:-1 gene:GSCOC_T00005122001 transcript:CDP17613 gene_biotype:protein_coding transcript_biotype:protein_coding MWARGFETVSHICIISNLSLSLSLSVMVATVRCEEIANEKFSLLASDEDWLALEQAVHAGPVQGFGRKLSSILDAYLSEYDMEAVYFEEGVRNAKRLLLKSKALQLVHPAYITLLGHLRSSALMNFKIQLEQKLSRGEGFVASVNSCMQSSTLEFDKGCSDAVIKHADWDASKIREKLQRDMQAHASSVRAEKLSQLIAKFEKQLSARLGEPVESLFDTGGKDTWASIRRLLRREADGAVSGFSTAAAGFELDQEGFGKMVQNLRDYARSVVVKEAREQAGKAVIHMKDKFTMVFNHDNDSLPRVWTGKEDIKAITHEARSAAVSILSVLAAVRLDEKPDKIENVLSSMLIDGSVAISSRSRGAGIIGDPLASSTWEGVPPENTLISPVQCKSIWRTFTAETEYVVTQAISAREACKRSNNWLPPAWAIMAMAVLGFNEFMFLLRNPLYMLALFVIYLFGRAIWEQMDIPGEFRNGTMAGLISVASRFFPTVMILLRQLAAKAQGHPAHEPARHTQTLASQSFRSQTPTRTSTSTSTMDSSVSSNDSSETGVEYSSPQLTRRRVTNVEHEEFS >CDP06302 pep chromosome:AUK_PRJEB4211_v1:9:17340889:17342522:1 gene:GSCOC_T00023068001 transcript:CDP06302 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEILEEGMSTHGEEHQRLGRSSPISEERDIAGFEKVKVSLVAELLKEDKNRRVASIIGAGGVGKTTLPRKVYNHADVELASVLYQDLQEKCYLVVLDDVWKKEAWDCLAWALPDVSRSSRLLLTSRNTNIPLHADGLSIPYELKALGKEDSWKLFLKKAFSNGANAGYPLDLENVGREIARWCAGLPLAITVVGGLLLSKKKMKSEWGKVLNNISTYLSRGQNRGDENFFQIHDIRDDEISAKSSVSLSFICFTKLRVLDLENLCIECLPQGIGKVRLLRYLSLRDSLESLWHLYASDIRFDKSLKIEGLMNLQTLSGMHTL >CDP12616 pep chromosome:AUK_PRJEB4211_v1:9:8519569:8521236:1 gene:GSCOC_T00036302001 transcript:CDP12616 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASSVILPLFCALLLLSASWAASDSIEEEFYYCVCSNTEISIPISEAFFIQNNSAFTSILESTAQNLRCLVQSRHKPELIFKPLDEFQVQVAVICARKLGIQLRVRSGGHDYEGLSYTSETKSSFFLIDLANLRTVNVSIAENSAWVQAGATIGEVYYWIAQKSRTHGFPAGLCTSVGIGGHITGGAYGTLMRKYGLAADNVVDARIVDSSGRILDRESMGEDLFWAIRGGGGGSFGILLAWKLRLVPVPAIVAVFTVRRTLEQGATKLLYRWQQVADHLDEDLFIRVLSRPTNSTDDQKGKRTIETAYQALFLGRADRLLKVMKKGLPELGLTQKDCIEMSWIESVLYIAEYPRTIRPEFLLQGKPLLNKVYFKAKSDFVKEPIKEHALEGIWKMFLEQDSPLTIWNPHGGMMSRISESETPYPHRNGTKFMIQWLTRWESGDDEETIKEHIDWIRKLYKFMTPYVPRSPRAAYVNYRDLDLGVNSIDGGTSLAEASSWGTKYFKNNWKRLVLVKAKVDPENFFRHEQSIPITDAFSNLYRKEEEKRGLYGI >CDP04311 pep chromosome:AUK_PRJEB4211_v1:9:2188596:2190826:1 gene:GSCOC_T00017659001 transcript:CDP04311 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTAKSVLQLPTYGNTVTILSIDGGGIRGIIPSVILGFLESELQKLDGKDARLADYFDVIAGTSTGGLVTAMLAAPNENNRPLYAAKDIKDFYLENCPKIFPQESNFLGQAQQELRLLSGPKYDGKYLHNLLQQKVGKTKLHQTLTNVVIPTFDIKLLQPTIFSSYAIKNEPSLDGVLSDICIGTSAAPTFLPAHHFETKNSDGSKREFNLVDGGVAANNPTLVAMNQVTREISKGNSDFSPMKPLEFDRFLVLSLGTGTAKIEQKYDADNAAKWGILAWLVNGGSSPLVDVFTEASSDMVDFHLATIFQTLQCEANYLRIQDDTLTGDLSSVDIATKTNLENLVQVGEKLLKKPVSRVNLQSGVFEPLNQGTNEDALKKFAETLSKEKRLRDIRSKEGVPKRK >CDP04162 pep chromosome:AUK_PRJEB4211_v1:9:3448221:3454190:-1 gene:GSCOC_T00017471001 transcript:CDP04162 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MESAATVAKELGNWREVADFYRRASELYIECGRSQPASDALAKGARALEDALPEDAVKLYIDACETLEEDGKEQMAFDLYRAAASVYLKIEKYTDAATVLLRWALAADKCNATHSQCKAYLSAIIVYLYAHDFQQAEKCHNDCCQIEAFLNSDQNRCANRLLSAYSDGDVEEIKRVAQSSTISNLDHAIIRHARKLPMGDVTSLKGAAPEEEPLDEDDLT >CDP04387 pep chromosome:AUK_PRJEB4211_v1:9:1514727:1516303:-1 gene:GSCOC_T00017761001 transcript:CDP04387 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLDVWSFDVQICVLHSSDMIILELVCQRSSTSSYQGTQGTPNEDDPSNTTLFVGNLDSNVTDEHLRQVFGNYGQLLHVKIPVGKRCGFVQFADRSCAEEALRLLNGTQLGGQNIRLSWGRSPSNKQPQVDASQWNSGYYGYASGYETYGYAPAAQDPNMYYGGYPGYGNYPPQTQQQPQMMQQPQHLFTRDLYPSLPRDGW >CDP04320 pep chromosome:AUK_PRJEB4211_v1:9:2127702:2128554:-1 gene:GSCOC_T00017671001 transcript:CDP04320 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEDMSGQATEQHCPKKGEREGSGKKANAADVQKQRNTGTWETRSETEALCANNITLSITCAARERTTKTIQVIRALRSLSTYVEKFSHKTSTNFRQQPMGKENKSKVGKGRGKF >CDP04473 pep chromosome:AUK_PRJEB4211_v1:9:710916:712648:1 gene:GSCOC_T00017878001 transcript:CDP04473 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPVRFKRVAAAFDEEARARLYESSGSEHSAETCTDLSHLVNSFLENEEELGDPRGIDQRNDGAEDSDESSERNCSDSDIKDSLKRLLNCQVDEVKRQIHTAVEKASREVVGAHGSSTVDFKRRLMVRLRDRGLDAGFCKSKWEKVGHCPSGDYEYIDVYVGGTRYFVEVALAKEFAIAKPTGRYTCLLNIFPQIFVGKEDELKQVTRLMCSAIKKSMKKIDIHLPPWRRLGYMQAKWFGSYKRTTNELSPAQKAFDSDEDDLRRRKRSVGFVPVPTISFPCREDFSTKCVGGFRVGNLAAELNGNSVLS >CDP04437 pep chromosome:AUK_PRJEB4211_v1:9:1000705:1011584:1 gene:GSCOC_T00017828001 transcript:CDP04437 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDMGLSAAINILSALVFLVAFAILRLQPFNDRVYFPKWYLKGLRSSPTRSGAFVTRFVNLDWRSYLRFLNWMPDALRMPEPELIDHAGLDSAVYLRIYLLGLKIFVPVTLIAWTILVPVNWTNHTLAKSDVNYSEIDLLSISNIPLGSQRFWAHTVMAYAFTFWACYILQQEYAKVARMRLHFITSEKRRPDQFTVLVKNVPPDPDESISETVEHFFLVNHPDHYLTHQVVCNANKLAKLVKEKKRNQNWLDYYQLKYARNQSQRPMMKTGFLGLCGEKVDAIDHQTAEIERLSKEIPEERERVINDPKSIMPAAFVSFKTRWGAAVCAQTQQSSNPTLWLTEWAPEPRDVYWPNLAIPYVSVSIRRLIIGVAFFFLTFFFMIPIAFVQSLANIEYIEKKAPFLKPLIDIKFIKSFIQGFLPGIALKIFLILLPTILMIMSKFEGFLSISGLERRSASRYYIFNIVNVFLGSIIAGTAFQQLNKFIHQSANEIPKTIGVAIPMKATFFITYIMVDGWAGIAAEILRVRPLIIFHLKNFFMVKTEKDRDEAMDPGSLGFDTGEPQIQFYFLLGLVYAVVTPILLPFILVFFGLAYVVFRHQIINVYNQEYESAAAFWPDVHGRIISAMVISQLLLMGLMSTKHAALSTPFLLALPILTISFHLYCKGRYEPAFRRYPLQEAMMKDTLERAKEPNLNLKAYLQNAYIHPVFKGGDDDEDEDEIIEKLEATVLVPTKRQSRRNTPVPSKVSGDSSPSLPDLQEKGRP >CDP04149 pep chromosome:AUK_PRJEB4211_v1:9:3593349:3597298:1 gene:GSCOC_T00017457001 transcript:CDP04149 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKYIKRRKRAIEDERKTIYQSKQPFLSSSDFPPVFVFGIATSAYQVEGGSKEGGRGPSIWDDFSHTPGNICDGSNGDVGADQYHHIELITKLGFKAYRFPYHGQESFLVNLNHCRGYNGLGSIVNDEGIMHYDNLINAFISKGMFSNFASFYFPFYLNFQIFRTSIFQEVKYFAIYAETCFTRFSDRVKKWITINGPLQTAINGYCTGINAPGRHDHSLSEPLLAAHHQLLAHAEAVSIYRNKFKAWICRGQIGIALDCEWAEALSDREEDLRAAARRIDFQLGWYLDPIFYGDYPETMRERLGEKLPEFSQKDKELLRNSLDFVGLNHYTTRFIVDAETNSEDNDVFYRVQGMERIAEWEGGEVIASPWLYVVHWGIWKLLNYMASRHNNTAIYITENGAPH >CDP04567 pep chromosome:AUK_PRJEB4211_v1:9:7226:12163:-1 gene:GSCOC_T00018001001 transcript:CDP04567 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVDVLPTVSTRWQHVARSFNNLPVAEICDNDSNSLRSTTSPCCRSLVNLPQSNSENPSSSSSPSACLSKAGDPTASKTPSKTSLTNQVATLCLPSHLSSLKQGAAADARKGKKLFHHQDDVKHALKLLYNHYLQWRFANAKSEVSMLAQKKEAEGQLFCLGSKISQMREVVKNSHIELNRWQRIKTLLTTVESQMPYLDEWSTVAEDYSHSLSGVTDALLNLSLRLPISGGVKVDLTELKEILDSAVKVIELITFCIQSFMVKAEDMESLVSELARIMGGERAHTQECGDSILKAYASQACLKSFMFFN >CDP14521 pep chromosome:AUK_PRJEB4211_v1:9:21907014:21914634:1 gene:GSCOC_T00041035001 transcript:CDP14521 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKVPCSFGGKAALLVPFPASRFRVPQSHCYRPSSTGWRSFPGFVLQSLELVYPNSSCSVHSGRVHLTARASVQPATKKLVGDQVKDLPNSGDSIRQRFLEFYAARGHKILPSASLVPDDPTVLLTIAGMLQFKPIFLGKVPREVPRATTSQRCIRTNDIENVGQTSRHHTFFEMLGNFSFGDYFKKEAIKWAWELSTIEFGLPADRLWISIYEDDDEAFAIWQDEVGVPAKRIKRLGEDDNFWSSGVTGPCGPCSEIYYDFHPERGESNVDLGDDTRFIEFYNLVFMQYNKKDDGSLESLKQRNIDTGLGLERVARILQKVPNNYETDLIFPIIEKASELANVSYAVADDCTQRYLKTIGDHMRAIVYLISDGVIPSNIGRGYVVRRLIRRAVRMGRLLGIKGDGLGDIEGAFLPVLAERVIQLSTHIDSDVKNRAARIFDELKREELRFVQTLERGEKLLEQILAEALLDSEKSGTAPCLSGKDAFLLYDTYGFPVEITKEVADGRGAGIDMNGFDAEMENQKRQSQAAHNVIKLGVENGADLTEKVPDTEFVGYDTLCANAVIEGLLVNGNPVIQVSEGNEVEVLLNRSPFYAESGGQIGDHGILYVSNAGNHQKAAVEINDVQKSLGNIFFHKGTVTQGVIEVGFEVEAAVDAKLRQRAKVHHTATHLLQAALKQVLGEETSQAGSLVAFDRLRFDFNFHRPVTDDELMEIEGLINRWISDSTLLETKVMPLTDAKRAGAIAMFGEKYADQVRVVEVPGVSMELCGGTHVNNTSELRGFRIISEQGIASGIRRIEAVAGDAFIEHILARDNYMKQLCSTLKVKAEDVTTRVEALLEELRAARNEVSAANAKAAIFKASTITCKALSVGTSREIRVLVESMDDVDGDALRSAADYLLDALQDPAAVFLGSRPGEGKVSLIAAFSPGVVELGLQAGKFIGPVAKMCGGGGGGRPNFAQAGGRKPENLLGALEKARQDLIAIISEKAG >CDP04541 pep chromosome:AUK_PRJEB4211_v1:9:186536:189725:-1 gene:GSCOC_T00017968001 transcript:CDP04541 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFHIRTRSLDSTIPAGSPKPTLGTPRCSNGGSPRTEVGEVDTSAPFQSVKAAVSLFGDAATSPRSNNDDNNKKQPLLARKPKNSSAAADERVLEKESALHLALKQLEDFRARLKCTETTKAQAFRELEKANRTLQELTNKLEIISESKQTAIEETEAAKQRARELEEHKSSRQHLGIDAWKQDVDSERELYKASAAELISAKQELTTLRQDFDRVLEAKLAAFQEAADAQHATQVYRDRLTRISSEITTLRDTLGEVKLATLQAQEEGNKHHEERQARFQSRQTANEQVELKIKSLKEEFDASEILEEKLEETTEAIKLLQEQLQNVRESDMSSLKIATAELDDATRKLREIVQEQNLQRSSVDFLKEELDNVKRDHSELKDKASKAELTAETLQSELEGYRAQLDAALAGDPQGEYDDMLLKLQQLVSEAENARQGAEEIRKDISLLKQDAETTRIAAKEAEERLQVALSEVEAAKEAERHAGEIIHGSSKTDAVQGSTSDLHGKIKLSVEEFESLSKKAEESKSDADLKVATVMAQIESIEASQNEMLKKVESSMKEKEAIEAAIEDALKQAEMAEAAKQVVEGELMRWRQKEQDDVA >CDP18219 pep chromosome:AUK_PRJEB4211_v1:9:4832840:4837216:-1 gene:GSCOC_T00007132001 transcript:CDP18219 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLVTTMTVSFTSPALRLHRVMVGRIHCNFLVGLLLSGFLSDSLAMASNNITTDQSSLLALRAHISVDPLLILAKNWSVSSSVCDWIGVTCGFHHRRVTALDISNMGLTGILPSQLGNLSFLISLNMSMNNFHGELPNELAWLHRLKVLDLSLNDLTGEIPEWSSSFPKLQYLSLRNNSFTGLIPPSISNMSDLRSLYLSNNSLEGNIPGEIFNISSLEMISLGGNRLSGSLPNYMCGNLPRLRVIRLSKNELSGPIPSSLVQCSELQAVSFSFNKFSGTIPKEIGKLKKLEVIYFSMNKLVGEIPKELGTSTMLKFLDLADNHLTAVIPREIGNLYNLETLSLGWNNLTGSIPVEIFNLSRVTLMSLAGNQLSGNLPSTVFYGLPNLEQLYLNSNYIVGDLPESITNSSKLLVVTVSDNFFTGHIPISLGNLRLLQVLDLGSNKLVTDFSHPETSFISSLANSKNLRTLAVNDNPLNGILPESVGNLSSSLERLYAYRCNLQGKVPDGIGNLSSLFILSLYGNQLTGPLPITIQRLQNLQAIVLYMNKLNQLSLDYFCTFTKLGAIILGQNQISGAVPDCLENVTSLRYLYLNSNRLNSSLPRTLWNLTDLLLLDLSSNSLTGSLPLEMQNLKAATSLILSLNNLSGGIPSTIGDMQSLDHLSLAHNQLEGSIPKSIGSILSLETVDLSHNFFSGSIPKSLENLKYLTSFNVSFNNLSGEIPPNGPFANFTSESFISNKALCGAPRLHVPPCVSFSAKTSGNKKKFVIIFTTAGVIAVLGAMSLGFVYLIYRRKGKSPIEADMFTQERISFYKLSQATDNYDERNFLGKGSFGSVYKGTLDDGRVVAVKVFDLQSEGALMSFGAECEALRNLRHRNLTKVISSCSNPDFKALVLEFMPNGNLEKWLYSSDSSLDIIKRLDILVDVASALQYLHYECATPVVHCDLKPSNVLLDEDMVAHVSDFGLTKLLAPEESIVYTKTLATFCYLAPEYGSEGIVSPKCDVYSFGIMMMEVFTRMNPNNEMFGETLSLRSWVVDSMANTLARIVGANLVSTTDRHYLEKLECISSIMKLALNCTKKSPAERNNILDALVALKKIKIQLVQYV >CDP17633 pep chromosome:AUK_PRJEB4211_v1:9:7991148:7992215:-1 gene:GSCOC_T00005148001 transcript:CDP17633 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVFVHESTGPIPNEIGNLLKLEILDLRWNSLNGSLPVEIFNMSTLRMLYLAGNHLSGVLPSNMCHGPHNLEYIYLGGNNFSGAIPASISNCSKLIEIYLHSNKFSSPIPNSIGNLRHLEVLDLSANNLTSELGLFTSLTGCISLREISVGDNPGLRGNIPDSIGNLSNLAHLYLRDNSLCGSIPTAIWDLQMLHDLDLSNISLTGPLPRGLCGLQSLEGLLLSQNQISGSIPGCFNNLTSLWYLDIAFNRLTSTLPLWDLKGLVYVNLTSNLLRGPLAPEMGELKDLAELDLSNNQFSGKIPSTIWSLESLDHLSLANNSLQGSIPDNL >CDP04141 pep chromosome:AUK_PRJEB4211_v1:9:3651978:3656106:-1 gene:GSCOC_T00017448001 transcript:CDP04141 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGQSGAGVMFHSSLAFVVIFGSKNRFITTLTTGLRAKPLASKVGSLIVVASIAKALSEPGGTRNLDKNMASVNLSEDLVLQVLRRNSLAASKKLDFFHWCSLRPNYKHSVGTYSQMFHTICHCPQYHDEIFNLLTSLKRDGLVLDSTTFKLILDAFIRSGRFDSALEILDHVEKDLCMTVSLNADLYSSILIALVRKGQLGIALSIFLKLLENSSTNGNSGTLDAVSCNELLVGLRKADMRDQFKQVFHKLREIGSFPLDRWGYNICIHAFGCWDDLATSLSLFKEMKDKSGSFSPDLCTYNSLIQVLCLVGKVNDALVVWEELKSSSGHEPDLFTYRILIQGCSKAYRIGDASKIFAEMQYRGFRPDTVVYNSLLDGLLKARKLVEACNLFEKMVDEDGVRASCWTYNILIDGLFRNGRAAAAYSLFLDLKKKSNNFVDEITYSIVVLHLCKEDQVEEALQLVEEMEARGFVVDLVTITSLLIALYRNGMWDSIERLMKYIRDGNFVSNVLKWKATMEASLKVPQSKKKDFAPMFPLRGNFTDILSLLSSADRQIDSSLAAGNVDPKVDDFDEWSSSPHMDLLANEVSPASLFSLSRGKRVEAKETDSFDIDMVNTYLSIFLSKGKLSLACKLFEIFTNMGVDPVSYTYNSIMSSFVKKGYFNEAWGVLQGMGEMLCPADIATYNVIIQCLGKMGRADLASAVLDKLMKQGGYLDIVMYNTLINALGKAGRIEEAIKLFHQMQTSGISPDVITYNTLIEVHSKAGRLKDAYKFLKMMLDAGCAPNHVTDTTLDFLEMEIEKLRYHKASMNRSADDCS >CDP11268 pep chromosome:AUK_PRJEB4211_v1:9:6285165:6287366:-1 gene:GSCOC_T00033403001 transcript:CDP11268 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLEELQFLTIPDILKESVSIPKQSPKTFYLITLSLIFPLSFAILAHSLFTHPILSQLQADPAGSHTSQWTKLLTFQFCYLIFLFAFSLLSTAAVVFTVASLYTSKPVSFSSTMAAIPSVFKRLFVTFMWVTLTMVIYNVIFIGFLVLLIIAVDTENVPLFLFSMVVVFVLFLVVHVYISALWHLASVVSVLEPVYGFAAMKKSYELLKGRTRIAFGLVFGYLAICGVINALFGSIVVHGGDSYGVLPRILVGGFLVGVLVIVNLVGLLVQSVFYYVCKSYHHQGIDKSALYDHLGGYLGEYVPLKSSIQMENLDA >CDP04491 pep chromosome:AUK_PRJEB4211_v1:9:568820:574031:-1 gene:GSCOC_T00017906001 transcript:CDP04491 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNVEEFLSVAVDAAKKAGELIRNGFYQTKHVEHKSQVDLVTETDKACEDLIFNHLKQHFPSHKFIGEETTAACGVTELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTLGKVPTVGVVYNPIIDELFTGIEGKGAFLNGKPIKVSSQSELVKSLLATEAGTKRDKLTVDTCTNKINSLLFKVRSLRMSGSCALNLCGIACGRLDLFYELGYGGPWDVAGGALIVKEAGGVLFDPSGADFDITSQRIAASNSLLKDAFIEALQQSK >CDP04255 pep chromosome:AUK_PRJEB4211_v1:9:2668203:2670919:-1 gene:GSCOC_T00017586001 transcript:CDP04255 gene_biotype:protein_coding transcript_biotype:protein_coding description:LTL1 [Source:Projected from Arabidopsis thaliana (AT3G04290) UniProtKB/TrEMBL;Acc:A0A178VLC7] MRQSFSFSSSIFVALLLVLAVASITPRADARAFFIFGDSLVDNGNNNYLLTSARADAPPYGIDYPTHRPTGRFSNGLNIPDIISERMGMQSPLPYLAPENTGQRLLNGANFASAGVGILNDTGFQFLNIIRITKQLEYYQQFQTRVGSLIGEQQTTQLVNQGLVLITLGGNDFVNNYYLVPFSARSRQFALPDYVRYLISEYRKILMRLYELGSRKVIVTGTGPIGCVPAELAQRSRNGECSAELQHAASLFNPQLAQLISDINSQLGSNVFMAANTMAMHMDFVSNPQAFGFVTSKIACCGQGPYNGIGLCTPLSNLCPNRDIYAFWDPFHPSERANRIIVQQIFSGSPQYMHPMNLSAALAMDTSRT >CDP11294 pep chromosome:AUK_PRJEB4211_v1:9:5847696:5847932:1 gene:GSCOC_T00033444001 transcript:CDP11294 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLEKWLYSHNYFLDLMQRLDILIDVACALQHLHCEYSTLVIHCDLKPSNVLLDQDMVAHLSDFSLSHTWLSCTR >CDP11258 pep chromosome:AUK_PRJEB4211_v1:9:6536042:6543253:1 gene:GSCOC_T00033382001 transcript:CDP11258 gene_biotype:protein_coding transcript_biotype:protein_coding MGQERRLITPAFHHKKLPGMVPEFLASCCNLIDRWKMLVASDGWSEIDINPELQSLSTDVISRAAFGSSYKEGKKIFELQKDHRPGCSDSCGNVLIPYPFGLSRRCAVNQSYIIICNYSKPYLSNLNLEVLNISLENHTVTVNSSLASFCNADQAQRNGSSTTWISSDLAGTPFFYSRIDNKMMLFGCGNAVLNQADNKILSGCTSTCEFNTSDLATNSSSRCYGVSCCETIIPFYLSKYNLNFRGSRFNRSGQCSTTFLVDQNWLPEKFSESLQFIPVVLAWTLSQADATAVVNCTYVSGSFYLDSGEYVENFLCAFCGSDINPYLQSVCSSPRLGATCNRPERFWLFSIVRNFFCTSCNLFCISRVFISIGVLFLIAASFAGYKLVKRRRNKRIRNKFFKRNGGLLLQRQLSADDSVIKRTRIFKENELAKASDQFSEDRILGRGGQGTVYKGMLTDGKIVAIKKSMKVDESQLEPFINEVVILSQVNHRNVVKLLGCCLETEVPLLVYEFIPNGTLSSLIHNHIDDEFPFTWNFRLRIAGEIAGALAYLHSAISIPIYHRDIKSSNILLDEKYIAKVSDFGTSRSIGADKTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTRKKPISSSESEEDGNLNLATRFLMMMDENRLDSILDCQLLDESIKEEVISVAKLAQRCLDSNGKNRPTMKEVAIELENIRRAANGSTVHSQVFEKGECESAFLADTTTSWTTDSNRLAPDACPLLLCDTI >CDP04153 pep chromosome:AUK_PRJEB4211_v1:9:3571981:3576910:1 gene:GSCOC_T00017461001 transcript:CDP04153 gene_biotype:protein_coding transcript_biotype:protein_coding MLRITAASMGSAISFTLQSSIQRSLSNSLFFTFSNPMPKFPFRHLLSTTTKPSAASLLAPLCTVANSSDVNIVQKEINTPNKSIFIDPKCYLSCSMPHKKPLKIAVLLSGGVDSSVALRLLHLAGHSCTAFYLKIWFHEEFENFWSECPWEDDLKYAKAVCAQVDVPLEVVHLTDEYWNNVVSYIIEEYRCGRTPNPDILCNTRIKFGAFLDAISGMNFDFVASGHYAKVVHKSADQTDEFSVLELSKDMVKDQTYFLSYLSQSQLRRLVFPLGCIPKDEVRKLARAFCLPNQDRKDSQGICFLGKVKFSEFVAKQIGEEEGIILEAETGDYLGKHRGFWFYTIGQRQGLRLPGGPWYVVGKDVTNNVVFVSRNYFSVDKKRRLFRVGSFKWLSGSPPSQVNQLKCKVRHGPSIYDCSLKMEADERGDIVAVVRLSEDDQGLAAGQYAAFYDGRICIGSGVILESWDDQGFPVCAKALEIARMEDKSMLGKPVKIKEKSQIDLEGSETRGLTGIKC >CDP04510 pep chromosome:AUK_PRJEB4211_v1:9:427197:428657:1 gene:GSCOC_T00017929001 transcript:CDP04510 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQRGEVSTVVISSAQMAGEALKTHDVALANRPRILAAQTITYNSQDVSFSPYGGYWRQMRKICVLELLSPQNVRATQAIREDEVWKMIGSIQSAFGSRCTYQDQLLQLINEIIEVSSGFDISYLFPSKKFLHIISGTVFKIKKLQSKLDPIFESIIQEHKEADSGEVDLVNTLLKISARGGLDFPLTAECIKAVFVKGGKRKEHDFKGLNYMKAVIKETLRLHPPVPLLLPRECREPGETGGYDVSVGTRVLMNGWAINRDPEFWEDPESFKPERFLDNGIEFVEYLPFGGGRRICPGIAFGVASVDLALAQLVSHFDWKLPGGAKPESLDMTRGLRY >CDP17309 pep chromosome:AUK_PRJEB4211_v1:9:10434847:10436055:1 gene:GSCOC_T00003789001 transcript:CDP17309 gene_biotype:protein_coding transcript_biotype:protein_coding MAKENNSSAMDINSPRFSINVLQLLKSAQMQHGLRFGDYARYRRYCTARLRRLYKSLKFTHGRGKYSKRAITASMVTEVRYLHVVLYTAERAWSHAMEKKTLPDGPNARQRGYLIGRLRKAVKWATLFQDLCSIKGDSRTSLEAEVC >CDP17301 pep chromosome:AUK_PRJEB4211_v1:9:10230293:10231261:1 gene:GSCOC_T00003777001 transcript:CDP17301 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHPIHQANENSPYGDLTREEFYKKHQILHKESFMLNKKSMKIFTQSWQPDSTARLKGLVGMIHGYSSESSWLFELNAVAIAKAGYFVCALDLEGHGYSEGLPGQISNFQFLVSDCILFFNSARAEHPKLPAFLYGESMGGAIAILIGLRQRNEWNGIVLSGPMCGVSNKFKPLWPLEKLLPVAAFIAPSWRITFMKPPARGSYKEAWKKQLVAKSPNRPSSGKPPAITAQELMKTCSYIQRKCHELEVPLLILHGEDDRICDPEAAKFVFESAASKDKTLKIFAGMRHQLIGEPNESVELIFGTVISWIEVRAELDHVN >CDP04124 pep chromosome:AUK_PRJEB4211_v1:9:3848185:3852298:-1 gene:GSCOC_T00017425001 transcript:CDP04124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g06143 [Source:Projected from Arabidopsis thaliana (AT1G06143) UniProtKB/Swiss-Prot;Acc:Q56X05] MFPFRNQSMKFVKDVVGGRLVGEKSQLGPFASDFKKCSSLKELESLYALMIKTNATQDCFLMNQFITASSSIRCTEWVIAAFDQLKDPNTFVYNAVIGAFLRCFHPLRALEMYVDMLKTEVRPTSFTFSSIIKSSTCLKAVDFGDSIHGQVWKCGFETHLHVQTAIIDYYSNFGKVVEARTVFDKMPERDGFAWTTMVSAHVRFGDLSSARKLFDEMPEKNTASWNTMLNGFARIGDVESAKQLFSGIPQKDLISWTTMINCYSQNKHYKEALEIFNEMKDNGISPDEVTMSTIISSCAHLGWLDQGKEIHMYVLQRGFYLDVYIGSSLIDMYAKCGAVERSLVVFFKLSKKNLFCWNSVIEGLAAHGCAKEALVIFHMMEKEKIKPNGITFLSVLTACTHAGLVEEGKSRFLQMTRDLLIPPEIKHYGCMVDLLSKAGLLDEALELIRRMNIEPNSAVWGSLLCGCKLHKNLEIAQMAVDKLMLLEPKNSGYYNLLVSMYAEANRWSEVARIRANMKNLGVEKRYPGSSWIEVEKNIFQFASCDNCHPASEEIYLLLDELVGQLKLTGCGPKFEFIL >CDP11271 pep chromosome:AUK_PRJEB4211_v1:9:6232730:6236471:1 gene:GSCOC_T00033408001 transcript:CDP11271 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRTLTMNWDGLGEDYDDDDQFFESHDRISSVVPLDLVSSGSDNDEEFEDSRLSFASCISSKSYVSINNFRDFEVATNSAPVLEDYGMWMAEPGDIKERRKRLFHGMGLSSNKNLLKIASAKIVQTTSRKIDTGQVSTRKFEFSSPLKDETKQETPDSTPILLVRSRSDGDIEAFSANTKKRKEELIGPVSKQRLTRTLSVLITPHSGLYPYAHPVRVSSTKMVCKSSNSGLLSSIFPDSGFGSFFLIKNLDTGKEFIVKESNEQGMWNKLSDVQTGKQLTMEEFEKSVGYSPVVKELMRRENFSKNIDDESKRISANSYISKSFRYSKRRGAALLKNIKGVANSMSGLKVDKERECPSIVDQKTSKNSSQWVKVHQTGKSYKEYTALHLCQEIQAHEGSIWTMKFSTDSHYLASAGEDRVIHVWEVQECEVMSTKPLDDVKSINSTPVHPMFSNVTDRPPLAEITPMPSEKRKKGKSINKKKSNSIPDYAHVPETVFALSEKPTCTFSGHLDDVLDLSWSRYQQLLSSSMDKTVRLWDMETKSCLKMFAHNDYVTCIDFNPVDDDYFISGSLDAKVRIWNISDRQVVDWIDIHEMVTAACYTPDGQGAIIGSHKGSCRSYNITDYKLEQNGQIQLQNKKKSQFKKVTGLQFAPWNPTELLVSSADSRIQILDGLVVTHKFKGFRNTNSQIPAAYSPDGKYIISASEDSQVYIWKRQDLKGKSKVTIQSHEHFQCRDVSVAIPWSGTIKQEPPLVEIHSKRHSKRSTLPPKSPARSPTKEESSTIANSKRHLPPLPKKRDDDNTNNNNNMLERSTSCGDDNFSNSSRIDSGIGISESFTSAYPSIKYSDSPSISASSSSNSQSWSSSWSPFDLGNSNGSHTIQATAWGAVIVAATLGGEIRVYQNFGLPVKVGRQTNLFRDLT >CDP04440 pep chromosome:AUK_PRJEB4211_v1:9:976566:983436:1 gene:GSCOC_T00017833001 transcript:CDP04440 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGLAILMRTKMRPLPPAAIKRVNQMEVPPQENSNVVSSTPNASIYPPRDFEQVRDSMHSAILMNKTEILDAALSEFCEGYFSLSKENRRKLLLTLAREYDLDRIQVRELMKQYLGLELPIGEKAQHSSGQLEELSLSAFYRIERNLRHALKPNYEVLFERLNTHPGGLKFLSDIRADILSFLAEENFPSLRALDSYLKEKLGTWLSPANLELHNITWDDPASLLEKIVAYEAVHPISSLLDLKRRLGVGRRCFGYLHPAIPGEPLIFIEVALMKDVAETIQEVLWDDPPIPECEATSALFYSISSTQPGLSGINLGKFLIKRVIDVVKKDMPIISIFATLSPIPGYMQWLLSKLASAEISGPTFRENLLKQEEEGALVDAAVEFSSSKNGMEVMKYLLTSTNYEWTKSAKIISVLRPPMMRLCARYLLQEKKRGKALDSVANFHLQNGAMIGRLNWMADRSEKGLTQSGGIMVNYIYRVENIEENAHSYYSKGHIQATSDVSCFVEVTCWS >CDP06307 pep chromosome:AUK_PRJEB4211_v1:9:17086667:17087971:-1 gene:GSCOC_T00023076001 transcript:CDP06307 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYPDPSLIEEVKKILADEMEDDTEDQIMMLLEQWQTYHTTSSSNMHPCSRRYYDRERGVGHVRLFNDYFVDNPVYPSHIFRRQFRMRRELFLRIVESITNHSKFFRMRIDAAGKKGLSPLQKITSAIRQLAYGAPADQLDEYIRMGETTAIECLSQFCRCVIDIYGAQYLRRPNANDIERLLNLHFERHGFPGMLGSIGCMHWQWRNCPVAWKGQFARGDQGSPTIMLEAVASADLWIWHAFFGVAGSNNDINVLNQSLLFNDVLQGYAPDVQFMVNGTQFSKGYYLADDIYPEWATFVKSFTSPRDPKRIKFKQMQETARKDVERAFGVLQSRWAIVRGPARFWHRAKLKDIMYTCIILHNLIVKDEGDAIRNWDADDDDPRISVTQGLAENFQYYLQRNAELCDREVHHQLQSDLVEHIWERFGGNNNEN >CDP04383 pep chromosome:AUK_PRJEB4211_v1:9:1525752:1540240:-1 gene:GSCOC_T00017755001 transcript:CDP04383 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYKVPQICVAIFSIYIVIVGCGFCLNVKSNILGFPAGLCPIVGVGGHISGGGYGSLLQRYCLMVDNVLDAQIIDVNSQVLDRKEMGEDLFGAIRDGGSASFGVVFAYRIRLVRVPEIHTVFNVQKTEAENATDVLYKWQNVADKIDNDLFIRVLVQPNTGKIKKKKMESRNHKQQSTSCRSPLPSSSSSLLKDISNYRTPKNVSKTPNFPFSPYPESNPKFFTVQKAATPVSSSSRRKTSVTTAKLKVARRLKAFELEQSKSARRNEISKEKSLKSLAKSLSVWLNFLFENPKSCGCDVSTFTGEFDPIGGDSGVAEKKEGLTKGKRETGPGNGVKVGIDGPWRGPKRQRDLTWREGSGNGERVSGCPDLTFSALQGSLREVCSVNDLKERMRAYLSLESCNEIFDMMTQVAKNIDEGRLKIRANCPIVTDFGMKERSMGILMSYNPVWLRIGLHIILGGDSLLPNAEVNSEEEMAFLRMVIEKQFLSHAELAKTFAYNKMVDGLYRPGYFEKLGNVILKRFLLLVLILDRAKSHSSLPTKYGIDGLDGGSPLLFSLKSNIKSSRQLITDFLSTDVMHGEGNLLAHLMIVGYKVTYQQSSLIEYSFRVKDLFEDLQDGIRLCRAIQLLQHDSSILLKLVVPSDTHKKSLSNCGIALQYLKQAGVPLSDEDGMLITDADIVNREKELVLSLLWNMFVHLQLPLLINKKLLAVEISKIRGVATEHSNTCSTLDMLLNWIQAIGDSYDLKVENFSSLVDGRAMWCLLDYYFRKQHHSAFSSKDLGRTNETVSLVSANEYTDAVHNFILSQKLTSLLGNFPEVLQVSDILEHNGACNDRSVVILLVFLSFQLLVKRNKDQLNFHKLLGFYCQTPERKCSSTKYWFLHSPAVSNAKENLFSHGEDASRNFKAIMAWWQEMAQRNNKCNLKTATISPLWYLTSRRDSIIRRENAAKIIQSHFRRSVQFRRYMKIKKAACLLQTAIRAWLSIKSRLPIKQFGELNRHKSFLSTRMSSNNCDMYMTFMVDRHSFVQLKRSIVVIQHAIRARISRSRAQNMLCHNLSNAAIVIQKCFRGWKARSVYFCKRSSIQDEALTHFQEKELYNLHTHAAFTIQKAWRNFIVGNSLRKQHLAAIKIQSCFRRLMMRKHFLEQKSAVLKVQSIFQCLRCSRELQHYRKKCRAATTIQSHVQGWIARRRAYTLRSHALIIQSHFRGWLTRKELLFEKEAAIKIQNAFRCTKQQKAYFCTRVAAVDIQRFVRGHVTRKRILGASFCRKVSNNGIRNFELKIITLSVLKLQRWWKDVLFKKLRTESAIIIQSYSRAWIARQRLARDRQRIVVIQSYWKGYLARKASRGQLLDLRLRVQKSAANIDDSMRLINRLVAALSELLSKRSISGILHTCATLDMATEHSQRCCEELVAAGAIGTLLKLIGSVSRSIPDQEVLKHALSTLRNLARYPHLTEVLIENDGCVKTILWEFIRNKEEGYFIASDLLKKICVTRKGVEAVDKQPALLKRLHSLVEDLAKKAGNEKRSSRDLVSREQIDRRLREAVELIALIRNGKTFRQ >CDP06308 pep chromosome:AUK_PRJEB4211_v1:9:17080092:17083514:1 gene:GSCOC_T00023078001 transcript:CDP06308 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLLKTSLFQAFPFTNKTFSIFLSPCRRRTRSIDLKASLMEGSSEVKENEEGSRGGKKKIFVAGATGSTGKRIVKQLLARGFAVKAGVRDIDKAKTTFSANPDLQFINADVTEGSAKLADAIGDDSDAVICATGFPRSWDLLAPWKVDNFGTVNLVEACRKRGVDRFILISSILVNGAAMGQLLNPAYIILNALGLVLIAKLQAEQYIRKSGINYTIIRPGGLRNDPPSGNIVMEPEDTLYEGSISRDQVAQVAVEALLHPESNYKVVEIVARAEAAKRTFEELFGSIKQR >CDP18168 pep chromosome:AUK_PRJEB4211_v1:9:4465095:4468694:1 gene:GSCOC_T00007066001 transcript:CDP18168 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLRLLKACISYKEMSFGSLKSVLAEAAIKGVTEARARIFGHILNPTGQRSAHKILRKKLIGEKVSQWYPHDIRHDDPLVMARQEQERLEKLEMLKRRGKGPPKKGQGKKAAKRNKVSTPGK >CDP06298 pep chromosome:AUK_PRJEB4211_v1:9:17398757:17402494:-1 gene:GSCOC_T00023064001 transcript:CDP06298 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPKVEGAKRREEGEKLVVMDPNLKLAGSRSFASPKQLHIADSSDMISNLPDAVLGCILSLVPTKDAMRTSVLSKRWKGLWLSVSHYVFDEHSHGDRMLFVNLLNRVIHRETYIDKLSISCRDLHDPLLLHLFLCNVRELNLSFSEFDGPFNFPSSACSLESLISFKLKLCYNVLNLPSNICFSSLKTLHLECITFPDKPSNQQLFSNCCMLEHLVLDKCRWTTDNNVIHAPKVRNLTIHDELIERFTISKFVITIIGSEIQFLKYEGGLENEYILADQPSLAVADIHVAEVPRLFDNDRIVAFRAFLLLTKLKNARSLTITPDTAEVITSYERECPIPWPDFNNLTKLEITESSMDLTCDLLLLLISRAHCLQSLAFSQGIFVPEDEDWEWDHVPECFSTHLRNIHIGDLCGYPYELSILGFFLKHAMVLQKMVISFSVDMTESLEKQGVIRAKLLALPRGSISCVIDFC >CDP17630 pep chromosome:AUK_PRJEB4211_v1:9:7898194:7919828:-1 gene:GSCOC_T00005145001 transcript:CDP17630 gene_biotype:protein_coding transcript_biotype:protein_coding MERACNYLPLEILLVTSLVVMATANLIADQSALVAFKNYIVSDPHLIAAKNWSISSSVCDWIGVTCDSKSQRVVALNISNMGFASTIPPQLGNLSFLVYLDMSNNSFHGYLPKGISHLRQLSFLALGNNNLTGEIPSWLGILDRLQYLSLRNNSFVGHLLANTCDNLPNLKELDLSWNQLRGQILSGLSNCSGLKSLDLSSNQFTGYIPKAVGNLKMLEELHLGGNILKGYFLVFLFFIQCLGDLMKRNSESTHESSNMVLCHPQSSKPPHWNLLILQYSKCKRALDFENVLCLSFNQKKSLLSCSRLRFGQKKFFAGSIPEEMGNLQSLRILSITSSNLSGSIPREIGNLTMLEEVYISNNSLTGNKVVRTLNSLHRDQEIQWANTKGGLEIYSIPNEIGNLLKLAILGLGENSLSGSISVGIFNMSTLRMLDLADNHLSGVLPSNMCHGLHNLEYIDLGGNNFSGAIPASISNCCISLREIWVGDNPLNGVLSRSIGNLSISVERLDVRNSGLRGNIPDSIGNLSNLAFLVLCDNCWTGSIPTTIWGLQKLQDLDLSNISLTGPLSRGLCGLQSLEYLGLSQNQISGSIPGCFNNLTSLWYLDIAFNRLTSTLPMSLWDLKGLVYVNLTSNLLRGPLAPEMGELKDLTKLDLSNNHKSNMDKYYYLYEDKFFTLSLASIQYIKAREFVVVIPPSFAGSIPEEMGNLQSLRILSITSSNLSGSIPREIGNLTMLEEVYISNNSLTELYNIPEFGATFGVYLNEIGFVHEWTGSIPNEVGNLLKLESLDLVENSLSGSLPVEIFNISTLRELYLAANHLSGILPSNMCYGLHNLEYIDLSLNNFSGAIPASISNCSKLIEIYLGDNKFSGRIPNSLGNLRRLEILDLSANNLTNNPLNGVLPRSIGNLSISVKWLIVGNCGLRGNIPDSIGNLSNLVFLGLRDNSWTGSIPTTIWGLQKLRYLNLINISLTGPLSRGLCGLQSLEYLYLSQNQINGSIPGCFNNLTSLKYLNIAFNRLTSTLPMSLWDLKGLVYVNLSSNFLRGPLAPEMGELKNLTRLDLSNNQFSGKIPSTIWSLESLNHLSLSNNSLRGPIPDMMVISFFFLIQKNVASWKAHFSYHIHRNCSNLTFISHGYNKFCGGIPISFGKLRHLEFLELIDNNLTKNCGIKGNIPWSIGNLSNLIVLSLQGNHLTGFIPYTMNGLNIYIYIYTHLSQNQISGSIPGCFSNLTSLRSFYIAFNGLTSTLPRTLRNRKDLLGINLSLNFLSGSLPYHWEFTKFDAALISLANNSLQGAIPSTVGNMLSLETFNLSHNNLSVLIPKSMKALRHLKSSRGGGVNNSFTGFLPPPLFNISGLGVIDFSENNLSGIIPVDMCNNLPSLKKLLVSSNKLNGQILSGISKCSRLEVSLSTNEFRGRIPREVGNLQMLEELCWIKNKSKINSYFDNLYFGSLIEIVALNISNMGFAGTIPPQLGNLSFLVSLDMNNNNFHGHLPEGMSHLRRLSFMALSNNNLTGEIPSWLGVLDRLQYLSLILNNFFGHLPANICDNLPNLKELNLYWNQLSGQILSAVGNLKMLEELHLGDNNLEGYFLEVGYLKMLQDLYLGNNNLIKQLFGTFCSVILS >CDP14481 pep chromosome:AUK_PRJEB4211_v1:9:22277725:22290672:1 gene:GSCOC_T00040988001 transcript:CDP14481 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSAIPQTQTLGGPSRCGRVLGPSLDKIIKNVAWRKHSQLVSACKSALDKLESLSDSSSDPASCTPLYGISSPQDADFVLKPLILALDSGAPKVVEPALDCVSRLFSSGFIRCEIATTDDAAATSLIFRLIDSACKCTSLGDEAVELAVLRLLLSAVRSPCVLIRGNCLVHIVRSCYNVYLGGFNGTNQICAKSVLAQMMVIIFSRVEHNSAILPSFRTVSVAQLLEFTDRNLNEGSSIQFAQNFIADVVEAKEVLPPPPMLHGIPSPVEKKSEFESESESASGQQPDYDFNGYSKITEDGFMLYKNICKLSMKYSSQEHQDDQILLRGKILSLELLKVIMDNAGPVWRTNERFLNAIKQYLCLSLLKNSALSVMTIFQLLCSIFQSLLSKFRSGLKSEIGIFFPMFILRVLENVLQPSFLQKMTILSLLERISQDSQLIVDIFVNYDCDVDAPNIFERTVNGLLKTALGPPPGSTTTLSPVQDITFRLESVKCLVRIIKSMGLWMDQQLKVGELNSSMSENEILSENSVTVSEEVNLADSELHSEVNSEFSDAATLEQRRAYKLEIQKGVSLFNRKPSKGIEFLLSTKKVGSSPEAVASFLKNTSGLNETMIGDYLGEREDFPLKVMHAYVDSFDLEGMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCSPNSFTSADTAYVLAYSVIMLNTDAHNTTVKDKMTKADFIRNNRGIDGGKDLPEEYLGKLYDQIVKNEIKMNADSSVPQSKQGNGLNRLLGLESILNLVWKQTEEKPMGANGYLIRHIQEQFKAKSGKSESTYYAVSDPAILRFMVEVCWGPMIAAFSVTLDQSDDKEATSQCLLGFRHAVHVTAVMGMQTQRDAFVTTVAKFTYLHCAADMKQKNVDAVKAIVSIAIEDGNYLQESWEHILTCLSRFEHLQLLGEGAPSDASFLTTANAETDEKALKSAGFPSLKKKGNLQNPAVVAVVRGGSYDSTSLVANSPGLVTSEQINNFIANLNLLDQIGNFELNHIFAHSQRLNSEAIVAFVRALCKVSMSELQSPTDPRVFSLTKIVEVAHYNMNRIRLVWSRIWTVLSDFFVSVGLSENLSVAIFVMDSLRQLSMKFLEREELANYNFQNEFLKPFVIVMQRSSSAEIRELIVRCISQMVLSRVSNVKSGWKSVFMVFTTAAADERKNIVLLAFETMEKIVREYFSYITETETLTFTDCVKCLITFTNSRFNSDVSLNAIAFLRFCAVKLADGGLVCNDERTEDASSMVVRDDNDSVGRIFTDKDDHAFFWLPLLSGLSELTSDPRSAIRKSALEVLFNILKDHGSLFSPVFWLSLFTSVIFPIFSSQHDKQKTRLKDDKSSPSSKSLLLDGSTWDTETSALAAEYLVDLFVSFFDVVRSELKSVVSILAAFIMSPVQGPARTGVATLRRLVSELRARLTEEEWRDVLLALKEAASSSLPGFLKLLSTMDSIKVPDLAEDYADMETSSSLGLINDESEDDNLQTSTYVVSRIKSHITAQLLIIQVASDLYKLHSQPLSADSMIILVEVFSSVATHAHQLNSNKVLQLKLQRVCCILEVSDPPMVHFENESYQNYLNFLSDLLACNPSLYGEKNMEQQLLAVCEKILQIYLECAGESVQSKAANAPVHQWNLPLGSAKKEELAARTPLVLSVFRILSGLERDCFRKYIPRLFPILVNFVRSEHSSGEVQKVLSSIFESCIGPLIITC >CDP04337 pep chromosome:AUK_PRJEB4211_v1:9:1981622:1987207:-1 gene:GSCOC_T00017693001 transcript:CDP04337 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLCTGKSKKKIESQKSHKSDDQIPSSIEKLKVNPSFSVKSEASKDGGSGHIAAHTFTFRELAAATKNFRADCLLGEGGFGKVYKGRLEGTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKDPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLDEGYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRGAGEHNLVAWARPLFKDRRKFSQMADPLLQGQYPSRGLYQALAVAAMCVQEQPNMRPLMADVVTALSYLASQRYDPETQPVQRQRSGASTPRTR >CDP12625 pep chromosome:AUK_PRJEB4211_v1:9:8443270:8443509:-1 gene:GSCOC_T00036314001 transcript:CDP12625 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVVFEAFLPLEIIVGMLFVMGNTQDFIHKATHGWPKHIDNDVWDVAMERWDKKVMEMLSSSSTPNSQSNSGSTMAYR >CDP05671 pep chromosome:AUK_PRJEB4211_v1:9:14334671:14338729:-1 gene:GSCOC_T00020862001 transcript:CDP05671 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFKEIPAMAYPRTLVSIVASAGGLAVFLLIASSLLISGPIGSTFSSYFYGVVDHTEKDDLLSSGDGSGNRDAFEAFRSNLTIRNNSDSGDAVVESQVGEMKPGDSRNMRGQEKVVNVTNNAEKVSTNVQKNNTNGANLTDSVNTDCDLYAGKWVYDSTGPLYTNSSCPVLTQMQNCQGNGRPDKEYENWRWKPAQCNLPQFDAMKFLELMSGKTLAFVGDSVARNQMESLLCILWQVEVPKNRGNKKMQRYYFRSTSTMIVRIWSSWLVHQTPEPFDFAPTGVDKLHLDLPDERFMEFVPNFDVIVLSSGHWFAKKSVYILNNEIVGGQLWWPDKSRQMKVNNVDAFGISVETIVTAMLKHPNYTGLTILRSFSPDHYEGGAWNTGGSCTGKEKPAVDKDLVENGFTNIMHEKQVAGFNKAIKKQTNKSKAILMDITKAFSYRHDGHPGPYRSPDPNKITKRGPDGRPPPQDCLHWCMPGPVDTWNELVFEILRREFVAKKSNPS >CDP11290 pep chromosome:AUK_PRJEB4211_v1:9:5911319:5914821:-1 gene:GSCOC_T00033439001 transcript:CDP11290 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTWVFHSATSKNSVIGEEYFSFSSQILLEQGRYSSIAERMQDYQVIALVILLGPKLKFIQDQIQENAKNLMSQQLRIPSSSSGRYGDIDDNPFLTRPNFLASCSVFGQKGRGVVVQIATMTTESVYSFLQCQLSGRLDSLLGVAPYR >CDP05601 pep chromosome:AUK_PRJEB4211_v1:9:10910373:10918745:1 gene:GSCOC_T00020740001 transcript:CDP05601 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGINPEDAIGFKDCLLLARKNPYVLRLAFSTGIGGLLFYYDTGVISGALLYIRDDFKAVDKQTVLQESIVSMAVAGAIIGAAIGGWLNDRFGRRSTWDLALDAWSCWNTSVISIRSDATASRIPPIAMSQGQSRRSRKDQCFSTITNQNSKKRTCSWCRSSSVPAVCGHKYCDVLQPYNSPPVSAAETYFGGYTCPDYHSAGSASWDCTKCLNASSPSCGFCASTTAKIIECICVGLFDKTVQDACRGEDRLWYRRGCSSRYGWLALIGLALNIIFFSPGMGTVPWIVNSAIYPLRFRGICGGIAATANWISNLIVAQSFISLTQAIRTSWTFLIFGVVSVAALLFVLVSVPETKGLPIEEIEKILERKALQLKFWEKRPDNKNQDVWQK >CDP04421 pep chromosome:AUK_PRJEB4211_v1:9:1163941:1165351:-1 gene:GSCOC_T00017805001 transcript:CDP04421 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNSSGILHSSPYSAVSPSPCKKGTFMSISKSRTTNIPLPNLRTRVFGDELELGRDLIIKSLTGTLLKKQANTIRTGRDSADESADSLVLAKLYAILEAVADRVEMHKNIGEQRKNWNSLLLSSINTITLAAATMSGVAATTAVGSAPVAALKMSSTLMFLAATGMLLIMNKIQPSQLVEEQRSATRLFQQLHNEVQTVVSIGHPTDKDVKEAMENVLALYKAYPLPLLGVMLEKFPSKVEPAVWWPQQANDSSHKTGSKSNGWSSKLEKEMRSIVEVLRIKDEAEYLRLGGKALKFNKLLAISGPFLTGIAAIGSAFVGSSSHIGFLAAMLGVVGGALASIVNTFEHGGQIGMVFEMYRSNAGFFKLMEESIESNMMERRENGELFEMKVALQLGRSLSELRDLASASSSSNEVEDVNEFGSKLF >CDP17600 pep chromosome:AUK_PRJEB4211_v1:9:7505117:7505797:-1 gene:GSCOC_T00005106001 transcript:CDP17600 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVYSFVDTATDPFNNSDLMESQQQYMQAIALPANFVSPWDDEDHPENFIPGPEDQYRPQSIQESWEEDEDQPENIPPPNSIQYGGHWDIPQVYDEYDDDGEPDEEFVDILGELAELNGEIVTFGNELGVILGFDVLLDQRSNLSQEIIAEHLQTLKYQKLAKQDEDEDEVDELCVICQDEFKSGEMLGTLGCRHEFHADCITRWLQVKNVCPICKRMAVHHQEI >CDP12569 pep chromosome:AUK_PRJEB4211_v1:9:9595905:9598098:-1 gene:GSCOC_T00036222001 transcript:CDP12569 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGPNDWGDAGNYLSFSGTRYKFVSIGCDIYAYMTGSNTKELVSGCPSLCINSQISRITPPSTCSGNGCCQTTIAKDLNNISIWGHHEYRLLIFNLSRCNDDRMVPIVLDWGIGNVSCHEAVKRRDYICGQNSECISSTKGVGYQCTSLPGYQGNPYLPDGRKDINECENPGSFKCPKGAICVNSPGGYFCTCPSGYHRSVGSSGPSGQACLQDRSNQSKIKFICLGVGLAIGFAVIAAACFWLHQKHKKVEENKSKNRFFKRNGGYYCKNCSLQTLITSVPGQLNDKSDVYSSGVVLAELVTGQKVVPSK >CDP11273 pep chromosome:AUK_PRJEB4211_v1:9:6204509:6207745:-1 gene:GSCOC_T00033410001 transcript:CDP11273 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGKKKKPRPKIKQVWRRKDSSAASTSSPPPPPWADLPRDITANILHRLGAIEMLEAAQKVCTTWRNVCKDPAMWREIDMYNLGDLGSMPYNLETMCRHAVDRSQGQLVAINIEYFGTDELLEYISERSGQLRRLKIACCYGMCYEGLIEAVKRFPLLEELHLIFTELSSIEIVGRSCPLLKSFTLNRTGRRHPRECNEEAFAIAKTMPGLRHLSLFGNEMTNEGLQAILDGCPHLESLDVRQCFNVYLSGELGKRCAQQIKVLKRPYDSTEGYGWDSTIYDDDSFDGYPSGFSDLDFFSDDDEFYLDYDDYTRWDPEDSDLEELFGFY >CDP17627 pep chromosome:AUK_PRJEB4211_v1:9:7842069:7843742:-1 gene:GSCOC_T00005141001 transcript:CDP17627 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDASHLNLLPPQLMNPNRDFAFSNQGNTNTLAYNNVHQMGTGSGVPLIPAIAEGLLPLHHPTLACDSVQPKTSMNTDSGLTYNMPAPRKRPRDSFDQFNNVPANFFVTSQQKNNSNDVVAQFPSFFGEQILPFINQHQLDIDSVISQHTKKIRLELEERQKQHARILMAAIGEGVVKKMKEKDEQIQRMGKLNLALQERVKSLYVENQLWRDLAQTNEATANSLRTNLEQVLAHVSDERLSAGGRGGGAGVAVEDDAESCCGSSDHGNEREAEEETSAERRILAGGIGSRMCKMCGERESCVLLLPCRHLCLCTVCGSTLLHTCPACNSNMNATVHVNLSS >CDP04365 pep chromosome:AUK_PRJEB4211_v1:9:1703522:1706908:1 gene:GSCOC_T00017731001 transcript:CDP04365 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSTKPFLGAPSSSDGFSGLGSLQSTSKLLPASLHLPACRSHPRRLQIQAAGNSFGTYFRVTTFGESHGGGVGCIIDGCPPQIPLSETDMQVDLDRRRPGQSRITTPRKETDTCRISSGIAEGVTTGTPIKVEVPNTDQRGHDYSEMSKAYRPSHADATYDFKYGVRSVQGGGRSSARETIGRVAAGAVAKKILKLKSGTEILAYVSQAYNIVLPEGLVDHETVTLDEASLLNYHFVSFWKHLILP >CDP05624 pep chromosome:AUK_PRJEB4211_v1:9:11778163:11782280:1 gene:GSCOC_T00020782001 transcript:CDP05624 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSAGTMCIGMARNSTLLYDPRSNFHMAPGMSVLKIDFEGVYIETEKDGGQRLHCMLGNTTLSFWTSAPGTSSFTVSLSNNRPRLLQDGRVLLVLLYPNILSLTKRAIFGELRSLNEPKNPRYFDVIRISSQLNPFSRYKFSSEKLKSRPCDLRLFMQDLDEDGANRFNKTEFSIVMRRFSGYLFNIVPNWRFFNTGRLGPFFLGRGTHKNVRLIMQKFICETMSNSARIAALFRAFPGEMECDIAGISTGLSDMTIIRLMSKELCFTLHLCLVGCPRVSSSGLQGCDSQISLYFPHSFSIKQRSIIFGSISTISSEDNSHDPILFELEMCPLDLRFPNCWIGQSYQTIFLVLSCENNPASKMVADVKRLVLRYLALWKAKDVFAHYEINSLSYDLRISCYGTPVSSYNSHKPDVFIELEVLALGPLAMGRRSPRLHEHTAFSDNHVKVSKSEVLNVSLPLMFTQEPLKFTEKDYKNVSKLFLEGVYDPLAGEMYLIGCRRVTVQTSTLNLERGYHCLIEVKILYPAEALRWLVNPEAEITRMKMIPCVFFIIIIISYMLPYNEHQEDAVLRKVFEEILRNLMITASIALISSQLLYMKKNIDGIPYISVAMLSLQCLGYVYPVLSNTKFLLQEKECQFPYGLLGYDQLLTLLDYTAKLLVSTALVMTATLMRRVTEFRKQPHPGSPSKPIGVPRDKRVCQIIAEILVPGMLSYCLLYRSILENEDMSRIGDAQPEKSWAYKTQEFMGFIQDLFLLPQIVENVISHSPFRPLRKLYYIGFTLMRVVTHLYDSVRDPVTDPYSDEAASVNLDLLFPSRAHNVAFVVIMTRLAIALYIQQERSHQKFVPTARDNHSQIYVVSQVLVSGGIFTHFRLLFVCLLISCRKVD >CDP04355 pep chromosome:AUK_PRJEB4211_v1:9:1787425:1789762:-1 gene:GSCOC_T00017715001 transcript:CDP04355 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHPVAEANEKSPFGSLTPEEFYARHKVTHGAEFITNARGLKLFTQWWIPLPDSGKPLFGIVCVVHGFTCESSWFVQLTSVHLAKQGFAVCAIDHQGHGFSEGLQAHIPDINPVVDDCVAFFDDFRERHAPPDWPTFLYSESLGGAIALLITLRKDLKRPYDGIVLNGAMCGVSDKFKPPWPLEHFLPIVAAAVPTWPVIPTRGRIPEVSFKVEWKRKLAVASPKRPLAMPRAATAQELLRLSRELQGRFEEVTVPLLIVHGGDNKICDPACAEDLYKRAASKDKTIHIYPGMWHQLVGESDEDVERVFGDIVEWLRTRAERDIENGSGDGC >CDP14564 pep chromosome:AUK_PRJEB4211_v1:9:21311184:21323746:-1 gene:GSCOC_T00041092001 transcript:CDP14564 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTNLTNFPLIFNADKIVRSIFPFPFTLFSPVLNPATRFHIVPFFSVSRRSPSPNPLNSSLFLCPLLQPPSPNLSAAVSVIGLLLLQSVSGLPPSLCVADWVLLDCCVSLIVTMGNGGPIDLDKSTATLDPEKTTGGGLVAPGKDRVVFRPSERKSLLGLDVLANAKRSGSDVDVGFKMPRERVASVVASLDEDEERSTSSGIDEGENDAKEVHRNLKTRHYRESSTSKTSKRESTVTEGAHIHDSSSRHHSDEYTQVLEASSGSFRLPTPRHDLHDSGRRSSKVREEYRGRSREARRYSTEWEGGSHRESPRHHGSDYTDGYGRKRSRYEGPIRTPVRSEWDDGKWEWEDTPRRDSRSSRRHQPSPSPMFVGASPDARLASPWLGGHTPTASPWDSVAPSPTPIRASGSSVASSSSRNSGRSKSLTYSSKSSRFFEDAQVDTNHSTDDNDQEITESMRLEMEYNSDRAWYDREEGGAAFDGDSSSIFLGDEASFQKKEAELAKRLVRRDGTMMTLAQSKKLSQRAADNAQWEDRQLLRSGAVRSTEVQTEFDDEEERKVILLVHDTKPPFLDGRIVFTKQAEPIMPIKDPTSDMAIISRKGSALVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKSSEQIDADTAVVGEEGEVDFKEDARFAQHLKKGEAVSDFAKSKTLAQQRQYLPIFSIRDELLQVIRENQVVVVVGETGSGKTTQLTQYLHEDGYTINGIVGCTQPRRVAAMSVAKRVSEEMETELGDRVGYAIRFEDVTGPNTVIKYMTDGVLLRETLKDSDLDKYRVVVMDEAHERSLSTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFCIPGRTFPVQTLYSKSPCEDYVEAAVKQAMTIHITSAPGDILIFMTGQDEIEATCYALSERMEQLVSSTKQVPKLLILPIYSQLPADLQAKIFEKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYQNEMLPSPVPEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPQDNILNSMYQLWVLGALDNVGNLTDLGWKMVEFPLDPPLAKMLLIGEQLECINEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKANQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCGPDWDIVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDHVVYHELILTTKEYMQCVTAVEPQWLAELGPMFFSVKDSDTSLLEHKKRQKEEKTVMEEEMEKLRRVQAELERENKEKERKKRAKEQQQRLGL >CDP04091 pep chromosome:AUK_PRJEB4211_v1:9:4201894:4205321:-1 gene:GSCOC_T00017383001 transcript:CDP04091 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MGSSKFPLRYGIILLTFVCTFVCYIERVGFSIAYTIAADAANINQTSKGMILSTFYYGYACSQVPGGWAAQKIGGRLVLLFSFVLWSLTCALVPLDPNRVTVLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYFGAAAGMLVLPSLVKFKGPQSVFVAEAALGASWSLLWLKYASDPLRSEHPKATAAGFGESLLPIKGGQKTKVENGGHSIRTPKIPWKKIILSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQLSLQEMGSSKMMPYFNMFLFSNIGGVIADHLVTRRILSITRTRKLLNTVGFIVASFALIVLPYFRTSGGVVFCSSIALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIVGVDLTGRLLEAAKTAQLDLTSPDSWKAVFLIPGMLCIFSSFIFLLFSTGERVFD >CDP11272 pep chromosome:AUK_PRJEB4211_v1:9:6210531:6224978:-1 gene:GSCOC_T00033409001 transcript:CDP11272 gene_biotype:protein_coding transcript_biotype:protein_coding MKENGSMTHKMLNGNWVSKRKRGKHPSSVDKFSGKESKSKALESPSSTSSQQRLKNENTSDHLSSKIKGNDGHYYVCEICELGGTLICCDSCPGTFHLRCLNPPLKRIPSGKWECPNCRQESEPTEPIVHQDPVSKRARTKVTIGKSKAAKKSSDNNKKSQILGSSILGKKRSPSKEKFPSSHQGVEKKLECSNDLSRSSKPSHPSRDGSTEGTSSNGSVDNDKKPEVSLAKAPAETTPNSSAKKALSSFKILSSKIKKGASGKKHEFSSVLALEAANQKSRKRNLKLKIISTSKKRRTDKDSCVADSSKKRRFQAASACPGTSKLKVKHRTLDHEASVSLSEEGIETDVVNLDLKDEVVSEHPAHPSNALPSGGKIAVGPLTNAVTVPDFQQVHRVLGCRIKVDKTVSSGNLPVMDSDSLSLEDSRDNLQVYRRSVTKKVKEASGMVSVNKDSESSGLKAVNTRNPDDSFGNVGGLLGTMRRSPRENADVSLEADGENSILKNSEIHPSHEVEGSKEEVKDASANFASNMIVKESIVTGSSNQVRDTYEFLVKWVGKSNIHNTWIPETELKILSKRKLDNYKAKYGLATINLCEERWKQPQRIIAIRSSQDGSSDVYVKWMGLPYDECTWERMDEPVISKSSFLIDKYYQFENRALEKSVFKDDIRRRKSDLQQSEIVTITEQPEELKGGSLFPHQLEALNWLRKCWHKSKNVILADEMGLGKTVSACAFLSSLYYEFNASLPCLVLVPLSTMPNWMSEFALWSPNVNVVEYHGSSKARTIIRDYEWHPSESQSLQSKKLASYKFDVLLTTYEMVLADSSHLRGVPWEVLVVDEGHRLKNSSSKLFGLLNTFSFQHRVLLTGTPLQNNLSEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERIVPVELSSIQAEYYRAMLTKNYQILRNIGKGAPLQSMQNIVMQLRKVCNHPYLMPGTEPESGSAEFLHEMRIKASSKLTLLHSMLKLLYKEGHRVLIFSQMTKLLDILEDYLTIEFGPKTFERVDGSVCVVDRQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDHLFVNKFDSPKEVEDILRWGTEELFGDSLSVNGKDAGENNCSKDEAIGETEHKHKRKTGSLGDVYKDRCTDISTKILWDENAIQKLLDRSNLQSSSPDNAEMELENDMLGSVNWNDEPTEEQAITAPVPMVTDDTCIENSDKKVDNLVGIAEENEWDRLLRVRWEKYQSEEEAALGRGKRQRKAVSYREAYAPHPSETLSENGAEDEPEPEPEPVRVYTAAGRAWKEKYARLSARQKARLAKAKTDKARGFGGLSRPETLPPLTPPNAQDGHQKTASFLLVEDSGSAIDLVDKRSVQMPERTNKIEKLGKVQKPKSDVLLDLPVKPSVQQFPFGSPFSHQLQGTSFMSSAPSNNLLPVLGLYAPNASQMESSQRNFSRSHCRQRRPDFGPDFPFPAVPSSGCMNAMGVKGPETILGGYQLPDLSCDGSEKHSKPNIPNSFLPSNLQPALDLKGKGRVDDFDNSGASFSSFREKLLLPKFPLDESLLPRYPYPTKNLAHAPHDLFPSLSLGSKVVDANYRPELPTMPLLPNLKFPPQDISRYSQQEQQKPPTLGLGPMPPSFPSFPGNHRKVLENIMLRTGSGSSNLLKKKAKMDIWSEDELDYLWIGVRRHGRGNWDAMLQDPKLRFSKYKTAEDLSARWEEEQLKLLDGQGFPFPKASKPSKVAKSSLLPGISDGMMTRALHGSKLSGPSKFQSHITDMKLGLSDLPSSLQHVEPSRNLGLPGDHIPPLPPWNMDKSWGNFSRDLMSGPCDGLMSSSSIPRDSSFLMNAYGASGLAPLGLKSSSSLGPLGLNSSSNLDLEGTEHEVGADRSGKLPSFMEKPLNILPDLHNIGPGESSGSKLCSDFNKVQSTCDSKGKEVVEEYNSSRSSLPHWLREAVNAPSKSTEHDLPPTVSAVAQSVRLLYGDKNSAIPPFIVPGPPPSRPKDPWRSLKRKKKQRSRKVKQFKQDKMATQLSPSPAVLQLVASCTAPGPSGMATSTFADQGKHLDGQDEQSAEKVNENMWGQGKQDQSESHDSSKTQSDPAQARQPDGCEMSSEGTVSDHRASDQES >CDP05615 pep chromosome:AUK_PRJEB4211_v1:9:11275138:11309962:1 gene:GSCOC_T00020763001 transcript:CDP05615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEEPLVRSTDDSVEKSLNSEIEKPLSVDNFEEMSQESESMNPSSAESVKRASKCAKPNASTLPKGTARIGIAKRRTEVKNGSVANSTTAIRSTLTRPTISSVTRSLGSVPVVRRNSTGGLAEKQPISLLTKRQDGGVSSVAGRKTSSSVSETVRRSLPEIRRSSLPSVGTGATNRTSISDIRKSVPVSTVTRSPRSSSTSDASKHEYLKKTPVRPSPPSVSSTKKVASTSLDSTGSSSVVRKAVGKISSPTVRTPTTGSKGGSFSTSFDKSSNLSSRKKAGTPESRDSRLIMLPQVENKAGDDVRLDLRGHKIRSLNSSGLNLSSSLEFVYLRDNLLSTLDGIKILKRVKVLDLSFNDFKGPGFEPLENCKVLQQLYLAGNQITSLVSLPELPNLEFLSIAQNKLKSLAMASQPRLQVLAASKNKISTLKGFPHLPVLEHLRVEENPILKMPHLEAASVLLVGPTLKKFNDRDLSREEVVLAKRYPAHTAVCIRGGWEFCRPDQAVDSAFRFLLEQWKEELPPGFLLRGASVEQPFEEDPCCCNFDFVKDECASTDAELFLKYQWFIGDKTPSNFRAIPGATEKVYWPKREEVNRILKVECTPILADTEYPSIFAISFPVSPGTRHPKVLKTDIQGDLVEGNTIRGYAEVAWCGGTPGKGVSSWLRRRWNSSPVVIVGAEGEEYCLTLDDVDSCLVYMYTPVTEEGAKGEPQYAITDHVKAAPPSVSNVQIIGDVVEGNMIKGIGQYFGGREGPSKYEWLREDKDIGVPVLVSTGTNEYTLMKEDIGRHLTFVYLPVNFEGQEGKPVSTISQMVKRAPPKVTNVKIIGELKEGSKVTVTGIVTGGTEGSSRVQWFKTSSLTFQGENGLEALSTSKIAKAFRIPLGAVGHYIVAKFTPMTPDGEAGEPAYVLAERAVETLPPSLNFLSITGDYSEDGILTASYGYIGGHEGKSIYNWYLHEAENELGSLIAQLSGHLQYRITKDAIGKFISFTCTPVRDDGTVGESRTCFGQERVRPGSPRLLSLRIVGSAIEGTTLEVEKKYWGGDEGDSVFRWIRTSSDGTRYEICGATTPSYMLSLDDIGFFISVSCEPVRSDWARGPIVLSEQVGPIVAGPPTCHSLKFLGSLVEGEHLSCGASYSGGEKGDCLCEWFRVKNNGVREKLKAGEFLDLSIDDVGECIQLIYTPVRHDGMHGNPVILTSDPIAPGDPVGFDLVIPDCCEAKMVVPQKRYIGGKEGIGEYIWYRTKSKLHASALMDMTDATNDVCICGKTLSYTPSIEDVGAYLALYWLPTRADGKVGKPLVSLCDSPVSPAFPVLSNVRVKEVSTGIYLGEGEYFGGYEGSSLFSWYRETDDGTIALISGANSKTYEVTDEDYNCRLLFGYTPVRLDSVVGELRLSEATDVILPELPTIEMLVLTGKAVEGDLLTAIEVMPKSESQQKVWAKYKKDARYQWFCSSEVMNSRSFEPLPSQQSCSYKVRFEDIGRCLRCECIVTDVFGRSSEPAYAETDFVVPGIPRVDKLEIEGRGFHTNLYAVRGIYSGGKEGKSKIQWLRSMIGSPDLISIPGETGRMYEANVDDVGYRLVAVYTPVREDGVEGHPSSVSTEPIGVEPDVLKDVKMKLDLGSVKFEVLCDKDRSTKKDPGLGNLERRILEVNRKRVKVVKPGSKTSFPTTEVRGSYAPPFHVELFRNDQHRLKIVVDSENEVDLMVQTRHLRDVIVLVIRGLAQRFNSTSLNSLLKIDN >CDP04158 pep chromosome:AUK_PRJEB4211_v1:9:3482792:3488251:1 gene:GSCOC_T00017467001 transcript:CDP04158 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRFCQMHILSDNKQKLYKACNYAIKSPPTGPILCGKPILRSTVPSYCALHFQKAEKHVARALKKAGLNVSSTSKLAPKFHVVVAEYTRQIQNKRRAAQKALSENADMKEDISC >CDP14540 pep chromosome:AUK_PRJEB4211_v1:9:21707650:21711749:-1 gene:GSCOC_T00041058001 transcript:CDP14540 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSRWMPNYQGVICPTLGVIRPQLPSRIHCRSWSTFRNHQSMPVPSGKMGNGRTPCFVRNKYALSIVGRNHQLPSIDDDFDQEPFWSNVLKKSFWALKSLSRFLVEQPSQLKYIEWPSFQSTLKTATLTLLLVGLLIIALSSVDSALYYILALLSRRRA >CDP11247 pep chromosome:AUK_PRJEB4211_v1:9:6645723:6647589:1 gene:GSCOC_T00033369001 transcript:CDP11247 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKWEENDVGGDVPEFGAIFMSNIAAKRECFKRKVFGLPSSMASFVKQVKVGMVLFLFEFEKRQLFGVYRATSDGGMNIAPHAINSSGKRFSAQVRFTPIWICRPLFENEFREAIKENYFSTKKFHFGLSKEQVRRLLHLFSTRKLNDMLAPRQMTRVVTGEFGNGTF >CDP04194 pep chromosome:AUK_PRJEB4211_v1:9:3182629:3186825:1 gene:GSCOC_T00017508001 transcript:CDP04194 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSTESRSPLPSSPTSSVGRKRKGSLKRRPVSRNSSFDYKREEMLHRIPGRLFLNGSSEVASLFTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKRVRDCLPLKLSAHWEVNIKNDDVLREISQNRTVSMNSEDTSYSSVSVDEEARVSIGVEDAEKHPEVFQTLKESFMKAFKVMDRELKMHTNIDCFCSGTTAVTLVKQGDDLVIGNVGDSRAILASRDGNDSLIAVQLTVDLKPSLPAEAERIRKCKGRVFALQDEPDVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPEISYRRLTEKDEFIVVASDGIWDVLSNKEVVDIVASSPARSSAARRLVESAVRAWRSKYPTSKVDDCAVVCLFLDSDINNFSTACAAKSKEQSEVSTKKEDLDTPTGLMRSGTVRAADNSLVQEQSKEEAAEESKEEASEYEEVGKEWSALEGVSRVNTLLTLPRFVPSKEDEKAAGETKKRK >CDP06315 pep chromosome:AUK_PRJEB4211_v1:9:16556158:16558849:-1 gene:GSCOC_T00023090001 transcript:CDP06315 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQEQSSIIKGSEAKEMMSPGIFQGDLQSDAPCLRQYTWWLQVAVYTILTLAGQTVGVLLGRLYFDKGGNSEWMATLVQNIGFPVLLPFLLSSPSKTRNQETGIVRPSFVVLASTYTFLGLCLAGESLLYSLGLKYLPVSTFSLINASQLAFNALFAYFLNSQKLTPFIINSLVLLTLSSVVLVIQDDSEDSNKTSNNKYTAGVICTIAASALYSFMLSVTQLIIQKILKKETFRLIIDLTIYKSLVATVAITIGIFVSGEWKSLKSEMKEFKLGKVSYIMTLLWTAVSWQVFDLGCNGLIFKVSSLFSNVISVVGLPVAPVLAVVFFHDKVTGAKVLSLLLALWGFISYVYQQYLDDMKAKADRNSTSNEVVEASPVGIK >CDP04314 pep chromosome:AUK_PRJEB4211_v1:9:2161691:2165907:1 gene:GSCOC_T00017664001 transcript:CDP04314 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFWRNKFYYQISFSIINNAPMKTIPLSPSSIRTLFTHSNPTKYLNPHSNPSKLKNAFVFNLISRNYSHSSSSMPAHPFFASRRNPGFAVAKCLSSVSSSPNTVGWNDAISCSEIGDGGASEAEVTALSEVDDDDDAIAGSIPVRAYFYSTSVDLRGLMDQNKPNLIPPSSRMTNYVVLRFGKTKSEPNALGASLSGSDCSYMVVFHYGSIVLFNVRDHEIEGYLNIVEKHASGMLPDMKRDEYEVREKPALNTWMQGGLDYIMLQYLNIDGIRTIGSVLGQSIALDYYVRQVDGMVAEFTDINRGMEKTGTFKMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILIAAEILISVYDIAHKSAITSL >CDP14534 pep chromosome:AUK_PRJEB4211_v1:9:21755909:21757369:1 gene:GSCOC_T00041050001 transcript:CDP14534 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATPTRLILWKMPTPAMNRRCGPVVPVCCSLCKQGQRFLSSLATTDESSSAAHHRSLRKFVKTSSKHVALDTLSHLLSPTTAHPHLSYHLALPLYLIISQASWFSWNAKLLADVTALMYKQERFIEAEALILQALKKLPAHDRDLCNFYCHLLHSNAKHRSRKGVFDSLTSLKQLLARSSSVYVQKRAYESMISGLCEIGLPGEAENLMEEMRGVGLKPSGFEFKSLVHAYGRLGLFEDMKRSVTQMEDAGVELDTVCSNMVLSSLGSHKVFSEMVSWLRRMKDSEVSFSIRTYNSVLNSCPTLILLLQDPKTIPLSMEDLMGNLSQEEADLVRELVASSVLDEAMECNSAELKLDLHGMHLSTSCLIFLQWIDRLRLRFSAGDNMVPTQITVVCGSGKHSASRGESPVKGLLREMILRIKCPLRIDRRNLGCFVAKGKVFSDWLC >CDP12634 pep chromosome:AUK_PRJEB4211_v1:9:8302585:8304378:1 gene:GSCOC_T00036330001 transcript:CDP12634 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFGAQVHGNVVRCGLEMESSVANTLIAMYAKCQCLSNARKLFDLITQADFVTWNAMIGGYVQSGHMPEALDLFCQMLSVGARPDSTTFTSILSLFSDFQSLNQAKEIHGYILRNNVNMDVFLKNALIDIYFKCRAVKMASKIFNSCPAVDIVICTAMISGFVLNGMDFKALEMFRWVLDKKMRPNAVTLASLLPACAGLAALKLGRELHGSILRNGLEDRCFVGSSISDMYAKCGRLDLARLAFFRISKKDTVSWNSMITSCCQNAKPEEAIDLFYQMRLEGAKYDSVSLSAALCACANLQALCYGKVIHGFMIRGAFSSDLFAESALIDMYAKCGHLELASTVFDMMECKNEVSWNSIIAAYGNHGHLEDALALLNEMREDGFQPDHVTFLAIISACSHTGQVEEGKQLFEFMTQELGITARMEHYACLIDLFGRAGCLEEAHQVVRTMPFTADAGIWGTLLGACRVHGNIELAELASNHLFDLDPQNSGYYMLLSHVHADTGKWEGVNKVRNMMKERGVQKVPGYSWLEVNQSVHMFVAADTDHPQSSEIYLLLRHLLWELHKEGYVPQYYHPMHRSKFTNSCHSSPTKAVQF >CDP18193 pep chromosome:AUK_PRJEB4211_v1:9:4620493:4634850:-1 gene:GSCOC_T00007096001 transcript:CDP18193 gene_biotype:protein_coding transcript_biotype:protein_coding MSQITNTTDLRGLLVNINRNLKILFNIENKTFKIVQRLLLAIQISGREENLTIWCVVPSDNCNGLQVLGSCATGLEQLPMDADLFDDILTDNAVKTARAGGKFQPKAKPCGPPRPIKKNSGPSSLPSSEPVRTNDVSESTADECHEKEKPAASVDDRSFGVVNCTSQHLDSSGNGNPIASLGPVMDIFVPDSNEDWHSCFEKTMGENSDIFIGLESLGDFLPDSATVLDNSIPSSEARNVHISDSENTEGGLADPLIPNISSQSEFSTAQDPLAGTDRIVVTSGGCSDDRNLDTEEMETYQVDSLDMSELTTSSGRRKFQPKSKMQAHRQGSGSSIPDLDMVDPVLCQQDLESVPSEVDVVDCRSVPASPTDDILDVSSAGPNQTAPAQLTSEVLLDAEPSNLMGASYQEDGILVDHSEAVLELPGKLASRRAKSGKDESEDEDLEDRDLPAESLSNSVLNEDDNTDEEFQLEDDSQTKKSQKKCKKPTFDKEKPARKRKKDTEASEQAVKAAPKKFSHSTRRRRVDKALLETPEDEIDFQKVPLRDLILLAEYRERMAKKEATTTPGPLSNQSNGNCSARDNEDGAAGTSEQYGEDEAFASEQGREANDEQESPQVEENSGYFNYQTYMDKTPIARWSKQDTELFYEAVRQFGTDLSMIQQLFPGRTRRQIKLKYKKEERQHPLMLREALSTRSKDHSHFELVIERLKQMAAEEKQNSSTADSVNLMGEEEAEEETLETNEMDEEAKSEQIDGGVLGNMEPDISETQSPEKSYDSEEELLRWSQYKSEI >CDP04241 pep chromosome:AUK_PRJEB4211_v1:9:2774567:2781714:-1 gene:GSCOC_T00017572001 transcript:CDP04241 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGKVILTYKRQRTPHWIAYKRKKPPSRKDFSWNNESPDTSARCPSCNGSTTTEKKGESNESNKSECPSYNASTTAKEHEESTQGNNSECPSNDPSIKAEKLEESTDGNIIECPSTDVPTTAQKQEETSEGNRSGCPRNDALTMAETQEESTEGNGSECPSNDAPNTAEKEEESTEDNESEFPRTDSPPTAENQQESTEDNRSECPARNDGFYLLKHASEGKRQYSGYIKRQNFCVSAEKASIEEDKNLLVHDMRQLDADSHAVLEASPREGIFQNASGDQLSADLHTPGKSASIKEASYSVVKSISIRRNRPTMKCSIPSSANCNSGSETHQFSLKSSVEKDYAGGYKIASELDKFTLKETCLPVEDKPSSLSASGTLQSKLSSPLITFYRRCKRKKDVDGLHTQNTLGVAEDCSLEFTGSKSAGFASVGEATPPESGSKHILVNTNPSEENLNRRVAFDANHEKMASREVEESYTSRLSPENANFEQADDKLENAQDNQSTLEVFPQNSVGVDFLEGRIGCSGNLSNDSADDLSCKPVSKYCKNTVAQESVRLSCQDTVAHESLRLSYDDLSTVRKTNEIPRGQHAAVSLDLSVPLPDSHGTEECGTASIHEEQPLCGLLNSQSGSHTMLIKDESPGNKRLELLCERVGEKHPLHQAQLPEDGFLSEEAVGHNYNNVANSSPVFGLKNNCLQLFPEDRSDDMFQSKKTHKYVTACADSEERTVGLTESRSQTRLSATKSSLFLGLFLPMELINDGHDQSSTSSQRPNIGIQSKEFAQDTVPEFPHQTSSYRRHKMVLDNIVSRARMPKRKRGSCLESYDSPRMWSEEELDSLWVGIRRYGRGNWDVMLRDPRLHFCPWRTPKELAAQWEEEQSKLFNTMPTARGRHSRTPNIFQDSVDSFWHSKTGKENLVDDVQLSLGDVYSQPNGSVQKRPLFNYFNVQTNAPWQLQKAATNTRTMYSCRENRRRAMLQNNAMLDVECSFGANQSTCMAIGGNLPHWLREVVSIPLRSPQTVLPSDNSSVGSVERQWVKKPFLDAKGIHHEPSYRISNKRTVAERVEQQAGAGAHNGNFPFVLEHNQAEVQNPGIKQDLIIIDSDTSSEETISDDHNAKV >CDP20163 pep chromosome:AUK_PRJEB4211_v1:9:19649674:19650405:-1 gene:GSCOC_T00000755001 transcript:CDP20163 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSPHAQFFSALKQVEKRLKLENPSPLPILSPSLDSLSSAIYLYHTQNTTNTTNPSSTPQESDPPHEFLSNSPDFCPTQKTSFEKDSEINQETSNEVESGDLDDIELLMQLLGLPDENELKKDGSGFDSCFGCDDEFYGKIVGVKGPKCVKELQRLEGWIEHFMNGGGEKKEPLRLAHLLLSKAAFLSSLEGSSDGFQGFEFPTTIDDFLHNDPPID >CDP04442 pep chromosome:AUK_PRJEB4211_v1:9:953637:957751:-1 gene:GSCOC_T00017835001 transcript:CDP04442 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDPQPDASTSGNLRKSVSQSTSSLTSNGSVESISATRFSHGSRRKSKRWRGWCQRRGIAGLALLVLVFFISNSWMLYRIRDPGRAAGIKVKYLKMNSSTVSIKEELVKLGKGKKPQKTIYARLLAKASHALAEGQNKPEPKDLWVDHDNASSWKPCADQRDWEPSEGNNGYIMVTANGGINQQRVAICNAVAVARLLNATLVLPGLMYSSVWRDASHFGNIYQEDHFINYLRPDVRIVKELPKQLKSLDLDAIGSVVSDADIVKEAKPGFYKKYILPILHLNRVVHFVGFGNRLASDPIPFKVQM >CDP12631 pep chromosome:AUK_PRJEB4211_v1:9:8345855:8347175:1 gene:GSCOC_T00036325001 transcript:CDP12631 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYIYIYIYIKGGVSPQLGPSKFLQLLTSPEQKTRERQSQVPPPPTPNSYLSLPSLYFWQIQIPALNRGKGLKKIHQPQLFCIIASLLVQLLYNRRRDTNRQLMSEG >CDP12613 pep chromosome:AUK_PRJEB4211_v1:9:8674060:8676189:1 gene:GSCOC_T00036294001 transcript:CDP12613 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESSTALSNGNAGAPSDPHRTYEVVVAATSKMGIGMGGKVPWKLPTDLKFFKDITVTTSDPTKKNAVIMGRKTWESIPLEHRPLPGRLNVVLTRSGSFDIATAENVVICGSLLSALELLAASPYCLSIEKVFVIGGGEILKESLNAPECDAIHITEIDADIECDTFIPAIDSSEFQPWYSSFPSIENKLRHSFTTYVRVRNSGVEPISQTNGVIPGNSLDIAKIEVKTFSFLPKMIFERHEEHKYLRLVEDIILNGTAKDDRTGTGTMSKFGSQMRFNLRKSFPLLTTKA >CDP04390 pep chromosome:AUK_PRJEB4211_v1:9:1480553:1486203:1 gene:GSCOC_T00017765001 transcript:CDP04390 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTRMFAGQLGNLELAAASLGNQGIQLFAYGLMLGMGSAVETLCGQAYGAQRYNMLGVYLQRSTVVLVLTGLPVTVVYVLSKPLLLWLGESKSVASAAAVFVYGLIPQIFAYAVNFPIQKFLQAQSIVAPSAYISLGTLFLHVLLCWISVYKIGLGLIGASLVLSLSWWIIVIGQFVYIVLSDKCKTTWTGFTLEAFTGLGEFVKLSAASAVMLCLETWYMQILVLISGLLPNPELSLDSLSICLAVNGLLFMVSVGFNAAASVRVGNELGAGNPKSAAFAVFMVNFVSFVVAVVEAIIVLSLRHVISYAFTSGGTVANAVSDLCPFLAVTLILNGVQPVLSGVAVGCGWQAYVAYVNVGCYYVVGIPLGCLLGFKYKLGAKGIWSGMIGGTMMQTLILVWSTFRTDWNKEVEKARERLRTWDDKKEPLLKD >CDP04406 pep chromosome:AUK_PRJEB4211_v1:9:1273832:1276968:-1 gene:GSCOC_T00017785001 transcript:CDP04406 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAESSRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGFPEVQ >CDP12630 pep chromosome:AUK_PRJEB4211_v1:9:8347227:8352236:1 gene:GSCOC_T00036324001 transcript:CDP12630 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNRTRTQSRSTRSMSLGGMDYVDPKKKSNVVGKVFMAAALTALCIIMLKRSPTFNTPSPFAHYEPGVTHVLVTGGAGYIGSHAAFRLLKDSYRVTIVDNLSRGNLGAIKVLQELFPEPGRLQFIYADLGDAKAVHRIFSENAFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLGVLEAMHTHGVKTLIYSSTCATYGEPEKMPITEQTPQKPINPYGKAKKMAEDIILDFHKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVRGTDYKTEDGTCIRDYIDVTDLVDAHVKALEKAKPGKVGIYNVGTGRGRSVKEFVEACKKATGVPLKVDYLPRRPGDYAEVYSDPTKIRTELNWTAKYTNLQESLRVAWRWQKAHINGYSTPQSI >CDP04204 pep chromosome:AUK_PRJEB4211_v1:9:3109952:3112067:-1 gene:GSCOC_T00017521001 transcript:CDP04204 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKANHVNSQTPNISVVNNQLPHLREGIKSETGIQELHREDQKGTYPGAAEMSRNAEDGYSWRKYGQKHVKGSEYPRSYYKCNNSNCPVKKKVERSHDGEITEIIYKGAHNHPKPHPRISLGPPSQSSEMLETSEGSEPGLKAENRTEIALTAAYQDGEDDDRATQGSTSQGDEADADESEPKRRQKEVFSTEAYLPSRSTREPRVIVQIESEIDVLDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCPVRKHVERASDDIKSVLTTYEGKHNHEVPTNKTSGLPSASNSPPDVSDPNSSLIVPRNPSISNRELQVQDLPLHYEMKPALGNHDFLRSNLLGDFVTDHMQIGASSIYQMKFPPTPLQNPLSYSTFLMNSSGSDSRVSCQKFPPVVPDFPMSIPINVPRSSPNMALNNAFHSFNHNQTIPSATAVQCQHITEGDLRFLRPKEEKEDNLYETCLATPDNSNVTSSTEFCRSNGNFPS >CDP04444 pep chromosome:AUK_PRJEB4211_v1:9:946189:950669:1 gene:GSCOC_T00017837001 transcript:CDP04444 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRAVWISSLTRKLLTFVIAISCIAEERDIYIVLMEGEPVVFHHQKNSGTMPTEKGEGSDPNSEASKSHAKHLIDSHDQLLQTALDAGSYTKLYSFKNIVNGFAVHTSPSQVERIKKAPGVKIVERDRRAKLMTTYTPQFLGLPAVWTQEGGDRNAGEGTVIGFVDSGIDPLHPSFAGDPTNPYISELPRFSGTCESGPLFPETSCNGKIVSARFFSAGAQAATALNASMDFLSPFDAAGHGSHVASIAAGNFGVPVVVDGFYYGKASGMAPRARIAVYKAIYPSVGVLTDVLAAIDQAVLDGVDILTLSIGPDQPPEDAITFLGTFEIFMLAANKAGILVIQAVGNGGPGPYTVVSYSPWTVGVAASDTDRSYPGTLILGNGQKIGGVGLSGPSSGQGLLQHRLILAKDAVIRNGNFPRISQYIEECQYPEALDPAVVLGSVVICTFSDGFYNGTSNLTAIIKTAKVLGFVGFVLVANPRYGDFIAEPIPFSVPGIMIPRTSDALLISQYYEEQTSRDKRGLVISYSGRAAIGEGRTAACMGRAPTVSRFSARGPDYIDQRKNPTDVLKPDILAPGHQIWAAWSPLSASDPMLAGQNFALISGTSMATPHISGIAALIKQNNPKWTPSMIASAMSTTATKYDNQGDQIMAHGFEIDSLYTAAPFGFGAGHVNPSRAMDPGLVFSAGYEDYISFLCSLPNLDPASIRTATGGPCTSSFGSPADLNLASVTITALSGSRTVHRRVTNVASKPETYVCGVLPPVGVMIDIHPPWFRVAPQGIQDLEIRLNVTQALDDFSFGEIVLTGSLDHIARIPLSVLPVSLS >CDP06300 pep chromosome:AUK_PRJEB4211_v1:9:17353190:17362155:-1 gene:GSCOC_T00023066001 transcript:CDP06300 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKPICLATSHRQTTPFFAGGISSCGKDPLSERHLIPVPATASPTRVKTPQVFLEGALMEGLISAQLNPIRTHSTQESSVFFPTFSLRIKTIGFGNKLEAMRNLKSDTSINASVQPLEASKEGQFNKALPSKEVLEVWRDADAVCFDVDSTVCLDEGIDELAEFCGAGKAVAEWTARAMSGSIPFEDALAARLSLFNPSIAQVQDFLEKRPPRISPGIDELVKKMKARNTDVYLISGGFRQMINPVASILGIPVDHIFANQLLFGSAGEFLGFDTDEPTSRSGGKAIAVQQIRKANGYKLLVMIGDGATDLEARKPGGADLFVCYAGVQLREAVAAKADWLVFNFKDLVDSLE >CDP04561 pep chromosome:AUK_PRJEB4211_v1:9:43344:59458:1 gene:GSCOC_T00017994001 transcript:CDP04561 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRAQYNPDQYLWEKDFTLAGRKYKRQDLEANGTPLPCVVYCHGNSGCRADANEAAVILLPSNITLFTLDFSGSGLSGGDYVSLGWHEKNDLKVVVSYLRSNPNISRIGLWGRSMGAVTSLLYGAEDPSVAGMVLDSAFSNLFHLMMELVDVYKIRLPKFTVKVALQYMRRVIQKKAKFDIMNLDCVQVAPRTFIPALFGHAKNDKFIQPHHSDLIFGSYAGDKNMIKFDGDHNSSRPQFYYDSVTIFFYNVLHPPQLTPAHTSKKEKYSDLGDLKVSDDPDENLLYEIIAGLQSVGADASSSSSAPPGISTAKSVGELLSEIAPVDSLVIEDNLVNCADSSHAQDKPSGQNEESCSFTSSNRESWGRCSSLGSDDEPCLDCTDDVSDQRTLKVLATPVRSVRQTAIGTTHDEKNKKAVSTTKKKSKRDKFEKLEALSQRLRLCILKRVNHKRHCSS >CDP14501 pep chromosome:AUK_PRJEB4211_v1:9:22074649:22079740:1 gene:GSCOC_T00041011001 transcript:CDP14501 gene_biotype:protein_coding transcript_biotype:protein_coding MITLARLFLLFLVLFLSSSASKAASAVSQDEDSSSASSIISRFRQYLQINTAQPKPNYHEAADFLISQANSLSLESQVLEFVQGKPLVLLKWPGKNPALPSILLNSHTDVVPSEHHKWAHPPFDAHLDSATGNIYARGSQDMKCVGMQYLEAIRKLKASPSFRQPLRTVYLSFVPDEEIGGHHGAEMLAHSEVFQKMNVGVVLDEGLASPDDFYRVFYAERSPMWLVIKATGPPGHGAKLYDNSAMENLLKSIESIRRFRAAQFDLVKSGSRAEGEVISVNMAFLKAGTPSPTGFVMNLQPSEAQAGLDIRVPPTADHLSLERRIAEEWAPSSRNMTFEFKQKASVYDKFGKPMLTAHDGSNPWWGLLEEAIKNANGKVGKPEIFPASTDARYFREQGLPAIGFSPMANTPILLHDHNEFLNKDEYLKGIDAYESIIKAFASFDERTSDDESKAEL >CDP18169 pep chromosome:AUK_PRJEB4211_v1:9:4469764:4472481:-1 gene:GSCOC_T00007067001 transcript:CDP18169 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPCTSTQALSQPPYPVSSLQTQIPKPPSQIKTYPQPPLSTTTTKAPFPPTITENPPKPTSHARSLTSWSKEFRSLTQAKRFEEAVSTYIQMTTVGITPDNFIFPAVLKAATGLHDLNFGKQVHGSVVKLGYDYSSVTVANTLLHLYGSCGDVSEVFKVFDGMPERDQVSWNTMINALCKFEEWEMALEALRLMGNEGTEPSSFTLVSAALACSNLGGSDGLKLGKQVHGYSLRVDDCKTFTKNALMTMYAKLGSVGDSKAVFEVYANRDVVAWNTIISACQQNGQFYEALEHFRIMIIEGFMPDGMTLSSILPACSNLELLDIGKEIHAFVLRNEDLVENSFVASALVDMYCNCKQVGSGRRVFDGTPERRLGLWNAMLAGYARNGFYENALMLFMDMMEIPGLLPNPTTIASVLPSCVHCEAFSNKEVIHGYIIKLGFARDRYVQNALMDLYSRIGKITVSEYIFSIMDSRDIVSWNTMITGCVVCGYHENALRLMHQMQEAEISMEWKDNYEGNLGTPIKPNSITLMTVLPGCAALSTLSKGKEIHAYSIRNALASDVAVGSALVDMYAKCGCLDLARRFFDGMPTRNLISWNVIIMAYGMHGKGNEALELFNAMVVDGSRNGELRPNEVTFIAIFAACSHSGLVDEGRQLFHKMKEDHGIEPTADHYACVIDLLGRAGQLEEALQLINSMPIDYDKVGAWSSMLGACRVHRNVELAEISANNLIQLEPDVASHYVLLSNIYSSAGLWEKANNVRKNMKEKGVRKEPGSSWIEFGDEVHKFLAGDISHPQSEQLYSFIDELSDKMKEEGYEPDTSCVLHNVDEEQKENLLCGHSERLAMAFGLLNTPPGTTIRVAKNLRVCNDCHAACKFISKIVEREIIVRDVRRFHHFKDGTCSCGDYW >CDP14556 pep chromosome:AUK_PRJEB4211_v1:9:21485621:21489093:1 gene:GSCOC_T00041081001 transcript:CDP14556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxypyruvate reductase [Source:Projected from Arabidopsis thaliana (AT1G68010) TAIR;Acc:AT1G68010] MAKPVQIEVWNPNGKYRVVSTKPMPGTRWINLLIQQDCRVEICTQNKTILSVEDIIALIGDKCDGVIGQLTEDWGDALFSALSKAGGKAFSNMAVGYNNVDVNAANRYGVAVGNTPGVLTETTAELAATLSVAAARRIVEADAFMRGGLYEGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQATRLEKFVTGMYFPFPAYSYGQFLKANGEQPVTWKRASSMDEVLREADVISLHPVLDKTTYHLVNKERLAVMKKEAILVNCSRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAIVVPHIASASKWTREGMATLAALNVLGKIKGYPIWSNPNSVEAFLDENSPPPAACPSIVNAKAIGKSFTNVLVSTNSLSF >CDP12602 pep chromosome:AUK_PRJEB4211_v1:9:8840567:8843996:-1 gene:GSCOC_T00036275001 transcript:CDP12602 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRLLQKMENYQGDLADILRASGGRSIASSNISSAEVSVSAPRDWQFPSNQVGIYEEPSLDEFGDPFTNMRDPLLLPDMDHMPASGFYDASSTDHIITLLTQRILDDHELSRRPPNNIFTRMLQISPNAKPPSSPRESQLLVAASPRGLKPPTLVTNDMINTSNNSKVSVIENAPVQISSPRNTGIKRRKSQAKKVVCIPAPAPANSRPSGEIVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPTKSGSTSKATNSSHAQKATNLKEEEKPETQTDNASSPIVTGSSSTGQVVKEETEMEMEVEGLDKKKEMDNLDQFNEGFPQSYKPGLPDSNHSEDFFADLSELEADPLNLLFTQGYSGDEERENKNLELFSFYDWTTNNSSMTTTTIMTTTTITSSLEEAKKGS >CDP11293 pep chromosome:AUK_PRJEB4211_v1:9:5863278:5873563:1 gene:GSCOC_T00033443001 transcript:CDP11293 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFHNLSPLGLVLLCFLSASFAMFPTNITTDQSSLLALRAHISFDPLQILAKNWSVGSSVCDWIGVSCGSRHRRVTALDISNMGLTGIIPPQLGNLSFLVSLDLSANNLHGELPHEFVGLRRLKLLNLSVNNLEGELPQWIGSFPQLRRLSLRNNSFTGLIPSSISNLSKLESISLSYNPLQGNIPIGIFNISSLQVINLINNSLSGVLPSDMCYHLPRLSYLQLSMNKLNGQLPSSNLAQCSELRALSLSINEFGGSIPKEIGALKKLEELYLGHNYLEDCFWWIGSFPQLRLLSLSSHSFTGLLPSSISNMSKLEEISLSYNLLAGDIPTGIFNISSLQMIDLANNSLSGVLPSNMCYHLPGLSFLGLSDNKLYGQLPSSNLAQCSELQVLSLSYNEFGGSIPKEIGALKKLEELYLGHNYLEELLPKIFRILDLNLLIFIPFHFAGQIPKEIGNSTMIKIQNFASNNLTGVIPREIGNWYFLQQLEMEFNSLTGSIPIEIFNLSKLSIMSVTQNQLSGNLPSTFGYRLPNLEHLYLDINDLFGVLPSSISNSSNLRHIEFGGNKFTGPIPTSMGDLRFLEVLSLSDNFLVSDSSSPELTFITSLTKCQYLSILDLSDNPLNGIIPDSLGNLSNSLERLYVAGCKIKGSIPDGIGNLRSLILLDLSNNDLTGSLPATIIDLQKLQYMDLSMNNLISRVPLHLLCALHNLDTMNLGQNQFMASIPKCFGNLTSLRHLNLSHNRLYSAPPEEIWNLKDLLELDLSSNLLSGSLPYAITNMKMANWVNLSTNQFSGGIPDSIGDMQNLQNLSLAHNRFEGSIPESIGKVFSLESLDLSHNFLSGSIPMSMENLRYLKYINLSFNNLSGEIPSKGPFTNCTAESFASNQALCGAQRFHVPPCPSISAHKLRTKKLHRTIFISLGVIIAVGALSFGFVYLRYQKKDEFSSGADLSLVAMPERISYFELVQATNGYNESNLLGTGSFGSVYRGTLDDGRVVAVKVFNLQVDGAFKSFDVECEVLRNLRHRNLTRVISSCSNPEFKALVLEFMPNGSLEKWLYSHNYFLDIIQRLDILIDVAGALQYLHCEYATLVIHCDLKPSNVLLDQDMVAHLSDFGLTKLLGEENSITYTETLATLGYLAPEFGLEGLVSAKCDIYSFGIMIMVVFTRTIPYSEMFGENLSLKSWVANSIPDGLANVIDANLLKESHECIGRKLSCIASIMKVALGCTMESPKERSSIQDVLVTLKKIKLQFIKLSPLQILQPGLGTITEQSLAMEKFHNLSPLGLVLTCFLSASLAMFPSNITTDQSSLLALRAHISVDQILAKNWSVASSVCDWIGVSCGSRHRRVTALNISNMGLTGIIPPQLGNLSFLVSLNMSANNFHGELPHEFVGLRRLKLLNLSVNNLEGEFPHWIGSFPQLEEISLSYNLLQGNIPIGIFNISSLQIIALSNNGLSGVLPSDMCYHLPGLIRLSLSKNKLYGQLPSSNLAQCSELRVLSLSFNEFGGSIPKEIGALKKLEGLYLGHNYLEEIGNWYLLQQLDMEFNSLTGSIPIEIFNLSKLSVMSLLRNQLSGNLPSTFDYRLPNLEHLYLSNNHLSGALPSSISNSSNLRLIEIGDNKFTGPIPTSMGDLRLLELLDLAINNLVSDSSSPELSFITSLAKCKYLAILFLGGNPLNGIIPDSVSNLSTSLEQLYVPYCEIKGSIPDGIGNLRSLILLDLSNNDLTGSLPATMKDLQKLQYMDLSMTKLISRVPLHFLCALHNLDTMNLGQNQFMASIPKCFGNLTSLRHLDLSHNRLYSAPPEEIWNLKDLLMLDLSSNLLSGSLPYAITNMKMANWVDLSTNQFSGGIPDSIGDMQNLQNLSLAHNRLQGSIPESIGKVFSLESLDLSHNFLSGSIPMSMENLQYLKYINLSFNNLSGEIPSKGPFTNCTAESFASNQALCGAQRFLVPPCPTISAHRSRTKRVRRTIYILLGVIIAMGALSFGFVYLRYRRKDKLPNGANLSLVAMPERISYFELLQATNGYNESNLLGTGSFGSVYRGTLDDGRVVAVKVFNLQVDGAFKSFDVECEVLRNLRHRNLTRVISSCSNPEFKALVLEFMPNGSLEKWLYSHNYFLDLMQRLDILIDVAGALQYLHCEYATPVIHCDLKPSNVLLDQDMVAHLSDFGLTKLLGEENSITYTETLATVGYLAPEYGLEGLVSAKCDIYSFGIMMMEVFTRTNPNSEMFGEKLGLKSWVANSIPDGLANVIDANLLKESDECIGEKLSCIASIMKVALGCTIESSRERSSIQDVLVALKKIKLQYMSSQNSRTS >CDP04118 pep chromosome:AUK_PRJEB4211_v1:9:3925560:3930277:1 gene:GSCOC_T00017418001 transcript:CDP04118 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVKSSSPAPKQLYNKIFIDGLSMCTGVANRKINKSRQCVGSINEDSFIVPRGCCFQELSQRNLASDYVLVILMRIFFFFFGFREGVASSNFHL >CDP17609 pep chromosome:AUK_PRJEB4211_v1:9:7616446:7624560:-1 gene:GSCOC_T00005117001 transcript:CDP17609 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLGPYSGTSTLALVARASAFTFGVVYGNLKLKYLKSLDTLFFIPSISRFGNEETTLEHTSTWAVVVVCFILIAVSILIEHGLHLLAKYLQRKRKKYVLHVLSKIKSDLLLLGFISLMLTGGERPLAKICFCLYFLVRLQIMQSNGWCGKVEIEWGNHAVSNKVQEAV >CDP19569 pep chromosome:AUK_PRJEB4211_v1:9:20768948:20773273:1 gene:GSCOC_T00006972001 transcript:CDP19569 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEGRVHPDCRNASNPYHECSEYCFKIIAELKKKMNTNNTELVEAYDSGDQPNPVASSNEGEDLHGGNNDSAGERSSDAEDNVGVDMTNLTGRQKKLFELRLKMNEARKANQTAMVAEKKKMEVPEESRGISKQKWLEERKKKIGRLLDANGLDMSKAYMLDTQDMAETKYKKWEKNPAPAGWDVFNQKTLYNAYKKRTGNIEVDLEEYNKMKEADPEFYRDASSLQYGKAPKIAEEKIDKMVKELKDREEKRRAFSRRRRYREEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >CDP04120 pep chromosome:AUK_PRJEB4211_v1:9:3908951:3915363:-1 gene:GSCOC_T00017420001 transcript:CDP04120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MEGRRIRRFGRGSQSSRTLLPLRLTRLTNAVSCWYCDFKTSVLNEPLYRYGRRHSRFAFLSHTHTKKEFLQAFFVRESLFFYLCLFIYNRFCFRCLRVWFSVGLGFSLTALLGVILVTIHLYKGNDGMISLFNGSLFGFSSSISGLIMSLPDIGYIFLSSILSVFIHEVGHALAAASEGIQMEYIAVFLAVLFPGALVALNEECLQALPRNAALRIYCAGIWHNATFCVVCALVLFLLPSILDPFYIHGESPMVLYVSPMSPLFGYLSPHDLIISLDGTRIHNVQQWKEKVALLNWQLENLHNSGEYKGLTKINGRIGYCVPSYLIRNSMQLQLEGNYTSCPDELFAFAASPCLNPAVLDNVSIEDNHARGSESIYCLNPMDVIKLRKCGDGVRTLSDQRSCSCLEEESCLAPVQMPGLAWVEITYSRPSSPGCRKLARNWLPRYENSVSGETSCISSFTFVGDLITLGHALHLTSYEPRWLMDVGASLPNMLEKLFICAFHVSLSLALLNSLPVYFLDGECILEVILQYFNFLRPRARRKVLQWVLVGGTILSVHTFLRMFIVKF >CDP04312 pep chromosome:AUK_PRJEB4211_v1:9:2174931:2175659:1 gene:GSCOC_T00017660001 transcript:CDP04312 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKLTISRCILYIAIFVERAAAVDLVELLAVDCRPSNNFIAVSKYQNELNIVLNYLQRATPATGFAFEYVGEAKTGSGIYGRALCRGDISATECKTCIDAAITELRQNCTFRKVAGAWYEGCFVKYSNQDIYSKLDRGRYYVWREQNVSSPASAKMVVEFLNHLSDKAVTSPKLFSKGEVKVTQSSETLYGLVQCALDISAADCKTCFSALMCLVARFSGKGGQFFSATCTLHYEFLPFF >CDP04212 pep chromosome:AUK_PRJEB4211_v1:9:3070005:3075029:1 gene:GSCOC_T00017532001 transcript:CDP04212 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVMGLLSNTRKRIQFVCLPLLFIVLFVLFTPISAQESEREEATRAIRDLGRKSKIFVDKLKTGITRDGYNSGSVGLGVELESGLGIFDAFFASFSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALFVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSNSKSSQKKEFEEVEEKLESGQGKTAVRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAFGVATGATVGHTICTSLAVIGGSMLASKISQRSVATVGGLLFLGFSLSSYFYPPL >CDP04086 pep chromosome:AUK_PRJEB4211_v1:9:4286597:4290613:1 gene:GSCOC_T00017376001 transcript:CDP04086 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKRQQRAFIPLFFICYILFFVLIRPAEGIKQSQALNRKFSLNGFLNLVLGEGSEQYVVIFDAGSTGSRVHVYRFDSDMNLLKIGDDFEYFEKTTPGLSSYADDPTAAAQSLKTLLENAEAIVPEELRANTPVLVGATAGLRQLNGDAAENILEAVRDLLKNESSFKYKAEWVTILDGSQEAAYAWAAINYLLGSIGKNYSETVGSVDLGGGSVEMTYAVSETTAAQASNISNNGEPYIHENNFLGTTYYLYAYSYLNYGLLAARAEILKLSRNSSNPCILYGYDGYYTYEDVVYRASALPTGPNIKQCWGFVKKALNISAPCQHKNCTFNGVWNGGGGDGQKNLYVASFFYDIGSEVGIFEPNAPSATVRPADYLSVANRACATKYEDIQSVFPNIYEADKPVICMDLIYMYTLLVNGFGVNPFKKITVVQSIEYKDSLVDATWSLGSAINAVSSLYEAKDENRIKDLMFLAHV >CDP04229 pep chromosome:AUK_PRJEB4211_v1:9:2934853:2943028:1 gene:GSCOC_T00017553001 transcript:CDP04229 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKISTLSNLLRRSRRSLSNSSFYFSIKPHHHPNHRHFLHQKIPAAAPSNPPLRYLTAYNFLLSRSFSTSESTGQFDGDSDLTQSELFSAISTAGTGEIGADSVAEESILPVRALIWFLDGYHDFTGFPWWLVIASSTLALRLTLFPFVVLQLHKLKKIAEVFPKLPPPLPPPFSGRSFKDQLALFQKERKAVGCPSFFWFFASFTVQVPLFLLWITTFRRMSLDHHPGFDCGGIFWFQNLTEFPNGVFGPLFPLLIAGLHYTNVQIAFQKSSTGKVSEVFEKLAKYYKTYLELLSIPILFITFNVPQGSLVFWLTNILLNTFQQLALRHTDVREKLGLPDKAAVPELDSDERAKIGVKETNQPGVHGGVSVQKLSPKDLVLLSVKFLAIGNQDRAIQLLRLALKKDPEYVRALLLLGQALLQKNLLDEAIEYLECAIAKLLVAGHPTDVEDVDLLILSSTWAGSAFMRQGRNEEGMAHLERLASLEEPMDPMTKAHYYDGLLVLSSALFGAGRKAEAAEHLRRAAAYDPDRYGEFLEQCENDKDDFVSDLVSSRRTDS >CDP12642 pep chromosome:AUK_PRJEB4211_v1:9:8108231:8109102:-1 gene:GSCOC_T00036345001 transcript:CDP12642 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQKLLRTNISHQISRLADVSANRKDSLVGVVLKILGEPSKGDGVSIFIFIGSGVNGALLNYFTCGYFSKYGISVAKLPKLRKQAIRRYKSFVSVALRSNIGEGDYVSEIAA >CDP17332 pep chromosome:AUK_PRJEB4211_v1:9:20133152:20134282:-1 gene:GSCOC_T00004089001 transcript:CDP17332 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFTMGLNMLLLLAMVATNILSLYHLSSNLQSKPPAPAAVPDHLLHQLRTIRATINHLTRLQSTTTETTSSAKKSRPTIPSDLLIYTHISPIASSCKDYPELLYQYMNYTPFSLCPSDALLAESLILRGCHPLPRRRCFSRTTSTNPSSLPTSPFGTIPENTVIWSQYSNCKSFTCLARFNSEMGFDMKVEKSRFLEYKSDLDLPISQLLQVSKSAKSVIRLALDIGGGTGTFAAQMRLQNVTVITTTMNLGAPYNEAVALRGLVPLHVPLQQRLPVFDGVVDLVRCGHAVNRWIPVTAMEFLFFDVDRVLRGGGYFWLDHFFSKKVDLEKVFMPLIGKLVYKKVKWAVANKTDSSGLKNGEVYLTALLQKPVSR >CDP06321 pep chromosome:AUK_PRJEB4211_v1:9:16324661:16325502:1 gene:GSCOC_T00023107001 transcript:CDP06321 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPLLSPATSPSPSHSSPPPWTPSMPTFPRSSPILPKYLFDLKKSESCHLTLHPPLPPPPFTANRRRSAVQSARVLPQIIKPLSVEGKINAALHHLRVVDPLLATLIDTHQPPAFESHHSPFLALTQSILYQQLAYKAGTSIYNRFVALCGGETVKNADGLLCFLLLCLGCFPDHLSFLRIHQPPNSLLDFSCFHEPTFRTFIHW >CDP04489 pep chromosome:AUK_PRJEB4211_v1:9:585424:586136:-1 gene:GSCOC_T00017904001 transcript:CDP04489 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFPHLLLLTTLAAICLFSDVPSAALGGRPKDALVGGWSKADPKDPEVVENGKFAIDEHNKEAGTKLEFKTVVEAQEQVVAGTNYKIVIKALDGTASNLYEAIVWVKPWLKFKKLTSFRKLP >CDP04330 pep chromosome:AUK_PRJEB4211_v1:9:2043619:2044562:-1 gene:GSCOC_T00017685001 transcript:CDP04330 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLLTLPSSRPPTPTLPSNSHNVSLKYHFRNPFLTTHHHHHHCRRPKFAPLTIRSAISRTKKEETVESVKRQLQGCYLIAGIGYKGFTVKQFQELRRQLPESSKLLVAKNTLVYKAIEGTQWEALKPCMKGMNAWLFVHSEEIPAAIKPYREFQREQKLEGNDFTGAVFEGKLYGPEEFKALESLPSRAEIYAKILGSLKGPASAVVGTLQAPARNLVMTLQAYVKKLEEEGGS >CDP11225 pep chromosome:AUK_PRJEB4211_v1:9:7018935:7024047:-1 gene:GSCOC_T00033335001 transcript:CDP11225 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNLRGKGESLPDLTGKVHQLPCCIKYDGPTAVSQYFKPKPTGVEIDGLRVEEAYFRGRKLQGTTVPLPQGYSGFVLGKTSLGKQANIPEENPNCWEMKAKFQNVTVWNHDSLPSQNDAFLRAFHWFAVAKSLHQPVTAEDLESSSISQKLE >CDP06345 pep chromosome:AUK_PRJEB4211_v1:9:14846633:14849889:1 gene:GSCOC_T00023157001 transcript:CDP06345 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKNFLNNPQTYSLDGIISSKEGQSQSCYLTKATIDRGVWTDINPLNQKLPMFVMQLVIVTSVTRLLLVIAKPLRQPPFVAEFLAGLLIGPSVTSHISGLQKFISDTIFATPRLMMMETVASLGLNYHVFFLGLEMDITAVTRVGRKALSIGISGSLFSFIVGAAFYIFGVPQYLQNYKMGFLYWGVVLSVTGIQPVGDLLVRHKLLQSEFGRVAMSSALVNGVISWLLLLISSAITCSKQLFFVSLLMAVLLAVFFCFVVRPAILWVIKETQEGEEFSESTVCVILTTVLFCGLLTDVCGLSSFYGAFMFGLIIPKDVLGDRFLTVLQGFVSDLLLPLFYCSVGMRTHVDSSTIHDKNDWTLMLQVTFLSFLPKLITTLAVSYFYKIPFREGIALGVLMNAKGILAPMALNWGYDHLALNRQGYTMMILPIALMTVVASPILGYLYKPTKHFLPSKHRTLQKLRPDAELRLLACIHELQTVPGIITLLEVSNATKRSPIFLFAVQLIRLAKHTTALLIEHGPGGFGSQSSRSFDGQTDQVIAAFEKLEEANNMFSVQPLTAMSDYGSMHEDVCSIAEDKRVTLILLPFHKRQTIHNQMEDVNPAYKDMNDNILANAPCSVGILVDRGIGISFRKEDDNDDGTIRVCMIYIGGDDDREALTYAWRMAGHPRATLTVIQFSASESAASNIEPHDFAQDGGKVASATVDIATEKQLDADFISDFRQKSVEKEKVTYLEKESNNGAETVSIIKSLAESYDLFVVGRGLGMSSPLKSGLDDWSDFPELGSIGDLLISSDFPSTTSVLVVQQHVEKNPKKGRQSSSITSRGHKR >CDP04449 pep chromosome:AUK_PRJEB4211_v1:9:914647:920466:1 gene:GSCOC_T00017842001 transcript:CDP04449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein SHOOT MERISTEMLESS [Source:Projected from Arabidopsis thaliana (AT1G62360) UniProtKB/Swiss-Prot;Acc:Q38874] MEGSSSTSCLMMAFGGDNSSGALGGPTSPMMMMMMPPQMTSSTHLNAETNTLYLPLPHAHPSDTQDHPNNRRTAATSASSMMQLDDENHNNSHHNLSSSTTGGGSFFVDHSNNDIGGSYSSSPPPSVKAKIMAHPHYHRLLAAYINCQKIGAPPEVVARLEEVCTSAASLSRNNTSCIGEDPALDQFMEAYCEMLTKYEEELSKPFKEAMLFLSRVECQFKALTLASSDSAACGEAMDRNGSSEEEVDVNNSFIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQLVVMDATHPHYYMDNVLGNPFPMDISPALL >CDP18172 pep chromosome:AUK_PRJEB4211_v1:9:4491915:4493707:1 gene:GSCOC_T00007071001 transcript:CDP18172 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLAYIVTLAVIPVSIAFIFLIRKRNSSSLKLPPGTSGWPMVGESLQFALLGPQKFVKDRMKKYSPDVFQTSLMGEKMAIFCGAQGNKFLFTNENKLLTSWWPQSMKKALLFPEFVEDSLKEVSALKRSFMHDILKPEALKQYIPVMDALAREHIEQEWAPHKEVKVFPLSKKYTFDLACRLFLSVMDPEHIKKLADPFHLVTNGMFSVPIDLPGTAYNGAIKGGKMVREELLRVIRERRKELMENKETAAACKDLLSRMLLVTDEDGQYLSEMEISNNIIGLLVASYETTSTAVTFVLKHLAELPHIYREVLREQMEIAKTKGPDELLTWEDIEKMKYSWNVARESLRVTPPAQGAFRESVADFTYAGFTIPKGWKTFWTVHTTHKNPKYFPEPEKFDPSRFEGSGPAPYTFVPFGGGPRMCPGKEYARLEVLVFMYRIVTQFQLKKAIPNEKIVYHASPVPANGLPVLLQPHGK >CDP18923 pep chromosome:AUK_PRJEB4211_v1:9:7137279:7138934:-1 gene:GSCOC_T00011295001 transcript:CDP18923 gene_biotype:protein_coding transcript_biotype:protein_coding MESTWLLLALAGIVALAFLSKPSTHKRLKLNHPPGPKPWPIIGNLNLLGSIPHQSLHLLSQKYGAIMQLKFGSSPVVVASSPEMAKEFLQTHDVNFASRPATAAGKYTSYNCSDMTWAPYGPFWRQARKLYLTQIFNPKRLDFFESIRIEERRAFISRLYALSGKPVVMRDHLMRLTLSSACQMVLSNKYFAQSEGDGSLVTFEEFQEMIDTWFWLGGVFNIGDWIPWLDRFDLQGYIKQMKELYKKFDRFHNHVLDDHQARRKTEKDFIPKDMVDILLQYAEDPDLQVKLTRDQIKGLIQDLLAGGTDTSATTVEWAMNELLKHPRLIRKAAEELDRVIGKDKWVEEADFSKLPFLEAIIKETLRLHPLATLLAPRYAIEDCTVAGYHIAKGTTVFINTWSIGRNSKYWDSPEEFIPERFLEKDIDMKGQNFALLPFGSGRRRCPGYNLGIKLVRSTLANLLHGFNWKLPHGMKPEEICMEE >CDP04343 pep chromosome:AUK_PRJEB4211_v1:9:1917560:1919126:1 gene:GSCOC_T00017701001 transcript:CDP04343 gene_biotype:protein_coding transcript_biotype:protein_coding MTRALDITLLCSWLFFTCIVQARTGPIDVIQLGAKRDGRADMSQVLANAWKQACNSTTASTILIPKGTFLLKEASLVGPCKAPITIQIQGTIKAPADPAQISKDKEWITIMYVNQLTLSGGGTLDGQGAKAWTQNECRVKTECSKLPNTLSLNFVNNTVIRDLTSLNSKLFHVNLFACNNVTFQHFTITAPGDSPNTDGIHIGHSTGVVITNSNIGTGDDCISIGDGAKQVNISKVTCGPGHGISVGSLGRYDNELPVEGIFVTDCTISGTLNGVRVKSWPASKRGSATNMHFEGIIMQNVSNPVIIDQEYCPNNQCTNTAPSRVKIAQVSFKHITGTSATPAAVTLLCSKSIPCEGVEVAHIDLAYNGKQGTLSSKCANVKPVLSGKLNPPICANAIVHA >CDP06313 pep chromosome:AUK_PRJEB4211_v1:9:16571303:16577851:-1 gene:GSCOC_T00023088001 transcript:CDP06313 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGPVTPGEVSFLLGVIPVIVAWIYAERLEYKKSSSPSKVHSDNNLVEMESQTIKEDDRAVLLEGGLTKSPSVKLSSSSIKTNLIRFLTMEDSFLLDNRATLRAMSEMGGILIYFYMCDRTNLFADSTKSYNRDLFLFLYILLIIASAMTSLKKHHDKSAFSGKTLLYLNRHQTEEWKGWMQASTSALCTCNAVLDCMQRMFLNLSLSFFHYSP >CDP18159 pep chromosome:AUK_PRJEB4211_v1:9:4384313:4390894:-1 gene:GSCOC_T00007055001 transcript:CDP18159 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNLPILPLPAPPSDGNLGPLPLAQITEEDEKQNGSQEDLSKADKSNSAPISVATHTRTIGIIYPPPDIRNIVDKTSQFVAKNGPEFEKRIIASNAGNPKFNFLNASDPYHAYYQHRLSEARAQNQVSALQPLQLADSAAPESAAAAPAADGKDAIAKPDPSVQFRPVRKILEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREINNPQFLFLKPTHSMFMFFTSLADAYSKVLMPPKALTDKLRKSVTDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERLHMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKMTGMEEEEIIEPGKEVEMEMDEEEVQLVEEGMRAASLEENGDLKNNEAKVTIDEAEPPMRIVKNWRRPEERLVTC >CDP11266 pep chromosome:AUK_PRJEB4211_v1:9:6307633:6311063:1 gene:GSCOC_T00033399001 transcript:CDP11266 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEISSSKKRYAIVTGANKGIGYGICRQLASNGITVVLTARNLKRGLEAIESFKGLDFFDNIVFHQLDVVDPSSIASLAEFIRIHYGRLDILVNNAGIGGVDVDVDALRASGYGSGGPDGSHIDWNGILTETYDLAVECLQTNYYGAKRMIEAFLPLLYLSDSPRIVNVSSSMGKLKHIPSEWAKGVLNDSGNLTEERVDEVVNEFLKDFKEGSLKAKGWPPSISAYTVSKAAMNAYTRVLAKKHPKFRINCVCPGFVKTDVNFNTGHLTVEEGAESPVRLALLPDDGSSGLFFVRNEVSSFE >CDP18182 pep chromosome:AUK_PRJEB4211_v1:9:4554356:4554913:1 gene:GSCOC_T00007083001 transcript:CDP18182 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAATSKSFCTEAKIIEAMTTISEMVFAIERNRTSICRHLIVKRQLTDIWRLKDSFSSCFLPLFTGRNTKCWALIGQIYHKASSSCLTMKFLLYKIHVLIAIFVGLTCSLFTDENLLFDPHLPSLHLRLQKTYT >CDP19570 pep chromosome:AUK_PRJEB4211_v1:9:20761320:20762252:1 gene:GSCOC_T00006973001 transcript:CDP19570 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEIKLRYGISFSCFLHASLVCVLLLCGARCETYTVGDEDNWSIGVNYLAWSTKYNFTVDDILVFKYTKGEHNVYEVSEATFRSCDASSGVVAKYTSGSDQIELKEAKEYWFICDISGHCLDGMRFGVDVSQAGAASNTTASGTSASAPLPFNPNPNNASERGTSVIYLLLVLDLLLKFF >CDP21564 pep chromosome:AUK_PRJEB4211_v1:9:20778169:20780298:1 gene:GSCOC_T00005755001 transcript:CDP21564 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEGQTQHGKDYVDPPPAPLLDFAELKLWSFYRALIAEFVATLLFLYVTIATVIGHKVRYAADQCDGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLFRAVAYMVAQCLGAICGVGLVKAFMKHHYNTWGGGANSVMHGYSKGSALGAEIIGTFVLVYTVFAATDPKRSARDSHVPVLAPLPIGFAVFMVHLGTIPITGTGINPARSFGAAVIYNNDKWIFWVGPFVGALAAAAYHQYILRAAAIKALGSFRSNPTN >CDP12633 pep chromosome:AUK_PRJEB4211_v1:9:8310007:8310360:-1 gene:GSCOC_T00036327001 transcript:CDP12633 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSNFCFVLSLMMALSFSSIHVGEAARHLLQTSQPTIPAIPSIPSLPSIPNLPTGSLPNLPNNQLPPLPTMPAVPKVTMPPLPFGSLPNMPNMPTIPNIPISIPSIPFFSPPPSN >CDP04317 pep chromosome:AUK_PRJEB4211_v1:9:2134507:2137627:-1 gene:GSCOC_T00017667001 transcript:CDP04317 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPGTSVSLRTSSAANPRLTFPSVFCICSVPKTPLRYPRNIHPHVKFSRISPLSASAMSSGVKEVLPPALDSSSESPAIFDGTTKLYISYTCPYAQRVWITRNYKGLQDKIKLIPIDLQNRPAWYKEKVYPPNKVPSLEHNNEVKGESLDLIKYLEGNFEGPSLLPDDPAKREFAEELLSYTNSFNQAVVSSFKADSINEAGAAFDYLENALGKFGDGPFVLGTFSLANIAYAPFIERYQPFLLDVKKYNITEGRPKLAAWIQEINKIEAYEVTKRDPKELAEAFKRRFSSRL >CDP05667 pep chromosome:AUK_PRJEB4211_v1:9:13697277:13698973:-1 gene:GSCOC_T00020854001 transcript:CDP05667 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAANFRNVKMSACDRISDLPSSIIESILMWLPTRDAARTSILSRKWRYNWSRIPQLVLDDKIFNKILTNHALRRQKFAEILFQILSLHQGPISKFRCSLTGLKDCRDIDSLIVLLSRSGVQEFTLNLWLNEYHRMPLAFFSCLELRHLNLRGCLIKPPTNFQGFGHLISLKLCQVNIAEDVLGSLMSSSLLLEQLTVVEIPAILNSLELIAPKLKSVFFKSSVKSILFKNTPVLARVSLVPRNQDMDGKFHVIVYIFTMGVYCFALKSLDAGGIPAKITTTLIHLKVVKLEYLCFEEVNEIAILLCLLRSSPNLEELEILVYKVDEYAEGQASNFLDVQEYSQLTLNQLRQVKLQNISGTRSEMEIIKLLLKKSSILEKMLIKQAPMKENIKNKVTEIGILKQVTRFHRSSPRAAVIYEDPIIS >CDP04089 pep chromosome:AUK_PRJEB4211_v1:9:4258196:4261574:1 gene:GSCOC_T00017381001 transcript:CDP04089 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMRSKREKRLRAIRREMVEPLYQKKEEAKLAAQEAALNAPKLPVRSHNSDASSMDLTTIPSSTSTNDMDVEMAINDSMKALKPVGKKLKKKIKLAKRKRHGKGKIRRKHNV >CDP04322 pep chromosome:AUK_PRJEB4211_v1:9:2113121:2117024:-1 gene:GSCOC_T00017673001 transcript:CDP04322 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVKEVLPPSLDSSSEPPPDIFDGTTKLFTAYICPYAQRVWITRNYKGLQDKIKLIAIDLENRPAWYKEKVYPANKVPSLVHNNEVKGESLDLIKYLDENFEGPSLLPNDPAKREFAEHLLSYSDSFNGAGFSSFRAEGIGEVGAAFDYLENALGKFDDGPFFLGTFSLVDVAYAPFVERFQLFASDVKKYDVTGGRPKLAAWIEEIDKIEAYKVTKADPKEFLAVLKKRIAS >CDP04301 pep chromosome:AUK_PRJEB4211_v1:9:2282078:2288732:1 gene:GSCOC_T00017645001 transcript:CDP04301 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVIKIYKYNMYDTQILYDFLFYHITLLDLDTIGKRAFFSQAFNIFFLNKSLNEGSLFLLLACFCLEACTAVDYITISQPLQDSETIVSSGQTFKLGFFSPANSSDRYVGIMFNVPAVAVVWVANRDRPLNDSSGTLTISGDGNLVVLNGQKEILWSSNVSNSVANSTAQLLDTGNLVLTDNPSGRTLWESFQIPTDSYLRRMRLSSSTKGQKIRLTSWRNPSDPSIGSFSLGIEPQQIPQLFIWNDNKPYWRSGPWNNNVFIGVPAMDPVYLNGFNLETDDTGLVSATFTYSNDSGLLYLELSSSGSILQKVLSDRKGDWEVTFSSQGSQCDVYGICGPFGSCKPHGSPICTCLQGFEPRDKVEWEGGNWTSGCTRRAMLQCERNNSVRSEGKQDGFLKLPNTKVPDLAEWVATSEDECGTQCSNNCSCLAYATYPGIGCMHWKGILIDIQQFSSSYGADLYIRVPYSELGKKRDMKAVIATSVIGASLSFALSAYFCWKWLAKRKGKEDQISLIEAGEVYKVESLFSHNLEQPKLEELPLYSYETLANATDDFEPKSELGKGGFGPVYKGKLSDGQGIAVKKLSKSSGQGTEEFMNEVMVISKLQHRNLVRLLGCCVGREEKMLVYEYMPNKSLDTYLFEPSKRGLLDWNTRISIIEGIARGLLYLHRDSRLKIIHRDLKASNILLDDQLKPKISDFGLARIFGGNQDQANTNRVVGTYGYMAPEYAMEGSFSEKSDVYSFGVLLLEVVSGRRNTGFYHDEYELSLLGYAWKLWNGNDITNLIDPLIFDPCIEVEVMRYVHVGLLCVQEYTKDRPNVSTVLSMLNSEIADLPRPNLPAYIGRLGASAGSPQKSTDSVNGATLTSVQGR >CDP04532 pep chromosome:AUK_PRJEB4211_v1:9:249670:251612:-1 gene:GSCOC_T00017959001 transcript:CDP04532 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLRASILSYVRIRVSIREQFFARDVNALSRLSMQLHACSTSSSSSNDQIMERVVSLVKKFSSVDATKVTETADFQKDLSLDSLDRVELVMAFEQEFSVEIPDEEADKLKCCADVAKYITSGAGHQNAEGS >CDP14561 pep chromosome:AUK_PRJEB4211_v1:9:21356131:21359742:-1 gene:GSCOC_T00041089001 transcript:CDP14561 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPLSSFLLLLLIPVLASCVEKQVYVVYFGEHSGEKTQHEIEENHHSYLSSVKESEEEARSSLLYSYKHSINGFAALLTLEEAEKLSGREEVVSVFTSQEYSLHTTRSWEFAGVEKLLNEFNANDKDDLLVKAKFGRDVIVGMLDSGVWPESYSLKDKGLGPIPKGWKGICQTGDQFNTSNCNRKIIGARYYVKGYEKYYGPLNRTQDYRSPRDKDGHGTHTASTVGGRRVHNVAALGGFARGTASGGAPLVRLAIYKVCWPTPKKGKEEGNSCFDADMLAGIDDAIQDGVDVLSISIGSYDATPFSKDSIAIGALHAVKNNIITACSAGNSGPASYSIRNTAPWILTVSASSLDRKFIAAVELGNGETLQGESISPYHLKRKLYPLVRAGQVVNPNVTKDLLDQCMPGSLSPEMAKGKIVFCLRGNGTRVGKGAEVKRAGGVGYILGNNKSLGEDVSVDCHLLPSIAVGCRNATKILKYIDSTKNATAYITPADTVIHGIPAPHMAGFTSVGPSPVSEAILKVSQVILL >CDP04333 pep chromosome:AUK_PRJEB4211_v1:9:2029239:2033124:-1 gene:GSCOC_T00017688001 transcript:CDP04333 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRAIEKDEGLPAALLGSCNERAKQLHNSPSGRLLTALICEYLDWASLSHSLKVYLPECNLPKDSWKSELKEFSSKNGYDINRNGDSGPLLLDVLEGFLKYENLSQARGMGRRLTMPDAESLSSLETRNTRRPSSSSVAGGIPPLGRPLPSSQASDRRAGTSMSGYRKDEYNWRYDGDELPEEVVRASAALENLQLDRKARNLTSSWRHAGDGISEDDGRTDPM >CDP17628 pep chromosome:AUK_PRJEB4211_v1:9:7861477:7871276:-1 gene:GSCOC_T00005143001 transcript:CDP17628 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGRYNLEGLYLAENNFTGAIAVSIPNCSKLTFISHGYNKFCGGIPISFGKLRHLEFLELIDNNLTSDIASTIGSLQYLMYLSLANNSLQGAIPSTVGNIVFCYFISALLLATSMLASFSLAKTNISTDTMALLELKDHITSDPYSILAKNWSISSSVCNWIGVTCSYTHHHRVRALNISNTGLAGSIPSNLGNLSFLVSLDMGNNSFHGHLPEGMAHLRRIRFISLSHNNFTGEIPSWFRFLEKLQHLSLRNNSFTGFLPPPLFNISGLGVIDFSENNLSGIIPVDMCNNLPSLKGLLVSYEFSGRIPREVGNLQMLEELYLGGNNLEGQLITGGFFVFNSFFFVYAVLDFFFLFAFKNLCDNLPKIEFLYLIKNQLYGQIPSNIGKCSSLRELSLARNGFTGFIPQEFGNLTILEGLDISVNHLIGEIPKEIGNLTMIQNLYLYENNLTGFIPQEMGKLSKLESLDLELNRLGGPIPAWIFNISTIQFLSLSANSFFGSLPPNMCHVLKNLQGLYLAGNYLSGNIPMSISNCSRLTIIALLSNEFTGSIPESLGNLRQLNVLQLSNNKLTSESSSQELSLFSSLANCMLLRQISLDRNPLNGVLPNSLGNLSRSIEKIFAFGSGIMGSIPGSIGNLSNLIVLRLDNNQLTGSIPTSVKGLGKLQGLYLHNNIITGTLSPDLCNLHMLNYFNISQNQVSGSIPGCFGNITSVRHIDLSFNKITSSVPISLWNLKDLLELRLTSNFFNGSLAPEIGKLKAVTWLDMSLNHFSGNIPSTIGDLQRLMQLSLANNNLEGSIPSTIADIQSLDYLDLSHNNLSGSIPKLMENLTHLTYLNVSSNDLRGEIPSEGPFTNFTYDSFAFNRALCGAPRFHLPPCQTISRRKSRTRKTVLIAIILPGMLSVVIVAGLGTVFHRYKKKSKIPSQTGLSSLIEQPRISYYELLQATNGYSQENLLGVGSFGSVYKGILNDGKILAVKVFNLQLERAFRSFDAECNILRNLRHRNLTKVITSCSNPDFKSLVLEFMPNGSLEKWLHSENCSLDVMQRLNIMIDVACALQYLHHGYTTPVIHCDLKPSNVLLDQDMVAHVSDFGIAKMLSEEETITHTNTLATLGYIAPEYGSEGLVSTKCDVYSYGIMLMEMLTRKKPNDEMFDGNLSLRGWVCGSIANAIMDILDVNLIRSNEEYSTQELECISSIMKVALNCSKESPNERSNIEYALAALNHIKLHLLSCYRRP >CDP04165 pep chromosome:AUK_PRJEB4211_v1:9:3425507:3427410:-1 gene:GSCOC_T00017474001 transcript:CDP04165 gene_biotype:protein_coding transcript_biotype:protein_coding MAARMDIIIPRSLSVIENACFERSSTDFRNCNALSEWNEKQNLVVHIAVVATKYASSQIKSPQPIRRGWRTAFALDTGGLPDNDDQDSFNNFGAGLGGTRLGRIVSAAGRQLLEKLNSARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPSSMSRGRLQSLVVMINYWKAGICLGLFVDAFKLGS >CDP04188 pep chromosome:AUK_PRJEB4211_v1:9:3216003:3219792:-1 gene:GSCOC_T00017501001 transcript:CDP04188 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQVYGTAPMAAHYSSNSLLGSTKQSMVGVAGGRASLASGSSRRVRLKAKASLDTTATAAVAAVGQVTEVNKDTFWPIVKAAGEKAVVVDMYTQWCGPCKIIAPKFQELSEKYHDVVFLKLDCNQDNKPLAKELGIKVVPTFKILKDNKIVKEVTGAKFDDLVAAVDAVRSS >CDP04184 pep chromosome:AUK_PRJEB4211_v1:9:3237358:3238038:-1 gene:GSCOC_T00017497001 transcript:CDP04184 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPLLRPSVLRHPNNPLHRKLHPPPPHSTHLKFPQPSKPITSTMSVSASSKTPTPAADRLMSAASYCFPLFNGFQYGRFLLSEYPILATPFKPIIPVVSAYHSIPYASFISFFAFYLGIVRNPSVSRHVRFNALQALVLDVVLVVPMMVQQILSPGLNLTILGYNCLFVFLVACFAYALGCSVLGKTPYLPFIAEAASRQLDYS >CDP17606 pep chromosome:AUK_PRJEB4211_v1:9:7571645:7573337:-1 gene:GSCOC_T00005114001 transcript:CDP17606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit III, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31330) UniProtKB/Swiss-Prot;Acc:Q9SHE8] MSLTIPTNLSTAIATPKLNSQFNTKPRAATIVCSANSPNQSTSTSEQPSPPSLKAFSAALALSSILLSAPVMPASADIAGLTPCKESKQFAKREKQEIKKLESSLKLYAPDSAPALAIKATIEKTKRRFDNYGKQGLLCGSDGLPHLIVSGDQRHWGEFITPGILFLYIAGWIGWVGRSYLIAIRDEKKPTEKEIIIDVPLASRLVWRGFIWPVAAYRAFVTGDLIDPTV >CDP04191 pep chromosome:AUK_PRJEB4211_v1:9:3196672:3198270:-1 gene:GSCOC_T00017505001 transcript:CDP04191 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISYFLFSMLASRLLLSSSPVEAAPKDALVTSLPGFNGAFPSKHYSGYVTIDSATPKHLFYYFVESERNPLKDPLVLWLNGGPGCSSFDGFIYEHGPFNFEAPKKQGELPILHLNPSSWSKVSNIIYLDSPSGVGFSYPNLPTGDLQTASDTHAFLLKWLEQYPEFQANPFYISGESYAGIYVPTLASEVDEGIKGGIKPKINFKGYMVGNGVCESKFDGNNAYVPFVHGMGLISESVFKASNKTRLT >CDP04452 pep chromosome:AUK_PRJEB4211_v1:9:883917:884617:-1 gene:GSCOC_T00017847001 transcript:CDP04452 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAAALTPPLLGPPPPPAIFFSFFFSFRLLSSSNFLRSPLILKMSFHRLEEVNVGADKADKTLETSGLDPGPGWSDPEVSGGSADDGFWSSEEWLLRRWRGRRTPSEKSSSAERRLGWGKDGFRSIFQNSNSGELESVAVLEVLLLLALVQGESTGGGLVSSSTGSSIIPERNIRYGMVE >CDP18204 pep chromosome:AUK_PRJEB4211_v1:9:4696521:4700295:1 gene:GSCOC_T00007111001 transcript:CDP18204 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAIGTLMVDTFATSYYQRSHFCKAKPVSGDEEKVGDHQAHVHLHTHATHGHAHGSTLSSNEESSSSALIRHRVVSQVLEMGIVVHSVVIGISLGASESPKTIKPLVAALTFHQLFEGVGLGGCISQATFKAKTITVMAVFFALTTPIGVAIGLGISNIYDENSTTALIVEGIFNSASAGILVYMALVDLLAADFMNPRLQNNSRLQFGANFSLLLGAGCMSLLAKWA >CDP04257 pep chromosome:AUK_PRJEB4211_v1:9:2656734:2659998:-1 gene:GSCOC_T00017588001 transcript:CDP04257 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGTMLVLLSLVLGSAKIIPQAEARAFFVFGDSLVDNGNNNYLVTTARADTPPYGIDFPTHSPTGRFSNGYNLPDLIGQYYGLEATLPYLSPELSGDKLLHGANFASAGVGILNDTGVQFINIIGMYDQIAYFLQYQKRLSDQIGAENATKLVKESLVLITLGGNDFVNNYYLIPYSPRSQQFNLQDYCKYLISEYRKILQRLHEVGAERVIVTGTGPLGCVPAELAMRSSNGECADELQTAASLFNPQLAEMLTGLNKEIGSDVFVAANTNRAHMDFIANPQAFGFETAKIACCGQGPYNGIGLCTPFSNLCPNRGTYVFWDAFHPTERANRLIVQQMFNGSTFYMNPMNLSTIRILDP >CDP11244 pep chromosome:AUK_PRJEB4211_v1:9:6680753:6684394:-1 gene:GSCOC_T00033364001 transcript:CDP11244 gene_biotype:protein_coding transcript_biotype:protein_coding MDRACILYSVLFLSLHYATTSALSNNSDHSALLEFKSHISFSSENVFLEKNWSASSSVCSWMGITCNPRHNRVAALNISGMGLDGVIPPHIANLSFLISLDLSDNSFHGNLPKELAQLRRLRLLDLRQNNFTGPIPTTFGSLAKLHFLYLSYNQLSGGIPSSLSNISRLEKLDLRMNFLQGSIPEELGDLRYLTSLILEINQLTGPIPASIFNMRSLEVIAFTNNKLSGSLPTDICSNLPKLKGLYLSIGELVGRIPPSIGKCSQLQVLSLTANRLSGTIPREIGNLTQIQQLFLGGPGFEGSIPNEIGNLQRLAVFGLDSGRLSGSIPASIFNISTLRIFTCVENLLSGNLPSTMGKGMPNLEEIYIGTNNLSGNIPASISNATKLTVLDLSHNNFNGPIPSSVANLVSLSILNLAVNSLVLESSSSLSKFLTSLTNSRNLKELSVSGNPLGGTIPASVANFSNSLQSFSARRCNISGKIPEEIGNLSSLALINLSENDLTGFIPTTVDGLQSLQRLYLDNNKVSGSLPNNICNLPKLGEMELSRNQISGSVPSCIGYLTSMQNLFLSSNNFSSNLPPSLWTMKNLLRFEAFSNSLSGLLPPELGNLKAITLIDLSRNNFSGNIPSSVDGLENLIDLSLAHNALEGPIPDSFGKLISLEALDLSHNRLSGVIPQSLESLVYLKQFNVSFNQLSGQIPNNGPFLNFSEQSFMSNAALCGDPRFQVLPCPITNSSHRRKKRSLLLLCILLGMSSLILASALGFVFIRWRKKKEVTVQTDPSPVVTYERISYEELENATNGFSESNLLGVGSYSSVYEGILPGGTLVAVKVFNSKFTGGLKSFDTECEVLRNVRHRNLTKIITTCSNMAMDFRALVLEHMPNGSLEKWLYSHNYFLDILQRLDIMVDVAYALDYLHTDYTATVVHCDVKPSNVLLDENMVGHLCDFGIAKLLGVGGVAETKTLATIGYIAPEFGSEGVVTTKCDVYSYGIMLMETFTRRRPTDDVFSGDMTLRHWIKSSFPSAIMQIADSNLLKPEEKYLDAKEECLSSIMELALDCTATSPDARIDMQDVLSALKKVRIQFLRGCGGSC >CDP12583 pep chromosome:AUK_PRJEB4211_v1:9:9334504:9335895:-1 gene:GSCOC_T00036245001 transcript:CDP12583 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDNYELYLHHLARLSNPLADGTIPMPISKSKNGWQQRHLPSAAAAVAIAIADRSFPLPERVQFLCGLHRSGLHCSGINTLGVLGIKDGDADWKSREHHKMELFPP >CDP11284 pep chromosome:AUK_PRJEB4211_v1:9:6047526:6048816:-1 gene:GSCOC_T00033429001 transcript:CDP11284 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIQEVFERKLKHFQALELLKCIWKQVMLLDDSQIGKLLRGPSRPLFVAAECGNFEFIVELLRSYPDLIWKVDEQSQSFFHIAVIHRQERIFKLIYQIGALKDLITSYKSTNNSNILHLAAKLAAPNRLNIVSGAALQMQRELLWFKEVEKNVQPLYKEMRDSEGRMPHMLFTQEHKALLKSGERWMKDTASSCMLVATLITTVMFAAIFTVPGGNNNETGTPIFLKDKAFIIFSISDALALFSSVTSILMFLSILTSRYAEVDFMETLPKRLIIGLMTLFFSIASMLIAFSASFSIVHGHKIAWIIIPVALAACIPVLLFAFSQFPLVADMFHSTYGSGIFAQEPTDVLF >CDP14541 pep chromosome:AUK_PRJEB4211_v1:9:21692069:21707407:1 gene:GSCOC_T00041059001 transcript:CDP14541 gene_biotype:protein_coding transcript_biotype:protein_coding MANINSNANGSWQAQEEGFTEICGLLEQQISPTSDKSKIWQQLQHYSQFPDFNNYLAFIFARAQGKSVDVRQAAGLLLKNNLRTTFNTMPSANQQYIKTELLPCLGAADRHIRSTAGTIITVLVQIGGVAGWPELLHALVKCLDSNDLNHMDGAMDALSKICEDIPQVLDSDIAGLSERPINVFLPRFLQLFQSSSASLRKLSLNSVNQYIMLMPTVLYVSMDKYLQGLFVLANDPAPEVRKLVCSAFVQLIDVRPDFLEPHLRNVIEYMLQVNKDRDDEVALEACEFWSAYCDAQLPPDNLRDFLPRLLPVLLSNMAYADDDESLVDAEEDGSLPDREQDLKPRFHASRFHGSEDGEDDDDDTVNSWNLRKCSAAALDILSNVFGDEILPTLMPIIQSNLGNTDDGAWKEREAAVLAIGAIAEGCITGLYPLLSEIVAFLIPLLDDKFPLIRSISCWTLSRFSKYIVHGTSQQEGREKFSKVLMGLLRRILDDNKRVQEAACSAFATLEEEAAEELPPLLDIILQHLMCAFAKYQRRNLRIVYDAIGTLADAVGRELNQPKYLEILMPPLIAKWQQLSNSDKDIFPLLECFTSIAQALGSGFSQFAHPVFQRCINIIQTQQLAKGDPVSAGVQYDKEFIVCSLDLLSGLAEGLGSGVESLVSQSNLRDLLLQCCMDDAVDVRQSAFALLGDLARVCPIHLHSRLSEYLDIAAKQLSTPNLKENVSVANNACWAIGELAIKVQKDMASIVMTVITCLVPILQHVEGLNKSLLENSAITLGRLAWVCPELVSPHMGHFMQHWCIALSVIRDDVEKEDAFHGLCAMVKTNPSGALSSLVYICQAIASWHEIRSEDLHNEVCQVLQGYKQMLNNGAWEQCMSNLEPHVKEKLAKYGV >CDP14497 pep chromosome:AUK_PRJEB4211_v1:9:22092026:22094381:-1 gene:GSCOC_T00041005001 transcript:CDP14497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G08570) UniProtKB/Swiss-Prot;Acc:O64654] MAFPRSLKLRSRPLTTNNSSSARCTSASGGELLPSTQPKSHVPSHTSAPPRIMTRSSGIIGKAQKWWEKGLQPNMREVMGAQHLVDSLLSSGDKLVVVDFFSPGCGGCKALHPKICQLAEMNPDVLFLQVNYEEHKSMCYALNVHVLPFFRFYRGAHGRLCSFSCTNATIKKFKDALAKYGADFCSLEPTKGLEEKELLALAANKDLSFNYAPKPQPSVVASEQEKIITEKAVATPGADSERPLPLPLPLPLPFAAQQKMERDPEKRTLISKGRSTS >CDP04270 pep chromosome:AUK_PRJEB4211_v1:9:2527772:2535218:-1 gene:GSCOC_T00017604001 transcript:CDP04270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional TH2 protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G32470) UniProtKB/Swiss-Prot;Acc:F4KFT7] MRLLFQKPAPQILIRNLKTLSNLPFFNSNSFFKSSVSSLSLSGPDFSRRRRISSSCPMATVSTPKPPPATTATTKIDDGVGIASKCWIKYRKESTLSLYSPFVVSLASGKLNVEAFRHYIAQDVYFLKAFAQAYELAEECADDDDAKIGINELRKNVVQELKMHNSFIQEWETAPAKESTLNPATLKYTEFLLATASGKVEGVKAPGKLATPFEKTKVAAYTLGAMTPCMRLYAFLGKELQALLDESESTHPYKKWIDNYYSDGFQASALQTEDLLDKLSVSLTGEELDIIEKLYHQAMKLELDFFLAQPLGQKTVVPLSKLHKETKDQLIIFSDFDLTCTVVDSSAILAQIAIITAQKSDQSQLETQIARMSSADLRSRWGFLSKQYTEEYEQCIESILVSDKADKFDYERLRNALEQLSDFEKRANLRVIESGVLKGLNLEDIRRAGERLILQDGCINFFKSITGNKNLDVKVHILSYCWCADLIRSAFSAGGLNALNVHANEFTYENSLSTGEIVKKVESPIDKLKAFSDILQNSSNNRRNLTVYIGDSVGDLLCLLEADVGIVLGSSTSLRRVGSHFGVTFVPLFPGVVEKQKGCVEGNSAVWTGLSGILYTVTSWAEISAFILGQ >CDP18165 pep chromosome:AUK_PRJEB4211_v1:9:4432415:4436854:-1 gene:GSCOC_T00007062001 transcript:CDP18165 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHADSLTGWKKACVYDALQKKYLKTLLFCVCEAVEGPMIEEYAFSFSYPNSDSQEVSMNINRSGTKKGGTFKCNSTKEVTPNEMRYSACKMVRTLVQLMRTLDQMPEERIILMKLLYEDITPADYEPPFFRGCTEEEAQNPWSKIPLKMEVGNVNSKHLVLTLKVKSVLDPCEDEHDENQDEVSLGADSSETHGDSDSDSDASMSDEDQYIVEPVEKQQGPENGTTVDEDDTQDPAVDEQQLERVKDWINTYHLETIELTDILANFPDISVVSSKIMDKLIKEGVLSKSGEDSYNINKKKKFDYDFDVVKEETNVQMLPIGKYSTDEGLMYMKALYHALPMNYITAAKLQSKLDGEANLTTVRKLINKMTQDGFVEANSNRKLGRRVIHSDLTEKKLLEVKKALDLDAMDVDVNESTKKANRPEIEANGNSHKDLSTCGGLHSIGSDLTRTRGRSDGYENGSIRSEHTVSKRKEHGNTPMSRAEPVASGESFAAGAENGREKSNANLQDEVDTVLCSRSTQGKRYRKASTVREPILQYIKRQKSQAQ >CDP17302 pep chromosome:AUK_PRJEB4211_v1:9:10247163:10248650:1 gene:GSCOC_T00003778001 transcript:CDP17302 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPVKLLGFWDSPYVNRVQFALNLKSIDHEFIEENILSKSELLLRSNPVQKKIPVLIHGDEPICESLNIVQYIDEVWTDGPSILPSHPRDRAMSRFWAAYIDEKWLPLLWEVYEAKEEDSRTTLLEKVREGLVLLEAAFVKCSKGKAFFGGDSIGYLDIALGGCLGWLKGIEKLASVKLLDETKTPALLGWAETFCSNEAAKNVVPEPEKLNKCLKEFQAQAAAATK >CDP04549 pep chromosome:AUK_PRJEB4211_v1:9:126943:133761:-1 gene:GSCOC_T00017980001 transcript:CDP04549 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFYTEEATRTVISGVPTDLTVRINNISYHLHKLPLLPKCGLLQQLCSVSEESGNVTLELHDIPGGENAFELCAKFCYGITINLSAHNFVSAFCAAKFLRMTEAVEKGNFVAKLEAFFTSCILEGWKDSIVTLQSTGKVPEWPENLGIIRRCIDSILEKILKPPAKVTWSFTYTRLGYERKGHHSVPRDWWTEDVSDLDLDLFRCIIAAVRSTNILPPQLIGEALHVYACRWLPDLTKTSGSSESSGAQSAQRKQQILETIVSLIPVDGGSVSVGFLLRLLSLANLLGVSPVTKAELVRRSGQQLEGAKPNDLLVPSCNCDDHYSYDIDLVGALLESFLRLWKRRSRERQSIKSIINVGKLVDSYLQVVAMDVNMPVQKVVSLAEAVPEIARPQHDQLYKAINIYLKEHPGLAKADKKQLCRILDCQKLSPEIRLHAVRNENLPLRTIVQALFFDQGRGYRGKDQKLPTGEQFRSWQQISQLCTDDMSKPKLRSSKESPEAEGLKHHAPVASKRVNKNLSKSDGRPGMEPTSDTPRHDGAVRDSRKGKEVKDRNNGSKPEHLQTLARRTGSHHSQGKS >CDP04405 pep chromosome:AUK_PRJEB4211_v1:9:1294724:1295787:-1 gene:GSCOC_T00017784001 transcript:CDP04405 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFDTGGDGKESMLEEQDMQEEDVWGAMRERDSSGLKSRKAKESPSACRIPPLPRVVPRVPSGSFDPRAAVELVVHQRHHHPNQSSAPLDISNWSEIYGTSKNSPDINSNSRKGACAVKADDHGRLSADSAKCDDDDDDSEEMIPPHEIVARRMARSPVVSYSMCEGVGRTLKGRDLCTLRNAILAKTGFLES >CDP12598 pep chromosome:AUK_PRJEB4211_v1:9:8902716:8905138:1 gene:GSCOC_T00036271001 transcript:CDP12598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-4 [Source:Projected from Arabidopsis thaliana (AT1G30690) UniProtKB/Swiss-Prot;Acc:Q94C59] MTVEEKSEATQVVVEVAVTKQEVLNEEKEMEKVQQVKDVIDGEECKEGKMVEKSSSYREESNFPSDLKEFEKKALNDLKSKLEEAILGNKLFKKEVAKEAPKVSPKKEGEVKKEETEEAAKEGEEKVEEKKDGKDEKEGDEEKKTEENTEEKSDDKNGEEGEKADVDVEVDKDVSIWGVPLLASKGHEGTDVVLLKFLRARDFKVNEAFEMLKKTLQWRKELNIDLVLDEDLGADLASVAYMNGVDREGRPICYNIFGVLDDAELYKRTFGTEEKRTQFLRWRVQLMEKGIQKLDFKPGGVNSLLQINDLKNSPGPSRKEVRVATKQAVALLQDNYPEFAAKNIFINVPFWYYAFHALLSPFLTQRTKSKFIIARPAKVTETLLKYIPIQEIPIQYGGLKRDVDFEFSITDGEASEIVIKGGSTEIVEIPAPEIGTTFVWDLTVVGWEVNYKEEFVPTDENSYTIIVQKEKKLASNEEPIRKTFKNHEPGKILLNIQNSSSKKKKAFYRCKIKKSSF >CDP04407 pep chromosome:AUK_PRJEB4211_v1:9:1272480:1273760:1 gene:GSCOC_T00017786001 transcript:CDP04407 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGPSWADQWGAGGIGAMDEGDASAATKDAGNNKKPASGFSRAKSIAAAGAQKLKSSTSLSFKWVKNKCQKKNSST >CDP04492 pep chromosome:AUK_PRJEB4211_v1:9:563647:565034:1 gene:GSCOC_T00017907001 transcript:CDP04492 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRRCMVILGVLFALFLSSTSAQSCSKYNFASNKVFSACSDLPYLNSYLHWTYSPSSQSLEIAFRRLGTSSSRWVSWAINPTSQGMVGSQALVAFQKSDGTMRAYTSPIKSYQTGLQEGDLSFPVSDLSATYSNNEMIVYATLKLQNSSSTLNQVWQEGPLSNDSPGMHPTTGPNVQSMGTLNLLSGESKTPAGASSSKLKAKNASPLIIHGVLNAVSLGILIPLGAIIARYVKEFPLADPAWFYLHVTCQLSAFILGVAGFGAGRRLGSQSPGITYAVHRGLGISLFTLALVQVSALLIRPKKDHKWRSYWNCYHYLVGYGILGISIANISKGLDILSPKKKWKRAYIGILVTLASLALLLEVIIWFLKRSKRSAGADKWSHGTNGTNGLNGYGERKPETV >CDP14509 pep chromosome:AUK_PRJEB4211_v1:9:22039306:22042840:1 gene:GSCOC_T00041020001 transcript:CDP14509 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYLTYKSELQLSRLLKYLFVSIGLLTVLLFAGFGNFTAILLFPLLMYHFGLGVSGAAISTVISQYIVTFAMILFLNKRVVLLPPKFGELQFGGYLKSGGFLIGRTLAVLLTMTLATSMAARQGPVAMAAHQICFQVWLAVSMLTDALAASSQALIASYLSRNDYGTVRELTQFVLKIGIFTGVSLAVVLGVSFNSLSTFFTKDTEVLKIIATGVLFVSASQPINALAFIFDGLHYGASDFPYAARSMMLVGALSSAFLLYAPAKFGLPGVWSGLTLFMALRMMAGFIRIMSKDSPWWFLHGDFNRAKLAG >CDP05647 pep chromosome:AUK_PRJEB4211_v1:9:12758017:12758433:1 gene:GSCOC_T00020825001 transcript:CDP05647 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGILIIQLSTLLSQEITLLGGLKSDVQFIKDELESMKAFLREAEAKEDNSELRVWLKQVREVAYDTEDVLDDFTFHFARGYMDGFYGKAGKIYNSIKNLKARHQISLEIKAIKARVGEISERRCSFCVLFRIT >CDP05668 pep chromosome:AUK_PRJEB4211_v1:9:13781181:13784903:-1 gene:GSCOC_T00020856001 transcript:CDP05668 gene_biotype:protein_coding transcript_biotype:protein_coding MDYERIHKVQTGIISPSKLRMKLIGQRKKDGSNSNSSRTSPTKLEDSEFVKNSLLATQSGDFDEEASSLNVSSVKLSENSVSTAGQGDSISCQPSEAMPRGNGEVDPVKTQRTSKGENANCSAGHPGRTYEDENLDYDSTSSFEFHRGERLHHHGVTRLFSRPISSKWNDAEKWIINRQNVPPGHPKKAHVHNQASRLPVLNMVRVAPENVSYENKLAVKRVDFCQPAPHLASERFDPVATGSNPVSVEENGANSLIELCPESKDLMEVDTKSLSSTKSSIENATGVSTVRSVSMRDMGTEMTPIPSQEPSRTATPTGATPLRSPTSSIPSTPRRGEPAPTPTEHPNDDASQHSTGNGRNEMSEQELKLKTRREIVALGVQLGKMNIAAWASKDDKSHSVAEASDADEHERIEYAKRAAAWEEAEKTKHTARFKREEIKIQAWESQQKAKLEAEMRRTEAQVEQMRAQAQAKMVKKIAMARQRSEEKRAAAEARRNRQAEKVAAQVEYIRNTGRLPASSFICCGWS >CDP14544 pep chromosome:AUK_PRJEB4211_v1:9:21672615:21676563:1 gene:GSCOC_T00041063001 transcript:CDP14544 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEDVEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRESAN >CDP12638 pep chromosome:AUK_PRJEB4211_v1:9:8259508:8261812:-1 gene:GSCOC_T00036338001 transcript:CDP12638 gene_biotype:protein_coding transcript_biotype:protein_coding MELQEVLRMNGGEGDTSYAKNSAYNQLVLAKVKPVLEQCVRELLRANLPNINKCIKVADLGCASGPNTLLTVRDIVQSIDKVGQEKKNELERPTIQIFLNDLFPNDFNSVFKLLPSFYRKLEKENGRKIGSCLIGAMPGSFYSRLFPEESMHFLHSCYCLQWLSQVPSGLVTESGISTNKGSIYSSKASRLPVQKAYLDQFTKDFTTFLRIHSEELFSHGRMLLTCICKGVELDARNAIDLLEMAINDLVVEGHLEEEKLDSFNLPVYIPSAEEVKCIVEEEGSFEILYLETFKVLYDAGFSIDDEHIKAEYVASSVRAVYEPILASHFGEAIIPDIFHRFAKHAAKVLPLGKGFYNNLIISLAKKPEKSDV >CDP05649 pep chromosome:AUK_PRJEB4211_v1:9:12780157:12784384:-1 gene:GSCOC_T00020827001 transcript:CDP05649 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFCKKFNIEPRTPEWYFAQKIDYLKDKIQPSFVRERRVMKREYEEFKVRLNVLVAKAQKVPEKGWTMPDGTPWPGNSVRDHPGMIQVFVGQSSGDDMYTNKLPQLVYVSREKKPGFNYHKKVGPTNALVRVSAILSNAIYLLILDCDHYINNSKVQFPQRFDGIDTNDRYANRNTVFFDINMKGLDGIQGPIYVGTGCVFRRLALYGYDAPKKNKSPARTCNCSTEWCWSRLCAGHKKIKKKRKAKSETNVHAMEGLKEGAEVDYFSNPTNYTLDHKPDWVIVGVSRAINNGYDSWGPLLGKVFFAIWVIVHLYPFLKGLLGRQNRTSTTIVVLSLLVASLFSLLWVHIDLFLANSNGPVLEEYGIDCEKL >CDP14519 pep chromosome:AUK_PRJEB4211_v1:9:21924240:21925582:-1 gene:GSCOC_T00041033001 transcript:CDP14519 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVRFHCDCTVRVGAIYLLSGIGASILSCIFIHCSISAGASGALFGLLGAILSELLTNWTIYSNKYFFFWLTSTRCSSPKSKYTVYQYVLVLVALVLLIIGFTVGLVMLFRGENGNDHCSWCHSIKNVGPEGQCIHSHSDASCCTF >CDP20501 pep chromosome:AUK_PRJEB4211_v1:9:20644818:20647540:1 gene:GSCOC_T00011979001 transcript:CDP20501 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGYLENRRFDEALELFDEIPVKDIVTWNLMMKGCFDCGEVEMGLTLFDAMPERNVISWTTSMSGLLKVGLVEEAERLFLGMPVRDVAAWNAMIYGYFANGRVDDAMKLFNMMPSRNVISWTSMISGLDQHGRTDEALLMFAKMVGFGIVPSSSTFSSVITACANMLDLYLGVQVHGYILKLGCLFDAYVSASLVTFYANCKKMDDSLKVFNEKLHMNVAVWTSLLTGYGMNSMYEEALNVVVSMYRNGVLPNQSSFTSALNCCSEMEDVDQGKEMHGAAVKLGLNTDAFVGNSLAVLYSKCGNLKDGIVIFKEISDKNIVSWNTIIVGCAQHGCGKWALTFFGQMVRARVCPDDITFTGLLSACSHSGMLQKGRYFFDYLRQHKTMEVKLEHYACMLDVLCRCGELEEAENLIRNMPMRPNLSMWLSLLNGCRKDCNLDLAERVAKDVFSLDPDCSSAYVLLSNMYAYAGRWEDVARTRVQMKKRRTIKQPGCSWVTQKGVRHIFVSGDKSHPLSSKIYQKLEWLGEKLKEYGYVPDRRLALHDVEDEQKESILSYHSERLAICFALIDSEERSAITVMKNLRVCEDCHSAIKVIAIIANREIILRDSTRFHHFRDGLCSCRDCW >CDP14529 pep chromosome:AUK_PRJEB4211_v1:9:21829783:21834277:-1 gene:GSCOC_T00041044001 transcript:CDP14529 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSSEEGSDQHRRRCCGSYSLSADVSESESSGCFPYHDDTASTTSLRSSPVPNYRIPHNNALPNPGFLPPTLIFPGIHAKDMMLHPHERDAPLSEIEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPMAPQKKAMWCREMEWILCVSDSIVEFVPSKQQLPGGGIYEVMETRPRSDLYMNLPALKKLDAMLISILEGFQDTEFWYEDPGIVVADPNECGAFSSSVSSGRPSIRQEDKWWLPCPKVHPKGLSEDARNRLQQCRDCTNQILKAALAINSNVLSEMEIPSAYLDSLPKNGKACLGDMIYRHIAADQFLPESLLDCLDLQSEHHTLEIANRIEAAVHVWSLKDRKKHRNRQKSKRKSWGGKVKGLVADAEKNQFLAKRAELLLHSLRFHFPGLPQTTLDMNKIQYNKDVGQSILESYSRVMESLAFNVIARIDDLLYVDDATKNCNALGSVSFFNRGGLGGLPVQMQISPSPFSVQCTPCTSSFATPSFCLSPLVSGSPGRAVSPLHKNSYRDPRTVSKTK >CDP17622 pep chromosome:AUK_PRJEB4211_v1:9:7772802:7777512:-1 gene:GSCOC_T00005135001 transcript:CDP17622 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNYGRGGTGGGSSGRGRGGRGRGRGRGRSSGGDDQSYGGGRGRGRGTHMGGGYDSRFPGGGESDRSYRGQYDQRQGDSGGRGAWGRGAHEGGYARAVSGGGGEMDQRYRGQYPQLEGGRGARGPGTQVAGGVGGGEFIQKQPSAGGVGPGGRGAWTGRPWGPSASSSSSPAQYQPRPQHPQPTPALPDVQSLRISEEKPLSAEGKPDNKLLPIKRPDRGILAVRTVKLLANHFPVKFNSEGIITHYDVDIQQVMPGGNQPHRRSIPKALLSLIKDKLFSDEGFPVDMVTYDGEKNIFSAVALPTGEFNVELSDGEENVRTYKFVIQKVNQLKLSNLKDYLCGNLPHIPRDILQAMDLVMKHNPSMHRIPVGRSFYSKNERGDDLGHGIAAYRGFYQSLKPTSNGLALCLDYSVLAFRKPLPVIDFLKENVEGFRGVNDVTRMRRRVESALKGLKVRVTHRKTKQKYTISGLTRDITRLIKFPLVDPDGQVPPREVSLVDYFRQKYEEKIAHLDIPCLDLGRKDKRNDVPMEFCVLVEGQRYSKDYLERNEKDAAVLLKQISLAPPAVRKRTICDMVQAEDGPDGVVAKGFNMQLEKCMTSVGGRVLGAPELKLGGKNGNVYPVRVDQEKCQWNLVDKCVVQGKPVERWALIDFTSSSRYRLRKEDFIRNLIQRARRLGMHMEEPLVCHSTGMDEFYSVDRIGALLRKVIADARPRSGSQGTLQVIVCVMAGKDPGYKYLKWVSETRIGVMTQCCLCSQANRGQDQYLANLCLKINAKLGGSNVELNDRLPNFADEDYVMFIGADVNHPAARNSTCPSIAAVVGTINWPAANRYAARVQPQEHRKEKIVNFGSICRDLVSTYAKLNKIRPKRIVVFRDGVSEGQFDMVLNEELLDLKRAICDENYEPTITLVVAQKRHHTRLFLEGGRDNRGGNVPPGTVVDTTIVHPFEFDFYLCSHYGTLGTSKPTHYYVLWDENAFTSDRLQKLIYNMCFTYARCTKPVSLVPPVYYADLVAYRGRMFQEVAMEQPPASSPSSSSATPSVSLSSSASFDHNFYTLHPDLQNVMFFV >CDP04500 pep chromosome:AUK_PRJEB4211_v1:9:512537:518006:-1 gene:GSCOC_T00017915001 transcript:CDP04500 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSAEEQTEDYLFKIVLVGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKVEINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELHTHSDMNVVTILVGNKSDLKDAREVSTAEGKALAEAQGLFFIETSALDSSNVAAAFQTVVKEIYNILSRKVIQSQELKQKDPSSIGNGKTVVLQADGNQDADEQSKKGRCCSS >CDP18158 pep chromosome:AUK_PRJEB4211_v1:9:4357756:4360494:1 gene:GSCOC_T00007053001 transcript:CDP18158 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPCVQFALAKLDAFLKRELQLPKKVDTGIKTLRSELDSIAAFLRKAHQRAVEDEQILDWVRKVQDAADDIIDILDLFDHCQTEGKLARWWGNHSIDDQINDIKSILEDINKGRERYLPLPANSSHAALTPNLHPRIAPLYLADADVVGFEEDKDMLMAWALDMVDEHKVMFVVGMGGSGKTTLAKQVFEAVKQDFGFSAWISVSKSKKKLEILRNMLDLCRCSSRAETAPAPQQQSSEHCINLIRDHLQDKRYVIVLDDLWADDVWRSIMLALPRRNRSRIVITTRRGDIAYSLKNRSVAVHPIQHLSLEKAKELFHRIAFPISHICPPALATLSNEILGKCEGLRLAITEIGHLLSTQRESASEWKKLRDGLASELRSNGHLLNITKVLILSYDDLPYHLKNCFLLMNTFPPNHPIRRAELIRLWMAEGFITGVYNGKELEDLGEEYLNELIARNLIQVCAVDFDGRPKSHRVHNIIHEIVLSKLHDENFCEVYPEQGIFDVSNERIRRISIHKGDLVLSCPNLRARALLIFDSLDPYEHSIPIGYSSLKMLRELHLEGANLDMFPADIEELLLLRYLCLRNTRIRSIPKSIEKLKHLETLDLKQTLVRRLPKEICHLSKLRYLLVYRYDIEDYVAFNTIKGFEVAGKITWSANLRKLLELRRLGIMGLRKEDGRILSETIQMLRNLHSLNAKAENEAGVLDMQEISHPPPLQRLYLNGRLERMPIWISKLHDLVRLRLKWSRLDQQCNNPINILQDLPNLLELQLLDAYNGDQLDFNAGKFQKLKILELELLRQLKMVIMERNSLPCLQTLIIRRCGQLGQIPVGIDDLRRLKEIHLYDMPENFVSMLEKNGGSLYHLVQHVPLIRSYYAQYGGRWDVKDL >CDP12587 pep chromosome:AUK_PRJEB4211_v1:9:9270376:9272064:1 gene:GSCOC_T00036250001 transcript:CDP12587 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNISMILLLLFFSSHFLLISSYSELILNTFTECLSQDFESSTSILNILFTPRNSSYQSLLDYPIQNLRYLNSSSTKPLAIVTPLNYSHVQATITCCEKHGLQVRIRSGGHDYEGLSYTSTVSFVILDLQNLRSISVDLEEKSAWVESGASVGELYYWIAQRSPSFGFPAGICPTVGVGGHFSGGGFGTMIRTYGLAADNILDAVIIDVKGRILDRKSMGEDLFWAIRGGGGSSFGVIVAWKIKLLYVPQIVTVFSTGRTLAQGATDLVYKWQHIGHKLPQDLFIRVVIEANGEGGNRTIRSTFNSLFLGRIDKLVDIMKESFPELGLRKEDCIELSWIESALYFWEYKEGKTIEALRDRVPEPKSFFKATSDFVKEPLSHAALEQLWKWCLEEEKPIVIFDPFGGRMDEIPESEIPFPHRKGNLFNIQYLVKWDNQDTEASERHINWIRRLYKNMTPYASKGPRSAYFNYRDLDFGVENISGASFVEAKKWGDKYFSGNFRRLAIVKGEVDPQNFFSDEQSIPPLICPSHSILSHQNGAYTLDSCSPGKLQPWSLVASL >CDP14555 pep chromosome:AUK_PRJEB4211_v1:9:21500181:21502729:1 gene:GSCOC_T00041080001 transcript:CDP14555 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKIIVLKSSDGETFEVEELVALESQTIKHMIEDNCADTCIPLPNVTSKILAKVIEYCKKHVEAPKSSDGDKSSDEDLKSFDADFVKVDQGTLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENAWAFE >CDP04559 pep chromosome:AUK_PRJEB4211_v1:9:72762:77247:-1 gene:GSCOC_T00017992001 transcript:CDP04559 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLKLGCCSPPKRCDFLQRNESFWDIPESGFASQNEECQMWANNSNKGGCYDCDSCKTGYLAKF >CDP14573 pep chromosome:AUK_PRJEB4211_v1:9:21116533:21117251:-1 gene:GSCOC_T00041102001 transcript:CDP14573 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQESEVIFQEIDGSEDNEEYYIPMELNSRELRKISNPKRKKLKTKKNTSASLPVSIPENFSGNNSCFRSMESDFNDDDDGDDGEMDPPHVIIGRRITRKVMAFSVCTGNGRTLKGRDLSEVRNSILRMTGFLET >CDP04283 pep chromosome:AUK_PRJEB4211_v1:9:2415173:2417090:-1 gene:GSCOC_T00017622001 transcript:CDP04283 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKKAQYFLACCTIYRYSIYTGFVSQDRSRFCGEVMAVGLSILSFASWSCEQISIFQCTLVERGKS >CDP04398 pep chromosome:AUK_PRJEB4211_v1:9:1362068:1364928:1 gene:GSCOC_T00017774001 transcript:CDP04398 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRALFIFAILSFFAFSAFAQENGEDPGLLMNFYKDSCPQAEDIIREQVKLLYKRHKNTAFSWLRNIFHDCFVESCDASLLLDSTRRVLSEKETDRSFGMRNFRYIEDIKDAVERECPGVVSCADILVLSARDGIVALGGPYIPLKTGRRDGRRSRAEILEQHLPDHNESMTVVLDRFGSIGIDAPGVVALLGAHSVGRTHCVKLVHRLYPEVDPAFPASHVEHMLKKCPDTIPDPKAVQYVRNDRGTPMILDNNYYRNILDNKGLLLVDHQLANDKRTKPYVKKMAKSQDYFFKEFSRAITILSENNPLTGSKGEIRKQCNLANKLH >CDP04112 pep chromosome:AUK_PRJEB4211_v1:9:3967597:3969436:1 gene:GSCOC_T00017411001 transcript:CDP04112 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSPDSPTKSKGWSWMLLVIFFAALVLLACAFTLWYDVHRSRRSQHRPARPPSVVDEKYANALGVAMQFFEVQKSGKLVDNKIAWRGDSGLQDGREENLDLSKGMYDAGDDMKFGFPMAFTATMLSWAILEYGQHMQEMKQLKNAQDSLKWVTDYLLNAHPSDNVLIIQVGDPEVDHNCWERPEAITEARPLTQVNISYPGTEVAAETAAAMASASLVFKSINKTYSQMLLKHAQQLFSFADTYRGSYSISIPQVQKYYNSSGYGDELLWAAAWLYHAAGDQSYLKYTTGANGNSFANWGNPTWFSWDNKLAGVQVLLSRVSFFGSADTSDAENLGLQKYRRTADALLCSLLPYSSLATPNRTEGDTLSTN >CDP11270 pep chromosome:AUK_PRJEB4211_v1:9:6245738:6254571:1 gene:GSCOC_T00033407001 transcript:CDP11270 gene_biotype:protein_coding transcript_biotype:protein_coding MERNVGKGMMGQPKNYEQVRYSSVETRAEGIGSANQRFFQDPASSINTNIRPPEFGIPVVARPVLNYSIQTGEEFALEFMRDRVNPRQQFIPNASGAEHSGASSYTDLKGILGITSTGSESGSEVSMIPSAGKSQVQVHQRNNSMATEEKDFYQPVQTVIRSSSGNNISHGVHNRGQPRSVDTSAAKLKFLCSFGGKIMPRPSDGKLRYVGGETRIVRVNRDISWEELLQKTMAIYNQTRVIKYQLPGEDLDALVSVSCNEDLRNMIDECNVLEEGGSQKPRMFLFSPSDLDDSQLSLGSMEGDSEFQYVVAVNGMDFGSRRNSIGLASTSGNNLDELLGFSVERESSRVAADLTGSNTAQPMDEMYVSSQSSQTMEQSLSHAFESNPHSYHGNKVLGEVEMRLLPNFQQRESLPKTDGQSFVQSSATLQYTYNSHGSHQPVNVENLVPHSSQGHIVRQGGLTQEQPYVSLLIHKPEPLATEMKINRDNSIKKKSESYTDQSVDNDVLVKETKMRRENSTQRITETEKMQPSGGKNIVSSTQHDFYASDLASKDEASVARSAEHPGPAAVHLKTSEKDQEPLQNSVTPEAFEEEKADKFNEEGHLYLSGKASANGCGDLDTHPTDASHEPQVLAQRIFRSERIPREQAGLNRLSKSDDSSSAQFLITHTQSDVAQHFTESVDRLHERNADGTESSDKMQERNVASQTEKFLPSGKPQHHHLPATGNKREVTEKSIEADSKATFPNSSISQEASGSNLQKSEQKAPVIPEKEISGSSCLAASQGISEKVHDESTAKLMELPLGEIAAIKMDPSTKKVQILPTVGKEHPVAASPEEKPSTSVSVQEQGDILIDINDRFHPHFLSDMFSKAKIDGTRVAPLPSDGNGLSLTMENHEPKRWSFFQKLAQDDFVRRDVSLIDQDHVGFSPRTNVEDVSVDYSYAPSRDVGVAVGHIDSRINFGSDVQQQSRGFVEPNTMNVPTDYNPSQTTSLQSMQFDGPMNSRIPESDYQDEKIEAQHAGFPLIDLSLVDFDPSSLQIIMNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLSKDRHLDRRKRLTIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPSYCDPDWTLLMEQCWAPDPAARPSFTEIARRLRLMSTAGPTRTQGYTKQNQLSK >CDP04485 pep chromosome:AUK_PRJEB4211_v1:9:613310:619196:1 gene:GSCOC_T00017896001 transcript:CDP04485 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRHPNAWHCVDDMLSKILHLYKGDRTRPVGPTVKSRLAEFLNDIPQKDEDVESSISYLQIFLSSSVPAALVMLYLSPVKSNRDCADDCIKHFIQNCKTFCKGQVYGHLAHLVLEFCKLLGRGAGIEDPLYNTCRSCLGSMVEYVGFGGRETKMSKDGGIAVIEIFPFLRELAGRLSQDLASSLESSTGGGPAVGDVLDFVAFSFPIRNTIAFHLGINRRPAEKGKGEHLLPGWCQYLTILKELGSISKLYKGAEEIFWTHMGQRKVALCYLVLKFAKRSDDNDWITDHKEVTNFEVRRHLAMMLLPEVKDDYEELHEMLIDRSQLLSESFEYIANAASDSLRAGLFMEFKNEEATGPGVLREWFFLVCQEIFNPQNALFKACPKDRRRFFPNPASKVNPLHLDYYKFCGRVIALALMHKIQVGIVLDRAFVLQLAGKDVSLEDIQDVDPYLYNSCKQILEMDPEVVDQDVLGLTFVQEVEELGTRKVIELCPDGQNIVVNSKNRKKYVDFLIEHRFVTSISEPVRKFGEGFSNIMSGSSIHIHKSFFQSLEPEDLNWMLRGSENAISVEDWIAHTELNGYKDTDPQISWFWKIVEQMSAEQKKILLFFWTSIKHLPIEGFGGLASKLYIYRTLEPNDRLPSSHTCFYRLCFPPYPSMPIMQDRLSLITQEHVGCSFGTW >CDP12564 pep chromosome:AUK_PRJEB4211_v1:9:9783731:9784630:-1 gene:GSCOC_T00036209001 transcript:CDP12564 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRSTKSTKPQKQSTLAVYLYIPNIIGYIRILLNCVGFAICFQDKILFSLLYLVSFVCDALDGWFARKFNQVSTFGAVLDMVTDRISTACLLLILSQVYRPGLIFISLLALDIGSHWLQMYSTFLLGKSSHKDVKDSNSWLFRAYYRNRMFMGYCCASCEVLYIMLFLLAENQIESLPLVLGNAVQQSWVKAGILGLAGFGWGIKQLVNVIQIKTAADVCVLYDINKKQ >CDP17290 pep chromosome:AUK_PRJEB4211_v1:9:10021075:10032604:-1 gene:GSCOC_T00003761001 transcript:CDP17290 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSSAAVAAKKSSLTPKAIISQKFGSKASYKVDEVEESFPNECPGLAIRQKGRCLYRCHLQLPELSVVSGTFTRKKDAEQAAAEKAIEMLGIHVKENNPTEEEAWDELVDRLAYLFSSEFLSSGHPLSGHFRAVLKRKGHLNGCIPISVMAMYDAKLTNLCKHINPEVESNPLGITSIILSAAEKLSGSLLPLEDHLSLKRQSPHPPDVLQSVENCESTLLESFEIQAIRIPSSVNETIETLVLNLSSASYYLDVIAEALGVTEASRVQVSRTIGKASSEIRLYFCPKQQLLDQSLEPLEVHRVQLQGSLNVRASYFAGQEVYGDAILASVGYVWKSANLFHEDLSMRTYYRLLINKIPSGVYKLSREAIFVAELPMAFTTRSNWRGFFPREILYTFCRQHRLAEPVFCIRNSSLGTSTDPHGTCKKLKVTEPIEEGKKSPVLAAAGGGESDGLTGDFQCEVKIFSKCQDLILQFLSTKAYKKQTDAVQNAALKVLLWLNLFFRESNISSEKLSSNAKELGIQFYSEYFLKEFNICSLVHDFWMSFATVEDGLLDHKHMKANDDMLENGVFSLNMGGQASGVNPSSGSLVCIGYTSCLVTEGGMKEHLESNEEFEFEMGIGAVVPYIEGILTQLSVGQSACFGAELPPLEFILAAADDSPTTISLLQRKCSLEHTITLLRVTEPLEDRMEQALFSPPLSKQRVEYALQHIQESHALSMIDFGCGSGSLLDSLLDYPTSLEKIVGVDISQKSLARAAKMLHSKLNSKLDYKVSSNRIKSAVLYDGSITNFDSRLCGFDIGTCLEVIEHMEEQEASLFGDVVLSSFCPRILIISTPNYEYNVILQKSAPQNQEEDPDEKNQSQAYKFRNHDHKFEWTRAQFCDWATDLSRRHNYSVEFSGVGGVGDVEPGFASQIAVFRRKEENPKSVEMAHHCKVIWEWSEGDRSKSAL >CDP04170 pep chromosome:AUK_PRJEB4211_v1:9:3363217:3376041:-1 gene:GSCOC_T00017480001 transcript:CDP04170 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSYAYLIRKPKPFFAVFLVPTVVLVEQQSKAVMMHTDLKVGKYWGDMGVDYWDAATWKQQVDEFEVLVMTPAILLSALRHGFLKLDLIKVLIFDECHNARGKHPYACIMTEFYHLYMNSNFSDLPRIFGMTASPIKAKGSSSSSLCWQHIHELENLMNSKVYTCISESVLAEYIPFSTPKLKFYKHMDIPYIVFERVANGLKILREKYEHSIDASSLPESIAESTRKRLDKLFSTLLFCLSELGVWLALKAAESFACVKTEVCLWGRLDDRGETIINNFCSDAVTIFSEWIPSGPEWCISSDTKAAISAGYLTSKVKCLVDSLLEYRDQKDLRCIIFAERIITAIVLQALLNELLPSKSGWRTAYMVGLGSNLPSQSRNKQHRIVEEFRKGLLNIIVATSILEEGLDVQSCNLVIRFDPSATVCSFIQSRGRARMQNSDFLIMVKSGDKSTLSRVQNYLASGDTMRQESLRHAFVPCAPLNGEVHKEACYKVQTTGAFVNLSSSVQVLYFYCSRLPCDGYFKSYPRVVVDKQSGTCTLHLPRSSPIQTISVQGNTQTLKQLACLEACKQLHQIGALTDYLVPDIVEEEAQAQELCRQPYVEEQVQYVPPELVDCLGNDSETSYYCYLIKLQPEFNYDDLPHDIVLAVRKTLECHGETLNFDLDADRGSLKIEISYAGSITLASEEVLLCRKFQVTIFRALLDHNLNKLHEALGGLHLNSECPLFDYLLLPSTGSCGNALIDWKYVSAVLFPQEDIPNKHMASCCTRSHRQYFWTKNAFVCCCMLGNSLVLTPHNGHLYCVTGILHDLDSNSLLELRNGESITYKAYYRNRYGIKLQFERESLLRGNPLFTVRNYLHRCRNQKAKEPSKASVELPPELCSVIMSPISIASFYSFSFAPSIMHRIESFLIAGNLKRMHVDHCMQNDAIPTMKVLEAITTKKCQEKFHLESLETLGDSFLKYAASQQLFKMFQNQHEGILSVKKDKIISNASLCKLGCDHNIPGFVRNEPFDPKTWIIPGDKSGVYRLEKKLLPPKRVVYAREKRKIKSKRVADVVEALIGAFLSTVGEIAALLFLEWLGIKVDFVDVPYTMPLQVNPEQLVNVKFLESLLNYSFRDASLLVEALTHGSYMLPEIPRCYQRLEFLGDAVLDYLITMHLYAKYPELSPGLLTDLRSASASNDCYAQSAVKAGLYKHILYASQELQRHIVSAVQNFEQLSMDSTFGWESETKIPKVLGDIIESLAGAILIDSGYNKDVVFQSIRPLLEPMITPDKLKLHPVREFHELCQKEGYIRNKRDWNYENGKATITLEVEANGITHNYSCSAADKNTAKKLACKALLKVLKECSSNLLL >CDP18190 pep chromosome:AUK_PRJEB4211_v1:9:4609108:4610424:1 gene:GSCOC_T00007092001 transcript:CDP18190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g30950 (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G30950) UniProtKB/TrEMBL;Acc:C0SUY4] METFHHAQISIPLPYNFTITSSNIGSIPGPAANCITTPWMDSRIWSRLPQRLIDRVIAFLPVPAFFRARAVCKRWYGLLFSNSFLELYLQVSPRCHWFIFFKQKSLKSNIYKTTNGGNGADRINCEGYLFDPYETKWHRISCPLIPPGFSPASSSGGLICWVSEEAGSKSILLSNPLTGSLTPLPSTLRPRLFPSIGLTISNTSIDLAVAGDDMISPYAVKNLSTENFHIDGGGFYSIWGTTSSLPRLCSLESGQMVFANGRFYCMNYSPFSILSYDIIANQWCKIQAPMRRFLRSPSLVESKGKLILVAAVEKSKLNVPKSLRLWSLQDCGTMWVEIERMPQQLYLQFSDVENGQGFNCVGHGEFIVILIRGSEKALMFDFCRKRWQWIPSCPYIHGYRSYGDQAGELHGFGYEPRLATPVTALLDQLTLPFQSFSG >CDP04479 pep chromosome:AUK_PRJEB4211_v1:9:669766:670839:1 gene:GSCOC_T00017886001 transcript:CDP04479 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEISNFVFVSATILSSLCYCYTVDKIFPKGILRLLALIPVACLFIYLPLNLTTIHLGGTSSFFIAWLGSFKLLLFAFNKGPLSSSESIPLSRFIPLACLPIKFQQAPSPQESTKKGQRSPLNHVIKIVLLVLCIRVYSYKDSIQQNIIWFFYCLHIYFTLELVLAMVAGLARGLIGVRLEPHFDEPYLATSLQDFWGRRWNLMVSNILRPTVYEPVRSISSSLIGKKWRALPAVVATFLVSGIMHELVFYNIGRLKPTGEVTCFFLLHGVSLVVEIAAKRALKGKIWIPGIISGPLTLAFVIYTSFWLFLPPFLRGRADWKGCTESLAFIEFVKHQRLISPNDLSCPFLQGNGSS >CDP12559 pep chromosome:AUK_PRJEB4211_v1:9:9921769:9930251:1 gene:GSCOC_T00036200001 transcript:CDP12559 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNAPPSTAIAKPHKPYFFYGHRKPTQNRPTVRGGLFSNRQIINPNRKNHPRPSSQPAFDLSKWDPDSLPTRPNYPEKDPSEKFFSVAKTLSPIARYIVDSFRKHRHWGPPVMADLNKLRRVTPKLVAEVLKVPDIDSRLSSKFFHWAGKQKGYRHDFSCYNAFAYSLNRTNQFRSADQVPELMCMQGKPPSEKQFEILIRMHSDAGRGLRVYYVYEKMKKFGIKPRVFLYNRIMDALVKTDHLDLAMSVYKDFKEDGLAEESITFMILIKGLCKSGRMHEVLELLGHMRELCKPDVFAYTAMVKVLIGEGNLDGCLRIWEEMRRDEVEPDVMAYGTLVTGLCKRRQIEKAYKFFKEMKEKGYLIDRAIYGSLIEAYVAKGKVGSACDLLKDLVESGYRADLAIYNSLIEGLCGAERVDRAYKLFQVMIVEDVQPDFSTVRPLLVSLAELERMDDFCKMLEEMKNLGFSVIDDLSKLFEFMVVNDEKIKLALELFEYLKMKDYCSVSIYNIVMETLNRIGEVRKALVVLDELKSSNFEPDSVTYSIAIQCFAEVGDVHEACTCYNKIKEISKLPSLAAYRSLVKGLCATAEIDAAMMLIRDCLGSVASGPLEFKYTLTIIHLCKSKDAKKVVGVIDEMVEQGCLPDNVIYSAVICGMCKYGTIEEARKVFVGLRERQLLSEADVIVYDELLIDHMKKKTADLVLSGLKFFGLEKKLKARGSTLLPG >CDP18189 pep chromosome:AUK_PRJEB4211_v1:9:4594058:4601475:-1 gene:GSCOC_T00007091001 transcript:CDP18189 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPESSDSRVIAHVDMDCFYVQVEQRKQPHLRGQPTAVVQYNSWKGGALIAVSYEARKHGVKRSMRGDEAKHVCPQIQLVQVPVNRGKADLTVYRNAGSEVVSILARKGRCERASIDEVYLDLTEAAELMLAETPESLEAIHEEAVKSHVLGLDVDGVDSRENVKKWLIRSDADRRDKLLACGAVLVAELRLQVLQETRFTCSAGIAHNKMLAKLVSGMNKPAQQTVVPFSSVKKLLEGFPIKKMKQLGGKLGTSLQTDLGVETVGDLLQFPEVKLQECYGMNTGTWLWNIARGINGEEVEGRLLPKSHGAGKTFPGPAALRSIPAVEKWLNELCDELNERLQSDLEKNKRIAHTLTLHASAYKKTDTDSHKKFPSKSCPLRYGTSKIQEDALLLFQAGLREYLGSYQPKTSGGPHDGWGIIGLSVSASKIVAIPSGTRSISNYFHSRGQICSSAGESNDRFSKTAAPLSPSGSESNSGVHFAVSEIESPHKEEKSVHALASFDLKENETQVCKDKDPSFSSTMSQHDGFAFAQEIVTPSSSGTQSCFTVNQTESQKELSGENFLHVRLKEKKTSSSKEKGTPSILNFFQSRTSCASPKQQHASPFAVAKASSSSDAESIVNSCFEAFKQKNLPTKNRTRTGTFNFDQDEQRRSWSYKIDEIDHATLNELPLEIQEEVNVWLRPQKRANILKKGSSIPHYFLPTKDK >CDP04551 pep chromosome:AUK_PRJEB4211_v1:9:113475:118209:-1 gene:GSCOC_T00017982001 transcript:CDP04551 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLDGVKHLLSAVVNCCDSEIYKQPRGLENPEALARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILDFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDDVIENIIDKARIPLFIPLCTTLGWLIFLFADFHLIMVFLFPFPTWNTLENITAKC >CDP18187 pep chromosome:AUK_PRJEB4211_v1:9:4582344:4585775:-1 gene:GSCOC_T00007088001 transcript:CDP18187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 89A9 [Source:Projected from Arabidopsis thaliana (AT3G03470) UniProtKB/Swiss-Prot;Acc:Q9SRQ1] MRSLYLLLSLKATPLFQFALCRFRRVGCFHQIIRMEYSFGVILTIFTVALCISIFFKFLFNFTFDNHKNKRKKLPPPPEPSPLLIVLKNILTFLLQYQKNACFNLETVLQDLKKKHGPIFLVRILGARSHIVICSHSLARQALVEKSTIFSNRPTPNRPKLHKIISSSNGTTWHLLRRNLSSEMFGASCIRAYSDTRLHVLGNLVEKVVSQSNQPINVMENLRFSVFSLLVIMCFGDHKLDEDKVKEFESVQLLLLVRHAWLNLFDFWRGLRKILFGKQLEEFNRARRDQENMFLPLIRARRMAKQGPNEDDQDQPRAYVDTLFDLQLPDEKRAFTDKEIVSLCGEFLNAGTDTIAAALEWIMANLVKNPEIQDKLYQEIAGVVGEPPQLLKPSSLKMPYLKATILEGLRRHPPGHFLLPHWVTEDVELDNYTIPKNASVNFMLAEISRDPMVWENPMEFQPERFLSTSFAAAHDNELDSPQMLDMIKGNREMKMMPFGAGRRMCPGSKFSLHHLEYFVANLVWYFNWKAVDGIGVDLSEKHTFTVVMKNPLRADIFPRAKSV >CDP05640 pep chromosome:AUK_PRJEB4211_v1:9:12535989:12537311:1 gene:GSCOC_T00020811001 transcript:CDP05640 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFPFYRSQQRPKPSVGNFRIPVRPQEEEKATPATRSRKVVHIPVQFVGSVSGPDYSKSAVKIQKVFRAFLVRKSMRKIMAIKKEVDKIEARVWRSEEVELLEKDGKERLKVNEMLMALLFRLDSVCGVDSGVRDCRKSVIRKAIALQDRVDAIAAAASTSADESGAPKRDREDDVGADLEMIAEEGNRVEDVEKVDAGIDSKMKVDVEARLNKLMPLENIKNQNCCEVQVVDSPMEDNGEDTCERTPALSECVEESLETSQMEDVIRAKQGNEGRRYGGGDDERNRELLERMMEKNEKMMKLMTQLNERNEVQMRMLNSLTQRVEKLEKAFVCDMIKRKKKRA >CDP11285 pep chromosome:AUK_PRJEB4211_v1:9:6005818:6010733:-1 gene:GSCOC_T00033430001 transcript:CDP11285 gene_biotype:protein_coding transcript_biotype:protein_coding METGKLVVERVDGKSTATHCYSKYPLKFIIPNKVGPSQTDAVWIYTITYGGGIVLGDSIKCDISVKSLMLCSILWFLFCARIGSDALLAVIPDPVICFSTAKYSQTQVFKVFPSSSLLIVDWITSGRYGRGEKWDFELYKSTNNIFLEADEPLFLDTILLEQGKYSSIAERM >CDP05628 pep chromosome:AUK_PRJEB4211_v1:9:12071246:12074266:-1 gene:GSCOC_T00020789001 transcript:CDP05628 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGLTVFSKTVFVFEKQQLLINWYLQSNFILLFGATKQGRLVFSLPFSKVTCPLLPAVLPKVVCVVLTLFTALFSATNFDSCVNTALKTKNCENPRGSNSAWGENDLQKCISNYYKVIPASPLLSLLIILSLFCPADSISTNVSDCLCLWINKMRKQRRNPGGQKFYFTRKQEVEIANRLVSMHRASEIRENNIGSYVVPEIQQQLNCQFGTSFTWDSIRAKYYVLRELTKLYIAFKRREMGLGWDSQNFTWLMDDSKWAELAQVNPKFLKFQDDCTVYHLLEEVFVNQGATGDFSAGFENEPHTSPEERYMENLARSSRGKGRSDAAHEGVETELAGANEAKGRKGKGKRKSGDMSSGSPMSTASHSASNRYMKALDTIESLVSRHKSSSMGVSVSSPDKQCSGRGGPTKTTYEVAMDQLRGMENISYASKMAVAEILKDKQELAIWNLAQSDADRITFMKMKGCFPPDTQEPPPPPPGVVICLFGFRPRTGHEFVQFLLNLTGVVGFLLLLMMCLWH >CDP04490 pep chromosome:AUK_PRJEB4211_v1:9:574503:580806:-1 gene:GSCOC_T00017905001 transcript:CDP04490 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPKTPADLVRQTRDLLIYVDRGPDATRETKREEKMMELSKLMRELKSILYGNSEAEPVTEACAQLTQEFFRENTLRLLIICLPKLNLETRKDVTQVVANLQRQQVQSRLIACDYLEANIDLMDILIGGYENTDMALHYGAMLRECIRHQTVARYVLESEHMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESPNYITRRQAVKLLGDMLLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQHKPPDIVSILVANRSKLLRLFADFKLDKEDEQFEADKAQVVREIAALEPKEGP >CDP04121 pep chromosome:AUK_PRJEB4211_v1:9:3897970:3898470:-1 gene:GSCOC_T00017422001 transcript:CDP04121 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEFKISHLLSLPQTNSFLPRLCLLLIIVEQIKLRTKLYLLKKRTELYIQKKSEICYLFQRNKFNMTHNLVFKLV >CDP04411 pep chromosome:AUK_PRJEB4211_v1:9:1236958:1240286:1 gene:GSCOC_T00017792001 transcript:CDP04411 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVTLTHLKTVVQPVTLLKVIHRFSISRIYYFTLSLVDMAESAAPAVPSDELLEWPKKDKRRFLHAVYRVGDLDRTIKFYTECFGMKLLRKRDVPEEKYANAFLGFGPEDSHFVVELTYNYGVDKYDIGSGFGHFAIATPDVYKLAEDIKSKGGTITREPGPVKGGKSVIAFAKDPDGYLFELIERGPTPEPLCQVMLRVGDLERSIKFYEKALGMKVVKKTDRPEQKYTIAMLGYAEEQETTVLELTYNYGVTEYTKGNAYAQLAISTDDVYKSGEVVNVVTQELGGKITRQPGPIPGINTKIVSFVDPDGWKTVLVDNQDFLKELEKKD >CDP05622 pep chromosome:AUK_PRJEB4211_v1:9:11683844:11684670:1 gene:GSCOC_T00020776001 transcript:CDP05622 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSEVDHLDDGYRWRKYGQKAVKNSPFPRSYYRCTSPSCGVKKRVERSSGDPTTVVTTYEGTHMHPTPLTSRGSLGLVPESSASSGSGPGPSSFFPAPMSQYHHQQPQQFQQQQLQLLPFFRVPTAPASLHFNTPSSSFAHMIVQESSYCPPPPSSFVDNGLLEDIVPSEMLIKEPKKE >CDP04325 pep chromosome:AUK_PRJEB4211_v1:9:2070887:2072473:-1 gene:GSCOC_T00017678001 transcript:CDP04325 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNLAVLSALDGARTQLYHFKAIVIAGMGFFTDAYDLFCISTISKLLGRIYYTDLSSPKPGKLPHVVNNWVTGVALVGTLTGQLVFGWLGDKLGRKKVYGLTLLLMVVCAICSGLSFGSSHRSVMGTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGVGIIFAGLVSMILSKIFLKSYGGPSYHADHKLSTEPQADYVWRIVLMLGALPALLTFYWRMKMPETAHKLTQFRAANDYKLRSMEFFQRHGRHLIGTMTTWFLLDIAFYSQNLTQKDIFPTMGLTSAAADVSALKEMFETSRAMFLIALLGTFPGYWVTVFFIDKIGRFYIQLVGFLMMSIFMLIIGVKYDYLNTKEHKWYFAALYGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHALSAASGKAGAMVSAFGIQNYTQDGNVHKIKKAMMILAFTNMLGFCCTFLVPETKGRSLEEISGEDAGESEAQMTDKPPKNRPDASWE >CDP04085 pep chromosome:AUK_PRJEB4211_v1:9:4293758:4296318:1 gene:GSCOC_T00017375001 transcript:CDP04085 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVLSSLDTAKIQYYHFKAIIIAGMGLFTDAYDLFCIPLIMKLIGSVYYAGPARDQQVPALVTSAMGAIALLGTVIGQLVFGRLGDLLGRRKVYVFALLIMVFSSVGCGFSMGRGRTCVLLSLGLFRFSLGIGIGGDYPLSATIMSEFANKRTRGGFIAAVFSMQGFGILASSTVTMVVCAVFNSASGYPKKPSPEMVDLAWRLILMMGAIPAGLTCYWRMMMPETPRYTALVEKNVAQAAKDMRKVLRVPMSPIPEDQEYDEKDPMTPTASANPASSSDYPLLSKEFLARHGRDLFSCALAWFLVDVVFYSNNFFQSQIYGPQYLPLHNKNAYEEAFHVAKLQAILAICSAIPGYFAAVFFIDRIGRVRIQIGGFFFMAIVLFAIGIPYYSYWDRHAKAGFMFLYALTFFFSNFGPNTTTFIVPAELFPARFRSTCHGISGAAGKLGAIVGVVAFQWASPDNYHQLGIRMTVPLVLLGLASLVGCVTTYLFTRETMGRSLEENENEDQATSESGTGWGLVRHFSFTRCSLPKLCANNEVADG >CDP04251 pep chromosome:AUK_PRJEB4211_v1:9:2710247:2711578:1 gene:GSCOC_T00017582001 transcript:CDP04251 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQRRNPGGQKFYFTRKQEVEIANRLVSMHRASEIRENNIGSYVVPEIQQQLNCQFGTSFTWDSIRAKYYALRELTKLYIAFKRRETGLGWDSQNFTWLMDDSKWAELAQVNPKFLKFQDDCTVYHLLEEVFVNQGTTGDFSAGFENEPRTSPEERYMENLARSSRGKGRSDAAHESVETELAWANEAKGRKGKGKRKLGDMSSGSPMSTASHSASDRYMKALDTIESLPTQLVVAFATDTFECFFFRGVVICLFGFRPRTGHEFVQFLLNLTGVVGFLLLLMMCLWH >CDP14530 pep chromosome:AUK_PRJEB4211_v1:9:21802547:21806510:-1 gene:GSCOC_T00041045001 transcript:CDP14530 gene_biotype:protein_coding transcript_biotype:protein_coding MANPHEPHSDPSQSLMILPSALIATILAKLDVASICSAASTCRAFRSCASHILSFIPNFHLLDIGLSIDLLRPLLMPTNPYLRTLQVDCTRLDDSSFDYLLRPSLQELSLHNCADFTGKLLSHIGQRCKGLRFVYLSSLAEKRGRSIDVSDLEELLLGCTELETLILMFDVSMFVRHNFARVWALATSKLVSLEIGFISSIMVTEMLSPPIGPNQSSHHLRTCIFPGLQKLSLSVDYITDTMVSMISESLPSLTHLDLRDSPIMEPRVSFDLTNIGLQQINLHGKLKHLSLVRSQEIFPTFFKRVNDLGILLMADKCSSMESIYLGGFCQVTETGFKTLLHSCAKLYKLRVSRGNHLTDLIFHDITATSLSLTHVSLRWCNLLTNFAVTRLASNRGLVVLDLRDCRNLGDDALQAISTLPKLKTLLLDGSDISDMGLCHLSKGMSSSLVSLSLRGCKRLTDKCISFLFDDYSNRELRELDLSNVPNLSDAGMLLLAKSRIPIFELRMRQCPLIGDTSVMALASMKVDENGWQGSSLRLLDLYNCGGITQLAFRWLKKPYFPRLRWLGVTGSVNRDLVDALTRNRPFLHVGCRGEELGADQWDKLDDLYIHDYEEVDEFEQWLLEGENESDEEMEEAENVGVLPD >CDP04202 pep chromosome:AUK_PRJEB4211_v1:9:3120658:3122215:1 gene:GSCOC_T00017518001 transcript:CDP04202 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSELVFVPAPARGHMVSTIQFAKLLLERDERISITVLVIKRPHPPNLDSYIEEFAASNSNIRFVHLCHVDPPPPELLKSAENFLSIHIEKHKSLVKDAISNHVVSGPSTKLAGLVVDLFSTPMIDVANELGVPSYVFFPSSAAFLGLLLYLPTRHAQLGTEFSISNPDSTIPVYANPVPSRVLPSFLFDKQNGGYSSMLHHGTRFKETRGFIINTFAELEPHAIESLESRKESAAIYTVGPLLNLELQEHSQSDQKVMKWLDDQPSSTVVFLCFGSLGGFEPPQLAEMATALERSGHRFLWSIQAPPLKDLRVKPAEYTNFSEILPEGFLERTQNRGLVCGWAPQVEVLSHEAVGGFVSHCGWNSILESLWNGVPIATWPIYAEQQSNAFELVKELELAVELTLDYRITSSDKLVMADAIEKAIRLLMDSGNPVRNRVKEVGETGRKALKDGGSSFLSAGRFIEDVLVVKK >CDP04426 pep chromosome:AUK_PRJEB4211_v1:9:1110859:1113782:-1 gene:GSCOC_T00017813001 transcript:CDP04426 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRPRYVYNGINKTASSLLLLMVSTYMGRSRWDMINLLLPGHPCFIFLLLSLLIIPSLTGLTEAQSKLCRTSCGDIPINYPFGIDDGCGSPYYRHLFVCSTSGQLEFMTPSGRYPIRNITYSDPHILLTDPFMWNCQDGNNFRPTRPFSLDTSTHFTLSSQNDYLFFNCSENYVIMEPKPMFCERFPEQCDSACDSSSYLCRHLPECASALRSSSCCSYYPKATESLRLMLRHCSTYTSVYWRNLGVTPPFNQIPEYGIRVDFEIPVTMRCLQCQDTAKGGGICGFDTETQNFLCLCQKGNVTTFCKDRNNSQHSRVVAGTVTAVSVAGAIGIGAGIWFLRKVRTKAPVTHGVQSNENRLF >CDP04368 pep chromosome:AUK_PRJEB4211_v1:9:1647824:1658659:-1 gene:GSCOC_T00017736001 transcript:CDP04368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) UniProtKB/TrEMBL;Acc:O80962] MAFASALQPIFCSGNDAAKPIKDKLKAGGSGGGGAVGNVAVVVKKDFEFLRKGFSKGVEWANTTLHLPKIAKSIDDFIWLRHVEDPRVVSPLRTPSWPQPYYPELSGIDLLVADLQALEAYIRYFYYLSKLWTKPLPESYDSQEVADYFRLRPHVVALRLLEVFAAFSSAAIRMRISGIGSSKSNVADKDISQYSFGILLKETMLNLGPTFIKIGQSLSTRPDIIGTEISKALSELHENIPSFPKVVAFKIIEEELGSPVGKFFSYISEEPIAAASFGQVYRARTVDGFDVAVKVQRPNLHHVVVRDIYILRLGLGLLKEIAKRKSDPRLYADELGKGLVGELDYTLEAANAEKFMEAHSRYPFICVPKIFRHLSRKKILTMEWMVGDNPRDLLFLSTESLDQHPGLTERRQNEAKRRLLDLVNKGVEASLIQLLETGLLHADPHPGNLRYTSSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVYGDWASLVQALIQMDVVRPGTNVLRVTMDLEDALGEVELNRGIPNVKFSRVLGKIWSVALKYHFRMPPYYTLLLRSLASLEGLAVAADPNFKTFEAAYPYVVRKLLTDNSASSGRILHSVVFNRKKEFQWKKLALFLRVGAARKGLHLVAASKSETSRDPSSIGVSGELDVANLILRLLPSKDGYVLRRLLMTANGTSLVRAMVSKEANSMRQQFCRVIADILYQWISRVLGNGVQVVQFSPQVQLGRGASNIEIDSSSRITPMIDYQSLLRDRRIKIILFKILDSARRDPILMLRFYWTSFIMLVTASAMACHRVLVTLFEASLAHISLAPKRIAVGV >CDP18216 pep chromosome:AUK_PRJEB4211_v1:9:4813019:4817445:1 gene:GSCOC_T00007129001 transcript:CDP18216 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIPTILRRLAASRPSSIAARFSSSSSLTETLVLSSAAATLSYSTFVLSDDKRRPLFNSVVTPAISPNLPSTIPRNNSRSFSSDSSSGRSKIVSIESEEQLNDSLRKAQDESLPALFYFTAAWCGPCKFISPVIGQLSEKYPHVTTYKIDIDKDALAAALMKLGIHSVPTIHFFQNGKKASEMVGADVKQLNATMEELYK >CDP17313 pep chromosome:AUK_PRJEB4211_v1:9:10528716:10529601:1 gene:GSCOC_T00003798001 transcript:CDP17313 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIHKSLQCQTVSSSGCKRNWSIFECIHTKKRNRLKYQKLNDLEGELDYDELEEEFEKIPIHDQCSNSQQLEDNEDEGEDVDLKHFNVETFLMMMKMMIGIKDSNVVYIILLDNDEDLSRWLFLKTLLFKNDEKDDKSLKN >CDP04429 pep chromosome:AUK_PRJEB4211_v1:9:1086136:1087658:1 gene:GSCOC_T00017816001 transcript:CDP04429 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIDSCWRSRSNWADNRRALADCAIGFGKNAIGGKYGATYIVTDPSDNPGHPKSGTLRHGVVQTKPLWIIFARDMVITLKNELIVNSFKTIDGRGVKVEIAYGPCITIQHVSHVIIHGVSIHDCKPGKAGIVQSSSTHTGRRGGSDGDGIDIFDSSYIWIDHCFLARCSDGLIDVIHASTAITISNNYFTQHDKVMLFGHNDKNTEDNAIKVTVAFNHFGPGLVQRMPRVRLGYAHVANNRYDRWEMYAIGGSANPTIFSEGNYFMAPDSPDAKQVTKREAKNGWKNWKWRSSKDKFMNGAYFVQSGYGNCSPGYNRYQNFPVADGSLVPALTADAGPLRCTTNKAC >CDP11286 pep chromosome:AUK_PRJEB4211_v1:9:5998782:6002796:1 gene:GSCOC_T00033432001 transcript:CDP11286 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFHHLSPLGLVLLCFLSASFAMFPINITTDQSSLLALRAHISVDPLQILAKNWSVGSSVCDWIGVSCGSRHRRVTALDISNMNLSGTLPPQLGNLSFLVSLDMSANNFHGELPHGFFPHWIGSFPQLRHLALGNNSFTGLIPSSISNLSKLEEISLSNNHLQGNIPIGILNISSLQVINLRNNGLSGVLPSDMCYHLPGLSILSLSFNKLYGQIPSSNLAQCSELRVLSLSFNEFGGSIPKEIGALKKLEQLYLGHNYLEDLKIAFCRMNSQNAASTTELLPKIFRIFGQIPKEIGNSTMIKIQSFASNNLTGMTIFSMAEIRDLYGLIDMLNIILTGVIPREIGNWYFLKQLNMESNSLTGSIPIEIFNLSKLSVMALTQNQLSGNLPSTFGYWLPNLEGLYLSDNHLSGALPSSISNSSNLRLIEIAYNKFTELSFITSLTKCKYLSILVLGSNPLNGIIPDSVSNLSTSLEQLNATNCKIKGSIPDGIGNLTSLILLDLSNNDLTGSLPATIKDLQKLQYMDLSLNKLISRVPLHLLCALHNLDTMNLGQNQFMASIPKCFGNLTSLRHLNLSHNRLYSAPPKEIWNLKDLLELDLSSNLLGGSLPYAITNMKMAYWVDLSTNQFSGGIPDSIGDMQNLQNLSLAHNRLQGSIPQSIGKVFSLESLDLSDNFLSGSIPMSMENLRYLKYINLSFNNLSGEIPSKGPFTNCTAESFTSNQALCGARRFHVPPCPTISAHRSRTKRVRRMIYILLGVIIAVGALSFGFVYLRYRKKDVFSSGADLSLVAMPERISYFELLQATNGYNESNLLGAGSFGSVYRGTLDDGRVVAVKVFNLQVDGAFKSFDVECEVLRNLRHRNLTRVISSCSTPNFKALVLEFMPNGSLEKWLYSHNYFSDLMQRLDILIDVACALQYLHCEYSTPVIHCDMKPSNVLLDQDMVAHLSDFGLTKLLGEENSITYTETLATLGYLAPEYGLEGLVSAKCDIYSFGIMMMEVFTRTNPNSEMFGENLSLKSWVANSMPDGLANVIDANLLKESDEYFVEKLSCIASIMKVALGCTMESARERSSIQDVLVALKKIKLQYMSPLCSGT >CDP05637 pep chromosome:AUK_PRJEB4211_v1:9:12379000:12380526:-1 gene:GSCOC_T00020806001 transcript:CDP05637 gene_biotype:protein_coding transcript_biotype:protein_coding MGICNGELISQISNPDSPLDIEEFRRQGHMIIDFLADYYQNIEKYPVRSQVDPGYLKSRLADSAPYHPESIETILNDVEKDILPGITHWQSPTHFALFPCSMSIPGFLGEVLSTGFGTVGFNWMSSPAATELENVVMDWFGKMLNLPSSFLFSGGGGGVLQGTTCEAMVATLTAARDQMLRKIGKANIGKLVVYASDQTHFSVQKAAQIAGINSNNFRVIETTKSTNFGLSSDSLHSAINADIDAGLVPLFLCATVGTTVATAVDPLRSICGLAKQHEIWVHVDAAYAGSACICPEFQHFLDGIDGANSFSLNAHKWFFTTLDCCCLWVQDPNALIKALSTKPDYLKNQATDSNRVVDYKDWQIALSRRFRALKLWLVLRSYGIVNLQKFIRNHVKMAKHFEGLIAKNNNFEVVIPRNFSVVCFRLSPFALTGNQKIMSSEEDLNEINRKLLESINSSGRVYMTHGMIGGVYTIRFAVGASLTDYRHVELAWKAIQEHADTLLNDLCV >CDP18210 pep chromosome:AUK_PRJEB4211_v1:9:4746961:4750522:-1 gene:GSCOC_T00007120001 transcript:CDP18210 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIKTPTKKRIKRELDKRAPKLIETGKKTLILHGTKTSNVLNAVLAEIYHLKRDNAIKYTKKNDNVRPFESGGETSLEFFSLKTDCSLFVLGTHSKKRPNNLVLGRTYDHHLYDLVEVGVENFKSTESFSYDKKLAPHIGSKPLFAFIGEGFESVEELKHLKEVLLDLFRGEVVKNLNLAGIDRVYLCTAVSPTKVFFTQCAIRLKKSGTTVPRIELVEVGPSMDFVVRRHRLPDEGVKKQAMKTAPESTKKKEKNVKGDVIEGKVGKIYIPDQKVGSVPLPNTAKGVKRERREAKMNNEAHEPAEKKQKEDSD >CDP04548 pep chromosome:AUK_PRJEB4211_v1:9:142899:147093:-1 gene:GSCOC_T00017979001 transcript:CDP04548 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRAGLDRFKKAQTSEPFSVSVSNSSANAKSPTQPSNKPLASPSASNSQHHLSQFHNQNPGSQKPLLPDVAQPVPPTQPVTQVGGGQSTWQPPDWAIEPCPGFYYLEVIKDGEVLDNIHLDKRRHIFGRQFQTCDFVLDHQSVSRQHAAVIPHKNGSIYVIDLGSAHGTFVANERLTKDSPVELEVGQSLRFAASTRTYILRKNNAALFPPPVHPSDINIPPPPDPSDEEAVVAYNTFLNRYGLGKPDESKSSDFDSSLQGKDERAAKRIRRTRVAFRDQVGGDLVEVVGVSDGIDVDTEPGPLGVKEGSLVGKYESLVQITVIPKGKEQSSSKEVGVSQAGVTEKLKQVLDKVKTPVRSGIYDDLFGESFSGKVGSSWAFSSAISGETQASPASEIEGTADAASGGELDSSLSAVDNEVDDDLFG >CDP04530 pep chromosome:AUK_PRJEB4211_v1:9:255334:257112:1 gene:GSCOC_T00017957001 transcript:CDP04530 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVNGREDGGDGNSPSGLDAEDGGEFMGQSPPPSPRASHSPLMFRPQAMSTFHVHMLPSVCTMSSQAKYAFLLSSLFLSKLSAIE >CDP12592 pep chromosome:AUK_PRJEB4211_v1:9:9096461:9098047:1 gene:GSCOC_T00036260001 transcript:CDP12592 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLSIILASFLFSTLVAISFAASDHSVHQTFVQCLQKHSSPNISAVIYTPNNSSFPKVLQAYIRNLRFNESTTRKPFLILTALDVSHIQAAIICAKAQGLQMKTRSGGHDYEGVSYISEVPFFILDLFNIRSISVNIEEETAWVQVGATLGEVYYRIYQKSKVHGFPAGVCPTVGVGGHFSGGGYGNMMRKYGLTVDNIIDAQIIDVNGRILDRAAMGEDLFWAITGGGASSFGVALAYKINLVRVPPKVTVFNVTRTYEQNATYLVHRWQEVADKLDNDIFIRMIIRVVNKTIRSEFFTLFLGDSARLLSLMNESFPELGLRQPDCIEMSWAESVIYYYTPPFPRGTPVHNLLSRHPPELKHLKRKSDYLKKPMPIEGIEFIFKKMIELQTPVLTFNPYGGRMAEIPASAKPFPHRAGNIAKIQYATDWDQNGVQTAEHFINLTRALHKYMTPFVSKFPREAFLNYRDLDIGITHNGKNSYAEGLVYGIKYFKENFNRLVKVKTAVDPDNFFRDEQTIPVSPSRKY >CDP17314 pep chromosome:AUK_PRJEB4211_v1:9:10627028:10633932:1 gene:GSCOC_T00003802001 transcript:CDP17314 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIHRDSISGGSKQQQMDNSKYVRYTPEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYMRTQIQTVSTATTDTSCESVVMTGQQQQQNPTQHPQRDANNPAGLLAVAEETLAEFLGKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWFRDCRCLDVLSVIPTGNGGTIELIYMQTYAPTTLASARDFWTLRYTTSLEDGSLVICERSLTSSTGGPTGPPSTCFVRAEMLPSGCLIRPCEGGGSIVHIVDHIDLDVWSVPEVLRPLYESSKILAQKMTMAALRHIRQIAQETSGEVHYSGGRQPAVLRALSQRLCRGFNDAVNGFVDDGWSIMGSDGAEDVTITINSSPSKILGSQYSTLSMLPSFGGVMCAKASMLLQNVPPALLVRFLREHRSEWADYGVDAYAAASLKASPYAIPCARPGSFPSSQVILPLAQTVEHEEFLEVVRLEGHAFSPEDIALSRDMYLLQLCSGVDENAASSCAQLVFAPIDESFGDDAPLLPSGFRVIPLDAKSDGPGATRTLDLASALEVGTGGARSTAEADPKNYNLRSVLTIAFQFTFENHYRENVAAMARQYIRSIVGSVQRVAMAIAPSRLSSQMVPKSLPGSPEAVTLARWIWRSYRLQTGGELLQVDSNSGDAILKQLWHHSDAIMCCSVKANASAVFTFANQAGLDMLETTLVALQDIMLDKILDEAGRKVLLSEFSKIMQQGFAYLPAGVCSSSMGRPISYEQAVAWKVLNDDDSNHCLAFMFMNWSFV >CDP11274 pep chromosome:AUK_PRJEB4211_v1:9:6200772:6203558:1 gene:GSCOC_T00033412001 transcript:CDP11274 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKSSKMLQYINYRMRVHIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPTKGSKTKEEREDRRTLGLVLLRGEEVISMTVEGPPPPDESRAKAASAASAIPGPGIGRAAGRGVPSGPLVQAQPGLAGPVRGVGGPSPGMMQPQISRPANMIYQEFYRLPLKSDIHLRGCSWLAAFDNLH >CDP04145 pep chromosome:AUK_PRJEB4211_v1:9:3634318:3638871:-1 gene:GSCOC_T00017452001 transcript:CDP04145 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSASSFALIRGSKKTPAPKRPPVKCISLLWKGNWFIQEKSCKILSLLVSARPKVHDGFTTNGETSDSKKKVTTIDDVLKGLVEWLCAQLKKPSHPSRGIPTAITCLATLLREPLVRSSFVQVDGVKLLVPLISPASTQQSMQLLYETCLCVWLLSYYEPALEYLATSRALPRLVEVVKGSTKEKVVRVVVLTLRNLLHKGNFGAQMVDLGLPQIVQSLKAQAWSDEDLLEALNQLEEGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPIFWRENITTFEENDFQILRVLITILDTANDPRTLAVACFDLSQFIQHHPAGRVIVTDLKAKDRVMKLMNHENGEVTKNALLCIQRLFLGAKYASFLQV >CDP18176 pep chromosome:AUK_PRJEB4211_v1:9:4523882:4524047:-1 gene:GSCOC_T00007076001 transcript:CDP18176 gene_biotype:protein_coding transcript_biotype:protein_coding MSKINKPPLTKLQCLLEPYVRSKGRKFERARGRRNSRGFRV >CDP17599 pep chromosome:AUK_PRJEB4211_v1:9:7485129:7504087:1 gene:GSCOC_T00005105001 transcript:CDP17599 gene_biotype:protein_coding transcript_biotype:protein_coding MICLPDIKADWLGLMQCLSKGCNLFDKTLATTLYTTLHKLMDSLFREREKTLMRERCCVRWFRKYRNYLPKNMSLPCIEMGNKKRNILIYLKEQKNEKETKMAKKKKEKRKSELLLLSSYFLPHSTFPLLKLQVNPASYCFSSSAMANPKSKSKPEPNYRPVIEPVAHFVFTPTTTHQHLFPAPTAIKALAISTTSSDTQQSLIYIGTLTGAIYLLSLNPAADENDRVSVIKHTLIGNGSAIVSILVVDNLKRIIVLSGDGFLYLLDSFLLEAPKKVSVIKGGVTAFARRFFSKNYSNSDRILPKLNGVKSKEDGSSSISGSSSSSSFFVAATGKKLVLAELVSSGSVVLLKEILGVFEGMIRDLAWVDDSIIFGNKSGYFLYSCISGQCGLIFSLPELSGQPQLKLLVRECRVLLLVDNVGVTVDTEGQPVGGSLVFRGVPDSIGEIGSHVVAVKNGKMELYYKKSGNCVQVVMLSSDAGGGTCVVASQEDVSGEFVAVSMSSKVIFYRKVPWEEQIKDLLRKKCFKEAISLVEELQSEGELTKETLSFIHAQVGFLLLFDLQFEEAVNHFLLSETMQPSELFPFIMRDPNPWSLLVPRNRYWGLHPPPTPLENVVDDGLKTIQRAIFLKKAGIETAVDDEFIVNPPTRADLLEAAIENFIRYMQASRHKDLTPSLREGVDTLLMYLYRALNHVDHMERLASSENSCIVEELEMLLNDSGHLRTLAFLYASKGMSSKALAIWRVLAKVTSGQETAVAEASNILEESSDQDLVLQHLGWIADINPVLAVQVLISDKRSNVLPPDEVIAAIDPKKVEILQRYLQWLIEDQDSDDIQFHTMYALLLAKSALESYEIEHGSQNSEAGTSKELNVSHHGSNSIFDSPLRERLQIFLQSSDLYDPEEVLDLIEGSELWLEKAILYRKLGQETLVLQILALKLEDCEAAEQYCAEIGRPDAYMQLLEMYLDPKDGKEPMFKAAVRLLHNHGEALDPLQVLERLSPDMPLQLASDTILRMLRARLHHHRQGQIVHNLSRALDVDASLARLEERSRHVLINDESVCDSCHARLGTKLFAMYPDDTIVCYKCFRRQGESTSVTGRNFKEDVLYKPGWLVTR >CDP17605 pep chromosome:AUK_PRJEB4211_v1:9:7558604:7560791:-1 gene:GSCOC_T00005113001 transcript:CDP17605 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERELQHKLIDAGVKLLVPISSTDDLLASLDKLEGLLSVLGQDPFSSIRDALLPSMKALISDRLFRHPTTEVRISVMSCISEVLRITAPHQPYEDEKMKEVFQLTLAAFEKLSLLSGRCYSKALHILEIAAMFRCCVILLDLGCNAFVTEIFELFLSTIKFNHPHAVFSNMAEIMTCLIDASDEISLDLLRPLLNSVKKENQITSPASSWLGEKVLKNCSTKVKPYLMNALKSMSLDINDYADIVALLCRDMPAGANVVRHFSFIQLDLFFLAKGR >CDP12561 pep chromosome:AUK_PRJEB4211_v1:9:9877931:9880756:-1 gene:GSCOC_T00036203001 transcript:CDP12561 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQVYTDIDGKRSNLVQTSWALMALIHAEQAKRDPRPLHKVAVLLINSQMDKGSFPQQEITGASMGNCILHYAAHKNIFPLWALGEYRKHVLPYLDEI >CDP11253 pep chromosome:AUK_PRJEB4211_v1:9:6586936:6589859:1 gene:GSCOC_T00033376001 transcript:CDP11253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfiredoxin [Source:Projected from Arabidopsis thaliana (AT1G31170) UniProtKB/TrEMBL;Acc:F4I7W2] MANLLLQVSSNLRTFALSASSNGSPPGAPQSGGPAILELPLDKIRRPLMRTRANDPQKVKELMDSISEIGLQVPIDVLEVDGVYYGFSGCHRYEAHQRLGLQTIRCKVRRGTKETLRHHLR >CDP04321 pep chromosome:AUK_PRJEB4211_v1:9:2123373:2126420:-1 gene:GSCOC_T00017672001 transcript:CDP04321 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVKEVLPPTLDPASEFPADIFDGTTKLFIAYVCPFAQRVWITRNCKGLQDKIKVIAVDLDNRPAWYKEKVYPANKVPSLVHNNEVKGESLDLIRYLDEKFEGPSLLPEDPAKTEFAEELLSYSDSFNGAVFSSIRADGIGEVGSAFDYLENALGKFDDGPFFLGTFSLVDIAYAPFVERFQPFLLDVKKYDITEGRPKLAAWIEEVNKIEAYKATKYDQNELLASLKRRFGLDRA >CDP12618 pep chromosome:AUK_PRJEB4211_v1:9:8499020:8500669:1 gene:GSCOC_T00036306001 transcript:CDP12618 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSISLMFLPLLLFLLSSASWAAASDHSIEDKFYQCVNLHTDLSIPFHSAFFTPENASFTSVLQSTAMNLRCLGPSRPKPELIFTPLTESQVQAAVICAKELNIQLRVRSGGHDYEGMSYTSATPFPFIMIDLAKLRFINVSIEENSAWAQVGATIGEVYYRISQKSRIHGFPAGLCTSLGIGGHITGGAYGPMMRKYGLGADNVVDARIVDATGRILDRASMGEDLFWAIRGGGGGSFGILLAWKLKLVPVPLTVTVFTVPRTLEQGATKLLYRWQQVADTLDEDLFIRVLISAVNSTDQQGKRTIQTAYQALFLGRANRLLKVMKKGFPELGLTQKDCVEMSWIESVLYIAGYPPTLKPEFLLQRKSFFNKTYFKAKSDFVKEPIPKFALEGIWKRFLQEDSPFTIWNAYGGMMGKIPESEIPFPHRKGRKFMIQWLTAWQSGDKKTADKHIDWIRKLYDSVGPYVSKFPREAYVNYRDLDLGVDKLSGNVNYLKASFWGSSYFKDNFKRLVQVKTKVDPDNFFRHEQSIPTLHSLSEEDEKKMIK >CDP04152 pep chromosome:AUK_PRJEB4211_v1:9:3576959:3578876:-1 gene:GSCOC_T00017460001 transcript:CDP04152 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKRCAGCKYLRRRCPSNCILSPHFPPNDPQRFACVHKIYGASNVAKMLQQVPVQQRAEAANAMYYEAYCRIKDPVYGCVGTVALLQQQIFDAECQLAKIQAEIAVLNGHREATHQNQQVKVATSSANFTLESGGEGTFSSTYDPSICQFY >CDP12627 pep chromosome:AUK_PRJEB4211_v1:9:8415829:8416446:1 gene:GSCOC_T00036317001 transcript:CDP12627 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLFVFGSARCVGFPSNFPEFSNLKHLELKFVAVADESILFFSSIIGASPGLIKLTLKYDIDWATRHQTLSNPEQARRYSHKCLNVIEFVGWAGIKTDVQLANYLLETAISLEKIIVDRRIPRYTRTSWNEELCPMPGNREAALLSAKELQRKLPPRAQLIIV >CDP04233 pep chromosome:AUK_PRJEB4211_v1:9:2875820:2877970:-1 gene:GSCOC_T00017560001 transcript:CDP04233 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKKSPKNSFKLSMIKDLVQAVQEETLAPIVSSYNERIRPLLDCIDRLRNLKVMQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQSHPSPNPEVSLEFNGRVVPTDEDHIAQAISVATDEIAGRSKGISNVPLTLIVKQNGVPDLTMVDLPGITRVPVHGQPEDIYEQISAIIMEYIKPEESIILNVLSATVDFSTCESIRMSQKVDKMGERTLAVVTKADKSPEGLLEKVTADDVNIGLGYVCVRNRIGDESYEEARAVEATLFETHPLLSKIHKSMVGIPVLAEKLVQIQATIISKCLPDIVRKINDKLTASVAELNKLPQQLHSVPEAVTAFMRIISSAKESLRKIFIRGEFDEYPDEKEMHCTARLAEMLSDYYEDLQFISIKVEQKQKFLEEEISILEEAKGISLPNFLHRTAFLTSLQKMVKAISATPAGFVNKFWEYLQGVLVSVLMKHSDNYPQLQSSISRAAQSLVSKKREQSVDWVLQIIEMEKLTDYTCNPEYAATWHDLMRSQDRFMIIMNDCTKSTTLEIDGIGEVEVGHLRNHLVVAQQAFDLKMRMTAYWKIVLRRLVDSMALHLLFSIQNLVNKDMETEMVNELMGTHRGALERMLEESPSIAGKRYRLNASIKLLRESKEIVAEIMDKIAAYAD >CDP04185 pep chromosome:AUK_PRJEB4211_v1:9:3235044:3236363:-1 gene:GSCOC_T00017498001 transcript:CDP04185 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPILRLSVLPHPLKPRHPKLHPLLPHRPPPLKTPSPPISSSYSPTPATDRLISAASFFFPLFNGLQYGRFLFSQYPILAAPFKPIIPLLSLYHSVPYASFVSFFALYIGIVRNPSLSRYIRFNALQALVLDVLLVVPMLIQRILSPGQTGLGLKLTIWGYNALFVFLVACFAYGLGCSVLGKTPHLPFVAAAAGRQID >CDP04316 pep chromosome:AUK_PRJEB4211_v1:9:2138930:2142617:-1 gene:GSCOC_T00017666001 transcript:CDP04316 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVKEVLPPPLDSSSEPPAIFDGTTKLYISYTCPYAQRVWITRNYKGLEDKIKLIPIDLQNRPAWYKEKVYPPNKVPSLEHNNEVKGESLDLLKYLEDNFEGPSLLPDDPAKREFAEELLSYTNSFNRAVVSSFKADSISEAGATFDYIENALGKFGDGPFFLGTFSLVDIAYAPFIERYQPFLLDAKKYNITEGRPQLATWIQELNKIEAYEVTKRDPRSS >CDP04093 pep chromosome:AUK_PRJEB4211_v1:9:4193943:4194350:-1 gene:GSCOC_T00017386001 transcript:CDP04093 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPNRQNRDTAIVGPSIVLLQERFKKLGRIKERREQRRQTLKMVFSEPQRILQSNCRSYNSFTDHELNLYLPSRPAFDEDPLSLGFTLSNEHAEYGALKKAQPSFSALWSYDERVVNTPQMYDKNSDVDTSLHL >CDP04397 pep chromosome:AUK_PRJEB4211_v1:9:1365882:1370876:-1 gene:GSCOC_T00017773001 transcript:CDP04397 gene_biotype:protein_coding transcript_biotype:protein_coding MVELQSRASLVNASALRAIDSEVKGDSSVNLVAEISAELQREKQKNAELMQRITILEAQTRERDNGPLVFCGQDYCRKTQNTVEHMSKRQKVETNSMKPEGEDIRVGSYMKHNSQCMPDKDVSMKEGIVTWMGMDETEFLQSEFLKGSDSAAEFEDTDDTGDEDGEADVINSFGTDPKSKENSKELTCAKEVKEILLKQEVNGEGDTQNVASSSSSQGKLMSAARVDKQVHEYVIEKRLKSCMNPIASSRECDHQYTKETIMTGGHGYTSYSCTPRGEIKICDNMFLQKKPPKIAFCPKEVKRMIQSKQLLVKNAQSHTVRKIIVFASLGTRHGCEDMYELDFNHFSILRKGEPYLSPKDPGEHVLYENPGVRRKIFYPNRQNPTLCPVQILQEEKAMRPQDASCPSCLFLCIKYGGRTRNIPQNEYVRQRMGRNKLKSFGPAICRMSMLVHIRGGSFFFKALGITLLFMAGYPDDLVQRETKYRNLDLLQKYYRTDEDAEGEELFLPDPVNTDTNDSLPSSLLSMKTISRKLKCKKNSYSACHGTKEKTSILPSAPSTCGASSQFELKGYASLQNQAITAAQSITSQSPADLFSNANPLIGNANSNIIQCDQSSCPMFPPHPTSSFVPMMYWHPSTTFLHYPHPSSHIFRHPIAGNYISIYPQPYYGPPTLIPKPSKDTWKSDVASENANYDSNSTSCSIEPKKIRKSDK >CDP11262 pep chromosome:AUK_PRJEB4211_v1:9:6415877:6418292:-1 gene:GSCOC_T00033389001 transcript:CDP11262 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGCNDTCGGVTIPYPFGVGPDCALNDSYTIICVDNYASGSKPYLSFLFQSVSQFFVEVLEISLIDQTVTINKSATRICSDHRQADLAMASYLLDGSPFFVAAEHNKLMLVGCGNAFLMSASDSPEILSGCTSICTNKKVTGCYGLNCCQASIPYYLGSYTVNITASQNFTSRTCPAASLVDQKWKFQDLDPKTDMFFPLVLSWAFRNYSDIDGISSCTPKNRSLAIGSRNIPTYYCECRALGDDTWSYHINPYLDDACKNGSSVGAGLLLLMIITLILYGKLKRIYNNKRKEKVFRRMLQQQFSTQDIQNTKLFTGNELSKATDGFNENRILGQGGQGTVYKGMLADGRIVAIKKGKNVGKSRFEEFLNEMVILSQVNHRNVVKLQGCCLQTEVPLLIYEFIPNGTLYNLIHSQNDDEFPFTWNLRLRIATEIAGALAYLHSDVSPISSLQTEEDHVGVSLVESFLKSMNQNSLMTILDPELADERNEEEVILVAELAQRCLNSNGKARPTMKETHRELERAKLSKVDSTIHAKFQDPSCTGKEAFGKSDTTCYTWTIASDTVELASDACPSMFKTV >CDP04133 pep chromosome:AUK_PRJEB4211_v1:9:3756000:3758032:1 gene:GSCOC_T00017438001 transcript:CDP04133 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKILSTVAVFLFCLSTSLIVNAEDPYLFYEWNVSYGTIAPLGVPQQVILINGEFPGPRINGTSNNNVNVNVFNNLDEPLLFTWNGVQQRKNSWQEGLLGTSCPIPPGTNHTFRFQVKDQIGSYYYYPSTALHRAAGGYGPLTVVSRDLIPVPFDAPEADYTIMAGDWYSKSHVALRKLLDSGRTLGRPDGVLINGKSGKADGKDEPMFTMIPGKTYRYRVCNVGMKNSLNFRFQGHTMKLVEMEGSHTMQYLYDSLDLHLGQCASVLVTADKEPKDYYFVASTRFTKQILSATATIRYTTGKGPASPELPPAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIRIVNSAGEADGKLRYAINGVSHVDPETPLKLAEYFGVADKVFKYNIIQDEPSADATAKNHAITLAPNVVNATFRNFIEIILENHEKTVQSWHLDGYSFFAVAIEPGTWTPERRKVYNLLDAISRNTIQVYPKSWAAIMLTMDNAGMWNLRSTLLERQYLGQQLYFSVLSPNYSLRDEYNVPDDALLCGIVKDMPKPKPYSI >CDP17632 pep chromosome:AUK_PRJEB4211_v1:9:7970773:7973477:-1 gene:GSCOC_T00005147001 transcript:CDP17632 gene_biotype:protein_coding transcript_biotype:protein_coding MERTCNYFPVGLLLATSLAAMATAKFLSDESALVALRNNIVSDPHLILAKNWSISSSICDWIGVTCDSSRQRVVALNISNMGFEGTIPLQLGNLSFLVTLDMSNNSFHGYLPEGMSHLRRLSFMALSNNNLTGEIPSWLGVLDRLQYLSLRNNSFVGHLPTNICDNLPNLKELDMSLNQLSGEILSGLANCSRLESLSLSFNWFNGSMPKELGSLKMLEVLDLGSQQTCSNAPSLRILSLEHNNLTGSIPREIRNLTMLEELYIYKNNLSDDKLVKSFKFQPIFFGLNSLFFFILSILDIQFLLVEVYNMSICSPEFGATFGVYVNEIGFVHELTGPIPNEMFNISTLRVLDLSDNHLSGILPSNMCHGLHNLEDISLAVNDFSGVVPASISNCSKLATISLGDNKFSGRIPNSIGNLRHLEFMYLSANNLTSESSSPELSFFTSLTGCISLRIIWVASNPLNGILPRSIGNLSISVEWLDLANCGLKGNIPDSIGNLSNLRYLNPRSNSLTGSIPTTIWGLQKLIGLGLHNNSLSGSLCGDLCGLQSLKYLYLSQNQISDSIPGCFNNLTSLRYLDIAFNRLTSTLPMSLWDHKDLVVVNLSSNFLRGPLAPEMGELKFLTTLDFSNNQFSGKIPSTIWSLESLDYLSLANNSLQGSIPNNL >CDP17327 pep chromosome:AUK_PRJEB4211_v1:9:19980686:19982722:-1 gene:GSCOC_T00004082001 transcript:CDP17327 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIEKAVQQGKGKKIMKWRKAFKNHVFYTQNLSRGGGSLNSHGMNDNQLTLWKCPFMTRIAELEAHTSRVLSSPYVYTVASAAADGALRFWKAFGSAEAA >CDP14539 pep chromosome:AUK_PRJEB4211_v1:9:21713306:21716536:-1 gene:GSCOC_T00041057001 transcript:CDP14539 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNLCSGPQSEGGKNMGLRRDSLKACPREKKSMTLQKLFDLDSPRNGASKLARSSNGSPRKECQDSENEEIFSLIPHCSVFYTFNNPQESPSQQDLKRLKLIQLLSIIKCSKTAFNREVLSSVFSMLSANLFRPLPPSKTSLICILPDDDELVATPSAAWSHLQIVFDIFHRLLLKTDPKELREYIDHSFLVNLLSLFRSEDPKERESLKNVCHQIYSKFTFYRSFMRKAMNDVFLHYIFEADQHHCGIGDLLEIWGSIINGFTVPLKQEHKLFLTRVLIPLHKPKGMQAYHRQLAYCVSQFVHKDAALGGTVVRGMLRYWPVTNCQKEVLLIGELEDVVENIDPEHYRTIALPLCTQITKCLNSWNSQVAERGLYVWNNEQFVKMASQAMEDVLPVVVRGMEKNLKYHWSNSVKQLTQNVKEMLQGLEPSLYIRCLSRLALEESAAQHREMTRKEMWERVEMAAATRNQCVHQLRCICVSSYD >CDP12588 pep chromosome:AUK_PRJEB4211_v1:9:9260541:9262124:1 gene:GSCOC_T00036251001 transcript:CDP12588 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHISLVLTFISLFLLTSSTHSYLILDNFIECFSHDFPLSTTSLDVIHTPSNSSYSSLLESPIRNLRFLTSTTPKPLAVITPSTYDHVQATVTCCRKHGVQIRTRSGGHDYEGLSYTSNVPFIILDLVNLRAIDVNSEENSAWVQSGASLGELYYWISQKSPSHGFPAGICPTIGVGGHFSGGGYGTISRKYGLAADNVLDALIVNADGQILDRKSMGEDLFWAIRGGGGSSFGVIVAWKIKISYVPPLVTVFSPARTLDQGATNLVYKWQQIANKLPEDLFIRLVIVADGEGKNRTIRVTFNSLFLGRTDQLLEVMKESFPELGLIKEDCREMSWIETILFFDNRNGQTIDGLKNRVPDPKGFFKATSDYVEEPLSQAALEKLWKWSLEEERPALIFEPYGGIMDKIPEWQLPFPHRKGNLYNIQYFVQWSVGSNEASERHINWMRRLYQHMTPYVSKGPRRAYLNYRDLDFGVHSNISGTTYSEAKKWGAKYFSKNYRALAFIKGKVDPENFFNDEQSIPPFK >CDP04508 pep chromosome:AUK_PRJEB4211_v1:9:439421:445535:-1 gene:GSCOC_T00017926001 transcript:CDP04508 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKFSATLRIGDLNDYIAPSQGCVVSLKANSNPNPNTPPTSSSRLDKFYAENPATLGKAVKPVETEPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSSINKGKAVIVSVSPQSRASLAVHFGLSPLQVFKKLTTLFKTLGVKAVFDTSCSRDLTLIESCYEFITRYRQCHLTTDEKSKLALPMISSACPGWICYAEKTLGSYILPYISSVKSPQQTIGAIIKNHICQMLCIRPEDIYHVTVMPCYDKKLEAVRDDFVFQVEAQGEKITEVDSVLTTGELLDLVKSRSVDFASLEESPLDKLLANAAEGGHLYGVHGSSGGYAEIIFRYAAKTLFGKEIVGPLNFKTIRNSDFKEVTLEVDGETVLKFALCYGFRNLQNVVRKIKAGKCEYQFLEIMACPSGCLNGGGQIKPKAGESAKDLIQSLELKYMENVTEADPLENPIIKGLYAEWLDEPGSEKAKKHMHTEYHPIVKSITSQLQNW >CDP04418 pep chromosome:AUK_PRJEB4211_v1:9:1186476:1191647:1 gene:GSCOC_T00017800001 transcript:CDP04418 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVHNLGMYNNCHAYLDSNLLNETASGKLRLLNPLSSSVSSANSPRDPFTKRIQNCSFSRDFFTHLKVHHLSYQNPSKIWLCHLQDSVSPDDEYRSSRNIAISLFRRYRSFVERGGGDNLKEFIGAGVNAYALGCTDEGLRKELIQLKESGAEIEALQTYGGTTSLKYKIFSEELDECILWLSIIFITILCTPQPTIVRWSSTPPVSEDMMLHWKGFCAIIANAYFVRGMAWLPVKTLQLEQMAVVGYAEEPSVVASRMRLVFSTLEVVSPQWPRG >CDP12610 pep chromosome:AUK_PRJEB4211_v1:9:8699841:8703398:-1 gene:GSCOC_T00036289001 transcript:CDP12610 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCCHSLVRTGTQLVAATKILARQLVRLRQQIVNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMTAMNKEMAPTKQAKVIKEFQKQSAQMDMTIEMMSESIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIAAKKVDNAAPPSSATADVEDLEKRLASLRRI >CDP04306 pep chromosome:AUK_PRJEB4211_v1:9:2237228:2240419:1 gene:GSCOC_T00017652001 transcript:CDP04306 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCRIYFLLVLEFGVFCLVTPGYLLGVEMELLIQLELRWALMPSSLTHMWVMQILKFKMLSSEHLVNVLWMIYL >CDP06320 pep chromosome:AUK_PRJEB4211_v1:9:16415885:16420770:1 gene:GSCOC_T00023097001 transcript:CDP06320 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRKDFASTTDVQGTEEGGEQKVKKKKKEKLAADGLLPSTIKNKEKRSAVHAKLKHQKRLEKRKRAKARDAAEQRALELGEEPPPRKIPRTIENTRELDETICKPDDEELFAANDADEFSSILKQDRTPKILITTCRFNSTRGPAFISDLLSVIPNAHYFKRGTYDLKKIVEYAENKEFTSVIVVHTNRREPDALLIIALPDGPTAHFKLSKLLLRKDIKNHGNPTSHKPELVLNNFTTRLGHRIGRLIQSLFPQDPNFRGRRVVTFHNQRDFVFFRHHRYIFETKESKQAASSGKKSKDNNDEKNEQKLIARLQECGPRFTLKLISLQHGTFDSKGGEYEWVHKPEMDTSRRRFFL >CDP04286 pep chromosome:AUK_PRJEB4211_v1:9:2397552:2400130:-1 gene:GSCOC_T00017626001 transcript:CDP04286 gene_biotype:protein_coding transcript_biotype:protein_coding MTRREAALILGIRENVTADKVKEAHRKVMVANHPDAGGSHYLASKINEAKDVMLGKKRDSGSPF >CDP04399 pep chromosome:AUK_PRJEB4211_v1:9:1348280:1354270:1 gene:GSCOC_T00017776001 transcript:CDP04399 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEKRKAATLASMSSPEPDKSPKGTIDTPIIPLLTAINSHPSYFTTSSCSGRISIFSQPINPNPASKKKAKGGSWLFISHSPVDPTSVLPLLFPSSSIQSSYGGNSDQEFQDCPHSLVFKFEPLIIAVECKDIEAAQFLVSLAISRGFRESGITNLSKRVIIAIRCSIRLEVPLGDTEKIMVSSEYVRHLIEVANEKMEANRKRTDSFLDALLKNGFSGGGRVLEHETANGELGCDEDSGCYNSGKVESLGNSVSCHEDNSMDGEKRSGLDDSQSGSSQLPISPIIVAGEPVERLFLWGHSACTLDNLNHEVIVIFGGFGGIGRHARRNDLLLLDGESGKIQVVNVQGAAPCPRMGHTSNILGDSMYVIGGRADPLSVLNDVWVFRMGTKEWSLLQCSGSEFSPRHRHAAAVMGSKIYIFGGVDNNAIVSSLFVLDMKNLQWTEIPIKGEWPSPRHSHSMLAYGDHLYMFGGCDGEKALGDLYAFNVQICEWKKLNMDGRKPTARFSHSMFIFKNYLGIIGGCPVSQHHQELSLLDLHSFLWKHFMVDSTGTDLFVRSTASILGDELVMIGGGASCYAFGTKFSEPTKINLLPLMSLTQSREKHIHCHEKGSTHKNSDSCQLSDMELKLIGNGSLKHDADVEDFDVKTSDEMVVSYWVLRLERRYAKFGKDILKKFGWLDPSRQVCSQEAGKYICFPVIESFWSLFTNTLSEVPPDLRPDQPSCAERFLLKDVTESTALNTLMECGATKLEDEFVKLKKGPISPLKAMKEAVASLVAHRGLPTNLLDELPSRWQRLGDIVVLPVTSFKDPAWNLVGKELWPVVAKSIGTDRLAQQGLVASTGTRDSTLEILVGDNGWVEHRENGILYSFDATKCMFSWGNLSEKLRMARLECRDEVIVDLFAGIGYFTLPFLVRANAKLVYACEWNPHAVEALHRNLCANNVADCCVILEGDNRITAPKGVADRVCLGLLPSSEGSWITAARALRSDGGVLHIHGNVKDTEEYLWTDHVSKSINSIARSEGYSWEVSVVHVERVKWYAPHIRHVVADVQCRKV >CDP14560 pep chromosome:AUK_PRJEB4211_v1:9:21376459:21394871:-1 gene:GSCOC_T00041085001 transcript:CDP14560 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPYLDDIQTTKMPGQVYDYNPAIHTTRLERLLRDREIRRSNRSYSSNEDARDANRDIDIHRNRNNIYLSDADKRSGDKELLDGIAESKTLHDGCEKKDGWSSKQRLLVVANRLPVAAVRTGEDSWQLEISAGGLVSALLGVNEFETRWIGWAGVNVPDEVGQRSLTEALAEKRCIPVFLDEEVVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFSAYKKANQLFADVVNEHYEEGDVVWCHDYHLMFLPKYLKKYNMQMKVGWFLHTPFPSSEIHRTLPSRSKLLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSSRFIRALELPQVKDHIRELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPVWQDKVVLLQIAVPTRTDVPEYQKLTCQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQAEKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALTLPADEREKRHQHNFMHITTHTSQEWAGTFVSELNDTIVEAQLRTRQIPPLLPTRVAVERYLQSKNRLLILGFNATLTEPVDTPGRRIDQFKEMEVKLHPDLKEPLNKLCNDPKTTVVILSGSDRKVLDENFGEYNLWLAAEHGMFLRRTKGDWMTTMPENLHMDWVDSVKHVFEYFTERTPRSHFELRRTSLVWNYKHADVEFGRLQAKDLLQHLWTGPISNASVDVVQGGRSVEVRAVGVTKGAAIDRILGEIVHSNDVKAPIDYVLSVGHFLPKDEDIYTFFEPELPVGGATTSRAKISKPRNQTASKISAQKSSFGPFVQKAPQAFSSSEKTTTSNGNGHWWSMMRDRLTVHEGSSVLDLKGENYFSCAVGRKRSSARYLLGSPADVVSLLKELADSLSQS >CDP05610 pep chromosome:AUK_PRJEB4211_v1:9:11160843:11162774:-1 gene:GSCOC_T00020756001 transcript:CDP05610 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVQQLHPHVLIFPFPAQVHVLVHWYHCRWYLEFGLDVAEEIGLPLIYFRTLVQALFWPYFCIPRLIEAGEFPFSGNDMDLPIANVKGVEGLLRRRDLPSFFRVNDLTSSSQQPSSRFVGELWKLELVMKDTCERKIVEKMIRDLMVKRKDEFLQRADEMAKLPRKSIEEGASSFCNFLCLIDDMVKG >CDP05656 pep chromosome:AUK_PRJEB4211_v1:9:12996525:12996866:1 gene:GSCOC_T00020837001 transcript:CDP05656 gene_biotype:protein_coding transcript_biotype:protein_coding MWETSMKGLSSLVKRTTPSSFAYICEKIGNSLTDKIDDLACFAPGMLVLGSSGYASDESQKFLSLAEEVNTVFKRFIISCSV >CDP04226 pep chromosome:AUK_PRJEB4211_v1:9:2953829:2960594:-1 gene:GSCOC_T00017550001 transcript:CDP04226 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNDFAMVEELASLIKDNLHCKHLVLSVEAALVDFLQDDTSSDGVLELEPMDSYSRLLLHRLADIFGFSHESVGEGEARHLVLERCSETSIPSILVSDLLWQYDELLPTPKFLEVLEKKKADQPGLNAETPRPELSLEEREAAYLAARERIFAVDECRTGELVQQRPPKNPTVARRMIAHALGQRIKPHNHDTSSREPNANGKQAKNMMVQNEEDDNFNSGVEVYTEKSVMPDKNPSSIGKKNELRLSDNESSHSGFTIPKDNIDRPSKLSSSGTQSDQANIRKDNLREEHIGAAKRMFVNALGFHPRNGNFSRTRQTK >CDP18188 pep chromosome:AUK_PRJEB4211_v1:9:4590761:4591021:-1 gene:GSCOC_T00007089001 transcript:CDP18188 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWWWLIFIFTILLCILSTLKSLFNFTICYGLALLHLEYFVANFVWNFEWKAIDEVGVDLSEKQEFIVVMRNPLLARITPRAKMT >CDP04428 pep chromosome:AUK_PRJEB4211_v1:9:1089422:1091006:1 gene:GSCOC_T00017815001 transcript:CDP04428 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIICCFSTSQALQIKSTSNQDIGFNAIDTCWRKHGDWATNRQALADCAKGYGADVEIFVNSYKTIDGRGARVEIGNGPCLRINDVEHVIVHGINFRKCLRGIPGLVRIDPDHLLNTSSNGVPDGDGIRILSSSHVWIDHCHFSNCADGLLDISHKSTAVTVSNNYFTQHDKAMLLGHSDKSPQDNKMYVTLVLNHFASGLTQRIPRVRYGYVHVANNKYDEWGMYAIGGSSCPTILSEANYYRAKNDSDAKQVTRRISNDPGWKNWSWNSKDDVFLNGAYFVSSGNVWTPNYAASQAFELAPGGSVPILTLHAGPLQL >CDP04160 pep chromosome:AUK_PRJEB4211_v1:9:3456348:3468044:-1 gene:GSCOC_T00017469001 transcript:CDP04160 gene_biotype:protein_coding transcript_biotype:protein_coding MVECSVCHSKLVSPSAKTVSKAYDRHRNDISLKTRAFNIFLVGGDCVLVGLQPILVYMSKVDGSFKFSPISVNFLTEVTKVVFAVLMLCFQARHQKVGEKPLLSVSIFFRAARNNMLLAVPALLYAINNYLKFTMQLYFTPATVKMLSNLKVLVIAVLLKIIMRRRFSIIQWEALALLLIGISVNQLRSLPEGSSAMGLPVATAAYLYTLIFVTVPSLASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLGILGAAIFKGPDNLDILRGHSKATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTINFILGISIVFISMHQFFSPLSKVKDEVQNGGMEMMKTQHNFRSKDASFVDMAAGAHDEASHRVEHDERTPLLPL >CDP14571 pep chromosome:AUK_PRJEB4211_v1:9:21200939:21208724:-1 gene:GSCOC_T00041100001 transcript:CDP14571 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAITNKMDKSIVYPVRGCPEALTARMVDESVVKDNEVGVSNKMKAVFYDKCGGPEVITLREVDAPVVGDYEVLIQVAATTFNRMDLWPRLDENDEIDASKKYPIGMECSGKILRTGKFVAGWKQGDEVCALLQGGGCADLVAVPAYHIISPPKKLDLASAAALPRAACLIWHCFFLMNKLTNGQKVLIHGGAGGVGTLAIQIAKHYGCQVFATAGSKERVELCAALGADVCINHKEEDFSQRVKAETNGAGVNYILDCVGVNFLNQNVKSLAYRGKLIIIGFEDPWGFVNFNSKALEDMELEIMGADLNTLHLVEKARLLLLVKKNIWPLVEVGSIKPIIGRAYTFDKAVDALRLIEKHGNLGKILLIPDNT >CDP04558 pep chromosome:AUK_PRJEB4211_v1:9:77305:80211:-1 gene:GSCOC_T00017991001 transcript:CDP04558 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKWLLGLRISQVDKSAFDWTVLVQVIEADCVKIARDGDLPRFLHRFAFGDLQGIKISAVVFDDDIAIVHGRLLPFRKYYISNAEMSWKLLSMRCLHGTCILKEVRDMELWKGLDYSGPEVSWVKTCFSFEHILQKIGMCLVSNVFE >CDP18215 pep chromosome:AUK_PRJEB4211_v1:9:4807329:4808271:-1 gene:GSCOC_T00007128001 transcript:CDP18215 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGIITVATLVLMAMLITVNSDEQMAPIPDPFHQKSLIYLCYKKCSDDCLLKKDITHKCFLNCHHQCLGFLTAHPVQYCSMGCSMARCSQFRNDAAKKEECEKSCSKYCNGKV >CDP06337 pep chromosome:AUK_PRJEB4211_v1:9:15424394:15428274:1 gene:GSCOC_T00023137001 transcript:CDP06337 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAKDKTTGQLVALKKTRLEMDEEGVPPTALREVSLLQMLSQSLYVVRLLCVEHIVDGNKKNGGSGNGKPLLYLVFEYLDTDLKKFIDSHRKGPNPRPLPPSLIQSFLFQLCKGIAHCHSHGVLHRDLKPQNLLVDKDKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTAVDMWSVGCIFAEMVRRQALFPGDSEFQQLLHIFRLLGTPTEKHWPGVCSLRDWHVYPQWEAQNLSRAVPSLEPDGVDLLSKMLKYDPAERISAKAALEHPFFDSLDKSQF >CDP05666 pep chromosome:AUK_PRJEB4211_v1:9:13685303:13693478:1 gene:GSCOC_T00020853001 transcript:CDP05666 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSPAGFTQQPPEGERRCLNSELWHACAGPLVSLPAIGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKEASYLPADLGSPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSYWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPLGLPSFNGMKDDEMGINSPLMWFRGDVGERALHCLNYQGIGVAPWMQPRVDAPILGMQTDLYQAMAAAALQEMRAVGPSKQALSSLLQFHQTQGIANRPTSLVQPQTLQQSQPEPTFLQGLPGSQSPAQSQTQAPSLLIQQQLHHQNSFSNLQQRQQQQQPLPQQQQQVNDHQQVSSVATVLPQLTSSSQVQSHSIQAISPMHQQSFSDSNGNSVTSSILSPLQSLLGSVPQDEASHLLSVPRSSSLLSPSGWPPKRVAIDPILPLGVSQCISPLMEEMGPPNNSISQNSVSLPPFPGRECSIDQEGTNDPQNSLLFGVNIDSSSLLIQNGMSNLRGVVSDGGCTTIPFPSSNYAGTTGTKFQQNPEMTPSSCIQESGFLRSPDNIGQGNPATRTFVKVYKSGSFGRSLDISKFSSYHELRIELARLFGLEGLLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQHMGKRGLELLNSAPNSRLPNGSCDDYASLQEPRNAITRMTSVGSLNY >CDP18214 pep chromosome:AUK_PRJEB4211_v1:9:4789148:4796274:-1 gene:GSCOC_T00007127001 transcript:CDP18214 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTRNLQLTAVRAASTEDDAGTGEEVRLLEEYLEEEVINSSKIPANLRRIQVRVTGMTCAACSNSVEAALSGLDGVVKASVALLQNKADVVFDPSLVKDEDIKNAVEDAGFEAEIIPEPSTSHAKPNGTLTGQFTIGGMTCAACVNSVEGILRTLPGVKRAVVALATSLGEVEYDPTIVSKDDIVNAIEDAGFEASFVQSYEQDKIILGVLGVSSELDVQQLEEILCNLKGVRQFHFDRILKEVEIVFDPEVLGSRSLVDAIEGESSAKLKLVVKNPYTRMASKDLQESSDMLKLFAASFFLSVPVIFMRLVCPHIPILYSLLLRRCGPFQMGDWLKWALVTIVQFVIGKRFYVAAGRALRNGSTNMDVLVALGTSASYFYSVYALLYGAVTGFWSPTYFETSAMLITFVLLGKYLETVAKGKTSDAIKKLVELAPATATLLLKDKEGKIVGERQIDALLIQPGDVLKVLPGAKVPVDGVVAWGSSHVNESMVTGESASVFKEVNSSVIGGTINLHGLLHIRAMKVGSNTVLSQIISLVETAQMSKAPIQKFADYIASVFVPTVVSMAFVTLLCWYFAGVLGAYPEAWLPENGSHFVFALMFAISVVVIACPCALGLATPTAVMVSTGVGASNGVLIKGGDALERAQKIKYVIFDKTGTLTQGKATVTDAKVFTGMDRGQFLTLVASAEASSEHPLGKAVLEYARHFHFFDQSSGATKDGKNYSIETMYPGWLLDVSDFSAVPGKGVKCFTDGKQVLVGNRKLLTESGVVIPNHAENFVVELEESAKTGILVAYNNVLVGVIGIADPLKREATVVIEGLKKMGVCPVMVTGDNWRTARAVAKEVGIQDVRAEVMPAGKADVIHSFQKGGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADFVLMRNNLEDVITAIDLSRKTFSRIRLNYVFAMAYNVVAIPVAAGVFFPWLRITLPPWLAGACMALSSVSVVCSSLLLRRYRTPRLTTILEITIE >CDP14483 pep chromosome:AUK_PRJEB4211_v1:9:22222516:22224864:-1 gene:GSCOC_T00040990001 transcript:CDP14483 gene_biotype:protein_coding transcript_biotype:protein_coding MKEREVQSYIEEWERKVAEREVAWKAELSRRKAEIARQEARLKLEREILEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >CDP04254 pep chromosome:AUK_PRJEB4211_v1:9:2681492:2682953:-1 gene:GSCOC_T00017585001 transcript:CDP04254 gene_biotype:protein_coding transcript_biotype:protein_coding MMERVENEGVNVGIPVDKKPKKEAAISTSMKKITVGGSRKGCMRGKGGPENAFCTYRGVRQRTWGKWVAEIREPNHGARVWLGTFNTSYEAARAYDDAAKRLYGKCAKLNLPEEDQPPSPPGSSSVASAAYSNNTGYKNGQDLTNEHQSPELEDKKNGTSVLDEVSIFKDINGEFAFDETPAPSLLGEEQILNWPEYPFDNGFHWSNDGGISVGGLIDHAVVYKLLGPPN >CDP12614 pep chromosome:AUK_PRJEB4211_v1:9:8659216:8661045:1 gene:GSCOC_T00036299001 transcript:CDP12614 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSVILPLFCAVLLLSASWAASDSIEEEFYCRVCSNTDPSLPMSEAFIIQNNSAFTSILESTAQNLRCLEQSRHKSKLIFKPLDEFQVQVAVICARKLGIQVRVCTEGHDYEGLSYTTETKSPFQAGATIGEVYSWIAQKSRTHGFPAGLCTNVGIGGYIMGAYRTLIRKYGLGADNVVDARIVDSSSRILNRESMSSDLFWAIRGGGGGSFGTPLVWKLRLVPVPSIVTVFTVQRTLEQGATKFLYRWQQAADHLDEDLFIRVLLQLTNSTDDQKGRRTIETAYEALFLGRADGDRLLKVMKKDFEKGPIPEQAVEGIWKGLLKEDSPLMIRSPHGGMMSRISESETPYPHRNGTKFMIQWLTSWESGDDEESMKEHIGQVCLLQLYKFMTPYVRMSPRAAFVDYRDLDLGVNTIDGGTSFPEASSRGTKYFKNKWKRLVLVNTKVDPDNFLRHEQSIPIVDPLST >CDP17629 pep chromosome:AUK_PRJEB4211_v1:9:7883248:7889200:-1 gene:GSCOC_T00005144001 transcript:CDP17629 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVYFTLNSLTGKKVVRNIQFLSVELYNILVFVHGLTSRIPNEIDNLLKLESLGLVENSLSGSIPVGIFNISTLRMLDLFGNHLSGVLPSNMCHGLHNLEEIHLGWNNFSGAIPASISNCSKLIEINLDDNKFSGPIPNSIGNLRRLEFLSLSANNLTNNLLNGVLPRSIGNVSISVELLDVGNCVLRGNIPDSIGNLSNLAYLFLDDNSLTGSISSTIWGLQNLRELGLTNISLTGPLPRGLCGLLSLEYLGLSQNQISGSIPGCFSNLTSLRFLDIAFNRLTSTLPMSLWDLKGLIHLNLSSNLLRGPLAPEMGELKNLTKLDLSNNQFSGKIPSTIWKEKLHHGLVSWIKLQYLSVRTPPLFNLSKLEILALSYNSISGKIPKEILNLSKLKYLGTPFNHLSSSMPSTVSNLEFINPLGNRLSGNLPVCRSPTSLIQLHLSYNQLNSQILSDLSQQSFNLKLLSIKSSNIMGSIPETMCYNLPKLEELYTGNNQLFGQIKANLCRCSSLRILLLEDNNLGGSVPRELGNLTVLQ >CDP11228 pep chromosome:AUK_PRJEB4211_v1:9:6996481:7001790:1 gene:GSCOC_T00033338001 transcript:CDP11228 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVSLSLGLLVLLNFLFFFREISTAQAPIHNITVPFNRCSFPPNFIFGTASSAYQYEGAAFEDGKGPSICDTFTHKYPEKAIDGSNGDVADDFYHLYKEDVQLMKYIGLNGFRFSISWSRVLPHGKLSKGVNKLGIAFYNNLINDLISKGITPFVTLFHWDPPQALEDEYGGFLNISMV >CDP04359 pep chromosome:AUK_PRJEB4211_v1:9:1742353:1746297:-1 gene:GSCOC_T00017721001 transcript:CDP04359 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPASDDEVKPENLEEAKEGGPLFHCDLLDTEIVHKIAQALLPGLASACIDNTTGGLFRTPASVAVDIRRDMVDYLFQRSENFVAESVVLQGGPDAEVSDHPYDIISEFIDDFVSSKRNFFSRVSGWLLSERREDRIDDFVQEMELNGFWLMGRREAVAQTLVKNVDVKNTFHCDMKFKSPEELAEHFCNCRFREMDCMNEGCNSRFSAGQADYHDSVCPFKILPCEQKCSANIMRRDMDRHCITVCPMKLAKCPFYTVGCQFTVPQSTIDQHRSDYLNSHLFYILQPVYKEASVEDLNRRVEQLETISSSRRLAAARDPRSLTYLIRDLEAKLGPLQISSKAKDCEEGEELNGKKEESPKKEEYINPPKGKDEGLESPTKHIQCMDPSPEKSAASSPKHQEIGNVLDEKEELTGSSTLEDKSLEPPIEREILKEQPAGKEEEKEATMPKVVHVESPTKGN >CDP12617 pep chromosome:AUK_PRJEB4211_v1:9:8511457:8513109:1 gene:GSCOC_T00036304001 transcript:CDP12617 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFSLVLLPLFTVLLSSASLSAAALHHSIEDEFYQCVNLHTDLSIPSSGAFHTPDNASFASVLESTAMNLRCLAPSRPKPELIFTPLTEFHVQAAVICAKELNILLRVRSGGHDYEGVSYTSATKSPFMMIDLAKLRSINISIEENSAWVQVGATIGEVYYRISQKSRTRGFPAGLCTSLGIGGHITGGAYGPMMRKYGLGADNVVDARIVDATGRILDRASMGEDLFWAIRGGGGGSFGILLAWKLKLVPVPATVTVFTVPRTLEQGATKLLYRWQQVADTLDEDLFIRVLISAANSTGQKGKKTIQTAYQALFLGRADRLLKVMKKGFPELGLTQKDCLEMSWIESVLYIAGYPGTIKPEFLLQGKPLSDKTYFKAKSDFVKEPIPEYAMEGIWKMFLQEDSPFSIWNAYGGMMGKIPESATPFPHRKGRKFMIQWLTSWQSGDKKTTNKHIGWIRKLYDFVAPYVSKCPREAYVNYRDLDLGVDKLNGKTSYLKASVWGSQYFKDNFKRLVQVKTKFDPDNFFSHEQSIPTLHSFSEGDEKKMIN >CDP12565 pep chromosome:AUK_PRJEB4211_v1:9:9751686:9756593:1 gene:GSCOC_T00036215001 transcript:CDP12565 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRPELKAPPEVFYNDEEARKYTSSSRIIEIQAQLSERALELLALPDDGVPKLLLDIGCGSGLSGETLTESGHQWIGFDISPSMLDVALEREAEGDLILGDMGQGLGVRPGVIDGAISISAVQWLCNADKSCHEPRKRLKSFFESLYRCLARGARAVFQVYPENLAQRELILGYAMRAGFAGGVVVDFPHSTKRRKEYLVLTCGPPSLSSATPKAKGEDEESCSDEESSGDEENQTVSISDRHRPRKKQKLNKKVKGREWVLRKKEQMRRKGNAVPLDTKYTARKRKARF >CDP04307 pep chromosome:AUK_PRJEB4211_v1:9:2235491:2237156:1 gene:GSCOC_T00017653001 transcript:CDP04307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B1 [Source:Projected from Arabidopsis thaliana (AT2G38880) UniProtKB/TrEMBL;Acc:F4ITZ0] MAEAPPVSPGGGAGGGGVESGGEQSPQSNVREQDRFLPIANIGRIMKKALPANGKIAKDAKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKAYLARYREVCNSFYFSSCDCFVWFLVYLFKLLEQQKTYGS >CDP04266 pep chromosome:AUK_PRJEB4211_v1:9:2552740:2554751:-1 gene:GSCOC_T00017599001 transcript:CDP04266 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLNCSASELIPLLGSTPNASAAATYLCQRFGAVASKLTDITYAVDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLSYYLFGYAFAFGSPSNGFIGRHFFGLKSFPSQSGDYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWFWSGDGWAGAAKADGNLLFGSGVIDFAGSGVVHMVGGVAGLWAALIEGPRIGRFDRSGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFLTISKSYGTRGSYYGQWSAVGRTAVTTTLAGSTAALTTLFGKRLLAGHWNVIDVCNGLLGGFAAITSGCSVVEPWAAIVCGFVAAWVLMGLNKLAAELKYDDPLEAAQLHGGCGAWGLLFTGLFAAKDFVNEVYQGKPDRPYGLFMGGGGKLLAAQIIQILVIIGWVSATMGPLFFGLHKLNLLRISTQDEMAGMDLTRHGGFAYVYHDEDDGSNLPASFKMNRIEPTNTNTPTPNHQHSTMLESTV >CDP06344 pep chromosome:AUK_PRJEB4211_v1:9:14928240:14929373:1 gene:GSCOC_T00023156001 transcript:CDP06344 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTVVKRTTTGRRTTGRRKIEIKKIEEKNKLQVTFSKRRKGLFKKAAELSVLCGADVAILVQSPAGKVFGFGGRASIDTIIDRYLAGKASEKSLMQNKGDNSKGFWWEQPIDNLDLEELLEYKAAMEILRKNVLARADEIAAAAANNIDAAKNNVAANNNSAANNNAESSSGLFIPNVAVDSFGGLPVIHCESDDSGGGDDDDEEEEDDNMNANVKASAVENLNVETNRHQPLMLGNPKLESTDDSDVLFMFENPNPEIDINRPLMLTIPKLEIVDSDQPLMFEIPSPETNNNQLLMSENPNPQTNSDQPLVLENPITETNGEVAEVSENPNPPKTSS >CDP14562 pep chromosome:AUK_PRJEB4211_v1:9:21347977:21349462:-1 gene:GSCOC_T00041090001 transcript:CDP14562 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPHIAGAAALLRAIHPTWSSAAIRSALVTTAGILNNMGKPITDASGKEANPFLFGSGHFRPSKAADPGLVYDASYEDYLLHLCSIGYNLKNLTRNNSCPKNLPPPHNLNYPSVAIPKLNGTVVVKRIVTHVGSSRSIYFSSVKPPVGISVTISPPILYFNQYGEKKSFTIRVQAESTEGVGENAKNKYRFGWYIWRDSNGTHSVRSPIAVAFS >CDP04096 pep chromosome:AUK_PRJEB4211_v1:9:4145437:4155127:-1 gene:GSCOC_T00017391001 transcript:CDP04096 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNVLSFQESNASGDDFGDIDWNTEDELEISEIPISTSNLPTPGGVTIVCNGEESSSAHSSNSKLIQHFVGMGFPDKLVVKAIEEIGEGSSEGKILDTVLTYLELQNSSEEHGCIDSDQSTSGYDESLLHDSDIDSWSDDDDEENSDDLSESEKKLQTLQKMGYSVDEATEAMHRCGPDASIAVLTDFICAAQIARAEAPDLPAEIKPKANYTCSQNGKLKRRLYAELDNRKKQRGMVGEDSEMIRLPKPMVGFGLPTDDQSSIVRERTLPEQALGPPYFYYENVALTPKGVWDEISKCLYYVEPEFVDSKYFCAAARKRGYVHNLPIQNRFPLRPLLPLNIEETFPLTKRWWPSWDSRTYLNCLQTAIGSAKLQDRIRKAVEAYDGEPPEHVKKYVIDQCKKWNLLWVGRNKVAPLEPDEFELLLGFPRNHTRGGGISRTDRYKSLGNSFQVNTVAYHLSVLKPLFPDGINILSLFSGIGGAEVALHRLGIPLNNVVSVEKSEINRNIVRSWWEQTNQKGNLIDFDDVQTLDAYKIESLAGKIGGFDLVVGGSPCNNLAGSNRVSRDGLEGKESILFYEYVRILDTVKNIMSGRRFN >CDP04327 pep chromosome:AUK_PRJEB4211_v1:9:2065212:2067900:1 gene:GSCOC_T00017680001 transcript:CDP04327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MKRYITNPPFSDSILKTVLFSSRHFASSAATAPLDLEDDIGGFTGASFGGIIQDKDLLRKTPNGLYVLGLIDNGAMDPNATLYNQLLKRCTQLGRLKEGRLVHAHVLNSSYRNYVAVQNSIINMYAKCGSPVEARKVFDEMAERDMVSWTAVITGFSQNDRAQEALGLFVEMLRDGFVPNQFTLGSVLKAATAVGEMEGRQIHAVCLKSGHGDDVYVGSALVDMYAKCGQMEEAKVVFDGLMSKNEVCWNALIAGHARKSEAAIAVRLFSEMKKAGFEATHFTYSSVFAACASIGALEQGKWVHGHLVKSGLKLIAFVGNTLLDMYGKAGSIEDAHKVFDRLVKRDVVSWNSMLTACAQHGLGRETVECFEEMRSRGILPNEVTFLCVLTACSHSGLLEEGLYYFELMKKLKLEPDISHYVTMVDLLGRAGQLGHAEKFIKEMPIKPTAAIWKALLGACRMHKNIDLGAYAAEQVFELDPYDSGPHILLSNIYASAGRLRDAANVRKMMNDSGVKKEPACSWLEIENNVHMFVANDDSHPQRNEVRRMWEKIADKIREIGYVPDTSHVLWYVDQQEREVRLQCHSEKLALAFALLNTPHGSTIRIKKNIRVCGDCHTAFKFVSQLVGREIILRDTNRFHHFRGGSCSCGDYW >CDP04351 pep chromosome:AUK_PRJEB4211_v1:9:1810872:1813544:-1 gene:GSCOC_T00017710001 transcript:CDP04351 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVLVYCTAGISGGHINPAVTFGLFLARKVSLTRALAYMVAQCVGAICGVGLVKAFQRSYYVTYGGGANQLQDGYSTGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHVPILAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVIYNKDKAWDDQWIFWVGPFIGAAIAAFYHQFILRAGAVKALGSFRSSSSHV >CDP04356 pep chromosome:AUK_PRJEB4211_v1:9:1762377:1765169:-1 gene:GSCOC_T00017716001 transcript:CDP04356 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLFEEINCRSKSDSIVMRLLRSAMDEAHEKVQSQNGPIEFLHERSKFYELAAILVDGSLNIVEEEEDIQETNREEMLSDLTEIKHWLQRRIAEMRILIIEKDKELMERVENELKLRRAAELNARELAYLREKLETERTKGADLPDYIPSSEEIEDDRAQGGDIRELKSSVDQQVLNIKQKLEDERKTLTRRIRGRSSSSDIDILKGTLDLAFGRMRNAEVFPLEKQWTWSIEKDVIVISVKGFINDIQQNFKTGFEKRGWSLPVGFSREKWTELINNARALHDELSALCSQGRIEEKGLEMHELSGFPSSIKRTTSEPLPEVSPKVPEKDSDPGGSHYVAKMVKNHESFIQKQRKCEEWNWLAREVLRRQGPSSIKRDKDPDELESRIRKVIERLDNLMMWDGNPGYNKGFCDKTAVLETSLTKIDRTANENINAGSGVDLSNEIRKLEQERDDLKLQSFIIEEIFLLILVGFAKSLYLELLNENNESLIRGDSHNLSVNDSEDHHGVKIDDLQIQKAGESEEETACEILQHYLESSIRENVYAVYFQQTVIELDTNIKQNADDYLVKQELMEVVFGETLQCIEKSGNLVIRQLQIEIEGFETLIDNLHSSDKVPETVGSLLKEDIYIVYFRELFNRLRTEIDAYNIEILIKDEIYQFVLVALVKEFFVTIGQSETWNQVQISKDFPPTKLDMHPEQTLIEKPDSYSRNCNIEEIVNTSNQTKELRDHCVEVAEDDSMELLSYDVERTFGTESELLEISSDKLLESKASVPHMENSSGSIAKDQKEDDHQALIHPTEGVDSEGQYAYEEENQQNRSVFSPVVGFQRLVVDFEQMVHKKLESYCLRYLTLIFKIFNQYHGTLLFRVIANIFVFR >CDP04537 pep chromosome:AUK_PRJEB4211_v1:9:203338:224801:-1 gene:GSCOC_T00017964001 transcript:CDP04537 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKLLLQLKELGSKLDNPPSTKDSLIKLLKQGATCLSDLEQSPPKAVMESMQPFVNVVVKPELLKHQDREVKLLVATCICEITRITAPEAPYTDDILKDIFHLIVSTFGGLSDTSSPYFGRRVVILETLAKYRSCVVMLDLECDDLITEMFSTFFSVARDEHPENVLTSMETIMAVLLDESEDVWEDVILNVLSNLGRDKKDVTTAARRLAMNVIKRCAGKLEPSIKQFLISSMSGDSRSSKYQIDYYEVIYDIFHSVPQILSGVVPYLTGELLTDQLDTRLKAVKLVGDLFALPGSTIPETFQPILMEFLKRLTDRVVEVRMSVLNHIRVCLLSDPFRSEAPQIIAALGDRLMDYDENVRKQVVAVICDVACHALTSIPVDTIKLVSERLRDKSLLVKKFTMERLAEIYKNYCMSCSNESTKSDSYDWIPGKILRCFYDKDFRSDTVEPILSLSLFPSEFPVEHKVKNWVRSFGGFDKVEVKALEKILEQKQRLQQEMQKYLSLRQMYQDGDANEIQKKVLFCFRVMSRCFTDPVKAEESFQILDQLKDANIWRILRTLLDPNTTTTQVSNSRDDLLRILGEKHRLFEFLNILSLKCANLLFSKEHTKEIILEADIQKSAGNAQLIVSCMSILVILARFSPFLLSGIEEDLIHLLDDDNEIIKEGVLHVLARAGGAIRDQLGVSSRSLDLMLERICLEGSRRQAKYAVHALASITKDDGLMSLSVLYRKLVDMLTEKSHLPAVLQSLGCIAQTAMPVFETREKEIEGFIMKNILECSSASEDRVKECFDDQSELCSLKIFGIKTLVKSYLPVKDAHLRLGINDLIGVLKSILCYGEISQEIESSYVDKAHLRLAAAKAVLRLSKHWDHEIPVDVFYLTLGISEASFPEVRRLFLSKIHQYIKDRLLDPKYAIAFLLDMGSQQQLLEEEQHNLMDIIQMCQQGKARHYSAPSDANTPPLYPEYVLLYLVHAFAHNSSFPNPDECKDVKAYESFYRQLYFFLSMLVHGDEDGKSDIDISKDKESLSAIISIFESIKRSEDNVDSTKSKQLYAICDLGLSITKRLAPKQEDLQGCSAPVPLPAVLYKSNETKEGSDSVVGESRTWLADESVLTYFQSIKLEANKAVTPEVVEDESMKDSETDGSEMPLGKIIKRLKAKGAKARREVKNESAQSVQKNENDLDILKMVREINSDNLGDSSKFGSSNGHEYVLKEMKADRKLQKRKTMLDESKNVPVPKRRRSSSSLVHKSPAKNTSKEELPYSEVMEMDEGFKTGSEERSSRQKMNEPEESDLLVSCIQKDSNPSFPSKHKGKRSFRGHDKGHEARLLGNDEQKKYKKTMDTDSDVATNNSNSAATKKQKRRSVAGLAKCSSKESDTSIGDLIGCRIKVWWPMDKRFYEGVVKSFDTEKKKHVILYDDGDVEVLRLEKERWEIIDKEQKLRSKSSKTSGSKGRSKTHQKRKASDVSGQKEKILDLSPSSQARGKRTPRKNVKHGKADVSKDQVQASFESGGSPNLPDPVPEKSEDADSDEERQQSVGGEKGFASSEQNEKDEGSVSEGKEEEDAENMSIDSDKAQEESSSEAKPVEGVTESLHGDGSDKEEVSSSDEEKKPAVTRDAVEKSDSEDVHGDDAGIFGKEQHMSDEKTGSADEEISDDEPLSAWKRRVGKSAEGK >CDP20843 pep chromosome:AUK_PRJEB4211_v1:9:4853542:4857277:1 gene:GSCOC_T00013392001 transcript:CDP20843 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYEEYMQGQCQRKKLPGVGFKNLKKILKRCRRHLQSRDNAALVPDHFGDSTLCGRSSSCPDSCPVCDGTFFPSLLKEMSEVVGFFNENAQRLLELHLASGFRKCFIWFKDKIQGNHIALIEEGKELVTYALINAIALRKILKKYDKIHYSKQGQVFKSQAHSRNLEILQSPWLCELMAFHINSQETKANTRNAPALFNGCSLIFKDEKPSLSCELFDSVKLELDLTCSICLDTVFDPVSLTCGHIFCYMCACKAGSVTIVDGLKAASPKEKCPLCREAGVYEGAVHLEELNILLSQSCPEYWAARLQSERAERIRQAKEHWESQCRAFMGI >CDP04471 pep chromosome:AUK_PRJEB4211_v1:9:719418:722789:-1 gene:GSCOC_T00017876001 transcript:CDP04471 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFSKPPEKLSYPQQIAVTATGLIWSRYSTVITPKNWNLFSVNVAMACTGLYQLSRKIRHDYSNTEQAVVAEE >CDP04482 pep chromosome:AUK_PRJEB4211_v1:9:645036:647827:1 gene:GSCOC_T00017891001 transcript:CDP04482 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVGVVSSSKSSSMGFDDKNNGDEKNGGTSPAPGTNAGKTGPVDPEIEQESVEKINRQMSESSIYTTEDEEDEDSDANIQLGPQFTLKELQEKDKDDESLRRWKEQLLGSVDINSVGETLDPEVRILSLAIKSPGRSDIFLPIPEDGKPEGPWFALKEGSRYSLEFTFQVNNNIVSGLKYTNAVWKTGIKVDSTKQMIGTFSPQAEPYTHKMPEETTPSGMFARGSYSARTKFLDDDNKCYLEINYTFEIKREWQATNN >CDP16097 pep chromosome:AUK_PRJEB4211_v1:9:18224388:18225931:-1 gene:GSCOC_T00017136001 transcript:CDP16097 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSLSHTPVSPLGLSFLTLKYNRSYEYCFLLFIAARFGIISTGRYCQKCTLHKPPRAHHYCACNRCVLRMDHHCVWLNNCVGYANYKNFFIFVIYTIVSCIYSLVCQYISFSSVKDSYFSVLCSCFILFTYYLQVISGLLLVLLTLALTIFMFWHVYLILQNKTTIEYHEGVRAMWLAEKGGYLYSYPYDLGAYENMISVLGPNTFCWVCPSSEQIGYGLHFHAGVDKLAGISF >CDP04137 pep chromosome:AUK_PRJEB4211_v1:9:3694989:3703790:-1 gene:GSCOC_T00017442001 transcript:CDP04137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type II inositol polyphosphate 5-phosphatase 15 [Source:Projected from Arabidopsis thaliana (AT1G65580) UniProtKB/Swiss-Prot;Acc:Q84W55] MDGRIKDEDGDDLNPFFTTSPPRPTAQSPPHSSFSQNFPFSPPDHFPQYGDVFTAPPTTTTANSDRLVPVDDDDDDQPTSASTSQNYQCAPKIFDRFDDSSTSSDDNDEFYCSDAQHEAVRKRLDYMMDYLDRKLSMSADHPADRHPQTRQPLPEFIAMGGGTGIFKLPVRSAVNPVRPPSLEVRPHPLREKQIGRFLRNITCIDDGRQMWAGSECGIRAWDLGNVYGAGVAKGEEDAAPYVESVRTVGALCVVGDDGNRLVWSGHKDGKIRCWKIDGINNNSRGRFKEGLSWQAHRGPVLSMVTTSHGDLWSGSEGGVIKTWPWEAIEKSLSLTSEERHMASLLVERSYIDLRSQATLNGSCNSIFTTDIKFMLSDHCRAKVWTAGYLSFAIWDSRTRELLKVFNIDGQIDNMSGIQDQMMEEEIRLRFVSGSKKEKPQSNLNFFQRSRNAILGAADAVRRAAVKGAFGEDNRRTEALVATIDGMIWTGCTNGLLVQWDGNGNRLQDFQYHSYSILSLCTFGSRIWVGYCSGTIQVVDLSGNLLGGWTAHRSPVIDLAVGAGYVFTLANHGGIRGWSITSPGPLDSILSSELSGRDFLYTRMENLKILAGTWNVGQGRAAYDSLISWIGSASVDSDIVVLGLQEVEMGAGFLAMSAAKETVGLEGSSVGQWWLEMIGKTLDEGSTFVGVGSRQLAGLLISVWIRNSIRSHVGDVDVAAVPCGWGRAIGNKGAVGLRMRVYGRIFCFVNCHFAAHLEAVSRRNADFDYVYRTMVFSRPSGSLNTAAAGVSTAVQVVRNANVTGIYSVEGMPELSEADMVVFLGDLNYRLDGISYDEARDFISQRCFDWLREKDQLRAEMKAGNVFQGVREAVIKFPPTYKFERHQAGLSGYDSGEKKRVPAWCDRIMYRDSRSAPASPCSLECPVVSSVLQYEACMDVTDSDHKPVRCIFSVEIARVDESLRRQEFGEIIESNGKIKQLREELCRIPEAIMSTNNIILQNQDVSILRITNKSGRTKALFEIICEGQSTIKDDGQASDHRPRGSFGFPQWLEVTPAAGIIKPDHIAEISVHHEEYQTLEEFVDGTPQNSWCEDARDKEVILVVKVRGSLSAEAKSHRIRVRHSFSGKPRRMNQRINNPKPPPSNVLYRSEFQRLSGTSDVVDQLRNLHSP >CDP04389 pep chromosome:AUK_PRJEB4211_v1:9:1486800:1497909:-1 gene:GSCOC_T00017764001 transcript:CDP04389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 16 [Source:Projected from Arabidopsis thaliana (AT1G11660) UniProtKB/Swiss-Prot;Acc:Q9SAB1] MSVLGLDVGNENCVVAVAKQRGIDVLLNDESKRETPAVVSFGEKQRFMGAVGAASATMNPRSTISQVKRLIGRKFREPSVQDDLKLVPFETSEGPDGGILIHLYYLDEKQSFTPFQIMVMLFGHLKQISEKNLETHVSDCVIGIPSYFTDLQRRAYLHAAEIAGLKPLRLMHDCTATALGYGIYKTDFNGGPANVVFVDVGHCDTQVAVASFQPGQMKILSHAFDSNLGGRDFDEVLFRYFAANFKEQYNIDVHSNLRASIRLRAACEKLKKVLSANPEAPLNIECLMDEKDVKGFIKREDFEKLSSHLLERISFPCRKALLDSGLTLEKIHTVELVGSGSRIPAIMKVLSALFRREPSRTINVSECVARGCALQCAMLSPTFRVREFEVQDSFPFSIGFSLSDGPICAQSNCVLFPRGHPFPSVKMLTLQKSNTFQMEAFYAKENELLPCTSTKISDFMIGPYQVSHSEKAKVKVRVHLNIHGIVGVESASLIEDHADDPTSNNCADALSENMETSNHETFYTANGPGDSNSAHSKFSPAAAGDERRAKATRRQDIPISENICGGMTPVELSQAQEKELQLAEQDTKMERTKDRKNSLESYVYDTRNKLLNSYRSFATDAEREGISSSLQRTEEWLYDDGDDESEHVYARKLEDLKKMVNPVEHRYKDEEARAQATRSLLNCIVENRMAVGSLPPSERDAVYNECSKAEQWLRERTQLQDSLPKNADPTLSSSEIRRRTEALDVMCKRIMRSKSSLPTPHDAPNSD >CDP06288 pep chromosome:AUK_PRJEB4211_v1:9:18195624:18197520:-1 gene:GSCOC_T00023043001 transcript:CDP06288 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWANFVSEYTPYLVALVALLLLLEQISYLKRKRFLPGPTLVLPFIGNAISLVTNPTRFWDAQSSLAKSTPLGISTNYIIGKFILYIYSTELSHKVFANVRPDAFHLVGHPFGKKLFGEHNLIYMFGQDHKDLRRRIAPNFTPKALATYTSIQQRIIVKHLKSWLQKADGKSIPLRILCRDMNLETSQTVFVGPYLGGEARDRFNIDYNFFNVGLMKLPIDLPGFAFRNARLAVERLIETLKLCAEESEKKMQNGEEPTCLIDFWMQENLRERAEIAEKEGCDSDKVSSYSHRELGGHLFDFLFAAQDASTSSLVWAITFLDSHPRVLERVRAEVERYWNPESDEPIAAEQLREMKFTEAVAREVVRIRAPATMVPHIAGEDFALTENYVIPKGTIVFPSVFDSSFQGFIEPDRFDPDRFLEERQEARVYKKNFLAFGAGAHQCVGQRYAINHLMLFIAMFSTLIDFKRKRVDGCDDIAYVPTIVPKDDCKVFLSERCRRFPSLS >CDP11233 pep chromosome:AUK_PRJEB4211_v1:9:6934952:6936999:1 gene:GSCOC_T00033345001 transcript:CDP11233 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFWSTILLAMLLIISSGLGVEVVSCITIPEHICHEKIYTNKCQPKKCTQKCSTKPSGKGTCRGNICECKYYCKQPPK >CDP11292 pep chromosome:AUK_PRJEB4211_v1:9:5873881:5879410:-1 gene:GSCOC_T00033442001 transcript:CDP11292 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSRFSSKLLGSKDSSSQNKGAFDRIRDRDGNRKGGSGESWWKVNCYSKYPLKFIIPNKVGPSQTDAVWIYTITYGGGIVSGDSIMCDISVGGGCTTVLTTQASKKVYKSVESKCSKQVLEARIGSDALLAVIPDPVTCFSTAKYSQTQVFKVFPSSSLLIVDWITSGRYGRGEKWDFELYKSTNNIFLEADEPLFLDTILLEQRRYSSIAERMQYYQVIAMVILLGPKLKFIQDQIQENVKNLMSRQFCIPSGSSGRYGDINDNPFLTRPSFLASCSVFGQKGRGVVVRIAAMTTESVYSFLQCQLSGLDSLLGVAPYR >CDP11279 pep chromosome:AUK_PRJEB4211_v1:9:6088278:6093497:-1 gene:GSCOC_T00033424001 transcript:CDP11279 gene_biotype:protein_coding transcript_biotype:protein_coding METGKVVVERVGGKSTATHCYSKYPLKFIVPNKVGPSQTDAVWIYTITYGGGIVSGDSIKCDISVGDGCTTMLTTQASTKVYKSVESKCSEQVLEARIGSDALLAVIPDPVTCFSTAKYSQTQVFKVFTSSSLLIVDWITSGRYGRGEKWDFELYKSTNNIFLEADEPLFLDTILLEQGRYSSIAERMQDYQVIAMTTNLFNFTPKLKFIQDQIQEYVKNLVSQQLRIPSGSSGRYGDIDDNPFLTRPSFLASCSVFGQKPSRGVVVRIAAMTTESVYSFLQCQLSGLDSLLGVSPYR >CDP14557 pep chromosome:AUK_PRJEB4211_v1:9:21467299:21471330:-1 gene:GSCOC_T00041082001 transcript:CDP14557 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLCYNPIPSKFHLKRITFLPQPPRLSTTIPAAHFNRLTYFLPDSSRVNSFSCNYQHSSSNSFAISSKSADTADHCTHNLSSASVDRPDGSTEKVNFFRKDVKSLPQQQKVIAAGTVMLLSAIFMTVIHPMVASPAFASFQSAAKAGGPTAAAVGMQLLKNELVNSAWTGFLAGCLHTLSGPDHLAALAPLSIGRTRIESAAVGALWGCGHDAGQVIFGLMFLLLKDRLHIEIIRTWGTRVVGFTLMVIGAMGIREASEVPAPCVALENGECDVSIYEGLENPTIGKKKIGLATFATGIVHGLQPDALMMILPALALPSRVAGAAFLVMFLVGTVVAMGSYTVVIGSCSEALKDRVPRITEKLTWASSLVAIALGLAILISQFFGFSLY >CDP04309 pep chromosome:AUK_PRJEB4211_v1:9:2223612:2225076:1 gene:GSCOC_T00017655001 transcript:CDP04309 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLPLLYTTASSSVNDEEFNSFHSIDRKLFIRLMTNLGRDPSESVQLMAFWLWLEQEVVDNLTLVNQMLSLPATLMSELADESVMCLKCVESDSFPFGEKDPHELVLLPSFVERNISFQYFYENRIGVLRGVSKMIGEVCSRAFHDLLVPAGLKGSSKTSSSFGEVGTISRSHDQIMENELCHRLDTSLNDLVEENDEVSPDERTIFLTFSKGYPISETEVREFFTRKFGDFIEAIQTQEVPPNEQILYARIVARSASALDAVVGGGRAKYTINGKHVWARKYVRKQKSPPRNVALPIK >CDP14492 pep chromosome:AUK_PRJEB4211_v1:9:22147845:22154827:1 gene:GSCOC_T00040999001 transcript:CDP14492 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWWRCDIRYLLLLAVIPFIYIQMRLFGTQTEYADRLAAAVEAENHCTSQTRLLIDQISMQQGRIVALEEEKMRQDQECRQLRALVQDLERKDLKKLISNVQVPVAAVVVMACNRADYLERTIKSILKYQKSVASKFPLFISQDGSDPNVKNRALSYDQLTYMQHLDYEPVHPDRPGELIAYYKIARHYKWALDQLFYKHNFSRVIILEDDMEIAPDFFAYFEAGAMLLDSDKSIMAISSWNDNGQKQFVQDPYALYRSDFFPGLGWMLSISTWDELSAKVQSFEHFLDQTFTYWDDWLRQKENHKGRQFVRPEVCRTYNFGEHGSSLGQFFKQYLKPIKLNDVQVDWKSMDLSYLMEDKYVKHFAELVKNAKPVYGADAVLKAYNIDGDVRIQYRDQMDFEFIARQFGIFEEWKDGIPRASYKGVVVFRYQTPRRVFLVGPDSLQLLGIGRT >CDP04544 pep chromosome:AUK_PRJEB4211_v1:9:169286:171841:1 gene:GSCOC_T00017973001 transcript:CDP04544 gene_biotype:protein_coding transcript_biotype:protein_coding MICRISEEVLNPLHLSLRILFKRPIMMIIGYRAIARPTFSLVICDVPAISFCKPQLYSSSMNGCRFMRFSCSVSGRTVSSTSLDLSLSKDNSGNEGLQRQKASSSLYAHPSLSQIRSEKAANRARVYDFLRGIGVFPDELDGLELPVTVDVMRERVDFLHRLGLTVEDINSYPLVLGCSVKKNMVPVLDYLGKLGVKKSTFTEFLRRYPQVLHSSVVVDLAPVVKYLQGMDIKPNDIPRVLEKYPEVLGFKLEGTMSTSVAYLVGIGVARREIGGVLTRYPQILGMRVGRTVKPFVDYLESLGIPQLAIARLIEKRPFILGFGLEDRIKPNVESLLEFNVRKSLLASVIAQYPEIIGVDVQTRLCTRRDFLNSIIQLDPIGFGRVVEKMPQIVSLNNTAILKHLDFLKECGFSLEQVRKMVMACPQLLALNLDVMKVSFDYCQGKMARPLDDLVSFPAFFTYGLESTIKPRHAMIAKKGLKCSLAWFLNCSDEKFEERMTYDTIDMEEMEVESSFDMNSLLGTRTDGSDSDYADDSDSSYV >CDP04249 pep chromosome:AUK_PRJEB4211_v1:9:2722586:2727465:1 gene:GSCOC_T00017580001 transcript:CDP04249 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYIPPHKRHSRGGGSSSSSAINPSPSLTPESLSPHFKRGLNFRSSFSDKKKNNRDRGKGGGGGGQKIIYDQNAVSKWFPVGLAASSGDDTDNNDTNFMSLTRLQPISVESFQRKSGEKPLSLVLNHDRVKECSEERSVFMENPWLFAAENVMQDLRSAFQRVQNEVEDNKLEEVKPTLVARVGQILFHGGQSTLPESSLRQLKRSFYTNVSASYMEYIVDEVVPKCMFDFQEEKEIYHIKLSDNMRPDSTISCKCTLAKDREKLEFYKIEWNQVRHLVVDMSCLEKDLDLRLMLCTKRIIKTLTDNEIECIKSLIGSAVLDPDVKGGLRWPLGLDSSGDRYAVVGVWHVNAKSFRNSSIRLKARHADRFDFRTSSGEVSREVAMKMKGIVSNLPEQTKQDDLLHEMLSSNLKLIWENCLCFSSIP >CDP18218 pep chromosome:AUK_PRJEB4211_v1:9:4826345:4828442:1 gene:GSCOC_T00007131001 transcript:CDP18218 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRNRVDTTEELTASFATKQQQQKQPTEDQTRVKAKESDPPKLGHFLDNCHYCKKHMPVGVKVHMYRDFCGFCSVGCREIQMALDNLAEKQAKLAQKQLPSCGDKVDQ >CDP05648 pep chromosome:AUK_PRJEB4211_v1:9:12758639:12760470:1 gene:GSCOC_T00020826001 transcript:CDP05648 gene_biotype:protein_coding transcript_biotype:protein_coding MILHGFGGEADGGGKLDRIKRVLLLSYNDLPHYLKSCLLYLSIYPEDYPIGVDDILLKWIALGFVEEKERITSTDIAMSYMKELINRSLIQVKSTWTDGKLITCGLHDFLREIIVSKSKEQDFTPVATRYYTRWSEKVRHLAIHNFTDNPQEFSSFKCLRSVVIFGYEDPLTTTILSKFLSGDPKLLKVLDLDGAELDNIPKHVFKLFHLKYLNLNGTGVKIIPKSIGKLQNLEVIDLRGTNVTELPAEILNLRKLRSLLLGRLGDYSNDYAVWGCKCPLGIGKLICLEELYGIEADSDKIVREVGNLTQLRLLVITKLRREDGKELLSSLLRLTNLRELAISCIKEDESLDLQHSVFPKLGFLTRLCLKGRLERVPQWVISLQSLRTLLLFNSRLRENENVIGSLGHLPNLIALGLHGAYEGETICFKVGGFQKLQRLELMQLKRLKWVRVEEESMPSLRSLQLGDCKLMQELPSGIQNLTRLQFLRFLDMSDELMHKVQNLDKQSVDYQTISHIPQVFTGHWINGRWEGKFL >CDP12612 pep chromosome:AUK_PRJEB4211_v1:9:8676236:8678934:1 gene:GSCOC_T00036293001 transcript:CDP12612 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLLFKDRIFWRGVVEELLWFISGATNAKVLQEKGIHIWDGNASRDYLDSIGLAEREEGDLGPIYGFQWRHFGARYTDMHADYTGQGFDQLMDVIGKIKNNPDDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALFTCMIAHVCDLLPGDFVHVIGDAHVYRTHIRPLQDQIQKQPKPFPILKINPQKKDIESFVPADFTLLGYDPHQKIDMKMAV >CDP04094 pep chromosome:AUK_PRJEB4211_v1:9:4178293:4179639:-1 gene:GSCOC_T00017387001 transcript:CDP04094 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKRGLWSPEEDEKLVKHITAHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFTEQEERTIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSVIKKKLIAQGLDPNTHNLLSPHQTKAKNNGNTISKLNSHHDQSGSVFTMDTSPGNKEIIDAKSTLSLPSSYINSSALNGASTIATFEFQNPSNLAWTDHGTHRFGILAENCMWGNGFEPCFDHAPPQQQQQPEKVSTDQEKVYRVSNHEFNGCQNAENGFDSSSIDFEFMDSALVPPGMYCNVNSMDQLAWDC >CDP04436 pep chromosome:AUK_PRJEB4211_v1:9:1014936:1021641:1 gene:GSCOC_T00017827001 transcript:CDP04436 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNFYGTWMAVLMTKQESVRQYADNEIQMVRGSSGKRPGFPKRRKLVLSFEDEEEDNRVTLLNGEETSNQVKLCQCTELSGGANPKKPSCCQSSESTVENTSFSDELINLGSPRRNMRILSKKRRRKCLDGRGPSKRHPSLSNKKKGCMLQEESEEEEDREDSPGTSSMTKTAINQQRMALDFEVSDDKRNSKDCSNTSEKNKFLQNESIQKGMCEGKKMRTHIGDVNKLNSRKAIGKAQVAQHPPKGRTSDKKNSERNSDSSSSKKVSVSNFFAKGNGDGSDDDWNESKEKPRISTAQVDVQKTEEEFESSDSRRISARHRCATNKKFSFEGDYLFGDWIDDEEDVVLSFEEITVSSYSSYATVKGGSRSTSVPTKGSIKDIKRKQDNNGKGSSVNSSPSSSSSSWKSASICDRKSMDRSSNGNIKQVEKKRHVKCHQCQRNDRVTVVPCMKCKEKVYCIQCIKQWYPELSEEEVSETCPHCSRNCNCNLCLHSSGFIKTSQRDLDDSEKVRHLHYLINQLLPILKQIHQEQIEELEMESHIQGVPSFSIEVKRSTFYDDERVYCNHCSTSIVDLHRSCPKCSYELCLNCCREIRKDEFLGSHDRVLYQYVNKGNDYMHGGDPLPGTLDMERARDQVEPVIRWVANDNGSITCAPREMGGCGSCLLELKHLLQEDWISTLEARAQKILDDCKTTHAISWPILQESDPKRLRRAAFREGSCDNYLYCPDSLDVMRQEELFRFRSHWARGEPVIVQNVLEQTSRLSWEPMVMWRALCEHNDSSVSTKMSEVKAIDCLAGCEVEISTRKFFKGYIEGRTYKNFWPEMLKLKDWPPSDKFDDLLPRHCDEFISALPFPEYTDPRVGFLNLAVKLPAHVLKPDLGPKTYIAYGLAEELGRGDSVTKLHCDMSDAVNILTHTAEVVLTDEQRSAIETLKKKHEAQDEKEHFGNLKLNNGSSLENVCQVGIFSSMEHIDERGGALWDIFRREDVPKLKEYLVKHSTEFRHTYCCPVDQVIHPIHDQSFYLTMEHKRKLKEEYGIEPWTFEQRIGEAVFIPAGCPHQVRNLKSCTKVAADFVSPENLHECLRLTEEFRRLPKNHKAREDKLEVKKMIVHGVNQAVQELEQLTNTSMKTCGFIWE >CDP17616 pep chromosome:AUK_PRJEB4211_v1:9:7701951:7704740:1 gene:GSCOC_T00005125001 transcript:CDP17616 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNKSINAKLVLLGDVGAGKSSLVLRFVKGQFIEFQESTIGAAFFSQTVAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNPASFERAKKWVLELQAQGNSNMVMALAGNKADLADSRKVTTEASVFIFHYQCGFLQYFVILLFIDGIIEV >CDP04159 pep chromosome:AUK_PRJEB4211_v1:9:3472165:3478945:-1 gene:GSCOC_T00017468001 transcript:CDP04159 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSSCRVAAVSSATALFSSSKHLLCKNFTYIPNPLYNNSLRPIRWNHRNSRMENSTSRLVTRASAQPLKNPDELIDSVETFIFDCDGVIWKGDKLIDGVPETLDLFRSKGKRLVFVTNNSTKSRKQYGKKFETLGLSVSEEEIFASSFAAAAYLKSIEFPKDKKVYVIGEDGILKELELAGIQYLGGPEDGEKKIELKPGFMMEHDKNVGAVVVGFDRYFNYYKVQYATLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAIWGSTKREPLVVGKPSTFMMDYLAKKFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTTLPMLQDPKNSVQPDFYTNKISDFLSLKAAAV >CDP17331 pep chromosome:AUK_PRJEB4211_v1:9:20091706:20092193:1 gene:GSCOC_T00004087001 transcript:CDP17331 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGLRTYNSLLKAWLAVTHGINHLSAAGHHLDLHLVGYAHMLWVRTLRDCRLLPENDFILAAKINALDLQQLLSKNVGK >CDP04222 pep chromosome:AUK_PRJEB4211_v1:9:2984506:2988496:1 gene:GSCOC_T00017546001 transcript:CDP04222 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX6 [Source:Projected from Arabidopsis thaliana (AT1G65320) UniProtKB/Swiss-Prot;Acc:Q8GZA4] MASVFLYHVVGDLTVGKPELVEFAETETVEAAIRAIGESTEGGIAVWKKRSQKNVIENAEMRQQRFVGILNSLDIVAFLAKDECLADQDKAMKTPVAEVVVPNNSLLKEVDPATRLIDALEMMKQGVKRLLVPKSRGWRVVSKRFSVIYNGKWLKNLDTSGASNANSAASLNRPSSSSTTTIRDKICCLSREDVLRFLIGCLGALAPLPLTPISSLGAINLNYCHIATTQPAIDATRMLPQDLSAVAVVEPASDGQHKIIGEISACKLWKCDYLAAAWALANLSAGQFVMGVEDNITSRSIANLSTSPVAEDSNLANGGSATRPRKFSSRNIGFLSSGTSPSFGASRSMYRGRSAPLTCKTTSSLAAVMAQMLSHRATHVWVTDVENEEILAGVVGYTDILAAVMRQPATTVPEARTA >CDP14569 pep chromosome:AUK_PRJEB4211_v1:9:21235557:21242755:-1 gene:GSCOC_T00041098001 transcript:CDP14569 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLAVLCFLFISLHMKAVCEKRQVYIVYLGEHSGNRNLEEIEEHHRSFLHSVKGSKQEAETCLIHSYKHIINGFSAFLTPEEASTVSEMDGVISVFRSQTRRLRLQTTRSWDFTNLLEANGDLSRVNGEKLLQRASYGKDVIVGVFDSGIWPESRSFNDEGMEPVPKSWKGTCQQGIAFNSSHCNRKIIGARYYLKHYEAEHGPVKEKMEFRSPRDKNGHGTHTASTIGGRRVPNASSLGGFANGTASGGAPLVRLAIYKICWQPDPLDEVLCPDGDTLAAFDDAIKDGVHVISLSIGGNTSSPYAEDGTAIGSLHALKRDIIVVCSAGNSGPTPSSVSNVAPWLISVGASSIDRIFQSTIVLGNGLIVQGRTVTPFRKTKKYPLVYAVDVEIPGKTTNLTTGGCFPGTLSKKLVKGKVVFCWVGYIYQALEVRRAGGVAAIFGNPYGGKGVVESPFLLPGTTVLQNDRATIVSYILNNENPTATLFPGRTIIGTGPAPFMAPFTALGPNGIEPNILKPDITAPGLNILAAWTEASPPTQLLQDHRVVKYNIASGTSMSCPHVSAVAALLKAIHPDWSSAAIRSSLMTTARRVNNVQIPITDAAGNIATPFHYGAGHFQPSKAADPGLVYDASYTDYLLFLCSSGTAFLDPSFKCPKHVPPPSDLNYPSLAIAKLNGNMTVSRTVTNVGTGNSTYTVIIVPPPGYTVKILPTKLYFSKTGEKQSFSITVKVAASIKETKFEFGWYAWSDGAGHVVRSPIVVSAA >CDP04110 pep chromosome:AUK_PRJEB4211_v1:9:3973064:3981581:1 gene:GSCOC_T00017409001 transcript:CDP04110 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRIAQIRLVNSHPEVYDPCDDSFALVDALLADRTNLLEHRPIFCLEIGCGSGYVITSLALLLGGDVCGSYFIATDINPHALDVTSDTLKAHGVHAELVNTDVAAGLEGRLMGLVDVMVVNPPYVPTPEEEVGCDGITSAWAGGENGRSVIDKILPIADRLLSDKGWLYMVTLTANDPSDICLQMKKKGYAARIVLQRSTEEESLHVIKFWRDPNSKLEANEAKVLNHGTAIGSFISQFSQVSLWKS >CDP04242 pep chromosome:AUK_PRJEB4211_v1:9:2770911:2773626:-1 gene:GSCOC_T00017573001 transcript:CDP04242 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLSTSLCHCNYINCQDLKPRLISASFLRNQGWISSHQLPSKRFSLHSTGSSNGPSDTKIALKEEESSSSSSSSQEEERVVQEFNGKEVAQLPEKEGERNSSSTGAPLLDKDLKKVVQKTAATFAPRASVATKNPAVPGTILYTVFEVQGYVSMLLGGALSFNLIFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLLIPLLNVLIPFFWKSFAVVWSADTIAFFGMYAWKMGLLQKAEME >CDP04279 pep chromosome:AUK_PRJEB4211_v1:9:2440062:2441264:1 gene:GSCOC_T00017616001 transcript:CDP04279 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTIPTPTASSTITDSDISLHPPDFYVLNISLISAQDLAPISKSMRTYAVTWIHPSRKLTTSVDQQRHTNPLWNERFAFRVDSEFLSSDQAAITVEIYTVSWFRDLLVGTVRVLISDLITPCARNHTRYLALQIRRPSGNPQGMLNMGVALMDAANRSMPLRREMNPSVRDYQDALVKKLNSMSAQQKDGEIVTEENNDQMNEKIHLWRSYSLGSEFNEEFPLKAGSICNGNGSELCSDVGPSASIVAAEIARKSLPPMAERKIGNSIVEEMTVEEATAKGLNSDGRRHGRRHSDGGLFSCFGNAYGFEFTIVCGASNHGNKPHNIIKSRSQKKRILGSETNSA >CDP17316 pep chromosome:AUK_PRJEB4211_v1:9:10666011:10666679:1 gene:GSCOC_T00003804001 transcript:CDP17316 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAGGAGDQRSSSGGGGGGSMRSAVEKERTKLRERQRRSITTKIFHGLRKHGGYPLSPRADINQVLRHLAQEAGWIVEPDGTTYRSSSSASTITTTSNVCPLCGNSKKSSFVGSATIGECSTTASPSRLTTAGDSISQPTTTTTDIYRDHMHHSLVATYMYGGGGERGGRAHYMTAAAGENKLGQGQVAAAWSPSTYMEVQWMEARASNQNTPAGSPRRRT >CDP12615 pep chromosome:AUK_PRJEB4211_v1:9:8646339:8646752:1 gene:GSCOC_T00036300001 transcript:CDP12615 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSVILPLFCALLLLSASWAASDSIEEEFYHCVCSNTNISIPISEAFFIQNNSALTSILESTAENLRCLVQSRHKPELIFKPLDEFQVQKAVICARKLGIQLRVRSGGHDYEGLSYKYMPEGATIKPQNACTSI >CDP14558 pep chromosome:AUK_PRJEB4211_v1:9:21414815:21418331:1 gene:GSCOC_T00041083001 transcript:CDP14558 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELEFSNHEMFSNSSFGDLPSSCSMGSFLDEILKDTHACTHTHTCNPPGPDNSHTHTCYHVHTKIVPAPSEDKTPTDDTAESTEKKSKKRPLGNREAVRKYREKKKARAASLEDEVVRLRALNQQLMKRLQGQAVLEAEIARLKCLLVDIRGRIEGEIGSFPYQKSANKSGDAYLANHNLPAAYVMNPCNVRCDDQLYCLHPGSEGRSAEAATLNGQGFNDCEFENLHCSGNQNSGLKELPGCGMGNGVPSTNTSNGNKKKGVARSGTGG >CDP12590 pep chromosome:AUK_PRJEB4211_v1:9:9227576:9229424:1 gene:GSCOC_T00036255001 transcript:CDP12590 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLFLLLLPIFTSNSQPFCIAASHSIYDNFAACLTKNGIPSGQIPKILYSPTNTSFSSVLQAYVRNLRFNTSSTKKPSIIVTPLEIPHVQAAVLCTKGTGLELKIRSGGHDYEGISYVSDVPFIILDMFNLRSIHVDIPSETAWVQAGATVGELYYRIWEKSNAYGFPAGLCPTLGLGGHISGGGYGAMLRRFGLSVDNVLDAQIVDVKGQVLDRKAMGEDLFWAIRGGGGASFGVVLAYKLKLVQVPEIVTVFNVQRTEAENATDILVKWQNVADKIDNGLFIRVLVQPITSKGGKSKGQKIIRLTFIALYLGDSKSLMSVMNAGFPELGLKKSDCKEGSWIQSMLFWSNFDIGAKPELLLNRTSDVNFLKRKSDYVQTPIPKDALTSIFKKMVQLGKPGLVFNPYGGRMSEIPANETPFPHRAGIIYKIQYSVNWEDGSPNITNHYIAQARELYSFMTPYVSSNPRQAFLNYRDLDIGTTDNGKNSYSEGEVYGRKYFKDNFDRLVKVKTLVDPENFFRNEQSIPTVSARSFRRRR >CDP12594 pep chromosome:AUK_PRJEB4211_v1:9:9015228:9016826:1 gene:GSCOC_T00036265001 transcript:CDP12594 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLSIILASFLFSTLVAISFAASDHSVHQTFVQCLEKHSSPNISAVIYTPNNSSFPTVLQAYIRNLRFNESTTRKPFLILTALDVSHIQAAIICAKAQGLQMKTRSGGHDYEGVSYISEVPFFILDLFNIRSISVNIEEETAWVQVGATLGEVYYRIYEKSKVHGFPAGVCPTVGVGGHFSGGGYGNMMRKYGLSVDNIIDAQIIDVNGRILDRAAMGEDLFWAITGGGASSFGVALAYKINLVRVPPKVTVFRVERTYEQNATFLVHRWQEVADKLDNDIFIRMIIDVVNNNRTGGKTIRSAFFTLFLGDSARLLSLMNESFPELGLRQSDCIEMSWAESVIYYTSFPLGTPVDMLLSRVPQVLTHLKRKSDYLKKPMPIEGIEFIFKKMIELQTPVLTFNPYGGRMAEIPASAKPFPHRAGNIAKIQYATNWDQNGVQTAEYYINLTRALHKYMTPFVSKFPREAFLNYRDLDIGITHNGKNSYAEGLVYGIKYFKENFNRLVKVKTAVDPDNFFRNEQTIPVSPSRKY >CDP04486 pep chromosome:AUK_PRJEB4211_v1:9:605970:609222:1 gene:GSCOC_T00017899001 transcript:CDP04486 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLPWELILDILSRLPATSLLRFRCVSKPWRSLIDSPDFVQMHLRQSQKAAGTTNRSLILGFLGIYSIDLDSLDCARPLRPPFSSSDVSNSCNGLVLLLGQNQTPFLWNPCTRKYKTLPDSPHEYPPPGIPVDYNALYKRYGFGYVAQDDDYKVLRVVEFRSPDSTWIGSEAKIYSLKTNSWRRVDHYPFPLPRIRGWGVHVNGAVHTVLSLDNYYQEIVAFDLRTEKHYTIPKPDLLLENVELSVDEMAGCLCLLVRKKRRINIWIMKEYHVKSSWTKLLSIAPPLIDEHCSLISPLAYSRRGDEVLLNCDDEKLVWYDLMRKTTRDVDVQGLPFRFYAEFFVGSLLPLENGCRGGGGGEDEIGITRRATRQKNTKVNDTRKKRDDFLSKGFKLVL >CDP06314 pep chromosome:AUK_PRJEB4211_v1:9:16565394:16571122:-1 gene:GSCOC_T00023089001 transcript:CDP06314 gene_biotype:protein_coding transcript_biotype:protein_coding MYHYFAAVEIYNAIRIFIAAYVWMTGFGNFSYYYIKKDFSLARFAQMMWRLNFFVALCCIILNNDYMLYYICPMHTLFTLMVYGALGIGHNYNEIRSVMALKIFMCFLVVIVIWEIPGVFDLIWSPFTFLLEYTDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYFHPNVEKLMEKLEESEPKRRRTIKTFIVTASVVVGYLWYEYIYKLDKVAYNKLHPYTSWIPITVYICLRNFTQELRNFSLTLFAWLGKITLETYISQFHIWLRSNMPNGQPKWLLSFIPDYPMLNFMLTSAIYVLISYRIFELTNTLKGVFVPTRDNQKLFYNLVAGAAISVCLYIISFILLQIPH >CDP04323 pep chromosome:AUK_PRJEB4211_v1:9:2107905:2113073:1 gene:GSCOC_T00017674001 transcript:CDP04323 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYMVKGLPSSIPSSSSPLLNASLPTAKMLSTMYARLGIKRREEEEEKMLLDLNIDADAAAAASVDSACDETDPFPCPVKTTAASTATLLTDHDSGASSSVLIIADEESNSSSPPTHNQPHHRCTTTTLNFSILNATGEDHIIQILDNDQDFDNDDHRQGLMTRQFFPVVPNNDPFPFPTTTTTTTARPPQWLKLSVPEAAAAGEIGGIYNKGQQQQQHQLGQPYQQHQVAGGGAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHTAARAYDRAAIKFRGVDADINFHISDYADDLKQMQNLTKEEFVHILRRHSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEHSAYEGEISGAAKDGARGDNLDLNLWISPASDGQKEIGDSKSSCGHYAASDDKMIKVENSFNTPEYLPMASQDSSVWTHTYSGFGPKNEERARKMRPEGIPIPGLSNWPWKMHCHGVITSVPLFPSAASSGFSTVTATSTTLVSTNAPNKIVHTSAFQHPPLTLNYN >CDP11231 pep chromosome:AUK_PRJEB4211_v1:9:6940505:6942125:1 gene:GSCOC_T00033342001 transcript:CDP11231 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEWSQDEVSQPTEEHRKETPPCTPQINNNESGAIQETATELKLPHNHDEILKDADAPVDKSSTEKLYDQLYAGVFLNQKKKASDSKYWIDRTSKKNCFMLYARNLSITWAEDRSCWHWPFIKESSDVTLVAAELLNVCWLEIHGWFNTVNLTPRTLYQVVFVLMLKDPAYGWGIPVNFRLILADGSRQEHKENMMEKPRGKWIEILAGEFETLPENDGNMECSMYEYEGGGWKRGLVIKGILIRPKD >CDP04224 pep chromosome:AUK_PRJEB4211_v1:9:2968715:2976518:1 gene:GSCOC_T00017548001 transcript:CDP04224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65410) UniProtKB/Swiss-Prot;Acc:Q9AT00] MAATPLVGSNMLSLNLCCKRDSFGSAKSFVMHGVKPLNLGSSGKRRIICGCTAPIRDFRPADADEFSATTINAKDSSKAESVSMVREQDETDVLIECRDVYKSFGEKHILRGVSFKIRHGEAVGIIGPSGTGKSTILKIMAGLLAPDKGEVLIRGRTRRGLISDEEIAGVRIGLVFQSAALFDSLTVRENVGFLLYENSNMPGDQISELVTDTLAAVGLKGVEDRLPAELSGGMKKRVALARSIIFDTTKDVVEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMKGESDVSKPGKIASYVVVTHQHSTIRRAVDRLLFLYEGKVVWEGMTHEFSSSANSIVRQFASGSLDGPIKY >CDP18928 pep chromosome:AUK_PRJEB4211_v1:9:7280597:7281370:-1 gene:GSCOC_T00011302001 transcript:CDP18928 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVNNIDSLHRNLSNNSFRPSQAPAWFSTLKSLTTLTLENGPLQGQVPPTTFYSTQFRIHQDITLDGESSMHKFNRKHILLSATETICNKLFNQPQVLYRLLQNPKLRKLCNFLSKSTFIYAV >CDP11278 pep chromosome:AUK_PRJEB4211_v1:9:6108325:6114626:1 gene:GSCOC_T00033422001 transcript:CDP11278 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPVLVLKDTLKRESGNKVHYANIQASKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDNHYHPTVICRAYNKALEDAIAVLEKIAMTVDVKDRATMLGLVKSCIGTKFTSQFGNLIADLAIDATTTVGVEVGQGIREVDIKKYVKVEKVPGGQLEDSQVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPLEYKKGENQTNAELLREEDWSVLLKMEEEYIENLCMQILKFKPDLVITEKGLSDLACHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVRKIGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIVKNPKLVPGGGATELTVSAILKQKSSSVEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTSLRGTHANGENAWIGIDGNTGAIADMKERKIWDSYTVKVQAFKTAIEAACMLLRIDDIVSGIKKKQAPGAGASSKPKVEEEGEAENEALIPE >CDP17595 pep chromosome:AUK_PRJEB4211_v1:9:7463879:7464522:1 gene:GSCOC_T00005101001 transcript:CDP17595 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVVVISLPFILFSILLGFGCYFLGRAKGRQDLRNNAQVFGVPAPPPGSGTSTAASGANAANSSYFAPQSTPPHQPFSSKSDKLSDMV >CDP04334 pep chromosome:AUK_PRJEB4211_v1:9:2021459:2027627:-1 gene:GSCOC_T00017689001 transcript:CDP04334 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNRLISRSRGCSAIILSSYPYSHSIRSFCFKSCESSGINFPPVVPSPSSTITPASPGPSVIPTAREGRNVQWVFLGCPGVGKGTYAARLSTLLGVPHISTGDLVRHELSSQGPLSSQLAEIVNQGKLLSDEIIINLLSKRLEAGEANGETGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRICSECGGNYNVACIDIKGEDGSPQMQMPPLLPPPNCESKLITRSDDTEEVVKERLRVYNEMSRPVEEFYRRRGKLLEFDLPGGIPESWPRLLQALNLDDNDNKESLAA >CDP04116 pep chromosome:AUK_PRJEB4211_v1:9:3937009:3943744:-1 gene:GSCOC_T00017415001 transcript:CDP04116 gene_biotype:protein_coding transcript_biotype:protein_coding MIMRYQRVIPDSLPLSNGRRTNSTSTPSWKTSKEVEDRAESNGHITTKTSSNFEGKGLTRFRSPSKASQDHHIGNGNGSAIGGDEAEVPNSPPTASNSRNHQNLDSNGVVGGGGNDNNTFLQWGHRKRSRCSRGMALTDDTSSSTSTIQSTKLQRRLVPPHSSSSPNSNANAMPPPSLPSSNGISRGSNHKTSSKSTSPSPVRRNLEERSGLVGSKSPPTGSGGGGGSRAVASRSRGGKRSPSLDKKTPCLSSASARDEKTNACSVAAAQQEEQNQEAAAAAAAVGDVNRIVSPPRQAGGCSINDDNNHDNTNAVRTAKITAAAGGGERLNNGGGSEVNEWPRIYIPLSRKEKEEDFLAMKGTKLPHRPKKRPKTIDRILQYCFPGMWLSDLTRGRYEVREKKCPRKKRRGLKGMESLESDSE >CDP04199 pep chromosome:AUK_PRJEB4211_v1:9:3148807:3149600:1 gene:GSCOC_T00017514001 transcript:CDP04199 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDKSPGVKILWIWTIGTAAVLVTNVARTRMRDMEQLINSQSQEQEQQQQNPHIIDSVITDQPSDPAFEAIREEKS >CDP14568 pep chromosome:AUK_PRJEB4211_v1:9:21250133:21254132:-1 gene:GSCOC_T00041096001 transcript:CDP14568 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCCILFSRCSCSLSFFCHFFSSFLFLFSFCPHQCCSVTNRRALSVWILCRPFAFPPPSSRAFSFFLSGELRSSLGAVSVVGCWFRILCRSPEFSSSSFAVIEASHLKTTYADHASRMYRRFVFADSHGVKVSAVAFDDNVARIIELKEEDEDLRSWYWNPPERVVGIISISGFGTLVSSLRFLEFILVDRVL >CDP11239 pep chromosome:AUK_PRJEB4211_v1:9:6712514:6716117:1 gene:GSCOC_T00033357001 transcript:CDP11239 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSLEDVSASGAFMRKPSTFRNAISRDPNSPFPAEAGRYHLYVSYGCPWASRCLACFKIKGLDKIISFTAVKISWARTKDTDEHMGWVFPASSTEEPGADPDPLNGAKSIRELYEIASSNYSGRYTVPVLWDKKTKTIVNNESAEIIRMLNTEFNDLAENPELDLYPSHLQSQIDEINRWIYEEINNGVYKCGFARKQEPYDEAVKKLYEALDKCEEMLSKQRYLCGGTVTEADIRLFVTLIRFDEAYAVYFKCNKKLIREYPNLFNYTKDIFQLPGISSTVKMDFIKKGYGSLSSNNPSGIIACGPDIDYSSPHDRSRF >CDP12596 pep chromosome:AUK_PRJEB4211_v1:9:8967672:8969279:1 gene:GSCOC_T00036268001 transcript:CDP12596 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSGGSLFSHLSFLILALAILQADASRIHDDYLKCLQSQNSNSVSTIAYTPRNTSYSSILQSTEQNLRPASTSLFKPTLILTPLHSSQIQAAIRCARKHEIQIRVRSGGHDYEGLSYASTSPFVIVDLRNISSISVDRENRTAWVEAGATLGQLYYKIGETSRELAFVAGACPTVGVGGHFSGGGYSMLSRKFGLSVDHIIDAKIIDVNGQILDRRSMGEDLFWAIRGGGGASFGIIIAWKVSLVSVPQKVTVFNLTKTSDQNATQLVHQWQHIADKIDENLLIRLFITSSNSPQTGKKSIVVSFTSLFLGGVDQLLSLMQKSFPELGLAKEDCIEMSWVESTVYFANIPGAASVEVLLDRNSSKSYFKGKSDYVKKPISVKGLEGLWKRLFAEEEEDMEAHFQLQFSPYGGMMSEISESATPFPHRRGNIFMIHYVAGWDEKGNAESKRHVNWTRRIYSYMAAYVSKFPRAAYLNYRDLDLGVNKIKGNTSYKQASIWGTKYFNQNFDRLVHVKTKVDPSNFFRNEQSIPPLS >CDP17297 pep chromosome:AUK_PRJEB4211_v1:9:10170496:10173496:-1 gene:GSCOC_T00003773001 transcript:CDP17297 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKVVSGDGMKRRLPLWMLGDHALNKSETASSNSRDDDDDKGSRADEERVTKCRRRPKWKSCEIGNDNDGLELEEKSTGVLRRCRVRKQTRRETISHDVDMDGEVAINQKKRKGRAKKSLEVDSNNDDLEGCVENREDEDLTMEDLMSIAKEFVQDDEVAQPVVSLKDEHRDKDAHSQHIQCGSSIRVPQGNTRSFQDRGTSSSSIAVENLTGEGPTCKPTMSGNPTQDMLELFLGPLLKKTQEQEKDGVPLAEEINFTREVKKQAYSVVLGEEEPPVVMKKKTSLKDKVAMFLD >CDP04521 pep chromosome:AUK_PRJEB4211_v1:9:313745:315641:1 gene:GSCOC_T00017946001 transcript:CDP04521 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVTTMRLKVDLQCSCSYEVKKILCKFPQIRDQIYDEKQNLVTITVVCCSPEKIRDKLCCKGGKVIKSIEIVAPPKPKPPEKPKEPEKPKPPPPIAVAVCCVLCSEGYGGGRCCCRNWRPVPPHACGCGYRYSHCD >CDP12562 pep chromosome:AUK_PRJEB4211_v1:9:9814069:9818362:1 gene:GSCOC_T00036207001 transcript:CDP12562 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWNNRRWIPRRRYNNNKRYQDYDYEEPPPSPPSPHHSQSNPPVMQDTSGSSWEIDFCKSVRVPWQKVVTTKKYMYCHENVVKWDDSAGEEAFHNAKRRYWEKINGLPCETPLPDQDVYIDEIDWNPNIDARLISDLDGEYFNPDETECAEKSESVTNNEGILDTEGDPGDNPWERGSSQRVDNQKGASSSWGQHYESIKLKNVNDPWKQSGSKAVEPLKNNAWKRRSDESLDWNQESNYNKQSSNANIIPQNSWSRGFDLGCGGEKRQRQDYWTRGWKQWTSSGHEPQRSGNAVITSLNNFASQTGAPWNRGWTNWEEKQLTRNDSGGHSFSSCRKRGGSFQHGPRYKASRFETNDHVMAYQWQREKSQKTVHFYV >CDP04441 pep chromosome:AUK_PRJEB4211_v1:9:959241:967357:-1 gene:GSCOC_T00017834001 transcript:CDP04441 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRF8 [Source:Projected from Arabidopsis thaliana (AT4G22130) UniProtKB/TrEMBL;Acc:A0A384KGH4] MEGKKIKSCCIRSPLHLFVVILVAILSGLLAVDGNTDQNDLTALQVLYNVLNSPPQLTGWKSNGGDPCAESWKGITCQGSAVVSLQLSGLGLNGTMGYSLNSLMSLKTLDLSGNMIYDPIPYQLPPNLTSLNLAYNNISGNLPYSLSGMAALSYLNVSHNSLSQSIVDVFNNHSDMAVLDLSSNNLTGDLPQSFISLSNLSTLYLQNNQLTGSLDSLVGLPLTDLNVANNNFSGWIPQELLSIPKFKYNGNLFANGPAPPPPPYTPPPPGRFRNRSSPAPGAPKDSKNHPTHPNTGNGDKGLKAGPIVGIVLGSSVVAFLALIALVFCRRKGKKKEIAARPSSGSLSASTVNKEMQEQRAKSTATAVDLKPPPAEKVMVEKIPGRNGSMKRAKSPITATSYTVATLQTATNSFTQENLIGEGSLGRVYRAEFPNAKIMAIKKIDNAALSLQEEDNFLEAISNMSRLRHPNIVQLAGYCAEHGQRLLVYDYIGNGSLHDMLHFADERSKMLTWNARVKVALGTARALEYLHEVCLPSVVHRNLKSANILLDEELTPHLSDCGLAALTPNTERQVSSTQMVGSFGYSAPEFALSGIYTIKSDVYSFGVVMLELLTGRKPLDSSRPRSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLMQRASVVKRRSSDESSFAYRTPDHETIDFS >CDP06297 pep chromosome:AUK_PRJEB4211_v1:9:17475332:17476963:-1 gene:GSCOC_T00023061001 transcript:CDP06297 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLILKGLGSRVTEKIGQLQALRKLSLHDNAIGGAIPSSLGLLPNLRGVQLFNNRFSGSIPPTLGLSPLLQSLDFCNNSLSGTIPATLANSTRLFRLNFSYNSLSGSIPTSLTQSQSLIFLALEHNNLSGSIPDSWASLGNLSELQEISLNHNNMAGLIPNEIGRLSRLRTLDFSYNALNGSLPAALSNLSNLVVLNLESNHLDHQIPAAVNKLQKLSVLNLRNNQFAGPIPAIVGNISFLTQVDLSQNKFSGEIPASIGDLPNLSSFNVSYNNLSGPVPTKLAQKFNASAFVGNLELCGYSAFTQSGEAGGKIVHFDGPMVFTADDLLCATAEILGKSTYGTVYKATLEDGSVAAVKRLREKIAKGQREFETEVNIMGKIRHPNLLAMRAYYLGPNGESFSLDLLPFSFHSLIASNLFSPNMSLQYSN >CDP04427 pep chromosome:AUK_PRJEB4211_v1:9:1093098:1094935:1 gene:GSCOC_T00017814001 transcript:CDP04427 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLSPCLVLSWILAIMCYFHIITQAYQTKSTQNISSFPNSYPSEVGSGFNVIDSCWRKDSKWASNRQKLADCAKGYGADARGGKNGAIYVVTDPSDHPIHPKKGTLRYGAIQKRPLWIIFKRDMSITLEGGELFISSYKTIDGRGARVEIANGPCLRLDNVSHVIIHGITIHNCLRGRLDLVRHGIRVTSSSKIWIDHCYLSKCGDGLLDITQGSAAVTVSNNYFTQHNKVMLLGHSNMYLKDKKMHVTVVFNHFASGLGQRMPRVRYGYAHVANNKYDEWGFYAIGGSSHPTILSEGNHYTARNDPRTKEYVV >CDP11246 pep chromosome:AUK_PRJEB4211_v1:9:6662050:6667744:1 gene:GSCOC_T00033366001 transcript:CDP11246 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQRRRHRYGAHICALLAAVLLLLSVTLLYSRINFFQSHSTGPHQHSYSQYDGVSLTDNPLVEDSTDSLDHASNSDDLIDEQDDAVLNTKYSNSNGDDFLLGGESEEDSKSSSSSSSSSSLYYFDHQNGVVRRGFNKKSIEEWEDYVSFESKLGLELGFSNRGSKTAFGSDDIPVDEKTRKKLTEVKGIEDALLLKGSKLREGWGEWFDKKSDFLRRDRMFKSNLEAVNPMNNPILQDPDMPGVTVLTRGDKLVQKGMLNEFKKVPFLVKKPLAFENKQTVEKDENVEVQKDEMKKIKMNKRKTLDNANSQSSYTRKNEIKNNGSGNHGYIVKKVDNRKDLNGNGEKREGKNVKNEFSGLVFADGRRWGYYPGLDSRLSFNNFMDAFFRKGKCNLRVFMVWNSPPWMFSVRYQRGLESVLYHHPDACMVVFSETLELNFFNSFVEDGFKVAVVMPNLDELLQDTPTHIFASVWYEWKKTKYYPIHYSELIRLAALYKYGGIYLDSDVIVLKKLSLLNCTVGLEDEQKENTLSGAVMAFRKHSPFIMECLTEFYASYDDTQLRWNGADLLTRVALNFSSNKNPFDKRMELSVLPSFVFFPISRSNISRYFSAPATDTERTEQDFLLNQILNKSVTVHYWNSLTSSLIPEPESLVSRLINQPCIRCSDVL >CDP06292 pep chromosome:AUK_PRJEB4211_v1:9:17681344:17682581:1 gene:GSCOC_T00023054001 transcript:CDP06292 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVGQYDPSGYFLVEDVFCNDTREPSAIDYSKPIFKWLEHCRNDALEKWEFIVAGEQQQHQKQKAFLDNNEKLQLPRFKAVHMQKTRFCDLGFRLGAGYLYCHQGDCKHLIVIRDMRLIHPEDVQSRASYPLITFQSKLRFRKCSICKIYKATKVTVDDKWALENPCYFCDLCYYMLHYANGSLLYSDFSVYDYLHE >CDP14546 pep chromosome:AUK_PRJEB4211_v1:9:21658386:21664029:1 gene:GSCOC_T00041065001 transcript:CDP14546 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSWRFSFTITIAPPCFSNKRKYSAAATTTTTSGYGFYCRALEDQQQTQLTSTTLSGSQNKKKVVIVGSGWAGLAAAHHLCKQALDVTVLEGGYEFGPKNRTLAPDDVGIRGFWYPYKNIFNLVDELDIKPFTKWTTSAVYSGDGLEVKFPLFQEVPQLPTPFGTLLYTQLARLSMVDMLTSLPLMAAVIDFDNTDAAWEKYDPITARELFRQFGCSERLYRYVLDPLLQVGLFAPAERCSAAATLEILYYFVLAQQRHFDLVLCRGTVKDKIFRPWMDSLKSQGCKFLTGRKVTDITIDEETGCISEVVCGKESLKADANSSYFSWLLFSNTSAALCTREEFLKVLNLDSIDLLTVKLQLDRKVSIPNASNVSSRFDDSTGWTFFNLNMIYDEHNDDLGTVVQADFYHANDLLPLKDEIIVKRVMSCLSKCIGDFEKAVVVDKDIERFPKYLPHFFPGSYKYMMRGSTSFPNLFMAGDWIISRHGSWSQEKSFVTGLEAANRVVDYLEVGTFAKIIPVEEDEPHIQAFRSLNRNLDELRGQLPWSDYFLQ >CDP04258 pep chromosome:AUK_PRJEB4211_v1:9:2653009:2656655:1 gene:GSCOC_T00017589001 transcript:CDP04258 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLGDDKTAKDYNIEGGSVLHLVLALRGGAF >CDP04470 pep chromosome:AUK_PRJEB4211_v1:9:724318:726404:1 gene:GSCOC_T00017875001 transcript:CDP04470 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQNQNTSLQRLQNVEKRIVRVLELASSVMDEWASPSGPRKELVNNHCSEFMQLIKDIQVTLREEIKSACEYRPFEKCDYVPRISNEICCKKLDHVIAQLDEMKHTIEGYHTTA >CDP04409 pep chromosome:AUK_PRJEB4211_v1:9:1256351:1257291:-1 gene:GSCOC_T00017788001 transcript:CDP04409 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSSFVACFSYSRRVGCEGEDGSSQNKSSKVQKSGSEKMKKKSDAAPIPLSYFPVGSRSSLL >CDP04391 pep chromosome:AUK_PRJEB4211_v1:9:1469418:1474270:1 gene:GSCOC_T00017766001 transcript:CDP04391 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNNQENQVHDAKQQQPSEQPASEHITTIDSKTEAILSDISLPRFRRLWWATGAELKTLFQIAAPACIVYLLNSVMSTSTQVFCGHLGNLEFAASSLGNNGLSVLAFGLMLGMGSAVETLCGQAYGAKKYDMLGIYLQRAAILLVATAIPLMIAYIFSKPIFLLLGQKKEVASAAAVFVYGLIPQIFLYAFNFPIQKFLQAQSIILPSAVIAAVALAVHMLLTWFALFKFGWGLLGAAFVLSFSWVLIVVPQFVYILVSDRCRKTWNGFSMQAFSGLWSFYKLSAASAVMLCLECWYFQIMVLIAGLLPNPEVALETVSICLTINGCMFMISSGFNAAARQEFFSSPLLWNLIKNSSSYSYSVRVSNELGAGHAKAAAFSVLVVTASSSLIALTVAILVLAYRHVISYAFTSGKQIAIATSELMPILAISITLNGIQPILSGVAVGCGWQKFVAYVNVGCYYGVGVPLGTLLAFKFKLGAKGIWSGLSGGMAMQTLILIWVTCRTNWDAEVEKARKRLEKWNDQTEGSSSSGGNVSS >CDP12597 pep chromosome:AUK_PRJEB4211_v1:9:8947950:8948903:1 gene:GSCOC_T00036269001 transcript:CDP12597 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLFWAIRGGGGASFGIIIAWKVSLVSVPQKVTVFNLTKTSDQNATQLVHQWQHIADKIDENLLIRLFITSSTSPQTGKKSIVVSFTSLFVGGIDQLLSLMQKSFPELGLAKEDCIEMSWVESTVYFANIPGAASGEVLLDRNSSKSYFKGKSDYVKKPISVKGLEGLWKRLFAEEEEDMEAYFQLQFSPYGGMMSEISESATPFPHRRGNIFMIHYIAGWDEKGNAESKRHVNWTRRIYSYMAAYVSKFPRAAYLNYRDLDLGVNKIKGNTSYKQASIWGTKYFNQNFDRLVHVKTKVDPSNFFRNEQSIPPLS >CDP04190 pep chromosome:AUK_PRJEB4211_v1:9:3202058:3204386:1 gene:GSCOC_T00017503001 transcript:CDP04190 gene_biotype:protein_coding transcript_biotype:protein_coding MNASVAPAAVSASSASVLALFSTFTSSSPTTKCCHHLIFHSSKKPPTNASLPQHRPNKFLSRVINDSNQTEVSVSERSEADKLVDGMDFGELCNEFECISSPSVEATARQLVRDILELRQGNRALGTFAASAKYKDPFRSFTGREKYKRPLWAIQALEDPKVSVQEMVMLSTSLLSIKWTLRGKPKSLIGEELIVKVHSKFTLNQISGQVIEHEELWDLSASSFLARAYFWASRRLHATSEAGKDVIDFVKDFNRRRTTENENVEMYPDPSGDPTKFFQRDDGFQRDAYQFALFLAVLYFVVQFLRTTL >CDP04536 pep chromosome:AUK_PRJEB4211_v1:9:226126:230073:1 gene:GSCOC_T00017963001 transcript:CDP04536 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g47680 [Source:Projected from Arabidopsis thaliana (AT5G47680) UniProtKB/TrEMBL;Acc:Q9FGI9] MESESPQQPDHNAGPVESPEPLSKNARKKLLKQQRWEAKKAEKKAMMKEHKKREAERKKREWEEKLGSLGSEEERQKLIESRKGLRKERMEKRCEEKESKLERLNNARVDGQNIVIDLEFSHLMNPAQLNSLVQQIMYCYAVNGRCPCPAHLWLTGCQGEMQSQLQRLPGYEKWVIDKESRPYVEAFQHQKDNLVYLTADSETELDDLDPKKVYIIGGMVDRNRWKGITLKKAKDQGIQTAKLPIGNYLKMSSSQVLTVNQVVEILLKYLETGDWKASFFQVIPQRKRCGTGSEDNEHDTEEEVDGEGDELEMKRQCIETQGP >CDP05633 pep chromosome:AUK_PRJEB4211_v1:9:12313450:12320375:1 gene:GSCOC_T00020800001 transcript:CDP05633 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAREKLRFCIDRGGTFTDVYAEIPGHSEGRVMKLLSVDPSNYDDAPVEGIRRILEEYTGEKLSRSSKVPTDKIEWIRMGTTVATNALLERKGERIALCVTQGFRDLLQIGNQARPNIFDLTVSKPSNLYEEVIEVDERVELVPDDQKITPDSSAYIIKGISGELVKVTKPLNEEALRPLLESLLKKGISCLAVVLMHSYTYPDHEVFVERLALSLGFRHVSLSSALTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSKFDEGLGKLNVLFMQSDGGLAPESRFSGHKALLSGPAGGVVGYTQTLYGLETDKPLIGFDMGGTSTDVSRYAGNYEQVIETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFRVGPESVGAHPGPVCYRKGGELAVTDANLILGYVIPNYFPSIFGPNEDQPLDINATRAAFEKLAKQINSYRKSQDSSAKDMTVEEIALGFVKVANETMCRPIRQLTEMKGHETSNHALACFGGAGPQHACAIARSLGMKEVLIHRFCGILSAYGMGLADVVEEAQEPYSAVYGPESLLEVSSREANLLKQVKQKLQEQGFREGNIKTEEYLNLRYEGTDTAIMVKSPVNEDGSRGDYAVEFVKLFQREYGFKLQNRNIHICDVRVRGIGVTNILKPRALDAAMRTPQVGGYYKVYFGDGWQDTPLLKLEELGCGHVIPGPAIIINGNSTVIVEPKCKALITKYGNIKIEIESTSAGNKASGNVADVVQLSIFNNRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSSVRWQLKYWGNDLHEGDVLVTNHPSAGGSHLPDITVITPVFDKEKLVFFVASRGHHAEIGGITPGSMPPFSKAIWEEGAAIKAFKLVEKGIFQEEGINKLLKFPGTDGSAYNIPGTRRLQDNLSDLHAQVAANQRGISLIKELIEQYGLETVQAYMSYVQHNAEEAVREMLKSIASSVVSESTKSKEGDSVTIEEEDYMDDGSVIHLKLSIDGKKGEAFFDFSGTSPEVCGNWNAPEAVTAAAVIYCLRCLVNVDIPLNQGCLAPVKIYIPPGSFLSPSDKAAVVGGNVLTSQRVTDVILAAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGASWDGTSGVQCHMTNTRMTDPEIFEQRYPVFLHQFGLRENSGGAGLHRGGDGLVREIEFRRPVVMSILSERRVHAPRGLKGGKDGARGANFLVTKDKRRVFLGGKNTVQVHAGEILQIFTPGGGGWGSV >CDP17305 pep chromosome:AUK_PRJEB4211_v1:9:10318266:10323117:1 gene:GSCOC_T00003783001 transcript:CDP17305 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNLLFEQDQNWEVALKSFKSARAVYEELGKYGDLENQVLCRERVEELEPSIRYCLHKIGESNLQTSELLRIGEMEGPALDLFRAKLEAVMSEARSQQAASMTEFHWLGHRFPISNAKTRVSILKAQELEKDIHGPKADSLPADKRLATFDKIFAAYNEARSCIRNDLASAGNSESMKDDLSGLDKAIGAVLGQRTIERNQLLVSIAISKLNKVRDDKNEKVTKPEELVRLYDLLLQNAADLSDLVSSGRDRKPEELAFAEECELKSLVFRAERCFYLAKSYSLAGKRTEAYALYCKVRFLADTALKELQNLKTADQAVIKELQTLQKESRSNSCIEHAIAIMEEEQAPEKLSQKISTISLTGKDKKLEKFLMDNLDVYESAVDASVKSMSRIERFPPSFQAAARSPIVLDLAYNLIECPSLENRTKKDKKSFLGRLWR >CDP04123 pep chromosome:AUK_PRJEB4211_v1:9:3853827:3862800:1 gene:GSCOC_T00017424001 transcript:CDP04123 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKETLETHRPHTFLRESDEAAAFSSSSSSDSAGSVRARFEKMIRDAQDSVCAAIEAVDNGSKFKEDVWSRPGGGGGISRVLQDGAVWEKAGVNVSVVYGVMPPEAYRAAKPSSDNGDIKPGPIPFFAAGISSVLHPHNPFAPTLHFNYRYFETDAPEDAPGAPRQWWFGGGTDFTPAYIFEEDVKHFHSVQKSACDKFDPSFYPRFKKWCDDYFYIKHRGERRGLGGIFFDDLNDYDQEMLLSFATECANSVIPAYIPIIEKRKDTPYTERNKAWQQLRRGRYVKTDDAPPPKIYIIVKQNWKIYIFRGINFFVDFLHSSSWSMSSFKTVNNYFPICLISVIGNCFLLHDLSWMKSSLGSCWNAPGAPRQWWFGGGTDFTPAYIFEEDVKHFHSVQKSACDKFDPSFYPRFKKWCDDYFYIKHRGERRGLGGIFFDDLNDYDQEMLLSFATECANSVIPAYIPIIEKRKDTPYTERNKAWQQLRRGYYVEFNLVYDRGTTFGLKTGGRIESILVSLPLSARWEYDHKPEEGSEEWKLLDACINPKEWL >CDP18185 pep chromosome:AUK_PRJEB4211_v1:9:4566937:4569428:1 gene:GSCOC_T00007086001 transcript:CDP18185 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYCLGLGRKTAEEKTYTYYKPHPNPKLGTCSVLQLPISVQVDSESRQASPFFSFSPNLHQKKKCHEGMIAAQLSSPQKVVSIRSSMQWKPLEMRVVQLESCLKMGLCW >CDP05658 pep chromosome:AUK_PRJEB4211_v1:9:13023129:13027677:1 gene:GSCOC_T00020839001 transcript:CDP05658 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFFHLGFLFLSLLLACLGISTRDITTDQSALLALRSQITSEPYQMLVNNWSVSSSVCQWMGVTCSSRHHRVIALNISNMGLIGTIHPQVGNLSFLISMDLSRNNFHGELPEGLSHLGRLRVLNLTINNLSGKVPSWLGSFQNLQYLSLANNSFTGVIPPAICNLSTLKSLSLSFNSLSGKLPIEIGNLQSLKKLSIEYNYLSGSVPSGIFNISSLEILSFLSNGLSGSLPVDMCSRPRRIKWLNLSVNKLSGQIPSTWFHCSQLQMLSLSINQFTGTIPNEIGNLTALEVLYLGPNNFRATIPEQFCNLHRLKQLWINEASLTGSIPAQLFNISTLLLLVLNDNILSGNLPLSNRQRLPNLEVLSARGNELHGVIPASISNASNLYYLNLARNKFNGPIPDSLGDLRLLEHLNLGQNYFTCEPSILELSFISSLTKCKYLEFLGMNDNSFNGSIPKSIGNLSHSIEKIFAYESELKGSIPDCFGNLSNLLLLSLDGNHLTGSVPSTFKSLQKLQALSLENNLLSGPLPHHFCDMSSLYIVAIGQNQISGSIPSCLGNVTSLGYLLIDQNLLNSSIPANLWNLSDLLELDLSSNSLSGSLPEEMQNLKAATRLNLSENDISGNIPSSIGGLQNLLNLSFAQNKIEGSIPNSVGNMLSLQCLDLSHNDLSGVIPKSMEALSYLSYINLSFNNLNGEIPSGGPFKNFTSQSFMSNAALCGAPRFLVPLCSPPPLHRSTKKKVLQVIYLVSGIAVALIAFIVAIISIRYRRKNNVPTAVSWTPLGKAERFSYYELLRATDGYNESNLLGTGSFGSVYRGTQIDGTQVAVKVLRNLRHRNLTKVIGSCTNHGFKALVYEHMPNGSLEKWLYSHNHYLNLIERMSIMIDVANGLEYLHHGYTTPVVHCDIKPSNILLDEGMVAHVSDFGLSKLLSQEDCNLHTKTLATLGYIAPEYGAEGLVSTRSDVYSFGIVLMETFSRMKPSDQIFVGDLSLKKKLESIYLILELALSCCNERPRDRTSMNDVVVSLEKIKYQLVSVCSDQM >CDP12582 pep chromosome:AUK_PRJEB4211_v1:9:9372549:9373349:-1 gene:GSCOC_T00036244001 transcript:CDP12582 gene_biotype:protein_coding transcript_biotype:protein_coding MSWELFCVPFLLERYILKEAHLSHVTMLLLTMSRLRPVILTLWNEFEAIEGADIMANIAQNPVLICVRLRVTTDNYLSLSTQFSSVILVSPIVQEAGNLRTWFQANKSDLTQMVHERSYANPRVLVPPVASSRISQISFIAQATKFASLLLHSCWNYSLSL >CDP17293 pep chromosome:AUK_PRJEB4211_v1:9:10088541:10089899:-1 gene:GSCOC_T00003767001 transcript:CDP17293 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTALCLLCFLYLTYYLYKLVLQRTNHCCYMLGYECYKATEDRRLDTELCASIVFRNKNLGLEEYRFLLRTIVKAGIGENSYAPENVIRGKEERPELIDSLTEMDQIFFETLDNLFAKTRISPQEIDILVVNVSLLSCVPSLTARVINRYKMKSNVKAFNLSGMGCSASLVAIDLVQQLFKTYNNAFAIVVSTESLSANWYCGNEKSMILSNCLFRSGGCSMLFTNNKALKHRAILKLKCMVRTHYGSNDEAYDCCIQMEDGHGNRGFRLTKKLVNAAAQSFTINLRVLGPKMLPLWEIIRYIILSFAQRRKSKRASLDEELTAAIPNLKTGVEHFCIHPGGKAVIDGVGKSLGLTDYDLEPTRMTLHRFGNTSAGSLWYVLGYMEAKKRLKKGDRIIMISFGSGFKCNTCIWEVMKDMKDGNVWKDCIDEYPPNAVVNPFMEKYGWLDR >CDP04514 pep chromosome:AUK_PRJEB4211_v1:9:388834:391365:1 gene:GSCOC_T00017934001 transcript:CDP04514 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPSIYAPSPRSALPFRLNLVSFPSSLLSLSLINKELGLILEEVLRGYSRGEVVVNSGPMKIAVDRCRSAKCKACMALGFACMLLPLLFAVDHYQASESVIETCNGIQEQAFSEENKASSTARKLLAFSGNGTGEDIGDAGDDDGYGGDGDSDSGGDGGLADRIGGQGPSCSKDNILVFQGQTKRMFNGIPTYTVEVQNVCDSASCSISNIHLSCGWFSSARLINPQIFRRLGYNDCLVKDGQALNPGESLTFQYANSFSYPLSVSSVAC >CDP06318 pep chromosome:AUK_PRJEB4211_v1:9:16425823:16427937:-1 gene:GSCOC_T00023095001 transcript:CDP06318 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFAESLSFDHSFFFFQFFLFFSFLFLSFTGFVILLNSICETACIYLYEEIEGCCMISISVCSTGISCV >CDP19007 pep chromosome:AUK_PRJEB4211_v1:9:19483581:19485727:1 gene:GSCOC_T00003714001 transcript:CDP19007 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGIQQSAFAGQTALKPQNELLRKVGSFGGGRFSMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLIHAQSILAIWASQVVLMGLIEGYRVGGGPLGEGLDKIYPGGAFDPLGLADDPDAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPIQNLIDHLADPVTNNAWAYATNFVPGK >CDP04282 pep chromosome:AUK_PRJEB4211_v1:9:2419120:2419732:-1 gene:GSCOC_T00017621001 transcript:CDP04282 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLCCHLSFCILYIFRNLIRNPAPLRCPVFLNGEKSTCLMFQNFRDTLRPLARTALSCSGLALEF >CDP05672 pep chromosome:AUK_PRJEB4211_v1:9:14638547:14640832:1 gene:GSCOC_T00020863001 transcript:CDP05672 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFKQAKPYLAVIFMQFGYAGSAIISKSALNKGMSHYAFAIYRNLFAAAVFAPFAVVLERKVRPRMTISVLWKIILLGLLEPVIDQNLYYAAMKYTTATFAVSMTNMLPALTFLLAWILRLEEVNTRRLHSQIKIAGTIITVGGAMIMTLVRGPAINLPWTRADANVQSPAAANPQDPIKGALMISAGCFCWASFHILQAMTVKSYPACLSLTSMICTAGALQGTIVTLVAERGNPSVWSIHFDTILMSYVYSGVVTSGVGYCISGIIMRAKGPVFVTAFSPLSMVIVAFMSTFILAERLTFGRVFGAISIVTGLYLVIWGKCKDQISPSKSTNVDETDPIDEQLPDKNLTTKSSNDEKNDATKGDIAGGDNAV >CDP11281 pep chromosome:AUK_PRJEB4211_v1:9:6067983:6068677:-1 gene:GSCOC_T00033426001 transcript:CDP11281 gene_biotype:protein_coding transcript_biotype:protein_coding METGKVVVERVGPSQTDAVWIYTITYGGGIVSGDSIKCDISVGDGCTTVLTTQASTKVYKSVESKCSEQVLEVITILLDE >CDP12624 pep chromosome:AUK_PRJEB4211_v1:9:8445866:8450537:-1 gene:GSCOC_T00036313001 transcript:CDP12624 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGHGPYLYSTNNFAGRQIWEYDSNAGTPEEREAFEKAREEFTKNRKKGVHPCGDLFMRMQLIKESGIDLLSIPPIRLGENEEATYENTTIAVKKALRLNRAIQASDGHWPAENAGPMFFTPPLLIALYISGAINTILTKEHKKEMIRYIYNHQNDDGGWGFYIEGHSTMIGSALSYVALRILGEGPDDGNGAVARARKWILDHGGATGIPSWGKTYLSVLGVYDWDGCNPVPPEFWLFPEFFPYHPAKMWCYCRTTYMPMSYLYGKKYHGPLTDLVMSLRQEIHVKPYDQIDWNKARHDCCTEDLYYPHSRIQDLLWDTLNYCTEPIMRRWPLNKIRERAMDKAIKYMRYGAEESRYITIGCVEKSLQMMCWWAHDPNCDEFKYHLARVPDYLWLAEDGMKMQSFGSQVWDCALATQAVMATGMVDEYGDCLKKAHFHIKESQVKENPKGDFKSMYRHFTKGSWTFSDQDQGWVVSDCTAESLKCLLMLSQMPTEISGKKADVERLYDAVNVLLYLQSPESGGFSIWEPPVPQPYLQVLNPSELFADIVVEQEHVENTASIIQALLLFKRLHPGHREKEIEIAVAKAVSFLEGKQWPDGSWYGYWGICFLYGTMFVLAGLVVAGKTYSNSEAVRKAVQFYLSTQNEEGGWGESLESCPSMKYTPLEGNRTNLVQTAWAMLGLMHGGQAERDPTPLHKAAKLLMNAQMDDGDFPQQEITGVYMKNCMLHYAQYRNIFPLWALSEYRKRVWPSQCL >CDP12591 pep chromosome:AUK_PRJEB4211_v1:9:9213862:9215478:1 gene:GSCOC_T00036257001 transcript:CDP12591 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTMFPFLLLLVLFPFFTSISQPFCVAASDSIYDNFASCLTKNGIPSGQISNILYSPTNTSFNSVLQAHVRNLRFNTSSTRKPSIIVAPLEIPHVQAAVLCTKGAGLELKIRSGGHDYEGISYVSDVPFIILDMFNLSSITVDIPSESAWVQAGATVGELYYRIWEKSNAYGFPAGLCPTLGVGGHISGGGYGAMLRRFGLSVDNVLDAQIVDVKGQVLDRKAMGEDLFWAIRGGGGASFGVVLAYKLKLVQVPEIVTVFNVQRTEAENATDILVQWQNVADKIDNGLFIRVLVQPSTSESQKIIRLTFIALYLGDSDSLMSVMNAGFPALGLQKSDCKEGSWIQSMLFWSNFDIGAKPELLLNRTSDVNFLKRKSDYVQTPIPKDALTSIFNKMVQLGKPGLVFNPYGGRMSEIPANETPFPHRAGIIYKIQYSVNWDDASPNITNQYLEQARELYSFTTPYVSSNPRQAFLNYRDLDIGTTDNGKNSYSEGEVYGRKYFKDNFDRLVKVKTVVDPENFFKNEQSIPTLPARSFR >CDP04206 pep chromosome:AUK_PRJEB4211_v1:9:3100733:3101288:1 gene:GSCOC_T00017523001 transcript:CDP04206 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQADRSGVEKGGHAKLECPHCKTTAPDLKSMQIHHDARHPKIPFDESKLNNLHASNSGAAAAAAEPNKPKPGVRGSFKK >CDP05607 pep chromosome:AUK_PRJEB4211_v1:9:11135055:11136878:-1 gene:GSCOC_T00020752001 transcript:CDP05607 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVQQLRPHVLIFPFPAQGHVNSMLKLAELLCLAGINITFLVSNNIHNRLLRHTNVVSRFSKYPGFHLDHYPDAYDESKVHIAQEIMDLCTALQSVVKPFLKELLSKDPAHGTRKDRPPFSCIIVDGFLSLALDVAEEIGLPLICFRTISAGAFWTYFCIPRLVEAGELPFPGDEMNLPIENVKGMEGFLRRCDLPSFLRVGDLTNPDFNLLLKEALQTPRAKGLILNTFEDLEGCILSHFRTHCPNLYTIGPLHAHLRARLQLQEANSNNSNSNSLWEEDKSCIKWLDNQPPKSVLYVSFGSIAIITRETLLEFWHGIVNSGVRFLWVIRPNSVNVGLGDDVLDSTKSKSKSTPEMELEEATKERGCMVGWAPQEDVLAHPAIGGFLTHSGWNSTLESIAEGVPMICWPCFADQQPNSRFVGEIWKIGLDMKDTCDRVIVEKMVRDLMVERKDEFLHRADEMAKLARKSIEEGGSSFCNLVRLIDDIVKG >CDP04445 pep chromosome:AUK_PRJEB4211_v1:9:944857:945460:1 gene:GSCOC_T00017838001 transcript:CDP04445 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYFCHKSVCKFTGIIGKFSSRLYWNACGGNSGTETAEEVSRGEAQRCWLEGLPVFINLMLL >CDP12641 pep chromosome:AUK_PRJEB4211_v1:9:8122788:8122922:-1 gene:GSCOC_T00036344001 transcript:CDP12641 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGKPQIKRIENATSRQVTFSKRTRVLLKKAFELSVLCDAEDY >CDP04250 pep chromosome:AUK_PRJEB4211_v1:9:2716416:2719049:1 gene:GSCOC_T00017581001 transcript:CDP04250 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFEGKRSGQIPAFGNWDSANELPITQYFENARQAGLVRCNVSALTTDNQHQYCNPYMTSARASGGGGYPIDLCAADSQKPSVRLVAVPPRRIAAGGGGGGAVNTTNNNGQGQGNGRRRRCGRPPQAKEQHRIKQEEVRFKVYDVVDVKQQQMPRGGPRPRTRSQQLFYYNHHNNNFDQNQKKQHLPKHYAAAAAAAADGNPILPHHRPSTTAAATTVAATAVNVKPVDEDLYKIPPELLLQTSKRKKMLGFFSKCLAPPCKA >CDP04261 pep chromosome:AUK_PRJEB4211_v1:9:2590065:2596236:-1 gene:GSCOC_T00017592001 transcript:CDP04261 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCSSLRRNNRREFSAALVLDPFLLLLLLFFFQLVLFSQRSLADNSASLNVTKYRQVSSLRLARIERHLKKINKPAVYTIESPDGDIIDCVHKRKQPALDHPLLKNHKIQRVPPEMPKAKMMKTDGVRDTNTSSSGTRGRAWQYWHHSGQRCPKGTVPIRRSSVNDVLRAKSLYDFGKKQRKVSLARQADAPDVVSGNGHEHAIAYTGTSQEVYGAKATINVWDPTIEVVNEFSLSQIWVLSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYQATGCYNLLCSGFVQTNSRIAIGAAISPISLANANQYDITILIWKDPKLGNWWMGFGDNTLVGYWPTELFTHLADRATMVEWGGEVVNSRPNGEHTSTQMGSGHFADEGFGKASYFRNLEVVDSDNSLSSAQAISTLAENSNCYNIKSSNNNEWGTHFYYGGPGRNSLCY >CDP18184 pep chromosome:AUK_PRJEB4211_v1:9:4562389:4565541:1 gene:GSCOC_T00007085001 transcript:CDP18184 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAKLRCVTLDVTGTLIAYKGELGDYYCMAAKAVGLPCPDYKRVHEGFKLAYTDMAKKHPCFGFAEKIPNIVWWKSCVRDSFVKAGYDYDEETFERVFKRIYASFGSSAPYSIFPDSQPFLRWLRKKGLKVGLVSNAEYRYQDVILPALGLNQGSEWDFGVFSGLVGAEKPDPRIYEIALEKAGNIPPEEALHIGDSMRKDYLPAKSAGMHALLLDRFKTPDAENWRKSGATVLPDLVATQEWLTNSERLSC >CDP04288 pep chromosome:AUK_PRJEB4211_v1:9:2391631:2392224:-1 gene:GSCOC_T00017628001 transcript:CDP04288 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQASRSGFESEAPDHSSQTPLRPCMTPMRDHEVHDGGWTPVHDRAWNSNPTVGVTAGLSGNWV >CDP06324 pep chromosome:AUK_PRJEB4211_v1:9:16230067:16231806:-1 gene:GSCOC_T00023111001 transcript:CDP06324 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQQLLQQTSPPTCKSLDCSDLIPQCTKKHFCLKVIILTRSQWLLVLEYSAINSVFWSLIMNVTLILNIQSRCSLHWNLLCSSFCPACHCLLDASLTSIILLLIVYHCTTFLCYNLITRPLICLI >CDP06340 pep chromosome:AUK_PRJEB4211_v1:9:15341750:15342998:-1 gene:GSCOC_T00023143001 transcript:CDP06340 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAMAQLLLNTLYYKRFFAYYAFMFWGSLMVRGGGVSSHVTPLDPMEGLDISPSPRLLLAKLSVCCYDLAKTCFTSATERDIYTGDKLEIFVLNAEGTRREYMELSKD >CDP11232 pep chromosome:AUK_PRJEB4211_v1:9:6938524:6939720:1 gene:GSCOC_T00033344001 transcript:CDP11232 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQRNDYFSPHWKRDPSFIEGPDSAGSFSIPVQALNIAWGRDHRYWRWITLGEDENRSVGFEQAVLLDQVNWFEVTGMLDIPCLNLAVHTTYRLYYVVKFLPGAFGWHTADVKFMVKFKNTQEIMSTELIILETYKKQPDQWHEIPGGKPFVVNDEVLITTVEFGMFEVETDWWKGGMVLGGVKVKPDNPPATEQQ >CDP05652 pep chromosome:AUK_PRJEB4211_v1:9:12871495:12872837:-1 gene:GSCOC_T00020831001 transcript:CDP05652 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRVHSSPDLTPSASEDDYDDSGLEGVAANVKLLLKLIQDHNNACHKQKNDGRRKLRVATMMTILDNVRTRIQKCQFVGNKRSEAELRRCNTDVQARDVTRESKHGGDLVADEQEKLRKALNASLAARKSLEAMCSSLGKEKEIMESELAKKNHEVNEMEELISDLKEQNETLLEKVKECASEHRDYKKSAVGGAGLVVGAEITQGHTNAILQERNRALSEHLLRSLDGYRSIKRKLKEAVEENLVARVMMEEMMVKFKGSLEIFRNFKEKIAASALSDPSVDDIQEEINQLENIFESLTVQVAKHGQKKAECMKVKGEIIGNPSKTSVVV >CDP04372 pep chromosome:AUK_PRJEB4211_v1:9:1627314:1632351:1 gene:GSCOC_T00017741001 transcript:CDP04372 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATLASILTNTSLSSLVWSSTYRRTLLSPVRLVCRLASNHFWTENYQKNSNFITWDSRARSRYRSFSCQSTASSNVDSTPDSVSSNGFRRYGRLLPCPSENGPPRVEHLVVKEGGPVLDYISNSLDLPPLFVADLIHFGAVYYALVCPKPPPSATPEQIKTYKEVTAPSVLKNRASIKGKTVREAQKTYRITRADEFVEAGTYLRVHVHPKRFPRCYEIDWRSRIVAITESYVILDKPAGTSVGGTTDNIEESCATFATRALGLTSPLMTTHQIDNCTEGCVVLARTKDYCSVFHGKIREKKVKKLYLALAAAPVPVGVITHYMRPINIAPRLVSEESVDGWHLCQLEVLECKKVAWPTSMTERRYSIEDCGWPPQDFAYECKVNLLTGRTHQIRAQLAACGAPIVGDSMYLPAAVAEIVNPRLNPFRKNSKKYANETDKAQAIEEWIAQHGKEPAVAIGLQACQILWDNGEHFYEAGTPWWR >CDP04547 pep chromosome:AUK_PRJEB4211_v1:9:147896:155751:-1 gene:GSCOC_T00017978001 transcript:CDP04547 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVRVIEARDIPPMDPNGFSDPYVKLQLGKQRFKTKVVKKCLNPSWCEEFAFRVDDLKEELLISVLDEDKYFNDDFVGQIKVPISGVFDAPDKSLGTAWYTLQPKNKKAKNKDCGEILLTICLSQSNSFVDSQSVGDNGPLSRKYADMTMGSPSRPANGPPRSPSPVRLEEAAPAPSKEERSHAQTFAGRIAQMFNKNGDTASAATNKVPDVLEPFESANSADDEDKSDDQPSSSSFEELMRSLEAKEQGGDIPSNLPGGVVLDQMYAIAPHELNSLLFSQDSNFFKSATDMQGSTELRVGPWKFENGGENLTRTVSYIKAATKLIKALRATEDQTYLKADGNTFAVFSSVSTPDAPYGSCFRAEVLYCITSGPELPSGEQSSRLVVSWRMNFLQSTMMKGMIESGARQGIKDSFVVYGNLLSQTVKPVDMKDVSTEKEQVLASLKVEQQSDWKLAVEYFANFTVISTIFVGLYVFVHISLAMPGTIQGLEFVGLDLPDSIGELVVCGILVLQGKRVLELMSRFMQARVRKGSDHGIKAQGDGWLLTVALIEGNNLAAVDSSGYSDPYVVFTCNGKTRTSSIKFQKSDPLWNEIFEFDAMDEPPSVLEVEIFDFDGPFDEATSLGHTEINFLKSNISDLSDVWVPLQGKLAQACQSKLHLRIFLNNTRGTNVVKDFLSKMEKEVGKKIRLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSARIIGFHADLFGHKTKFFFLWEDIEDIQVVAPTLSSMGSPIVIMTLKPGRGFDARHGAKTQDEAGRLKFHFHSFVSFNIANRTIMALWKARALSPEQKVQIVEEESETKNLQVSQETDEDSESKSLHAEETGSFLGVEDVSMSLLYSSVLSIPMSFFMELFGGNDIDRKVMERVGCLNYSYSPWESEKPDVYQRQLYYKFDKRISRYRGEVTSTQQKSRLSERNGWVIEEVMTLHGVPLGDYFNLHMRYQVEDAPSRSMGCSVQVYFGLAWLKYTRHQKRITKNILVNLQERLLVMFSVLEKEFVTGR >CDP04553 pep chromosome:AUK_PRJEB4211_v1:9:104459:105055:-1 gene:GSCOC_T00017985001 transcript:CDP04553 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKGNAVIALAIWLLTVSACVVACAAARLQTSEQTVHPQGCRCCTFEWQPLIRCIRVCCGDDCC >CDP04259 pep chromosome:AUK_PRJEB4211_v1:9:2634016:2642190:-1 gene:GSCOC_T00017590001 transcript:CDP04259 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLPTHLPSNFYESSSNPFPLPHKTSVVSASVSSSPKRTRRKRQQQQRRQQYIGEDENGSSGALVSIASSAEKVLRLVFMDELMERARNADVSGVSDVIYDMIAAGLSPGPRSFHGLIVSNVLNRDEEGAMHALRRELSEGLRPLHETFVALVRLFGSKGLSARGLEILAAMEKLNFDIRKAWLVLVEELIRSNHLEDANKVFLKGAEGGLRATDELYDLIIQEDCKVGDHENALTIAYEMESAGRMATTFHFNCLLSVQATCGIPEIAFSTFENMEYGEDYMKPDTETYNWVIQAYTRADSYDRVQDVAELLGMMVEDHKRLQPNVRTYALLVECFTKYCVVREAIRHFRALKNFEGGTKVLHNDGNYGDPLSLYLRALCREGMFFLFAFFFSISYCYSLSSRKMRRIVELLEALEAMEKDNVPIPPRAMILSRKYRTLVSSWIEPLQEEAELGYEIDYIARYIAEGGLTGERKRWVPRRGKTPLDPDADGFIYSNPMETSFKQRCLEEWKIHHRKLLKTLHNEGPAVLGNVSESEYIRVEERLKKIIKGPEQNALKPKAARDVGYQLGVITINYLRKCKRIQLRQTR >CDP04393 pep chromosome:AUK_PRJEB4211_v1:9:1413699:1415107:-1 gene:GSCOC_T00017768001 transcript:CDP04393 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRNAAILPPAKLVDDPVRRRRLASIFKGQRQAPHMTLIVRQRRMPVAPITTQASITLRTGAWTPPSVH >CDP04478 pep chromosome:AUK_PRJEB4211_v1:9:677188:679949:-1 gene:GSCOC_T00017884001 transcript:CDP04478 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG5 [Source:Projected from Arabidopsis thaliana (AT1G12060) UniProtKB/TrEMBL;Acc:A0A178WFD4] MKSSSSRRSRGGFFSSSISTSSASTITYTTSTFQDEHPTPHLQFKTSEFPNSGEQEQPSTTPVPISVHHQESSPAANAAALKIQSAYRAYLVRSLVKKLSAVNSEANYWERHIQCQETVDAVRTSERERIKINEALMGLLLRLDSVPGTEPNVRALRRHLSRRIVGLQEILDAVCDTRVENWDVFLRDWDDVISRIEKEACRERGGGHEMERFCTEYLGFNCLQRFLRDQ >CDP11280 pep chromosome:AUK_PRJEB4211_v1:9:6083949:6087993:1 gene:GSCOC_T00033425001 transcript:CDP11280 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTLAMEEFHQYSPLGLALLCFLSASLAMFPTNITTDQSSLLALRAHISVDPLQILAKNWSVGSSVCDWIGVSCGSRHRRVTGLDISNMNLSGTLPPQLGNLSFLVSLDVSANNFHGELPHEFLPHWIGSFPQLRLLNLRNNSFTGIIPSSISNMSNLQYIRLSFNHLLGDIPRGIFNISSLQGIGLANNGLSGVLPSDVCYHLPGLSILNLSFNKLNGQLPSKIGNWYFLQQLDMESNSLTGSIPIEIFNLSKLSVMSVAQNQLSGNLPSTFGYRLPNLEYLYLDINYFSGALPSSISNSSNLRLIEFGDNKFTGPIPASLGDLRFLEHLKLGNNLLIKGSIPDGIGNFSSLIELDLSNNELSGSLPATIKDLQKLQYMDLSMNKLISRVPLHSLCALHNLDTMNLGQNQFMASIPKCFGNLTSLRHLNLSHNRLCSAPPEEIWNLKDLLELDLSSNLLSGSLPYAITNMKMANWVDLSTNQFSGSIPESIGKMLSLESLDISHNFLSGSIPMSMENLRYLKYINLSFNNLSGEIPSKGPFTNCTAESFTSNQALCGARRFHVPPCPTISAHRSRTKRVRRMIYILLGVIIAVGALSFGFVYLRYRKKDEFSSGVDISLVAMPERISYFELLQATNGYNESNLLGAGSFGYVYRGTLDDGRAVAVKVFNLQVDGAFKSFDVECEVLRNLRHRNLTRVISSCSTPEFKALVLEFMPNGSLEKWLYSHNYFPDLMQRLDILSDVACALQYLHCEYSTPVIHCDLKPSNVLLDQDMVAHLSDFGLTKLLDEENSITYTETLATLGYLAPGEEFSPNVIDANLLKESDECIGEKLSCIASIMKVALGCTMESPRERSSIQNVLVALQKIKLQYMSPPSSGTS >CDP12576 pep chromosome:AUK_PRJEB4211_v1:9:9432059:9436824:-1 gene:GSCOC_T00036235001 transcript:CDP12576 gene_biotype:protein_coding transcript_biotype:protein_coding MESMKFYFVGFVLIYILFALIKVLHIIWWKPMRIQHILESQGIKGPPRSILHGSPRELPKKKENTTNLSHDIFPVIQPHIYSWMNIYEGKIFLRWRRGAGAELVITDLDLIKEILNDKEQFFTIAKLSKYLKKIVGGGLGQSDGEKWVKLRKLANQAFHVRKQADFILLILLLICASRDMTPAMVESVEMMLRRWSDYDGKEIDVSEEFMLLTAEVISRTAFGSSYVEGEQIFNMMKRLGILTGKIAYKLKPPFIRKFFKDGDDIESDKLEKGIQDSIVEIVKKREKGKKGDNKQSFGEDFLGLLLKAHYDCSDNDNISIEEIIDECKTFYGAGHGTTSILLSWTILLLAINTDWQEKAREEVTKLFGQDHPNSEGISRLKTISMIINETLRLYPPAIYARRTTKEGAKLGNYKLPADLNISIAILAVHHDPQIWGDDAHLFNPERFSQGVSGATKINNPAAFLPFSLGPRTCVGSNFAITEVKIALSMILQRYAFTLSPSYVHAPVQMFALMPQHGVQVVLHVL >CDP04166 pep chromosome:AUK_PRJEB4211_v1:9:3419991:3424491:1 gene:GSCOC_T00017475001 transcript:CDP04166 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNNWLGFSLSPQEIRSQPHQDHSQNTVPRLDTFSSEELSGTDVSGDCFDLSSHASTIPSLNLPAPFGILEAFNRNNQSQDWSFKELNVNPNTSYKTAGSEMSMLMGRSCNNNNHNLENQEPKLENFLGVGGQNSLHLPSQTVEASSSNGNGTIGLSMIKNWLRNNPSTSQPENKIINGNDGAVGCSNNAQNLSLSMSTGSQSTSALPLLTAASCSDGEGGGGESSTSDNTNTNKQQNGGIGITSLDGQSGAIEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGMVIYCLPLLVTISLLFIFLLNLSLLVLTIFFFVSHFAYVLMGGGYNCFAVYLGGYDKEEKAARAYDLAALKYWGTTTTTNFPISNYEKEIEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFEISRYDVKSILESSTLPIGGAAKRLKDAEQAEMALDAHRTNNDNLSSHLTDGMSSYGAQHAWPTIAFQQAQPLTMHYPYGQQQRLWCKQENDSDVSHSFHDLHQLQLGNTHNFLQPSVLHNLMSLDSSSMEHSSSCNSVIYSNGSNDNATYQGVGYGSSSSYLLPMSTAVIAEEGSQNQGNGFGENGVKAIGYENMFGSSDPYQTRNFYYHPLPSSNGTLRAARTNNMAVCHGSSTFTVGNDT >CDP04196 pep chromosome:AUK_PRJEB4211_v1:9:3161358:3167389:1 gene:GSCOC_T00017510001 transcript:CDP04196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MALSFSFGGLPVPPLIDRTTTACSSNNSSSSHAFDAAYIKRAAELADKSAGFTAPHPNLGCVVATTANGSGKGGRPGVVVGEGYLYAEGTSPAELQAVESAGELSRGATAYLNMEPGDCYSDRTAVSALVNAGISRVVIGIRHPLPHLRGNAIRALRSEGLKVDVLGEDIQSKTIEEALKSCLLVNAPLLFRAANRVPFSVLKYAMTLDGKIAASSGDASWISSKKSRTLVFQLRGRSDAVIVGGNTVRRDNPRLTARHGGGHLPRRIVMSRTLNLPEVANLWDVAEVPTIVATQRGAQKSFQKYLASKDVEVVEFDILNPKDVMEYFYDRGYLSILWECGGTLAASAITSGVIHKVHAFVAPKIIGGRNAPSPVGELGMVEMSQAMELIDVSYEQIGPDMLISGFLQPVPDLSPTIPSIEETSAVDPSVSPYESSIIFFYKTWDPYGAFSNFSPHAIRIPDENGDYFTWPSVEHYYQAQKFIGVTNPIAQTCLDEIKSARSPEEAARIGRKIQRQHPHLVRDDWESVKINIMYKGLKCKFSIYPHLNSMLLSTAGSVLVEASPHDLFWGGGRDGEGLNYLGRLLMQLRSEFLGDFSASHDQSATHQERKDELNRL >CDP04243 pep chromosome:AUK_PRJEB4211_v1:9:2765879:2769005:1 gene:GSCOC_T00017574001 transcript:CDP04243 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLPCFSSRKSDNQENEGVPVAQVKEAPPPPSPGGLFSLAFASLFNNTQNLPVVAKNANDDPVTPETANSGARTFTFRELAMATKNFRQECLLGEGGFGKVYKATLQSGEVVAVKRLDRNGTQGNKEFLVEVLMLTLLKHPNLVSLIGYCADGEQRLLVYEYLPTGSLESHLHDLTDDKKPLDWQTRMKIALGAAQGLEYLNEKANPPIIYRDLKLSNVLLDGDYNPKLSDYGLAKLAQGDTKTHISPRVMGTYGYCAPEYERCGELTAKSDVYSFGVVLLELITGRRALDTTRPAEEQNLVSWAQPIFKDPKRFPEMADPRLEMKFPERSLNQAVGIAAMCLQDEPAVRPLIGDVVAVLSFLAVAPPEEPVPAKLSAPNSPSEVKQPDEHKNQHHSSSDSSDHDDEESEDENKSISDNESRGSKSSPNESIPVNSTLTSIEESQDGSAESSIERGRFEESFSWSIGSSSRFNSRSGSNYVDSGFNSNNDLYNTSLDSKMRTESSMGCKTESNNSYSRQSSHSESEDESFSPLHYSDDEDEYENRS >CDP04143 pep chromosome:AUK_PRJEB4211_v1:9:3643488:3650092:1 gene:GSCOC_T00017450001 transcript:CDP04143 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAVAPSTPRWNLDRPFLTGRFHQDTIFTSESRGFIADSFDARLDKAIGCYHASIQELIVIDDLLSALVGIEGRYISIKRVRGKEDTVAFQVDPSMGLTLQESAKRIFPLCESYLLINQFVESRSLSKHGLVNHAFAAALRALLLDYQALVAQLEHQFRLGRLSVQGLWFYCQPMMGSMQALSIVIRKVSANNFIGSTVLNLLQSQAKAMAGDHVVRSLLEKMIQCANAAYLRILERWVYEGVIDDPYGEFFISENKSLQKESLAQDYDAKYWQQRYSLKDDVPSFLATAAETILTTGKYLNVMRECGHNIQVPVAENSKLTSVGSNHHHLECIKAAYDYASGELLNLIKEKYDLMAKLRSIKHYLLLDQGDFLVHFMDIAREELSKKPDDISAEKLQSLLDLALRTTAAAADPCHEDLACCVEKTTLLKRLNTLKDLEISQTVSDSNELEEQLSITGLETFSLSYKVQWPLSLVISRKALTRYQLIFRFLFHCKHVNRQLCAAWQMHQGVRRLDMQGIAISVSSLLCRSMLRFINSLVHYLTFEVLEPNWHVMHNRLQTAKSIDEVIEYHEFFLEKCLRECLLLSPVLLKKVERLKLLCLRYAAAIQRLITSSVDIPTGIVSNGSLETEKYKRLKLRSRSQTLKLAPENASVIESILKFERDFSAELQSLGPILSNSSRAEPYLTHLAQWILGVGKDQ >CDP04310 pep chromosome:AUK_PRJEB4211_v1:9:2198346:2204203:1 gene:GSCOC_T00017657001 transcript:CDP04310 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAGALFTYFCPEITDDQFNAFHSMERQLYSRLIFDLGRDPEQSIQVMGFWMWLELVICDKMDLVIHLLKLPIAVLNEVADESVVCLKCIGSEILPFRDGVFQLILLRQLVRENISLEFLHEYRFTVINGVRRRVKDVCVRAFKDILEKIVDEKFPGGSGRRHSILTTANQIMENELCHRLNTSLNALVEENDEVSPDERTIFLTFSKGYPISETEVREFFTRKFGDFIEAIQMQEVPPNEQILYARIVARSASALDAVVEGGIAKYTINGKHVWARKYVRKQKSPPRNVALPIK >CDP04438 pep chromosome:AUK_PRJEB4211_v1:9:989181:993630:1 gene:GSCOC_T00017830001 transcript:CDP04438 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGKRSFELVLGFLTVIILLGRVESQCSGGCDALASYYAWNGTNLTLISTVLSTSISHILKYNPQITNPDIIQFGSRISVPFPCACLKEGFMGHQFIYRVRSATYYRRIAHLVYANLTTVEMLQRFNSYPPENVPATAQLNVTVNCSCGNSKVSKDYGLFITYPLRSGETISSLANEFDLPEKLLEDYNPRVNFSGGSGLIFVPGKDQNGIFPPLKSSSFIVNGNQMWLGTIFLRISGGAIAGITIAVLAGAIFLAVCFYVIFYRRRRISEGFPKAEPHELNDEHVHGPGALEKISESGPLVSTTTRMPGITVDKSVEFSYEELAKATMNFSLANKIGQGGSGSVFYGELRGEKAAIKQMDMQASKAFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLTQHLRGFGREPLPWSARMQIALDSARGLEYIHEHTVPVYIHRDIKPANILIDKNFRAKVADFGLTKLTKVESTSLQNTRLVGTFGYMPPEYAQYGDVSPKIDVYAFGVVLYELISAKEAIIKTNEVVTESKGLVALFEDAFNQPDPLEALTKLMDPGLGDDYPLDSVRKMAQLARACTHENPQLRPSMRSIVVALMTLSSTTEDWDIGSIYENQGLVHLMSGRQH >CDP04367 pep chromosome:AUK_PRJEB4211_v1:9:1661668:1663523:1 gene:GSCOC_T00017735001 transcript:CDP04367 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEWMPGEPRPPYLDGSAPGDFGFDPLRFGEVPENLERYKESELIHCRWAMLAVPGVLVPEALGLGNWVQAQEWAAIPGGQATYLGQPVPWGNLPTILAIEFLAIAFVEHQRSIEKDTEKKKYPGGAFDPLGFSKDPAKFAEYKVKEVKNGRLALLAVVGFAVQQSAYPGTGPLENLAAHLADPWHNNIGNVIIPRSIYP >CDP17634 pep chromosome:AUK_PRJEB4211_v1:9:8032638:8040987:-1 gene:GSCOC_T00005150001 transcript:CDP17634 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLITEKSALVAFKNHIVSDPHLIVAKNWSISSSVCDWIGVTCDFNNQRVVALNISNMGFAGTIPPQLRNLSFLVSLDMSNNNFHGHLSEGMSHLRRLSFMALSNNHLTGEIPSWLGVLERLQYLSLTENNFFGHLPANICDSLPNLKELYLSMNQLSGQILSGLSNCSGLKSLYLSSNQFDGYIPKAVGNLKMLEELHLDYNKLEGYFLGFLLFIQFHIYILIGDNIIGNIIQMRKKPKNENMHENVNNFTGAIAVSIPNCSKLTFISHGYNKFCGGIPISFGKLRHLEFLELIDNNLTSESSFPDLSLFISLAGCISPGKISVSGNPLNGGNIPWSIGNLSNLIVLSLQGNHLTGFIPYTMNGFTSCKGCYLSMNHFSGDIASTIGSLQYLMYLSLANNSLQGAIPSTVGNMLSLETFNLSHNNLSGLIPKSMTALRHLKYFKVSFNDLRGEIPTGGPSENFTHEPFLFNKDLSGLFRFCCPPAKLFQRINQGKSRCFYLCLFFLVRAHGRIPYCDFMQATNGYHESNLIGMGSFGTVYKGKLDNGMLVAVKVFNLQI >CDP11230 pep chromosome:AUK_PRJEB4211_v1:9:6943352:6956251:-1 gene:GSCOC_T00033341001 transcript:CDP11230 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRLCCKASVFSEVSNQKQFFKVGAESTGPIPSKKLLQVVQTAAETGAKVVMDTVNKPRTIAYKIGLSDLVTDTDKMSEAAILDVVRNNFQDHLILGEEGGLIGDSSSDYLWCIDPLDGTTNFAHGYPSFSVSVAVLFRGKPAAATVVEFVGGPMCWNTRTFSAASGEGAFANGEKIHVSQTDKVEKSLLVTGFGYEHDDAWATNIDLFKEFTDVSRGVRRLGAASVDMCHVAFGIAEAYWEYRLKPWDMAAGVLIVEEAGGAVSCMDGGKFSVFDRSVLVSNGVLHAKLLERIGPATERLKNKGIDFSLWYKPENYRTDI >CDP06305 pep chromosome:AUK_PRJEB4211_v1:9:17098176:17101830:1 gene:GSCOC_T00023074001 transcript:CDP06305 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGAEKDEAVSITISTSARRDDEQVFDSQYHYGTFQGVNTSQQPFSSPPPPPSQPVFGFPQPIPPPGTTISPPYCYHHTYQGVVPGYAVVEGMPIREHRLPCCGIGIGWFLFIFGFFFGAIPWYIGAFLLLCVRLDYREKPGLIACTLASILALIAITIGVTNATNSW >CDP04144 pep chromosome:AUK_PRJEB4211_v1:9:3638999:3642248:-1 gene:GSCOC_T00017451001 transcript:CDP04144 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDHAELTTEQVLRRDIPWETYMTTKLITGTGLQLLRRYDKKAENYKAQLLDDDGPSYIRVFVSILRDIFKEETVEYVLALIDEMLTANPKRARLFHDKSLASEDAYEPFLRQTSSPMFMFYFFILDYDFSVIFG >CDP18920 pep chromosome:AUK_PRJEB4211_v1:9:7094889:7101810:-1 gene:GSCOC_T00011292001 transcript:CDP18920 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAHVAGTPMSSSSFACKNQPISSSVPQLQKISATAPSSASSRTATRRRALSVFVLTTSFSGLIQQLSLDNSSTSWSKPTGQNFVELPNSGGVKALDLRFGDGAIPADGDKVAIHYYGRLAAKQGWRFDSTYDHKDATGEPIPFEFIVGSGKVISGIESAVRSMKVGGIRRIIIPPSEGYQSTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELVSLRHW >CDP17625 pep chromosome:AUK_PRJEB4211_v1:9:7801112:7804117:-1 gene:GSCOC_T00005138001 transcript:CDP17625 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLISVFFILLSFSTTPVLSVVSEECEADSEDSCNNKSKALPLKIIAIVCILVTSMIGVCLPLVTRSVPALSPDKSLFVIVKSFAAGIILATGFMHVLPDSFDMLSSSCLKENPWHKFPFSGFVAMLSAILTLMVDSLATSLYSKQNKGGIVIPEGGAAAAAQGDQEMAVGNLHGHHHGSISMKDGVGGAQLLRYRVIAMVLELGIIVHSIVIGISLGASNNTCSIKGLVAALCFHQMFEGMGLGGCILQAEYKLLKKAVMAFFFSVTTPFGIALGIGLASTYKENSPRALITVGLLNASSAGLLIYMALVDLLASDFMGPKLQGSVKLQIKSYMAVLLGAGGMSLMAKWA >CDP14538 pep chromosome:AUK_PRJEB4211_v1:9:21720996:21722392:-1 gene:GSCOC_T00041056001 transcript:CDP14538 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPNSSLIRSLFFLDFGSFVPQVTRRLNPSSSSSSPLCYVRELGLEWTGLSRVSCLGGEGSRVGAGGQKEKGEEPDRKRKGEKNKRVRESEVSEIRVDIESLRGFGESEGPRALVYRRHVSEPGILPNS >CDP06349 pep chromosome:AUK_PRJEB4211_v1:9:14782973:14788968:1 gene:GSCOC_T00023162001 transcript:CDP06349 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRASSTSQNSASASASATTAKTTDGRMVLRVRTSQQMKNPAGNKSKMTPKKKKKSSKIDAFKPKKPPTAFFYFLEDFRKEFQEKNPDIKTMRDIGKACGERWKTMTYEEKVQYYDIATEKRAEFDRAMAEYMKRKERGEYQDYEDDSDFDN >CDP14516 pep chromosome:AUK_PRJEB4211_v1:9:21963776:21969771:-1 gene:GSCOC_T00041029001 transcript:CDP14516 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCESKFQSETYPEKEKEKEKENNIEPHNNSHKTLTTPPFLHPPPATPTAEPVPGTIAGVPSFSEFSLADLKAATNNFSPDFIVSESGEKAHNLVYKGRLQNRRWIAVKKFTKLAWPDPKQFAEEAWGVGKLRHRRLANLIGYCCDGDERLLVAEYMPNDSLAKHLFHWESQTIEWAMRLRVALHIAEALEYCSNEGRPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTAESVMYSFGTVLLDLLSGKHIPPSHALDMIRGKNIFCLMDSHLEGKFSTEEAILVVGLASQCLQSEPRERPSTKDLVSTLGPLQPKSDVPSHVMLGISKHEETPLTPQRPLSPMGEACSRMDLTAIHQTLVMTHYRDDEGTNELSFQEWTQQMKDMLDARKRGDFAFRDRDFKTAIDCYSQFIDVGTMVSPTVYARRSLCYLMCDQPDAALRDAMQAQCVYPDWPTAFYMQSVALAKLDMHQDAADMLNEAAGLEEKRQKGGRSGSS >CDP05638 pep chromosome:AUK_PRJEB4211_v1:9:12504080:12514968:1 gene:GSCOC_T00020808001 transcript:CDP05638 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPFEWYCKPVNNGVWSKAVENAFGAYTPCASDALVICISHLVVLGLCLYRIWLTKKDGKVQRYQLKSNYYNYLLGLLAAYCTAEPLFRLVMGISAFDVDRQSGLAPYEIVSVIIEALAWGFMLVMLFVETRIYIHEFRWYVRFGVVFALVGDSVMLNLVLSVGEFYNRSVLYLYISEVVVQVVFGVLLLFYVPDLDPYPGYLPVQTESVDNIAYEELPGGEQICPERHVNIFSKITFAWVNPLMKLGYKRPLTEKDVWKLDNWDRTETLNNMFQKCWVEESRRPNPWLLRALNRALGGRFWWGGFWKIWNDVSQFIGPMILNQLLMSMQDGDPAWIGYIYAFSIFAGVVFGVLCEAQYFQNVMRVGYRLRSTLVAAVFRKSLRLTHESRRKFATGKITNLMTTDAEALQA >CDP04488 pep chromosome:AUK_PRJEB4211_v1:9:586607:590109:-1 gene:GSCOC_T00017903001 transcript:CDP04488 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLAWMFAWWLTEAVPMPITSMSPLFLFPLFGIASCDDVAEAYMDDVISLVLGSFILALAVEHYNIHRRLALNITLVFCGDPLNPPLLLLGICATTAFVSMWMHNVAAAVMMMPVATGILHRFPSGPTRSNEVTNFCKAVVLGVIYSAAVGGMSTLTGTGVNLILVGMWRSYFPEADPISFSTWFFFGFPLALLIFFVLWAILCLLYCRKSSGQALSAYLDKSHLRRELDLLGPMAFAEKMVLAVFSILILLWMTRSITDDTPGWGALFQGRAGDGTVSVMMATLLFIIPNKKQKGERLMDWNKCKKLPWNIVLLLGAGFAIANGVRSSGLADILSKALDFLEAAPYLAIAPIVCLISGAITEFTSNNSTTTLVVPLLIQIAKTMHLHPLLLMVPGAIGAQFAFLLPTGTPSNIVGFTTGHIEIPDMIKTGLPLKIAGTLALSILMPTLGTVVFGTDKEVVHMTNGPFLHRHRI >CDP04420 pep chromosome:AUK_PRJEB4211_v1:9:1176495:1180716:-1 gene:GSCOC_T00017802001 transcript:CDP04420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PMP22 [Source:Projected from Arabidopsis thaliana (AT4G04470) UniProtKB/TrEMBL;Acc:Q0WTY9] MGSVAKKSLEQYLFQLQKHPLRTKAITAGVLSAVSDIVSQKLSGIQKLQLRRLLLKVIFGAAYLGPLGHYFHMLLDKLFKGKKDTKTVAKKVVLEQVTISPWNNLFFMIFYGLAIEGRPWMHVKSKIKKEYPTVQYTAWTFWPVVGWINHQYLPLELRVVFQSMVAFCWGIYLNLRARSLALTKG >CDP14584 pep chromosome:AUK_PRJEB4211_v1:9:20786335:20786619:1 gene:GSCOC_T00041122001 transcript:CDP14584 gene_biotype:protein_coding transcript_biotype:protein_coding MKAILDYFVSSTWQIITNGAYKSVEHRAIVNSQKERLSIATFTFPKLDGDLGPAPSLITPENPAKFSRVLMVDYLKSLYSRELDGKSYIDTMRI >CDP14570 pep chromosome:AUK_PRJEB4211_v1:9:21209215:21213046:1 gene:GSCOC_T00041099001 transcript:CDP14570 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIVIEKAGGPDVLKLVELDDPPLGDDEVLIRIAATAVNRIDLQCRLFGSRNSFNGGLYIPGLECSGIIIAVGQGVTEWKVGDKVCALLNGAGYAEQVAVSAKMVLPVPSNVPLTHAASLPEAAHNAWKVISEAQLVRGRKLLLHECCGYVGLFVLQMAKCKGAVVYVTAETDEKLDFYKRHGADICINCKNEDLVTRVMEETRMEGIDVVVDSWAVNLEKDLKILSDWGKLLFVDLHGPKGGNLYLTAVMTKQARVQVLNRWWKTLDRAACIVDHLKKEVWPEIENGSVKPVVYETLPLSEAAAAHKLMELTDYGQDMWSVIGYFVPWLGHYACTGKVAGHVMGKILLTPDFEES >CDP04344 pep chromosome:AUK_PRJEB4211_v1:9:1906821:1913248:-1 gene:GSCOC_T00017702001 transcript:CDP04344 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVFTLQHCFEDLTFWLAPPLYLPANMGRQMLQVLEVNKQSHHWTVLIQVVERGNVHVARTAPFKRFRRFLLTDSQGTRVSALVYDSCIKLFQHMLCPFKRYYISNASIMDTSANFRIGNYPFSWAINSDTLIEPYNETIPPTLPCPFELTPFADLHQYADTEILQSNRHSCISSETAKGQYNKRLCDCQ >CDP19576 pep chromosome:AUK_PRJEB4211_v1:9:20705646:20714594:1 gene:GSCOC_T00006982001 transcript:CDP19576 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWTRVRRSQIDTKLWNQFSRSYLQIHSPISANRTKISVLYSPSSPGRTPQLDLPTNVRCFAAPVQFMKKKEEKHADGPRLNEQVTADVIRLVTEEGHSVVSRYEALKRARSLELDLVEVQRNAKPPVCKLMDYYKEKYKKELQEKERSKQRSKVSLRNGGCKEVRFAAKITPNDLQIKADMAKRLMESGYRVKCVAIGNVDKGEDSATLFSRFSALIEDIAVVETDTRVEEKDQAHVIMRHVKYGPLKKGSLKRASMDKKAASASVQEVGGDDDVLSEERDDVEQKEISGWTTANADDDFDELFDLNDNADGVSKSSRSEKFSIAREPSSSSEGGSSHYPRPGGRDTLQSGSQFPDRVRQPPLNMNASPQRRETEGVNRAYPASTNSRNHGQNFAPDNPDPQGPSYGVFRARQGNDAHGKQNAPAEVNRYKQRNAPDSRRNSSPPRAAGHQNGPMSDFKFGREQAVNRDEQGRWGVFSGESTNVIPNRTFDGQAKVQR >CDP06326 pep chromosome:AUK_PRJEB4211_v1:9:16121907:16208413:1 gene:GSCOC_T00023114001 transcript:CDP06326 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSHSSVMFLSSSDKYLFILPLYSGFQYDSLVKLFLVVQFEMRFGDTKLPLLVYLHGEGFLIKSAFSLIDHAHLNVVVAETDWYTYALILDINHMDTKAVLHGFVKKEQLEDALTGMDLVIIPVGVHRKLGMTRDDLFNINPNIVRAL >CDP12568 pep chromosome:AUK_PRJEB4211_v1:9:9599675:9610145:1 gene:GSCOC_T00036221001 transcript:CDP12568 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKITSGIHLISIISTFSLLHDAAASVAKPNCPDKCGNLTVPYPFGIGKGCYLSRSFEVKCNTSSYSGSFPTISDDKVYEIAQHHVIVHGHLVFPFVYNKSSGESGYSVGFSISSDDLTDDFSVSHTENQFVAIGCDIYAYITDLRTSDTVSGCPSLCKNSSTSPMASSSYCSGKRCCRDTIPKDLRDMEFYVQTMNTWERSWESDQCSFAMVVHKDFSGFNKFNFSDCAVDSHRAPLALDWGIGNISCHEAIKKGTCICGQNGECINSTRGVGYSCRCLTGYQGNPYLHDGCQDINECESPEKNKCPKEARCVNTPGSYYCTCPPGYHKGRNFGPSSPHACLPDRAMLIFISLGIGPAAGFLILVSIFIWLHRKLKRIEEEKAKKGFFKRNGGLLLQQQPSSSQGSVSETKLFVTQELEKATNNFNESRILGQGGLGTVYKGMLSDGTIVAVKKSNKIDQCRVSQFINEVVILSQINHRHILKLLGCCLETEVPLLVYEYVSNGTLSQHLHDDPGAGMINWENRLRIATEVAEALAYLHSYASTAIFHRDIKSSNILLDENYKAVVSDFGLSRSVPIDKTHLTTLVGGTFGYLDPEYFRSGQLNDKSDVYAFGVVLAELLTGQKAVSSDKSDEGLVIRFRQAVKENRLFETVDKMAADEGLEEEILAVATLTKRCLKLNARKRPSMREIATALEQLRRKEGSIARESLQDGFCSLSERSYCCNVDSITEDSLEYFMFCLTNRKKKEKKTYNEVFYTNLEYILCICILFTVSLHSYVLSEIKKMRSFSFRPPTVFSISIDPLNLLRLTFSLTTMEASLLCCNLTNLLQLRTKLKRQNPSFSFTILREKLKEVLAKVKRTPKNFPKSFIYTFKISKKIKIPFPFFSSSSPTTTSVADFRCRCQSSFFPLFSLSPSSSPSILPSCLFFFSLCLPHFLPHLSVKKKKKEKKDGEKREGEQGRRGVAGQRGKAGQRCWRGRGIRRRGGGGGRGGEGERKERGSGRWHWWSCCWGWRRRRRRRTKRKERKEKEEERKGKKSFSSYKNFYKIFSKTSIVHYNKVLDKLSKNLGSKQALNLTGIGFAAGFLILEIACIWLHHNLKIMEEDKAKKRFFKRNGGLLLPQLSSSQGTVSKTKLLSHELGKATDNFNESRIVGQGGLGTCWNYHLENRLRIATEVAAALRYLHLYASTAIFQRDIKRSNILLDENYRAVVWDFGLSRSVFLDKTHLTTLVGSTFDRDATTTHDGEPWSGQLNDKTEFMPLVKFLPNF >CDP11241 pep chromosome:AUK_PRJEB4211_v1:9:6699175:6701271:1 gene:GSCOC_T00033360001 transcript:CDP11241 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRWWRYRAFLTKPLYSLLKLRHFCQSATDPISNSQPVVKTVSSLVQESFFQQKQKSHFGPVLANLNMPISPESLTQEEAITIAASLANESGSMVALSFFYWAIGFSKFRHFMRFYIVLAMCLMKNGNFVRANEVMHCMVKNFGEIGMLKEAVDMVLEMQNQGLVLSVHTLNCVLGVAVEMGCDEVAEDVFDEMSERGVCPNACTFETMVVGYCRMGRVLEANRWLVSMLDRGCLVDNATCTLITSVFCEKGYVSRALWIFNKLVDVGFAPNLINFTSLINGLCKRGSIRQAFEFLEEMVRKGWKPNVYTHSILIDGLCKKGWTDKAFRLFLKLVRSDNYKPNVHTYTAMIAGYCKEEKLNRAEMLLSRMQEQGLTPNVNTYSTLIDGYCKMGNIGRAYELMNTIRRAGLAPNICTYNTVINCLIKKGKVSKAHKLLKRGFRNGLSADIVTYTILISESCKQAELRQALALFNKMVKAGIMPDAHVYTTLICALCKENRMAESEKLFDDAFIKLGLVPTKQTYTTMISGYFRDKNASMALKCFQNMNEHGCAPDSLTYGAMISGLCKESKLDEARRLYETMIDMGLSPCEVTRLTMAYEFCMKDESSVAMALLDKLEKKLWVRTVSTLVRKLCGEKKVDIAAQFFDKLLNKHQNVDRVTLAAFMTACYNSNNYALVANVSDKISKQKGYLFIFAAFN >CDP04168 pep chromosome:AUK_PRJEB4211_v1:9:3388651:3393712:-1 gene:GSCOC_T00017477001 transcript:CDP04168 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRDWVVLSTAAALGALASISVISFYFFFNGKRKRFPISSSTSNGRRSSSNVVVFKSSSNGSDESREIKAQPLNPFDPSKRKGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQNGIILGIGYNGFPRGCSDDMLPWSKKSKNGNPLETKYPYVCHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRLDNSQTTYVASQKLLSMAGVKIRRHQPQMNQILIKFQEL >CDP11264 pep chromosome:AUK_PRJEB4211_v1:9:6365436:6366668:-1 gene:GSCOC_T00033391001 transcript:CDP11264 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLGIKKTPKLAEAAGLTIAVFTGLVLLQKRRNKKKKQGHFERNGGLLLEKQLSAADGAIEKTRIFMSRELEAATDGFNESRILGQGGQGTVYKGILADGRIVAIKKSKVDKSLLEQFINEVVIVSQVNHRNVVKLLGCCLETEVPLLVYEFIPNGTLFNLIQNDNKAESFPFTWSLRLKVATEVAGALAYLHSGLTIPVFHRDIKSTNILLDEEYIAKVSDFGASLSIAIDKTHMTTRVQGTFGYIDPEYFRSSQITDKSDVYSFGVVLLELLTRQKPIPSRGEGEDVYLGLAQRFQTSMEENSLPTILDPQIIDQTNEGEVIAVAKLAQRCIDWDGRRRPTMKEVSIELENIKMSRGGLTIQENYQSSSCTDEEAVVMIDVYDAWTIGSENVKSTSDAYAVLNNTI >CDP06311 pep chromosome:AUK_PRJEB4211_v1:9:16684833:16690226:-1 gene:GSCOC_T00023084001 transcript:CDP06311 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIAQAPIQFTKQPYIEDVGPRKIESIQFSTFGESEILKAAEVQVYRGVYYDSAKKPWENGLLDPHMGPANKNGICETCHGNFRECPGHYGYLTLALPVYNVGYLITVLDILKCICKQCSHVLLGEKERLNFLKKMRNPKMEPLRKSELQKMVVKRCNALASSRKAATCSRCGYVNGMVKKNTKMLGIMHERTKVNDSFLNEYGSAISHTKESNTSNFSVPDEIDPKVVYSLLKRMLDEDCELLYLNDRPEKLMISTIPVPPIAIRPSVFVDGGMQSNENDTTERLKRIIQANASLRQEISDTSLPSKSLV >CDP04373 pep chromosome:AUK_PRJEB4211_v1:9:1618097:1619970:-1 gene:GSCOC_T00017742001 transcript:CDP04373 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTDLVILLAVALLFRLWWRYWSVTGGGAKNLPPGPPGWPLVGNLFQVILQGKHFIFVVRDLRAKYGPIFTMQMGQRTLIVVTSPELIHEALVQKGPLFASRPADSPIRLLFSVGKCAINSAEYGPLWRSLRRNLVTELINPTRIRQCSWIRNWAIENHMKRLHQRSSEEGFVEVMSSCRQTICSILICLCFGAKISEEWIKEIECILKDVMLITSPKLPDFLPLLTPLFRGQVQAAKELRRRQLDSLVPLIRDRRAFVESGGSKNSSPRSEMVSDIGAAYVDSLFQLAPPGRGKLGEEEIVTLCSETISAGTDTSATTVEWAMHRLVTNQEIQEKLYKEIVDLVGKNGEITEKDVENMPYLVAIVKETFRRHPPSHFVLSHASTKETELGGYTIPADASVEFYTEWITKDPSLWEDPNEFRPERFLIGDGVDVDITGVKGAKMLPFGAGRRICPAWTLGTLHIHLLLARMVQAFKWLPIPDNPPDPTETYAFTVVMKNPLKAIILPRNKV >CDP11288 pep chromosome:AUK_PRJEB4211_v1:9:5949972:5964266:1 gene:GSCOC_T00033436001 transcript:CDP11288 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMTNITIDKSALLTLRAHTIEPRQILAKNWSVSSSVCDWIGVTCGSWHQRVTALNISKMNLTGTLPPQLGNLSFLLSLDMSRNNFHGEIPREIAHLRRLKVLDLGINDLNGELPPWIGSFSQLHHLHLDENSFTGNIPTGIFNISSLEKIALGANSLSGSVPSDMCHRLQGLSFLSLSENKLNGQLPSSLAQCSELRVLDFSYNKFRGSIPKEIGELKKLEELYLVTALDISNMNLSGTLPPQLGNLSFLVSIDMSANNFHGELPHEFVGLRRLKLLNLSFNNLEGEFPPWIEIFNLSKLIVMSLSLNQLSGNLPSTFGYRFPNLEELYLDLNYFSGALPSSISNSSKLRRVDFSYNKFTGPIPTSLGDLSLMELLNLSNNSLTSDSSSQELSFITSLTKCQYLSILDLNDNPLNGIIPNSISNLSTSLERLYAAGCKIKGTIPDGIGNFRNLILLDLSNNELSGSLPATIIDLQKLQYMDLSMNKLISRVPLHLLCALHNLDTMNLGQNKFMASIPKCFGNLTSLRHLNLGHNRLYSAPPEEIWNLKDLLELDLSSNLLSGSLPYAITNMKMANWVDLSNNQFSGGIPNSIGDMQNLQNLSLAHNRLQGSIPESIGKMLSLESLDLSHNFLSGSIPMSMENLRYLRHFNASFNNLSGEVPSRGPFINFTAESFTSNQALCGSQRFHVPPCPNNSAHKLKTKKLHRTIFILLGVIIAAGVLSFGFVYPRYRKKDTLSSGANLSLVAMPERISYFELLRATNGYNESNLLGAGNFGYAYRGTLDDGRAVAVKVFNLQVDGAFKSFDVECEVLRNLRHRNLTRVISSCSTPEFKALVLEFMPNGSLEKWLYSHNYFTDLMQRLDILIDVACALQYLHCEYSTPVIHCDLKPSNVLLDQDMVAHLSDFGLTKLLGEEHSITYTETLATLGYLAPEYGLEGLVSAKCDIYSFGITMMEVFTRTNPNSEMFGEKLSLKSWVANSMPDGLANVIDANLLKESDEYFVEKLSCIASIMKVALGCTMESPRERSSIQDVLVALKKIKLQYMSRLCSGT >CDP18205 pep chromosome:AUK_PRJEB4211_v1:9:4701857:4704343:-1 gene:GSCOC_T00007112001 transcript:CDP18205 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTFGILCEMIRDTGGLKATRNMSIEEIVAMFVYVLAHHKKSRTICGLFWRSRETVSQLLRCLRWDINRCDTPTEQKSRYRTRKGSIATNVLGVCCYYLVDAGYCNADGFLAPYRGQRYHLNEFNVMKKMENDEIVRGRGKNKCFWTGEEVKVLIESLQELACDPMFHAIVEMCKESGCSWNDAEKKISYEKQWYDDWCKTHKDAKGLWDVKFPYLGDLEIVYGRDRATGNVAEDFTQTVQDMEAVQNLEEGDEGLDAMSNSDNDKVEEDEVNSMEQSTQPSSTSTRNSKKQKKQSPPIANVSKKMKSASTTRGDLDASLQLLTSKFGDFVEGIQANFTTIAAAMSNEDKREQLVSDRRDQVVAELMKLALPSGDVMNAADILSEQISKLHVFYNLPAEMKRQYVINLLYPPSTR >CDP04223 pep chromosome:AUK_PRJEB4211_v1:9:2978092:2980782:-1 gene:GSCOC_T00017547001 transcript:CDP04223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein CLAVATA2 [Source:Projected from Arabidopsis thaliana (AT1G65380) UniProtKB/Swiss-Prot;Acc:O80809] MEERWDFDIMVCKKSFVLWPLSFLLLFFFVFLSSPLAIFAVSLNNDSANVGGEVEIGLDPQDGAALLLFKSQLLEQSQSLSGWDGAVGCNWHGVTCSNQTGRVIALNLTGLDLLGQVHPCLCNLTFLETLSLSHNSFNDSVPFCFGGLSRLKNLDLSYNRFSGVVPSALMELHNLVQLDLSHNMLMGTIPFWVGNFSVKLEKLSLSFNNFNGEIPKSLFNSVALRYLDLSHNYLVGSVGDFRQALGHINLEANLLSGTLPCFSASSASLSVLNLANNSIMGGLPTCISALRGLTELNLSFNVLTYGISPRFVFSEKLLVLDLSFNELSGRLPSKIVEASEKSRLLLLDLSHNHFSGNIPVTITELKSLQALYLSNNLLSGEIPSRIGNLTYLQVIDFSHNILSGSIPLNIVGCFQLLALVLNNNNLSGEIQPELDALDSLKILDISGNQVSGEIPLTLAGCKSLEVVDFSYNDLSGYLNDAITKWSNLRFLSLARNKFSGALPSWLFTFAAIRTMDLSGNKFSGYIVDGNFNVSENFISVGAGRTTTTISSVTSPKLDIKYSVIVAGKTELSFNYNLSTAVGLDLSDNQLHGQIPASLFGLQGLEYLNLSHNFLDGQVSENVGMMLSLRVLDLSHNSLAGQIPDNISNLGNLTLLNLSYNFFSGIVPKEQTHWRFSGAFAGNPYLCVRFSDDGCTRGSLPEVPGKTFEREMDEGPISVWIFCVSALVSFYSGLVALFCSTRTRNYILQTKI >CDP14554 pep chromosome:AUK_PRJEB4211_v1:9:21504947:21506986:-1 gene:GSCOC_T00041078001 transcript:CDP14554 gene_biotype:protein_coding transcript_biotype:protein_coding MYYIWNFNEQKVKNENEESKKEREMELVELLLVFFHTSLSTYIYIYIYIYIYMKFIRFFI >CDP04099 pep chromosome:AUK_PRJEB4211_v1:9:4101202:4105130:-1 gene:GSCOC_T00017395001 transcript:CDP04099 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRQVLSVLVVVLVIVLSSGSVSCQGANSSSTLQVLLEVKQSFQDPQNVLQDWSINNPNFCTWRGVSCARNPADGSLQLVSLNLSDSSLGGTISPSLGLLHDLLQLDLSSNQLSGPIPTTLSNLSSLESLLLYSNQLSGPIPSQLGILINLQILKIGDNGLTGSIPASFGNLDNLIILGLASCSLSGHIPPELGKLGQLQYLILQDNQLDGPIPAELGNCSSLVVFTAALNNLNGSIPAELGSLQNLQTLNLANNTLSGEIPAQLGEMSQLVYLNLLGNQLQGGIPKSLANLQNLQTLDLSANKLSGGIPTEFGNMGLINYLTLALYQNNLKGNLPREIGMLGNLQILYLYDNQLSGEIPEEIGNCSSLQMIDFFGNQFSGQMPMTIGRLKQLNFLHLRQNDLSGEIPASLGNCRELTILDLADNRLSGGIPPTFGNLQALQEFMLYNNSLEGTIPAELIKISNLTRVNLSSNKFNGSIAALCSSHSFLSFDVTDNAFDQEIPSQLGYSPALERLRLGNNQLTGKIPWTFGFIRELSLLDISGNSLTGSIPFQLSSCKKLTHLDLNNNLFSGPIPSWLGTLPLLGELKLSSNRFYGPLPQELFNCSKLLVLSINDNSLNGTLPPEIGRLNSLNVLNLDANQLSGPMPSTIGGLSKLYELHLARNSLSGEIPSEIGSLPNLQSILDLSYNNLTGLIPSSIGALSKLEALDLSHNELSGEVPPKVGEMISLGRLNLSYNNLQGKLDKRFAHWPVAAFVGNSLLCGSPLQSCKDFQENKNSGLSQSSVVVISAISTIVAIILLCAYWSSSSQAQKRPLFQQHAARLDIRWEDIMEATNNLSEEFMIGSGGSGTIYRAQLFNGETVAVKKIRRKDDPMLDKSFAREIKTLGRIRHRHLVKLLGYCNNKGEQSNLLIYEFMENGSAWDWLHKQPGKHKKKKGLDWEARLRIAVGLAQGVEYLHHDCVPKIIHRDIKSSNVLLDSNMEAHLGDFGLAKALTSNYDSVDTDSNLWFAGSYGYIAPEYAYSLKATEKSDVYSMGIVLMELVSGRMPTDGNFGEDIDMVRWVESRIEMQGSAREEIIDPALKPLLPHEENGAFQVLEMALQCTKTAPFERPTSRQACDLLLHIFNDRMVQSEKMSPDPYV >CDP16093 pep chromosome:AUK_PRJEB4211_v1:9:19064954:19067329:-1 gene:GSCOC_T00017119001 transcript:CDP16093 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNSKDKAFQTKQEGDVNDSKFSKSASTSRPWAGFRNPRIVRVSRSFGGKDRHSKVCTVRGLRDRRIRLSVPTAIQLYDLQDRLGLSQPSKVVDWLIDATKSEIDKLPPLQIQAGSFSQFHQAIALSQHSSATSSNLPHFFCANPSYMKDVGAPSFLSNKQGLKINDHIHGNTNLSSFPSLLPNPLPYNPYYQWEASNLSLSQLGGHSNIPMQPEDSQSHNALSLGSSMALPSGSQLCFCPSAATIPTILPSLPPYMTASVESDPRQSNNFQFLSSSTQQVQANSLMPTLHLISSPLKSLTLNSNPKILHLQENSKNHPNKGDSGS >CDP04456 pep chromosome:AUK_PRJEB4211_v1:9:842832:844374:-1 gene:GSCOC_T00017852001 transcript:CDP04456 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDRMVRRKSITERLGLKGFSCCGATWGLGPAHTHSSVSVRDDGGEAEGGGERQREIEVIDVGPASPENGSTAACADCVPATSGMNLAAALAAERQFRAAQELVGLSPSPMMRPMTEADGVANGVAAGGTPFRVSLMTLLEETDGYDYGDWEKEEKGAGSDSVCCVCMGRKKDAAFIPCGHTFCRVCSRELWFNRGLCPLCNRSILEILDIF >CDP04542 pep chromosome:AUK_PRJEB4211_v1:9:184154:186187:-1 gene:GSCOC_T00017969001 transcript:CDP04542 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGWGSTGGVSEIEEEEEEDEPEYSRRRKVFDFNKSTGGPLDSIREEDQRQVVGLELEEQCSSRTSTLFSFDFREGESEDAVYYVALVQGGQQGNGGSKRAADQDSASMDALLWTLNHAATANNDPTTTPLLFLVHVFPEIKHIPTPLGMMPLSQVNPEQKEIYMAQERGKRTQFLDKFLNACSSAAEVKVDTILIESDMEAKAILDLIPILNIRKLVLGTTKAILRKVRSKRSASGVIDQIVKGAPEFCEVKVICEGKETLDFMIRDSPSGTSPSPQAQGTYSDNNHTSQPMHSQRRSSSATDQSFSCGCFKPKVADF >CDP04260 pep chromosome:AUK_PRJEB4211_v1:9:2600722:2633721:-1 gene:GSCOC_T00017591001 transcript:CDP04260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MEAANPMDSLVSIAASISTPSTKRRIQIFSNEIPFLLTNIPSYSDLTTDFTSLLVETIFRTLSVYDDRGSRKAVDNLIVKVLNEVAFMKIFAATLVQTMEKQSKFQSHVVCYRLIKWSCLLLTESQFTSVSKNALHRVAQAQASVFHIAMQGSFRVKRASKQLLLHLFSKSPDIYSTYMEELKNSRISYKECPEFLLVILEHSSANLVLFDHWRETFLDMYVKAVLNAKEKPVKGLIEAFLPLFPKLSHEDFKNVVIPTSVKMLKRNPELVLESVGVLLQSTNLDLSKYATEILQVVLIQARHADEARRLAALAIITCLSQKSSSPDAVEAMFTIVRSVIGGSEGRLTFPYQRVGMINALQELSNAPEGKYLSSLTPTICSFLLSCYKDDGNEEVKLACLSSLAYWAARSAVAIQQDVVSFIASGLKEKEALRRGFLRCLRVIWKNNDAVIQMSSLLVPLIQLVKTGFTKAAQRLDGIYALLLMAKIVSLDVKADEIVTKEKIWSLIAQNEPSIVPLSLAAKVSLDDCMACLDLFEVLLVDHPHRVLENFPVSALLQFLLFSLCHPNWDFRKAAYDSTKKILAAAPQLSEPILLEFSNYLSVVGEKVLLLKMSDSENLLDPQVPFIPPVELLAKALLVIGSSVLAASKCISVELLCCSHHPFLIGTSKKNIVWKRLQKFLQLHGFDVIELVAANVVNLCKGLLGSRGLMSPCHLQQDAAVYSLSTLMSIIPGHAYAEFEKYLKSLPDRYAHDTLTEKDIQIFHTPEGMLSTEQGVYVAESVASKNIRQAKGRFRVYENDDNLGEVKSNHSARWESSNKDLVGKKDTGKSLKKPGTVNAKTAKEEARDLQLREEASVRERVMLIQKNLSLMLRAMGEMAVANPIFAHSQLSSLVKFVNPLLRSPVVGEVAYETMVQLSKCSAAPLCNWALEIATALRVIATEDINAVWDLIPPVGEGEPSERPSLSLFERVRNALSLSCKSGPLPVDSFTFVFPIIEKILSSPKRTGLHDDVLQILFLHMDPILPLPRVQMLSVLYHVLGVVPAYQASVGPALNELCLGLHPHEVASAMDGIYAKEVHVRMACLNAVKCIPAVSNCSIPESVEVATSIWLALHDPEKSVAEAAEGIWDNYGKEFGADYSGIFKALSHVNYNVRVAAAEALAAALDEKPDTIQESLSTLFSLYIHEVGFGEDNIDAGWLGRQGIALALHSVADVLRTKDLPVVMTFLISRALADSNPDVRGRMINAGIMIIDRHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLEKDDPKVHTVVEKLLDVLNTPSEAVQRAVSSCLSPLMQSKQEDAPALVSRILDQLMKSDKYGERRGAAFGLAGVVKGFGISSLKKYGIVTVLREGLADRNSAKSREGALLAFECLCDKLGRLFEPYVIQMLPLLLVSFSDQVMAVREAAECAARAMMSQLTAYGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEISALVPTLLMGLTDPNEYTKYSLDILLQTTFVNSIDAPSLALLVPIVHRGLRERSAETKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRTVAARALGSLIKGMGEENFPDLVSWLLDTLKSDGSNVERSGAAQGLSEQENYGTNLLIECIEELKVKYLSAYCVLAALGTNYFEDILPDIIRNCSHQKAPVRDGYLTLFRYFPRSLGVQFQNYLQQVLPAILDGLADENESVRDAALSAGHVLVEHYATTSLPLLLPAVEDGIFNDSWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMNTLISSLASSSSERRQVAGRSLGELVRKLGERVLPLIIPILSQGLNDPDPSRRQGVCIGLSEVMASAGKSQLLSFMDELIPTIRTALCDSMPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDQTSDTALDGLKQILSVRTTAVLPHILPKLVHPPLTAFNAHALGALADVAGPGLDFHLSTILPPLLAAMADTNEDVRNLAKQAAETVVLVIDEEGIESLISELVKGVGDSQACLMASIRRCSSYLIGYMFKNSKLYLVDEAPNMISTLIILLSDTDSQTVMVAWEALSRVVSSIPKEVLPSYIKLVRDAVSTSRDKERRKKKGGPVVIPGLCLPKALQPLLPVFLQGLISGSAELREQAALGLGELIEVTSEQTLKEFVIPITGPLIRIIGDRFPWQVKSAILSTLSIIIRKGGMALKPFLPQLQTTFIKCLQDNTRTVRSGAALALGKLSALSPRVDPLVGDLLSGLQTSDVGVREAILTALKGVVKHAGQSVGIAARTRIYTILKDLIYNDDDQIRSSSASIFGIISQYLEDDQISEVLKELPTSASSPSWFTRHGSVLTLSALLRHNPTIVCASPSFPTVVNSLMSNLKDEKFPVRETSTRALGKLLLHQIRSDPSNSSAHLETLSSIILAMQDDSSEVRRRSLCSLKAVAKANPAALMIHAATYGPVLAECLRDGNTPVRLAAERCALHAFQLARGAENIQAAQKFITGLDARRISKLSENSDESDNSEDEMGSGS >CDP17299 pep chromosome:AUK_PRJEB4211_v1:9:10186093:10195634:1 gene:GSCOC_T00003775001 transcript:CDP17299 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESECGKSEVVLGVDGGTTSTVCVCMPLLPFSDHLPDPLPILGRAAAGCSNYNSVGEDAAKDTLEKVMEEALSQSGVKRSAVRAVCLGVSGVNHLKDEERVLNWIRDFFPAHVKIYVHNDAVAALASGTMGKLHGCVLIAGTGSISFGFTEDGREARAAGAGPVLGDWGSGYGIASRGLTAVVRAHDGRGQQTMLTDHILDSLGLSSPDELIGWTYADPSWARIAALVPVVVSCAEAGDQVAIEILNNAVEELGSSVKAVVQRLSLAGEDGKGSFPVVMVGGVLEANRSWDVGREVINAILKTYSGACPIRPKVEPAIGAALVAWNSLMKELPANGHR >CDP04336 pep chromosome:AUK_PRJEB4211_v1:9:1994394:1998234:1 gene:GSCOC_T00017692001 transcript:CDP04336 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MMSSNSANNLLDEALGFNDDGGGGGGGLLLLIEDRVETSGAFILHHLIKRRISSPNRPNDVVVFLSFAHPFSHYDRILRKMGCNLGVQKENKRFVYYDMLNLNYPEGEQGAEDLLLSVYGKIQKTVELCSSREGYKNSTIMIDDISLMEVALCGSSNLVLDFLHYCYSLATQFDCLVIILNHEDVYSTVDVPSPLLQMEYLANIVIKAEPLATGLATDVHGQLTVLNKGICQGSGCSKSKIRNFHFRVKENCVEYFYPGSRT >CDP18211 pep chromosome:AUK_PRJEB4211_v1:9:4754669:4758429:1 gene:GSCOC_T00007121001 transcript:CDP18211 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFYSSASARLLITIVFFLPLKEADCSLRQLKMYEFQEKIQEKTIFSSIAATPAPSPAHSIDPKKSDGRVFYPIGYGADPSGVADSSDAILEAIGYAFGIQNKGFQLLPGIKDLGGVVIDLQGGNYLISRPLRFPTGFGNILVQGGTLRASNTFPGHRHLIELWSPNSRKLEKESTTIHHLHGLSDREDQNSGIYYEDVTFRDILFDSSYQGGGLFVIDAARIRINNCYFIHFTTQGVLVERGHETFISGCFLGQHETVGGDSGERGFSGTAIELASTDNAVTDVVIFSAAVGITVSGQANIIIGVHCYNKATYFGGIGILVRAAQTRVESCYLDYNSIVIQDPALVQVSNGYFIGDGNIVLKSINGKASGLNIINNIFAGDPRNMVPIVKLDGEFTDIAQVVIENNIVTGMTLKSTVGKLTVAGNGTQWIADFSSVLVFPNKINHVQYSIYTEGVVAGFPAHKMTNVSNNLVVIGSEKAFRGVISVIVDQYNMDGERNLCNT >CDP12599 pep chromosome:AUK_PRJEB4211_v1:9:8880438:8899174:1 gene:GSCOC_T00036272001 transcript:CDP12599 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVPRYKHLFNISNNFIMAGSKHLVFLKSSLFFPTLTPPKAANFYSQTYPFTAKKLLFSTILAKPRSPIFCRKSRLSYTPLAPIPRPVSLETGEEDVIDSAELRFLRVKLEKLGLNAESCMPGQYNGLICPMCKGGDSMEKSLSLFITQEGDAAMWNCFRAKCGWKGSTRAFADGKSTYGNLSMISIVKQPHREISEESLGLEPLSNELHKYFSERMISGETLRRNSVMQRRYGENQSVIAFTYWREGVLVSCKYRDSTKNFWQEINTEKIFYGLDDIKGASDIIIVEGEMDKLSMEEAGFKNCVSVPDGAPPKVSDKDLPAEEKDTKYQYLWNCKEYLKKASRIILATDGDPPGQALAEELARRLGRERCWRVRWPKKNDVEHFKDANEVLMFLGPGVLREVIENAELYPIRGLFDFKNFFVDIDAYYHQTLGYELGVSTGWRALDQLYNVVPGELTVVTGVPNSGKSEWIDALLCNLNRRVGWKFAFCSMENRVREHARKLLEKHVRKPFFDVRYGDSIERMSREELEEGKVWLNETFYLIRCENDCLPNINWVLDLARAAVLRHGINGLVIDPYNELDHQRPVSQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHHWVGGPPNLYDISGSAHFINKCDNGIVVHRNRDPGVGPIDQVQVCVRKVRNKVIGTIGEAWLSYNRVTGEYMDIDA >CDP12577 pep chromosome:AUK_PRJEB4211_v1:9:9424544:9427825:1 gene:GSCOC_T00036236001 transcript:CDP12577 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYSGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEYEEEEEEVGATA >CDP04538 pep chromosome:AUK_PRJEB4211_v1:9:198737:202996:-1 gene:GSCOC_T00017965001 transcript:CDP04538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 11 [Source:Projected from Arabidopsis thaliana (AT5G47710) UniProtKB/Swiss-Prot;Acc:Q9FIK8] MGEAMGQIKVEVVHGKRLVIRDFKSSDPYVLLKLGNQTAKTKVINSCLNPVWNEEFSFTFSEPVGVLKLEVFDKDRFKSDDKMGHAELSLQPLVAAARLKQILSVATDGMTLRKVIPESDNCLATESSIVWANGEVRQDVWLRLCDVESGEVELKIKLVNLPAVSPAR >CDP04176 pep chromosome:AUK_PRJEB4211_v1:9:3327609:3331111:1 gene:GSCOC_T00017486001 transcript:CDP04176 gene_biotype:protein_coding transcript_biotype:protein_coding MNQISKPGKSRLPYPQAIFRFKHFEPKSQNYSSSLQRVPDINKNKPISNPFYNLLPETQNPNNIVSLVCSTLKLKDDAHLSLSLLHKTMQEQGHLSHLGTQEISRILLRCQSDSSTALTFFRWVKFDLGLKLNSENCCIVVHILVWSRKFSQAMKILCELVKLDKNVSERLDVFKTLLLCTDDCNWDPVVFDMLIKAYLRMGMVKESFRVFRKMVKLNFVLSIVTINCLLNGLSKMNYGDKCWEIYGMLGRIGLHPNTCTFNILIHVVCKEGDVNKVNKFLEKMEEEGFDPDIVTYNMLMDSYSKKGRLKDAIYLYHIMFRRGVVPDLLTYTALINGFCKGGNVSVAHQLFSTMIHRGLKPDLRAFNTLLVGYCKEGMMQEARSLLHDMIGDGIHPDEFSSRMLVEGYHKQGSLISALNLVVELQRFGISVPQDVYDYLGIALCRENRPFAAKSLLERIACPSYEPNPEILCNLVSSFCRCNSLEEALDLKAQMVSKNMRLDLVSYKAIICCLCKLSRCKEAENLIKEMAESGVWPDIEICRALIKRLCQKSNFSEAEYLLRFFAEEFQVFDTGCYNELIRILCEKGDMVKLMEFQDSMIKMGFVPNGRTCKYMIDGLQRVVGMQKGSVSNGTSCTQAANMAE >CDP06325 pep chromosome:AUK_PRJEB4211_v1:9:16228800:16229519:1 gene:GSCOC_T00023113001 transcript:CDP06325 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAALPVVTVIPLENEMEADANSLPLPDVMKAPIRPDILQDVHRDISKNSRQPYAVSRSAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAYGNMCRGGRMFAPTKIWRRWHRKVPVNKKRYAVVSAIAASAVPSLVMARGHRIESVPQIPCVISDSAEAVEKTSNAISLLKKIGAYPDAEKAKDSQGIRPGKGKMRNRRYISRKGPLVVYGTEGAKLVKAFRNIPGMEVAEIRH >CDP17588 pep chromosome:AUK_PRJEB4211_v1:9:7348786:7359263:1 gene:GSCOC_T00005089001 transcript:CDP17588 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQIKRIENSTSRQVTFSKRRKGLLKKAFELSVLCDAEVALIIFSPSGKLFEFSSSSAASTIERYRKSIKNLRPSKRMDVEHLQSETDLHTPHFEEEVANLRKKIELLEETKRRKFLGDGLDSSSIDELEQIEHQLEKSLSIIRSRKILFSCEQINQLKEEEKILRRENAELREKYEERQLELSIGQQFLSLEQGKEVETQLFIGLPDS >CDP04200 pep chromosome:AUK_PRJEB4211_v1:9:3144341:3145673:-1 gene:GSCOC_T00017515001 transcript:CDP04200 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSKSALLLMLSCLIFIEFEFSLASPLLKVGFYNKYCPPAEAIVRKAVYKAVASNPRTAAGLIRMHFHDCFVRGCDGSVLLDTAPGGPAAEKDSIVNNPSLHGFEVIDAAKAEIEATCPKTVSCADIIAFAARDSALIAGGISYQVPAGRRDGSVSLSDEVIQNLPAPFFNVTQLEENFEAKGLSLDEMVTLSGAHSIGVAHCSSFSNRLYNFSATHPQDPALDPEHAAFLKTICPPPSSSGSSNPTANLDVSTPFRLDNKYYVNLKYHRGLLTSDQTLLSSPSTAKQVWYNAVYGSAWAAKYAAAMVHMGSIDVLTGKVGEIRRNCHFVN >CDP04331 pep chromosome:AUK_PRJEB4211_v1:9:2040130:2041557:-1 gene:GSCOC_T00017686001 transcript:CDP04331 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDLFLNVHRAQDYITEILQESGGTFEFKGPWFANMDMLVTSDPANVNHILSKSFSNFQKGPEFEKMFDILGKGIFNAECESWESPRKTIMPLINHQGFQKFVGITSWNKLEKGLIPVLELAAKSGMEVDLQQLFAKFTFDTTCILVLGHDPASPGIDLPESPLGNAFADAEEAVFYRHVVPRTCWKIQRWLQIGAEKKLTKARENLHQFLATNISSKSENLEGINQTAISQDGGGLDLLARYMKAVGVLKEENRTSDIVSQKIWRDALLNLIFAGKDIKGAGLTWFFWLLASNPIEESMVRKEILSNLQVKEGRWQFSNLEEMKKQVYLRGALCESLRLFPPVATQHKAPVNPDILPSGHSINPNTKTLLPFHAMGRMETIWGKVCWEFKPQRWISEQVGITHEPSFKFTAFNAGPRSCKGKEICFIQMKIVAVALLCRFHFHILEGHQTVPDNSVILHMRHGLKLKVSTTSV >CDP17328 pep chromosome:AUK_PRJEB4211_v1:9:19983004:19987728:-1 gene:GSCOC_T00004083001 transcript:CDP17328 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPGHVLPGTLFLVVGIWHTWCSIVRYALSPKSFRVRAWNPVPGCDGKLKYLELYVITIGSFIDMCIELLYSPHLKWFVNGMLNPSHMNNFEHGGMLLMFFVFGLIAFLSEKTSYLPLPDGALSLIASSAFCAEYFLFYFHSTTHQGLEGYYHLILVILIGLCIFSTIAGALMPTSFPVDLFSGIVITLQGLWFYQTAFTLYGPMMPNGCWLKEDKIACHSKESEIRGELLANFQLFSQVLGVLVATVGAYYFAYPANGHTELRISQTLEDG >CDP06334 pep chromosome:AUK_PRJEB4211_v1:9:15705949:15710034:1 gene:GSCOC_T00023129001 transcript:CDP06334 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSFISILALALCCLSSAKAREIHTTESPIDDSPFNLTTSYSYVCHPSRFSELGLDIKKYAYCDSSLPYHIRVKDLIDRMTLEEKVQQIGDTAKGVPRIGLPKYEWWSEALHGVSNVGQQGSKASFFDDVVPGATSFPMVILTAASFNETLWKTIGQVVSTEARAMHNVGHAGLSFWSPNINVVRDPRWGRALETPGEDPFVVGRYAANYVRGLQDVEGTENVTDLNSRPLKVAACCKHYAAYDVDNWLGVVRETFDARVREQDMIETFVKPFEMCVKEGDVTSVMCSYNRINGIPACADRRLLKDTIRGEWDLHGYIVSDCDSIEVMRDRHKWLNDDAEDAVSQALKAGLDLDCGSFYSDYGANAVTQGKVREADIDEALRNLYFVLMRLGFFDGSPKFDNLSKDHICSQDHINLAIEAAREGIVLLKNENQTLPLDSQKIKTIAVVGPHANATDAMIGNYAGVPCRFTAPIDGFQQYGKVTYATGCQGVVCKNDTMIYPAVRAALNADATVIVAGLDLSIEAESLDREDLLLPGYQTELINQVATRSRGPVILVIMSAGGVDITFAKNHPNISAILWAGYPGEEGGHGIADVVFGAYNPGGRLPLTWHKNEYVDMLPMTSMPLRPIYSLGYPGRTYKFFNGSTVYPFGYGLSYTTFNYSLAATKRSLDIKLNKYQHCRQINYTDAAFQPSYGSETILIYWSPPSEIRDAQIKELVAFNKVFVQAGHSAKVKFNLNACKSLRYVDYKGYSLLASGRHTIAVGDNILSFPFSINYEVSE >CDP04388 pep chromosome:AUK_PRJEB4211_v1:9:1506192:1506985:-1 gene:GSCOC_T00017763001 transcript:CDP04388 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNRPFAYSKMDMEDPDEVRHRRAQFLIYKALQQADSPRKRPSWLKVKTNKLKIRIGRRFKRLRKSIFSTVYAAEVGLHKQIISQLKAFKGLFRGQGASIVGLQPMFR >CDP12632 pep chromosome:AUK_PRJEB4211_v1:9:8325899:8333477:1 gene:GSCOC_T00036326001 transcript:CDP12632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g30610, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30610) UniProtKB/Swiss-Prot;Acc:Q9SA76] MAQVGLPLTESSLVFGPNYIQNSIFPSGFPVSQRPFFGIALKFQLHKVKKQSTRIITGSLLANKNVVDEKELQFKPSFDEYLKAMESVKASREKQGPQNSRKDGNSRGKRANQNDTLKDSDRKDNSFSKGDEEDSELRGIGGEVSSVFMGKEGKDDRFSKTVKVKKKNVELKKARKPMKKSFTSGENDSIESEALHIIDRAAFKPLEQLDDVYDKPRITRVDMEERIQKLAKCLNGADIDMPEWMFSKMMRSAKIRFSDHSVLRIIQILGKLGNWRRVLQVIEWIQLRERFKSHKLRFIYTTALNVLGKSRRPVEALNLFQSMQQQMCTYPDLVAYRCIAVTLGQAGHMKELFHVIDCMRSLPKKKFKTGFLQKWDPRLEPDVVVYNAVLNACVKRKNWEGAFWVLQQLKQQGQPPSSTTYGLVMEVMLACGKYNLVHDFFRKMQKTCIPNSLTYKVLLNTLWREGKIDEAILAVEEMERRGIIGTASLYYDLARCLCSAQRCQEALMQIDKLCRVANKPLVVTFTGLIQACVDSGNAKNGAYIFEHMQKFCSPNLVTHNIMLKAFLNQGMFEEAKQLFLRLLENSHNINSKSDYKEKVTPDLYTFNTMLEGCAAEEKWDDLEWVYVEMLKSGYHFNSKRHLQIILDASSAGKGELLEMVWMHLVQGDQIPPFLLISQMFRIKLEQRNFTAALSCFACHPSINSQAFSTKWWLKFFADNVHGLEKDTFVKLMHSANSLVARTDAQNLILQNLKLSCMEFLSQQMASNELSLTEVADRTHCTSTTAFVY >CDP04252 pep chromosome:AUK_PRJEB4211_v1:9:2702101:2705199:1 gene:GSCOC_T00017583001 transcript:CDP04252 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTTEKGAVLEVKTTAEQLKRELQILVQSIVEEDDYALEAADRAMQALSCLKELKLKHSNPQSLLLTLPPPEFRCPLSGQLMIDPVVIASGQTFDRPFIQNWLNEGNRTCPQTEQVLSHMILVPNVLLKDMICRWSREHGIQLPTPVQDVDEEKAIANADQGRLSSLLEKLSSCAVSDQKIAAKEIRQLTKRSPSFRVLFGEITNAISYLIEPLASGKPDIDPDLEEDLITTILNLSILDTNKKLFAENPAVIPLLIEALKFERIETRSNAAAALFTLSAIDSNKYMIGELGALKPLIELLEEGHPPAMKDAASAIFSLCVVLENKSRAVSDGAVGVIMKKIVDHLLMDELLAILAMLASHQKAIEEMAELGALSFLLNVIREKTSERNKENCIAILYTMCFNDRAKLREIRKEEDANGTISQLALNGTSRAKRKALGLLERINRYAAISNTA >CDP04155 pep chromosome:AUK_PRJEB4211_v1:9:3560268:3560960:-1 gene:GSCOC_T00017463001 transcript:CDP04155 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSISNSSSNESHSQHHTLKVFLFCNYILIGAASSCTFLTLSLRLIPSLCGFFLILLHILTIAGAISGCAAASSGAGKWYGTHMVSTVLTAIFQGSVSVLIFTRTSDFLGNLKSYVREDDAALILKLSGGLCVAIFCLEWVVLTLAFFLKYFVYADGDSNGGASGTNGMRRSAKVQDQEDLKDWPWPFQV >CDP06333 pep chromosome:AUK_PRJEB4211_v1:9:15725495:15726172:-1 gene:GSCOC_T00023128001 transcript:CDP06333 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTWLLLGCCIFFISAEAATLQNKVTDNPADQLVAALNSNRTAHKSSTLYSNPGLACIALQYIKAYQGDCNEVGGPNAKKPADGEFAEIFAPNCGVEVKTLGPITGRLLGCETKYVKPDEAFSGILMKNSKSVEILYSKNHTEVGAAVSGSDGGSPYFWCVLFSNGTSNSSFVLEGGVAKISRPGCFSGANDQCNGANALFKIPILVSVAVGALIFSLSSLGV >CDP17296 pep chromosome:AUK_PRJEB4211_v1:9:10141473:10143101:1 gene:GSCOC_T00003771001 transcript:CDP17296 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFSCQSQFKTARWIKLWNSDNEIYAILGNGMSAQYYWTNFNLYNGSNTVLVDDSPYKSFLNSRYNTIFPASYNSLSTKDNYLDPKGNFVQYLEKLADADGVEEFIKQNPFGQLAIIRRDGMSTISSIGVSLNDKRLSG >CDP04178 pep chromosome:AUK_PRJEB4211_v1:9:3292981:3301476:-1 gene:GSCOC_T00017490001 transcript:CDP04178 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVREVDRKYYDRDVCRLFLSGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEESKAKGTDNYDRDLEDVIDRLIVECDRKIARALKRLDDEDAKAAIAISVSEVTQTPEILELSKQIKEKLKEADQYDLEGKTDMKIRALEVVEELRTKRADKQSMLLLDAFNKDRASLPQPLTNPPQLAPLPAATPDPRIQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQENVADSAKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLAELQEERNKKRKAHEEERRSRERISRDRDREPSKDVERGDSRDRGRDHDRQSRDRDRDYDRNRGYDRERDRERDRSRSYDSRSRRRSRSRSRERSRDYDRHRRSDRY >CDP18209 pep chromosome:AUK_PRJEB4211_v1:9:4739986:4743360:1 gene:GSCOC_T00007119001 transcript:CDP18209 gene_biotype:protein_coding transcript_biotype:protein_coding METSRRALALFLTMSLACLVLSIDPLLHGKNTFPIETRFLEQNYLDQMRQMQGFKASFLQRDSLISSPSPAPAPAPYVSAPAPLVTPRPNVYNVTSYGADPTGKTDSTDAILSAISDALQGPSNGFLITGIVNLGGAQISLEGGNYLISRPLQLLAGRGNLVIHGGTLKASDTFPGDRYLIELSATNKNGAFNHEFVTLRDLLLDSSFRGGGIQVVNSLRTSIDNCYITHFTTYGILVQDGHETYIRNCYLGQRITAGGDPKERDFSGTGIGLMGNDNAVTDVVIFSAATGIMISGQANTISGVHCYNKATGFGGTGIYLKLPGLTQIRIVHSYFDFTGIVAEDPVQLDVVNCFFLGDAFVLLKSIKGLVKGVNIVDNMFAGSGKGIDIVQLDQKNGPFKQIHHVVVDRNNVEGMNLKATVARRAVQGSGTSWTVDFNPILLFPDFVNYVQYTLSTSGRSFPNHALRNVSNNNVLIESDVPVQATVFVLVDQGQTHTS >CDP12593 pep chromosome:AUK_PRJEB4211_v1:9:9040539:9042390:-1 gene:GSCOC_T00036263001 transcript:CDP12593 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFLLLLLSFITFSSQPFSATSDTIYDNFAYCLTRNGIPSNQISKILYSPSNSSFSSVLDAYVRNKRLNTPTTRKPSIIVTPLQVQHIQAAILCTKGTGLQLNIRSGGHDFEGLSYVSDVPFIILDLFNLRSISVDTATETAWVQAGATLGELYYRIWEKSKILGFPAGVCPTVGVGGHISGGGYGSLLRRYGLTVDNVLDAQIIDVNGRVLDRKAMGEDLFWAIRGGGGASFGVVLAYRIRLVRVLEIHSVFTVQKTEAENATDVLYKWQNVADKIDNDLFIRVLVQPITGKVKGQKIIRLTFMGHFLGDANRLISVMNTGFPELGLKRSDCLETSWIDTMLWWYKYKIGTAKEVLLSRAYDQLIFFKRKSDYVQNPIPKDGLVSLFKKMSEITYGGKIGLVFNPYGGRMREIPENETPFPHRAGIIFKIQYSVNWEDADPNLINEYVGEARNLYSFMTPFVSKNPRQAFLNYRDLDIGTTDNGKNRYNEGQVYGVKYFKNNFDRLVKVKTMVDPQNFFRNEQSIPTLNLQTSKGRKGRK >CDP18164 pep chromosome:AUK_PRJEB4211_v1:9:4429883:4431802:-1 gene:GSCOC_T00007061001 transcript:CDP18164 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFWTFRRQISSVNTTNFKLPTPVQLNHLLQLCSNSKALNQGKQAHQQIIINGLQQNYFMSTKLVQMYADCNKIEIARRLFDKLSEPNVFAWTAIIAFYSRNGIFNECIFTYKEMKLKGVLPDNYVFPKALKACAVSLHLEVGIQLHKDVIVCGVESNVQVCNALIDMYSKCGELGSGRLVFDLMVGRDLLSWNAMISGYVYNEFAELAVGMLGSMRLDGMEPDIVAWNSVMDAYCRMGQCDDALKIFRQIEEPTVISWTILISGYSRIGKHVEALDLFRTMVRRGKVCPDVDCLSSVLAACQHSGVLRFGQEIHASGIKVQLGNAFYKSAGPALVALYAKCGRTQDVEHVFGLMDTSDVVTWNAMILGFAELGRVDSAVKCFTDMQSMGIKNDHTTVSTLLPVCDLKLGKQIHAYIIKDNFTLASPVCNALIHMYAKCGDVDIAYSVFSQMESRDLVSWNTMIRAFGMNGCGQAAVKLLEEMCSTGVSPSSLTFASVLSACSHSGLVNEGLKIFDRMSTDFGFKPQTGHFTCLVDLLARAGQLDDAVDFIRKMPHGPDKRNWGSILAASLEQQSIRIGVLASEHLVHLEPENAGHYVTLSNLYAKAGRPDDAVRVRRLMESRGLVKQFGYSSVSSGS >CDP18924 pep chromosome:AUK_PRJEB4211_v1:9:7216926:7217843:-1 gene:GSCOC_T00011296001 transcript:CDP18924 gene_biotype:protein_coding transcript_biotype:protein_coding MESTWLLLALAWLVALAFLSKVFTRKRLKLNHPPGPKPWPIIGNLNLIGSIPHQSLHLLSLKYGEIMQLKLGSSPVVIASSPEMAKEFLQTHDNIFASRPTTAAGKYTSYNCSDLTWAPYGPFWRQARKLYLTQIFNPKRLDFFESIRIEERRAFISRLYALSGKPVYFAQFEGEGSLPTFEEFQEMIDTWYLLSGVFNIGDWIPWLDRFDLQGYVKQMKELHKKFDRFHNHVLDDHQARRKTERDFIPKDMVDILLQYAEDPDLQVKLTRDQIKGLIQVLFLL >CDP20640 pep chromosome:AUK_PRJEB4211_v1:9:20673036:20674379:-1 gene:GSCOC_T00007638001 transcript:CDP20640 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQAQMYTENLGFPLGGSQDLLVDNGCGVHKFCLNPPQQQQQQQHHHHHQQQQQGQLPNMQLQPLHNRPQDFYLNSRSLRARDQSMASFSPNVASQIVKQNKEINQLISLQNERLRLALQEQRKQQITLLLKNYESKAQFLLQQKDEEIAKEIKRTIELEDFLTRMETENQTWQRVAKENEAMIMSLNNAIEQLRKGSGGGGACHSGARGVEDAESCCEIVMNSGHPAGQEQRGEPDVQVNEVGMMKCKICSIRNSCVILLPCRHLCSCNSCVAFIESCPVCNVVKKASIEVRL >CDP04528 pep chromosome:AUK_PRJEB4211_v1:9:262344:265743:-1 gene:GSCOC_T00017955001 transcript:CDP04528 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVALPIGKFMAAVLPEEKYTVFGRWSFSLNPGPFNVKEHVVITILASCGAAAGGSEAYSMGAITVMKSYYKLNFIWLHPAAYVILLQLIGYGWAGMLRRYLIEPVEMWWPANLAQVSLFRSVQLFNSLPHFAFFFAKEGLTRMQFFLAFLAASFAYYTFPGYLFPLLTFFSWVCWVWPNSVTAQQIGSGYHGLGLGAFSLDWAGIAAYHGSPLVTPWSSILNIAFGFVLFVYVIYPLCYWKYNTFDARKFPIFSNQLFTSSGQKYDVTKILNSQLELDTAAYAKYGKLYMCPLYAMSLGSGFARVSAVFAHVALFHGSDIWKRFSCGATKIKSDIHAKLMASYKAVPEWWFLIILAVSIALSLLMSVVLRQDVQLPWWGFIFASGLAWALTLPIGVIQATTNQQPGYDIIAQLMIGYILPGKPIANLLFKIYGRTSVIHALSFLSDLKLGHYMKIPPRCMFTAQLVGTLVAGLANLGIGWWMLRSIPNICDVEALHPESPWTCPRFRLTFDTTVVWGLIGPERLFGPKGLYRNMVWLFPVGALLPLPVWILSRIFPDKKWISLINIPLITCGFKYNYVLSSALDAGTAFMGVLVFFALQNSGKNLKWWGTEPDHCPLASCPTAAGIVAAGCPAFK >CDP17619 pep chromosome:AUK_PRJEB4211_v1:9:7744117:7750547:-1 gene:GSCOC_T00005130001 transcript:CDP17619 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSYQNEAELLVKNYLLSDPVIPYSSVLGGILAFKVLYDLVQLISTFYFRSYNSLTKIQRIEWNNRGVSTLHAVFISFMSLYFVFWSDLFLDEHHPGLITLRSSPLSTFTLGVSVGYFLADLGMICWLYPSLGGLEYIVHHSLSGVAVAYSVFTGEGQLYTFMVLISEMTTPEINMRWHLDISGLKRSNAYLINGVFIFFGWLMARILLFVYMFHHVYIHYSQVIQMHSVGYFLVFVVPCALSIMNLMWFGKIIKGLVKMLAKKQ >CDP04248 pep chromosome:AUK_PRJEB4211_v1:9:2727550:2731642:-1 gene:GSCOC_T00017579001 transcript:CDP04248 gene_biotype:protein_coding transcript_biotype:protein_coding MASALHSAFHSNDEGIRFYIRSQHRIGGRCSNGGARPQNALFSVKMWSKRWGSRYIQLQRAPRISLSLGSKCTRLFLNGIKVSNHNTCRTMPEVKEGIGILKEALLITWKEWSQSTKVAVLLIFALLIIPKADAVDALKTCTCLLKECRIELAKCIANPSCAANVACLQTCNNRPDETECQIKCGDLFQNSVVDEFNECAVSRKKCVPRKSDVGEFPAPDPAVLVKNFDIKDFSGKWYISSGLNPTFDTFDCQLHEFHTESGKLVGNLTWRIRTPDTGFFTRSALQRFVQDPKYPGILYNHDNEYLHYQDDWYILSSKIENKPDDYAFVYYRGRNDAWDGYGGAVVYTRSAVLPESIVPELQRAAKSIGRDFSKFIRTDNTCGPEPPLVERLEKTVEEGERTIVREVEEIEGEIEGEVEKVKDTEMTLFERLTEGFKELKKDEEFFLRELSKEELDVLDALKMEASEVEKLFGRSLPIRKLR >CDP21076 pep chromosome:AUK_PRJEB4211_v1:9:8073328:8075662:1 gene:GSCOC_T00003645001 transcript:CDP21076 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLLTAILAYFLAHIAAGAAASNDVAFIYQGFQSSHLSLYGLAKVTKNGLLQITNTTKLQTGHAFYPNPINFKSTSNSSAFSFSTQFVFAMVPEVSGVPSPGMAFVIAPTRILTRGPSTNFIGLYDGSTTGSGTNHFFAVELDTFQDREFADINDNHVGIDINSVNSTVSRPASYQSNNRNSFDNLTLTSGQRMQLWVEYDGVDGRIDVTLAPIAAAKPNTPLLSLPYDLSPILQQTMYVGFSASSSPLDIGLTCFVLGWSFKMNGDAQALDISRLPKLPRSGPKKVSKFFIVGLPLLSLLLLLIIAFGVAYYLRRKWKFAEVLEEWELAYGPHRFKYKDLYIATKGFTQKQLLGEGGFGQVYKGVLPTNMVEVAVKKVSHQARQGMREFVAEIVSIGRLRHRNLVPFLGYCRREGELLLVYEFMPNGSLDRFLYNQPKYTLNWSQRFRVIKGVALGLLYLHEEWEQVVIHRDVKASNVLLDCELNGRLGDFGLARLYDHGTLPQSTHVAGSLGYLAPEHNRTGRATTSTDVYAFGAFLLEVACGRRPIEPRVAPAENVILVDWVFSFWKSGDILQAVDQNLGTEYVKEEAELVLKLGLLCSHSEPKLRPSMRQVLLYLEGSASLPDLSLLATGISAVGLGFAYPSGFEDITSSFASSTDKCFSHSVADSLLSGGR >CDP04147 pep chromosome:AUK_PRJEB4211_v1:9:3622834:3626911:1 gene:GSCOC_T00017454001 transcript:CDP04147 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIEINEERGGRRRSSRGSNYSSTNGTSASAQTTITSRSGSNGSEKMRKLLLGIGFWVQGLRCLPWLGVNFFLKDGLRVDPATSQILQNSATLPMVAKPLYGIISDSYYIFGQRRTPYIAIGAILQSISWLAIAFLSRTSISFFMVTFLLLLGNFGASIVEVANDAIVAETSKQPASSKNSKPSSSGKLQSFVWMASAIGGVAGNLLGGVAIEHFSPQVIFWMFGIILTIQFLITAFIHESFLNLPKTSSSLGIKNQLSELLAALRKPEIFCSIGWFAATYAIVPSLIGTMFYYQTEHLKIESSVLGISKVVGQAAMLLWGVIYEKYLKLAPPRKVISSIQVTLAVFMLSDILFVKGVYRAMGFPDSLYVVVFSGVLEVLFFMKILPFSVLMAQLCPAGCEGSVMAFLMSSIALALIVSGYFGVALASYFSVTGNDFSGLPVALLIQAACTVLPLHWSYFVPDAVKSKTNAKEN >CDP12635 pep chromosome:AUK_PRJEB4211_v1:9:8294646:8295763:-1 gene:GSCOC_T00036333001 transcript:CDP12635 gene_biotype:protein_coding transcript_biotype:protein_coding MELQHILHMNGGEGDTSYAKNSSYQNLVLTKAKPVLERCMRELLPANLPNINKCIKVADLGCSSGPNTLLTAWNIIQSIDKVGQEKKNALEPPTIQIFLNDLFQNDFNSVFKSLPSFYRKLEKENGRKIGSCQIAAMPGSFYGRLFPEESMHFLHSSYGLHWLSQVPSGLVTESGISVNKGSIYSSKASCPPAQKAYLDQFMKDFTTFLRMHSELGTVGFTWPNTPYFHV >CDP04262 pep chromosome:AUK_PRJEB4211_v1:9:2578528:2587071:1 gene:GSCOC_T00017594001 transcript:CDP04262 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFARGLYRIARASARASRCQWAFSSLQSPRNQPTQLLESATHYYSPIHSSQKVLGCRVFYSWVSNALANVGHQTQRQSSPAAEETLDPFSLVADELSLLANRLRSMVVAEVPKLASAAEYFFKIGAEGKRFRPTVLLLMATAIDAPILRPLPDTTVDTLPMELRTRQQCVAEITEMIHVASLLHDDVLDDADTRRGVGSLNFVMGNKLAVLAGDFLLSRACVALASLKNTEVVSLLARVVEHLVTGETMQMTTTSEQRCSMEYYMQKTYYKTASLISNSCKAIALLAGQTAEVAILAYDYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDISHGIITAPILFAIEEFPELRSIVDRGFDNPTNVDLALEYLGKSKGIERTRELATMHANLASAAIDSLPESDDENVLKSRRALVELTQRVITRTK >CDP11237 pep chromosome:AUK_PRJEB4211_v1:9:6791318:6794183:-1 gene:GSCOC_T00033352001 transcript:CDP11237 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRGAASALRAFTSSGDSTSSLVTRHLHASPGSKKIVGVFYDAKEYAAKNPNFLGCTENALGIRQWLESQGHQYIVTSDKEGPYCELEKHIPDLHVLITTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHVDLKAAADAGLTVAEVTGSNVVSVAEDELMRILILVRNFVPGHHQVITGDWNVAGIAYRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKMDPELENQIGAKFEEDLDKMLPKCDIIVINMPLTEKTRGMFNKDRIAKLKKGVLIVNNARAAIMDTQAVVDGCSSGQIGGYSGDVWNPQPAPKDHPWRYMPNQAMTPHISGTTIDAQIRYAAGVKDMLDRYFKGEDFPPQHYIVKDGELASQYR >CDP14511 pep chromosome:AUK_PRJEB4211_v1:9:22026403:22029719:1 gene:GSCOC_T00041022001 transcript:CDP14511 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGSSRGGALLRGLSLVCSVGVLFVIFSSAAAEPFQCNSSGTCDALVDYVLPNSTTLSRVQTLFNVKNLTSILGANNLPLSTPPQRTFPANQTLKIPFPCICTKGATGKSNKLPIYTVVPNDFLYHIAAEVFSGLVTSQQIQAVNNISNANLIYAGQKLWIPLPCSCDQVDGQMVVHYGYAVPARSSVDGIAQQYNTTADVLLRLNGLASPNDLKAGAILDVPLKACASMVSNTSLDYPLLVPNGTYTLTATNCVKCNCDAANNWTLNCEPSQIKSSVWSSCPSMQCQGTDNLYLGNTTSSSCSRSTCAYAGYYNNRTISTTTALDSTCPASNNNSSAMSMQGSWKRNLWLFVLVQVLVLCVWI >CDP04433 pep chromosome:AUK_PRJEB4211_v1:9:1054201:1057594:-1 gene:GSCOC_T00017822001 transcript:CDP04433 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATDGVAAAALKSVLQRVQQTAERCGRGSDRIRVVAVSKTKPVSLLRQVYDAGHRCFGENYVQELVEKAPQLPEDIEWRFIGNLQSNKVKPLLTGVPNLAMVETVDDEKIANLLDRVAGNIGRKPLKVFVQVNTSGEASKYGVEPAGCVELVKHVTLRCPNLEFCGLMTIGMADYTSTPENFKTLADCRTEVCKALGITEEQCELSMGMSGDFELAIEMGSTNVRIGSTIFGAREYPKRQSN >CDP05620 pep chromosome:AUK_PRJEB4211_v1:9:11680509:11681780:-1 gene:GSCOC_T00020774001 transcript:CDP05620 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTAAILTAVGVAILILRWLKKPKVSGGTKSMSLAKYGRFSYYDLLHSTDNYRDSNLVGKGSFGSVYKGILSDDTVVAIKVFNLQVEGSLKSFDKECNVLKSLRHRNLTKVLGSCSNPDFKALVLKYMPNGNLEEWLYLHNNFLDLTQRVNILIDVACALEYLHYGYDTPVVHCDLKPTNILLDEDMVAHVSDFSIAKMFREGESILYTDTLATLGYIAPEYGSEGIVSTRIDVYSFGIVSMETFSRMKPSDDMFLGDLSLKSWVENSLPDALQVIDENLIRPEDEHFTDKLNCVILIMKLAVNCCRESPGERMNMKDVLVELKKIKQQLLLTASA >CDP04107 pep chromosome:AUK_PRJEB4211_v1:9:4009756:4011619:1 gene:GSCOC_T00017406001 transcript:CDP04107 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLPSSQPQLSPPQPPPPQPLLPEPTITITTTITPTPTPLNQEAQEDKTEFMHKSTNNRRYIQLLAINYFCLFVGSVSSALLSKFYFNHKGGSRWVSTWVQSAGFPLLLLPIYLPYYLFKCTDRRPFSRFTPKLLSLSVIIGFLLGINNLLFSWGNSYLPVSTSSLLLSSQLAFTLILAVIIVKQKITFCNLNCVVLLTLSSKIYRQVYCYAMVMEMQMIMEIAATALATIGMAADGGFSEMKNESFKVFDLGPRAYWLTVSFNIVTWQLCFMGTAGMVFLTTSLTGGICMTALLSMNVLGGVLVYRDQFSGMKAVSTLLCVWAFSSYVYGMYMKMEEEKERVRENEKICGHHHHRHHHDHNDDNHHQGIIKYKAAPRGQLSR >CDP04130 pep chromosome:AUK_PRJEB4211_v1:9:3769151:3771955:-1 gene:GSCOC_T00017432001 transcript:CDP04130 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSNPKPKKAETASRARASTSNSKHGTIFNPGQASNDILQVDYEASGEGLREAIKNGDSAAAMKLLNQGVEANYCDRQGSSLLHLAAVFNRTEITFALMDHGANVYFKNSQGETPLDCAPATLQYKMKMKIEESQ >CDP04447 pep chromosome:AUK_PRJEB4211_v1:9:934718:935069:1 gene:GSCOC_T00017840001 transcript:CDP04447 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKSPRLCTALNTLLMDSQSIPTHLRENPEHNVPGVKMVEKDRRAKSMTAYTPYFLGLPAARTQEGGDRNAGEGINCDEFC >CDP05650 pep chromosome:AUK_PRJEB4211_v1:9:12785435:12787852:-1 gene:GSCOC_T00020828001 transcript:CDP05650 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNAGLIAGSHHRNGLVVIRLDDGSSDPRLFQQLQLSRKICQICGDELCPQSKTRFKHLKGCARVEGDEEDDDIDDLDNEFNFAVQESDAAKLSYAPVGTGMESYSTSFGADTASPPNLHIELAQVPLLADSEMIDANIPPQQHALVPSIMPSANGGDRGNKSHALPLLDPGLPVKTRSLDSSKDLAAYGYGSVAWNERMEN >CDP14494 pep chromosome:AUK_PRJEB4211_v1:9:22124064:22127901:-1 gene:GSCOC_T00041002001 transcript:CDP14494 gene_biotype:protein_coding transcript_biotype:protein_coding MGICWSSSSPTDNHSLTTSANMSSAGLSQLTTTNTSSSGNSSNVSGNSRFSVATGSEEAYPNGQILPHPNLRIFSFSELKAATRNFRGDTVLGEGGFGKVYKGWLDEKSTSKNSSSTVIAVKKLNSESMQGFEEWQSEVNFLGRLSHPNLVKLLGYCWEEKELLLVYEFMQKGSLENHLFGRGSAVQSLPWDIRLKISIGAARGLAFLHASDRQVIYRDFKASNILLDGSYNAKISDFGLAKMGPTASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEMLTGLRALDTNRPNGQHNLTDWIKPHLSDRRKLKIMMDVRLEGKYPSKAAVQIAQLALTCLGPEPKTRPSMKEVLETLERIESADEKPKERRVNPTRQTAHRHGQQHLHHRSALHPRNGGHPSYPLPQRA >CDP11297 pep chromosome:AUK_PRJEB4211_v1:9:5702971:5710568:1 gene:GSCOC_T00033448001 transcript:CDP11297 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFAQYSSTIFSRSSLLFLHNNGLRSFALSTPFLFSSSFSTSFPALSTHKLGRPKWRPPVASILEVAGVKVAKEEIVRDDPTNNVPDTIFSKLGMQLHRRDQHPIGIVKNVIYDYFDTNYPNKFDKFDDLFPIVSVKQNFDDVLVPADHVSRSYNDTYYVDSQTVLRCHTSAHQAELLSKGHSNFLVTGDVYRRDSIDSTHYPVFHQMEGLRVFSPHDWEGSGTDGTSYAAGDLKKCLEGLARHLFGSVEMRWVDTYFPFTDPSFELEIYFQENWLEVLGCGVTEQEILKQNGRKNSVAWAFGLGLERLAMVLFDIPDIRLFWSDDERFTSQFSKGQLGVKFKPFSKYPPCYKDISFWISDSFTENNLCELVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIVYRSMERSLTDDEINDLQWKVRDQVESKLNVVIR >CDP05655 pep chromosome:AUK_PRJEB4211_v1:9:12928753:12930068:-1 gene:GSCOC_T00020836001 transcript:CDP05655 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSAISLFIYELIATANYIGTPGKGILAADESTGTIGKRLSSINVENVESNRRALRELLFTTPGALECLSGVILFEETLYQKSAAGKPFVDVLKEGGVIPGIKVDKGTVELPGTNGETTTQGLDGLAQRCQQYYTAGARFAKWRAVLKIGPNEPSQLAINENANGLARYAIICQQNGLVPIVEPEILVDGSHDIEKCADVTERVLAAVYKALNDHHVLLEGTLLKPNMVTPGSEAPKVAPEVIAEYTVRALQRTMPAAVPAVVFLSGGQSEEEATRNLNAMNRLKTKKPWSLTFSFGRALQQSTLKAWAGKEENIPKAQAAFLSRCKANSDATLGKYEGGASLGEGASESLHVKDYKY >CDP06291 pep chromosome:AUK_PRJEB4211_v1:9:17769946:17772470:-1 gene:GSCOC_T00023053001 transcript:CDP06291 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSKHLPHPCRKTQSLPFKNVASAILISGICSNSTNNIAKAAASESENEWESLLKPFDLKQLKKVLNKITPYQLSKFLALPLDVPTSLELFRKASAQKGYCHTFDVYYTLIDKLGAAKEFKALDKLLLQMKEDGIVFRESLFIMIMKHYGRAGLPGQATRLLLDMRKIFSCEPTFKSYSAVLGILVDGNCPKVAVNVFYEMLNKGVSPSVSCFARVIKALCMINEVDSGCSLLRDMTKHGCVPNSIVYQTLIHALSKANRVDDALRLLEEMFLMGCIPDTNTFNDVVTGLCHSSRIHEAAKLVDRMLARGFAPDAITYGVLMHGFCRTDQIDEARALLYRVPNPNIVLFNILIKGFVTSGRFDEATALMREGLMNVGLQPDIYTYNILIHGLCEKGCLVSACEVLEEMSIKGCKPNVITYTILIEGYCNEGRLEEANELLIEMSSQGLSLNVVGYNCLVSALCKDGKIQEALETFGDMSRNGCKPDIFTFNSLIFGFCVVDKMEEALSMYRDMLLEGVIANTITYNTLIHAFLKKGAIHEALKLVDDMLFRGCAPDEYTYSSLLHALCKDGAVEKALGLFEEILRKGVYPNNISCNILINGLCKIGKVQKALEFLREMIHRGLTPDIVAYNTLLNGLCKLGCVREATSLFDKLQFEGISPDPITYNTLISSYCRMGMLNDAHMLLNRGVACGFIPNEVTWHILVRNFVKKGTQENQTFSGYSNCLF >CDP04106 pep chromosome:AUK_PRJEB4211_v1:9:4029682:4035677:1 gene:GSCOC_T00017404001 transcript:CDP04106 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGAITLRNVMASQVVQTSVVSSSSSLSLFASKSPSFPDRLSSVSLCKDRRLSPFLRTDHHCDCDYDGKWRRRSIRTRRSNGGGWVVCVSNAEDTEVSATSSGISTSTAEQETDEPDPEDLEYVSQIKRVLERLGKNRDMTFNEIKLTVMIEDPRDAERRRLLGIDDENAPTRDDLADALEEVNKGKVPENRLALKMLAEEMISWPNLEEEAPRKKSPRKSLYAKATDTGIDPKEAAKRLNVDWDAAAEFEDEDDSDDVDVPPAVGYGALYLVTALPVIIGISVVLILFYNSLQ >CDP04529 pep chromosome:AUK_PRJEB4211_v1:9:257167:262102:1 gene:GSCOC_T00017956001 transcript:CDP04529 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVPLQRPDEMHIPSPSWMQTMSGHDDMYYEQGIPTMITWSYDGNEVAVEGSWDNWKTRTLLERSGKDFTIMKVLPSGVYQYRFIVDGQWKYLPDMPWIHDEAGNPCNILDLQDYVPEDIESISGFEPPQSPESSYNNLQLVAEDYAKEPPLVPPHLQMTLLNVPSAHMEIPPPFSRPQHVVLNHLYMQKGRSPSVVALGSTHRFLSKYVTVVLYKSIQR >CDP18199 pep chromosome:AUK_PRJEB4211_v1:9:4660106:4666176:1 gene:GSCOC_T00007105001 transcript:CDP18199 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPFHTFLGQTILNPHALIIIRKGLPSFCFFVVFLLTPTCAFSHIRQGFEEDKGRKYDLFPEILMEEAVARLNELGEVSDADEYLQRTFQSPASIKAGNLIRLWMEDVGLKTWVDKMGNVHGRTEGINPSEKALLIGSHLDTVIDAGKFDGSLGIVCALSALKVLNMTNRMGRLRRPVEIIAFSDEEGVRFQSTFLGSAAVAGILPASALHVHDKSGVTVQDALRENSIETTEENLLELKYDPESVWGYIEVHIEQGPVLETIGLPLGSVKGIAGQTRLKVTVRGSQGHAGTVPMNMRQDPMVAAAEIIVLLEGLCKQPEQYLSYDGECRASEVQSLSGSLVCTVGEISSWPSASNVIPGQASSPYLMLKKKD >CDP12628 pep chromosome:AUK_PRJEB4211_v1:9:8353231:8353503:1 gene:GSCOC_T00036322001 transcript:CDP12628 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRIEIKKIEDKKKQQVTFTKRRKRLFKMAMELGQKYDAEVAVTIRSNAGNIFAFGHPSIDSIVQRYEEAKETESSAAKRDNDVHQEM >CDP11298 pep chromosome:AUK_PRJEB4211_v1:9:5690108:5694065:-1 gene:GSCOC_T00033449001 transcript:CDP11298 gene_biotype:protein_coding transcript_biotype:protein_coding METIIYHSPFGLLLLCILSASIAISATNITTDQFALLSLRSQITTTDPHHILAKNWSTGSFICEWIGVTCGSRHRRVIGLNISNMGLTGIIPPQLGNLSFLGSLDMSTNNFHGEVPHEFAGLHRLRVLNLDVNNLEGYFPPWIDSFHELQYLTLRNNSFTGPILPSVSNMSKLVTLRLSNNTLQGNIPKELFNISSLEIIDLNGNSLSGSIPDSVCDRLGSLIELDLSFNQLNGHIPSSLGECSQLQMLSLSGNHFISGYIPKELGNLKMLHRLDLAGNRLEGVIPKGIGNATMLKSLNFVYNNITGATPKEIGNANLLRYLNFGHNNITGAIPREINNLHNLEFLSFEMNKLTGSIPVEIFNLSMMRIFGFGMNQLSGNLPSTMCHMLPNLEQLYLEINNFTGSIPNSISNSSRLNLIELSHNFFTGFIPHSLGELRFLEVLNLCSNNLVSDSSSPELGFITLMTNSERLTMLEMCENPFNATLPNSAWNHSSPLQYLYVYSSGIKGSIPDGIGNLTSLVTLSMKNNHLTGSFPDRMQDLQNLQGVDLLRNKLSEITLNSFCVFRYLVGIHLDENQISGSIPECLGNVTSLRDLSLGFNRLNSTIPATLWQLKDLSLDISHNLLSGSIPRSLETLEYLTYLNVSFNNLTGEIPSGGPFRNFTSDAFISNAALCGAQRFHVPPCPKDLSLVARPERLSYFKLLQATNGYSESNLLGTGSFGSVYKGTLDDGRVVAVKVFNLELEGAFKSFDAECEVLRNLRHRNLTKVIGSCSNPDFKALVLEFMPNGSLEKWLYSHNDCLDIMQRLDILIDVATALQYLHREYSTPVVHCDLKPGNVLLDETMVAHVSDFGIAKLLGQDNITFTKTLATLGYLAPEYGLEGLVSTKCDVYSFGIMMMEVFTRTNPNDERFGEKMSLKSWVNDCVPNGMTHILDANLLRANDGEYFIEKLDCLSSIMKVALNCTVESARERSSIEDVLVALKKIKLQLLSYKGL >CDP04562 pep chromosome:AUK_PRJEB4211_v1:9:38608:39406:-1 gene:GSCOC_T00017996001 transcript:CDP04562 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKTRCREFSDFLTKKKNLVINSCHFEYFNDYYSHLLVIILIRENVHHGYNYVLFFMLITTWNQNLSCFISVPFD >CDP12619 pep chromosome:AUK_PRJEB4211_v1:9:8492166:8495420:-1 gene:GSCOC_T00036307001 transcript:CDP12619 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNPSDDLFYSLFFLLAAMATLLSWQLQNLLSVLLSFTSFVLYLKTSCLKSTRNDFKVVEGAENGACQTPVVSANKANDWLIFLLRLFAFFATVSATVVMAINKQTLTVVVATIGRTPIQASVTAKFQHTPAFVFFVIANGLASIHNLLMLIVEFIGHKFDFKGLRYLLIPILDMLNVALVSGGTSAAVFIGELARNGNSRAKWNKICDKFSTYCNHGGGAMIASSIGLVLMIIVTVISITKLRIQKPQNYSRLP >CDP04211 pep chromosome:AUK_PRJEB4211_v1:9:3075493:3077406:1 gene:GSCOC_T00017531001 transcript:CDP04211 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKNHAATGCCVCLKKNLNAASNCSLSKCISITNPTLLSLHQCHLSCSYSTQQCLQLPRADLVHAKAMKNGTSQYLNVGNTIMDLYVKNHYLRNAQKLFDEILQKDVRSWTILISGFSRFGDYRTGLDYFGKMISEGIVAPNRFTLSSVLRCCSGVSNGFWLGKAIHGLIIINGMNLDVALANAILDLYVKCGAFGYAERFFETMDDKDNFSWNIMMASSLSKGDVGKCLDYFKKLPDKVVSSWNTVIDGFLRHGYEGVALELLYEMVNSGHAFDEYTFSISLALLASLKNVELGRQVHGQLLRVGISGDAFARTSLVDMYCKCGQMEKAKLIVQISHQDIMKSQFLTISSHDHRALSILWSTVLAGYVKYGMLIEAFQSLRFMIHEKISVSMVTLTSIVTASADAGLLEVGQQIHSRILKSGHKPDVFFSSSMVDMYAKCGKLDDAWSFFIQAETRNVVLWTTMIFAYAVHGYGEKAVQLFDLMRNDGITPNEVTFVGVLTGCSHAGLIQEGCKYFRMMKEVYGIKPGDEHCTSMVDIYGRAGKLNEIKDFIFKNDISHIGTVWNAFLSACHLHKNVEMAKWVHDKLLELQPSDPGPYVLLSNTCSDNYMWDKASALRGLMQKREIEKLPGQSWI >CDP04304 pep chromosome:AUK_PRJEB4211_v1:9:2253181:2259048:1 gene:GSCOC_T00017649001 transcript:CDP04304 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDEVLDFKDEALLMDAPDASLCPVRNTEEHSEKPVMTPDPADSAAKATSLGDNSHLKDIKPNLDFRGDDEVVKSDLEISKEHGQVATECAEVINKKKGANFSEVSGGNEPVQKNEQLNTLDVIDGDEKEVALESSSVSCSEQNGNAVPMEASVESQVAVEVPVCDAIDRRPLLTELDENIEKEGVSKGKGSFLSSDTKEGAKVEVSNATFQRIDSNAEVTTSIQGDKTEVVAQISETLSHEVQVDQTKDTLNQGAYASLSNAQDSDRSEGAGVSECLHGEVDIGSHETFQPNGDQTNRTAESGTVSQLVESKSECCETNGNDVMQIDIPNALMQVDIPDTKSLEDQKDIGVGEHYQHDGQVDHKEQDLSSPENASEADPTERMEEKTEKLPSLLSIHQAGYFSPPQNENEFSITDLVWGKVRSHPWWPGQISDPAYASEKAVKYYKKDCFLVAYFGDRTFAWNDASLLKPFRPHFSQIEKQSNSEAFQNAVSCALDEVKRRVELGLACSCIQRDAFEKIEYQIVENTGIREESSRRKGVDKTTGADSFEPDKLLQYMRSLAESPFCSVDRLELVLAQAQLTAFCHFKGYREPPVFEGRDATFERDATTLALNDAVDESVPVSNDEEQLPSSHKRKQNLKDSVHTRKERSLSELMSDREYSPDSEDYSDGKALSKSGKKRKAVDSLNDGLDRRITFYAAKVSTTSSSPKPSFKVGDCIRRVASQLTGSAPILKGHNDQTGTDASLLANEESQQGLTVVPSEISSLEEMLAQLQLAGRDPKKGYSFLSNIIIFFSGFRNSIVRKHTSVGRPGGSRKRKANHTTGGYTEEFEFDDVNDSYWTDRIVQNYSEEQLLQNNENGETDYQLVVSEPTRVHKSGRRSQSRKRYSTGNYEMSADEQPEDADRKKFEVSPAELILTFSEGDRLPSEINLNNMFRRFGALKEYETEVDRDSHRARVIFKRGADAEAACSSAGRINIFGSMVVGYQLSYSSSTTSSTLPLLMLQGSEDAT >CDP17602 pep chromosome:AUK_PRJEB4211_v1:9:7539566:7545061:-1 gene:GSCOC_T00005110001 transcript:CDP17602 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQEYLHFKEELIKCTKQNNSILELDFESFFTSIPKSTLMKSIGNEAKLLNKYISTPMFHNKESMPHLLLEFLQSHHYKGKINYDHPNTCAI >CDP06294 pep chromosome:AUK_PRJEB4211_v1:9:17649753:17657338:1 gene:GSCOC_T00023058001 transcript:CDP06294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MASQDHNFHEGHHHHHHDHHHHNPPHEDSEATSWIGPDGRKYHSHDGLAPHSHEPIYSPGYFSRRARPLSNRDFNERAFTVGIGGPVGTGKTALMLALCKFLRDKYSLAAVTNDIFTKEDGEFLIKHGALPEDRIRAVETGGCPHAAIREDISINLGPLEELSNLFKADILLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLASAVGADLSVMERDALQMRDGGPFVFAQVKHGVGVEEIVNRILGSWEAATGNQRR >CDP04175 pep chromosome:AUK_PRJEB4211_v1:9:3331468:3338969:-1 gene:GSCOC_T00017485001 transcript:CDP04175 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSHGNSCLLLLLLLAFLLLLCSSFFSTSLAEIIFEERFEDGWQSRWVKSDWKKSEGKAGSFKHTAGKWPGDPDDKGIQTSTDARHFAISAKIPEFSNKNRTLVLQYSIRLEQDIECGGGYIKLLSGFVNQKKFGGDTPYSVMFGPDLCGTQTKKLHVIYSYQGQNYPIKKDLQCETDKFTHFYTFILRPDATYSVLIDGRERDSGSLYTDWDILPPRKIKAVNAKKPADWDDREYIEDPNDVKPKGYDSIPREIPDPNAVKPDHWDEEEDGIWKPPKIPNPAYKGPWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSVYDNILICDDPEYAKEVVQEVLSNREVEKEAFEEAEKIRKAKEEEEAQRAREEGERRRRERGHDRRKDRYIDRYRRHDRHDYDDYHDEL >CDP17291 pep chromosome:AUK_PRJEB4211_v1:9:10037760:10040920:1 gene:GSCOC_T00003762001 transcript:CDP17291 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFHKESVGKLSTVKEKFPTTDRVRVSIYILGTQTLLTKKSTALPPFPLQHFRLKESIGGFGFF >CDP17620 pep chromosome:AUK_PRJEB4211_v1:9:7752923:7757802:-1 gene:GSCOC_T00005132001 transcript:CDP17620 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAEQSSSISLTSSSFVSNGSDGYNTNASGGTSEARSALQMISLSKLSSNLEQLLPEAGCDYSDAEIEVEAISIGIHRCIVAARSKFFHDLFRKEKGYGSKDDKPKYTMEELLPYGKVRYEAFLIFLGYLYTGKLKPFPVEASTCVDGMCAHDACRPAIDFAVELMYASSIFQIPELVSLFQRRLLNFIGKAFVEDVIPILVAAYYCQSNQLLSQCIDRVSRSDIESISLEKEIPCEIAENIKSCRLKSDAGEKDNVVLVDHLHEKRIRRIHKALDSDDIELVRLLLTESDVTLDEAYALHYAVAYCDPKIVSELLGLSLADVNLQNARGYSVLHIAAMRKEPSIIVSLLTKGACVSETTLDGRTAVSICRRLTRPKDYHAKINLERESSKDKICIDVLEREMLRNPVAGDASFSSLILTDDLQMRLLYLENRVAFARLFFPAEAKLAMEIANAETTSGFAGLLASRGSSGNLREVDLNETPLVQNKRLLSRIDVLSKTVELGRRYFPHCSQVLDKFMEDDLPDLFYLEKGSVDEQKAKKQRFVELKGEVQKAFSKDKAELHRCGLSSSSSSSSLIEGVNCKARKL >CDP04350 pep chromosome:AUK_PRJEB4211_v1:9:1855475:1857061:1 gene:GSCOC_T00017709001 transcript:CDP04350 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTFCITLFSSWLLLASIVGAQSRPLDVRSFGAKGDGVSDISQALLSAWKAACNSPKPSTIVIPRGTFGLLQATLEGPCKAPIELQVQGTLKAPQNPNLIKDGEWVTIQYLDHFTLSGSGTFDGQGARAWAQNDCAKASDCSKLPNNFSFNFLNNSIISGIASLNSKLFHMNILGCNNVTLSRLTITAPGTSLNTDGIHVGRSSGVNITDSTIGTGDDCVSLGDGSKQVSITNVVCGPGHGISIGSLGRYKNEEPVVGVFVRNCTLINTLTGVRVKSWPSATTGAATDVHFDDITMRNVTSPIVIDQEYCPNNQCTLGAPSRVKISKVSFNNIRGTSASALAVKLVCSKTFPCEGVEIGDIDLAYHGSLGNITTNCANVQPTFMGRQNPPICAKNNAAQSS >CDP14526 pep chromosome:AUK_PRJEB4211_v1:9:21848280:21850404:-1 gene:GSCOC_T00041040001 transcript:CDP14526 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRSSCNIAVLSYYLQATLALLVGWVMLQAALGSAAKPPAIFILGDSTVDVGTNSYLQESRARADFPLYGIDFPHSRPTGRFSNGLNGADFLARLTGYNSSPRPFLSLGSPLLSYLRNHAFRGANFASAGSGLLDLTGSALGVVPLSEQVKQFATLQGNLTAVRGSGATEAMLQKSLFCISIGSNDLFDYVVSNSSATPQEFIYLLMKEYENSIKTLYSLGARKFGIISVPPIGCCPTIRLLGAEGKCSDALNGLARAFYSALETLLYGIGSELEDLKYSLGNAYLMTINVINNPQPFHFTSVDKACCGFGKLNAERGCNATANLCLKRQEYLFWDQFHPTQAAANLAANTLYAGPPLYVSPINFAQLVQL >CDP12578 pep chromosome:AUK_PRJEB4211_v1:9:9402650:9404449:-1 gene:GSCOC_T00036237001 transcript:CDP12578 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPWKKVKNTKISQLVTDHLDSQRRLGGPPLVVETGFPTSLVDIVVRNRQRFKKSSKMRKNETNSSILTSPPPSPLSSPPPELSSSSSSSSSPLPSTLLSTTVEETRGESVNELKKEDENFKGVLLAVLRMSFVVVLALGTKKFALGLTMSAFFLFFVEYVGIHVYRLFIPCSEAKKRLRLMVLKFLRIGKQKGENIGFKVSLDQEGQQETYKSGGSDFQDQRSIDPVGKVEIIEPMRFLVPFLEDIQSQEKKSDEFSCDGKLDLQGSDSEVVVLENKEEHSCEVKKRKSRRAKMKTKMKHMFSRKSRRAKKEEGKLEGEISPIGEENVMISEEQDSEYGNGGEQKSSSDLSSISIGSCEGEEALNIISSSWVLLEEGDVDGVVSREGEEIQNKGNSGYLMLCLIVLIGLFGGKISALMFILSWCFLKKSGGKLVRCLTWPVIRFFNPNA >CDP11299 pep chromosome:AUK_PRJEB4211_v1:9:5645726:5659606:1 gene:GSCOC_T00033452001 transcript:CDP11299 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTLRRRLHHGDVDGKRHEHLDCSGVDPLNEPLLGNQQYDHDKASEECTLADLLDDGRSRENLHWAMLFSQLIAQWAQWLANIVFGSGSFIGRLLPFASAPQDGVKRKILPPLLSHLQEARLKHLKQRLAVSFDGDYLQHQDALRHLWRLAYPNKELPSLKSERWKEMGWQGSDPSTDFRGGGFISLENLIFFAKTYPEAFQNLLHKRDGNRSEWEYPFAVAGINVSFMLVQMLDLQSGKPSTLAGIHFLDLLGEDEMAFDNLFCVAFKLLDAQWLAKRASYMEFNDVLKSTRTQLERELALEDISCIQDLPAYNLLKR >CDP19575 pep chromosome:AUK_PRJEB4211_v1:9:20726688:20735213:1 gene:GSCOC_T00006978001 transcript:CDP19575 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEFDDYSEKTAENPETSNGSDQVDKSGGGQDPIKRPMHKSDHHHHQRVKRSRTREEFHEDEEERSSSYRLHSPSRDRERSGRERYRSSRESKDRDKHKSSKKERDGDKIKESDRERDTNKGEDSGRRGRGREDERQRGRERNQDLSNRTSHSERHLVDGEREESRDREKNGDREYGGRERQSQLQEGDRESRRFKEKKEEVAEPEADPEHDRRTVFAYQISLQADERDVYEFFSRAGKVRDVRLVVDRISRRSKGIGYIEFYDVMSVPMAVALSGQPLLGQSVMVKPSEAEKNLVQSTASVAAGDTDLRCPYSGGARRLYVDNLHSNIKEDQLRQVFEPFGTVELVQLPPDLETGHSSRYGFVQFSRLEDARAALSLDGQLDIAGRVMKATVTDQTLRQEVGANAGDFGDDEGGGLKKLDHTRITSSGAGSVGSQVVDNPGLSAPAAPVLGAAPEVSPLVPFGQIPVPALAGWPAAGLSLPSVTFPSIDTIGIPSECLLLKNVFDPKLESEPEFDQDIKDDVEDECSKFGKLKHIYVDKKSDGLVYLRFENNQAAIAAQRALHGRWFAGKMILATFMVPQNYEVTFPQSK >CDP04517 pep chromosome:AUK_PRJEB4211_v1:9:370727:370993:1 gene:GSCOC_T00017940001 transcript:CDP04517 gene_biotype:protein_coding transcript_biotype:protein_coding MEISVKLLHYKYHFAAVLISSLIIAIFVIMAPHFLSIFSYFWPLLLSTSILLGTIIVFGQSAPEFYGNGNGEGFLDYVAGRPEELEDF >CDP04127 pep chromosome:AUK_PRJEB4211_v1:9:3798509:3800558:1 gene:GSCOC_T00017429001 transcript:CDP04127 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLKYSCDPNSPASEGYGSRMLVADNDSVLDWRDYFDHHTLPLSRRNPAKWPHYQPHYREVVAEYSDQMKFLAQRLLGLISESLGLPSSCIEEAVGEFCQNITVSYYPPCPQPDLTLGLQSHSDMGAVTLLIQDNVEGLQVFKDGEWITVRPLCDGILVLLADQTEIITNGKYRSCQHRAITNADRARLSVATFHDPAKTRKVFPAFEPPIYHEVMYGDYVSSWYTKGPEGKRNIDALLIKY >CDP11250 pep chromosome:AUK_PRJEB4211_v1:9:6622499:6626325:-1 gene:GSCOC_T00033373001 transcript:CDP11250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G35120) UniProtKB/Swiss-Prot;Acc:O82179] MATKLWASKAASYLRISVFHRGFATVVKDLKYADSHEWVKVDGNSATVGITDHAQDHLGDVVYVELPEVGKEVKQGDSFGAVESVKATSDVYSPVSGKVVDVNEKLGDSPGLVNGSPYEDGWIMKVEISNKDELNSLLDSEQYTKHCEAEDQKH >CDP05608 pep chromosome:AUK_PRJEB4211_v1:9:11142339:11144764:1 gene:GSCOC_T00020754001 transcript:CDP05608 gene_biotype:protein_coding transcript_biotype:protein_coding MQVALPQAGEVRVKILYTALCHTDAYTWSGKVSSPFVHEAAGIVESVGKGVTKVKPEDHVIPCYQINHLKLKNNTRKLLLHSQADKPPCVVPSKPQIPSKSQGLKQVAADYFQAVHELKLSAPVQDFT >CDP17338 pep chromosome:AUK_PRJEB4211_v1:9:20515029:20515430:-1 gene:GSCOC_T00004104001 transcript:CDP17338 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIIKESSTQWGGDDWGSPSPVPSEAKKTVFSSSGVGQDNKLYAKPMKTEEEESFHGGKKGAKSLPSSATAGAEVKIKITKKQLEELLSKVDVQGKTVKEALALLLNLGDGNETHPRSWRPALQSIPEAEM >CDP04342 pep chromosome:AUK_PRJEB4211_v1:9:1924002:1925101:1 gene:GSCOC_T00017700001 transcript:CDP04342 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMYVDQLTLSGGGTLEGQGAKAWTQNECRVKTECSKLPNTLSLNFVNNTVIRDLTSLNSKLFHVNLFGCNNVTFQHFTITAPGDSPNTDGIHIGHSTGVVITDSNIGTGDDCISIGDGAKQVNISKVTCGPGHGISVGSLGRYDNELPVEGIFVTDCIISGTLNGVRVKSWPASKSGCATNMHFEGIIMQNVSNPMIIDQEYCPNNQCTNTAPSSVKIAQVSFKNITGTSATPAVVTLLCSKSIPCESVEVADIDLAYNGNQGNVSSNCANVKPALSGKLNPPICANTTIPAQAA >CDP04468 pep chromosome:AUK_PRJEB4211_v1:9:733944:734585:1 gene:GSCOC_T00017872001 transcript:CDP04468 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYRRGSVHPSPPLKPDHLSFLPPTILTLTVALSPQDREVLAYLISCSSSSNFSGNNRRRNTSSATANSSNNYSNNSCGVDHPPSFDCYCFGCYMSYWARWDSSPNRQLIHEIIDAYEDGLSTQSKKEKSRKDRRKGGKGSAELKRSEPTPPSGKELTESSDNSREDEIVDTKGSGEADDGGEEREGVEMERSSVRRFVSFLGEKIWGGWT >CDP04227 pep chromosome:AUK_PRJEB4211_v1:9:2947377:2953763:1 gene:GSCOC_T00017551001 transcript:CDP04227 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPSNAFRYNTSFCACNPGYIFNGSRRSCQLFLGWEPVEMQSGVDYSIGFPMTIFNFDSIKKFTQSQAVFLEATLLMLLSWLLLCLILRLVGPLGDGRSPWFRIRWWISRLDVCFATRHWLDDQKVVMKRKTELGGTFSIASWILFIGLFAALLYHVISKRSVEVHSVRAINAPDLTSFVNDMEFNITTISSMSCSNLRGLGTLVAGNPGTLDSKVAPLSSFVNFSCLNTSTGPTITLQCNNCQIVRGVAYISWQFVDLPNDPATAVGYQFKLTAKRHGDKKHLSSVSGTLNSNSNDQSKPITFRGPEPNILKFNLFPRHYRNMHDLRLIQPLFHDFLPGSSFTDISQLRASLENSNDGLINTTLYVNFLSDYIIEIDSQNTVGPVSFLADLGGLYCFSIGIFFYFLVQFEYRIKKLRHEDSVMRNIRNRRKAQERWDKLRKYVMYTWGRSSLDDSHTNVGNGGCCSGIAVESFQKSKSSHRTRQHKNLEMNSFSRNSNLPSHQQAVPEISYAQVTKYSTKPTSNLEERSSYSTSEPERIGFKDVKNVKHDSTVGSNLGEVNKALPLLDGLTIPPPPALESNASGDVNIADLQKSLQNMYDYNVILRDKLVAAQSILHALSEKKSSPSLRSRS >CDP04315 pep chromosome:AUK_PRJEB4211_v1:9:2145725:2153836:-1 gene:GSCOC_T00017665001 transcript:CDP04315 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEGEKRCPLCAEEMDWTDQQFKPCKCGYQVCVWCWHHVMDMAEKDETEGRCPACRTIYEKDKIVAMQANCERAVAKNSSRKSKPPKAKPKTNEVRKDLSNVRVIQRKMAYVIGLPLSLADEDLLQRKEYFGQYGKVSKISLSRTAGGAIQHFIHDTCSVYVTYSKEEEAVRCIQSVHGFVLEGRFLRASFGTAKYCHAWLRNMPCSNPTCLYLHTIGADEDSFGKDEVAAVHTRHRVQEVAGATNNMLRRSGNFLPPPVEEMTTSGSSFIGKSTAKSSFSDSVYGGHLAGGLGVPNKTTFVDIVGRSSSSGPEKDENNAEDRRILDLCSDLSSVAIDKANHVEDTYSSSSPYSSSAHLVDRLPLDGESTEFLDEPFGEDRMPSDNLLSKDSNLSQRACVDHSTYPAQVFEESGGYSLQHGRTHSSSSFSMDQSSVHSLEDDASLPFTCVNSVLNDHRHELKFQTSVKSDRIYRSSNSFSNEEIVEHLRRIEDENLTNDDENCVLDAVESSIVSNILSIDLDSCDDSLSLPHGLTDLLHETDDQRGSSWNSFTSGESGFLFAKQNGFAGQAANFEPYYSNLGQVPQNCTTKEHYLSKPQHPACRPPSLVPPGFSMPSREAPPGFSAYEKTGGFPRTSSGSFVNTSSLPNNLLQMPLAGNNGSNSDIDFLDPAILSRGDSKPTNGLNISGLSTRPAVNQQMGEFDNETRLRLLMQQQARADQDSKYSQIFFQQTSTHKDMGFPGHTGVELSSSGDVYGCPSRLMDQCQSFESSFAQLSQQKFGNGYISNGYQHSLDEVQRRNEAAIAEHQRSEKLGLNKYLSGYGDLMFQMPSSGDVYTRVFGM >CDP12607 pep chromosome:AUK_PRJEB4211_v1:9:8720144:8720938:-1 gene:GSCOC_T00036286001 transcript:CDP12607 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKPSQIAKLQNKVAIVTGGASGLGEETARRFAEHGARAVVIADVQEGKGQQVAESIGLHCCTFIKCDVSDEEQVKSLVDSTVERHGQLDIMFSNAGIVSQCVQDILTFDLNYVMSKHAVLGLIRCASKGLGEHGIRVNCVAPGPVATPMICGRLQMSAEDTERCFEAEIAIKKSGFLKARHVADAVLFLASDDSVFVTGHSLAVDAGYIPTN >CDP19572 pep chromosome:AUK_PRJEB4211_v1:9:20749831:20757559:1 gene:GSCOC_T00006975001 transcript:CDP19572 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGPGQHNVASKDVVPVTTANNDADLKEDDSPVNGLYYNQNVIPANKPGDILKNLQNVSYVYRQDVVRSSINSKLGIVTEVAGDSDSDGSLTDDDDDDDDDEVDDDDGDDDDAEVDNSQKVNQTKDSDSQKNHNLPADQVRVLWIDESETTESINNVKVVDRGFLHGDYVAAASDPTGQVGVVVDVDISVDLLTHDGSIIENISARDLKRVRDFTIGDYVVLGPWLGRIDDVLDNVTVLFDDGSVCKVMKADPLRLKPVGKNILEDGHFPYYPGQRVKANSSSVFKNSRWISGLWKANRLEGTVTKVTVGSVFIYWIASAGYGPDSSTTPAEEQSPKNLKLLSCFSHANWQLGDWCLLPSPVLLSSIPLDKGLSKLELCDSVKEESESAQTGAECSEVVTSEESNGNSELIVLDAEVSLEANNGKAEAKAPAESSSCSSSLSISKESVHESWPLHRKKIRKVIVRRDKKARKKEDNFERALLIVNTRTSVDVAWQDGTIKRGINSTSLIPIESPGDHEFVAEQYIVEKAADDGYDTGETRRVGVVKSVNAKEKTAHVRWLKQVTRAEDPREFDREEVVSVYELEGHPDYDYCYGDVVVRLSPVSLPVEILSTTNSAEESELLHGTYEEEQDAEQHIGCKKVEDESTDEVCTEFSDLSWVGNITGLRNGDIEVTWADGMVSMVGPQAIYVVGRDDDESITGGSEISDDAASWETVEDDEMDTIENADEGVKNRSATDIRIDNEDGSDSSGRNGALSIPLAALGFVTRLASGIFSRGRKHTDPDSRSDDELQLRELAVKIDTDDESCSQRSNGGGSIGSLSTKVKAEEQDDKEVADLLDAAEALCNLKPEANVPTYHDDRASSFKGFDITKDPYDHYFRGTNEQNNASRKWLRKVQQDWNILQNNLPEGIFVRVYEDRMDLLRAVIVGAYGTPYQDGLFFFDFHLPLEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLIRKPPKDFEELVQQHFRRRGYYILKVCDTYMKGYLIGSLTKDASISSNTHANSNSIGFKLMLAKIVPKLYISLSEIGANCQEFKHLQ >CDP04499 pep chromosome:AUK_PRJEB4211_v1:9:526587:529488:1 gene:GSCOC_T00017914001 transcript:CDP04499 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGVTAVSRTELTEFWRTIWRTPYIMRLALSAGIGGLLFGYDTGVISGALLYIRDDFDSVKNNTFLRETIVSMAVAGAVIGAAFGGWLNDKCGRKKSILLADMLFVAGAILMAAAPGPWMIIIGRIFVGLGVGMASMTAPLYISEASPARVRGALVSTNGLLITGGAFLSYVINYAFTKTPGTWRWMLGVAGFPALIQFLLMLWLPESPRWLYMENKTDEARKILEKIYPAEEVEEEVQALKASIEAEKAQEGPVNENFFAKLKAAWGNVVVRRGLYAGITVQVAQQFVGINTVMYYSPTIVQFAGFASNSTALALSLITSGLNVVGTIISMLFVDRYGRRRLMIVSMFGIIVCLVVLSVMFFEAASHAPRVSHSESFNFSVNSTCPSFVQASNPASWNCMTCLNASPKCAFCSNGASQYQPGACLVVDDALKDKCHSEHHRVWFTEGCPSKFGIFTVLLLGLYIISYAPGMGTAPWIVNSEIYPLRYRGLGGGTAAVSNWVANLIVSETFLTLTEALGSAGTFLLFAGFSFLGLVAIFFVVPETKGLQLEEIESVLQEGYKPTLFCCKGKAEEKDSGR >CDP05659 pep chromosome:AUK_PRJEB4211_v1:9:13185074:13189511:-1 gene:GSCOC_T00020843001 transcript:CDP05659 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQKRSENCSDFAAMVPRFRVRVVFGAMRYEISISSQATFGELKKLLTADSGLQPGEQRLIYRGKERQNGDYLDRCGVKDHSKVILMESPEFRERKLIEMRRNAKIQAAHRLIDDVLMEVDKLAEQVCAIEKSITHGNKVPELQITTLIEMLMRQAVKLDIISAEGDACEKKSLQGKRVEKCVETLDVLKLSNARIKPVIVTTNWETFDPPQAIAKWELFD >CDP04439 pep chromosome:AUK_PRJEB4211_v1:9:983653:985594:-1 gene:GSCOC_T00017832001 transcript:CDP04439 gene_biotype:protein_coding transcript_biotype:protein_coding MESFATVPLSRLAVSPTQACRDTRACPFRPWKQRTSQPTRFHCQKMYVPGFGEGSPEAKAAKHLHNFFTYIAVQIVTAQLQSYNPEAYEELMEFLGRHSVNDGDKFCANLMRESPRHKNLAMRILEVRSAYCKNDFEWDNLKRLSHKVVNESNTRLMRDYVRETSHVESEN >CDP04352 pep chromosome:AUK_PRJEB4211_v1:9:1805312:1809052:1 gene:GSCOC_T00017711001 transcript:CDP04352 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLSSKASNAGRKLGRNGLVAVAIDKDKSSQYALRWTVDNLLVKGQTVILIHVLPRSSSTAAAGNTCALNDANQQVEKTAKDLFLTFHCYCTRKDIQCFDVTLQGNDIAKAITEYVAQGAIESLVLGSSRHGFIRRLKTIDVPTAALKGAPDFCTVYVISKTKISQVRNASRLAPFTSPIYNQINQINQVQDQFQVSRSTCTSPDLRPKQIPVMRGDVTPRRPFIPREDHELIRSPFARGRGFTGKTFGDLSESESDISFISSERPSVDRMSALYEGMDSGRTSRISTSSDSSFGSERFGSKASDLSSFYEFSSSSIETDDAEAEMRRLKLELQRTMDMYSTACKEALTAKQKAAELHRWRIEEEKRLKEARLAEEADKNKSESASETDQASQTSQAQSPPIYCGFRYRRYTIEEIEAATDNFSESHKIGEGGYGPVFKCYLDHTAVAVKILRPDATQGRSQFQQEVEVLSCMRHPNMVLLLGACPEYGCLVYECMPNGSLEDRLYRRGNTPALTWQLRFRIAAEIATGLLFLHRTKPEPLVHRDLKPANILLDQYFVSKIGDVGLARLVPPSVAENVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDVYSFGIILLQIITAKPAMGLTHHVARAIENGNFTDILDPSVPDWPVEQALIVANLGLQCSELRRKDRPDLGSVVLPELNKLRELADQSMGHLLLGGIGSAGPSPNNSQTSV >CDP18175 pep chromosome:AUK_PRJEB4211_v1:9:4516588:4518734:1 gene:GSCOC_T00007074001 transcript:CDP18175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20090 [Source:Projected from Arabidopsis thaliana (AT4G20090) UniProtKB/Swiss-Prot;Acc:O49436] MPVKAFASKRLGFNLTSIPCKSSPHFLIHCPFSALPNPLSETEGSHEKDDLSIDSPNACKVFKPPQKVTGEFRVEQPPISHKLFKYAQKSGSYKRGDSTFYSLIENYAKNGDFKSLEMVFGRMKSERRAFVEKNFIVVFRAYGNAHLLEKALELFDRMVDEFHCKRTVKSFNSVLNVIIQEGLYYRALDFHSYVINKKGIRPNVLTFNLVIKAMCKAGLVDRGVETFREMPSWKCEPDVFTYCTLMDGLCKEDRIDESVALLDEMQIEGCFPNPATFNVLINGLCKKGDLARAAKLVENMFLKGCIPNEVTYNTLIHGLCLQGKLDKAISLLGRMVANKYVPNDVTYGTIINGLVKKGRAVDGADVLMAMEERGQQGNDYIYSTLICGLFKEGKSVEALKMWKQMMEKGWKPNTVVYSVLIDGLCKEGKPFEAKEVLSQMVNIGCEPNAYTYSSLMKGFFKNGKSDMAILVWKEMRERNCPYNGVCYSVLIHGLCEDGKLKEAMMVWGQMLGKGYNPDVVAYTSIIYGLCNNGSVEQGLKLFNEMLCKHSDSQPDIITYNILLTALCKQDRITRAIDLLNNMLDRGCDPDTVTCNIILTIVKEKINPSQDVTEFLDELVLRLYKRQRIAGASKVIEVMLQKYLHPKACNWELIIQELCKPKKIHAAINKCWNNLFGRSEG >CDP18200 pep chromosome:AUK_PRJEB4211_v1:9:4667761:4668791:1 gene:GSCOC_T00007106001 transcript:CDP18200 gene_biotype:protein_coding transcript_biotype:protein_coding MGISNLSLFRIAVTFTVDVRAIDDMGREAVLYELSKRMHQICDRRSVFCKIDRKHDANAAVCDKELSLQLSSAAYAALKRIKGEAAIDVPALMSGAGHDAMAISHLTKVGMLFVRCRGGISHSPAEHVLDDDVWASGMAVLAFLETALL >CDP14486 pep chromosome:AUK_PRJEB4211_v1:9:22200039:22205531:-1 gene:GSCOC_T00040993001 transcript:CDP14486 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQLQPSSSAMMMMISSSSSPSSYRWSSSWAGAFRRSKSTSSMGTADHHHQYGGGGGDSIIRKWWEWGWGWILSRKPTFAKDLEMNEAESAALGRQSKGSWRHIFYKVKSELGKLVGSSDNAGLPQTIRYGSSSKNFDRGNTTTYNCHFLCCYGMALSANTAVFGHLRMAFNASLKNCPSSSPSLRQTLFIHPRHHSSNATCRFPRVKFFAVPANAKTAITEETPRSHSTSTTIGTSWAEFAEKVSGEWDGYGADFSKDGEPMELPESVVPQAYRDWEVKVFDWQTQCPTLAHPTHSQLTYKLIRLLPTVGCEADAATTYSLLERNINLLATAAAARPAAATAFAYQSNGCYVAVWPKENGGSLVQLELEHCLIHPRDRESRVRIIQAVRINQQPPAEDGDDHGEPINMMQLEGIQVFCEQWYGPFRNGEQLGGCAIRDSAFASTPALTSCQVTGLWQGLPATATFQPDNSREEEERVNFRELVVDDDDSVPLSVSRDGSSLIPLPKQLWSSSNQEEEQGETWFQVGWLLDRGLAITSKCSFSADAKLKASASNFISSFIPSLLEVVIACETAKELMTN >CDP04451 pep chromosome:AUK_PRJEB4211_v1:9:891212:897746:1 gene:GSCOC_T00017846001 transcript:CDP04451 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRRLARALAASSKTLASFPPLSSRSSPRLQLPQLYNRVFEHRGYSNASEGLPSRLKIETYFPAMLAALFGGGLINVAYADADEDAAKNPMPPQPSQTSSYSDLEETAKKERLRLEELLKSKGVGYGSYPRFTVAVKGQKVTIRFQIPPACEIPLLIANLVSRLGVKVDDSASGADMLLRAWDSGVAWQLTLSQPKQESGENHAQEKDTNATKEDLCILLFRPLIGSDKAEIEFMKPGSLSPEELDALVSVLQIAGQQKTLAVRPRGDVTRAPPMDKTVASLEAMGVKIFGLTEPNVDHAKQEISWDNIAGYSQQKREIEDTILLALQSPEVYDDIARGTRCKFESNRPKAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEVIMSKYYGESERLLGKAFSLANELPKGAIVFLDEVDSFAVARDGETHEATRRVLSVLLRQIDGFEQEKRVVVVAATNRKQDLDPALISRFDSMITFSLPDHLTRQEIAAQYAKHLTQSELAEFANVTENMSGRDIRDVCQQAERRWASKIIRGQANAGEGQVSLPPLQEYVDCATNRQRSLLSIEGENRRPNPLGKKPQFDFV >CDP06327 pep chromosome:AUK_PRJEB4211_v1:9:16101632:16120206:-1 gene:GSCOC_T00023115001 transcript:CDP06327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable starch synthase 4, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT4G18240) UniProtKB/Swiss-Prot;Acc:Q0WVX5] MEAKLASSFLGQRWWCYGGGGLDYCKQGNVRFCPSVSHRFVPASCKMRQRNHSSQNKRQQAKKLYPDRPTGVNFPATSDEDSDMENLSNDGITSLKETADDLDAAEKAEQTDNPTSDDLLATSGEDSDTEKFSKNGITILKDTADDLDAEQTEILPNNNITGLKGDANGMDFASEAELSNENMSLTLLNEIRPVSIAANGEDELSNVHLSGLIGMIRNAEKNIHLLNHARITALEDLEKIRSEKEALQGKMNVLEMKLAETDEKLRVAAQQKMHVELLEDQLEQLRNELLARGDNEGSMHDKHVSLPFSLSEELDVLRTENLSLKNDLQALKADLGDIKGTDERVQMLEREKSFLESSLKGLDNKLAASQEDVSKLSMLKFECKNLYEKVEHLQTLLDKAAKQADQAIFVLQENQELRKKVDKLEESVEEANVYKLSSERLQHYNDLMQQKLRILDERLQKSDEEIHGYVQLYQDSVKEFQDTLSNLKEESKRRAEDEPVNDMPWEFWSQLLLMIDAWLLEKKISTDDAGLLREMVWKRDGHICNAYMSCKEKKQHEIISTFLRLISSPKSSELHVIHIAAEIAPVAKVGGLGDVVTGLGKALQRRGHLVEIILPKYDCMQYELIRDLRALDAVVESYFDGRLHKNKIWTGTVEGLPVYFIEPHHPGNFFWRGQFYGEHDDFKRFSFFSRAALELLIQAGKKPDIIHCHDWQTAFVAPLYWDIYAPKGLNSARLCFTCHNFEYQGTAPASELASCGLDVHHLNRPDRIQDNSAHDRVNPIKGGIVFSNIVTTVSPTYAQEVRTAEGGRGLHATLNSHAKKFVGILNGIDTDAWNPARDTFLKVQYSAFDTQGKTENKEALRRKLGLSSANIGQPLVGCITRLVPQKGVHLIRHALYRTLELGGQFILLGSSPVSHIQREFEDIANQFQSHENARLLLKYDESLARFIYAASDMVVIPSIFEPCGLTQMIAMRYGSIPIVRKTGGLNDSVFDVDDDTIPEEFRNGFTFLTADEQAFNNALERAFFHYKNNSEFWRKLVQKVMRIDFSWDSSASLYEELYEKSVARARAANRA >CDP12570 pep chromosome:AUK_PRJEB4211_v1:9:9586781:9591448:-1 gene:GSCOC_T00036223001 transcript:CDP12570 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSEKNCQVKGFLTPPPKWRSNRCVPVMPRTERKPWSSGNGHLGLKTDLFHVIHKVPAGDSPYVKAKHVQVIDKDPSRAVSLFWAAINAGDRVDSALKDMAVVMKQLNRSDEAIEAIKSFRHLCPLESQESLDNVLIELYKRSGRIEEEIQLLEHKIKNIEDGIAFDGKRTKMARSQGKKIHVTIEKEYSRLLGNLAWAQLQLEDYKSAEESYRKALSLEPDKNKQCNLAICLIYLNKTAEAKFLLQSVRASCVNEQMDESYGKSFERATQILEDLEKQSSVRTMAWEEGICGEAQTPFPSGVRRDVIGLSGDSNGGQSDHPGGGMSQGSSFLHQNKMMSVDNEMKGRTGFWNYKESDLSFPRGGKKRNENQLSNGQLKMYTSPVSLAGHPKDLFTQPRKCLWSSNGDQRRGRLAEEAAGGCNRKLSFEQHKNHEKLQFESLHNYEKIFSLSANNEPKTSRPKASTSENWRRNMLPNHAKTSSELSWKPTDHGECKMNVLETDEQLNAAKDECKEKCSMPPELIVDSERTSVKHFSNVDCEQSSTSLTADNGELIGAAKNDCKEQKSSQQLSATNSKESHDSSKSKKSWADMVEEDEWNKYTDEFKGRGGFSNENFNTNISQNLSQKIESLSLRDGYYTQPHHDTVSKDGTMEQSLSFGVHQNADESANCFSSPVHKRALDFGFDEHHATPNGINLLRRNRLQVFQNITPESPRC >CDP17318 pep chromosome:AUK_PRJEB4211_v1:9:10714554:10720713:1 gene:GSCOC_T00003808001 transcript:CDP17318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Accelerated cell death 11 [Source:Projected from Arabidopsis thaliana (AT2G34690) UniProtKB/Swiss-Prot;Acc:O64587] MAINPHMEGKPLRQMSEAFKALSDSLNSQNSLDEAHLGVGPFSQACSLVSPLFRCLGIAFKFAEMDYVAKVDDLAETSKSVATLQVMMERDIEANCVRKAGSHTRNLLRVKRGLDMVKVLFEQILSSNGNSLKDPASTAYAQVFAPYHAWAIRKAVAAGMYALPTRAQLLKKLNEDEISAKTKMQIYIDSVAPIILYVDKLFTSRDLGIDW >CDP04326 pep chromosome:AUK_PRJEB4211_v1:9:2068151:2069567:1 gene:GSCOC_T00017679001 transcript:CDP04326 gene_biotype:protein_coding transcript_biotype:protein_coding MLETQQRCFLYFCGIRSSFAQIRKSSCYSNQGPFVFRRSVNSWSRLQRIVTQDNDKESTQ >CDP04268 pep chromosome:AUK_PRJEB4211_v1:9:2544807:2546975:1 gene:GSCOC_T00017601001 transcript:CDP04268 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTLSSYCNSFPFLGVIVLHREVSTCHHGLLDHLIGAKSAVNELQCLLDDAATAIQNSNENLQDKDFHGDLDSNTDIITKEDFLPAHAQKPEITDYAALMAVIYGMVKQDYEMQERIVSSLSLKSSSGEMESYCLMWSLRPFIDDEIIHKAWRLIR >CDP12622 pep chromosome:AUK_PRJEB4211_v1:9:8460496:8462538:-1 gene:GSCOC_T00036311001 transcript:CDP12622 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYSPDIFKTKILGEKTAPEALARFLGKMDSISHQLLVDHWEGKEEVEAYPLVKIITLTLSCKFILGTDNPERIARLVSDFDDVTLGMHSIMLNVPGTIFYKANKAAAAIRKELLLVIKEKEAALATGEPLHDILSHMIVITDPSGKHMPEAEIADKMMGLIVAGYSTVATAITFMMKYVGERPDIYDKIRAEHMEIAASKKEGELLEWEDMHKMKYSWNVICETMRLNPPFQGTFREVLTDFTYAGLHHSKGLEEYARLAVLAFLHNMVKKYKWEVLFPDERVIGDMIPTPERGLPLRLYQL >CDP04125 pep chromosome:AUK_PRJEB4211_v1:9:3846264:3848013:1 gene:GSCOC_T00017426001 transcript:CDP04125 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLKYSCDPNSPASEGYGSRMLVADNDSVLDWRDYFDHHTLPLSRRNPAKWPHYQPNYREVVAEYSDQMTFLAQRLLGLISESLGLPSSCIEEAVGEFYQNITVSYYPPCPQPDLTLGLQSHSDMGAVTLLIQDNVEGLRVFKDGEWITVRPLRDGILVLLADQTEIITNGKYRSCQHRALTNAERARLSVATFHDPAKTRKVFPAFEPPIYHEVMYGDYVSSWYTKGPEGKRIIDALLIKY >CDP04458 pep chromosome:AUK_PRJEB4211_v1:9:821157:823508:1 gene:GSCOC_T00017855001 transcript:CDP04458 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGGRKKKGAISQNQNQASAGENNHAPVINGGVDLDSSVSLRRAHELKEEGNKRFQVKDYAGALQQYDIALKLIPKTHPERAVFHSNRAACLMQMKPIDYDSVISECTLALQVQPGFVRALLRRARAFEAVGKHEMAMQDVQVLLSADPNHRDALEIVGRLRMALGPRQEAQQDLQSRPSPAALGASAVRGAPIGGLGPCLPARPVSKKAATPAVGSALSANNKPDKCCPALPTENFPEAKSQLPNVVLKPSQGSSKPSAISVKESKEHGSSSSLPVSTHAQSVEVVTRWRPLKLVYDHDIRLAQMPVSCNFKVLRDIVGKRLPMSKSVLIKYKDNDGDLVTITCTAELRLAESCVDRLSPKDPDSDKQDSAGTLRLHIVEVSPEHEPPLLEEEEEKPAETEATKEDENASNSSLGESVVEPVDNEVVKTEKPAPKEKTGISEDPECKEVEMDDWLFEFAQLFRSHVGIDPDAHIDLHELGMELCSEALEETVTSEEAQTLFDKAALKFQEVAALAFFNWGNVHMCAARKRIPIDDSASKDLMASQLQAAYDWVKEKYSLAREKYEEALSVKPDFYEGLLALGQQQFEMAKLHWSFVVAKKEDLSKWDPTETLQLFDSAEEKMKVATQMWEKLEEHRANELKDPGAASKKEELLKRRKKPGSGVENEGSSAGSQGALSADEAAEQAAVMRSQIHLFWGNMLFERSQVEFKLNLTGWKKNLDTAIERFKLAGASETDIATVLKNHCSNEEASEGQEKRIENVSMALSSKMEDPNEVTQTSRE >CDP04496 pep chromosome:AUK_PRJEB4211_v1:9:547235:551371:-1 gene:GSCOC_T00017911001 transcript:CDP04496 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNVEEFLSVAVDAAKKAGELIRNGFYQTKHVEHKSQVDLVTETDKACEDLIFNHLKQHFPSHKLTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTLGKVPTVGVVYNPIIDELFTGIEGKGAFLNGKPIKVSSQSELVKSLLATGAGTKRDKLTVDTCTNKINSLLFKVRSLRMSGSCALNLCGIACGRLDLFYELGYGGPWDVAGGALIVKEAGGVLFDASGADFDITSQRIAASNPLLKDAFIEALQQSK >CDP14485 pep chromosome:AUK_PRJEB4211_v1:9:22206316:22211846:1 gene:GSCOC_T00040992001 transcript:CDP14485 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRIKDLQDKAGEEVHSHNNDEDIESLRGSKSDSISTGAGQLSKWKRKSIVTLALTVLTSSQAILIVWSKRAGKYEYSVTTANFLVEALKCALSLAALARIWRNEGVTDDNRLSTTLDEVSYYIFAYVDAPGYQILKNFNIISTGVLYRIILKKKLSETQWAAFVLLCAGCTTAQLNPSSDHVLQTPFQGWLMAIVMALLSGFAGVYTESIIKKRPSRNINVQNFWLYVFGMVFNAIAILVQDFDAVANKGFFHGYSLITVLMILNHALSGIAVSMVMKYADNIVKVYATSVAMLLTAIVSVFLFGFHLSLAFFLGSIVVSVSIYLHSVGKVQRQQ >CDP18180 pep chromosome:AUK_PRJEB4211_v1:9:4548671:4552227:-1 gene:GSCOC_T00007081001 transcript:CDP18180 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHSSVFGNYSTINSPKTQCYALKPVAFSSSKQVLLRTHLSFPSNIAITEKRLRIRASVEEVHSEIVGPNVLEDSVKAKVRRKNLAVFVSGGGSNFKSLHEATVNGFIHGDISVLVTNKPDCGGAEFARDKGIPVILFPKVKDGSVLSSKDLVNAIRSYKVDFIVLAGYLKLIPTELIRAFPRSILNIHPSLLPAFGGKGYYGMKVHKAVIASGARYSGPTIHFVDEEYDRGRILAQRIVPVLPNDTAEELAARVLHEEHKLYKEVVAALCEERIIWREDGVPLIQCKENLSLYK >CDP20641 pep chromosome:AUK_PRJEB4211_v1:9:20693897:20698250:1 gene:GSCOC_T00007639001 transcript:CDP20641 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGDGGAAGGDEMVEEEFSVWKKNTPFLYDLVISHALEWPSLTVQWLPLPPPLHDGPLAVHKLVLGTHTSDDFPNFLMLANVHLPRDPASALHPDPDDVIPKVEIVQKIHVDGEVNRARCMPQNPSVIAAKTSSCEVYVFNCENQLINQRESCDPDLRLRGHDKEGYGLSWSPFKQGHLLSGSNDCRICLWDISALPSDKVLEASFMYQDHESVVEDVSWHLKNENLFGSVGDDCRLIIWDSRTNRPQHSFQVHDKEVNYLSFNPFNEWVVATASSDATVGLFDIRKLSSPLHILGSHTEEVFQVEWDPNHETVLASSADDRRLMVWDLNKVGDEQLEGEAEDGPPELLFSHGGHKAKISDFSWNKNEPWVISSVAEDNTLQVWQMADSIYGDDDVAVTADFP >CDP18217 pep chromosome:AUK_PRJEB4211_v1:9:4818221:4822053:-1 gene:GSCOC_T00007130001 transcript:CDP18217 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILLLFLCFLPGCLALTSTNIDTDQSSLLALRAQISFDPQEILAKNWSVASPVCDWIGVTCSSGHRRVIALNISNMGLSGTLPPQLGNLSFLVSLDMRRNNFHGELPPELAQLNRLKVLNLGVNNLNGLLPNWFGSFQGLEFLSLRNNSFTGLMPPSISNMSRLAILILVNNSLEGKIPEEIFNISSLEMISLGVNSLSGSLPDYMCFHLPRLRFIGLSMNKFSGQIPSSLAQCSELQALSFSFNKFTGNTPKEIGNLKKLEVIYFAMNSLTGEIPREISNLHNLEKLNLGWNTMSGSIPVEIFNLSRLKLMSHAGNKLSGILPSTVFYGLPNLEELYLNDNNFVGDIPESISNSSRLYFIILSDNKFTGPIPISIGNLRLLQVLDLTSNNLVFCDSSYPELSFISSLANSKNLRKLSVSNNPLNGILPDSVGNLSTSLQTMYAYGCGLRDCIPDRIGNLSNLIILSLYNNQLTGSLPITVTGLLKLQAIMLHINKLSQVSLEYFCYFNNLGVISLSFNQIVGSIPECIGNVTSLRYLYLASNKFNFAPKTLWNLKDLLVLDLSSDSLTGPLPLDVANLKIATAIDIGGHCNIAYPKTLATLCYLAPEYGFQGLVSVKCDAYRFGIMMMEVFTRMSPSNEMFGENLSLRSWVLDSMASALAPIIDANLLSTTDDHFLVKLDCISSIMKVALNCTRESPGERSNIQDVLEALVKIKLQLLPLV >CDP12623 pep chromosome:AUK_PRJEB4211_v1:9:8457776:8459114:1 gene:GSCOC_T00036312001 transcript:CDP12623 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRRCMVIVGVLFALFMSSTSAQSCSKYKFAGNKVFSTCSDLPYLNSYLHWTYSPSSQSLEIAFRCMGTSSSRWVSWAINPTSQGMVGSQALVAFQKSDGTMRAYTSPIKGYQTRLQEGDLSFPVSDLSAIYSNNEMIIFATLKLQNSSSTLNQVWQEGPLSNDSPRMHPTTGPNVQSMGTLNLLSGESKTTAGASSSKLKSKNIHGVLNAVSWGILMPLGAIIARYVKEFPLADPAWFYLHVTCQLSAFILGVAGFGTGLHLGSQSPGVTYAVHRGLGIAVFTLALVQVSALFIRPKKDHKWRSYWNYYHYLVGYGILGISIANIFKGLDILSPAKIWKRAYIGILVTLASLALLLEVIVWFLKRSKRSAGADRSSHGTNETV >CDP06336 pep chromosome:AUK_PRJEB4211_v1:9:15605724:15606614:1 gene:GSCOC_T00023132001 transcript:CDP06336 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLSNPFLHQPLSPPTLTFSNKKVSKVGCNRMTISCRKKDIHPEFYEDAKVYCNGELVMTTGGTKKEYVVDVWSGNHPFYLGSRSQLLVDADQVEKFRKKFGGLSQIMEIPVLKGEIVIPSRRKSGGKSGKKK >CDP11289 pep chromosome:AUK_PRJEB4211_v1:9:5929361:5929835:-1 gene:GSCOC_T00033438001 transcript:CDP11289 gene_biotype:protein_coding transcript_biotype:protein_coding METGKVVVERVGGKSTATHCYSKYPLKFIIRSKVGPSQTDAVWIYTITYGGGIVSGDSTKCDISVGDGAPQC >CDP04494 pep chromosome:AUK_PRJEB4211_v1:9:554731:559016:-1 gene:GSCOC_T00017909001 transcript:CDP04494 gene_biotype:protein_coding transcript_biotype:protein_coding MWIGSIQSFAPRALASHLASLPVSHVLLLHSLFSLPSLCSCFLSLSSVILFPFSSFFFSQQCCSVTYPRALLTGTKLCCGVVFRSVNSIVFLPPKELPPSLLFASVQILCRSFTFPPPSSRAFSFFLSSELRSFLIRLGDGSAAAILDLKHPNSDIDPDPDLTEAASGGQNYFTEDSRRNNEKKDEHGHHEHESYFGDQDTESLVQTMETLVASVSLESKRLALEDKSDKTKDPKRPALSVGGCRVEGFVQVKKWVANSLDFNKNYDASVFETTIRLVVGGLLSAYNLSGDKDTPSGIPYNVISLAHGNPHNPRSTGVDSILADSGTEQLEFITLSQRIGNPKYRQKQTKNVQRKHTLDNTTYLRSPLTLTCCLAKLNSQMQFHSSTSSFGNFYEYLLKVWIQGNKTASVNHYR >CDP16096 pep chromosome:AUK_PRJEB4211_v1:9:18388328:18401932:-1 gene:GSCOC_T00017129001 transcript:CDP16096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-N-acetylmuramoyl-pentapeptide-transferase homolog [Source:Projected from Arabidopsis thaliana (AT4G18270) UniProtKB/Swiss-Prot;Acc:O49730] MRSHNSTLISKTFHPSLPIFRFRYRSSALCALLARVSFDSGTFNGLKSYAYLKVGVGSGARRHGFLLRHKTFHVNAMDEGSFDVSSFHDWADTDGPVEYAFSSSEGEDSDSEMILQPITDVDLPTRKEHFHPTDDSVTLAAHRFATLGRAQKKRKSIYGILNNFGILAFLIVMFSLVDWCAWRIVRLPLPPFYLIRPFAISIAMVCFVGYICVPLLHSLRLQSIIRNGPARHSYKSRTPTMGGLYFIPIGIIVAEVIVGFSSIEVSGAAAVTLVFATIGLVDDLLSLKNNNNGLSAKMRILLEIAATTCFLCWLCTADISSPYSMKMLVPMPAPLGLLCLGNFYPFLTSFCFVSMANGVNFTDGLDGLAGGTAALAFTGMSIAVLPICADLAVFGASMAGACVGFLFHNRYKASIYMGNTGALALGGALAAMAACTGMFFPLFISSGIFFLEVLSVIMQVSFFKASKHWRGTGYRLFRMAPLHHHLELCGIKEPIIVAGAYVISAVLVFLAGYIGLISA >CDP04480 pep chromosome:AUK_PRJEB4211_v1:9:658273:660233:-1 gene:GSCOC_T00017888001 transcript:CDP04480 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHAKAIKRGKESEGSERGPLWKANMRSLKLESHATKKAVVTPRCKVWSLRGRYMKRQIMGRNARNLGMPFWTIFPAK >CDP04566 pep chromosome:AUK_PRJEB4211_v1:9:13307:18822:-1 gene:GSCOC_T00018000001 transcript:CDP04566 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEIFFFIFGCLFLYLILNPQNLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTFSNLSDNSQRFVSAFFDLISSLAETFVFIYMGFDIAMEQHSWSHVGFIFFSILFIVIARAANVFGCAYLINLVRPTNRKIPLKHQKALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALEVVGDGHDESLGESFEGNNGYIAPPYDGESSSGNRLKMKLKEFHKSTASFTALDRNYLTPFFTSQSGDEDDEDEPMISSRRGDYRGHD >CDP05662 pep chromosome:AUK_PRJEB4211_v1:9:13333517:13333898:-1 gene:GSCOC_T00020847001 transcript:CDP05662 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGKIVRWVPQLAVLSHPAMGGFVSHCGWNSILESIWCGMPIATWPLFAEQQLHAFQLKGIRELMDDKNEIRNRFKEFEKCKAAIEEGGSSYEY >CDP06328 pep chromosome:AUK_PRJEB4211_v1:9:16100610:16101457:1 gene:GSCOC_T00023116001 transcript:CDP06328 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPSFAGKAVKLNPSAPELMGNGRISMKRTSRPAPSGSPWYGPDRVKYLGPFSGDPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFQEGGLNYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGDVTDPIYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >CDP04193 pep chromosome:AUK_PRJEB4211_v1:9:3187016:3192216:-1 gene:GSCOC_T00017507001 transcript:CDP04193 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRYFPFSISVSILLLLSSHVEAAPNAAPVTSLPGFNGAFPSKHYSGYVTIDSNPQKNLFYYFVVSEGNPSEDPLVLWLNGGPGCSGFDGFVYEHGPFNFEAPKSQGELPILHLNPYSWSKVSNIIYLDSPSGVGFSYPNLTTGDFQTASDTHAFLLKWLEQYPEFQANPFYMSGESYAGVYIPTLATEVDKGIKAGVTPRINFKGYLVGNGVCDPKFDVNNGYVAFVHGMGLIPDSLFKATEAACKGDYDHQDPPCKEKVSQIDNLVSGLNVYDILEPCYHQTTSTKNTSLPKSFQELGKTDKPLPVRKRMFGRAWPYRAPVRDGTVPSWPQLTQSLQAQGISVPCTNDEVATAWLNTEAVRKAIHASPESGSWSLCNYLAYNHDAGSMIPYHKNLTSAGYPALIYSGDHDMCIPFTATEAWTASLGYPVVDQWRPWLSNDQVAGYLVEYAHNLTFLTVKGSGHTVPEYKPQEALNFYTRWLQGQKI >CDP12584 pep chromosome:AUK_PRJEB4211_v1:9:9329909:9334221:-1 gene:GSCOC_T00036246001 transcript:CDP12584 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFTVDLNKPLVFQVGHLGESYQEWVHQPIVSKEGPRFFGSDFWEFLTRTEWWVIPLVWLPVVCWFVSKSIVMGHTLPQIALMVVTGIGVWTLLEYTLHRFLFHIKTKSYWGNTMHYLLHGCHHKHPMDGLRLVFPPAATAVLCFPFYNLIKLISTPSTAPALFGGGLLGYVMYDVTHYYLHHGQPTSEVPKNLKKYHLNHHFRIQNKGFGITSSLWDKVFGTLPTSVPAEKSR >CDP17610 pep chromosome:AUK_PRJEB4211_v1:9:7624816:7624944:-1 gene:GSCOC_T00005118001 transcript:CDP17610 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHDIEEDEFEFSRNYFLANELGNSGKKSSRMLADIDIIDE >CDP04150 pep chromosome:AUK_PRJEB4211_v1:9:3586888:3592660:1 gene:GSCOC_T00017458001 transcript:CDP04150 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MGNYSDAHICQCLSRLMTLRRNSTVMINVAAAEEGDCRKTGVQFAEGVLRLADGLLQLGLKPGHVVAIAALNSDSYLEWLLAVTYAGGIAAPFNYRWSLQEARLAMNEVKPMMLVTDKTHDYWHFKLQTDLRWHVSMTAPKLSSSHGDVLTTELLKSSSLNSARLLCAPNNAALLCFTSGTSGRPKGVMLSHSALIVQSLAKLALVGYSEDDIYLHTSPLCHIGGISSALAMLMVGGCHVFIPKFEVKSAIRVIEQHHVTSFITVPAMMADLISSARTTQTTKNFETVNKILNGAGGLLPGLLEGAVKVFPRAKLISAYGMTEACSSLTFMTLYDPTIGSYNQHRQMIDSKTSDSVQQFAGVCVGKPAPHVELRISSNGSPQVGKILTRGPHVMLGYWGQIPSKSSSPADEGWFDTGDIGQTDECGNLWLIGRNGSRIKSGGENIYPEEVEAVILQHPGISRVIVVGLPDSRLTEMVVACIRLKDNWQWDEFNPCNDKDHCLSKEILQQFCKDKNLTGFKIPRNFMLWRTPFPMTTTGKLRRDEVKKEAMSRWVNENVFSSGVLLSSNL >CDP04181 pep chromosome:AUK_PRJEB4211_v1:9:3269185:3278343:-1 gene:GSCOC_T00017493001 transcript:CDP04181 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIRTGITTPSGMSISTAASPAPSASSCNRVVAFFKPPPSRSSFFGHGVGTTRFIGLQLSHSTRCYYSGHGGGSLGSQMNLFDRFARVVKSYANAIISSFEDPEKILEQTVLEMNNDLIKMRQATAQVLASQKQLENKYKAAQQASEDWYRRAQLALGKGDEDLAREALKRRKSYADNASALKAQLDQQKGVVENLVSNTRLLESKIQEAKSKKDTLKARAQSAKTANKVSEMLGNVNTSSALSAFERMEEKVMALESQAEALNQLTSDELEGKFALLESSSVDDDLTTLKKELSGSTKKGDLPPGRTPVTTGSSSSFPFQDSEIEKELNELRRKAKDF >CDP04504 pep chromosome:AUK_PRJEB4211_v1:9:482599:486853:1 gene:GSCOC_T00017919001 transcript:CDP04504 gene_biotype:protein_coding transcript_biotype:protein_coding MQASQASQTSEAIHMLYHQPFQQIESYNLSPFQVLNSNVCADNSSQGAQVSFQACNEQFATLESLPMTDYVNSDSPSTVSISSNRSPFSPQCSQSYMSDVHHSSDNTYGSPLSGSSGVDDSNELRNVLRELEIKLMEESEIDDSSSCSVNNVVGQPVFSTRGNRVVQMAHTMDLKQLLLACAEAVSNAEISTAQGLMNELEQRVSISGDPIQRLSAYMMEGLRARLLSSGSIIYKKLKCKEPTGPELMSYMHFLYQICPYFKFAYMSANVVIGEAMEHENRIHVIDFCLAQGSQWVSFIQALAHRPGGPPYIRVTGVDDSQSVHARGGGLDVVGQRLANVAASCGVPFEFHGAAISGCEVELENLKVRHGEALAVNFPYMLHHMPDESVNTMNHRDRLLRLIKSLSPKVVTLVEQESNTNTAPFYPRFCETLEYYTAMFESIDATRPRNDKQRISAEEHCIARDIVNIVACEGADRVERHELFGKWRLRLTMAGFVPCPLSFSVGNAIRDMLKEYSPNYRLVDRDGALYLGWKNRALATCSAWR >CDP18202 pep chromosome:AUK_PRJEB4211_v1:9:4679402:4682351:1 gene:GSCOC_T00007108001 transcript:CDP18202 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYNIVMLVHLSILFLPKLVFAKCTCESQIKGHGIEHEALEFKLIGIGSILLASAIGVCIPLLLKNVRALQPETGLHFLIKAFAAGVILATGFIHILPDAFASLTSPCLPENPWGGFPFAGFIAMMAAIVTLMMEALATGYHRRAEMQKPQPLDADEEANPTDAGHVHGPAILLERSNSSSLIRNRIVSQVLELGIVFHSTVIGVSMGATQNPKIIKSLIAALSFHQFFEGIGLGGCISQAKFKARTITTMVLFFSLTTPAGIAIGILISKRYNEYSPTALVVQGVLDSASSGILIYMALVDLLAADFMNPKLQTDFRVQFGANFSLLLGACCMSLMAKWGDS >CDP06306 pep chromosome:AUK_PRJEB4211_v1:9:17091678:17097050:-1 gene:GSCOC_T00023075001 transcript:CDP06306 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKHRAIEGVGASSILELKAQLYKSQEESKRDRLSRDPIYTSDHHHLEVHRAKKKITADDPFSHRNSGVQARAAKDKLELKAVNDGSASYAALERKAELYEKLVRGELSDEEDKEKYCVDFFRKGLEHDELQQPQGNTSSNTEWHDEDGGDDGSVVPIIRPVGLGQTAATVDRSEHKRFVMEVHEEAKLAREKASDLKIRRQEQAAARQEKLKRAYLKKQLEKLKASSKGDQI >CDP17337 pep chromosome:AUK_PRJEB4211_v1:9:20507313:20511074:-1 gene:GSCOC_T00004102001 transcript:CDP17337 gene_biotype:protein_coding transcript_biotype:protein_coding MADFEPPSFSLGFDLDLDPDPEPQTARRPEPSTSYNIKPAKRSSAGATIRSNNNDEEEDDFETPTMGFKPQVSDTPRTLKRLRRGPTLATQKGKSKEEWFNVDDEIEEFSSQEDPSPVSIADNASKHHNSICGSSKFTLAGHGLVASQSAIQAEERKKKEVSNASTCNRLVFPELHVSPLRKFQLIDSDSDSDEPSPPGGPQKVVNQVEFSSKDRASGPHLVEAAAEVKKSKASVRMSQSEDLWKEIHSEKTLNIPTPAFDEVCEEYFRSVKVKNGFWNCDKDCYETSNFSKNNVEEHVAGPQPPAHAYYYHEDPRIQKLVHSRLPNFFPLEAGNNQGQKQLNACPIDYMGQFNQREIPKNGKKGPKNGPSSTRSQKSARKSSVQELSQESESWINPRCSAKNPKDAGKRRVHAVGKSSGHWYTAADGRRVYVAGNGQELTGQIAYRQYRRESGAGFKKSRKKAATKKKHARKKK >CDP17322 pep chromosome:AUK_PRJEB4211_v1:9:19798115:19809296:-1 gene:GSCOC_T00004077001 transcript:CDP17322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G46390) UniProtKB/Swiss-Prot;Acc:F4KHG6] MGLFGISNLLLPPLSPPQLAKFTHYKPPPKPSSTAAVAAASTTTPDDKILRQVLCNGVSILLSCGLLLSSPPLSFSIANAFDLPSLVQSSIPASDASSITNCREDEERQEDSGLVTNEGIVEEAWEVVYDSFLDTTNRHRWSPETWLQKKFDVLGMSYQTRSKAHAVIRRMLASLGDPYTRFLTPEEFSKMARYDMTGIGINLREIPDDSGGVKLKVLGILLDGPAHTAGVRQGDELLSVNGVDIRGKSAFEASSLLQGPGETFVDITVKHGNCGPVQALEVQRQSVAKTPVFYRLEQSENGTTSVGYVHLKEFNALARKDLVIAIKRLKDMGAQYFVLDLRDNLGGLVQAGIEIAKLFLNKGETVTYTVGRDPEYVKNIVAEVPPLVTAPVIVFVNKNTASASEIVATALHDNCRAVLVGERTYGKGLIQSVFELHDGSGVVVTVGKYVTPNHMDINGNGVEPDFRSLPSWDEVMNNLSKCHKPPEG >CDP05632 pep chromosome:AUK_PRJEB4211_v1:9:12293242:12294914:1 gene:GSCOC_T00020799001 transcript:CDP05632 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGDAECSKTCPSSGETEADEDENEHEGKIDDDHKQKDGGTSSNSSTVEENEINKPPVRPYVRSKMPRLRWTPDLHLRFLHAVERLGGQERATPKSVLQLMNTKGLNITHVKSHLQMYRSKKIDDPSQGITDHRQVFEGVDPNIFNLSQIPMFPGFHHNHKSTFRYGEATWDRLATLMQISTMRQNMINKIRPGFYDIWTGRNIGSRSSEVYSRILNSNQLSSWHTDELQSTMQSFHDQQEYQQDRLKSSKQVQSKTVYFSSGRSKILGVEEGMILEKQAGVKRKASNCNVHLNLSLGLGPVRDGYHEGLEDDDGHLSLSLCSPSSSKIRRLIDVSSTENACGASTLDLTL >CDP11243 pep chromosome:AUK_PRJEB4211_v1:9:6687250:6688257:-1 gene:GSCOC_T00033363001 transcript:CDP11243 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLHLAFLLLTSLCFGSLAFSFSQDQQDFNQAKNFEGSSNLVNLQYHMGPVLTSPINLYVIWYGHWNPAHQATIRDFLYSFSSPAPSPSVADWWRVVQLYTDQTGSNITSNIILKGEFFDWKYSHGTYLTRLSMQSIIKTALSSRPNALPLNYNNGLYLILTSSNVKVQEFCRAVCGFHYFTFPSIVGVTVPYAWVGYSGTQCPGMCAYPFAWPEYSGKPPPSGNGGNDLMKAPNGDAGVDGMISVIAHELAEVSSNPLVNAWYAGDDPTAPTEIADLCLGVYGTGAGGGFVGAVYKDSWGSGFNVNGVKGRKFLVQWVWNPVRRRCFGPNALD >CDP04340 pep chromosome:AUK_PRJEB4211_v1:9:1956174:1956593:-1 gene:GSCOC_T00017696001 transcript:CDP04340 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNLLSFICQSAEQVFSVLFSLHLLGIIINPTIYGEIPKAKLCIFLIFSFYFSG >CDP04484 pep chromosome:AUK_PRJEB4211_v1:9:628758:632775:-1 gene:GSCOC_T00017894001 transcript:CDP04484 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSSSQLPDPKIVKEGQVIIRARNLRAAANFIPAKSFRARKVYYSNEENGLLFVPKGQAQNAQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDTQRMMQMSGFGMDPSKSLSAEKDGLDIVQHEWALPKFEQRAEAALRKLVS >CDP05609 pep chromosome:AUK_PRJEB4211_v1:9:11154678:11154821:-1 gene:GSCOC_T00020755001 transcript:CDP05609 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKPTIVTNAEGQRTMPFVVAYTKNGDRLVGQITKRQAHYNKNNL >CDP05614 pep chromosome:AUK_PRJEB4211_v1:9:11222612:11231343:-1 gene:GSCOC_T00020762001 transcript:CDP05614 gene_biotype:protein_coding transcript_biotype:protein_coding MKNREMKKRPSAQKGRTFNSKNKRISINQDPFFDAEEKGSKRRRKMEEDNDEDIESSSGEDEEDDGDEGGGFGSGRKGGEGEEDEEEEEETAGEMKLRLSKDLLKLMTEAEKEEQEEEEEEEGSGDDEKRRVEREGQRDSRVLKILQQQQLEESGRLRRAIASRVQKPETSDGFRVLVKHRQSVTAVALSEDDLRGFSASKDGSILHWDVDSGKTEKYTWPTDEVLKAHGAKDPQGQATKHSKHVLALAVSSDGRYLASGGLDRHVHLWDIRTRQHLQAFPGHKGPVSCLTFRQGTSELFSGSFDRAVKLWNVEDRAYISTLFGHQSEVVTIDCLRVERVLTVGRDRTMHLWKVPEETQLIFRAPASSLECCCFISNQEFLSGSDDGSIEHWNVLRKKPVHIVKNAHALVSSSQFEQENGGLPNGYIENGDQSPQNLSPLARSWISSICICRSSDLAASGAGNGSVHLWTIQSESQGISPLFQLPLVGFVNSLAFAKSGRFLVAGVGQEPRLGRWDRIPVARNGVAVHSLKLS >CDP04349 pep chromosome:AUK_PRJEB4211_v1:9:1881742:1882678:1 gene:GSCOC_T00017707001 transcript:CDP04349 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDQLTLSGGGTLDGQGAKAWTQNECRVKTECSKLPNTLSLNFVNNIVIRDLTSLNSKLFHVNLFGCNNATFQHFTIIAPGDSPNTDGIHIGHSTGVVITDSNIGTGDDCISIGDGAKQVNISKVTCGSGHGISVGSLGRYDNELPVEGIFVTDCTISGTLNGVRVKSWPASKSGGATNVHFEGIIMQNVSNPVIIDQEYCPNNQCTNTAPSSVKIAQVSFKNITGTSVTPAIVTLLCSKSIP >CDP04402 pep chromosome:AUK_PRJEB4211_v1:9:1330101:1333060:-1 gene:GSCOC_T00017779001 transcript:CDP04402 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGLFSPKRQCLFIRGFHLAKQFANPSAEDIIFKAICVNLRQKKWNLLDKMLPSLTSSVVSRVFHEFQRSPPVVLEFYNRIGGYKFILDSSSCCGIVIHVMVNCRKYDDALFLMKELMMARGCSPLTVLEILLNSYDSVFSSSAVFEALVGVCTQIGSTKGAYEVINKLRVEGFWLSIHAWNNFLSHLLKLDKVAQFWMVYKEIISYGYYENVNTFNLIIYAFCKESKFFEAISVFYKMLKNGIMPTDVALNMLIDGACGMGDLNVALKLVRKIGTMSKGCVTPDSVTYNTLINGFCKLGSVARAEDFLDEMLKMGIKPNVRTYATLVNGYCRNGCLEEAFRLCNVMVEKGLMPNAVVYNSVIHWLYMEGDVNGASILLCDMIKRNICPDKFTYSILANGLCMNGHMSEAVKYYKWILEMKLVEDVCPANILINYLCRTKNVSGAKQLLCNMFVRGLIPDLVTYGSLIDWYCKEGNMENALRIYDDMVKVEKNPNLVIYNSILDGFCKDQSVNAGKLLLDALKQADYFDIVTFNTLLNGYCISGNMDNAFQLLVGMKKAGISFNRVTYNILINFLCKFGLIEQAKELVDMMLAQGINPDSVTYTTLIMSGIKHCEAEEVVELHDYMVLKGVIPDSHANQAIVRPLTAGVYSDST >CDP06303 pep chromosome:AUK_PRJEB4211_v1:9:17296327:17297157:-1 gene:GSCOC_T00023071001 transcript:CDP06303 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVGARWKKKKQLIERLIYAEGAQSELYTLFFNWIGPRIDFIPGIDGPSEWTDQGMNAKKRIYL >CDP14482 pep chromosome:AUK_PRJEB4211_v1:9:22259113:22265518:-1 gene:GSCOC_T00040989001 transcript:CDP14482 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAGTGMPLLRARVIPLQEIAVVPIPMLRSSFYVLYNYNKDISSSKKNCGYPGNGRLTRRCLSISAAHAGSPPGDSQVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFIIRIEDTDLDRSTKESEEAVLRDLSWLGLEWDEGPGVGGNYGPYRQSERNSLYKHYAEKLLQSGHVYRCFCSNEELEKMKEIAKLKQLPPVYTGKWANATDEEVQEELSTGKPYTCRFRVPREGKLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDASMAISHVIRAEEHLPNTLRQALIYKALGFSMPYFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPRAMVNYLALLGWGDGSENEFFTLEQLVQKFTIERVNKSGAIFDVTKLRWMNGLHLRSLPTGEVIKLIGERWKSNGILTESEGLIVEEAFHLLKDGIDLITDSDKVLSSLLSYPLYATLTSAECKSVIDDGLPDVAASLLAAFDGGELLGALEEGQSGWQKWVKSFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGSTVLLLYKAGKSGAVASQVEFLTLDQRFNLLREIEWTSFQRDQPVLQSATGVP >CDP12579 pep chromosome:AUK_PRJEB4211_v1:9:9395226:9397546:-1 gene:GSCOC_T00036238001 transcript:CDP12579 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFTVDLNKPLVFQVGHLGEDYEEWVHQPIVCKESPRFFENDLLEFLTLNTWWVIPLVWLPVVCWFVKSSVNMGVSSNQLAATIAAGIFIWTLLEYTLHRFLFHIKTKTYWGNTIHYLLHGCHHKHPMDGYRLVFPPAATAILLVPFWNLIKLLTPTLYAPALLGGGLLGYVMYDCTHYYLHHGKPLQGVSSKMKRYHMNHHFRIQDKGFGITSTFWDRVFGTLPPKSGKKLR >CDP11254 pep chromosome:AUK_PRJEB4211_v1:9:6581256:6585032:-1 gene:GSCOC_T00033377001 transcript:CDP11254 gene_biotype:protein_coding transcript_biotype:protein_coding description:HISTIDINE TRIAD NUCLEOTIDE-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT1G31160) UniProtKB/TrEMBL;Acc:Q8GYJ9] MVAAMNSLFLFRGPLTIRALAFVKASNSIPIRSLPLFHRPPFSFRRTMSSTSATNDEETSARVAAADADTGGPTIYDKIIAKEIPSTIVYEDDKVLAFRDINPQAPVHVLVIPKSRDGLTQLGKAEKRHGEILGELLYAAKIVAEKEGIVDGFRVVINSGPTACQSVYHLHLHVLGGRQMAWPPG >CDP04483 pep chromosome:AUK_PRJEB4211_v1:9:639419:642601:1 gene:GSCOC_T00017893001 transcript:CDP04483 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLSPRPPLPTVHYRKSSPPRKNSARNQLTSYNNSARVNPTWASLQHSLQCNGRFSCLFSNNRKQEEARKALESALGGKKTEFEKWDKELKRREQAGGGGNSGRGGWFRWFGGSDDDHFWEEAQQTSLTILGIIAMCLIIAKGDLMIAVVLNPLLFLLRGTRNGLTFLTTLVREKLNSVTNPSVSTGQQRQISAPVSARENVMKKWGSS >CDP11238 pep chromosome:AUK_PRJEB4211_v1:9:6720219:6721364:-1 gene:GSCOC_T00033355001 transcript:CDP11238 gene_biotype:protein_coding transcript_biotype:protein_coding MISCHYHNYRHGSIVRRSIKYQFGPTRNMSSRGADSPSPADDIGIAFPECDRLHRALSDCHRRMPAGLSRQAACRHLNHSLAQCLVAVACPNESEAVRNLCSSGGTALKRHQCRQAQQSLAVCLSSHQLQES >CDP04534 pep chromosome:AUK_PRJEB4211_v1:9:240428:244951:1 gene:GSCOC_T00017961001 transcript:CDP04534 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVRLLIPKLSSSSSSCFIVKNNPTRTKYYGFFSNHQILAFLSYPITSSSARSRTRTRITGLSSCTSPGKFSQGTTRLGSYCLKNNTTRIRSLSSMNTTSALEVSSSLPPDKLDRILAGKDDDHDGVIVQLTDQPLDPSLFTSLLHASLSHWKLQGKKGVWIKLPIELANLVEPAVKAGFYFHHAEPKYLMLVHWLPSTTNTIPANASHRVGIGAFVMNEKNEVLVVQEKSGRFRGTGIWKFPTGVVDEGEDISAAAVREVKEETGVDAKFVEILAFRQSHKSFFDKSDLFFVCMLRPLSFEIQLQETEIEAAQWMPFQEYAAQPFVQKHQLFKDISDVCLAKKDGQYAGFTPVPAETSLSAKKTHLYLNKQGLNYE >CDP04555 pep chromosome:AUK_PRJEB4211_v1:9:96167:98092:1 gene:GSCOC_T00017988001 transcript:CDP04555 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEEDISAAAAAANLRNDAHQQQHQQPPVTSSNITCFNNNSVINLHSLTHLTDYLPHLQTHVNPLDSIPFFHPISGFYLSPTDVIFRNILFDLSVSAGTSGSATHHLQRLDSYHRAGPRKHIYFDHSHVRAAIVTCGGLCPGMNTVIRELVVGLWDLYGVRHIFGIKSGYRGFYSASTDPVPLCPKMVHDLHKRGGTLLETSRGGFDLRLIVNAIENRGYNQVYIIGGDGTMRGAVKIFEEIKRRKLKIAVAGIPKTVDNDVGVIDRSFGFQTAVEMAQQAISAAHVEAESAVNGVGLVKLMGRSTGHIALHATLSSRDVDCCLIPEIEFYLEGKGGLFEFLESRLKQNGHAVLVVAEGAGQRLIPRSKEAAEGQAGKDESGNAVLLDIGGWLKSELKKWWGRDHPEEELFTVKYIDPTYTIRAVAANATDNLYCTLLAHAAMHGAMAGYTGFVCGPINGNYGYIPVEEVAGANNVVDTNDHKWAWVRSVNNQPDFMRT >CDP04161 pep chromosome:AUK_PRJEB4211_v1:9:3454258:3455533:-1 gene:GSCOC_T00017470001 transcript:CDP04161 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPDKLIAKADKLTKLSLTRWSADWKSATVLYEQAANAFRLSKKHEKAKEAFEKASKGQEMLSSYPVYDYYF >CDP05604 pep chromosome:AUK_PRJEB4211_v1:9:11005968:11029703:1 gene:GSCOC_T00020744001 transcript:CDP05604 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMAGLSTASPVETILDKENYTLEELLDEDEIIQECKALNGRLINFLRERAQVEQLVRYIVEEAPEDAEKTRTFKFPFIACEIFTCEVDIILKALVEDEELMSILFSFLEPGRSHSTLLAGYFSKVVICLLLRKTVPLMNYIQAHQDVIGKLVDLIGITSIMEVLIRLIGADEHLYANYTDVMQWLEGTDVLEMIVDKFSSSDCPEVHANAAEALCAITRYAPPGITSKISSPSFVARLFRHALEDSRPKSVLVNSLSVCISLLDPKRLTSGAYYMYSRQMIQGSGITPNPETVDGMLESLGDLLKLLDVSSEDYVLLTTYGKLQPPLGKHRLKIIEFISVLVTVSSEAAEKELIRLGAVKRIVELFFEYPYNNFLHHHVEQIILSCLESKNSSFVEHLLHDCNLVGKMLEAERDSILATDPTKPTVPAEEKSPPRIGNIGHITRIANKLVQLGNNNGDIQSHLQENSEWVDYHTNVLPKRNAVENVFQWACGRPTALQDRARDSDDDDYQDRDYDVAALANNLSQAFRYGIYSNDDIDETHGSLERDDEDVYFDDESAEVVISSLRLGDDQESSSLFTNSNWFAFEDDNTVNERSTGTVASPSPKIEGTGAHEKNILHGDDDLADTATSEFPESKPSLNDPATEDMPKKSRETETESDKPPEWVEWRESSEYGETSDPTSDLDDTARPPCLPNGELQVESKDQVDEVSPASTDASPSDADVPVDALETEVGISQESSDVTSGSRPSESGDVKPNSQNDDSLHPEKPPITAEGSSGGSESKQ >CDP05657 pep chromosome:AUK_PRJEB4211_v1:9:13019010:13020089:-1 gene:GSCOC_T00020838001 transcript:CDP05657 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSLTESRRKLVVAVEHNTNETFMFNGGLCGVPRYLVPPCSTSIDHKSSTKKIAHVIFLTLGITAALLAMISYFDLLQATESYGESHVLETGSTCGSVYRLILKDGMLVAVKESMAYTKILATFGYIAPEYGLEGIVSTQCDAYPSQLISFFSFCILNFNFR >CDP06316 pep chromosome:AUK_PRJEB4211_v1:9:16512407:16513712:-1 gene:GSCOC_T00023091001 transcript:CDP06316 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKWPFKVAGSPDGLRKSTLRKHFQDIIYGLVYLHANSVVHGDMTTDNLLVAASGIVKIGDFSVNQVVKVMNCSLSLLGRRTMFICLHTDIWMLLYIPLYKSSVSLN >CDP14498 pep chromosome:AUK_PRJEB4211_v1:9:22087881:22091681:1 gene:GSCOC_T00041006001 transcript:CDP14498 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLWVGPTGDPTPNTSVRKRLVQAIEYLRKSTSDKDALIQIWVPVTRGGGRVLSTKNQPFSLDPNCRSLAEYRHVSRNYQFAAEEDSKELVGLPGRVFLKKLPEWTPDVRFFKGEEYPRVNHAQQYNVRGCLALPVFQRGSGTCLGVVEIVSTAQKVNYGPELENICKALEAVDLSSSIISTSPNMKDCDESYQLALVDIRSVLKYVCDMNKLPLAQTWASCIRQGKGGCRHSEENYVRCVSTVDSACYVRDPQVMDFHAACSEHHLLKAEGLPGGAFMTDQPCFATDITAFSKTDYPLSHHAKIFGMRAAVAIRVQSIYTKSADFVLEFFLPLDCTDAEDQKQMLNSIFSALQQICHCLHVITDQKVREETTIPDDEKPSSSVSKLVKEKTLGFVSPAKELSLSTSSWVAPALDNSGTVKDVAFSLDNHQEDPEEECKLTTQWDNNKAELHHTPTSPKLIQIQQRSGLKGHVVGSEDVSAVGGHNSMGDRSTGQRRRTKTEKSISLQDLRQYFSGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLRKLQLVIDSVQCAEGAIQLSSFYTNFPELNSANLPTPSNLSMSQGSDHLQKLTTQPEGCILSPETTASKSPSSSGSHSSSSSFCYSTGPMQSIPVHAPSAQDASSAEECRAALKKTCSDAELHDLVKKEETKLLGRSQSQKIFIDDVSPDTLPSLPQTSSQILQSGSLFRVKVNFGEEKVRFSLQPHWVFADLLKEVRGRFSIDDLIEIDLKYLDDDNEWVLLTCDADLEECIDIHRSSKSTVIRLSIHQGRHFNVGSTSGSHGS >CDP04525 pep chromosome:AUK_PRJEB4211_v1:9:283020:285936:-1 gene:GSCOC_T00017951001 transcript:CDP04525 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGNTFTFYTGVESVTADCKGSKVVVKGKSADPVKVCERIHRKSGRKVELISPLPKPLEEAPKAPVEEKKMEEPPAVITVVLNVQMHCEACAQVLQKRIRKVQGVESVTTDVANNQVVVKGVVDPEKLVTDVYKRTRKQASIVKDEAKKEDEKKDDVKTEVKENEKKESEEGKEGDDVKTDAKKSEYWPQRYYYMEYAYPSLMFSDENPHACTVM >CDP12601 pep chromosome:AUK_PRJEB4211_v1:9:8859367:8866950:1 gene:GSCOC_T00036274001 transcript:CDP12601 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQPRHSLCHSHTTLPQLETADLFHSHLNQPAMKLNRLSNGVLRPLLKHLATSRTFSSSSTSASSVPKWDGGVSMVQGASRGIGLEFVRQLLETRDEGYVVATCRNPTAATGLMELKNKFIERLEIQRLDVTIESTMEETANAVRNKYGSLNLLINTSGILSIPDVLHPETTLSKVQQSSLLLAYEVNAVGPILVTKHMWPLLKVGGGSGTEREVAVVANLSARVGSIGDNRLGGWHSYRASKAALNQLTKTMCVEFARRKDPLICLLLHPGTVDTDLSRPFQRNVPEDKLFSKEFSVQKLLSIINNAKNPDNGKFFAWDGSEIPW >CDP12620 pep chromosome:AUK_PRJEB4211_v1:9:8482458:8490951:1 gene:GSCOC_T00036308001 transcript:CDP12620 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGPAGGVNKVVIVVMVCLGMFIGCSWCQDNGNAEAAVYIVTLKQAPATRSNAEVKVKDQHFGSASPSRMNRLNRTSNVSRSDRGYGSYISRVHDTLLRKALRGEKYLKLYSYHYLINGFAVLVTPQQAGKLSGRQEVSNVVLDFSVRTATTHTPQFLGLPQGAWAKEGGSETAGEGIVIGFIDTGIDPTHPSFSDTTSENSYPVPQHFTGICEVTPDFPSGSCNRKLVGARHFAASAITRGIFNASQDYASPFDGDGHGTHTASVAAGNHGVPVVVAGHHFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGIATFFNPIDMALLSAFKAGIFVIQAAGNTGPSPKSIFSFSPWIFTVGAAAHDRIYSNSILLGNNVTISGVGLAPGTNNTMCTLVSALDALSDSSAGNDMYVGECQDSTNFNQDLVQGNLLICSYSIRFVLGLSTIKQAMETAKNLSAAGVVFYMDPFVIGFQLNPIPLSMPGIIIPTPEDSKVLLRYYNSSSERDGTTKKIVKFGAVACISGGIKANFSYLAPKIMFYSARGPDPEDTFLDDADILKPNLVAPGNFIWAAWSSRGTDSIEFQGENFAMMSGTSMAAPHIAGLAALIKQKYPTFSPSALGSALSTTASQLDKNQAPIMAQRAYANPELNQSPATPFDMGSGFVNATAALDPGLIFDISYDEYASFLCGINGSAPIFLNYTGQSCSVCAINATDLNLPSITMSKLNQSQTVQRLATNIGANETYSVGWFAPYGVTLKVSPTHFSLASGERQVLNVSFTAQINSTAASFGRIGIFGHLGHVVNIPVSVIVKISYNTTSDTNFLQ >CDP06301 pep chromosome:AUK_PRJEB4211_v1:9:17343324:17349160:-1 gene:GSCOC_T00023067001 transcript:CDP06301 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTVGGAAQLIVGHPFDTIKVKLQSQPPPLPGQPLRYSGAMDAVRQTLAAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMEALVRSEPGAPLSVNQQVVCGAGAGVAVSFLACPTELIKCRLQAQSALAGSGSAAVAIKYGGPIDVARHVVQSAGVTGLFKGLVPTLAREVPGNAVMFGVYEAIKQVLAGGQDTSILGRGSLMLAGGLAGGSFWLSVYPTDVVKSVIQVDEYKSPKYSGSFDAVRKILASEGVKGLYKGFGPAMARSVPANAACFLAYEMTRASLG >CDP06319 pep chromosome:AUK_PRJEB4211_v1:9:16422683:16425700:-1 gene:GSCOC_T00023096001 transcript:CDP06319 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRNYNGSRYGLRNLRCNSISDVIPRGGSASESKVKRDVLRKRSPEAQSSASSSGRRGSMARSEDGHVSAFNTGISVTGSRHGRSWVSNSDSRTAPLRTRKGLNSNTRIGLSNLDNRNALLTSESSSGIAQLSRPETPNSASYQSSMEGSSNASSSCRLSARTGIMSLTPTEHGITHALRRRNLNGVAEVLLALERIGQDEEYEQLLALENDLFLGHLNFYDQHRDMRLDIDNMSYEELLALEERMGTVSTALSEEAFAKCITKCIYQTATADVGAAGCSDDEADIRCGICQEEYVAGDEIGKLGCEHGYHVVCIHQWLRLKNWCPICKASAAPSQSSLSS >CDP05635 pep chromosome:AUK_PRJEB4211_v1:9:12336253:12336774:-1 gene:GSCOC_T00020802001 transcript:CDP05635 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYFVKGGKRIWLSGWLQTVGFPIIASPLLISYFHDRKTEGPNTKLVLMKYPLFIAAAVIGLLTSIGNYLYAYGMARLPVSTSSIIIASQLAFTAVFAFLLVKQKFVAYSVNAIVLLAVGARVLAMHISSDRPKGESTKMYVLGFLMTLAAAALYGLILPVVELMYLKTKQG >CDP04213 pep chromosome:AUK_PRJEB4211_v1:9:3054646:3062995:-1 gene:GSCOC_T00017534001 transcript:CDP04213 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSGIPISRASVVAEGSSSLDQDALWQINLRSREPMEAGTYPVREGEPDCSYYIRTGLCRYGATCRFNHPPNRKLAIATARMRGEYPERMGQPECQYYLKTGTCKFGATCKFHHPREKAGIAGRVTLNALGYPLRPNEIECAYYLRTGHCKFGSTCKFHHPQPANMMVSVRGSPVYPSVHSPTNPGQLSYPLSRASFIPSPRWQGPSSYAPVLVPQSMVSVSGWNAYSGQLGSGSSAESQQQTAGNDQTHGMLQRETVFPERPGQPECQFYMKTGDCKFGAVCKFHHPRERILPAPDCVLSPIGLPLRPGEPLCIFYSRYGICKFGPSCKFDHPMGVFTYNVSSSSTEPPTVRRLLGSSSGTGPLTLASEGLVEASSTKSRLLPLSEARKPSGDTSIDPEE >CDP05663 pep chromosome:AUK_PRJEB4211_v1:9:13445493:13451473:-1 gene:GSCOC_T00020848001 transcript:CDP05663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyperosmolality-gated Ca2+ permeable channel 3.1 [Source:Projected from Arabidopsis thaliana (AT1G30360) UniProtKB/TrEMBL;Acc:A0A097NUQ9] MEFRAFLTSLGTSFVIFVVLMFLFTWLSRKPANYVVYYPNRILKGLDPFEGSKRTRNPFAWIREALSSTEADVIRISGVDSAVYFVFMSTILGILVLSGLVLLPVLLPVAATDHSLHLTVNETSQGTFNDLDKLSMGHVGANSPRLWAFVTATYLVSIVAYCLLWKAYNHVSDLRAAALESPEVKAEQFAIVVRDIPRPPEGQTRKEQVDSYFKAIYPETFYRSMVVTNNKKVNKIYEELEGYKKKLARAEAIFAESKKNGNPEGTRPTNRIGFLGLIGKKVDSIEYYNEKINELIPKLEAEQKVTLREKQQPSALVFFTSRVTAASASQSLHAQMVNTWTVLDAPEPRQLIWTNLPKKYYEREIRQYFVYIIVFLTIFFYMIPIGFISAFTTLENLKKLLPFTKPIVKQKVVSTVLEAYLPQLALIIFLALLPKFLLFLSKTEGIPSESHVTRAASGKYFYFTVLNVFIGVTVGSSLFGTFKQIEKDPNSIVPLLATSLPKSATFFLTFVALKFFVGYGLELSQIVPLIIYHLKKKYLCKTEAEVKEAWAPGDLGYATRFPNDMLIVTLVFCYSVIAPIIIPFGVVYFGLGWLVLRNQVLKVYVPSFESYGRMWPHIFIRIMSALLLYQVTMLGFLGVKKFPYTVLLIPLPIISIIFCVVCDKKFYRSFQSTALEVACKELKEVPNMESVFRSFIPPSLSAEKSDDDQFEDALSQVSRTGSTL >CDP04292 pep chromosome:AUK_PRJEB4211_v1:9:2354103:2355328:1 gene:GSCOC_T00017632001 transcript:CDP04292 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCRQQNTPPSPDQNATPRTQYPCAACKSLRRRCSDNCVLAPYFPSSEPLRFNIVHKVYGASNIIRRLQELPEHQRSDAMNSMVYEAAARITDPVYGCAGTVTLLQQQILGLQEELAKAQGEILNMQCQIANLVSLNWMEMFPSQEQETINVSGLQQDIIHVSEKQPWDDSDTTNFSLEQLCIHEKAMGI >CDP04502 pep chromosome:AUK_PRJEB4211_v1:9:494683:500828:-1 gene:GSCOC_T00017917001 transcript:CDP04502 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESGKLFIGGISWDTDEKRLREYFEAFGDVVEAVIMKDRNTGRARGFGFVVFANAYVAERVVKEKHVIDGRTVEAKKAVPRDDQQNLSRSNGSIQGSPSPARTKKIFVGGLPSTVNESDFKKYFEQFGTITDVVVMYDHNTKRPRGFGFITYNSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPIRSNLSGYGHGLNRVNNFPNAYPQGYSPSSMAGFGMRMDGRFSPVTVGRSGYLPFSSSNYAMGPNLDSGLSSDFGGSGSYSPNIGYGRGLNPIQSVYSNRFSGPVGYGVGIGGSGSLVNSASRNMWANGGINYDSKTVNSNDFVGSGSGNTELLGAFGTIGAIWGSSPGSGQGGGNGSFSSSSINYGNGEDSFMAGAGYGKVSGSNVDTNASYAARSDTHDMAFGNLYGSGSIYDEPAWPSSSPELASSGTFSYRLGDTTSNVTPSNSVGYVGGYSVASRSNRGIAA >CDP06323 pep chromosome:AUK_PRJEB4211_v1:9:16254844:16256758:-1 gene:GSCOC_T00023109001 transcript:CDP06323 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAQEKQTHVTIQGAQQSISPDSVGEAIGNQESSVPLLHRNKRWLLMALFSVLVLFGQSAATLLGRLYYEKGGNSKWLSAFIQGAGFPILLPFLYNTKDRKNNSSEQTKTPSPLVLGSIYMVLGTLLAAVGMLFSVGLLYLPVSTFSLISATQLGFNALFSFFVNSQKFTPLIVNSLVLLTTSSALLVLQNDDSSGSSKSYKGKYAIGFICTLLAAALFSLILSLSQLAINKILKRQTVKELLDFLIYQSLVASCILLVGLFASGEWRTLSAEMNKFELGKASYTMTLLWIAVCWQIFSIGMVGLILKVSSLFANVISTLGLPIVPILAVFIFKEKMSGVKAVAMLLAIWGFMSYIYQHYLDDLVSKSGKKDVDSKEISLIQN >CDP04381 pep chromosome:AUK_PRJEB4211_v1:9:1563537:1567871:-1 gene:GSCOC_T00017751001 transcript:CDP04381 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPIITRSYLTAAIVTTIGCSLDIISPYNLYLNPKLVVKHYQFWRLITNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYVSESFARIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLKTPAFIKSLFAEEPVVMARPANVRFAPPPVDQVPPN >CDP04293 pep chromosome:AUK_PRJEB4211_v1:9:2338509:2339734:1 gene:GSCOC_T00017633001 transcript:CDP04293 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCRQQSTPPCPDQNATPPIQYPCAACKSLRRRCPDNCVLAPYFPSSEPLRFTIVHKVYGASNIVRRLQELPEHQRSDAMNSMVYEAAARIRDPVYGCAGTVTLLQQQILGLQEELAKAQAEILNMQCQIANLVSLNWMEMFPSQEQEPINVSGLQQDIIHISEKQPCDARDTTNFSLEQLCTHEKPMGI >CDP18930 pep chromosome:AUK_PRJEB4211_v1:9:7314636:7315061:-1 gene:GSCOC_T00011305001 transcript:CDP18930 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLAFRYNYTFKFNEKSDVYSLGIVLLELITGKPAIIKGTDDVNIHIVEWVNKRVERGDIHEIMDPKLKGKFNINSAWKFLEAAMTCTMAMASQRMSAMELLVELKQCLAIELSEEIASRAADFPQDGGLEIDTAPSPR >CDP17631 pep chromosome:AUK_PRJEB4211_v1:9:7935427:7936515:-1 gene:GSCOC_T00005146001 transcript:CDP17631 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVFVHGSTGPIPNEIGNLLKLEILGLGWNSLSGSILVAIFNMSTLRELDLADSHLSGVLPSNMCHGLHNLEEIDLSLNNFSGAIPASISNCCISLRYISVGNNQLNGVLPRSIGNLSISYLYLSQNQISGSIPGCFNNLTSLKHLDIAFNRLTSTLPMSLWDLKGLEWVNLSSNLLRGPLAPEMGELKNLTRLDLSNNQFSGKIPSTIWSLESLDHLSLANNSLQGSIPDNL >CDP12558 pep chromosome:AUK_PRJEB4211_v1:9:9939615:9949180:-1 gene:GSCOC_T00036198001 transcript:CDP12558 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOB1 [Source:Projected from Arabidopsis thaliana (AT3G08550) UniProtKB/TrEMBL;Acc:A0A178V9W7] MAGLYTSLRPQLPSSASSATSLHSFTSKLLLLLTVLPLTLAFFAFVLQWRGGGVDDPISRWSPEESHKFPGMDSSPLATVGAHSSQSSDCSSLLGHANTASFPYYRDWKFNFQADLKPKICITTSTSAGLEQILPWMFYHKVIGVTTFLLFVEGKAASPDVSKVLESIPGVKVIYRTKELEEQQARSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVKARDAGMDWIIHLDTDELLHPAGGREYSLRRLLLDVPGNVDMVIFPNYESSVERDDIKEPFTEVSMFKKNYDHLTKDTYFGMYKEATRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRSAFIIASTATEEEMLTWYREHVVWGDRTLNLKLLRKGILTRIYAPMVVIQGLREAGVFSTVITSAQASLSKDKFLASIEKSNSTRAVSSQSLPSRKIGKNHDSQATARRIFDTAAAAYHEAAIPPLSPPVMDNDLMNEA >CDP12606 pep chromosome:AUK_PRJEB4211_v1:9:8722047:8728587:-1 gene:GSCOC_T00036285001 transcript:CDP12606 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLSSSARRRYLQFLLQFQWLLVLVFNYQISLFAAQETEEAVGYGYVIKSVGTDSAGRSMTAQLDLIKESSVLGPDIPNLLLTASFETNERLRIRITDPNNQRWEVPYDILPRQPPTQLLQPPPNHSNIRHLLSDSTSDLVFQLHNTFPFGFSVSRLSTGETLFNTTPEPNNPNTFLIYKDQYLQLSSSLPPDRANIYGLGEHTKSTFRLKHNQTLTLWNADIGSVNLDLNLYGFHPFYLDVRLPNGKSHGVLLLNSNGMDIVYTGDRITYKVIGGILDLYFFAGPTPEMVIDQYTQLIGRPAAMPYWSFGFHQCRYGYKNVSTLERVVAGYAKAGIPLEVMWTDIDYMDAYKDFTLDPVNFPLDKMKIFIDMLHHNGQKYVVILDPGISINQTYATYTRGMQADIFIKRENMPYEGRVWPGEVYFPDFLNPAGGLFWSNEISEFHKLVSFDGLWIDMNEISNFNTSSPNPTSTIDNPPYKINNSGAHLPIASKTVPGTAVHFFNVTEYNVHNLYGFLESRATNQALVKLKGKRPFVLSRSTFVGSGKFTAHWTGDNAATWDDLAYTIPTILSFGLFGVPMVGADICGFSLNTTEELCRRWIQLGAFYPFARDHTSIDTISQELYIWDSVAASARKVLGLRYQLLPYFYTLMYEAHLKGTPVARPLFFSFPDDINTYGISSQYLLGEGVMISPVLKQGAVTVDAYFPAGKWFSLFNYSQSVSLTEGQYVTLDAPPDHINVHVCEGNILAMQGKAMTTQAARKTSFNLLVVVSSKENSTGKLFLDDGEEAEIASDKGMWTLVQFNSYMYNGTVTLESKVVNGDFALSQRWIIDKVTFLGLDNVRELKGYAITTSNSQQKYQTAGMRSMRRMKMLVGKELKLELKLSI >CDP16098 pep chromosome:AUK_PRJEB4211_v1:9:18219563:18222711:-1 gene:GSCOC_T00017137001 transcript:CDP16098 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDYNFRPIIVDRYSICLGSIKTANESAFSSFFPDAFETLPICRLSASIFFHSLGPRTRTKLRRLEIMSKRRTREPKEENVTLGPAVRDGEQVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDIAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >CDP06310 pep chromosome:AUK_PRJEB4211_v1:9:16712447:16713329:-1 gene:GSCOC_T00023083001 transcript:CDP06310 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIAQPLIQFTKQPYIEDVGPHKIESIQFSTFGEFEILKAVEVQVYRSVYYDSAKKSWENGLLDPHMGPANKNGICETCLGTLENVQGTTDI >CDP04197 pep chromosome:AUK_PRJEB4211_v1:9:3154184:3159145:1 gene:GSCOC_T00017512001 transcript:CDP04197 gene_biotype:protein_coding transcript_biotype:protein_coding MTANIVSGNTCGGLHRQALLFLSWVTSFSISLWEVVVFTGGRTQPGTTKPDEGDRHPYSIIECEPKRDVILPSVVYIQKILRRKPFLIKNLENVMRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVGKGLVLSFITDFFKEYLIDNSLDDLISILKRGKMEENLLEFFPPAKRTPEAFSEHFTKEGLLPLVEYNDKKIFEVKLKEMKSALTSQIAEEADISEVIETVKQHVKDAKFPDIEVVRILWDVLMDAVQWSGKNQQQNANSALRQVKTWAKLLNTFCTSGKLELELIYKIQVQCYEDTKLMKLFPEIVKSLYDEDVLAEDTILHWCRKGTNPKGRQTFVKALEPFVKWLEEAEEEE >CDP18183 pep chromosome:AUK_PRJEB4211_v1:9:4555029:4559078:-1 gene:GSCOC_T00007084001 transcript:CDP18183 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAHDNDKSENEKSGAELNGLLEILPPVEFCCVYGSTLHPNNLDKNSMIDCIIGVSDPEKWHSENLKMNRNHYASWLVHFGGARLIAGVADDIGVGMHFNPFVSLNDKMYKYGVVRMDDLINDILGWQRFYLCGRLQKPVNIIMDSLDLENLNHINLRAATSAALLLLPSKFTEEDLYAKICSLSYMGDLRMLFAEDKNKVKKIVQGQFSLFHRMYNPFLEEYAAKDLLRLSSSGDAQVTISQDCGSSAASKFVSSLPPPIRSQMGIKLGEKKILDELGRVKQQVVIGSKEEAAQCMQKLLRNKVMISSARQAVAGLLTVGVFGGARYVSKKMQKAWKS >CDP14536 pep chromosome:AUK_PRJEB4211_v1:9:21742874:21745143:-1 gene:GSCOC_T00041053001 transcript:CDP14536 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLITRAAGGAAVTSLYFFPFLTCVEAFRPNDDALLRLIAQSCPNLRHLSFHGSYNATEGAILEVMSKCPKLELIDFSDSPYFTPTVLEHMSTCCPEVRGIRRNGFLQPGFASALAVGFPNLRILNLSGSTIVDKDLLTIMTARIGIQYLDITNCQQLKCYMHIIKRAPVQISQILYD >CDP04408 pep chromosome:AUK_PRJEB4211_v1:9:1268748:1271462:1 gene:GSCOC_T00017787001 transcript:CDP04408 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSGNSTASSSTSSSSTTTLKPEDYIHSPVHYAVATGDHSSLSKIVSSLPRLTDPTRVLTESDSLSQEKLADQISAVLDRRDNRHRETPLHLAVRLNDVFAVRTLAVAGADISLQNAAGWNPLHEALLRRCSEIVITLLQHHHMSSWSKWRRRLPRLVAALRRMRDFYMEISFHFESSIVPFIGKVAPSDTYKIWKRDGNLRADTSLAGYDGLKIQRANQSFLFIGDGDQSLDIPSGSLLVLNHDEKKIFDAFENGGTPLSDADIASFCNQTSVYRPGMDVTKAELVSRTNWRRQEKTENVGEWKARVYEVHNVIFSFRSRKITAVDGSEQILPIDLELDEDSEEGFLVAENPRFSVSGDDWQARRHSSFVRDERERVMVSRKSVDIIPETRRRLPPSAAASISLAPPPQTKEKEYVKNLRPLIWLTEQFPLKTEELLPLLDILANKVKAVRRMRELLTTTFPPGTFPVKVAIPVVPTVRVVITFTKFVELQPIEQFYTPFSSPRHFVNGGRSSEDDGTESNYYSSSSTSSSSSFSWLSRSSGRSSSTGNKQQNGSLCNGGQQSDPFAIPNGYSWSRVEEKSRKMKKSKSTRRAK >CDP19574 pep chromosome:AUK_PRJEB4211_v1:9:20736996:20743526:1 gene:GSCOC_T00006977001 transcript:CDP19574 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDVEMKEQPATSNSVTLTAPSTLQHLKEIASLIETGAYAREVRRIVRAVRLTIALRKKLKASVISAFLTHTLTPGSEVHSRLSSYIPKDDEHEMEVDTATSATQAPTKHSLPELEIYSCLLVLIFLIDQKRYNEAKACASAGIGRLKNFNKRTLDVLTSRLYFYYSLSYELTGDLAEIRGNLLALHRIATLRRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAALGFRVQCTKWAVIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFRTVAEKFSSTFSSDRTNNLIVRLRHNVIRTGLRNISISYSRISLADVAKKLRLDSANPVADAESIVAKAIRDGAIDATLDHANGWMVSKETGDIYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNSHKEKECAEKRRERQQQEQELAKHIAEEDDDEF >CDP04413 pep chromosome:AUK_PRJEB4211_v1:9:1223316:1223851:1 gene:GSCOC_T00017794001 transcript:CDP04413 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAFSLVVFLLALCVMEPCVLFGVEARRVVFVHCNSDDDCQFICPPGTTSFKCVSRICECHPPENSAAKPETANDAKDLNARPKEAVP >CDP17325 pep chromosome:AUK_PRJEB4211_v1:9:19936223:19945121:1 gene:GSCOC_T00004080001 transcript:CDP17325 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRGGSGATSTTTATSTKTNNGNKSVNNGGAGGLQSIPSGSRKIVQSLKEIVNCPEAEIYAMLKECNMDPNEAVNKLLSQDPFHEVKSKREKKKEGKDTSESRPRGTSSTSNRGRIGTDRYPSRGGSSAESGALHGRPAHKKENGPNAYASSLSSTSAVAGNSTSRRPTSYSSDAAAAASATATEVKGPALGMLDSASLVSQPSGYQPTWVGAPGQISMADIVKMGKPQSKASSNVNHQHIQGPSSTAYQNLRFPEDHASKVPVEHLEPDVSSAQHASMDDEWPSIEQPVPTSLPSVSKPPVDHELHPDSSNLPFDTINIDSGADEVQAIEDGSVEDHEGNHVGPPTISSRKLQEDNSGSASLFDNDLYRNRGSYQPQNHTYDRQGVEDGGMSVSSVTANLQELSLQKEDRELTVERDGPSVVIPDHLQVQSADCSHLSFGSFGSGISASFSGPSASIPVKTNLEEAPTEADESIGHTETRNSEYYGDESLRNASDGNLFHRTGASTASYDSSSASQPEPLKVESLEVERGNQYAFPSSTPGYSFENPQQLNIGFSESQTSSQMQNLSPFANVMPSYTNSLPNTLLAASVPSGRESDLPYPFPVTQSMGTKYGNSVSSIGGPSISMAEAVKNVGFSSTQLTPQTISGTSVATGPALPQHLAAHPYSQPTLPLGPFANMIGYPFLPQSYAYMPSFQQAFAGNSTYHQSLAAVLPQYKNSVSVSSLPQSAAVASGYGAFGSSTTVPGNFTMNQPAAPSGTNLGYDDVLSAQYKDSNHLISLQQSDSSGMWLHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQPSQNYGSLGYPNFYHSQTGMSLDHQQQNPRDGSLGGSQGQPKQSQQIWQNSY >CDP04156 pep chromosome:AUK_PRJEB4211_v1:9:3555293:3559811:1 gene:GSCOC_T00017465001 transcript:CDP04156 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNAVSFPTGKVSAFTNGSRPSRSHNRVFMASTLHSPSSLDVKTVKKPFTPPREVHVQVTHSMPPEKHEIFKSLDGWAEKNILVLLKDVEKSWQPSDFLPDPASEGFEEQVKELRERSKEIPDDYFIVLVGDMITEEALPTYQTMLNTLDGVRDETGASPTSWAVWTRAWTAEENRHGDLLNKYLFLSGRVDMKQIEKTIQYLMGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARLAKEFGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDGTMVALADMMRKKISMPAHLMYDGRDDNLFEHFSAVAQRLGVYTAKDYADILEFLVGRWKVEKLTGLSSEGRKAQDYVCGLAPRFRKLEERAQARAKQASSSVPFSWVFGKEIML >CDP14493 pep chromosome:AUK_PRJEB4211_v1:9:22142033:22143929:-1 gene:GSCOC_T00041000001 transcript:CDP14493 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVLSSPINIYLIWYGRWAASHQLLIKDFLLSISTSDRRAAPSPSVSQWWRTVSLYSDQTGANISSSVVVAGEYSDPRCSQGTHLTRLSMQQVIAAAVRSKPLPVDHKNGIYLILTSGDVTVQDFCRAVCGFHYFTFPSVVGYTLPYAWVGNSGKQCPEVCAYPFAVPGYMGGGGPGALAPPNADVGVDGMISVIGHELAELASNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVMRDREGRTYNVKGRRGRKFLVQWIWSPVLKACAGPNALD >CDP04186 pep chromosome:AUK_PRJEB4211_v1:9:3230528:3234925:1 gene:GSCOC_T00017499001 transcript:CDP04186 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGRENLRKSKHSHLVERDVTWYKNAITRNLLGARISTKPYRFDGTEGMQGEIMEEHSKENHRTSEAETIPLLTPYKMGTFDLSHRVVLAPQSGLRSYNFVAQPHAILYYSQRTTPGGFLIGEASGISETAPGASNYSCQPNGSPPISCTDQPIKFDFHIDGSGGASFPRPRRLAVEEISQVINDFRIAAKNAIEAGFDGVEIHGANGYLVDQFMKDQVNDRTDEYGGSLENRCRFPLQVVEAVADEIGAQRVGIRLSPFANYNDSGDSNPESLGLYMAEALNKYNILYCHVIEPRMITQFDKFTTRNSLLPMRKAFKGTFIVAGGYDRDDGNKVISQGGADLAAYGRLFLANPDLPRRFELNSPLNKYDRSTFYTSDPVVGYTDYPFLNSNP >CDP04271 pep chromosome:AUK_PRJEB4211_v1:9:2509455:2512735:-1 gene:GSCOC_T00017606001 transcript:CDP04271 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLKDWKVPSNEVEGSSIHCSWDGVWCNSKGFVESLDISNLNLSGQISEGIQGLSSLSFLNISCNDFSSALPQSLGSLASLKILDVSQNNFVGKFPVGFGNASGLKAINASSNNFVGLLPEDLSNATSLEILDFRGSFFEGSIPVSYKNLQKLKFLGLSGNNLTGKIPAEIGQLSSLETIIIGYNLFEGTIPAEFGNLTNLQYLDLAVGTLSGQIPAELGKLKKLTTVYLYHNNFEGKIPPEIGNLSSLVYLDLSDNDISGEIPGKIADLKNLQLLNLMCNQLTGPIPDKFGELTKLEVLELWQNTLTGRLPVNLGRNSPLHRLDVSSNSLSGEIPAGLCDSGNLTKLILFNNTFSGLIPVGLSNCSSLVRVRIQNNHLSGTIPPGLGNLPKLQRLELAHNNLTGAIPEDFTLSTSLSFVDASWNQLESSLPSSILSIPQLQTFAASNNKLEGNIPDQFQDCPSLSVLDLSSNHFSGKIPQSIASCEKLVNLNLRNNQFTGEIPRPIASMPTLSVLDLSNNSLVGQIPASFGSSPALEMLNLSYNKLEGPVPNNGILVTINPNDLIGNAGLCGGVLPPCSHKSNSILHFRKFHINHVFIGFFTGIAILIAIVVMVLVGRRLYKRWYLYRSFFKDWFKRDSTEWPWRLVAFQRLNFSSNDILASLKDSNVIGVGGTGIVYKAEVQRPHSVVAVKKLWRTESDLETGDDLFVEVNLLGKLRHRNIVRLLGYLHNENDVMMVYEYMPNGSLGAALHGKQAGKMLVDWVSRYSIALGVAHGLAYLHHDCHPPVIHRDIKSNNILLDSNFEARIADFGLARTMSHKNETVSMVAGSYGYIAPEYGYTLKVDEKSDIYSYGVVLLELLTGKTPLDPAFGEAIDIVEWVRRKVNNKTSESALDPDVAGQCKHVQEEMLLVLKIALLCTAKLPKERPSMRDIITMLGEAKPRRKSVCQNWGYTANKDKIIFAHSPVVGLL >CDP04523 pep chromosome:AUK_PRJEB4211_v1:9:291676:292461:1 gene:GSCOC_T00017949001 transcript:CDP04523 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTASLSSSDLILHKSNSNKLDYLYEISIEPEVVNPTSLRLINPYSAFGKQAFSLLRAIKSLIKHHLKGVREFIQASKVDQHHILATKKEQFITLFIPPDFLSQWKQQGFTRIYFGAIRFSLSFHERKGLCMVARIALLDTRFKKYQHACIAIVETTLDAGTVFITLFPNFNTSLFDPHLFDALKVHVQIIGAYQVSDAIVATLHYQMVYSVQNHALGLTISGGEEALLIRVHEKNLASCTRVPKQISRSELIQLLPDN >CDP04101 pep chromosome:AUK_PRJEB4211_v1:9:4084937:4092286:-1 gene:GSCOC_T00017397001 transcript:CDP04101 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQVEIASSSPFGYVLKDRNRHDHRYRTRESNAFQKNFKDLVQTHLNSCGPGHSRNSGSVSNGKLNSNENSPRHHIDFTDLWVHNPQRNNNENNPKESRAGEKWDKARDMVFAFERKSQENTSSGGPNSPVKSESSVEAQSLGGVSSLVRMWRDFEAEARCFSATNSPVCSSRSNSVMFFNDNSSYADAPLRGPDAGEEFADLRPATPSKSEDLFLDWESDQTGLSGPPSSRGRDSDATESERIRVADIIKKLSSKGEDHNDTISQHSLPRVRTSLEKAEQRVFSPVSSSPRIRGRQAFTDLLMHLERDRHRELERLVDKKAVSRFSHRGRIQAMLRVRFLRRGAEGKDGRQSNSASFDSKRLAPSAIVNIRMIKWIHGFPLTKRNLKYKTLSSLLRNPNEYQITLWCYANFLFLSTSSCIVIPLFSQSIPARMKLRIQFLFKPQFMMWTTLHSIKRYVGKDLLYIRKGNALMWILVIFCSSHFRLNYPSWHAMERFMAQVRHSGTDSRSQQGEAVDKITEGEKSPMIYQQRKENHQQELTEPTKSPKAVVDNDVDAQEPIRENQIVGTNYHGSNTTVRQNAVQVQRSEILHQEAISSPGVMQQRTSSGSENDGKTEVTSTANSMKMDEANENSEIEESSNQNLIASTNGFMDDAYKAQGDWKEDEGTHQDLVVSDYGQETDVFQPFEWEELQDDYEQEVDMNQDWISDVSRPRSTWEDLRQARYQEMLDPFMQNDEIRQLLNRKSVSNFLSSGLREGIDRLMMSRTQSQPNEQANQRQEEHNSYQVEEVTKKVEMQEVQKEPEERVEEEEEIADHSNDLGEDESDEIQTGREYTESQDYFGQTTSWDYNQDNEVGDNYFDPTEFESPEQPLSPSSPPETQPSSSFTNHPSIEMEFIYELRGNMEQLHQEISELRRSVKSCIDMQVKLQESFTRDVTTASCHSGTGRKKRKDSSQSKKSGRGRCYICYEMQIDSLLYRCGHMCTCFKCASDLQWGSGKCPICQAPIIDVVRAYACS >CDP14578 pep chromosome:AUK_PRJEB4211_v1:9:21042310:21044952:1 gene:GSCOC_T00041108001 transcript:CDP14578 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTLKETKAFDLQKLHSTCKTWGIFQLVNHGVSSSVMEKLKYEIEEFYKLPLEEKMRYQLKPGDFEGYGQTILHSDDQKVDWADRFYMVFNPIHRRKPHLLPKLPSLLRESMETYFKEIQKLAMVLFNLIGEALGVDNKEMEDMFEDGMQSVRMTYYPPCPQPELVMGISPHSDATGITILSQVNGVEGFQVKKDGVWIPVNILPNAFVVIVGDILEILSNGTYKSVEHRATVNSSKERISIAIFFNPKFEAEIGPFTCTTTQESPPLFRRLRMEQYVKTFFSRKLDGKSFLKEMKIERDTLTD >CDP12609 pep chromosome:AUK_PRJEB4211_v1:9:8703668:8706920:-1 gene:GSCOC_T00036288001 transcript:CDP12609 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKTSPKEALRTSKREMAVATRGIEREIASLQMEEKKLVAEIKKTAKTGNEASCLICNFFFLSA >CDP04263 pep chromosome:AUK_PRJEB4211_v1:9:2565824:2570845:-1 gene:GSCOC_T00017596001 transcript:CDP04263 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDEKKELVTPGEVLGTASELKAGKGAYFSANDKTIYASLTGFRSIIPAPIDATDQRPTVEVTGHKAHGAVPEPGSVVIARITKVMARMASADIMCVGPKSVREKFTGIIRQQDVRATEIDKVEMHASFHPGDIVRALVLSLGDARAYYLSTAKNELGVVSAESSAGGEMVPISWTEMQCPLTGQIERRKVAKVGA >CDP14545 pep chromosome:AUK_PRJEB4211_v1:9:21668332:21672550:1 gene:GSCOC_T00041064001 transcript:CDP14545 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQNNIDMEEGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGNGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGKYC >CDP05613 pep chromosome:AUK_PRJEB4211_v1:9:11215554:11219859:-1 gene:GSCOC_T00020761001 transcript:CDP05613 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHTSLQSVMKPFLKEFLSKDPVGGSGKNRPPFTSIIADGILSVALDVAEEIGLPVIYFRTTSAGFFWPYFCTPLLTEAGEFPFSFTILYSERNDMDLPIAHVKGMEGVLRHRDLTSFYRANDLNSPRFKLLLNENLQTPRAKGLILNTFEDLERPILSHVRIQCPNLYTIGPLYAHLKTRLQLQLVLERGRGFDLCEEDTSCIKWLDNQPPKSVLYVSFGSITIITREKLLEFWHGIVNSRVRFLWVIRSDSIRVRLGDDGLETDKQYKYLGKKLINNYSSDRGYRVGYFLAPQEEVLARPAIDRFLTHSGWNSTLESMVEGKPMICWPYFGDQHPNSRFVGELWKLGLDIKDTCDRKIVEKMIKGLMVERKDEFLQRANEMAKLARKSIEEGGSSFCNFLRLIDDIVKG >CDP04276 pep chromosome:AUK_PRJEB4211_v1:9:2458095:2462870:1 gene:GSCOC_T00017612001 transcript:CDP04276 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKRWVRANKDYVHSLESLANGLTWLLPERFSGSEIGPEAVTSFLGIITAVHEHIIETTPTQRNAGQVESSSFPYSLCITLLKDLETLVEVAAQQIFGDDRKWNFIAATEATKVLVRLAIFWNSGYKMVLHGGESVNIEKGQDAYNSQQRQGHFAYPGNLNGTYYYGQATWNLEGRALSALSRFGANARMVSEPTWLRRVQHQQAIMEPPIKTAEKPSLSSILSEKGVYGGLFLMGEVMFIVRPLVYVLLIRKNGTQSWFPWFTSLAIDLIANGVLSYVTALRTIRKDPLFDLSNQERDELRRRKLMWALYLMRDPFFMKYTRKRLDHTQKLLGPVPVIGFFAEKLIELAIGAQTRYTYMSGS >CDP04422 pep chromosome:AUK_PRJEB4211_v1:9:1155450:1156037:-1 gene:GSCOC_T00017807001 transcript:CDP04422 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKFPSKVEPAVWWPQQANDSSHKTGSKSNGWSSKLEKEMRSIVEVLRIKDEAEYLRLGGKALKFNKLLAISGPFLTGIAAIGSAFVGSSSHIGFLAAMLGVVGGALASIVNTFEHGGQIGMVFEMYRSNAGFFKLMEESIESNMMERRENGELFEMKVALQLGRSLSELRDLASASSSSNEVEDVNEFGSKLF >CDP12573 pep chromosome:AUK_PRJEB4211_v1:9:9547434:9560525:-1 gene:GSCOC_T00036226001 transcript:CDP12573 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFIRVQERFSEMLTPKIRDFLEYLYLFIAVTLFCILVVMHANYVQQPGCSSELPKVNVSEAQLIQIKITSDGLWTWNESEYNEINGLEGRYADGKSEVESANGDEPTILSAKLGLDWLTSGAIRDKSPLKLWRTYGEFLESQAEGSTVGEGLEQSADDGVHKINKDASHSRFYMSPKESLKAAIVHLGRKWHGRVSFLWRIVKRILGGLWDISGINLNLDIPKWLKILHLDRLNAYAVHWLGKRSNVFEPTYLYTMEKGYFLLPEEARIRHNIRTINVSISAWHPCFGNRWQQLLINRLVGYDTILMNSLLNSPGQGYIYNYQTNEFYNLTYAHKQPESSARFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYTYGFSYLALSTTAAFMQHLILYFWNRFEVPALQRFVQNQRSHFQQHPDFHITSSTILASTLHITRLNTRNSGAINSELASGPGLRAGVGPAVPVNGPAEFSEFQERPDNGNPDRLGNPLQLDGQQDLRQSEAGGNPGSMNSFSSLLLWILGGASSEGLNSFLSIFRDLRDQGQVFTGSPQQENHVAQNPE >CDP04122 pep chromosome:AUK_PRJEB4211_v1:9:3872630:3879653:-1 gene:GSCOC_T00017423001 transcript:CDP04122 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGLILKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKDISVIDKERRGDYLGKTVQVVPHITDAIQEWIERVAAIPVDGIEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRSQGLMPNILACRSTKELEEQVKDKLSQFCHVPFENIITLYDVSNIWHVPLLLKDQKAHEAILKVLDLKGVACEPALGEWTARAKFCDKLHEPVRIAMVGKYTGLSDSYLSVLKALLHASVACRRKLYIDWVPASDLEIATAQENPDAYRSAWSLLKGADGVLVPGGFGDRGVEGKILAAKYARENRKPYLGICLGMQTAVIEFARSVLGMQDANSTEFNAHTRNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVKDCKSAKLYGNRSFVDERHRHRYEVNPEMVSLLEDAGLCFTGKDETGRRMEIVELSHHPYFIGVQFHPEFKSRPGKPSAVFLGLIAAASGQLDAWLKKGTAKPCALSNGIPAIKPHLNGTPTKLVNGPLDGIYYNGNGLHV >CDP12574 pep chromosome:AUK_PRJEB4211_v1:9:9499486:9500252:-1 gene:GSCOC_T00036229001 transcript:CDP12574 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFPVVCISFVLILVALASDPNPLQDFCVSIPNSPVMVNGFACKDPKLVQANDFLFSGLHLAGNTSNAVGSRVTPVSVAQILGLNTLGISLARLDYASWGLNPPHTHPRATEILTILECTLLVGFVTSNPKNRLLTKVLQKGDNAVAIAALSSQNPGVMTIRNAAFGSKPAIASDILAKAFQVDGNIINQIQSRF >CDP04103 pep chromosome:AUK_PRJEB4211_v1:9:4058011:4061841:-1 gene:GSCOC_T00017399001 transcript:CDP04103 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGYVTLHDIREQERFDGYYHNQFLVVNDCLHRHRYMAKWMFFFDVDEFLFVPKKSTLKTVMDSFSEYTQFTIEQMPMSNKLCLAEDAGKSYRKWGFEKLVYKDVKRGIRRDRKYVVQPRNVFATGVHMSQNTVGKTTHKTEGRIMYFHYHGTIADRREPCRRSVNTTDITIDGIPYSLDTSMRIVAGFVKRFELKMIGSVLQRTRQ >CDP18178 pep chromosome:AUK_PRJEB4211_v1:9:4531165:4535012:-1 gene:GSCOC_T00007078001 transcript:CDP18178 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHSSIFGNYSTIHGPKTPCYALKFASFSSSKQVLLRTHLSFPSNIAITEKRLRIRTSVEEVHSEIVGPNVLEDSVRAKVRRKNLAVFVSGGGSNFKSLHEATVNGFIHGDISVLVTNKPDCGGAEFARDKGIPVILFPKVKDGSGLSSKDLVNAIRSYKVDFIVLAGYLKLIPTELIRAFPRSILNIHPSLLPAFGGKGYYGMKVHKAVIASGARYSGPTIHFVDEEYDRGRILAQRIVPVLPNDTAEELAARVLHEEHKLYKEVVAALCEERIIWREDGVPLIQCKENLSLYK >CDP11295 pep chromosome:AUK_PRJEB4211_v1:9:5841566:5845334:1 gene:GSCOC_T00033445001 transcript:CDP11295 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFHHLSPLGLVLLCFLSASFAVFPSNITTDKSSLLALRAHISVDPLQILAKNWSVGSSVCEWIGVTCGSRHHRVTALNIANLGLVGIIPPQLGNLSFLVSLDMSANNFHGELPHEFVGLRRLKLFNLSVNNLEGELPWWIGSFPQLLRLSLSNNSFTGLIPSSISNMSKLEEISLSYNLLQGNIPTGIFNISSLQLMRLTGNGLSGTIPSDMCHHLRGLSLLALSQNKLNGQLPSSLGQCSELQTLSLSFNGFRGSIPKEIGDLKKLEVLFLSHNYLEGKILANFFTIDKLRAKTYSCQIPKEIGNSTMIKMQNFGFNNLTGTTIFSMTEIRHLHDLIYVLNIILAGVIPREIGNWYFLQQLSLQFNSLTGSIPMEIFNLSKLRIMSVTQNQLSGNLPSTFPYLEYLYLGNNHLSGALPSSISNSSNLHLIEIADNKFTGPIPTSMGDLRFLELLDLSGNLLVRDFPSPELSFITSLAKCKYLAILFLGGNPLNGIIPNSLSNLSTSLEQLNAPNCKIKGSIPDGIGNLTSLILLDLSNNDLTGSLPATIKDLRKLQYMDLSMNKLISRVPLHLLCALHNLDTMNLGQNQFMASIPKCFGNLTSLRHLNLSHNRLYSAPPEEIWNLKDLLELDLSSNLLSGSLPYAITNMKMANWVDLSTNQFSGGIPGSIGDMQNLQNLSLAHNRLQGSIPESIGKVFSLESLDLSHNFLSESIPISMENLRYLKNINLSFNNLSGEIPSKGPFTNCTAESFASNEALCGAQRFHVPPCPSFSAHKLRIKKLHRTIFISLGVIIAVGALSFGFVYLRYQKKDEFSSGADLSLVAMPERISYFELLQATNGYNESNLLGTGSFGSVYRGTLDDGRAVAIKVFNLQVDGVFKSFDVECEVLRNLRHGNLTRVISSCSNPDFKALVLEFMPNGSLEKWLYSHNCFLDLMQRLDILIDVACALQYLHCEYATPVIHCDLKPSNVLLDQDMVAHLSDFGLTKLLGEKNSITYTETLATLRYLAPEYGLEGLVSAKCDIYSFGIMIMEVFTRTNPNSEMFGEKLSLKSWVANSIPDRLANVIDANLLKKSDKCIGEKLSCIASIMKVALGCTMESPKERSNIQDVLVAMKKIKLQYTSPLYSGA >CDP04273 pep chromosome:AUK_PRJEB4211_v1:9:2500663:2503341:1 gene:GSCOC_T00017609001 transcript:CDP04273 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLQGMRQFYVERNKPYIVMIFIQFVYAGMSLLSKAAVNKGMNPYIFVVYRQAFATAALAPFAVFIERKTNSASLTYILLGKIFLTSLCGITMSLNLYYFALNYVSATFATAITNTIPAITFILAVCLRIEKISIQQRHGIAKVLGSAVGLSGALVFTFFKGPPMYSSVHSSISHNDSRSYSKEDWIKGSLLMLLANFTWSVWLIMQGAVIKEYPAKLRLTALQIFFSSILSAAWAVGHERKAEAWKLGWNVNLLSVLYCGIVVTAVSYWLQIWVVQIKGPVFTAMFSPLALLITAIFSALIFNETLHWGSVCGILLLVVGLYSVLWGKHKEASLEASEKHEAAGLEACEKHEAASLETGQKQKEEEEEEEEAREEEAKGEKTLESITCQ >CDP14487 pep chromosome:AUK_PRJEB4211_v1:9:22193684:22199746:1 gene:GSCOC_T00040994001 transcript:CDP14487 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSFGGKHFQGYATPEDHSNPKRNPSSTSTAAHSDHSSSDNFSPTTLASQHLVAQDLSKDPHHPKPQNENHNHNFALVSPKKETIMSRANNNQAYYVLGHRTANIRDLYMLGRKLGQGQFGTTYLCTDVSTGVEYACKSISKRKLISREDVEDVRREIQIMHHLAGQKHIVTIKGAYEDPLYVHIVMELCAGGELFDRIIQRGHYSERKAAQLTKIIVGVVEACHSLGVMHRDLKPENFLLINKDDDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFESDPWPLISDSAKDLIRRMLCMRPSERLTAHEVLCHPWICENGVAPDRALDAAVLSRLKNFSAMNKLKKMALRVIAESLSEEEIAGLREMFRAMDTDNSGAITFDELKAGLRKYGSTLKDIEIRDLMDAADVDNSGTIDYGEFIAATIHLNKLEREEHLMAAFQYFDKDGSGYITVDELQQACAEHNMTDVFLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNISMRDTAGAP >CDP04264 pep chromosome:AUK_PRJEB4211_v1:9:2563468:2565440:-1 gene:GSCOC_T00017597001 transcript:CDP04264 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSHLLLLIKPPSNFPSAQNVFFLGTPQPIASRPHSRPFFRRKLPTKIPFAITESGSPKSLDPDPKSLLQELAETFVLPADYFSKLPRDLRLDLNDAAFDLSNGPVKDECGEDLGEILLNISRAWELAEASTSAALVSKLPVMVDSLTDGNKSGKKRGL >CDP04522 pep chromosome:AUK_PRJEB4211_v1:9:293330:298820:-1 gene:GSCOC_T00017947001 transcript:CDP04522 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSELDDIGEEKKEKANKEEEKKQILGNKEDTSKKEEEGDKKEEKKEEEVQEIVLKVDMHCEACARKVTRALKGFQVYLFSRFNLFISRIFRYRSMCSVMVKLILFHRTFSTKFTFHRTFSTDCFSERCNHNTKLETQVSLNSINTTIKTTTLEIFKTDLIRISANMAGIDLHYNLVTFPGFHSVRKIKFKNQHNP >CDP04518 pep chromosome:AUK_PRJEB4211_v1:9:361368:364034:-1 gene:GSCOC_T00017942001 transcript:CDP04518 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMEEVGGDDHQLCLVHPDPVVLELNRLQNQLREKDRELGEAQSEIKALRATEVLKDKAIEELESEVNRLKNKLTATESLLEQKNLDIKKLNYEKKEALAAQYAAEATLRRVYADQKDDDFVPMESIIAPLEADIKMYKNEIAALQEDKRAIERLNKSKEAALLEAERILKSALERALIVEEVQNQNFELRRQIEICQACTD >CDP12580 pep chromosome:AUK_PRJEB4211_v1:9:9383176:9384093:1 gene:GSCOC_T00036241001 transcript:CDP12580 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLYHVFSSSALLSLGLYHLICTTKNHLKSPRDYTARPYHPLPNGAHRFLRHLQLYLLILCLLVAFTHQTLVSSDSDPLLKGRTPVHRFTSLQSAAVLFLFLLLALTLLLSESTPLLPSLPPDLFFGLASAIFFLHYSISSASASVQTSDLQAECDRVSARVSALSSLLCLILACHPRLFFADAGLAASLCLQGLWVLQTGLSLHVDAFIPEGCHKLLDVVSGVEGSTKCDLEDSKLRAVAILDLVFVVHVFFVLLILTVTYAAVAKTLGLGGIRGFGSYEVLPTVDLNHNHIQMKAMSGTQA >CDP04457 pep chromosome:AUK_PRJEB4211_v1:9:826097:828322:-1 gene:GSCOC_T00017853001 transcript:CDP04457 gene_biotype:protein_coding transcript_biotype:protein_coding MATFPVIDMEKLDGEERAATMGVIKDACESWGFFEVLNHGISNEFMDTVERLTKEHYKKCMELKFKEMVESKGLEAVQTEINDLDWESTFFLRHLPVSNISEVPDLDDEYRKVMKEFALQLEKLAELLLDLLCENLGLEKGYLKKAFYGTKGPTFGTKVSNYPPCPRPELIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGEWVDVPPMRHSIVINIGDQLEVITNGKYKSVMHRVIAQPDGNRMSLASFYNPGSDAVIYPAPALVEKEAEDKQIYPKFVFEDYMKLYAGLKFQAKEPRFEAMKAVESTVNLGPIATV >CDP05623 pep chromosome:AUK_PRJEB4211_v1:9:11702252:11707034:-1 gene:GSCOC_T00020779001 transcript:CDP05623 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAT1 [Source:Projected from Arabidopsis thaliana (AT4G21120) UniProtKB/TrEMBL;Acc:A0A178UYU0] MGTAVEDNSGTGTGMRRRGCSYQKNDFLPEESFRTFGNYINALKQTPNRLVDRVLTRSKDQAEMAVKNRSQNEMKKTLTWWDLIWFGMGAVIGAGIFVLTGLEAKEEAGPAVVLSFVVSGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVIGGAAVARSWTSYFATLLNHHPSDFRIHAHALANNYNELDPIAVGVCICICILAVLSTKGSSRLNYIASIVHIVVILFIIIAGLAKSDTKNYTPFTPFGARGIFKASAVLFFAYVGFDAVSTMAEETKDPAKDIPIGLVGSMIITTTLYCLLAITLCLMVPYQDVDPDAPFSVAFKHVGWSWAQYLVALGALKGMTSVLLVGAVGQARYLTHIARTHMMPPWFAIVDAKTGTPVNATVVMLAATAIIAFFTKLEILSNLLSISTLFIFMLVAVALLVRRYYVSGVTTAANRNKLIGFVLTIVGSAIATAAYWGVSTNGWILYCITVPIWLLATFGLWYFVPQAHNPRLWGVPFVPWLPSASIFINIFLLGSIDKDSFIRFAIWTGFLLLYYVFFGLHASYDTAKEDEAELKVGKIEEGIPASATKSETGV >CDP17593 pep chromosome:AUK_PRJEB4211_v1:9:7433278:7435429:-1 gene:GSCOC_T00005099001 transcript:CDP17593 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTFFASKESENNWRNYMILAYKTSLETIPSGPSIFQLSKILKDTKPEAYIPQSLGLGPFHHCRPELQEKMLWIKRAALSIHNVELERIMELLKSLPWPFEERIQSCYGSYLDFDKETLILIVIVDSICLLHALDVLENYKEQPGEEEEVEPSLMISDMMMLENQIPITLIDLVLRDETLAEEFTLLTSLFDIFYEFVSKLSPVKLADDDRDYMREIWGPPKHLLHFMYKFAETDSITSQSLASSMQSTVEINSAAEAESAVSNILRQTGDSLVYAGGQAGEFFIRAIEEINSLTAAYKDGGLRVPTWFDKTVQGIGKAVSSSEMKQASALDKVHSVSELYNIPKITFHALPVGCGFGNIVFDKIKKVVYLPMMTLHANSEVILRNLLAFEAAYKDARQGPQSEVREHMGLMCAIIKTEKDVQLLKDAKVIETQLKDEDVVKLFKSIKKTMEKLGNKSSFIDAFGRYTNEDYDNVPIVKACNWIKKWALAFLNFVKRIWPVFVVLLLFLQTFCDIYNCHRGPWFGTTRETADLPLQDSSFLSLAPKAELLKPRKFLRYYKSVNLISKISVLI >CDP04386 pep chromosome:AUK_PRJEB4211_v1:9:1516451:1519545:-1 gene:GSCOC_T00017760001 transcript:CDP04386 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBP45B [Source:Projected from Arabidopsis thaliana (AT1G11650) UniProtKB/TrEMBL;Acc:A0A178WLD6] MVPPPQQQQQYQQQPPPPQQWMAPQQQPPQPQYQVPPPQQQQLPAYYYQQPPPQQQQPPQQYAASVAAVGADPNASDGIRSLWIGDLQYWMDEQYLLSCFSQTGEVLSAKVIRNKQTGQSEGYGFIEFVSHAGAERNLQAYNGTLMPNVEQHFRLNWASMGAGEKRSDDSPDYTIFVGDLAADVTDYMLQETFRAHYSSVKGAKVVTDRITGRTKGYGFVRFGDESEQLHAMTEMNGKFCSTRPMRIGPAANKKTMGGQTKGCQEALSFRGLELISAE >CDP05669 pep chromosome:AUK_PRJEB4211_v1:9:13816485:13816850:-1 gene:GSCOC_T00020857001 transcript:CDP05669 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVSKMIPASDKGRFFAFGRVFSEKIATGMKVRIMGPNYVPVEKKDLYVKNVQRTVIWMGKKHVLLFNLGFVMICKWKFLFADFELVKMFAGFFIPFCFWVPNILQSMVCIPTRIGKLAS >CDP12560 pep chromosome:AUK_PRJEB4211_v1:9:9908278:9911507:1 gene:GSCOC_T00036202001 transcript:CDP12560 gene_biotype:protein_coding transcript_biotype:protein_coding description:PETER PAN-like protein [Source:Projected from Arabidopsis thaliana (AT5G61770) UniProtKB/TrEMBL;Acc:F4K3M1] MARFRNKKRKVFVKPVAKKQPSVDHVTGEKIPKSFVFSRGKLPGPLRQLQMDLRKLMLPFTALKLKEKKRNNLKDFLNVAGPMGVTHFLILSKTESAPYLRVARTPQGPTITFKIQEYSLASDIAQSQLRPRCPQDLFKNSPLIVLSGFGTGEQHLKLTTIMFQNIFPAIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRTLQDVSDFVTKAGYGSESEADDEAATVSLAADLGRANRVSTKSAVKLQEIGPRMTLQLMKIEEGLCSGVVIFSEYGNSNAKEKQDKKERKEGEDGDDEDNDEDSKEEEDDDDETEDFNEEEE >CDP17324 pep chromosome:AUK_PRJEB4211_v1:9:19851254:19858765:1 gene:GSCOC_T00004079001 transcript:CDP17324 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFWTLSLIISYLQSFAQRFVAAQSKSYVSVPSASNALRTPICIITGATSGLGAAAANALSMKGFYIVLAGRSSDLLAKAISDIKRQHIGALVKAFEVDMSSFKSVLKFKNSLQQWLLESNLHSSVQLLINNAGILATSCRLTPEDYDEMMATNYIGAFCLTKVLMPLLENSPVPSRVINVTSFTHRNVSGMPVDNDTVTGKCFSRLNCYPYAQIYEYSKFCLLLFSYELQRQIGIMEKSHQVSVIAVDPGAVKTSIMREIPSCISSMAFLGLKLLGALQAPENGVSSIIDAALAPPEITGVYFFGGNGGTIRSSALSYSTKLSKDLWATSCDIFLELQLASTGTSA >CDP04394 pep chromosome:AUK_PRJEB4211_v1:9:1390691:1392205:1 gene:GSCOC_T00017770001 transcript:CDP04394 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSDWELAGLKTPSANEVCQFHSNGRLVTSHQIATISVASALQVELVRSQNRVKELESERHLVKKKIKQFLKGHSEEKESSKRRERKMVQKINDLKVELQKERKNYQKMDLVNSKLLTDLTHAKLAAKQFKQEYEKEKNARELLEDICHDLGNDIKDHKAKIRAIKVECAKILEEVEEERQMLQIAEVWREERVQMKLIDAELILEEKYCQMNNLIADLENLLRTNGTTPEMIKMREVEGMNLEMQSFSVQDREELRYMPPTVNDIHTITENLQDGGTMETGSHECQSYLSDTHSTKFHNASCEANEFTKDRSIDHDRSSANASFRKILTCSMDQYSSHTLGDGTNSVDGISGGRYVSSLTIHNIQNANQQSLNCTINNFTSMEKDKNKGPSVRKFWRSTPSVADVLRTISVDENRRPSNGSNSSNVAVSPENFSEEAVNHNELVGHCCLPGQRNPHVTRAMRGYIEWPRGIQRNGLKARPLEPSLESQKKQLRNVLKQRIE >CDP04463 pep chromosome:AUK_PRJEB4211_v1:9:768515:769635:-1 gene:GSCOC_T00017865001 transcript:CDP04463 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLQQRSHVPKFGNWEGENVPYTAYFDNARKDKISGTRMNPNDPEENPEAFVFSGLINGGEASEFSPTPLQRNLVRPISSEKYRQKQRYGHWRNTSDEPKSVGQKSPGSESITDKNNYDNPHQQKRSERKKSMMTENSNSFIPLSPARRARGGSDTSDDLSYNSASVPKFGAWDERDPRSGEGFTVIFNKVKEEKRIAASKFAPAQQPQSSNNAESHKRDAKSKVCNLFLQGNARNLDPARAFISL >CDP04303 pep chromosome:AUK_PRJEB4211_v1:9:2266698:2270973:1 gene:GSCOC_T00017647001 transcript:CDP04303 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTRKRNNESPVSMRESFVLVLACCLTVCTAIDTITIGRPVQDNETIVSSGQTFKLGFFSPANTTNRYVGIMYNIPGTTVIWVANRDKPVKDSTGILTIAGDGNLVILNGERETIWSSNVSKSVASSSAKLWDTGNLVLTDNSDGSTMWESFQIPTDSLVPKMRLSAGAKEKLQLTSWRSPSDPSIGDFSAGFHLFRPPQFFVWENNVPRWRSGPWSGNTFIGIPGMSSAYQSRLDLVEDNSGSTYFTYNSVNNPDLFYYALNSSGCLQAKVLIGKGDWSVTWLSLESQCDIYGKCGPFGSCNRLQSPICTCLQGFKPRDEEEWNRGHWSGGCIRKELLKCERNQSSSTDAKEDGFVRLPNMKVPDFLVLVVFSEEACGSSCLKNCSCTAYAYYKGIGCMHWSGNLIDVQHFSYDGADLYVRVPYSELDKKKDTKAVTAVIVVAASLFIAVSLYFCWKWWTKHKGKDQDDQVSLFEPAYNVENMVSKTGDRAKLEELPLYAYETLAKATDNFEQCNELGKGGFGQVYKGKLLDGQEIAVKRLSNTSGQGIEEFMNEVVVISKLQHRNLVRLLGCCAEREEKMLVYEYMANKSLDFHLFDSDKPSVLDWKKRVTIVDGIGRGLLYLHRDSRLKIIHRDLKASNILLDEELRPKISDFGLARIFGGNEDQANTRRVVGTYGYIAPEYAMEGMFSEKSDVYSFGVLLLEIVTGRRNSNFYYHENELSLLGYAWKLWNEKEAVKLIDAAMFVPGIEKEVLRYVHAGLLCVQEFAKDRPDISAVLSMLNSEISNLPLPKLPAYTRRLGSSESDSSSQRVDSINNVTVTIVEGR >CDP19733 pep chromosome:AUK_PRJEB4211_v1:9:14707711:14722669:1 gene:GSCOC_T00003079001 transcript:CDP19733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-chloride cotransporter 1 [Source:Projected from Arabidopsis thaliana (AT1G30450) UniProtKB/Swiss-Prot;Acc:Q2UVJ5] MAGNGEIEVSDEKEFSSSSSSSSQGLGHGRNYRPVVASDNDRAIVEMSSLESAAAAASSSSSSPFPNRNPMKVKASNQTNMASEEREGSLPTRAHANGDQKDSKLELFGFDSLVNILGLKSMTEDQTPAPSSPRDGDDGTINLERPRVLDTGVKLGTMMGVFVPCLQNILGIIYYIRFSWIVGMGGIGESLLLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLNAVPSAGIFKETVTRVNGTEVAEPIASPSLHDLQIYGIVVTIILCFIVFGGVKMINRVAPAFLVAVLFSLFCIFIGILLARKDHPAAGITGLSLESFKENWSSDYQTTNNAGIPDPDGKIDWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLAATLTTTGLYLISVLFFGALATREKLLTDRQVYVLLLTATIAWPFPAIIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVADGNEPHIATFFTAFLCIGCVVIGNLDLITPTITMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCIVIMFLISWAFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGADQVHPKNWYPIPLVYCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFLSIMDGDYHECAEDAKIACKQLSTYIDYKQCEGVAEIVVAPSMTEGFRGIVQTMGLGNLKPNMVIMRYPEIWRRENLTEIPASFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGSIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDSDAEELKADVRKFLYDLRMQAEVIVISMKSWDAQAEQQDESFEAFTGAQQRISNYLAGIKENAHGEGTALMADGKPVVVNEQQVEKFLYTTLKLNSTILKYSRMAAVVLVSLPPPPVNHPAYFYMEYMDLLVENVPRLLIVRGYRRDVVTLFT >CDP04265 pep chromosome:AUK_PRJEB4211_v1:9:2561766:2563372:-1 gene:GSCOC_T00017598001 transcript:CDP04265 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTGKFLSARAVSTVTSAEPKQETRTLKFGELQVELTPDKAYIGAVIGLLFGTLSWGLSQGIESIPESSLQYANDNALLLAKSLRGALLALCYSSTLLSGFTSVGLLLLGVQLGSKEK >CDP04313 pep chromosome:AUK_PRJEB4211_v1:9:2167112:2167840:-1 gene:GSCOC_T00017663001 transcript:CDP04313 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKLSFTIAVLCIALLAQIAAGAEPLYHTCTTYKNFTANRDYDRNLNILLNDLYYNTPASGYKYISVSGKNDSVYGSALCRGDISKADCQICVFEAAKVIRQICPYHIAANIWYDYCSLEYSNFNDFGYPLSTGYFIRSEFRVSSPLSSLAQIQKFLYGLSDEASASKNLFAKGIIEIEGHLLLYVYGLVQCPLDLTPANCSTCIKGRIDDLSDLFDGKGAQFVSATCNVRYEFYPFFKP >CDP06346 pep chromosome:AUK_PRJEB4211_v1:9:14838224:14844569:-1 gene:GSCOC_T00023158001 transcript:CDP06346 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSCSPVSTTPKTHFKPGKNPFLVGDSLFPRSIISLSTSRRRTSAAKISIKAVLSPSKEAVLRDFHERNALKIISGLQNFNRENVASVVTAAEKGGATHVDIACDPDLVKLATSLTSIPVCVSSVDPAAFPIAVEAGASMVEIGNYDSFYEMGLTFSPEQILDLAKETRRILPSVPLSVTVPHSLSLPDQVKLAEQLENEGVDIIQTEGGKCSSPSKAGILGLIEKATPTLAAAYSISRAVKIPVMCSSGLSAVTAPMAITAGAAGVGVGSAVNKLNDVVAMIAEVKSIAESLSNLSKKKPALDGRTLRL >CDP04182 pep chromosome:AUK_PRJEB4211_v1:9:3266189:3266809:-1 gene:GSCOC_T00017494001 transcript:CDP04182 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPNCSAASPLFKAFILDDNLIPKVFPQAIKSVEIIEGDGGVGTIKLITFGEGCHIKSAKHRVDGLDKNNFTYTYTVTESDAFSAELEKITCVIKIEASADGGSICKTSSTYHIKDSVQVTEEQAEAGKEKIKSAKERALAMFKAVETYLQANPDAYN >CDP04285 pep chromosome:AUK_PRJEB4211_v1:9:2401940:2407124:-1 gene:GSCOC_T00017624001 transcript:CDP04285 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQD3 [Source:Projected from Arabidopsis thaliana (AT3G52290) UniProtKB/TrEMBL;Acc:A0A384LAZ6] MGKKGGWFSAVKRVLSPESNEKKDKKTRKSKRWFGRQRSADLDAVQTENAIATPAASSTVEEVKLTEAENEQNKHAYSVALATAVAAEAAVAAAKAAAEIVRLTAAPRYSGKSKEEIAAIKIQTAFRGYMARRALRALRGLVRLKTMVQGQSVKRQATTTLRCMQALARVQSQIHARRIRMSEENEALQRQIQQKREKELEKLRTSIGDNWNDSTQSKEQHEATLQSKHEAAIRRERALAYAYSHQQTRRNSSKSANQTFMDPNNPQWGWSWLERWMAARPWESKTAVDKELNSDRASLKSATRAASVGEFRSYYDDNKPSPSAHRQSRPPRSVSSSRKRLSFSTSPATARRHSGSPKMDASSVKDINATS >CDP19573 pep chromosome:AUK_PRJEB4211_v1:9:20744733:20747674:-1 gene:GSCOC_T00006976001 transcript:CDP19573 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPLYIQSFTEADDALKLHHIVHCSLDVVDERVNNPKKSGPTLNETFLGMLYPTENYKVYGYLTNTKVKFILVTTDLDVRDADVRNFFRRFHAAYVDAVSNPFHVPGKKITSRVFADRVSNIVKSFGFSPTG >CDP14480 pep chromosome:AUK_PRJEB4211_v1:9:22293220:22297679:1 gene:GSCOC_T00040987001 transcript:CDP14480 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSQPSKKAIRNSSAGGGGGSSSSSASSQGNRNSSGQTVKFARRTSSGRYVSLSREDLDMSGEFSADYMNYTVHIPPTPDNQPMDASTTPSVAAKAEEQYVSNSLFTGGFNSVTRAHLMDKVIESKVSHPQMAGSKGSSCAMPACDGKVMKDERGNDITPCECRFKICRDCYMDAHKERGLCPGCKEPYKVGDYEDEVPDFSGGALSLPAPDDPKADRRMSMMKRNQNGEFDHNKWLFETQGTYGYGNAYWPQDDGYGDDHGDGMQRGMLDPSDKPWRPLSRRLPIPQGIISPYRLLIAVRLVILAFFLTWRLRHPNDEAIWLWAMSVTCEVWFAFSWILDQLPKLCPVNRSTDLEVLRDKFDLPSPSNPTGRSDLPGVDLFVSTADPEKEPPLVTANTILSILAADYPVEKVACYVSDDGGALLTFEAMAEAASFADLWVPFCKKHDIEPRNPETYFSLKGDPTKNKKRSDFVKDRRRVKREYDELKVRINGLPDSIRRRSDAFNAREEMKMLKHLREGGADPLEPVKLQKATWMADGTHWPGTWAVPSSDHAKGDHAGILQVMLKPPSSDPLMGDSEGKILDFSDVDIRLPMFVYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYFYNCKAIREGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCMFRRFALYGFDPPQQFSTMRQKGSETQALKATDFDPDLDVNLLPKRFGNSTMLAESIPVAEFQGRPIADHPAVKFGRPPGALRVPREPLDAATVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRRLKLLQRLAYLNVGIYPFTSLFLIVYCFLPALSLLSGHFIVKNLNVVFLVYLLIITICLISLAILEVKWSGIGLEDWWRNEQFWLIGGTSAHLAAVVQGLLKVIAGIEISFTLTSKSAGEDNDDIYADLYLVKWTSLMIPPIVIGMVNIIAMVVAFSRTIYSAEPQWSKFIGGAFFSFWVLAHLYPFAKGLMGRRRKTPTIVFVWSGLIAITLSLLWIAINPPKASTNSGGGGGGGGFQFP >CDP06343 pep chromosome:AUK_PRJEB4211_v1:9:14964271:14965010:-1 gene:GSCOC_T00023155001 transcript:CDP06343 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKKPSFPFFFKRLNKKSSGRRRGGGGGGSGGASGGAGGSGRWSSKSFSTGLRWKRRFTLHLWFVDVILFKIVSFFEAVVLVGTLCFFYLCCGCHI >CDP14549 pep chromosome:AUK_PRJEB4211_v1:9:21630274:21634976:1 gene:GSCOC_T00041071001 transcript:CDP14549 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRACFWPRTDRYVHSGSDTIGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQSQLESGCLSLLDSGPHGTFVGIYDGHGGPETSRFINEHLFQHLKRFTSEQQSISVEVIRKAFQATEEGFISVVTRNWPMKPQIAAVGSCCLVGIICSGTLYIANLGDSRAVLGRLVKATGEVLPIQLSSEHNASIESVRQELHSLHPDDSHIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFRRPILSSEPSISVQQLQSHDQFIIFASDGLWEHLSNQEAVDIVQNHPRNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFLDSHLVSRASSVKIPNLSVKGGGVNLPLNTLAPCAMPTEVGSA >CDP06304 pep chromosome:AUK_PRJEB4211_v1:9:17235069:17242564:-1 gene:GSCOC_T00023073001 transcript:CDP06304 gene_biotype:protein_coding transcript_biotype:protein_coding MASVIPTFLPVVYDSKAEFLNFFRDTVCQADVELFKKLKMNFVVAAALLDDAVIKQTRNPSVKQWLEELRDTVYEADGLLNEINTEALRVKEENVCQSSSRNWESASDCIPSLSSKFLKKIIPQTERIAVRLEAFVKQINPLGFQAGESKRQPCQLPIPTTSLVDKTAIYGRDNDRDKIIQMLLSEDANGDRIAVIPIFGLGGIGKTTLAQLVYNDQRVKDNFSTTAWVYVSEEYDPTRITKELLRELNISFSDSRENLNSLQVKLKVGLTGKKFLLVLDDVWVSDYNQWGNLRIAFEGGLRGSRIILTTRNLYVARMMGREKSIHHMNLISEDDCWSLFVKHAFENRDGSQALEFEEIGKKIVKKCGGLPLAVKTVAGLLRSKTTSEEWEDIFMSEDWSLTSNQMIPPLSYNHLLSHPNRYQSRSTGMTQELKDVNRQLDITFWYFNNSLQQLSIAASEDDVSALYRVISNNPDLLECIDQKPFNNTPLHIAAETGRTRFALEIMSLKPSLGRVLNHDGFSPLDLALRNGHRETVRRLVRFAPELIRIQGRERITPLHYAAEVEEIDFLAEFLMKCPASIEDVTLCCETALHIAVKNGKLRAFKVLFGWLKRTNNRREILNWGDEDGNTVLHVAASTNQTEVAKLLVKYVNVNAKNHEGLTALDISNTKPPIQVNARMKKILLRAGASKASRLHQLPTLAEMLGSPEALIEKIFQFNAYLDEGLSGEMRNSMLAAAILIATSAYQSVLSPPGGVHQGDTNTSNQPAVEPGEVVMRATKFYPFLLGNSLAFGASLGIVFLLLPTTGYGVLHISLVLLMLGYIDSALTISPATPTAVCFVFAGFLLFTLIVAVRMVWKLLQRFPSASWETIGSVYFPNRD >CDP18195 pep chromosome:AUK_PRJEB4211_v1:9:4641763:4642149:-1 gene:GSCOC_T00007098001 transcript:CDP18195 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSEVSQDHLLLIEMHQAFNAGIESEEYYYHAPGLALHE >CDP04498 pep chromosome:AUK_PRJEB4211_v1:9:533245:536517:-1 gene:GSCOC_T00017913001 transcript:CDP04498 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVEDSHSHTYSHSIPNSTSRFPQTPQQHHYYYTPPPLSSSAKLHRSMGRSMRTIRSNFFQIHDASPDHPSLLSLPQISTNVSENLSDSALIDLRLGELATKTASHTPAGGDFTYLDMISRSFGSDLSACSSDISGELHRLATVPDPAPISELQPQDEPEPCTGFLQRETFSTEIIESISPEDLQPTVKLCVDGLNSSSVAVRRSAAAKLRLLAKNRADNRALIGEAGAVPALIPLLRCSDPLTQEHAVTALLNLSLHQDNKALIADAGAVKSLVYVLKTGTEISKQNAACALLNLALVDESNKLSIGACGAIPPLVALLINGSNRGKKDALTTLYKLCSVKLNKERAVTAGAVRPLVGLVGEQGTGLAEKAMVVLSSLAGIQMGRDAIVEEGGIAAFVEAIEDGSDKGKEFAVLTLLQLCGDSVRNRGLLVREGGIPPLVALSQTGTAKAKHKAERLLEYLREPRQEASPSTP >CDP04234 pep chromosome:AUK_PRJEB4211_v1:9:2845373:2847621:-1 gene:GSCOC_T00017562001 transcript:CDP04234 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRDGSNVVSEGITRDLVQAVHDQEEMQAIQAPIVSSYNERIRPLLDCIDRLRNLKVMQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQSHPSPEPELSLEFNGKVVPTNEDHIAEAISVATDEIAGRSKGISNVPLTLIVKKNGVPDLTMVDLPGITRVPVHGQPEDIYEQISAIIMEYIKPEESIILNVLSATVDFSTCESIRMSQKVDKMGERTLAVVTKADKSPEGLLEKVMADDVNIGLGYVCVRNRIGDESYEEAQAVEATLFETHPLLSKIHKSMVGIPVLAQKLVQIQATIISKCLPDIVRKINDKLSTSVAELNKFPQQLKSVPEAVTAFMRIISSAKESLRKIFIRGEFDEYPDEKEMHCTARLAEMLSDYYEDLQLISIKVEQKQAFLEEEISILEEAKGISLPNFLPRKAFRTSLQKMVMAISATPAGFVNKFWEYLQGVLVPVLMKHSDNYPQLQPSIRRAAQNLVSKKREQSADWVSQIIEMEKLTDYTCNPEYSATWHDLMESQDRFMDIINDRCNDCTKSTLEINGIGEVEVGHLRNHLVVAQEAFDLKMRMTAYWKIVLRRLVDSMALHLLFSIQNLVNKDMETEMVNELMGTHGGALERMLEESPPIAGKRYRLNGSIKLLRESKEIVAEIMDKIAAYAD >CDP06312 pep chromosome:AUK_PRJEB4211_v1:9:16607016:16609130:-1 gene:GSCOC_T00023086001 transcript:CDP06312 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDFRDLYYHQPYQDGRHGSINMILNSSHMLDPSYMSFTDCLLEGSSDQGTFARGFGLSPSSSEAFSLVKDEQKPVVIEAAGDHQACASHETPVTPNSSISSSSTEAVGDEDSNKGKKDKQLKETQEEDALSPKKENKPKKKGEKKERQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSFQDPAIVITTYEGTHNHHVPATLRGNVAGMLPPSMLTPMPLGVPNFPQELIVQMPQFYSQASANTSSMYQQNLTPLQQLQFHDYGLLQDIVPSMFFKQEP >CDP05625 pep chromosome:AUK_PRJEB4211_v1:9:11784074:11784945:-1 gene:GSCOC_T00020783001 transcript:CDP05625 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYRYHSFCAYNGDDDLFSSDLSEDQLRQRLGHMSRTPCQIIFSMADEHESEYVDKKALVERLCRAMGGAEKVEIEWGNHALSNRGWDDLWS >CDP05641 pep chromosome:AUK_PRJEB4211_v1:9:12639036:12640782:-1 gene:GSCOC_T00020817001 transcript:CDP05641 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVMTSLPQFNGLRPKTATFSPVRSLVAVQPMKSKGQGAVGTRCGYIGSPTNLIMVVSTTLMLFAGRFGLAPSANRKSTAGLKLEIRDSGLQTGDPAGFTLADTLACGSVGHIIGVGVVLGLKGIGAL >CDP04135 pep chromosome:AUK_PRJEB4211_v1:9:3740883:3743270:-1 gene:GSCOC_T00017440001 transcript:CDP04135 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKGGDGIGTRKNIPNPRPNRTKDKDEDLLLFRELHKREKDHVVSLLAPVSDDFEANGYALNGMAPTGKKGAAYDPLGEGGKNDYDWLKTPPATPLFPSLEMENGPELVTQREIPIVQPLSRFAGNLAVENGSIRPTKSTGLKPILPPRYSASSGRPSISTPEKKNIKSASVVNQKTNQSYSDLNLGTTKKASSTVKPVDNREPNWNLLASNLSKSMGIGMDSSTKATKQKSRGVSPVMRSKLPAQIQELSDETPSNLRTDGSTSAYRGRATASQQNPSVSQKRPESVTKTRRQSCSPGTTRGSKQDGDGNLGSEKDRTQQANREQVLGSRMLDRFLNSRMKSNIEERRNTTKFNTSMNEGPGFGRLMSKSSLDMALRHMEFQRDSGSKTKNGIIAGRRSVSVSKRTTSGL >CDP04217 pep chromosome:AUK_PRJEB4211_v1:9:3030455:3038639:1 gene:GSCOC_T00017540001 transcript:CDP04217 gene_biotype:protein_coding transcript_biotype:protein_coding MWGNGAFSVFGHLVVSPLEGFSDLRIFIGIYFLFPLNLMGLMLREPKHRRSYLCSVFPTASLLFLTVLVGSIYTALQYKEKILNWKLEENLLRTCENQCRPPGSKPLPKGIVAKTSNLERRPLWGLPKKSNSSRSLFAMAVGLKQKEAVDQMVRKFLSSNFVVMLFHYDGVVDGWKDLEWSESVIHVSALHQTKWWFAKRFLHPDIIAEYDYVFLWDEDLGVENFNPERYLSIVKDEGLEISQPALDIGQSEVHHQITARGRKSNVHRRTYKPGGKGIGCDGNSTAPPCTGWIEVMAPVFSRAAWRCVWYLIQNDLIHAWGLDMHLGYYRTQNIGVVDAEYIVHYGLPTLGEHEKKTNTSESKNLTDSETHVESPSNPINFRVEVRRQSFNEYKIFRRRWKKAVEEDRCWTDPYTEPIKEITI >CDP04363 pep chromosome:AUK_PRJEB4211_v1:9:1715454:1721720:-1 gene:GSCOC_T00017727001 transcript:CDP04363 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLRSETQEPSIDTDKLSYEIFSILESKFLFGYDDQKLWIPKQISPAAATAIKNQRGKICILSIDGGGMRGIVAGKALAYLENALKLKSGNPDARIADYFDVATGSGVGGIFTAMLFATKERGRPIFHADDTWKFLAEQGKKFYRSSSSSSSGGGSFLRRVFRGGGGGSATAAASAALEKSMIEAFADKTGRSLTLKDTLKPVLIPCYDLSSTAPFVFSRADALETDSFDFRLWEVCRATSAEPAAFEPVCLKSVDGQSRCVGVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDILVLSLGSGQLLEGSFGYEQVKSWKAKDWARPLARISGDGSADLVDHAVAMAFGQSRSSNYVRIQATGSSFGRCGANTDSDPSPDNVKLLVKVADEMLKQKNVESVLFNGKRIGEQSNLEKLDWFASELVLEHQRRSCRIAPTVAFKQATLADQDGPKQ >CDP14491 pep chromosome:AUK_PRJEB4211_v1:9:22154916:22157477:-1 gene:GSCOC_T00040998001 transcript:CDP14491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraketide alpha-pyrone reductase 1 [Source:Projected from Arabidopsis thaliana (AT4G35420) UniProtKB/Swiss-Prot;Acc:Q500U8] MEENRGKVCVTGASGFLASWLIKRLLLSGYRVTGTVRDPENAKKVAHLWRLEGAKERLVLVKGDLMEEGSFDDAIMGCEGVFHTASPVLGRPASDPKACKAEILKPAVEGTLNVLRSCKKNPFLKRVVLTSSSSTVRAREDFDPNVPLDESSWSSEILCERLKIWYVLSKTLAEKAAWKFCEENEIDLVTVLPSFVIGPSLPPELCSTAADVLGLLKGETQKFEWHGRMGYVHIDDVALCHILVYERRNAQGRYLCSSTVLDNDELASILSATYPALPIPKRFHKLDNRPSYDLNTSKLEGLGFKNFRSIREMFDDCIASLVEQGHLSSS >CDP14513 pep chromosome:AUK_PRJEB4211_v1:9:22009231:22010777:-1 gene:GSCOC_T00041025001 transcript:CDP14513 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVLQHAINFRIQQQLETTNNSNSSSSSSRGVISSLSWRRTLANALRCSSSSSSSSTRRHRIRRMTRCNAAATAEVVNLGPGTPVRPTSILVVGATGTLGRQIVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKFIFYSIHNCDKHPEVPLMEIKYCTEKFLRDIGLNHIIIRLCGFMQADVATLTITFSYPRALFLLLTFGSPSHFPTSCFPHLHFPFPFLKIK >CDP04308 pep chromosome:AUK_PRJEB4211_v1:9:2227326:2232177:1 gene:GSCOC_T00017654001 transcript:CDP04308 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSRFLFTNGVLVPPADTPPVATLLQSCPGAYTTTRTHNNGAEIMFWQRHLSRLSNSVKVLLNSRPELLFKAGEDMVPFVKVSEKSLKWDLVIRALVNDSMGKALPFVLKERKCGEEMSITTLVSGNLESLREIEDVNEERLKAVFDVYLHFGGYVPRVFGIQGNGARLAVVGRGRDIANAKYSDWVRLRKDLEKLRPPSTTELLLSNNGDHILEGCLTNFFVVCGKDECGEIYEQNDFDYERSVEVQTAPVSEGVLPGVIRQVIIEICLRNGIPFREVAPSWSQHNMWREAFITNSLRIVEHVETILVPSSWKSMESKTSTEIRWEEKQFEVTPGRVTSIIQKEVMEKAGFEAYAVALYKD >CDP12566 pep chromosome:AUK_PRJEB4211_v1:9:9744225:9749245:1 gene:GSCOC_T00036216001 transcript:CDP12566 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVEHKDSIEHIPREVPVNCLQNNGFPCNLQYTRIPIEGFQELKDGIRGHPARDIVEPDNSFNSDSLESPKETPKKPINQHEFSMWSSYYPESPNMTMCPMNAFEAQFYPCFVDNRIRYAPLNMIPQSYPHEYQFQDFQYFVVIDFEATCDKEKNPHPQEIIEFPSVIVSSMTGQLEACFQTYVRPTCNQHLTDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNTKFAVVTWSNWDCQVMLESECRFKKIRKPPYFNKWINLKVPFREVFGGARCNLKEAVQMAGLTWQGRAHCGLDDAKNTARLLALLMRRGFKFSITDSLMCQPADETFMWKLPPLDHPAFTSYQPQKMRTLHVPVLQLHPHCYCGVKSSKGMVRKPGPKQGSFFFGCGNWTAARGARCHYFEWASI >CDP04256 pep chromosome:AUK_PRJEB4211_v1:9:2665481:2665772:-1 gene:GSCOC_T00017587001 transcript:CDP04256 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCALNLLRIPMLMLVFLALYGLFLANRWNFQMPEILEGSILIMSKAGAGLAMFN >CDP04374 pep chromosome:AUK_PRJEB4211_v1:9:1606274:1609679:-1 gene:GSCOC_T00017744001 transcript:CDP04374 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIELHAHLNGSIRDSTLLELARELGDKGTIVFSDFEQVILKHDRSLVEVFKLFDLIHLITTDHKTVTKITKEVVEDFAAENVVYLELRTTPKRNDSKGMSKESYMQAVVEGLRAVNNVDVDFAHKLDAEAIENSHPANDRNSESRRKKICVRLLLSIDRRESTEAAMETVKLALQMKDLGVVGIDLSGNPVIGEWQTFLPALKFAKEQGLSVTLHSGEVPNSEEVHAMLDFLPGRIGHACYFEEDHWRKLKSFKIPVEICLTSNIRTETIPSLDVHHFADLYNSEHPVSLCTDDMGVFSTSLSHEYRLAASAYGLGMKEMYTLARDAINFIFAGNDAKEELKEIFALVSKELKA >CDP06322 pep chromosome:AUK_PRJEB4211_v1:9:16315298:16317604:-1 gene:GSCOC_T00023108001 transcript:CDP06322 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAQEKQIHVTIQGAAQESKFTESAGKAIGNQQSSVPLLNQHKRWLLMALFALLVLFGQSAATLLGRLYYVKGGNSKWLSAFLQVAGFPILLPFLYNTKNRKNNSTEQTKPPSPIFTPFIVNSLVLLTTSSALLVLQNDDSSGSSKSSKGKYIIGFICTLLAAALCSLILSLTQFAINKILKRQRVKELFDFLIYESLVASCILLIGLFASGEWKTLTAEMNKFALGKTSYTMTLMWIAVCWQIFSIGIVGLILKVSSLFANVISTLGLPIVPILAVFMFKDKMSGVKAVAMLLAIWGFISYIYQHYLDDLESKSRKKDVSSNEISLIQN >CDP14582 pep chromosome:AUK_PRJEB4211_v1:9:20836949:20837601:1 gene:GSCOC_T00041119001 transcript:CDP14582 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYTVALYKAREREGTQLLTNIITNEAYKSVEHRATVNSQKERLSIGMFTFSKLEGDLGPAPSLITLENPAKLSRALW >CDP04302 pep chromosome:AUK_PRJEB4211_v1:9:2275035:2279547:1 gene:GSCOC_T00017646001 transcript:CDP04302 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQSPMRGSLFLLLACFCLEACTAVDYITITQPLQDSETIVSSNQTFKLGFFSPANSSGRYVGIMFNVPAVAVVWVANRDRPLNDSSGTLTISGDGNLVVLNGQKEILWSSNVSNSVANSTAQLLDTGNLVLTDNPSGRTLWESFQIPTDSYLRRMRLSSSTKGQKIRLTSWRNASDPSIGSFSLGIEPQQTPQLFIWNDNKPYWRSGPWNSSAFIGVSAMDPVYLNGFNLVTDDTGLVSATFTYSSDSGLLYLELSSSGSILQKVLSDRKGDWEVTWSSQGSQCDVYGICGPFGSCKPHGSPICTCLQGFEPRDKVEWEGGNWTSGCTRREMLQCERNNSVRSEGKQDGFLKLPNTKVPDLAEWVATSEDECGTQCSNNCSCLAYASYPGIGCMHWKGSLIDIQQFSSSYGADLYIRVPYSELGKKRDMKAVIATSVIAASLFIAVSLYFCWKWWTKHKGKDQDDQVSSFEPAYNVENMVSKTGDGAKLEELPLYTYETLAKATDNFEHCNELGKGGFGQVYKGKLLDGQEIAVKRLSNTSGQGIEEFMNEVVVISKLQHRNLVRLIGCCAEREEKMLVYEYMPNKSLDFHIFDSDKPSVLDWKKRVTIVDGIGRGLLYLHRDSRLKIIHRDLKASNILLDKELRPKISDFGLARIFRGNEDQANTRRVVGTYGYIAPEYAMEGMFSEKSDVYSFGVLLLEIVTGRRNSNFYYHENELSLLGYAWKLWNEKEAVKLIDAAMFVPGIEKEVLRYVHAGLLCVQEFAKDRPDISAVLSMLNSEISDLPLPKLPAYTRRLGSSESDSSSQRVGSINNVTVTIVEGQ >CDP04396 pep chromosome:AUK_PRJEB4211_v1:9:1373767:1379540:-1 gene:GSCOC_T00017772001 transcript:CDP04396 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSKKKYPQSSINGHRSGGGAAAAATTTAYQQSYQTNQKQAVQYTPQPHQAERFYQPQPPSSVPAKPSAQPPQRPRPAQRQEPNTILGKPFDDVRAHYTLGRELGKGQFGVTYLCTENATGQKYACKSILKRKLVSKNDKEDMKREIQIMQHLSGQPNIVEFKGAYEDRQSVHIVMELCAGGELFDRIVSRGHYSERDAAELIRQIVNVVHICHFMGVMHRDLKPENFLLASKDEKAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILQGEIDFESPPWPSISNIAKDLVRKMLTMDPKKRITSAQVLEHPWIRVGGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIKGLKAMFTNIDTDNSGTITYEELKSGLARLGSKLSENEVKQLMEAADVDGNGSIDYIEFITATMHRHRLERDEHLYKAFQHFDTDHSGFITRDELESAMMEYGMGDEATIKEIISEVDTDNDGRINYEEFCAMMRSGTAAQGKLF >CDP14559 pep chromosome:AUK_PRJEB4211_v1:9:21403720:21407861:1 gene:GSCOC_T00041084001 transcript:CDP14559 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGRAEMGIGEEDMGREKRSVVVGIGFDGHTRELLDWAVVKVADPGDCVVALHVCRNSDSTSKAQALMDDYLDEYKDLCHQKQVGLAVEVVKGSSIRKLLVREAKNRAAVAVIVGINKNSLLGGRVSIAKHCAKRLPLTTEVMAIHNGKVVYRRCCNDQQQQPGSQGDPKPSLYLNGNPTCTECPSEFGDSEISDLGRLSHEVCQSSECGCTEADRYPRDESVISPTQRRKRSSLSSISLPVEDFTQQRPGWPLLQTASAITQPSVEARKMTVVQWVMSLPNRSLLEIPQNALGRTDNVSVSAQPPSDLELLLSTKSPGCKLFSHETLKSATSQFCSDNLIGKGGCNCVYKGILPDGKPVAVKILKSSKEAWKEFSLEVDIMTTLKHRSITPLLGICISDNDLISVYDFVPKGNLEENIHGTGKERFVLPWEVRFKIAIGVAEALNYLHSRCARPVIHRDVKSSNILLADEFEPQLSDFGLAIWGPTKASFITDCDVVGTFGYLAPEYFMYGKVSEKVDVYSFGVVLLELLSGRKPIGFDTSKGRESLVIWAKPKLESGDVKGILDQNLDDNFDESEMHRMALAAKLCLTQAARVRPKMSQILKILTGEEHLGEEISTELGANPENQGDYDDDEVYPDSSAESHLSLALLDVCDNSTSFSSQDQSSPLSVEDYLRRRWSRSSSLE >CDP11252 pep chromosome:AUK_PRJEB4211_v1:9:6592334:6610606:-1 gene:GSCOC_T00033375001 transcript:CDP11252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MAKSRQHYSEEDELSSSPTSLRARELEGPSRWTEYLGQDVAFKASRGNSNGVPEGSVQGPIGLSAKGLNLQWVYQLTQVAEGLMAKMYRLNQILDYPDVVSHAYSEAFWKSGVFPNHPKICVLVAKKFPEHHSRLQLERVDKAALDAMNDGAEVHLQSLEPWIQLLHDLMGFREQALRLILDLSSTVITLLPHQNFLILHAFMDLFCSFVRVNLLSEKIPRKMMLQTYNLLHAMSRNDRDCDFYHRLLQFVDSYDPPLKGLHEDLNFVSPRIGEVLEAIGPIIFLSMDTRKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTAYREWVLFGYLVCPDELLRVTSIDIALVVLKENLVLTLFRDEYILLHEDYQLYVLPRILESKKMAKSGRTRQKEADLEYSVAKQVEKMISEVHEQAIFSCDVIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALAFAQSEVLWYFQHVGVASPRSKAGRMVPVEIDPNDPTIGFLLDGMDRLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQKIVQHLENIPKPQGENISAITCDLSELRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVSEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGSLEMQLLPEQAANLMNLTSRLSSQSFKSPKPVSGFHFPGFESYPANSESIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFKRRLFAVLKTDNDLQRPSVLESLIHRHTAIIHLAEQHVSMDLTLGIREIILSETFSGPVSSLHLFEKPAEQHTGSAVESVCNWYIENIVKDVSGAGILFAPLHGCFKSTRPVGGYFAESVTDLRELKAFIRIFGGYGVDRLDQMIKEHTAALLNCIDTSLRANRENLEAVAGSMHSGDRMEREANIKQIDMDTMIGFCIQAGQAIAFDGLLAEAAGAVLEEGAPLIHSLLAGVSKHLPDDIPEKKEIRRMRRVANSINVFPDHDSEWIRSILEEVGGASDGSWNLLPYLFATFMTSNIWNTTAFNVDTGGFNNNMHCLARCICAVIAGSEFVRLEREYQQKQSFSNGHVDQTLNSENQNRLSAETNIKTTMQLFVKFSAGIVLDSWMENNRSDLVAKLIFLDQFCEMATYLPRSSLESHIPYPILRSIYSQYYANSPSIPLALLSVSPRHSPATLAHASPVVRPRGDSTPQFSVHDSGYYKASTTPSRDQHYDTGNTSVRSVDKPHRNVRRSGPLDYSSSRKVKYVEGSTSGSTGPSPLPRFAVSRSGPMSYK >CDP04173 pep chromosome:AUK_PRJEB4211_v1:9:3344210:3351073:1 gene:GSCOC_T00017483001 transcript:CDP04173 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSIALLLIAAFIGVFYKFWTADGDFTLLSKGHVKREEIEDKVIWITGASRGIGEILAKQLASLGAKLIISARNEAELERVKKQLAGKHAPDEVMILPLDLTSGEDKLREAVQQAESLFSGAGVDYLIHNAAFERPKTTALDVTEQSLKATFDVNVLGPISLTRLLAPYMLKRGRGHFVVMSSAAGKTPAPGQAVYSASKFAVNGYFHSLRSELYRKGIKVTVVCPGPIKTSSTPEASTSSTKLPAERRVSSERCAELTTIAASHGLKEAWISYQPVLAVMYLVQYVPTIGFWLMDKVGARRVEAAAKQGDTYSLALLFGKKKE >CDP04493 pep chromosome:AUK_PRJEB4211_v1:9:559087:559958:-1 gene:GSCOC_T00017908001 transcript:CDP04493 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDRSNSAVMTRYVSSRDNLMILMNLLRESSKSIQIEAFHVFKLFAANQHKPLDIVSILVANRSKLLRLFADFKLDKEDEQFEADKAQVVREIATLEPKEGP >CDP04546 pep chromosome:AUK_PRJEB4211_v1:9:156999:161901:-1 gene:GSCOC_T00017977001 transcript:CDP04546 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNGTTSDLRTTFLGVYSVLKSELLNDPAFEWTDDSRRWVDRMLDYNVPGGKLNRGLSVIDSYKLLKEGKELTEDEVFLASALGWCIEWLQAYFLVLDDIEDNSHTRRGQLCWFRVPKVGMIAANDGVLLRNHIPRILKKHFREKAYYVDLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYSLSLHRRIVQYKTAYYSFYLPVACALLMAGENLNNHIDVKNILIDMGIYFQVQDDYLDCFGEPEKVGKIGSDIEDFKCSWLVVKALERCSEEQKNILFAHYGKADAADVAKVKALYHELDLQGVFAEYESKSYEKLTSCIEAHPSKAVQSVLKSFLGKIYKRQK >CDP18197 pep chromosome:AUK_PRJEB4211_v1:9:4650527:4651637:1 gene:GSCOC_T00007102001 transcript:CDP18197 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGTLDIKPVQKYELSFGLGFVAVSFSLNAWKVSIIRCSQAGNKKNAAESETAFRRTLAIDLFQGLFLNICCM >CDP06329 pep chromosome:AUK_PRJEB4211_v1:9:16096236:16097693:-1 gene:GSCOC_T00023117001 transcript:CDP06329 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPSFAGKAVKLNPSAPELMGNGRISMKRTSRPSPSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVQFGEAVWFKAGSQIFQEGGLNYLGNPNLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGDVTDPIYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >CDP11260 pep chromosome:AUK_PRJEB4211_v1:9:6430738:6450923:-1 gene:GSCOC_T00033387001 transcript:CDP11260 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDGRIAAIKKSKKVDESQLEQFINEVVILSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTLFTLIHNGNNEELPLTWNLRLRIAIEVAGALAYLHSAVSIPIFHRDIKSSNILLDEKYVAKVSDFGTSRSVTIDKTHLTTVVKGTFGYLDPEYFQSSQFTEKTDVYSFGLTDEPTTAQFGMADIRLIYALFLFLYLVVPSSGVETFPIAKPGCKDTCGSVKIPYPFGIGPDCALNEAYSIRCTDPSGGDSINGLRPYLGLQPGQSAQRIVEVLEVSLRDQTITINYPLSKICSFNRTTLDASVFESSLAQAPFFVSREHNKLMFLGCGNALLHLIDGSQQILSGCTSMCNSTTTITGCYGINCCQASIPYYLSQYSLQLTASENFTSSTCAYAFLVDQNWELENYTSKVRLINAPVVWSWPLNHSQIQTISGCSAQNSSLQLKSSSIATYQCECSALGDDTWFYQINPYLDGACKHGEKTPGCYGINCCQASFPYYLSQYSLNLTASQNLTSRTCATIGAGVLIFMIIISISYNALKKRWNNRRKEKFFKRMLQQQLPADDIENTKLFTAKELSKATDGFNNDRILGRGGQGTVYKGMLTDGRIIAIKKAKNVDDSRFEEFVNEVIILSQVNHRNVVKLLGCCLETEVPLLVYEFIPNGTLYSLIHNQNDDEFPFTWNLRLRIASEIAERFLKSMNQNSLQTILDSQLVDERYENEVIFVAKLARQCLNSTGKMRPTMREILLELESIKLSKRDSTIDTKFQSPSCIENLADGIAGNTDTYCTWTTIKKRGLASQFGMNDLRFIHGLFLFLCLVVLSSAETRFPMAKPGCNDTCGNVTIPYPFGVGPDCALNEAYSILCTDVSGDSIHGLRPYLGLHPGQSSQTIMEVLGISLSDQTVTINYPVYQICSVNGSTVDTAFMDSSLAQVPFYVSREHNKLMLLGCGNALLKLINGGPQIFTIPTYQCECSTLGDDTWFYQVNPYLDGACKHGKFSRERIVSVINFSSPYHVVLSLKICTTVGAGMLILLITAFCLYNVVKKIYKNKRKEKFYRRMLRQQLSAEDIEYAKLFTANELSKATDNFNENRILGQGGQGTVYKGMLNDGKIVAIKKAKNVNDSRFEEFVNELVILLQVNHRNVVKLLGCCLQSEVPLLIYEFIPNGTLYNVSLSLADRFLKSLNQNTLATILDPELADERNEEEVIVVAKLAQRCLNSNAKARPTMKETHRELESVKSSKGDSAIHAKFHNPSSVGKEAVGISDGTCYTWTTSSDTIESPSDAYPFMYKTI >CDP14563 pep chromosome:AUK_PRJEB4211_v1:9:21334285:21340596:-1 gene:GSCOC_T00041091001 transcript:CDP14563 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFCNPCVYVAYLGEHSGNRNPEEIEDQHHSYLRSVKGSQEEAKASHIYSYKNVINGFSALLTPEEASKLSEMDGVISVFRSEARELRPQTTRSWDFTYLLEANGDPSRVSGDALLKRANYGKDVIVGVFDSGIWPESQSFSDAGMGPIPSSWKGKCEAGVAFTSSNCNRKLIGARYYAKEYEAIYGPVNSQVDYRSARDKDGHGTHTASTVGGRRVANISSIGGFANGTVTGGAPLVRLAAYKVCWKLPNETSKDACYDASIIKAFDDAIQDGVQVISVSIGGDRGYPYASDGIAIGSLHASKNNIVVACSAGNNGRNGSSTVTNVAPWLITVGASSIDRMFPSTIVLGNGLNIQGQTITPFSQMRNQPLVYAGDVEVPGTTSSSTRGFCLNGTLSRSLVRGKVVICRFGGVDQSAEVGRAGGVAAVLGKPPIDIFQVAPLLLPGLTITYADVNNAISYTRTSRNPTVTLNPGTTVVNVSPAPFMAVFTSLGPNGIEPNILKPDITAPGLNILAAWSEASPPTSSPYDNRIVKYNIVSGTSMSCPHVSAVAALIKAIHPDWSSAAIRSAIMTTARTTNNVGNPITDARGNVANPFHYGSGHFQPSKAADPGLVYNATYNDYLLFLCYARSRLVSNCPNVVPSPSNLNYPSLAIANLNRPITVRRSVTNVGAANSTYQVKIEQPLGYSVNIFPSTLRFSQIGEIKSFNITVQATNTAQRNVFTFGSFTWSDGVHLVRSPIAVSSS >CDP04354 pep chromosome:AUK_PRJEB4211_v1:9:1792949:1795138:-1 gene:GSCOC_T00017713001 transcript:CDP04354 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAHEKVQSQNGPIEFLQRSKFYELAAILVDGSLNIVEEEEDIQETNRKKMLSDLTEIKHWLQRRIAEMRILIIEKDKELMERVENELKLRRAAELNARDLAYLREKLETERTKGADLPDYIPSSEEIEDDRAQGGDICELKSSVDQQVLNIKQKLEDEHQILTRRIRGRSSSFEIDILKGTLDLAFKMLQSAEVFPLEKQWT >CDP17292 pep chromosome:AUK_PRJEB4211_v1:9:10055738:10065929:-1 gene:GSCOC_T00003766001 transcript:CDP17292 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRLRFLLHQQLQKYTSSLRHLSYSNRSFCSASNLRSHFQRIGFIGLGNMGSRMANNLIEDGYNLTVHDVDHNAMKIFSERGILTKESPFEVADSSDVLITMLPSSNHVLDVYTGRNGLLSHGNTLGPWLFIDSSTIDPQTSRKLSATIADCSLKRKRDGFEVPAMLDAPVSGGVLAAQAGTLTFMVGGLEEAYVAAKPLFLSMGKSTIYCGGAGNGSAAKICNNLAMAVSMLGVSEALALGQKLGIAASTLTKIFNSSSARCWSSDAYNPVPGVMDGVPASRNYDGGFASKLMAKDLNLATESAKEVGLEFPLTSQAEKIFTQLCNDGHGMKDFSCVFRHYYPGNDEL >CDP04527 pep chromosome:AUK_PRJEB4211_v1:9:267063:270167:-1 gene:GSCOC_T00017954001 transcript:CDP04527 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCFILIFLNTFFSYRTQPLFISAILMQILTLPMGRLMAAALPTRSYSVGRWSSFSLNPGPFNVKEHVMVTILANCGAATGGFEAYSMGAITVMKTYYNLNLTFFAAFLIVVTTQLMGYGWAGMMRRYLIEPAEMWWPANLAQVSLFRALHEKESKKAGMTRMQFFLMFLVASFAYYTFPGYLFPLLTFFSWTCWLWPRSVTAHQVGSGYHGLGLGAFSLDWAGIAAYHGSPLVTPWSSIVNIAFGFVFFIYVIFPLCYWKYNTFDAHKFPIFSNQLFTSTGQKYDVTKILNSRFELDTAAFEKFGKLYMVPLYAMSLGSGFARVSSVFMHVALYNGGDIWKRFSWSTKKIKLDIHAKLMRNYKAVPRWWFLVVLVISIGLSLLVCFLLKQDVQLPWWGFLLAAGLAWLLTLPVGVIQATTNQQPGYDLVAQLMIGYLLPGKPIANLLFKMYGKTSILHALSFLSDFKLGHYMKIPPRSMFTVQLVGSLVASLANLGMGWWMLESIPNICDVEALHPDSPWTCPKFRVMFDTTVVWGLIGPERLFGPHGLYRNMIWLFPLGALLPIPVWILSRKFPDKKWISLINIPLITCGFTGIPPAAPTNIASWIYRKGWWQKYNYVLSSALDAGTAFMGVLLFFALQNSGKNLKWWGTEPDHCPLASCPTAPGITVAGCPVVK >CDP17303 pep chromosome:AUK_PRJEB4211_v1:9:10274114:10279455:1 gene:GSCOC_T00003781001 transcript:CDP17303 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAPVRTMNSAFIAMIADEDTITGFLLAGVGNVDLRRKTNYLIVDSKTTVKQIEEAFKDFTTREDVAVVLISQYIANMIRFLVDSYNKPIPAILEIPSKDYPYDPAHDSVLSRVRYLFSAESVASDRR >CDP14488 pep chromosome:AUK_PRJEB4211_v1:9:22187772:22188739:1 gene:GSCOC_T00040995001 transcript:CDP14488 gene_biotype:protein_coding transcript_biotype:protein_coding MIMFSTFEHSVDLICQNIVQKRNEEQFDNLSPVWILANAAGAVRTMISNPVDLFIMSSLDNRKAETVVLFGSQNHTGFVNLFTRSLLVRMTIVGRVVSLQWFFYVSIKVLSGL >CDP04230 pep chromosome:AUK_PRJEB4211_v1:9:2933585:2934028:1 gene:GSCOC_T00017554001 transcript:CDP04230 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLIENHRENAEMYSDPALCKEKSRELLQNMNLPKGLLPLDELIEAGYNESTGFVWLKQKNKKEHKFRSIGRTVAYDTEVTAFVEDRRMKKLTGVKSKELFIWVTVSDIYIEDPNSEKVTFKNPAGFSKTFPTKAFEDGEVDEEN >CDP04221 pep chromosome:AUK_PRJEB4211_v1:9:2994318:2996247:1 gene:GSCOC_T00017545001 transcript:CDP04221 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLGDVYKVVATMFPLYVALVLGYGSVKWWRLFTPEQCDAINRFVAYFTLPLFIFEFTSHVDPFKMNYPFVAADAISKVIIVVVLAFWAKFSSKGSYCWSITGFSLSTLTNSLVVGVPIARGMYGQSAVDLVVQGSVIQAIVWLTILLLVLEIRRTQTDFYSSDETVGDSKAADNSVKDLEANSRALAETKPSFWSLVKRVWQKLAMNPNSYACTVGITWALIANRWHFQMPAIMEDSILIMSRAGTGTAMFSMGLFMALQEKIVACGTSLTIFGMVLRFIAGPAAMAIGSIAVGLHGDVLRVAIIQAAVPQSITSFIFAKEYGLHADVLSTAVIFGMIVALPVMVGYYAILEFLH >CDP04269 pep chromosome:AUK_PRJEB4211_v1:9:2542873:2544277:1 gene:GSCOC_T00017602001 transcript:CDP04269 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQVTETSPSYEKMYQIFSDFMARITRFEELVDVGNRFLVGFQQGLDFLRRPPIDTTSELVANIIKANETRRLSSYIEAGCVNMHDRKQCLGKCKCVFYACFSVGRHY >CDP06342 pep chromosome:AUK_PRJEB4211_v1:9:15302781:15326910:1 gene:GSCOC_T00023146001 transcript:CDP06342 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFVVGSCYPDALVGVYVGLLSVDGLLAVIAFTQLLRIHSRNSRIGWTRQKVFHLMIGSSNAGCFVYIVLTLVAACKDWACWSDSCGFITMACPQIIFLAAFLLLLSFWVDLCHQSNDEDDEDDGYNPREALLEKMNKENAAVHSGRKCCSFRAVHVGSHQKIVILVIVLIFALMAVSAVLIWIGKGKNSLNSSVVARVYVDLFAVAVFSLGGALACYGLVLFLKMSKVRSERVASEKWKVAGLAIVSVVCFTSYALVALFTNIPLLYNWNQETIRGIYTSVLLVFYYFLGSSIPSAFLLWMMRELPAPLVINREQESRTIAFISDSSVTVHPQRWTTTASLQNQVLHVLILTVISLGFTCLCLNSCLMHSLTRVLKARICERKLQAGEEIQPKKFTWSLHLKVSLHPKDNIGLFLLQ >CDP19571 pep chromosome:AUK_PRJEB4211_v1:9:20758348:20760014:-1 gene:GSCOC_T00006974001 transcript:CDP19571 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRFRELLRKYGKVGLGVHLSVSAASITGLYVAIKNNVDVQALFEKVGVQAPSKEGGGEAAAAKPGGAQDLNTNPETSTTTSTDGFVVEEEFKKRNRTAELAASSGGALALALLLNKALFPIRVPITLALTPPVARFLRSRQIIKNGL >CDP11275 pep chromosome:AUK_PRJEB4211_v1:9:6138098:6145087:-1 gene:GSCOC_T00033416001 transcript:CDP11275 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQSISGHNRFVLRAIGRRYFAGYSAEEYARKNYANNESEYNTVINSLTAQRRHYLLRDVYDDMMVDGVKPERDTFHSLIIGTMKGSRLQDAFFFRDEMKAMGLIPDVALYNFLISTCGKCHNSDQAILLLEEMKRYGVKLTGQTYVCLLNACAALGRLDRVLPIVKEMTAGGLGLNRFCYAGLITAHRNRIPLSDDVASKIIELVEQSKGWASVDRSKTTAENVMMGITDEELYNMPTAEYVRRRGGFVNRLLTVYHVAFHALADLANVEAMEALWDMLRKDGQLPDIFILLQIMRCYLYSGDIDRGQQIFEDYMSSGKAPVPELYVTLTEGAMRGYTAKGMQLAQDTLVNMNSRNFFLSPKQGNDLLLAASGEETGGFTTANLIWDMMQARNFIPSLPAVEAYYNGLKRREIPEDDERLTLVSRTLENLRARRFSGPFPQS >CDP06290 pep chromosome:AUK_PRJEB4211_v1:9:18022530:18022796:1 gene:GSCOC_T00023048001 transcript:CDP06290 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSTWTYQQNKLFENALATYCEDPPELFFQNVAKAVGDKTAEEVKRHYEILVEDIQKIESGQIPLPNYNDDVDIKDEKQVTDEEQR >CDP20844 pep chromosome:AUK_PRJEB4211_v1:9:4857415:4859884:-1 gene:GSCOC_T00013393001 transcript:CDP20844 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRRKYRRSRPKVRVGLPKKHPHVFKPAFNLPPKLRTLLDPQSSKWDDTASVIHNYKSFGVVSNPNLLSVRSRTSHIIETDSLQVPPPPPSDDSNAFDSGSDLEEDDLKTALGKKRSDGKSAPLQPLTTMQRYHIGRLIDEYGEDYESMFKDTKLNKMQHSVATLKKLCRRYHTCQGKNPLIKK >CDP05631 pep chromosome:AUK_PRJEB4211_v1:9:12108488:12109951:1 gene:GSCOC_T00020792001 transcript:CDP05631 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRHEPKLTSAMNHRHRPPEPAPVASLPSPINNQLVVQIFKKTTRLSIPPPFTFIFLISLVFAFYFNVEKGPATSSLPSTATLLSSPSSPISTYLATNNTTAAATLTISAAVMTPLTKTSSWVIPIKPAPSSTFVILSNFNPNLVFFARPHCG >CDP04187 pep chromosome:AUK_PRJEB4211_v1:9:3222729:3228493:1 gene:GSCOC_T00017500001 transcript:CDP04187 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFGTAAASASAAAAAKNAPKSSPFLPQGHRHFHHSHSKVAFRLTPKPKLRFCSKSLQSTGNRSAVAKAQLNEVAVDGSSNATATSPAKSAEVKDVKSSNEPVASEESMSQFMTQVSDLVKLVDSRDIVELQLKQFDCELLIRKKEALPPPPSTAPIMMAPSHFAPPAAPAAPAPAPAPKTAPAASPAAQSPKSSHHPLKCPMAGTFYRSPAPGEPAFVKVGDKVKKGQVLCIIEAMKLMNEIEADQSGTIVEIVAEDGKSVSVGTPLFVIEP >CDP04507 pep chromosome:AUK_PRJEB4211_v1:9:446409:449278:-1 gene:GSCOC_T00017925001 transcript:CDP04507 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGVGVSPGNVPVYHGSNLKVVDRRVRIAELVLRCLICGLAVLAAVLIGTDTQVKEIFTIKKEAKFTEMKALVFLVITTGIAAAYSFVQVLRCITSMIRGNVLFNKPLAWAIFSGDQLMAYLSLAAVAAAAQSAVFAKLGQTELQWMKICNMYGKYCNQVGEGIASALMVSLSMVVLSGISAFSLFRLYGENKGKNSSRW >CDP04410 pep chromosome:AUK_PRJEB4211_v1:9:1240606:1246961:-1 gene:GSCOC_T00017791001 transcript:CDP04410 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKGVPICKACCATNNNHRHCYYYSKQVGLSESGSRNLLNLRVQNKWECRLGGVLTKTQTATTPVEENDKPSVDGNGETQITETRRFHRDLQSLPRPLSAIDLSSSLSDGSKVRVAYQGLPGAYSEAAALRAYPECETVPCDEFEAVFKAVELWLVDKAVLPIENSVGGSIHRNYDLLLFHRLHIVGEVQVVVNHCLLGLPGVRKEELKRVLSHPQALDQCEMTLNKLGVTKVSTNDSAGAAQITAAERVRDTGAIASVRAAEIYGLDVLAERIQDDTDNITRFLILAREPIIPGSDRPHKTSIVFSLEEGPGVLFKALAVFALRNINLSKIESRPQRYRPLRIVDDSNKGSAKYFDYLFYVDFEASMAEPRAQYALAHLQEIAKFLRVLGCYPIDTTL >CDP04299 pep chromosome:AUK_PRJEB4211_v1:9:2297497:2298024:1 gene:GSCOC_T00017643001 transcript:CDP04299 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKFSEKSDVYSLGVLLLEIVSGKRNTSFGNEANDHSLVEYAWKLWNAGETVKLIDPRIFDPCIEMEALRYIHVGLLCVQEHAKDRPNVSNVLSMLTSEIVEIPCPNLPAYNAIFCPSKTVHPEQGIHSPNDVSITAVVGR >CDP05665 pep chromosome:AUK_PRJEB4211_v1:9:13623060:13631938:1 gene:GSCOC_T00020851001 transcript:CDP05665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MVSSISPAWVLCQDNGISRRIVSVDGSVAKRLHLQGSKTSFARAFVTSIWQLKVGLHHSSGNVRLLPCIKCENSNKEGSSFEQVSVEPPPYHSYLDSTSGQLEPASGARASIPGQEFWPEGTASRVRAARAPEPMGASTTSPSYGQNPGSRRKKYKASVASSETSETSGVPDIPDDVLEEPKELLSEYVVYQSEPEEENLSHYEMDKKIGRPHPFIDPKAKKPVEEPLTSEELWWNWRKPEKEQWSRWQRRRPDVETVFLKAMAETGQIKLYGDHPTMTETSLYRARRHIYKEERLKAEQERLERIGPLAYYSEWVKAWKRDTSREAVQKHFEETGEDENTQLIEMFTHQTDREYRIMMGTDVRIRRDPLAMRMREDQIKEIWGGDPVYPTINYIQDPDAVIDFRGPDFHEPTPDMLAYLKEHGKIISREELEMKLAKEKTEELEMTDMDEAMAQAVDIGENDDEGEESDDGEEEKISRNWSILKSNPELRKSKGKPKNNNLSLEEAIDDSENLTDFLMDFEEDE >CDP18207 pep chromosome:AUK_PRJEB4211_v1:9:4720104:4723346:1 gene:GSCOC_T00007116001 transcript:CDP18207 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFGNIRDHKGLPARICSVILSFSLKEEKIPERFMENPSQKRLTLSSEMDAGASVDRISSLPDSVLCHILSFLPTTKYAVGTSILSKRWKFLWTGVPYLLFDDDRFHKSPERVRKFEQFVNKVLLLSTVQNILKFRLCGNEFIEPFYVNAWISTAIIRNVRVLKVQVFYYCRADVVIELPSCLFTCRTLEDLELWDNLDINTPNLVCLPRLKRLTLSDVQYRNDESVSKLISGCPILEFLDICRCSFDNVTVFVISSPSLKQLRFRGPDDFPENLHYKVAINTPALESLDYSNHIWQHIGVNFQNITSLVRAKIDVETFPGDDPPQSAFCNSLVELVQALHGVKILTLSQKTMNALSYATTCLSTRRFEGLTKLVVGAGCCEWTCLQDLVEAAVNLEVLDFTKVGNLDAFDMLKNWHREHTIESCWRDPIEVPRCLITSLKQISLEELEGCEDELAMIGYILKHGSVLNRMHLSSKVGGLSTKFQLTQKILLFPRRSPTCQIAFCRQAGPRSLFSKS >CDP04357 pep chromosome:AUK_PRJEB4211_v1:9:1755471:1760365:-1 gene:GSCOC_T00017717001 transcript:CDP04357 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSALVFSILLLLFVSVLTTSFASETVEKGKGSEVEEDEDLSFLEEDDAASSSHHDPYAQYHNYENYDDLEDNDSGAAEDGGYEAYTPPAVDEADVVVLKESNFSDFIAKNKYVMVEFYAPWCGHCQALAPEYAAAATELKQEEEKVVLAKVDATEESDLGQKYDIQGFPTVYFFVDGIHTPYPGQRTKEAIVTWVKKKIGPGLNNITTVEEAEQILATESKLVLGFLDAFVGAASEELAAASKLEEDVNFYQTTSSDVAKLFQIDPQVKRPALVIIKKEDEKINHFSGQFTKSAISEFVYKNKLPLVTNFTRDSAPLIFENPIKNQLLLFATANDSEKFLPTFQEAAKAFKGKLLCVYVEMDNEDVGKPVSDYFGVQGDAPRVLAYTGNEDARKFLLEGDLTLSNIKLFGEKFLEDDLKPFYKSDPVPEKNDGDVKIVVGKNFDEIVLDESKDVLLEIYAPWCGHCQTLEPIYNKLGKHLRGIDSLVIAKMDGTTNEHHRAKADGFPTLLFFPAGNKSFDPITVDTDRTVVAFYKFLKKHATNPFKLQKPALSTQPKGSDATTSDDGSSKDLKDEL >CDP17635 pep chromosome:AUK_PRJEB4211_v1:9:8053446:8063017:-1 gene:GSCOC_T00005151001 transcript:CDP17635 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSKYIISALLLATSMLASFSLAKTNISTDTMALLELKDHITSDPHSILAKNWSISSSVCNWIGVTRSYTRHHRVRALNISNMGLAGSIPSNLGNLSFLVSLDMGNNSFHGHLPKGMAHLQKKKHTLSSNELVVIPPSFAGSIPEEKGNLQSLRVLSITSNNLSGSIRTEIRNLTMLEGVDSRNNSLTGPIPNEIGNFFKLESLDLDENSLSGLLPVGIFNISTLRELNLAGNHLSGILPSNMSYGLHNLEYIDLSLNNFSGAIPTFISNCSKLTGIGLGFNKFGGTIPNSIGNLRRFEVLSLFVNNLTSEFSSLKLRFFTSLIGENFGIFSLKNVVHFFFVSTITLTSNLENYEPPPLFNLSKLEILALSYNSISGKIPKEILNLSKLKYLGTPFNHLSSSMPSTIFRISNLEFINLLGNRLSGNLPVCRYPTSLIQLHLSYNQLNSTIPPQLGNLSFLVSVDMSSNSFHGHLPEGMSHLRRLSFMALSNNNLTGEIPSWLGVLNRLQYLSLRNNSFVGHLLANICDNLPNLKELDLSRNQLSGQILSGLSNCSGLKSLDLSFNQFNGYIPKAVGNLKMLEELHLDNNILKGYFLVFLIFIQFHIYILSLYNYLNFIYQKEVGNLKMLQYLYLGTNSSREGGMGNYVFIIKIILYVLRYLNFVTVQSFVGSIPKEIGNLQSLRALSIESSNLSGSIPREIGNLTMLEEVHFSYNRLTDIQFLSVELYNMFLNLEKLYLDDRLVFVHGSTGPIPNEIGNLLKLESLNLGENSLSGSIPVGIFNISTLRELYLSYNHLSGVLPSNMYHSPHNLEAILLGGNNFSGAIPSSISNCSKLIGISLGDNPLNGVLPRSIGNLSISVKDLDVGNCGLKGNIPDSIGNLSNLASLGLDDNSWTGSVPNTIWGLQKLWILDLSNISLTGPLPRGLSFNRLTSTLPLSLWDLKGLKELNLSSNLLRGPLAPEMGELKNLTRLDLSNNQFSGKIPSTIWSLESLEHFSLANNSLQGSIPDNL >CDP17333 pep chromosome:AUK_PRJEB4211_v1:9:20416246:20417571:1 gene:GSCOC_T00004096001 transcript:CDP17333 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQSRCKLPIVDLKKDNLKPGTSSWTATCDSVRHALEEYGCFLVVQDHVSAQFEKEVFDVVRELFDLPTETKSQNSLDMFLGYVGQLPHAPLHESMEIPNATTIDGVQAFTDLMWPSGNKRFCETMLTYAKLVAEVEQTVDKMVFASYGAMDHLESHIESSTYILRPLKYTPPEMHNNVDVGADVHTDKSFITMLHQNQVNALKVQARNEEWIDVDFSPASFLVLAGDAYQAWSNDRIHPPRHQVIMKENKERYCIALFAYNYGMVNVPEILIDEKHPLAFKPFDNFDLLRFFLSRTTDMSSSTAKAYCGINA >CDP04126 pep chromosome:AUK_PRJEB4211_v1:9:3800895:3807739:-1 gene:GSCOC_T00017428001 transcript:CDP04126 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGVNKELKGEVDKLNDERQRQHYCVSSYVNQLSELTQVIREQAKKYDSLNQLTKAIKDEAEKLKPEKAQQEISLPNAGYAPVCDTGNSQTSEQSMELLEVLNVKYGIVHSNLQVCYDKLNSAYHHESKLLFGFVTRKAVSYIEDGVAFAAFGTDAYLMSLGEGHHYKKKRSIANVIGFILAILSTLSTSLAILVEAKLYDVEWNQRWFYKGEKPYIPLFAIGDLIVTLGSVFLFGNTIPLWTIQLKASVYDFCSGIGTMCFFYKFLKAIKARVLRRWWWTGAD >CDP04516 pep chromosome:AUK_PRJEB4211_v1:9:371562:372748:-1 gene:GSCOC_T00017938001 transcript:CDP04516 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGCSEDPLLPRSLDSLHNEKNTMKRRRPSSSNHALPKDILLHEQNDYGILDQHPESLSQNKLNYKQVVIFLVAYISTGVLCFSVVRNQITGKKTNEILDAIYFCVVTMTTVGYGDLVPNSNLSKLLACILVFAGMTLVGLVLSKAADSILEKQEILLVKATHLQEKLGKSEILKQVEAYKTEYKFVVALSLLLLLIILGTIFLYEVEDLSLFDALYCVCATITTLGYGDKSFSTRAGRLFASFWILISTLCLAQVFYCLAELYTERRRRSLVKWVLTRKLTITDLEAADLDQDSTVSASEFILYKLKEMGKISEEDIAPVMQQFKNLDIDQSGTLTKSDLLLTTSSDIQS >CDP14542 pep chromosome:AUK_PRJEB4211_v1:9:21685281:21688980:1 gene:GSCOC_T00041060001 transcript:CDP14542 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEIDHYVVLGLPSEEEGARLSEKEISKAYRLKALELHPDKRPDDPNAHANFQKLKTSYEILKDEKARKLFDDLVRIRKEKIQRQSRQDTKRRKMMSDLEERERAAFAVDPELRAREEEDRIARKLKDEIARIRSMFTNKASSAMPTPTPQKDTSKSPQRNTGDGGKTVDKGKVLKVSWEKVGEDYSAHRLREIFQGFGEVEDVVIKSSKKKGSALVVMASNDAAVAAVGNVLGSLSNPLLVLPLKPAQTTPVFSCAQDGVEPDEPKLNNLVGAGYQAFEDSVLEKLRKAAQKQK >CDP04434 pep chromosome:AUK_PRJEB4211_v1:9:1045405:1050843:1 gene:GSCOC_T00017824001 transcript:CDP04434 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSWKLVIGVCIILCLMAFLRLQYSLSYSDSEPGLSPPTSFVRHRSRFMSSYEFEGNAKIAFLFLARRNLPLDFLWGSFFENADVGNFSVYIHAEPGFVFDESTTKSSFFYNRQLKNSIKVAWGESSMIEAERLLLGAALEDPANQRFVLLSESCVPLYNFSYIYNYLMASPRSFVDRQEGRYNQKMSPVIPKNKWRKGSQWITVIRKHAEVIVDDQVIFPTFQKFCKRRPMVDASKGKLNLKLQKQHNCIPDEHYVPTLLAMNDLEDEIEQRPVTYTLWNQSMSNMETKGWHPITFRHADAGPQQIRRIKGINHVYYDTEFRTEWCSNNGTLVPCFLFARKFSQGAAMRLLSEGVVGQSGTPFIAGHFALS >CDP04531 pep chromosome:AUK_PRJEB4211_v1:9:251856:253252:-1 gene:GSCOC_T00017958001 transcript:CDP04531 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFIRSCDGKVTAQPINYTIFLFDPRTWQLFLEVICTSTCTMKKHLSRLSKWKTAKEMAAVVRSLLTL >CDP05661 pep chromosome:AUK_PRJEB4211_v1:9:13315031:13316398:-1 gene:GSCOC_T00020846001 transcript:CDP05661 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVRFFYKACSCGKWQNYRLPCSHALVVCRNRGDNLGLLVDQQFTKTRWAVQYSGKFNPLSHQDTWLYPGWELQADRSKFVARRVGRVRASRIRNEIDERDPDDPRRCRNCHQTGHNRRNCPNYSIELTSLNVQPNSFIFLSLHSLSIDLLTYLLKECSDGVLYTHTFHLPVEEATVTLQDIEVL >CDP14527 pep chromosome:AUK_PRJEB4211_v1:9:21844779:21847954:1 gene:GSCOC_T00041041001 transcript:CDP14527 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSPRINGRIFSLLKARTGKKRTIIVGLKSDNYSREMLRRLLSSSVVVPGDSVLAVHVQESNDGFDPNTFLIHEDLCKYKQVDFQVKLCNGSSYIGELSHQVRVHFATILAVGCSSQWPKDSTVNKCLKALPPSCSLLVMDNGGKILLQRQGTSQQGSVTRVLQSSVSSLSEFGSRDHSETRFHLQKSRTMSCPSTSLPVQVQPTGSKKLLSIRKNLSFPDVTQKLFERLATFEVKGNSRRFTLEELRRATNKFSPEVLIGEGGHSKVYRAELDDGQAAAVKVLNISQHSDEDLFREVEMLSGLKHENIVRVLGFCYCKDMQAVVYNLLKGSLRQRLKQLRWSERMQLAIGVARALDNLHSSSPPVIHRDVKSSNILLSENCQPQLSDFGAATVLHQNKQTSSVFSKPIHVVGTFGYLAPEYMMYGKVDEKIDVYSYGVVLLELITGKEAIQAKSMSNQESLVSWARSLLSSGLCERLIDPNLNQDYCQDEMKMMMIAARLCLLHSSSRRPTMKTVLNLLEEPDHWLAMQTKREQLLIINGDSREEIEFCSRRKESVFSETLLMDDG >CDP05643 pep chromosome:AUK_PRJEB4211_v1:9:12673238:12676488:1 gene:GSCOC_T00020820001 transcript:CDP05643 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNLVWLLLSFIFMESCLVGAAIGAMVQEKAEAATPLTTPSPPEGNTTFLDGTTWCVALAGVPQMDLQNALDWACGPGRADCSPIQTGGRCFDPDTLLAHASFAFNSYYQQNGNSDIACNFGGAATLTKSNPSYEECSYDTSGSIKSSAPQLSNHKPQITWWKLAMVVLLLYARN >CDP04423 pep chromosome:AUK_PRJEB4211_v1:9:1149334:1152101:-1 gene:GSCOC_T00017808001 transcript:CDP04423 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLFSLIFAEMALIVIFVFKTPLRKLVIMGIDRVKRGRGPTVVKAVAGTLSVVMMSSGYNAVAIHNRWSQDADINPTDQILFANYLLEASLMGFSLFLAFMIDRLHHYIRELRIRRKSMEAGKKQNRISDDGKNGDFKALEEESAALRAKVKNLEAELDEKTKEASSAEANKLALKKQSEGFLLEYDRLLEENQSLRSQLQSLDRRISRSDSKKIM >CDP18929 pep chromosome:AUK_PRJEB4211_v1:9:7291935:7293712:-1 gene:GSCOC_T00011304001 transcript:CDP18929 gene_biotype:protein_coding transcript_biotype:protein_coding MKELHKKFDRFHNHVLDDHQARRKTERDFIPKDMVDILLQYAEDPDLQVKLTRDQIKGLIQDLLVGGTDTSALTVEWALNELLKHPRLIRKATEELDRVIGRDKWVEEADFSKLPLLEAIIKETLRLHPLATLLSPRYALEDCTVAGYNIAKGTTVFINTWSIGRNSKYWDSPEEFIPERFLEKDIDMKGQNFVLLPFGSGRRMCPGYNLGIKLIRSMLANLLHGFNWKLPRGMKPEEICMEEHYGLTTHPRIPLAMIPEPRLPVNLYQQVLI >CDP12572 pep chromosome:AUK_PRJEB4211_v1:9:9572192:9573049:1 gene:GSCOC_T00036225001 transcript:CDP12572 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPNVQGARNSSVVRDYISKYGDFVEWGEFRPDGRSRFSSDKTDEVCAAALAGQDKGMALNIIKRGDSRSFIIHYDKLSSNLVRIFQKPPEPYVARFKQFERVPSFLTHWADTNVTGPGNRPHRPMSIIIEGPSRTGKTCWARSLNSQAHNYYAGHIDLAHHSDDAWYNVIDDVNPQFLKHWKEFLGVQRDWSSNCKYAKPRKIKGGIPTIVLCNPGLNSSYDIYLSAPDRQDLLNWTKQNAHFSSCNNLSLHSQTKSRHQLSKKSKNWTATIEFPLSCTLSIS >CDP04519 pep chromosome:AUK_PRJEB4211_v1:9:359305:361300:-1 gene:GSCOC_T00017943001 transcript:CDP04519 gene_biotype:protein_coding transcript_biotype:protein_coding MQEENKILDKTNRQKVSEVEKLSQTIKELEEAILAAGEAANTIRDYRRQISELQEEKRTLERELARIKVSANRVASVVANEWKDENDKVMPVKQWLEERRLLQAEMQRLRDKLAISEKTAKAEAQLKDKLKLRLKTLEDGLKYASSIPIDPNTYSGSLKSEKTNNFFGILSSNAGLKKRSKSQPRATTVRSPDMSSVEKMKTDFAEKVKSSGIKKIYASENPLIKGLWATRKKVMDSSEKENKDGKENSAMDVVMCDNTEIPGSGNIKSKFGDNQEAKGTARANTENTDTVSGFLYDRLQKEVLILRKFCEAKDSNLNTKDEDIKMLNKKIETLAKALEMEYKRTKREATRKDKSSISTKVDDKIRNMNSSRRATKAS >CDP04524 pep chromosome:AUK_PRJEB4211_v1:9:290330:291547:1 gene:GSCOC_T00017950001 transcript:CDP04524 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVTTMRLKVDLQCPCCYKKVKKILCKFPQIRDQMYDEKQNLVTITVVCCSPEKIRDKLCCKGGKVIKSIDIVEPPKPKPPEKPKEPEPDEPIQPGRTPS >CDP20500 pep chromosome:AUK_PRJEB4211_v1:9:20641780:20643034:-1 gene:GSCOC_T00011978001 transcript:CDP20500 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAMRPVAGLLLALNFCMYVIILGIGGWSLNRAIDHGFIIGAGFDLPAHFSPIYFPMGNAATGFLVLFSLIAGVVGVASVITGANHLRFWDIDSLPAAASAATIAWSLTLLAMGLACKEIELRIRITRLRTMEAFLIILSATQLLYIAAIHAASTRRRV >CDP04545 pep chromosome:AUK_PRJEB4211_v1:9:163635:167955:1 gene:GSCOC_T00017975001 transcript:CDP04545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycolate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G47760) UniProtKB/Swiss-Prot;Acc:Q8GWU0] MEGGEGPETASAAAECGHQPLTPNDVKDLLHSVDAFLFDCDGVIWRGDVLIDGVRDTLDMLRSMGKKLVFVTNNSTKSRRQYAKKFHSLGITVTEDEIFSSSFAAAMFLKVNDFPKEKKVYVIGGEGILDELELAGFVGLGGPEDGKKTVELEANCLFEHDKSVGAVVVGLDPYINYYKLQYGTLCIRENPGCLFIATNRDAVGHMTDLQEWPGAGCMVAAICGSTQREPIVVGKPSTFMMKFLLKKFNVSTSRMCMVGDRLDTDILFGQNAGCRTLLVLSGVTNLSTLEDSSNHIRPDYYTSKLSDIKKLVLE >CDP18167 pep chromosome:AUK_PRJEB4211_v1:9:4453176:4464353:-1 gene:GSCOC_T00007065001 transcript:CDP18167 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQLLFSTATSSCRSIMLQNRTLHNISGTCLLGSPFKGADQFAQLPQKLRVCSAFTRYQPKVLRIKSERKSCALKSHSYGLWPFSASIQQPNMRVASLSGAIQMNVISYRSIVGFESQCYAFVTGKRSFSEVSGALTHKNDAVGMRGGGSGSNSTLKVHNKYWKRKKAFSAHRRNAISNIGPGRGNSSYKASDTKAPGTVSSKDGIPMDKMKDVELDTSHSSDTSGSCNSNGVSKVKQARSKKSKNHGSDNTAACDEANHLKDPEKVSQAKKPKPKKKNQSPVASQINTTQSTSTEILENDVLTKDSKPKPTKSSRKKKTDKGVAALSKSDESPADSSSSEVSQSHKSQMSGKSSLRGKFPQLYPPVAKSVVVVESVTKAKVIQGYLGDMFEVLPSYGHVRDLAARSGSVRPDDNFSMVWEVPSPAWTHLKSIKVALSGAKNLILASDPDREGEAIAWHIIEMLQQQDTLRNDITVARVVFNEITESSIKNALQAPREIDVSLVHAYLARRALDYLIGFNISPLLWRKLPSCQSAGRVQSAALSLICDRETEIDKFKPQEYWTIEVEFNKKDTSSTDTLSFSSNLSHFSGKKLSKVSIGSYTEARDIEQKINSSKFEVIACKESKSQRNAPSPYITSTLQQEAANKLNFSASYTMKLAQKLYEGVQLADGEAVGLITYTRTDGSHISDDAVKDLQSFVTERYGQNFASKSARKYFKKVKNAQEAHEAIRPTNIRRLPSVLAGVLDDDSVKLYKLIWSRTIASQMEPAIIDQVQLDVANTDRSIMLRSSCSRVEFLGYQTVFEDVESKTVSLNENEVNNRGELFKVLSTLKSGDSLNLGKVELEQHYTQPPPRYSEGALIKKMEELGIGRPSTYAITIKVLKDRNYVTVKSRVMHPEFRGRMVSAFLSHYFSEVTDYSFTADMETELDNVSAGLTEWKGLLKDYWTRFSKYCTHAINVHIHQVEKMLEKEFSDFLFASLPDGSRRCPSCLEGNLIFKVSRFGAGYFIGCDQHPRCKYIAKTLCREDDEEIPSDNNNNKNMEEPKLLGLNPGTNEKVLLKSGPYGNYVQLGEDREGFLPKRASLNKVKDLDCVTLEVALELLRYPVTLGKHPDDGRPVTLFPGKKKSFVIRHGRTFAPVPKNIKSEDVTLEQAMEFLKGPNTTRVGRPVVKKKLEETIEAIY >CDP20502 pep chromosome:AUK_PRJEB4211_v1:9:20652026:20654367:-1 gene:GSCOC_T00011981001 transcript:CDP20502 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDVVVTNKLARLRSQEDE >CDP04401 pep chromosome:AUK_PRJEB4211_v1:9:1334230:1342522:-1 gene:GSCOC_T00017778001 transcript:CDP04401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64570) UniProtKB/TrEMBL;Acc:F4I6S6] MEKEVNENKGDTADGVGNRNEVEDGYEDEDEEEDVDFNPLLKDSPSQEASSSLSSENEGLDADVMDSEEVVTQTETSTAGECKKGSEIGSLHEKSEGSGSRMFVDGDLVAGEFSPAVHSGKPVINMDDEDAICMRTRARYSLASFTLDELETFLQETDDDDDLQNVDDEEEYKKFLAAVLLGGDGDPQSAQENDNVDDEDEENDADFELEIEEALESDIDEDARDEIQEEVYDVAGRRPKTRQNRRKKASVEGNKKLLGQSKRPLRPLLPSAPLAQRLPFSTLDGKSFIMNHAADFPPSTTDGSINGFSPHQIGQLHCLIHEHVQLLVQVFSICVLEPSRRHIAAKVQELIKEILHSLRDGHGPEGSFWMPYINGPVLSVMDVAPLRLVGNFVDDVSAVVQEYHRRQVGLTCDTRSEREPLFPLSCFPASEPCDQILRGADSPTLSVAHLSPKSDRTPKKTMASALVERAKKQSVALVPKEIAEIAQRFYPLFNPALYPHKPPPAPLANRVLFTEAEDELLALGLMEYNTDWKAIQQRFLPCKSKHQIFVRQKNRSSSKAPENPIKAVRRMKNSPLTADEIARIEEGLKIFKLDWMSIWKFFVPYRDPSLLPRQWRIANGTQKSYKCDATKNAKRQLYERNRRASKPAALPNWQTSPEKEDNSTDKVCVDKNNVRNQMDREEESYVHEAFLADWGPGTSNLASSFPNSQQQEKSPLQPPLQEGSQVSEQLHRSGSEGAQAPIFNEFPAAVRSSSSQVCGRPYRARRVNNARLVKLAPDLPPVNLPPSVRVMSQSAFKSYQGGATVQPSSANSSVIGPGAEAGVGKVVKHTANSGVCNSAKAGQITISPVNANTSNRQPRDSLIIRNKDASEERDESDLQMHPLLFQAPENSHFPYYPLHSSASTSRSFNFFAGSPPQLNLSLFHNPRHANSAVNFLAKSLKPSESGSSCRVDFHPLLQRSEDVNSSSVAACSTAQFSANLETSEGRCAQVQSPLGGTPSMCRAHNSSAASAVSPGQKINELDLDICLSSTSRQHKALGSEDVNECGTAVSVANTKDFRNLGSPMPKDSLKQSSQYMPVAYAPDKIGSKLDSGVHAVVVASDEGNRSSADNTEDQSLPEIVMEQEELSDSEDEVGENVEFECEEMADSEAEEGSDSDQMVDMQNEDVEKADADADSNDQQDDPIRCDDSEGNAFQTVEGRQMGKKIDHSSSSLSLNLNSCPPGSPLMKKPNNGSLKGSNTAPDEKVHSGARAATENIASNDDNVASQKQVVELGSQSNLNSGITSSKKPRKRACGPDLRLRRGTPKRRNTSLKTDMNSAKSKQDS >CDP04564 pep chromosome:AUK_PRJEB4211_v1:9:27185:34207:1 gene:GSCOC_T00017998001 transcript:CDP04564 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLEELRKQIQEERERSEFRLLQEQAGLVTKQERLEFLYDSGLAVGKGNSSGGFKALESSSLKTDSGTAPSSATSSSTKQSSVPGALFEEKPQSANDAWRKLHSDPLLLIRQREQEALARVKNNPIQMAMIRKSNGNDNKKCKRTKSSEKRETVLSCWSPSSFRRNVYQQLEKNIEQRREKRSRFGEKIWRFSDLPSHSCIEIKMVEYDAHSDQLTVSNFKLYVKKVIKIRNERKTTRKKNFFPLYNSVNCFFFFWLAILALYGLNRNQKVNGHQLVEATKNKKKTRDEDDDKAHKRKHHHKKKHEKQSSSGHHSGSENAGSEKKRRKSSGHKGSRSILPSSEEESDWDIERKRISKKSTHKEHGPAFLLESTTKKNVRQQRGKGIQNDFQHEETCSAGYMDPVPMNQGKRERKIPQSNVGDSSDTVRRNEAINRRRNPVELSEEERAARLQEMHMDAELHEEQRWKRLKKAEENDAREAVRAGIPKGSNFLDAVQKSVYGTDKGGSATIEESVRRRTHYLQGRSEATERNAFRR >CDP18208 pep chromosome:AUK_PRJEB4211_v1:9:4726522:4730274:-1 gene:GSCOC_T00007118001 transcript:CDP18208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase subunit C [Source:Projected from Arabidopsis thaliana (AT2G34980) UniProtKB/Swiss-Prot;Acc:O64761] MEIRTIKGSSSPHRPKWRKIAYGGMQPGFEDNHTDESFLEDMIMNANVVRRNFLRVMLDSVSISEYLCIVALVVLVWTYTLRSTFAEDSLLLLDVSLLGLGFFILLLTAEMLSFNLLLSYLLKISFFITGLYLLSPIYQTLTRSISSDSIWALTAALIILHLFLHDYSGPTVKAPGTVENPTFTSNISLNASIVASLLIASRLPSRLHVFAIVLFSLQVFLFAPLVTYCVRKYSFRLHLFLSFSLMCLTLFFIHSLHRLLFVLFFVILAFVNVVCPYWLIRIQKYKFEINGPWDEAKLCFNITE >CDP05617 pep chromosome:AUK_PRJEB4211_v1:9:11434468:11436242:1 gene:GSCOC_T00020771001 transcript:CDP05617 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSKALIIVPFCLFLLSTQPIVLSTARKILGANEFGSNDKKYAARKLEGEYSPDLPPYYPSVPIGGLPDPSTLP >CDP14525 pep chromosome:AUK_PRJEB4211_v1:9:21858071:21862890:1 gene:GSCOC_T00041039001 transcript:CDP14525 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKSDSEVTSIDQASTPPRSPRRPLYYVQSPSHSHHHNDVDKMSYGSSPFASPAHPHCHYNYHYPHYHCSPIHHSRESSTSRFSAKFPALGWRRIQTSCRLDDDHRQAEKEDDGDQHHPDDDEWGGSKAHLKFYVLCFLLCFLVLFTIFSLILSAASASYHPKTFVKSIVFENFNVQAGMDRTGVPTDMLTLNSTVKIFFRNPATFFGVHVTATPLQLYYYDLKVASGLMKKFYQSRKSQQIVMVVVQGYQVPLYGGVPVLSGAKGHLESASVPLNLTFKMRSRAYVLGRLVKPKFYRSIVCAVTLRGNHLGKPVNLTNSDKCVYK >CDP04129 pep chromosome:AUK_PRJEB4211_v1:9:3772033:3775335:-1 gene:GSCOC_T00017431001 transcript:CDP04129 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPFQESSRCDVCYCSFTTFRRRHHCRCCGRTLCAEHSSHQMALPQFGLHSPVRVCADCFNNSSRLNRDAAPAISNGTTVVTDAISRLDINPVTEMEKEPPVDRASVQSTLECKCGMPLCICEAPAAPKEDVTSQAKSSFPPCFSLI >CDP14567 pep chromosome:AUK_PRJEB4211_v1:9:21287876:21289818:-1 gene:GSCOC_T00041095001 transcript:CDP14567 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSVKQFFSILLIQWMVFQARSRVISDVSMIERHEHWMAGYGRQYKDTAEKEKRYQIFKANSTAILSSSEYDKIVTAIPPSLDWRRKGAVAPIREVSCEKTPKIGLFKKPEGLLCAWTIPAVDAVEALIKIKTGKLYTLSNQEIVDCSTGLDCYHGSTEDAFEFIKQHGLTTESNYPPKDNKGTCDTNKEKEPVAKISGYNYVPADNEKALLQALTDQPVAVMVDASGADFQFYAGGVFTGECGTDIDHGVTLVGYGTSNSTLKYWRIKNSWGKGWGEDGYMRMERDIAAKEGMCGIAIEPIYPTL >CDP04412 pep chromosome:AUK_PRJEB4211_v1:9:1226082:1229161:-1 gene:GSCOC_T00017793001 transcript:CDP04412 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFSSSPAIHGSLSSSHEQSKVGSQFGSFQHLDRPHILSSSLNVSRSRSAAVKPLNAAEPKRNNSIVPSAATIVAPEVGDKVEEEDYEKLAKELENASPLEIMDKALAKFGNDIAIAFSGAEDVALIEYARLTGRPFRVFSLDTGRLNPETYKFFDTVERHYGIHIEYMFPDAVEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPAFEGLDGGLGSLVKWNPVANVDGKDIWNFLRAMNVPVNLLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDARAKECGLHKGNLKQDTLNGNGNGAVHANGSTTVADIFDTKNIVSLSRPGIENLLKLDDRREPWLVVLYAPWCQFCQAMEGSYVELAEKLAGSGVKVSKFRADGDQKTFAQKELQLGSFPTILFFPKNSTRPIKYPTENRDVDSLMAFVNALR >CDP14533 pep chromosome:AUK_PRJEB4211_v1:9:21757675:21771623:-1 gene:GSCOC_T00041048001 transcript:CDP14533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANLSSLVHELRERIAASSSTPPTKQNDEALEARFRAVLPNLLHAYVVPSPSAKEREVMAVLKLLTHTAKSFPGVFYHGKAAAVLPIIGRIVPFFAEPAFRSRHGVLFETIGSLLSLLRTGDRDAYRNFFVDIMLLVEDLLYVCSLYGESCSTELEKVCLKCFNVSFAGACGEDALLSHVPASSKPADGYGILIDLTGKERWQPFATSAVKLLSKCLTEGTLYVEGLVYTPCVLAACSLICYGDDNLHIACFDFIRLIGAVMDFDIIPFEKLIQSMAIILGEDEYGLSTFRGTAYDSSLAACLCALYTSCPDDIVKSTASTFIKIFPESFLKTKSQELKAALCSAYVRIAKSCPPHIWRPENLIYLLRSDKSVFLLIDCFQVAISRLRLDFWGGGLANDCSVSSSGDNENKNLRTTEKRPAMDSEYTDSKRQKIVDEREDFITNCKGVHDVANKLITAGEREYADYMHSLLSLFVEDLAPPFEKASSLNPEVELAALIALCVVFCEYPQLNISLSIFRQFYKWIPWIAEQENKELPSPVDLSFFLEAVNIMLLTQGSLPSDGKLFKCQTDWTDFIGSMLKLPWIYSLERSEPHPSWKAKCLSVQVLSKIDLSKIGNNLDILDLSLHDQAEEVRLEAVISMPVIVLWSGYGFLTHMFKRLEILLLESNDKIKKAIPLSLGFLACLYGSCHGLGTWWESECKLYLNKQNRREKSTTHHLLRGFRCSKCDSRVVVNQDFCSTAVHPPGSSSMEHVIGCDYTCLQSLFFQLLYDESSEEVQVACIGILGRVLLHGTGDILQSTRSEWMNCVDFLLLHQKKAIREAFCTQISFFFEEPILNCLVLDMDLINKTKEQKFMDKIKHALAAADDPLMFETLLEAAANILISVNIKSQPFLMSLTLLIDQLDNPHVTVRITASTLIKSSCHFHFKGGLCTILSKVLHIRNELYDYLSTRLASRPEMIEEFAVAVLGIETEELVKRMIPVVLPKLVVFQHHNDQAIIILHEMAKCLKTDMVQLIVNWLPKVLAFSLNRADEQELLSTLQYYHDQTGSDNKEIFAAALPALLDELVCFIDEKDPEEVCKRLTQVPQTIKEVARTLTGDEDLPGFLRNHFVGLLNSIDRKMLHTEDVLLQKQAIKRIEMLINMMDTHLSTYVPKLMVLLMHAIRKEHLVGEGLAVLHVFIKQLVKISPSSTKHIISQVFAALVPFLERDKVNSSLHLNKIVEILEELMLQNKFLLKQHIHEFPPLPKIPALAELNKVIQEAQSPRSLKEQLLDVANGLNHENLNVRYMVASELGKLLNQRREEVTVLAIKEGNQNMDVLSSLFSSLLRGCAEESRTSVGQRLKLICADCLGAIGAVDPAKFVSSSSTRFKIACSDDDLIFELIHKHLARAFRAAPDTIIQDSAALAIQELLKIAGCEASLDENVAASTSERKNKPPRKAPASAIKTENHGTEICGRGQKLWDRFSDYVKEIIAPCLTSRFQLPDVSDSASSGPIYHPSMSFRRWIFFWIKKLTVHAVGSRASVFNACRGIVRHDMQIAMYLLPYLVLNAVCHGNEEARHGITQEILSVLDVAASDHGSVTVHGINPGQSEVCIQAVFTLLDNLGQWVDDIEQELALFQSLQPSNCKQQGPKSKENNMHLVKDSEVLTQCNHVSDLLAAIPKVTLARASFRCQASARSLLYFECHVRERSGSFNPAAEKSGFFEDDDVSFLMEIYSNLDEPDGLSGLACLRKSKNLQDHLLINKKAGNWAEVLTSCEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRIPQYKKMWCMQGVQAAWRLGRWDLMDEYLTGADEEGLLCSSSESNASFDMDVAKILQAIMKKDQFLVAEKIASSKQALIAPLAAAGWDSYARAYPFVVKLHLLRELEDFNMLLAGDSFLDKQLYLSEPDFCKIIANWENRLKFTQPSLWAREPLLAFRRLVFGASGLGAQVGNFWVQYAKLCRLAGHYETANRAILEAKASGAPNVHMEKAKLLWSTRRADGAIAELQQSLLNMPEILGSAAMSSITSLSLVPLNPQPLLCDTQSLNENRDIARTLLLYSRWIHYTGQKQKEDVISLYSRVKELQPKWEKGYFYMAKYCDEVLVDARKRQENSFELPRVVPSNSVLSSAASNSERRWWSYLPDVLLFYARGLHKGHKNLFQALPRLLTLWFEFGCIYGSDSASNKDMKSVHAKVTSIMRGCLKDLPTYQWLAVLPQLVSRICHQNEEIVRLVKHIITSVLRQYPQQALWTMAAVTKSAVPSRRSAAEEIIDAARRGSSQGGTRSLFMQFATLIDHLIRLCLHPGQAKAKTINISTEFSALKRMMPVDIIMPIQQSLAVNLPSCEMNVTSPSTSDIFSFTDLPTISGIADEAEILSSLQRPKKVFLFGSDGTKRPFLCKPKDDLRKDARMMEFNAMINRLLSKCPESRRRKLYIRTFAVTPLTEDCGMVEWVSHTRGLRPILQDIYISCRKFDRQKTNPQIKRIYDQCQGKMPEEEMLKNKILPMFPPAFHRWFLNTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCIHVDFSCLFDKGLQLEKPELVPFRLTQNIIDGLGITGYEGIFLRVCEITLSVLRAHRETLMNVLETFIHDPLVEWTKSHKSSGIEVQNPHAQRAISNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >CDP18196 pep chromosome:AUK_PRJEB4211_v1:9:4642814:4649135:-1 gene:GSCOC_T00007101001 transcript:CDP18196 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRAQTSKVWCYYCDREFEDEKILVQHQKAKHFKCHVCHKKLSTAGGMQIHVLQVHKENVSKVPNAKPGRESTDIEIYGMQGIPPDILAAHYGEEDDEAPAKTPKVEIPPTQVGGVVQGSLGVGYPPQSSVGVMPTLYNPAVPIPPAGWPVAPRPQPWYPQHSAVSVPPSAPLGLPPQPLFPVQNLRPPLPPTAPPGLQASVPVVPPGLPAPPPIPVSQPLFPVVPSSNVPAQNSAFSAPMLPTSLPLSTLTETKNSVDGYLNNNASVTNTFATPGIPAAMPVNSHSYASGPNTGGPSIGPPPVIANKAPASQPAGNEVYLVWDDEAMSMEERRMSLLKYQVHDETSQVSHNYPVRPTKILSYRCGHELLPYPDGYLVVVQIQLLTHCFLKVLFCTSCPSLSP >CDP04324 pep chromosome:AUK_PRJEB4211_v1:9:2078603:2091256:1 gene:GSCOC_T00017677001 transcript:CDP04324 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPEDEPRSGGEHHEKHDDEEAVARSEEFKKSVEAKMALRQSNMNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQREGLMEDLRSVNLSKFVSEAVAAICDAKLRSADIQAAVQRYKDFSPSLVQGLMKTFFPGKSGEDPEADRNLKAMKKRSTLKLLLELYFVGVIDDSGIFVNIIKDLTSLEHLKDRDATQTNLSLLASFARQGRYLLGLPQTGQDVLEEFFKSLNVMAEQKRFFRKAFQTYYDAAVELLQSEHVSLRQMEHENAKIINAKGELSDENASSYEKLRKSYDNLYRGISALAESLDMQPPVMPEDGHTTRMSSGEDLSSQSAGKDSPVFEALWDDEDTKAFYECLPDLRAFVPAVLLGEAEPKLNEQSPKTQDQSTDLAAEADQCQITRELADVSLDSGSSQEDKGEKVKDKDERDKEKSKEIEKDKGKEKDAERKGESDKEKVKGLEGTSLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVSSMLLQLLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKIAPSGLVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTMRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSSIERVLRQLRKLPWSECDPYLLKCFMKVHKGKFGQIHLIASLTAGLSRYHDDFAVAVVDEVLEEIRFGLELNDYGMQQRRIANMRFLGELYNYELVDSSVIFDTLYLILVFGHGTAEQDTLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLIHFQRYILCKGALPLDIEFDLQDLFAELRPNMTRYSSVEEVNAALVELEEHERVVATEKANIDKHSETGKSPSRTSSGVISVNGQSIANGSEENGELHDDIVGETDSDSGSGTPERMGHHDEEDSDEGNHDEESETDEDYDDLVGPASDEEDEVHVRQIAAQVDPQEAAEFDRELHNTCYRMQESLDSRKLELRARPTLNMMIPMNVFEGPTKDHLGKSTEGESGDEAIDEAAGGNKEVQVKVLVKRGNKQQTKQMSIPRDCTLVQSTKQKEAAELEEKQDIKRLVLEYNDREEEELNGLGMQPLSWTQSAGSRLGNRGHAWEGHGRTTGSRGRHHYIHHSGGGMHYSRRR >CDP04209 pep chromosome:AUK_PRJEB4211_v1:9:3085496:3086678:1 gene:GSCOC_T00017527001 transcript:CDP04209 gene_biotype:protein_coding transcript_biotype:protein_coding description:RIC2 [Source:Projected from Arabidopsis thaliana (AT1G27380) UniProtKB/TrEMBL;Acc:A0A178WAB0] MRDRIERLVLLPFSMGCVSESSIAVGPRFPDRPKNINANSTPTRTPEDDKEGEEESEDDEESLSDDNLKSPSRLLAAPKIQKLFKNFKNLSQLFAYKDDEIEEGTGMEIGLPTDVKHVTHIGIDGSATSILSKGWGNPKSPGLPSANCPPSAFAFAMPAQADKAKVSGP >CDP17320 pep chromosome:AUK_PRJEB4211_v1:9:19770079:19772547:-1 gene:GSCOC_T00004074001 transcript:CDP17320 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVFQLSMFFLLCIFFVSDKTTAQKPPLKALFQSGKLTSEENEIFFSSSNAVTELDEAPGNIPIVNPTTPTPTTPIVNPGNPPQPPAMPGPITNPTPASPQPPAMTGPITNPNPGSPSPPAMTGPTTNPTPNTNAPASAGGAWCIANPSASPTALQVALDYACGYGGADCSAIQPGASCYDPDTVKDHASYAFNDYYQKNPAPTSCVFGGAAQLTYTDPSYGNCHFASTSTKTPTAPPTPMTPTTPPSTTTPVNPYTPPGSSGFGSEPTEYGSEPTGTPSSAEMVAYKQLQLLIMTCLTLSFSAAIYL >CDP05611 pep chromosome:AUK_PRJEB4211_v1:9:11166312:11168885:-1 gene:GSCOC_T00020757001 transcript:CDP05611 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVEQHRPHVLIFPFQAQGHVNSMLKLAELLCLAGINITFLVSYNIHNRLLRHTDVVSRFSKYPGFNLDHYPDAYDESKIHTAQQIMELYSSLQSVVKPFLREFMSKDPAHGTGKNRSRFSSIIADGILSLALDVAEEIGLPLIYFRTISAGAFWTYFCIPQLVEAGELPFPGDDMNIRIENVKGMEGFLRRCDLPSFCRVSDLTSPDLSLLLRETLQTPRAKGLILNTFEDLEGSILSHVRTYCPNLYTIGPLHAHLKTRLQLQVANSNNSNSNSNSLWQEDKSCIKWLDNQPPKSVLYVSFGSITVITREKLHEFWHGIVNSGVKFLWVIRPDSIKAEAGDDVLKTTKSTPEMELEEATKERGCMVGWAPQEEVLAHPAIGGFLTHSGWNSTLESIAEGVPMICWPYFADQQPNSRFVGEVWKIGLDIKDTCDRVIVEKAIKDLMVERKDEFLHRADEMAKMARKSVEEGGSSFCNLVRLIDDIVKG >CDP17319 pep chromosome:AUK_PRJEB4211_v1:9:19766877:19769583:1 gene:GSCOC_T00004073001 transcript:CDP17319 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRSHGLSAVCYAASLTTTNLEWVAAVCTAILTLSRGTPIHKSFLVPLFALQAPNSIVSWIRGEYGYWTAFLALLVRLFFSIPGELELLYIALLLVIVAPYQVMNLRGQQEGVIISLIIAAYLAFQHFSRAGSLRRAFDQGSVIASLAISCIVVVPCLLLI >CDP18925 pep chromosome:AUK_PRJEB4211_v1:9:7256567:7256992:-1 gene:GSCOC_T00011298001 transcript:CDP18925 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLAFRYNYTFKFNEKSDVYSLGIVLLELITGKPAIIKGTDDVNIHIVEWVNKRVERGDIHEIMDPKLKGKFNINSAWKFLEAAMTCTMAMASQRMSAMELLVELKQCLAIELSEEIASRAADFPQDGGLEIDTAPSPR >CDP04526 pep chromosome:AUK_PRJEB4211_v1:9:272056:276024:-1 gene:GSCOC_T00017952001 transcript:CDP04526 gene_biotype:protein_coding transcript_biotype:protein_coding description:oligopeptide transporter [Source:Projected from Arabidopsis thaliana (AT4G16370) TAIR;Acc:AT4G16370] MEASKHPSAQPQAHPSPHPEKLNGGDAPAPTDHKDRCAVEEVALVVPETDDPTLPVMTFRAWFLGLTSCTILIFLNTFFIYRTQPLTISAILMQIAVLPIGKFMASALPTKTYTLFGRWSFSLNPGPFNIKEHVIITVMANCGVSIGGGDAYSIGAITVMRAYYKQTLSFLCALLIVLTTQILGYGWAGMLRKYLVDPVEMWWPSNLAQVSLFRALHEKESKSQGMTRMQFFLIFLAASFAYYALPGYLFPILTFFSWVCWAWPRSITAQQIGSGYHGLGIGAFTLDWAGISAYHGSPLVTPWSSILNVAVGFVMFIYIIVPLCYWKFNTFDAHKFPIFSNQLFTSTGHKYDTTKILTPNYELDIPAYEKYSKLYLSPLFALSIGSGFARFTATLTHVALFHGSDIWKQSRSATKNVKLDIHAKLMKSYKQVPQWWYLVLLVGSIALSLMMSFVWKREVQLSWWGMLFAFGLAWIVTLPIGVIQATTNQQPGYDIIAQFIIGYVLPGKPIANLLFKIYGRISTIHALSFLADLKLGHYMKIPPRCMYTAQLVGTLVSGTVNLAVAWWMLGSIENLCDVEALHPESPWTCPKFRVTFDASVIWGLIGPERLFGPGGLYRNLVWLFIIGAVLPVPVWMLSKMFPDQKWIPLINIPVISYGFAGMPPATPTNIASWIITGMIFNYFVFKYRKGWWQKYNYVLSAALDAGTAFMGVLLFFALQNEGKNIKWWGAEPDHCPLASCPTAPGIVVEGCPLFK >CDP05627 pep chromosome:AUK_PRJEB4211_v1:9:11834856:11851647:1 gene:GSCOC_T00020787001 transcript:CDP05627 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSLQICLWTLLELFALFSYAISDMPVYFDDPPVVHTYNRLPEIEKECSPFLSSASELKPDDVRGYKIRKELSFFQGDWTQELGRSPLVPFDDSDLPGDSLSVSSPLRLVGFEVKDVNPVHQLKNAVSLRGTMCIGMARNSTLIYDPRSNFHMAPGMSVLKIDFEGVYIETEKDGGQRLLCMLGNTTLPFWTSAPGTSSFTVSSSNNQPRLLQDGRLLLVLHYPNILTLTKRAILGELRSLNEPKNPRYFDVIHISSQLNAFSKYKFSSEKLKSRTCDLRLFRQDLDDDDANRFNNTEFCIVMRSFSGYLFNIVPNWRFFNTGRLGPFFLGRGTHKNVRLIMQKFICETMSNSARVAALFRAFPAEMEGDIAGIRTGLSDMTMAVEGIWDASKGHLCLVGCPGVSSSGLQGCDSQISLYFPHSFSIKQRSIIFGSISSISSEDNSYDPVLFELEMRPSDLRLVHGWYGSYFLLYNYSKIELAEAFWKRSQSLPRLVADVKRLVLSYSSLWKAKDVFAHYDSLSYDLRISCYGTPVSSYNSHKPDVFIELEVLALGPLAMGRRSPWLHEDTAIPDNHVKVSKSEVLNVSLSLMFTQEPLKFTEKDYKNVSKLFLEGVYDPLAGEMYLIGCRRVTVQTSTLNLERGFDCLIEVKIRYPAEALRWLVNPEAEITITSLRNENDSLYFHPIELQTSMLPYNEHQEDAVFRKVFEEILRHLMITASIALISSQLLYMKKNVDGIPDISVIMLSLQFLGYVYPVLSNTKFLLQEKECKFPYGLLGYDQLLTLLDYTAKLLVLTALVMTATLMRKVTEFRKQPHPGSPSKPIGVPQDKRVRQIVAVILVPGLLSYYLLYRSIFENEDVSRIGDAQLEKIWTNKTQEFMGFIQDLFLLPQIVENVISHSPFRPLRKLYYIGFTLMRVVTHLYDSVRDPVIDPYSDEAAFVNLDLLFTSRAHNIAFVVIMTGLAIVLYIQQERSHQKFGVSIMKKKREKIIFLHIFLKLCNCNIRRIQYNPSNTYSYARFPEVEKHCGFLISSASELPPDDNRSGRMKKELFFRNGDWNQEPGGVPLLPIDSREMPSNTFGYGPPLNLVSFWVNDVDPARRAKNTVSVSGVMEIGRIRSGSFSYKPYDWSPKLYLKPGINKLTIIFEGIYLESQENGGRRLMCLLGNATIPKDSTQKLDSCDHGDLQSPCQPKVNNLPSTLQDDQIILVLEYPKTFTLTSRGISGELKSLNQRGKPAYFDKVHISSQPGDGSKYRFGSEKLVARACSPYPYPDSLINQEVQDVEMFEGHDFCEDLRQFVWNEVFEFASTWKNVVRITNGSSKIGPFLLGNEIEASYNSSDKLRLMIQELVCEPGTDQEGFQTAMVSAVFRVIQKSEYLYREEEKRTGLSTMTLSSEGRWHSSHGQLCMVGCIGPAGYLSDRCKSRITLYFPLTFSIKQHSRVVGTISSINPADGHASLMFQKLTTPIEFTSTHPRDNHFKWSYTYTKLKQAREFQSRSQPSNWERILRNSILQYPTSKGEDIASFSFLTDVLSIEVRAAPQTLTNSQAPKTNVKMVLLSIGSLFGRYDPHSYQEYPEAEKAFHSISEEIEVSGHLKVEGGQFSNVSLFFEGIYDPTLGQMYLIGCRDVLQFQGFNNDDRDLEGGMDCSIEIKVEYSAKNARWLLNPNIKFSINSQRTKEDPLYLSPISSNTFLLSYSKKFDFLMVRKGFEEGLRVLLLVMAILCIHGQLRYTENQGHTTAYISLVMLGLHALGFSIPLITGDEVLLRWKEFAPYKSSAYDQFQNFHIFQSLDLFIKFLMLIALLETAKLLQRVWESRKLRKGQAINLNLRIPSDKLVFLLFLLIHMLGFFTLHTIRRIYGIPLLLQSENIQDCFLLPQIIGNAVWGVQGKPLRKLYYLGFTTIRLVLQAYDYASDPVPNPTNSELEFEYLGVESYYKHGNVTIGVIVVILAMLLYFQQNGWCKIRPIHKLEDGENVESKPDNIYERLLSE >CDP14580 pep chromosome:AUK_PRJEB4211_v1:9:20981741:20982529:1 gene:GSCOC_T00041112001 transcript:CDP14580 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHRLLLLFTILCICTAESAELWSQFCNHNSQINSTQLSANIDSLLAELMSGTVQNGFSTISYGKAEDQVFGLAQCRGDVSSEDCSSCVEDASKQIRKLCPSQSDARIWYDYCFVRYGTNKFFGQVDTSVGIIFYNVENVTDPDSFNKELASLSDKISSEAVKPGNKGLGRGKKQLSPFLTLYEVAQCTRDLTPLSCDQCLAIAIGNFPIFCNNKKGCRVLHGSCIVRYELYPFFFPLDPKETLVNMPLKYYHSSTVHNP >CDP04237 pep chromosome:AUK_PRJEB4211_v1:9:2825666:2827728:-1 gene:GSCOC_T00017565001 transcript:CDP04237 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPPTLLSLTIDIALLNLSHISDLSFLPDHVLLELFLRTLRAGKLTEKILKLFVATGKDEILSLISELNIQLILTPVLPTRCSEKF >CDP04151 pep chromosome:AUK_PRJEB4211_v1:9:3579765:3584835:1 gene:GSCOC_T00017459001 transcript:CDP04151 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGPLIEKLEKENLRGEAEMYEEMTESDSDDDDDRWSMEEVEEQCYDFEEKFERHEQLLKGFTDAQTLDDAFKWMRRIDKFEDKHFKMRPEYRVIGELMNRLKVAEGKEKFLLQQKLNRAMRFMQWKEAYDPNNPENYGVIEREETGPPDEHSEQAALERENETIEGEKDDDNLEFDDMKERDDVLLEKLTAIDKKLEEKLAELDHTFGRKGKLLEEEIRDLAEERNSWTEKKRMPLYRKGFDVRLIDVNRTCKVTKGGQVVKYTAMLACGNYHGVVGFAKAKGPAIPIALQKAYEKCFQNLHYVERHEEHTIAHAVQTSYKKTKVYLWPASTRTGMKAGRTVQTILNLAGYKNVKSKVVGSRNPHNTVKALFKALNAIETPKDVQEKFGRTVVESYLL >CDP06339 pep chromosome:AUK_PRJEB4211_v1:9:15370329:15371050:1 gene:GSCOC_T00023140001 transcript:CDP06339 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVQLRCPLLFSVSNPCVSCCVLCRIDGLVSQSGRIQCLCFRLTSLSLFFLTILLCFSSYYAKSIDPRSLAISGLQWFRSAMHPLSLAFFVL >CDP06296 pep chromosome:AUK_PRJEB4211_v1:9:17558135:17560424:1 gene:GSCOC_T00023060001 transcript:CDP06296 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHAMIFYCCICASFALHLLPCVKCSSIELKPASDNSIKHHQDSQKTFYIAVHGILLWVSMGFLMPVGILVIRMASAQEFHRTTLRVFFYLHAIAQVLSVLLATAGAVISIRSFENSFNNCHQRLGLGLYGAIYVQILTGFRRPRRGRKSRSVWYLFHWIMGTAISFVGVFNTYTGLKAYHSKTSKSTSLWTALFTAQVLVMAFFYLFQDKWDYMKKQGVILGNEPITTSSVQIITQGGNDKDSSTTEPCRKSNSLGTYFSRSNALNKLFQLT >CDP17288 pep chromosome:AUK_PRJEB4211_v1:9:9982523:9984071:1 gene:GSCOC_T00003759001 transcript:CDP17288 gene_biotype:protein_coding transcript_biotype:protein_coding VDLSTNQFSAHNRLQGSIPESIGKMVSLESLDLSHNFLFGSVPMSMENLRYLRHFNVSFNNLIGEVPSRGPFINFTAESFIANQALCGAQRFHVPPCPHISAHRSRTKKVRQTIYILLGMIIAMGVLSFGFFYLRYGKKDGLSSGANLSLVVMPERISYFELLKATNGYSESNLLGTGSFGSVYRGTLDDGRVVAAKVFNLQVEGAFRSFDVECEVLRNLRHRNLTGVITSCSNPEFKALVLEFMPNGSLEKWLYSHNYFLNLMQRLDILIDVACALQYLHCGYSTPVIHCDLKPSNVLLDQDMVAHLSDFGLTKLLAEDNSITYTETLATLGYLAPEYGLEGLVSTKCDTYSFGIMMMEVFTRTKPNSEMFGENLSLKSWVTNSVLDGLAHVIDANLLKENDEYFVEKLSCIASIMKVALDCTMESPRERSNIQDVLVVLKKIKLQYLSALHSRTS >CDP14503 pep chromosome:AUK_PRJEB4211_v1:9:22061057:22061519:-1 gene:GSCOC_T00041014001 transcript:CDP14503 gene_biotype:protein_coding transcript_biotype:protein_coding MVASCFVLPHMPLDISTRRGSFLVIQAADWNERMRRIRDEYGETQLQEDKLPAITTARAFTLGNSPHWFPKVIKLGKCTCRKHIDLSFSKAEIRSSTSAIEMIENRSYKKIVPCPAPPSQKHQ >CDP04435 pep chromosome:AUK_PRJEB4211_v1:9:1031234:1040345:1 gene:GSCOC_T00017825001 transcript:CDP04435 gene_biotype:protein_coding transcript_biotype:protein_coding MYTQFHTSTLLPTLPLTHSPPLSRRTILLYSHRLPPLHRRRPTSLRFPLRISSCINSTGTICSSLSTEEEEERPSNNKRVKAYPFHEIEPKWQRYWEEHKTFRTPHDDLDTTKPKYYVLDMFPYPSGAGLHVGHPLGYTATDILARFKRMQGFNVLHPMGWDAFGLPAEQYAIQTGTHPKITTLRNIERFRSQLRSLGFSYDWDREISTTEPDYYKWTQWIYLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMRQWMLRITAYADSLLEDLNDLDWPESIKEMQRNWIGRSEGAEVEFHVMDTDGQQTDIKIVVYTTRPDTIFGATYLVLAPEHILLSSMVSEAQSKHVEEYKELAARKSDLERTELQKEKTGVFTGCYARNPATGEAIPIWIADYVLGSYGTGAIMAVPAHDTRDHEFASKYNLPICGVVMPNDQNISSFDKAYSGDGTVINSSNLTTGLDINGLSNKEAASEVTKWLEKSGNGKRKVNYKLRDWLFARQRYWGEPIPVIFLGETGETVPLPETELPITLPELDDFTPTGSGEPPLSKAASWVKTFDPLSGKPAERETNTMPQWAGSCWYYLRFMDPKNSKMLVDKAKEAYWSPVDVYVGGAEHAVLHLLYARFWHKVLYDIGVVSKKEPFQCVINQGIILGEVEYIAYRDPDGNLISADIVDELTELSQERVPEDEVRKSGDSYVLKDNPTIRLIARAHKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKPWNTSGIEGVHRFLARAWRLIVGSPSPSGEFKDGTVALDEEPSPDQLRSLHKCIDKVTEEIEATRFNTGISAMMEFINAAYKWKKLPRSTAEAFVLLLSPYAPHMAEELWSRLGHSNSLAHEPFPKANPEYLKESTLVLPVQINGKTRGTIEVEKECSEEDAFRLASQDSRLSKFLDGQKVKKRIYVPGKILNVILDAKKVKVGQR >CDP11257 pep chromosome:AUK_PRJEB4211_v1:9:6562354:6566363:1 gene:GSCOC_T00033381001 transcript:CDP11257 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRKLQLERTLSIQSCFPESFSGPGSGTSDQATGYATATIPQHVVSVQLSYNVPVGDQSDHIIQNGMEHDNRTVSAQMLHPPYCREASTPSAKNLTIYVPLYQAALKGDWERAKAFMTRYPGALTATITKGWERALHIAAGAKQVKFVEELVELMDPPELAAQNKFGNTALCFAAASGITRIAELMVAKNNELPMVRGSKGVTPLHMAALLGYRDMVWYLVSVTDPQCLTKEDYVSLIIATISTDLYDVALHIIQCKPELAVERDPNGETALHVLARKPSAFCSKNGLGVWQRCIYPWVKLHLWKKSSYKFSKTVDQNDKCTRAHLLFDRLLHRATEKIGIQEVFERKLKHFQALELLKCIWKQVMLLDDSQIGKLLRGPSRPLFVAAECGNFEFIVELLRSYPDLIWKVDEQSQSFFHIAVIHRQERIFKLIYQIGALKDLITSYKSTNNSNILHLAAKLAAPNRLNIVSGAALQMQRELLWFKEVEKNVQPLYKEMRDSEGRMPHMLFTQEHKALLKSGERWMKDTASSCMLVATLITTVMFAAIFTVPGGNNNDTGTPIFLKDKAFIIFSISDALALFSSVTSILMFLSILTSRYAEVDFMETLPKRLIIGLMTLFFSIASMLIAFSASFSIVHGHKIAWIIIPVALAACIPVLLFAFSQFPLVADMFHSTYGSGIFAQEPTDVLF >CDP17310 pep chromosome:AUK_PRJEB4211_v1:9:10465332:10470652:-1 gene:GSCOC_T00003793001 transcript:CDP17310 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSRKAETPLRWPYSISTPPSIPSLTHTLTHSKHLITCIFFCFYSPEFFLSLSLHFYSSMMAQAHSALSQITFAVYPCNSDSSFRRSILKTNNVRFHDKSWISVSDFDLKTKNVVHSRSQRILCFSVQQASKPKVGVSPLLLEDPKEPPMHLYKPKEPYSATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNNVRLYSIASTRYGDSFDGKTASFCVRRAVYYDPDTGKEDPSKQGVCSNFLCDSKPGDKVKITGPSGKIMLLPEDNPKATHIMIATGTGVAPYRAYLRRMFMESVPTFKFGGLAWLFLGVANTDSLLYDDEFSQYLRDYPDNFRYDRALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDTGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWDEKLSQLKKNKQWHVEVY >CDP04446 pep chromosome:AUK_PRJEB4211_v1:9:939877:944768:1 gene:GSCOC_T00017839001 transcript:CDP04446 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPGKRDLDSYTIKGTNKVVRAADCVLMRPSEIDKAPYVARVEKIEADNRSNCKVRVRWYYRPEESLGGRRQFHGAKELFLSDHLDVQSADTIEGKCIVHTFKNYTKLENVTPEDYYCRFEYKAATGAFLPDRIAVYCKCEMPYNPDDLMVQCDGCKDWFHPDCVGMSIEQAKQLDMFVCSDCAPADVKKPQTKLTESPIMNGKCR >CDP18201 pep chromosome:AUK_PRJEB4211_v1:9:4668973:4674119:-1 gene:GSCOC_T00007107001 transcript:CDP18201 gene_biotype:protein_coding transcript_biotype:protein_coding MELTKLLMLHLGYGLVCFLLQFGASNSVSNSSVDVPLVSSSSQEKTEAFCRSGWAGYWSERKCFKYFENSQSWDEAETHCISYSGHLAAVTSLQELSFVQKLCSQDNTGCWVGGRVFNTSLGAWKWSDNASYWNETVAPRIPLNSSHNLVDSCALVTNGTVYLTAQSCNTTHAFICMLEAEDKCHHFHCHSEYLIILAVVSGLILCTTLAVVVWLLIFRRSKKWRKSRRLSNPAEVALVPPSWKVFTRDELKSITKNFSEGNRLLGDAKTGGTYSGLLPDGSRVAVKRLKRSSFQRKKEFYSEIGRVARLHHPNLVAIKGCCYDHGDRYIVYEFIVNGPLDRWLHHVPKGGRSLDWAMRMKIATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGAHLMGVGLSKFIPWEVMHERRVMAGGTHGYLAPEFVYRNELTTKSDVYSFGVLLLEIVSGRRPAQAVDSVGWQSIFEWATPLVQSHRYLELLDPLVSSSSSQIPEAGVIQKVVDLVYSCTQHVPSMRPRMSHVVHQLQQVALSPTLK >CDP20162 pep chromosome:AUK_PRJEB4211_v1:9:19645005:19649274:1 gene:GSCOC_T00000754001 transcript:CDP20162 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQRVFLAVFLLSTVLVGVLSVSEPILKGEVEALRAFKSLIRNDPLGVLDDWTDANHHCNWSGIACDSSFNHVISISLVDKQLEGEISPFLGNLSNLQLTELTLFANSLSGEIPAELGNLRSIQAIDLGSNFLKGAIPDSLFNCTSLSELGLIYNNLTGKIPAKIGNLLNLQLFVAYANQLEGPIPASVGKMQALQSLDLSFNQLSGAIPPEIGNLTSLQVVQLFSNSLSGNIPAEFGLCLNLVAINIYINQFSGSIPPELGNLTNLEFLRLYRNKLNSTIPDTLFQLKKLTHLGLSENELTGRISSDVGYLRSLEVLTLHSNRFTGNIPSTITNLTNLTYLSLGINSLKGSIPSDIGLLNKLKNLTLDNNLLEGSIPSSITNLSHLLGTSMAYNRLTGLLPVGLGQLSNLTFLSVGGNRLSGRIPDDLFNCTMLQVLDLNKNNFTGILSPNIGRLSNIRLLRLGGNSFLGSIPGDIGNLSQVIDLLLHNNRLSGSIPSELSKLALLQGLALQNNLLGGQIPDNLFELKQLTDLRLQNNKFVGPIPEAVSNLKLLSYLDMSENMLNGTIPQSMQQLGRLMMLDLSRNLLAGAIPGLVIASLKNLQIQLNLSHNYFKGPIPGEIGQLEMVQVIDMSSNNLSGRIPGTIKNCRNLFSLDLSGNQFSGNLPGDIFIPLEELLRLNLSRNKLDGELPSSLANLTHLQSLDLSKNKFNGTIPESLGNLSTLKFLNLSFNQLEGHVPETGIFRNLSASSLVGNAALCGTKIGKPCSTGKNLTSSNHFKKKTVFIVVALGLVSIIFGLLLLISVLYRNRKKQKAKVEENSVPKYPSALPLKRYNQEDLDSITTSFSQDNIIGSSSLSTVYKGKLDDGQAVAVKVLNLQQFSAESDKCFDREVKTLSRLRHRNLVKVLGYAWESRKMKALVLEYMENGSLDKLIHDPVSTKSKWTMSDSIDQLISIASGLVYLHSGYDFPIVHCDLKPANILLDGKLIPHVSDFGTARMLGIHLEDGKSLSTASAFQGTIGYLAPEFAYMRTITTKVDVFSFGIIVMELITKRRPTGLTEDEGSSITLPQLVQKALKSGTKRLLQVVDPHLVPYVSKKQEAMEGLLNLALSCTSPDPEDRPDMEEVLSCLSKLRQLS >CDP05612 pep chromosome:AUK_PRJEB4211_v1:9:11206720:11208077:-1 gene:GSCOC_T00020760001 transcript:CDP05612 gene_biotype:protein_coding transcript_biotype:protein_coding MATRERQLLRGKLCFVDLLIRKAIHMVVKGLSKTRILKYYILSTCLK >CDP05645 pep chromosome:AUK_PRJEB4211_v1:9:12705435:12709318:-1 gene:GSCOC_T00020822001 transcript:CDP05645 gene_biotype:protein_coding transcript_biotype:protein_coding SGFLVFFFIYFRKKAKKKKTFIFSFFFFFFFLLFFSSASRITMYPKVKVREQEDYDDQYEFETRSLQSLRTPECFSLNDFSSPDNSPTSVVRVPRFDSSTSGAISNRKQKLLEENKTNIRASPIPRPRAVLSSPDNDQMIGSKNKPKGDILASMKRQSLFENRHARCKVTPRPVAADGSISTRTSLKEVPDGKGDLRTRQRTVITDSNLRLNLQKGKPKSVKDRVTQ >CDP04300 pep chromosome:AUK_PRJEB4211_v1:9:2288868:2297349:1 gene:GSCOC_T00017644001 transcript:CDP04300 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDLIIFLSLSYCIAMDAITIDHPIKDPETIVSSGQSFALGFFTPVNSNNRYVGITINIRAQSVVWVANRDNPIKDSAGSLAISGDGNLVVLNGQKEVLWSSNVSNSVANSSAQLLDTGNLVLRDNSNGRVLWESFQTPTDTVVRTMNISVTSKNYMIRLTSWRSPSDPSAGNFSFGVDSLRLPEFFIWNHSKPYWRSGPWNGNVFIGIPEMAASYERRFDLVTDQNGSQYFTYSFTSNLVLYYYVLNSSGVFMEKVSSHGDGQSIVSWTSLESQCDVYGKCGPFGSCNPQHSPICTCLQGFEPKNKEEWEEGNYTSGCSRKALLQCDRNISAGQDGKPDVFLKLNNIKVPDFSHLMLFLRATEEECGIQCLNNCSCIAYAYTAAYAYPKGIGCMHWSGDLIDVQQFPFDGTDLYVRVAYSELDHSKSKSHKKAVIASVVVVISLFVAGFAYLLWKWLPYHRGETIRMKDMLSDSEDQLHLYGYGILANATNTFHVENKLGKGGFGSVYKGKLIDGQEIAVKRLSNSSTQGIEEFKNEVLVISKLQHRNLVRLLGCCVEREEKMLVYEFMPNRSLDAYLFDTGKHDLLAWNRRTIIIEGICRGLLYLHRDSRLKIIHRDLKASNILLDGELFPKISDFGLARIFRGNQDQDNTNRVAGT >CDP16094 pep chromosome:AUK_PRJEB4211_v1:9:18778283:18779137:-1 gene:GSCOC_T00017122001 transcript:CDP16094 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGIIRKETFDVILLKLEWRSETIECELECVTLLYLYFLVNRIYFDI >CDP12575 pep chromosome:AUK_PRJEB4211_v1:9:9439674:9447317:1 gene:GSCOC_T00036234001 transcript:CDP12575 gene_biotype:protein_coding transcript_biotype:protein_coding MLWDQPGVVSLIRVDYAFWGIMPPHIHPDASEIMTVLEGALEAAFITSSPENRLIKKALQKGDVFVFPKGLIHFHRNVGNSSAAAISAFDSQNPDFISIADTVLGSNPPITIDALLKAFQVDKNQSSHKMASSSFRILCILLLCCSIAFGSDPSPLQDFCVADIKSPVMVNGFVCKDPKLVQKNDFFFSGLHLAGNTSNAVGSRVTPVAVAQIPGLNTLGISLARVDYAPWGINPPHTHPRATEILTVIEGTLQVGFVTSNPENRLFMKVLQKGDVFVFPEGLIHFQRNVGYGNAVAIAALSSQNPGVITIANAVFGSEPAIPSDLLAKAFQVDGKIIDQIHSRAVLTCNLCRVVVLCMDIMEPLIVAILSVPKRKTGIIETSSRWISHIQFDLVNSQIDQCFNAAPLLVGSKVNPVTVGQIPGLNTLGISLARLDHAPWGINPPRIIHGIQKF >CDP06309 pep chromosome:AUK_PRJEB4211_v1:9:16809782:16818923:1 gene:GSCOC_T00023081001 transcript:CDP06309 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIQTPPLRPSPLDPLRKPTSHFLSITRKPPASVSRRLPFVSASASASTVSAAKREKDPKKRVVITGMGLVSVFGNDVDAYYEKLLAGESGITAIDRFDASKFPTRFGGQIRGFKSEGYIDGKNDRRLDDCLRYCIVAGKKALENADLAPDRIGKIDKERAGVLVGTGMGGLTVFSDGVQALIEKGYRKITPFFIPYAITNMGSALLAIDLGFMGPNYSISTACATSNYCFYAAANHIRRGEADMMIAGGTEAAIIPIGLGGFVACRALSQRNDDPKTASRPWDKARDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIQSSLEDAGVSPEEVNYINAHATSTVVGDLAEVNAIKKVFKNTSGIKMNATKSMIGHCLGAAGGLEAIATVKAITTGWVHPTINQFNPEPAVEFDTVPNTKQQHEVNVAISNSFGFGGHNSVVAFSAFKP >CDP04466 pep chromosome:AUK_PRJEB4211_v1:9:750075:755344:1 gene:GSCOC_T00017869001 transcript:CDP04466 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRTGSHQLSNGLYVSGRPEQLKERQPTMASRAVPYTGGDVKKSGELGKMYGIDFTAAAGDHHPHPHPHPHPSGAPPPLKPSSRHSSSSQHNSGSLRSGPNSGPLGQKSGNSGPMPPKRYTSSSSSFSGPVTPIQPTGLITSGPLATSAAGARSGRSGQLEPHAGPTTKPAYGSAVTSLSQDGRYGFRVSRAAMWVFFIVVVMGLVVGAFLMVAVKKSVILLAVAGVLAPVLVILLWNFAYKKRGLLGYLRRYPDAELRGAVDGQYVKVTGLDVLFVEVRRNSDISLVIGKKENDWLKTRSFKYIKNVRELIGLLMIGRDILHSFTKCLSINSNNLMFSLRGFFCSRVVTCGSIPLESSFQRVPRCVYTSTVLHEYRGWGGKSANAKHRFFSWGCRHSEKYVADFYISDFQSGLRALVKAGYGAKVTPLVKPTTVVDITKGNKELSPNFLRWLADRSLSSDDRIMRLREGYIKEGSTVSVMGTVSRHDNVLMIVPPTEPMSTGCQWTRCLLPTYVEGLVLTCDESQTADVIPV >CDP04460 pep chromosome:AUK_PRJEB4211_v1:9:803544:811898:1 gene:GSCOC_T00017860001 transcript:CDP04460 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVTHLQNKSDCPCCSHFLTPNHLYPNFLLNKASSACQMAKTATPAEQLHKALQQGYEVSVKELDSLLSLLAEKKRTLKQQEAETNMQIMLDFLHCLRNQKLGELNELQTDLQYIKEDINSVERRRIELYRSRDRYLTNVRMLGDDPHAKLSWPSLIEKRGGGVLPCFPGPQSQCHMGSSTSHNWKADEKTPSGSQIIQIKDANSDLDSQQLTQSGLVVARKKRVHAQFNDLQDCYMQRRRSSTRQSQKKDERDRREGYSTGLEDFQTVLSTFTRYSRLRVVAELRHGDLFHSANIVSSIEFDRDDELFATAGVSRRIKVFEFSSVVNEPADVPCPIAEMSTRSKLSCLSWNKYTKNHIASSDYEGIVTVWDVTTHQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKIWCTNQEASVLNIDMKANICSVKYNPGSGIHIVVGSADHHIHYYDLRNTSQPVHIFGGHRKAVSYVKFLSNSELASASTDSTLRLWDVKENIPLRTFKGHTNEKNFVGLTVNSEYIACGSETNEVFVYHKAISKPAAWHKFGSDVQDADEDAGSYFISAVCWKSDSPTMLTANSQGTIKVLLLAE >CDP04328 pep chromosome:AUK_PRJEB4211_v1:9:2050203:2052069:-1 gene:GSCOC_T00017683001 transcript:CDP04328 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEKTAVNLLSFWNCHSSSRCTSSFGIAFDIDGVILRGQNPIGNSPAALKRLYHDYSWNLKIPFLFLTNGGGTPESRRAFEMSQLLGVKILPSQVVQGHSSFRSLLNRFENDFIVATGKGEPELVMSEYGFRKVVASYFNMMLSYFSIQCSLDFFISAQLNWSII >CDP04142 pep chromosome:AUK_PRJEB4211_v1:9:3650194:3651304:-1 gene:GSCOC_T00017449001 transcript:CDP04142 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNVQQEQQPHRCQKCLSIYTFTRRAKVTPPSMLPPPELQVRQEYMIRQQQQERGSWLVSRCRKLIIVAKFVTCCSPRHAKSELFCSRPLEMK >CDP12604 pep chromosome:AUK_PRJEB4211_v1:9:8756728:8763156:-1 gene:GSCOC_T00036282001 transcript:CDP12604 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQNQSNSPAENSSNNNDCIPEEAKKILRALASKWEDTINPDQLHVIPLKGAMTNEVFQIKWPTTHERSRKVLVRIYGKGVDIFFDRENEIKTFEFMSQKGQGPRLLARFSNGRVEEFIRARTLSAPDLRDPEISALIAVKMREFHELDMPALKTILLWDRLRNWLNAAKNMCSPEEAKAFSLDTLQDEISGMQEKMTSDNQQIGFCHNDLQYGNIMIDEETRSITIIDYEYASYNPVAFDIANHFCEMAADYHTETPHILDYSKYPDVEERKRFVQIYLSNSGDQPNTFKVDQLVQEVEKYTLASHLFWGLWGIISEHVNKIDFDYLEYARQRFQQYRLKKPDLLC >CDP04329 pep chromosome:AUK_PRJEB4211_v1:9:2047049:2050096:-1 gene:GSCOC_T00017684001 transcript:CDP04329 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFSMKSIQDVSLDRVKAAFIVSDPVDWGRDIQVLCDILSSRGLLGGEEEHQPPLFFAADDLQYQAAFPSERLGIGAFRIALESVFNRIHDKPLECTVFGKPNPFVFRNAEAILRHIWAFSCHGNAIRPEDEDSSSHSFKTLYMIGDNPLVDIKGALQAGHPWFSILTRTGVFRQTENHPEYPADVVVDTVAEAVDFILERESAS >CDP04395 pep chromosome:AUK_PRJEB4211_v1:9:1383715:1384447:-1 gene:GSCOC_T00017771001 transcript:CDP04395 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKLRRRKVSSMAWAGDDWDSSVAQKKYVPENQSLLDDDHNPGFSSSSSSSSSFSNGREIKIRITKKQLEKLLGEVDVGEMPVDQMLSRLINASDQHFQVQNQQQRWSWRPRLQSIPEVN >CDP12595 pep chromosome:AUK_PRJEB4211_v1:9:8997924:8999858:1 gene:GSCOC_T00036266001 transcript:CDP12595 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSINNSKDTFPSNEDSESQNKKQVKRKLPSPRELVSHYESQGLDTQEASFKVIEDLQNALFRLMLSSSGAGKGKSNKQGPSSDISRKLDVINARLLQLDMKVDSKPGYPQSLAIGVASGTLLQGFASAAAQIWTAVRRATNPGSDSPIN >CDP04167 pep chromosome:AUK_PRJEB4211_v1:9:3400033:3411782:1 gene:GSCOC_T00017476001 transcript:CDP04167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) LCAT3 [Source:Projected from Arabidopsis thaliana (AT3G03310) UniProtKB/Swiss-Prot;Acc:Q93V61] MLDSPFCCCFNGQKSDDEPADRDPVLLVSGIAGSILHSKKKNFFGFESRVWVRLLLADFEFRKEIWSLYNPETGYVESLDDGIEIVVPEDDYGLYAIDILDPALWVKILHLTEVYHFHDMIDMLVECGYKKGTTLFGHGYDFRQSNRIDKAMDGLKAKLETAYEASGGRKVNLISHSMGGLLVTCFMYLHNDVFTKYVNKWITIATPFQGAPGCVNDSLLTGLQFVDGFKSFFFVSRWSMHQLVVECPSIYEMLPNPWFKWKKQPEILVWRSKSEEGGETSVVLESYGPTDSMTLFEEALKHNELDYGGKKFALPFNFSILKWANGTRQILNSAQLPKGIAFYNIYGISNDTPLDVCYGTQDSPIEEMSEICHTLPQYSYVDGDGTVPAESAKADNFEAVERVGVASSHRALLRDEKVFELVKKWLGVTEQVKSHPKKTSKVMDVYQSEC >CDP04289 pep chromosome:AUK_PRJEB4211_v1:9:2390606:2391088:-1 gene:GSCOC_T00017629001 transcript:CDP04289 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRATNQLRPVLKAMGSQSRSFASSATRKYATAAADAAMHVHEHMHQAKSKFPANGDWAPVMIMGGFLVTVVTLATHSAWQQLAYSPTVQLSKKKRETVPEVYQPDAVLGSADKFINKSFLRKVAHIQDHKRTLDDPSRPNPFTHPRGIESLKSVGVRE >CDP05646 pep chromosome:AUK_PRJEB4211_v1:9:12752832:12753800:-1 gene:GSCOC_T00020824001 transcript:CDP05646 gene_biotype:protein_coding transcript_biotype:protein_coding MALTIPMKITMHMLLFAFFLTFASAGGMLSKSGQEQDLMVFKYHNGPLLTGKISINLIWYGKFSPSQKAIIADFITSLSSSAHSSQVIQPSVATWWKNIEKYYTSIKSKKPSTLQLCLGHQILDENYSLGKSLKMQQIEQLAATGDQMNAINMVLTSSDVAVEGFCSSKCGTHGSLRSKTATVRGKSPKLAYIWVGNSETQCPGQCAWPFHQPTYGPQSPPLVAPNNDVGLDGMIINLASLLAGTVTNPFGNGYFQGPADAPLEAAAACPGIYGKGAYPGYAGELKVDATTGASYNAHGSNARKYLLPAIYDPLTSTCSTLV >CDP12586 pep chromosome:AUK_PRJEB4211_v1:9:9280806:9282371:1 gene:GSCOC_T00036248001 transcript:CDP12586 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHAIFLLVLSIWLKHSDGLHEDFVKCMSMPINSTSKISISKYIHTPNSQSYKNLLQSAEQNPRWYNSTTRKPLFIVTPYSEIEIQAAILCSRKNGLQIRVKSGGHDYEGLSFLCKNPFVIIDLINLHAISINLEDETAWIQSGATLGELYYAISHKSSVHGFPAGLCPSVGVGGHFSGGGIGTMMRKHGLAADNIQDAYIVDVNGRILDRKAMGEGLFWSIRGGGGASFGVIFSWKIKLVRVPNIVTVFTMQKKLDQEGMKLVEKWQEVAPKLPLDLFIRVVIQNGENQTVVALFNSLFLGPKEKLVPLMSDEFPELGLQTQNCNEMSWIESALYFAGFSKGHALEVLLNRTVQYKSKFKAKTDFVVQPLPESVLKEISERIPEEQLVYLILDPLGGKMDEISDYEIPFPHRKGNLYNIQYLVKWEENGLEASASQINWIGDLYEYMKPYVSKSPRPGYINYRDLDLGINLKENASYSQAKIWGKKYFKGNFKRLANVKSQVDPGNFFSSEQSIPLLVH >CDP17589 pep chromosome:AUK_PRJEB4211_v1:9:7364418:7367232:1 gene:GSCOC_T00005091001 transcript:CDP17589 gene_biotype:protein_coding transcript_biotype:protein_coding MSERISSLYKHMSETDQHIPNFEEEFAILRKKKVELHEETKRKFLGDGLDSSSVDELQQIGGQLEKSLSIIRSRKLRVAIGISKMI >CDP17339 pep chromosome:AUK_PRJEB4211_v1:9:20602946:20605778:1 gene:GSCOC_T00004105001 transcript:CDP17339 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLCDAALKGDVTPLHQLLGEDPVVLDKAALNCEDKNPLHIAAMLGHVDFVKAILQVQSAYFLCLARDREGRNPLHLAAIYGRLTVLQLQGRSEDAAYFLCLARDREGRNPLHVAAMYGRLAVLQELLDAGFQAALEKTDEGGTILHLCVKYNQLEALKMLVDISKDVWFQNAKNEDGMTILHMAIYYRQNQTIKYLLGYSKVWVKQKDARGRKALSLLRGQENFDTEIESSLTSIGAITGGRDPGEYQVRLKERRDAIMVVLSLIATMAFQAVISPPGGAWQDELNEGPNPHRAGDPIMAQTHPTYYRYLIRASAVAFLSSLAAIVLLMRGSTHRYRSRHLMRLLSCLMGLATATVALTYAISLVALAPKHTRGDQLNNTVVILLIVVTMISCNIRPVNICLLILAQWITKMHNQVSNFIRGLPPVSTNA >CDP17596 pep chromosome:AUK_PRJEB4211_v1:9:7474573:7478188:1 gene:GSCOC_T00005102001 transcript:CDP17596 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPIPGGGGAGDELAMYRGLKKAKKERGCTAKERISKMPPCTAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGMQFHW >CDP04520 pep chromosome:AUK_PRJEB4211_v1:9:356234:358565:1 gene:GSCOC_T00017944001 transcript:CDP04520 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASYSPSHVSRDPHLFCNSLFPTHLHQLRIVPHNALAFHPLKPHPKPSLSIPASQQQSPSISHRPDAKTISSSSKSYIWVNPNSSRASKLRRNSYDFRYASLVQASQQLDSCDPVEADVISILANLGGKVVEQDAAVVLNNMSNSETAPLVLSYFLERLKLKKEVILYNVTFKVFRKCRDLDRAEKLFTDMIGRGVKPDNVTFSTIISCARLSSLPEKAVQWFEKMPSFGCEPDVVTYSVMIDAYGKAGNVNMALTLYDRARTEKWRIDAATFSTLIRIYGTAGNFDGCLNVYEEMKALGVRPNLVVYNSLLDAMGRAKRPWQAKNIYRDMINNGFEPSYGTYAALLRAYAKARYGEDALDVYREMKHKGLELSVVLYNTLLSMSADVGFIDEAVEIFEAMKGSESCKPDSWTYSSMITIYSCSGRVFEAESTLNEMLEAGFEPNIYVLTSLIQCYGKANRIDDVVRTFDRLLGLGITPDERFCGCLLNVMTQAPAQELDKLTRCIQKADAKLGHVVKLLVGEENIEGDILRKEAGELFHEVGSDVRKAYCNCLIDLCIKIDLLEKACELLDLGLKLEIYTDIQSRTPTQWSLHLKSLSLGAALTALHIWINDLSKALESGEELPSLLGINTGHGKHKYSEKGLAGVFDLHLKELNAPFHEAPDKAGWFLTTKVAATSWLESRSTPEVVAA >CDP04205 pep chromosome:AUK_PRJEB4211_v1:9:3106744:3108857:-1 gene:GSCOC_T00017522001 transcript:CDP04205 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKARMEMITNKKARRVAFEKRRKGLEKKARELSTLCGVRICLIVFGPVDDDQTIEPRVWPQNPQEIDSLVDSFKKANLDDCRGRTTDFSFFYQNRKRRAEEELASLRKKNLETKHPTWDEKYDFLSLEELGQFDDLLKEKVDIMKARVDFMKGTQTYFAGTSGNLQYLYRSSNSSTRFEIPQPQPLSSFDQSRHSLDSAFQDSYNYNSMVNPQMMMWMNNGASSSINAPLISYDNCDHRSLVQFNMDPTAAAAERITSSLGNNAVGNQFCYYVPKMQPMPLYLQYSLQQSRAPTQMYASQRDENYGFSDFELMNPK >CDP17311 pep chromosome:AUK_PRJEB4211_v1:9:10493838:10497143:1 gene:GSCOC_T00003795001 transcript:CDP17311 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKEVFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRQHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPHSFKALVDISRTAFPGNKKPVLASKKEGLAVVL >CDP04111 pep chromosome:AUK_PRJEB4211_v1:9:3969653:3970645:1 gene:GSCOC_T00017410001 transcript:CDP04111 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSGTPTLYCNGDQYEPMDLRSFAISQANYVLGSNPMKMSYLVGYSSDYPKYVHHRGASIPADANTGCKDGFKWLRSPSPNPNIATGALVGGPFLNDTYIDSRNNSIQAEPTTYNSAFIVGLLSGLLTASPKLPSFT >CDP04219 pep chromosome:AUK_PRJEB4211_v1:9:3022780:3024763:-1 gene:GSCOC_T00017542001 transcript:CDP04219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MMLTLPAQPQPLNDKSLVSLHSQFLPPIHIDPTSHHSLCYGSVLKCNPRKNISFTSIKCTSNPLKLRRDPSLDKHVVKQNKIRFIQKLKTLLLSKPKHFMPLHILYKCRSYLSLPKPRPILSMVRRYPTIFEVFTIPAPPIPMNAAKWLSQTCIRLTPAAAALARKEIDLKRAMAETLVIKLQKLLMLASHNRLLLSKLVHLAPDLGLPLNFRSWLCNEYPDRFKIVDTSYGRALELVQWDPDLANVLPKSEAKLDLIVDRPLKFKHLKLRKGQNLKRRHQDYLIKFQELADVCPYKTKVEDLQKESIEAEKRACALVREVLGMTVEKRTLVDHLTHFRKEFGLPNKLRGMLVRHPELFYVSLKGQRDSVFLVEGYNDRGLLLNKDEILVIKDQLMELVNEGKRVRRDKRRSCIENDKIVDKHDHHNWDAEEDDDYDDYGESLDDLFESDDGFVTVDGLGDDESTQMLGMQEKMEFWTTEAVSFLENDSRGPW >CDP14523 pep chromosome:AUK_PRJEB4211_v1:9:21877258:21881906:-1 gene:GSCOC_T00041037001 transcript:CDP14523 gene_biotype:protein_coding transcript_biotype:protein_coding MSRENLGQGGNVVAEAEVDQATSAPITPRPPPSIAPSPLVVSAPPSQFHSPSLSRSPLLTSADHPAGATKTPNASRPPLSTAPSPLIVSAPPSQFHSPSLSRSPFLTSADHPAGATKTPNRSRPASRFITPLGSPLRKALRLTKLDPHDAWLPITESRNGNAYYAAFHTLCSGIGIQALVLPVAFTVLGWAWGVICLTLAFIWQLYTLYLLVQLHENYETGIRYSRYMQLASATFGNKLSKLLATFPILYLSGGTCVALIVVGGSTCKMLYQTLCGCADQPLTTVEWYLVFTCGAVVLSQLPNLNSIAGVSLIGAITAVGYCTLMWVVSVSKGRLPNVSYAPVKANSDVARVFELLNALGIIAFAFRGHNLVLEIQATMPSSEKHPSRVPMWRGVKVAYVIVAMCLFPLAIAGYWAYGRAIPANGGMLAAIYAFHSQDVARSVLGLMSFFVIVNALSSFQIYGMPMFDDMESQYTQRFKKPCPWWLRGIIRAMFGYGVFFVAVAIPFLSSMAGLIGAISLPVTLAHPCFMWLKFKKPKKYGPIWWLNWTLGLAGMALSAVLSAAGVYVIIDTGIKLSFFKPQ >CDP04362 pep chromosome:AUK_PRJEB4211_v1:9:1726957:1730457:1 gene:GSCOC_T00017725001 transcript:CDP04362 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase 14 kDa subunit [Source:Projected from Arabidopsis thaliana (AT2G29540) UniProtKB/TrEMBL;Acc:A8MRK9] MEHGSYQDQSKATFSLTDEDHTLANSLRFTLNQDPRVTFCGYSIPHPSDARVNIRVQTTGDAAREVLKDSCQDLMLMCQHVRSTFDQAVLDFKNQKNLEAVNIK >CDP11229 pep chromosome:AUK_PRJEB4211_v1:9:6989496:6995644:1 gene:GSCOC_T00033339001 transcript:CDP11229 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVYLGLGFLILAIFIQLFVHQSQKPSHIVTAPFNRSSFPPDFIFGASSSGYQYEGAAFEDGKGPSILDTFFHKYPENLKDRSNGDVANDFYHRYKEDVQLMDYIGINGFRFTISWSRVLPHGKLSGGVNELGIAFYNNLINELISKGITPIVTLSSWDTPQALEDEYGGFLNINIVDDFRDFAELCFKEFGDRVKHWLTFNEPWSFATIGYDGSTFPSAIAPGRCSAWMNRGCPEGDSSTEPYLVGHHIILCHATAAKLYREKYKPSQKGQIGIVLVANWWVPYSDSKADALAAQRTQDFFLGWFLDPLTFGDYPKTMRSFVGERLPKFTEEQKLLIKGSLDFLGLNYYTSMFAYDAPHDNSAHTSYSTDMQVNITVIRDGRLIGEPSGAEYLFVYPEGLAKLLVYLKKNYQNPTIYVTENGYAESHINSLEQAIHDTKRIKFYIDHLEAVKAALEKGVDVRGFLVWSLLDGFEWNAGFTEKYGLIYVDFKNGLKRHPKHSALWFKQFLE >CDP04416 pep chromosome:AUK_PRJEB4211_v1:9:1199409:1201618:-1 gene:GSCOC_T00017797001 transcript:CDP04416 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPSFQSLDNSADTDKSSVTILEEKMVEIPSQSSVRSRVRPYVRSKLPRLRWTQDLHHCFVHAVQRLGGEDRATPKAVLQMMNVKGLTVSHVKSHLQMYRSMMQEQTIKEAGVVAGKGNKTQRGIQQPSYFFLHPTGPPQPQYYHYQYKHRLGFGFPNYYMSTPFLYQNNTPTSITYGTQVYKELLPTGLPNNTWKEMPAAANIEYHRNMFDMQLPLPIFCNDFLRRIDGQAGVNEKNEQVPDEASSSSKKMKKIVEGGCSTIFYKEAPGSSSATKDENDINLELTLG >CDP04225 pep chromosome:AUK_PRJEB4211_v1:9:2962144:2967013:1 gene:GSCOC_T00017549001 transcript:CDP04225 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPSSSIWKPFISSFTSSLIVKPTHKISAQMALSNSSITTAATTTSNISNAYTSGRKLPILLFDVMDTIVLDPFYQDVPAFFRMSMKELLECKDPTPWIEFEKGLIDEPELARKFFKDGRSFDLEGLKNCMKRGYLYIDGVEELLRALKKDGYEMHAFTNYPIWYKMIEDKLKLSTYLSWTFCSCIFGKRKPEAQFYLEVLNCLKVDPASCIFIDDRMRNVEAAIEAGFTGIQFKNADLLRKDLSIHGIDISMNEPNENQDAAEVLD >CDP11249 pep chromosome:AUK_PRJEB4211_v1:9:6631125:6638090:-1 gene:GSCOC_T00033372001 transcript:CDP11249 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERLHPASNSLDLAPLQSMLSIKWPVNYISSEPRCCKTGVTCTATTTTEEPVEKLKPDSLFIDKRGKLRSFNRKRVSRQKGGSLRGKGWQYGSGFVDGIFPVLSPIAQKVLNYVRKEVDVHRVWTSLDTLPHSNNTWDDLINVAVQLWLNKQWDPIIQVCEWILYRSSFQPDIICYNLLIDAYGQKSLIKKAESIYVELLEAHCIPTEDTYALLTKAYCTSGQLEKAEAIFTEMRQHGLPPSTVVYNAYIDGLMKLRNAPKALEIFQRMERDHCQPSTDTYTMLINLYGKDHKSYMALKVFHEMRSKRCKPNICTYTALVNAFARDGLCEKAEEIFELLQEAGHEPDVYAYNALMEAYSRAGFPSGAAEIFSLMKHMGCEPDRASYNIMVDAYGRAGLLEDAEAVFEQMKQLGMSPTMKSHMLLLSAYSRTSNVQKCEEILNEMQKSGLKPDTFVLNSMLNLYGRLGQFEKMEEVLEAMKNGPYAADVSTYNILINIYGRAGFFEKMEEIFLSLPAKKLKPDVVTWTSRLGAYSRKKLYKKCLEIFEEMIDNGCYPDAGTAKVLLSSCSSEDQIEQVTHVITTIHKGERPLFIT >CDP12589 pep chromosome:AUK_PRJEB4211_v1:9:9253082:9254734:1 gene:GSCOC_T00036253001 transcript:CDP12589 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNLVPFSSFMVFFYLSIALLSLSSIAVSQTVQENFFQCINQNSELYVPFSSAFVSPNNDSFSSVLQSTAQNLRCLEPSVAKPQLIFTPLIESHVQAAVICAKEVGIQIRMRSGGHDYEGLSYISDLDSPFIIVDLGKLRAISVDIDDNSAWVQAGATIGEVYYRIFQKSKTHGFPAGLCTSLGIGGHITGGAYGTMMRKFGLGADNVLDARIVDAQGRILDRQSMGEDLFWAIRGGGGASFGIILSWKIKLVPVPSIVTVFTVPKTLEQGATKILYKWQQVADKLDEDLFIRVIIQNADAAQKGEKTVQTAYNAQFLGTASRLLEVMNQSFPELGLTQKDCTEMSWIQSVMYIAGYPSKTPPEVLLEGKSLFKNYFKAKSDFVREPIPEDGLEGLWKRLMEGDSPLMIWNPYGGMMSKISESEIPFPHRKGVIFKIQYVTLWQDADKDTAAKHIDWIRKLYNYMATYASTFPREAYVNYRDLDLGVNKDGNTSFIQASVWGSKYFKNNFNRLVRIKNKVDPGNMFRHEQSIPPLALTGKRRGKRMIH >CDP12600 pep chromosome:AUK_PRJEB4211_v1:9:8868439:8869263:-1 gene:GSCOC_T00036273001 transcript:CDP12600 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLCFSMILILSLLFAVAHLSNAAAPDCGTVDAKAAACVSFARGKDRKPAAACCTGLQQLAQTVKNVNDKKAICRCLKTGVKSFPGVQDKYMSKIPAACRINVGFPISMNTNCEAIH >CDP05651 pep chromosome:AUK_PRJEB4211_v1:9:12805627:12817143:-1 gene:GSCOC_T00020830001 transcript:CDP05651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G25150) UniProtKB/Swiss-Prot;Acc:Q6S7B0] MDEEDIEKAVMTYLKKKGFKQTELAFQEEQQRQHPKTALNSTNSHVDPEIACQILSFSSELENNPAQYHEGYGKLRSWAYSSLDLYKHELLRVLYPVFVHCFMDLIAKGHIQEARTLFNSFREDHEMMHARDLQKLEGVLSPSHLEEMEFAHSLRQSKFNIKICQYSYDLLLQYLHKKQSVVMLGLINEHINFQVSPGQPTSISDDAEVVTLMGSGQDAANLINQKEIHWGLLEDSLEERLEKAGALVPDSAKVDGEAREGEVEENKKRPYEGGKQGASLKKSKKDKVATATGKASRVETSTVSVAPRVKPELTLPSIPAEVEHSILEDLRNRVQLSSAALPSISFYTFINTHNGLNCASISQDGSLVAGGFSDSSLKVWDMAKLGQQTGYATSQIVEDSYPSDSLLGANSGRKSYTLFQGHSGPVYSATFSPFGDYILSSSSDSTIRLWSTNLNTNLVCYKGHNYPVWDVQFSPVGHYFASSSHDRTARIWSMDRMQPLRIMAGHLSDVDCLQWHVNCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASGDEDGTVMMWELASGRCISPLVGHTSCVWTLAFSCEGSLLASGSADCTVKLWDVTASTKLLKTEDNKTGSINRLRSLKTLPTKSTPVYSLQFSRRNLLFAAGVLSKNT >CDP00995 pep chromosome:AUK_PRJEB4211_v1:10:6831075:6832673:-1 gene:GSCOC_T00034477001 transcript:CDP00995 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKSYIEQHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKDQHARKSTSQKQEMLRKGMRESINHMVSSSSSDYNSNQSPYWPELPVLPPVPYSNEEPRFNDHASIRKLLIKLGGRFSDDDDQPTNGTMNTNLQYPLDSTNSLVQVQPLYDQQINMLSSAPLDVLNTTSSLPETLYTIDAADLSTLQGQNSFQAGLEQMICNNPQRLDGLEFLCGDILINHRTGNTCGGSSDWGEMNSLVLPAVASGYEGLQQGTLQECAIDQLRYLGP >CDP19180 pep chromosome:AUK_PRJEB4211_v1:10:9101773:9104186:1 gene:GSCOC_T00004645001 transcript:CDP19180 gene_biotype:protein_coding transcript_biotype:protein_coding YKISRQKTKAVGRSQRQHRTSDRKSLSAIECKHRKYRTILNGQPKVMGLKELLLAFLDFRCSVIERRARFKLSHTQDRHHTVEGIVVGFVNLDRVIDIIRQASSDSGATAQLMKGNLR >CDP07298 pep chromosome:AUK_PRJEB4211_v1:10:592609:597663:-1 gene:GSCOC_T00024525001 transcript:CDP07298 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKYETIFHRLRLTTSGSGSGSNQPSGEQERPVGRKMNIGVALQPAPLQFRFPPIHITPPPILRHHHQFFPTAISLISCVTATSLSSHQLSLDNRQEEDDDQDDVASLNNRRYDFSPLLQFLSTYTPDHSNSSADSPTQLHPAELRLAESYRAVPAPLWHSLLKSLSSTPSSISTAYALVTWLQKHNLCFSYELLYSILIHALGRSDKLYEAFLLSQRQRLTPLTYNALIGACARNDDLEKALNLMARMRRDGYQSDFVNYSLIIQSLLRNNSIDSTVLEKLYDEIEADRIELDGQLLNDVTVGFSKAGDVSRALYFLSVIQGNGLSPKTATLVAVISQLGNSGRTEEAEAVFEELKEGGLKPRTRAYNALLKGYVKTGALRDAEDVVSEMERSGVAPDEHTYGLLIDAYGNAGRWESARIVLKEMEANNVQPNSHVFSRILASYRDRGEWQRSFQVLKEMKNNGVTPDRQFYNVMIDTFGKYNCLHHAMDTFERMKLEGIEPDTVTWNTLIDCHCKHGHHNKSEELFEAMQKSGCLPCTTTYNIMINSFGEQERWEDVKDLLGKMQSQGLLPTVVTYTTLVDIYGRSGRFTDAIECLEVMKSVGLKPSSTMYNALINAYAQRGLSEQAVNAFRVMRGERLKPSLLALNSLINAFSEDRRDSEAFAVLQYMKDNDLKPDVVTYTTLMKALIRVEKFEKVPAVYEEMLSSGCLPDRKARAMLRSALRYMKSTLKV >CDP01008 pep chromosome:AUK_PRJEB4211_v1:10:6695226:6697393:1 gene:GSCOC_T00034492001 transcript:CDP01008 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVNDNNNGSDASSNNNCNAPNWLGFSLSPHMKMEVTSSSSSSEHHHHQFNHQNQPPPTSTSTDVPTSFCLSSPPHLNNIASPVCFGVSENGGFHHAPLSVMPIRSDGSLCIMEALRRSQTEAMGPNSSPKLENFFGGATMGTHQYGSQDRETMVLSLDSIFYSQNVEPEGEPIRQENPYFSGLQCQDMYQHPLHEENDETRVADCDTQIPTMAGEDLKNWVAVDYSDQHALDQQQLNTAPMVEGGNGSGSGSVGSVGCGDLQSLSLSMSPGSQSSCVTAPRHISPTEAECLAIETKKRSCGKVAQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQG >CDP07370 pep chromosome:AUK_PRJEB4211_v1:10:1032888:1033862:-1 gene:GSCOC_T00024611001 transcript:CDP07370 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLPVCSLPDWLVNSILGLDDDAYFQDQPQHLDHHQLVDQSIINQEKDCEIPQSMACSESLASELAKQRLEMDWLLQLENQRLRTVVQEKGRQQAVLLQRYESKIVNLMHQKEKDLEIARNRTMELQNLLIRAEVEAHEWQRKAMDNEAMVIGLNNRLEVVRALDVESVCESSNGECRNREDQELRKLACKLCKARRLSIVFLPCRHLCSCTTCESLLEVCPTCESVKDSSIEIFLD >CDP10689 pep chromosome:AUK_PRJEB4211_v1:10:10433087:10434124:1 gene:GSCOC_T00031484001 transcript:CDP10689 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFFGLAIFLFLTFDSDFTSSPVSVASEGVQITYGSMIKLMHERTKFRLHSHDVSYGSGSGQQSVTSFPNVDDSNSYWIVRPVSDTNAQQGDTIKGGTIIRLQHMRTRKWLHSHLLNVSFTMMPIAVMPYAINLLISK >CDP01079 pep chromosome:AUK_PRJEB4211_v1:10:5872187:5874230:-1 gene:GSCOC_T00034589001 transcript:CDP01079 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIARPEKKPHAVCIPFPAQGHIKPMLKLAKLLHQKGFHITFVNTEFNHRRLLKSRGPDALNGLPDFQFKAIPDGLPPSDVDATQDILSLCESIDRNCLGPFRELLAELNDTSSSEVAPVSCIVSDGATTFTLAAAQELGIPEVLLWTSSAPSYLAFFQFDKFIEKGIIPLKDASYLTNGYLDTVLDWIPGLEGIRLKDLPSLLRTTNPDDFMVKFAMQETKRARKASAIIINTFQQLEHDVLDALSSHLPPIYTIGPLHLLENHMHDKSLTDLQSNLWKEEPECLEWLDLKDPNSVVYVNFGSIAVMTPEQLVEFAWGLANSKQNFLWILRPDLVSGNSAILPSEFLEETKERSMFAGWCPQEKVLSHPSVGGFLTHSGWNSTIESISYGVPMICWPFFADQQTNCWFCCTKWGIGMEIDNNVKRDEVEGLVSELMAGEKGKEMKKKAMDWKKLAETAVTDSNLNLENLIHQVLLNPSI >CDP07514 pep chromosome:AUK_PRJEB4211_v1:10:2156128:2158348:1 gene:GSCOC_T00024793001 transcript:CDP07514 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPPTHSPVIPSTTLAAPFLPKSPAPVSPLHLSPTPSPHETIAVSRRRAAFLLSILTVPPLLLSVHDPPTASAFSLGISGPKNWLKEQKKKSSKFLLAPVDASRNILRSAYLLMTKGETDYEENDLEEVKKLVTSATRDCVAEQRNSFVAFQAKTGVEVCTFRLIVNNASSLLDDKDPTKLEAESKLTDLIRSFTSLSDMTNEIGGQAASNRQRVANALMDALYSLDNFEQGIKDCLEI >CDP07333 pep chromosome:AUK_PRJEB4211_v1:10:818425:821047:-1 gene:GSCOC_T00024566001 transcript:CDP07333 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFSRVTVPRKAKLFAKSLKSRLDAPRCGNSQEDEDWFLDEDVLAGTRPKKMSLSTLFQLVSLVLVMIILVCTLSLTKLKKTKLWDLPLWKWEMLISVIISGHLVTGWGVRIIVFTTEQAFVSKNMRVLYFVYGMKKAVQHCIWLTLILIVWHYLVAENMGLETRSKALTRVSKALLCLVVGSLVWLVKVFFVKVLASSFYNKTFFERAKVYLFKQYVIKKLSAPPDGGEQSEEDAAKVGGETKPKDLPQFLRRGFSKRKGQESSKGRQRKLVRRKAPGLLLKMWLSMVHSGLLDGLSTLDEGLPDSSDDEDEKSLSCKAEKVAKKIFKNVAKNSEVIVLEDLKQFMKADKASLAMHLFEGTAGTEGINEHSFTRWMVDAYKERIYLQLSLSDTKTAVDELHHLMDAVVIIIIVIIWLLIFELAVAHFIVIISSQLLLVGFIFQNTLKTVFEAIIFLFIMHPYDVGDRCEIEEVQMVVVEMNILTTEFERYDGQKIIYPNSILATKPIGNYNRSPHMGDQIEFSIHISTPWDKILTLQHNIRSYVESNAKHWYPDPTILIKDVEDMNRLVMVVWPKHRMNHQDMRQRWLRRALLVDEMIKIFRELDIQYRTLPLDMNVRNMPAATSNKFPSNWTTCSGSERP >CDP10661 pep chromosome:AUK_PRJEB4211_v1:10:11045994:11046815:1 gene:GSCOC_T00031443001 transcript:CDP10661 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMKTLTGKTITLECLIFADKWLEYGCTLADYNIQKESTLHLILPLRGGTKKKTYTKPKKIKQRRRRSSLPSSSSTRSMLSIQRHSRACFVPKGMWSLAKYTIVEEKVLASKPKNLSFVEAASLPVAVEIAYRGLESAGLSDRKSLLVLGGAGGVGSFIIQVKILAFHFSLFSFIIFLK >CDP01248 pep chromosome:AUK_PRJEB4211_v1:10:4219300:4221850:-1 gene:GSCOC_T00034829001 transcript:CDP01248 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENCVRVTRLAKKRAAEAMALASSQQQRPKKNRVVLGELKNLSGDVCSDDSQHQKQHKCRPKRKEDGAIDIDVKSDDPQMCRDYVSDIYQYLHKMEMEVNRRPLPDYLDKVQKDVTANMRGILVDWLVEVAEEYKLLSDTLYLTVSYIDRFLSKNAISRQRLQLLGVSSMLIASKYEEISPPHVEDFCYITDNTYTKQEVVKMEFDVLKFLKYEMGNPTIKTFLRRFTRVAKEDYNNPNLQLEFLGYYLAELSLLDYGCVKYLPSLVAAAVVFLSRFTLQPELHPWNAALQQYSGYKPAELKECVQLIHDLQLGRRGSTLVAVREKYNQHKFKCVSTLSPPPGIPDTFFEDVKD >CDP07285 pep chromosome:AUK_PRJEB4211_v1:10:495714:515636:1 gene:GSCOC_T00024510001 transcript:CDP07285 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPLGFSRAPVPPPPLMLMVVWFMVLLLFIADAQRSDQTFPLTPNGTLGQTHSEGYCAMYDICGARSDGKVLNCPFGSPSVKPDDLLSSKIQSLCPTITGNVCCTEAQFETLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPSQSQFINVTSVDKVRKNSTVGGIDFFITDSFGEGMFESCKDVKFGTMNSRAIEFIGAGAKNFRDWYAFIGRRAPLGVPGSPYAINFRSAAPDSSGMKPMNVSTYSCSDTSLGCSCGDCPASPVCSASASPASHAKRSCSVRIGSIKAKCIEVAVAILYIVLVSVFLGWGFWHRKKERAPVSRTKPLVSVTNIGVVRHVNNQKDENIPMQMLEDVPQITNGVQLSIVQGFLAKFYRKYGTWVARNPILVLCSSLAIVFVLCLGLIRFQVETRPEKLWVGPGSRAAQEKEFFDNHLAPFYRIEQLIIATIPDTAHGKRPSIVTEDNIKLLFDIQKKVDAIQANYSGSMVSLTDICMKPLGQDCATQSVLQYFKMKPENYDNFGGIGHVEYCFQQYTSADTCMSAFKAPLDPSTALGGFSGNNYSEASGFVVTYPVNNVVDKEGNETKKAVAWEKAFVQLAKEELLPMVQSKNLTLAFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRFTSYYISSKVLLGLSGVILVFLSVLGSVGFFSAVGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVFDFLRAEDYRIDCFPCIKISNSNAEPDSGVHERKPGLLARYMKDIHAPILNLWGVKVAVIAAFFAFMLASIALCTRIEPGLEQQIVLPRDSYLQGYFNNVSEYLRIGPPLYFVVKNYNYSSESRQTNQLCSISQCDSESLLNEIARASLVPETSYIAKPAASWLDDFLVWLSPEAFGCCRKFTNESYCPPDDQPPCTSNGVCKDCTTCFRHSDLQNSRPSTTQFREKLPWFLNALPSADCAKGGHGAYTSSIELKGYEDGVIRASAFRTYHTPLNKQTDYVNSMRAARDFSSRVSDSLKIEIFPYAVFYMFFEQYLNIWRTAIINLVIAIGAVFIVCLVITCSLWTSAIILLVLAMIVVDLMGVMAILNIQLNAVSVVNLVMSVGIAVEFCVHITHAFLVSSGDRNQRMKDALTTMGASVFSGITLTKLVGVLVLCFSRTEVFVVYYFKMYLALVLLGFLHGLVFLPVLLSLFGPPSRCVLIEKQEDRPSTSSQI >CDP01247 pep chromosome:AUK_PRJEB4211_v1:10:4229193:4229868:-1 gene:GSCOC_T00034828001 transcript:CDP01247 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLVNLNFLIKNFLLDEHGHHEHESYFGDQDTESLVQTMETLVASVSLESKRLALEDKSDKTKDPKRHAPSAGGCRVEGFVRVKKVGITPI >CDP10700 pep chromosome:AUK_PRJEB4211_v1:10:10213966:10214435:-1 gene:GSCOC_T00031498001 transcript:CDP10700 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNTNGFFFQELNKPGDAANRQGSSAIAMFSCSKKVRFTSNLMLGRYAIDFAGFSSQNPGVNTIANAVFGSNPPISSDVLTKAL >CDP12008 pep chromosome:AUK_PRJEB4211_v1:10:22694693:22699160:1 gene:GSCOC_T00035358001 transcript:CDP12008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINSKTGSRITTTATPCTRTHQIGALALVIFTFFLTRLIDQTFPPSSSFDSGPSHYSQYDAALVAAGGSLIWPERGYGAQLSIKIYVYDEKEIDGLKLLLFGRDGRITPEACVKGQWGTQVKIHQMLLQSRFRTNKKEEADLFFVPTYVKCVRMMGGLSDKEINQTYVKVLSQMPYFRVSGGRNHIFVFPSGAGAHLFKSWATYLNRSIILTPEGDRTDKRDTSAFNTWKDIIIPGNVDDRMTKGDRLVEPLPLGKRKYLANYLGRAQGKIGRLQLIDLGKQFPNKLESPELKFSGSEKLERAAYFQHLRNAKFCLAPRGESSWSLRFYESFFVECVPVILSDQVELPFQNVVDYSQISIKWPSTQIGPQLLEYLESIADNAIEKMIARGRKIRCLWVYAPESESCSAFTGILWELQKKVRQFHQSTETFWLHNGTIINRNLVEFGKWKPPLPLP >CDP11399 pep chromosome:AUK_PRJEB4211_v1:10:25683429:25685861:1 gene:GSCOC_T00033634001 transcript:CDP11399 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWEDVYKVVTAMTPLCVALALGYASVKWWHMFTPDQFDAINRFNCFFIIPFFNFKFISHINPYELNYPFLGADCIAKCIVLIVLVFWTNCTRKGSCMWSITAFSLCSLNNTLIVGVPLLKAMYGEVGEDLVVQSSVIQSLVWVIFLLFMLEFRREWSKTKSVTNTINGDLQLQAIECGSDLDQNSTPMSMSINKAPSIWSILKIVWLKLAKNPNFYACIAGLIWALLASRWQFKMPEIIEGSILIMSKAGAGVSMFSMGLFMALQERVIACGTPLTLFGMALRFVVSPATMAIGSIVSGLHGNVLRIALIQAALPQSIASFVYAQEYGLHPDILSTAVIFGTIVSLPLLIAYYALLEVAR >CDP11990 pep chromosome:AUK_PRJEB4211_v1:10:22929509:22930253:1 gene:GSCOC_T00035332001 transcript:CDP11990 gene_biotype:protein_coding transcript_biotype:protein_coding MDETGGGASSDDARTCPRGHWRPAEDERLRQLVEQYGPQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFSEEEEERLLAAHRIHGNKWALISRLFPGRTDNAVKNHWHVIMARRQREQSKVCGKRSYHDTQSVLWI >CDP16490 pep chromosome:AUK_PRJEB4211_v1:10:17126683:17131877:1 gene:GSCOC_T00018441001 transcript:CDP16490 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDEESKQVSYKVVRDENGNVKLECPAIGKRFAPEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLDVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAEDFKRNEGIDLLKDKQALQRLTETAEKAKIELSSLTQTNISLPFITATADGPKHIETTVTRAKFEELCSDLLDRLKTPVQTSLRDAKLSFNDIDEVILVGGSTRIPAVQDLVRKLTSKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVKDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPKDEVDKMVQEAEKFAKEDKEKREAIDTKNQADSIIYQTEKQLKELGEKVPAAVKEKVEAKLTELKDAVSGGSTQAIKDAMAALNQEVMQLGQSLYSQPGTPGDGPTPGADAGASGSTGKSSGGDDGDVIDADFSESK >CDP07336 pep chromosome:AUK_PRJEB4211_v1:10:831891:839236:-1 gene:GSCOC_T00024570001 transcript:CDP07336 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTESCSSRASESSPSPGRKRRLKVEVYHDVLQRLEESEVEEVSRPGFKDELWNHFTRLPLRYALDVNTERAQDVLVHKKLLHLAHDPNTRPAFEVRLVQVHPFSDGNADDSVHSSFTKSVQKYNHPCRLHPPPAFNLSSNSEFAQEANRAFIQHGDSSVSGHSHLYRPLHEVTISTNDKPKLLSRLTSLLSEVGLNIQEAHAFSTSDGYSLDVFVVDGWAYEGTDQLVKVLEKEIPKIEEYSGLNPEMLPSRVKLGHSKIALLPDEVNIPIDDSDVWEIDAKLLKFEHKIATGSNGDLYKGIFHGQDVAIKVLKAEHLTETVQREFAQEVYILRKVRHKNVVQFIGACTRPPLLCIVTEYMHGGSIYDLLHKQNGVLKLPAILKVAVDVARGMSYLHQNNVIHRDLKAANLLLDENEVVKIADFGVARVQVQSGVMTAETGTYRWMAPEVIEHKPYNHKADVFSFGIVLWELLTTKLPYEHMTPLQAAVGVVQKGLRPSIPRQTHPLVVELLERCWQQDPSSRPEFSEIVEILQDMANRVVQEERAPKRKSLGEPYQLMDVLG >CDP07539 pep chromosome:AUK_PRJEB4211_v1:10:2308275:2309932:1 gene:GSCOC_T00024828001 transcript:CDP07539 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVHISLITTLCKADRHKFDKKKKKQREFLICSYPDADASQINRQLEIFLTSADSPDHPLLESTKFSVRYCYRATLTTPTSAIKGVSTMNWIQRKIYLYNVTFGLYMLDWWERYLFNTLIIVLMWFIFYNTSKFISDFCKR >CDP00880 pep chromosome:AUK_PRJEB4211_v1:10:8494948:8497181:-1 gene:GSCOC_T00034317001 transcript:CDP00880 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIKQSHVRAVRLVKFVCDELHKKEKEEEEEKKKEKEREKEKEMDFEKEEKEKEREKEEEQEKEPEKEPEKEKEQEKEKAKEPEKEQEKGKGKEKEKEKEKEKEMDFVQFFFIPEEEKDMDFVQDFFIPENSTAILHLAVEHGVFELVEQCLKVFPDLIWYADKPRKSVPWRHAHYTSTVKGSLVWNDATQHADTATASSNPPEYTETTSGHWKWHEGQYADTATGTERLLLHVAIEHRRVEIFNYLITYIGKNTKAYADLKIEGNNNSLHLAANLAPTPQLQSVPGPAFQMQRELQWFKAVEELVYDELKTEKNLADETPRELFFNEHKDLLKDAKEWMKDTSNSCMVVATLVATVAFAAMITVPGGNNGNTGLPILARQKLFLAFSISNAFSMIFSAISLLMFLSMQTSRYTEDDFLDLLPKVLLRGLISLGVAVATMMISFGTAIGLSLQTRLNWAYIPITVVACFPVIIFTWLQLPLLLQALLFKSGPGIFQGQWDRKSLRLRKIGYRLLLANDRV >CDP07505 pep chromosome:AUK_PRJEB4211_v1:10:2079045:2080265:1 gene:GSCOC_T00024783001 transcript:CDP07505 gene_biotype:protein_coding transcript_biotype:protein_coding MKEADRIELGNLCPEVVDSIFSRIPLKSLAKLKSVSKTRCNFIEHFRRRNPPTTASGLTIVLKNLNRNLHNPEFQESTFLRTQEGQQGFFSTRACIKGNFPVRLIDSCKGLLLYAANDGLSWAYYACSPFLDQCLALPRAHSITRLACASLALDGSSKENLRVLCFFLKEIDFVRGTVGCKIFSSATWDWREFQATILSTDLLLKRDFDVAHLFGPSVFCRGRLYWIWGLCMLVYDNEADFFKLIPLPSKAEEGNYGRPLDMLSQLLWESDGSIYFCYQMNERLCIYNFIGDDEVMDQEHEFYGTVNGEVKKLRAKCGIKPCGFNQDFHLLYLHVPPGTIVAYSLETRELEQVWACGEFKGNYAIEKILPFLFKSVNLFV >CDP10650 pep chromosome:AUK_PRJEB4211_v1:10:11364823:11375524:1 gene:GSCOC_T00031430001 transcript:CDP10650 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT1G35510) UniProtKB/Swiss-Prot;Acc:Q8H1E6] MHGLSRLGSGVNSTPSTSSSSSVSPPSSPRNRRSRNNKNSIITIGGGVERLGFAVISTVYRRRGVLLLAPLLYISVMLLYMGTVGFDSVVSRNGGNGDDLTRPGSLYRSPQVFEKLWPFMEAESNGSSSNLIMNVWTLKPRQSWKPCIHQTASHAELPKSNGFLIIEANGGLNQQRLSICDAVAVAGLLNATLVIPMFHFNSVWRDSSKFGDIFDEDFFIYALRNRVEIVRGLPEAVLQHFDHNISNIVNLRLKAWSSPTYYLQKVVPKIVELGAVRVAPFSNRLAHAVPPNIQGLRCLSNFEALRFSESIRMLAAKMVDRMVKNSSKSGGKYISVHLRFEEDMVAFSCCVYDGGEEEKREMDIARERSWRGKFRRRGRIIRPGANRRDGKCPLTPLEVGMMLRGMGFDNNTFIYVAAGKIYQAEKYMAPLKQMFPHLETKDTLASPEELAPFKGHSSRLAALDYTVCLHSEVFVSTQGGNFPHFLVGHRRYLYEGHAKTIKPDKRKLALLFDNPNIRWKDFKRQLQDMLRHSDVKGVETRKPSGSLYTYPMPDCMCKHADGRNDNGNTTGLS >CDP10739 pep chromosome:AUK_PRJEB4211_v1:10:9599245:9602744:-1 gene:GSCOC_T00031548001 transcript:CDP10739 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSFISDNREFDSASNKVRTVVLVGRTGNGKSATGNSILGRRAFNSKSSFDGVTKTTELQRTVLDDGQVLNVIDTPGLFDKAADPQFVEKEIVRCIGMAKDGIHAVLVVVSLRSRVSMEEAAAIETLQKIFGDKIAEYMILVFTGGDELEDDEVNFDDYLSRSESIKGMLKLCGNRRVLFDNRTKNAAKKAEQLKQLLFLVDDVVVKNGGKPYTNELFDEFKKAAAKLRDQAKELNSLSKEEKIEREMQMYQSYDEHMKRITGMVEKMITDTRSRLEQQLKDEKDARLRAEAAAREAQLKSDEEIRNLRKRLEESQKEIQRLKDDDGGCQIL >CDP01109 pep chromosome:AUK_PRJEB4211_v1:10:5528023:5528381:1 gene:GSCOC_T00034626001 transcript:CDP01109 gene_biotype:protein_coding transcript_biotype:protein_coding MYINHVNQVAAGALWSTKFRALANGQLALRFNAAATCSLSAAQFRQLNFLLRFHISQETKLFLLFASSIILLHTEEQGSWCFNGS >CDP10714 pep chromosome:AUK_PRJEB4211_v1:10:9946467:9953481:-1 gene:GSCOC_T00031515001 transcript:CDP10714 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKGEAPVASPAAAAAEIPPPPLPLPPYDDVNAKWDVCMDLSIRRIFYSTAVGAFAGLFLFRSPVTRWTSVGLATGIGIGTAFTECSYIFGRSPAKLTPKISKAPLSKDAEH >CDP19632 pep chromosome:AUK_PRJEB4211_v1:10:25139905:25140736:-1 gene:GSCOC_T00006184001 transcript:CDP19632 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKGNAIFLVVFICLYISNNLYLVCSVDPTTGFTNIPLTEANFEIQRPYDVPLEERYSYENGTRRLWVYADDKPHDPNSHTQPRTEVRIQGLDYSSGVWQFEGYGFVPNGTSGATIVQIHGASHGATTIILRIYDGDMRYYSSELVDTGLYDRWFRVNLIHDVDGGKVTVFIDGVQKFETRDQGPGDLYFKCGVYAAPRNISYYMESRWRDIKIYKK >CDP01282 pep chromosome:AUK_PRJEB4211_v1:10:3883907:3885360:1 gene:GSCOC_T00034874001 transcript:CDP01282 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIAHRKESDRIKGPWSPEEDELLQRLVEKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTADEDEKIIRAHAKFGNKWATIARLLSGRTDNAIKNHWNSTLKRRCSSMSDDFNFEVPQQPLKRSASVGPGTNVSAIYVNPSSPSGSDLSDSSLSGFGSGHVFRPLALTGGISPPVQQIETLSAAAPDPPTSLTLSLPGSSSAKSPTQNSKPPPPPPPPPPPPPLAPAPPTPMAPPQAAPVAPPQSQGGFVYPVPAPAPAPAPMPGQVAERQFFSQEFLGVLQEMIRKEVRSYMSGIEQNGMCMQTEAIRNAVVKRIGISKID >CDP07617 pep chromosome:AUK_PRJEB4211_v1:10:2948506:2949294:-1 gene:GSCOC_T00024928001 transcript:CDP07617 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFYRCSSATSSSSDSSSSDSSLSGKTPRDASRSERIKGPWSAEEDKILTRLVEKYGARNWSLISNYIKGRSGKSCRLRWCNQLSPSVEHRPFSPEEDDTILAAHAKYGNRWATIARLLPGRTDNAVKNHWNSTLKRRYQQQKETNHQQQQENQMTEAMDIAADVKNEFSGFVPFSESNNINITATSTSRVNSRVVPFTDYDESDPMTTLSLAPPGMYRGPGLGAEENLPAGFWDAMRNVIAREVREYVASSFSATSPGFH >CDP01049 pep chromosome:AUK_PRJEB4211_v1:10:6170658:6174242:1 gene:GSCOC_T00034544001 transcript:CDP01049 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLFPLFDKYGKVVDVFIPRDRRTGDSRGFAFVRYKYQDEAQKAVEKLDGRVVDGREIMVQFAKYGPNAERIHKGKIMEPVYKSKGRSRSRSPRPRYKDDYKDRDYRRRSRSRSRGRDREGRRGRDRDYRHRSRSRSGSPDYHKDRGRGRNDDDRRSRSRSYGSASPARRSASPRRSQSPRRTPPSRGASPDAVKQKDRSPTSKSLSPRGRRADSRSRSPHSDADD >CDP10645 pep chromosome:AUK_PRJEB4211_v1:10:11619954:11621054:1 gene:GSCOC_T00031425001 transcript:CDP10645 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQRLAQEGRNTNVARIFTLEELRKATNNFEETRIIGRGGYGTVFKGILVDHNSCTVAIKRSREVNENQVDQFINEVIMLSQVNSRNVVKLLGCCLETEVPLLVYEFIDNGTLSEHLSSTTKSHHLSWNIRLRIASEIAGVLSYLHSVASPPIIHRDIKSANILLDQNYTAKVTDFGISKLAPLDENQVSTMVQGTFGYLDPEYMLTGLLTEKSDVYSFGVVLIELLTSEKALSLDRVEEEKFLANYFISSLKSGHLVQVLDRNIMFDVSIELLKEVAMIAKSCLSIKGDDRPSMKNIARELEVLEIRAKQSPIQVSKIDFTDAEASLLGMQSTNAHVDSGDSSCIHTESHSIMEHMMVPIAGGR >CDP00966 pep chromosome:AUK_PRJEB4211_v1:10:7183205:7188607:1 gene:GSCOC_T00034438001 transcript:CDP00966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC4 [Source:Projected from Arabidopsis thaliana (AT1G09960) UniProtKB/Swiss-Prot;Acc:Q9FE59] MPMPEAEGHGKNKPRATRPPVREPVRPQRVPLRLLLRVSSVACGIQFGWALQLSLLTPYVQELGIPHAWASIIWLCGPISGFFVQPLVGHMSDRCKSRLGRRRPFIIAGAASIVVAVLVIGFSADIGWLFGDRGEIKLRAIVAFVIGFWLLDVANNMTQGPCRALLADLTEKDHRRTRVANAYFSLWMAVGNILGYATGAYSGWFKLLPFTLSSACNVNCANLKAAFLIDIIFIAITTYISISGAQEKPLDSLHGSPASVEGRSEQSSHEQEAFLWEMFGTFKYFTGVIWIILLAIALNWIGWFPFLLFDTDWMGREIYGGEPNVGQNYSVGVRMGAFGLMLNSVFLGVTSVLMEKLCRKWGAGFTWGVSNIIMSLCFVAMLIIAAVRTHMDIGDHLPPDGVVIAALVVFSILGIPLAITYSVPYALISSRIEALGLGQGLSMGVLNLAIVVPQILVSLGSGPWDELFGGGNSPAFAVAAVSAFASGLIAILAIPRTRVEKSRILP >CDP01050 pep chromosome:AUK_PRJEB4211_v1:10:6158453:6163564:-1 gene:GSCOC_T00034545001 transcript:CDP01050 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVGGHERLINGQGLEVEGKITAAVVITCIVAASGGLIFGYDIGISGGVTTMEPFLQKFFPSILKNAAGAKTNVYCVYDSQVLTAFTSSLYVAGLAASLGASRLTAALGRRNVMVLGGFTFLAGAAINGGAQTIAMLILGRILLGFGVGFTNQATPVYLSEVAPPKWRGAFNTGFQFFIGIGVVAANCLNYGAARLEWGWRLSLGLAVVPAAIMIIGALLISDTPSSLVERGKLEKAKKALAKVRGKEADIEVELADLVKSSEIAKAMNQEPFLTICERQYRPHLVMSIAIPFFQQLSGINIIAFYAPVLFQSLGFGKDSALIGAIILGLVNLASILVSTAVVDRYGRRLLFIEGGAQMFICQVAVAFVVAAAAGASGTRHISKEYGASIVALMCAYAAGFGWSWGPLSWLIPSEIFPVKIRTTGQSISVAVNFATTFILSQTFLTMLCHFKYGAFLFYAGWIAVMTIFIVFFMPETKGIPLNSMHQVWEKHWFWRRFVTAQP >CDP07553 pep chromosome:AUK_PRJEB4211_v1:10:2398036:2402685:1 gene:GSCOC_T00024846001 transcript:CDP07553 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSKKGWKSIVPLRLKGKSTPRFCLFSKVKPANYGPGKTPVYLNVYDLTPMNGYVYWAGLGIFHSGVEVHGVEYAFGAHDYPSSGVFEVEPRQCPGFKFRKSIFIGTTSLDAAQVREFMEREAASYNGDTYHLIVKNCNHFCKDICYKLTGRKIPKWVNRLAKLGSTFNCILPESLKISPVRHDPNYQEDDNEKRRLRSSFSCLSSISTRQKQLSTSSLFLQSPLKGCLPPWELRKFNNGSLKER >CDP10669 pep chromosome:AUK_PRJEB4211_v1:10:10791669:10794731:1 gene:GSCOC_T00031455001 transcript:CDP10669 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVVEVLDASDLMPKDGQGSANPFVEVDFEGHKQKTQPKVKDLNPVWNENLVFNIQNPGDLTDKTIEVFVYNDNKQGHHKNFLGKVRISGVSVPFSESEAVVQRYPLDKRGLFSNIKGDIALRIYAVLGAYSGNSNSNGQVFEPEPEVLFQQQQQQPPPQPVNVNFQESKETPLQEINPNKPGEEFKEFSDVKKKKKKEKEVRTFYSVGTGGGGGGGPPPPPVKPAVVEPRGDFAKAGGPAVMHMQVPGQTPDFGLVETRPPVAARMGYWGRDKTASTYDMVEQMQFLYVNVVKAKDLPVMDITGSLDPYVEVKVGNYRGVTRHLEKNQYPVWNRIFAFSKERLQSSTLEVIVKDKDIAKDDFVGKVEFDIIDVPVRVPPDSPLAPQWYKLADKKGNKTTLPGEIMLAVWIGTQADEAFPEAWHSDAHSVSQQMLANTRSKVYFSPTLYYLRIHVIEAQDLVPAEKGRAPVSSVRIQVGHQGRSTRPAQQGTYNPVWNDELMFVVAEPFDESIIVSVDDKGELIGRLLIPVRGLPQRREVPKPPDARWYNLLKPSLAEREEGEKKREIKFSSKIHLRICLDAGYHVLDESTHFSSDLQPSSKHLRKPSIGILELGILSAKNLLPMKSKDGGTTDAYCVAKYGNKWVRTRTLLDTLAPRWNEQYTWEVHDPCTVITIGVFDNNHINGSREDARDQKIGKVRIRLSTLETDRIYTHYYPLLVLLPSGLKKHGELHLAVRFTCTARGNMVIQYAKPLLPKMHYVQPISVRHIDWLRHQAMQIVALRLSRAEPPLRREIVEYMLDVDLHMFSMRRSKANFHRIMSLLSGISAVCRWFDGICHWRNPLTTILVHVLFLILVCYPELILPTIFLYLFVIGLWNYRFRPKHPPHMDARLSRAEYTHPDELDEEFDTFPTSRPTDVVRMRYDRLRSVAGRVQSVIGDLATQGERALSILSWRDPRATAIVIILALFSAVFLYVTPFQVVAVLIGLYWLRHPRFRSKLPSVPVNFFKRLPAKSDMLL >CDP12003 pep chromosome:AUK_PRJEB4211_v1:10:22721643:22724864:-1 gene:GSCOC_T00035352001 transcript:CDP12003 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWLAHGHMSPFLELSKRLAKNNFQIYFCSTEINLSFIKKDRNLDEYFSDHSIELVQLDLPRFPELPPHYHTTKNLPPHLNPTLHVAFYMGRTNFQNILNILQPDLLIYDMFQAWASELASMFHIPAVLFLGGGAVFWSWSYFYDIINKGYSGIDGTYPFPAIFLRDYEIKRMAAFLQESKEKVPQEVVLSKTKGFEVSSDIVLLKACREIDGKYIDHLSSSRGKKILAVGPLIELKHDDTKEEEKDENSSHIIEFLNGREESSVVYVCFGSEYFLSEEEREEMAYGLELSNANFVWVVRFPVGHAIALEEALPEGFLERVKDRGVVVDGWAPQAKILEHPSTGGFVSHCGWSSFMESLYYGVPLLALPMLYDQPLQARLAVEIGVGIEILRDEDGRIKRENVAKVIKEVVVEKIELGESVKQKAKELSHKLREEGEGQLHEAVEKLKSLCSKNQSQEQ >CDP11977 pep chromosome:AUK_PRJEB4211_v1:10:23082783:23083799:-1 gene:GSCOC_T00035317001 transcript:CDP11977 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLLQRLCRHRPIHTYSLSFYTKLIDQCLRWKRPNFAKFIHAQLIKLGFNGTFLGNRCVDMYSKAGLFSYALKVFDDIAYRNVYSWNICLKAYVQHGDFEKARLIFDKMPERDVVSWNSMISGYVSCGFSEQALELFLDMQKNGVRPSGFTFSILISSVECVFVGKQIHCSMLRNGVDFSNVVVGNSLIDMYGKVGVVEYALSVFWSMKEVDVMSWNSLISACCKSGYEELAIDVFCWMRYQGYASDEFTVSRVISACSGSRNLEKEWRTRCIYLKNLVFGIQLFAIP >CDP10950 pep chromosome:AUK_PRJEB4211_v1:10:21642405:21647524:-1 gene:GSCOC_T00031929001 transcript:CDP10950 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKWYLDIFSPSLQLQTMLQSNQSVASLTKLRIMKRKTSSQPASSTPPSSPHQSPHFPVNLNSIFASKKPKNILKNSPAITTKASGEWVPLSIGKSELYLPLTFPTGQTFRWKETGPLQYTGVIGPHLVSLKQLENGDVGYHFHHTECEESARLALFDFLNLGISLSEIWEEFKVSDLRFCELASYLEGARVLRQDPLECLIQFICSSNNNIGRITKMVNFLSSLGKYLGNVGGYDFHEFPEINRLAMVSETELREAGFGYRAKYIIGTVEALQSKPSGGLQWLAALRKLNLEEAVDALASLPGVGPKVAACIALFSLDQHHAIPVDTHVWQIATQYLIPELSGTRFTPKLCSRVADAFVCKYGKYAGWAQTLLFIAELPSQKAILPSRFCDAEKVKPAKSKERKKGAHNARSRSNVATARQE >CDP12014 pep chromosome:AUK_PRJEB4211_v1:10:22650862:22657849:1 gene:GSCOC_T00035366001 transcript:CDP12014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVVPVSKVPSRNYGCINYCISEYCTYRLQPLQFQRKYLQQSLHGKLNFTKRLLNGPLICCDKLRPVAALESNAPDPIQQGSENLRGGKNFKEWNSLSAKFAGASNIPFLLLQLPQIILNARNLLAGNKTALFAVPWLGMFTGLLGNLSLLSYFIKKRETEVVVVQTLGVVSIYIVITQLAMAGAMPLPHYIVTSTVVFSGLILNFMNYFYLLNPGIWRLWEDFITIAGLSALPQVMWSTFLPYVPNTILPGLLAFVIAVMTVLMARMGKLSDRGIKFVGSISGWTATLLFMWMPVAQAWTNLRNPENIRGLSSVSMLLAMVGNGLMIPRALFIRDLMWFTGSSWASVFYGWGNLLCLYYFQCISREFFGAATIGLVAWIGMALWKDTQVYGYTSPLTSLKELVFGH >CDP07490 pep chromosome:AUK_PRJEB4211_v1:10:1984220:1987040:-1 gene:GSCOC_T00024765001 transcript:CDP07490 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHFGPFFLIFLFLIHNRRTSSEVSAQDEFIRTEGVQFLEEGSPFYANGFNAYWLMIFGSDPSKQNKVSTAFEEAVSHGLTVARTWAFNDGGDFPLQFSPGNYNEKMFQGLDLVISEARKYGIRLILSLVNNYDNFGGKKQYVDWARSKGENLNSDDDFFTNAVVKGYYKDHIKAVLTRQNSITGVFYKDDPIIMAWELMNEPRCTTDASGKTIQAWISEMGSYLKSIDRNHLLGAGLEGFYGPSNAQKQHLNPNFQAGTDFIASNQIKDIDFATVHSYPDAWLAGQSEEAQLSFLINWLQSHIQDAEKILQKPLLFTEFGKSSKDPGFNMNQRDLLFNTVYSTIYSSASGGGAAAGGLFWQFLTEGMDPLRDGYEVILSESSSTASIIVQQSRRLNKIRRMYSRNSNVLPKKEARNYDGDNLGP >CDP01296 pep chromosome:AUK_PRJEB4211_v1:10:3740226:3741925:-1 gene:GSCOC_T00034891001 transcript:CDP01296 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIFTNIQHLILSLILASLIVSTQGKNAEPLEVIIGGSSNQLSEAPVAEPVEGSLEPLIFADLRLAVVYPIILKFKKIINSDPLGITKSWVGADICNYTGFYCTSPPDNCSAIALASIDFNGFRLSAPTLDGFLDQLPDLALFHANSNNFSGTVSADISKLPYLYELDISNNQFSGPFPTAVLGMNSLSFLDIRFNFFTGSVPPQLFTQAFDAIFLNNNNFMQRLPENIGNTRAFYLTLANNKFFGPIPRGLFRAMASLTEVLLLDNLLTGCLPYELGFLKEAVVFDAGNNQLTGPIPFSLGCLKKVEVLNFAGNYLYGMVPEVVCALGNLANLSLSDNYFTTVGPICWNLIKKGVLDVRKNCIAGLPFQRSVWECAHFFARPRYCPYWAAFTYIPCWLPHFKSPPLAASESAPSPS >CDP00980 pep chromosome:AUK_PRJEB4211_v1:10:6994320:6999179:1 gene:GSCOC_T00034457001 transcript:CDP00980 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQLTPSKRPNETEANGRGKWRKSGNFSSPNSSVNGTMLRVLCPSNKIGSVIGKGGSIISQLREEAGAKIRVEEPVPGWDERVIVIASPDKGKENGGATAEEQQHQGSKEEDNDENGESDRKDDGGEENKNDDEKEASPAVEEVRGGGGEEDKETASAVQKALFLVVERMFEGDSEEKDGAGEDEGDKDTSFVVRLLVFSSQIGGLLGKAGSVIKQMALESGAQIRILPRDKLPPPASPSDELVQISGVPDAVRKALTSVSQQLLGNPPRDQRSQSSGPSSHSLGRPYRQEPFVQSNHAFHGQGMPYSAGYRDAEGSFPDRMYPSQDILAFRLWCPHDKIGGVIGKGGTVVRAIQNETGCEIKVLDAAADSEDRIIVISGPAHPDERISAPQDAVLRVQSRIFRSSSESQEKNVTAKLLVSSNQIGCLLGKGGSIIAEMRKSTGAYIRILGKDQNPQGALENEEVVQVNGELETVHEALLQITSRLREHFFRDAFPSMNYPSNPAFLDQVPPFPPYLGRGEHSPPGMYPNLGPSFRKFDSVGGLPPPGGFHPLDERPPFMQNFHRPGFPPHMSERFPPSAAWGPQGPIEAGGPMGLPDYAGPPPRRIGGFGGGNHAAIITSTTVEVVVPRSVVPAIYGEDGGCLRQIRQISDAKITITDSKPGATETVIIISGTPEQTNAAQSLIQAFVISETDAT >CDP07457 pep chromosome:AUK_PRJEB4211_v1:10:1723172:1723945:-1 gene:GSCOC_T00024726001 transcript:CDP07457 gene_biotype:protein_coding transcript_biotype:protein_coding MDADRSGNGNGRPGKKPAQASSRKGCMRGKGGPENASCAYKGVRQRTWGKWVAEIREPNRGSRLWLGTFDTSHEAAIAYDAAARKLYGTAAKLNLPHLYRRPQHIPVYSTLNTTTPIDTGGENQTGLRPVPFQQSPFRNFASSSNSSYPPQCQPNERLFFQTETNATTTGYLAGTTTAGVTSVPNDNNNFVDDNGNEAVESEEGTMRGMWNKLNANLPAIDDSSIWAEAQAASPFQAAVTDPGIFGGNFEDSNYPWN >CDP00883 pep chromosome:AUK_PRJEB4211_v1:10:8402612:8403400:-1 gene:GSCOC_T00034321001 transcript:CDP00883 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNCLLERWRGQTSCLHTRWDLDSRIGANDERYGAALSVMAAKLSYYIYNYQQTENKLLPRFLFLFLFSIPNFSLLFYSDYEEFYTTQAIMFQDKKVDPDFIVVAFRVTSPFDADDWLTGLHELEWLLDRMEGVYSFEKGGLRHFSGL >CDP12016 pep chromosome:AUK_PRJEB4211_v1:10:22637594:22641412:-1 gene:GSCOC_T00035369001 transcript:CDP12016 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQQLTLILRRPLPFPLARISTDKMALRYSLAAMEKHKPYVVMLIIQFIYTGMALFSKAAIAEGMKPPVFVAYRQALATLALAPFAFFFERKGSHRLTLNALCKIFFVSLCGVTLSLNLYFVGMDYTSATFATAMTNNIPVMVFVMVVLLRIESLSITQWHGMAKVSGAVLGLSGAMVVTFYKGPALYSQHGKEISDHSSGTSTREEWIKGSLLLLGSNLTWAIWLVMQAPILKQYPAKLRLTTLQCCFSCVISTVYGAAVERNISSWKLGWDLNLLSVAYCGIVVTGLSYWLQVWVVDKKGPVFTAIFSPLALILTAISSAMIFKETLHCGRFAPCHIYPTN >CDP07368 pep chromosome:AUK_PRJEB4211_v1:10:1014174:1016978:-1 gene:GSCOC_T00024609001 transcript:CDP07368 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLIMKRSSNFTRSRLLSVALFYYCCLFLLMFSDTGEARVKLPKNATVTAIFAFGDSIVDQGNNNNLSTLVKCNFHPYGKDFAGGVPTGRFCNAKTPPDIIAEELGVKDIVPAYLDPHLQPNDLQTGVSFASGGSGYDPQTPQLVSVISLQQQLEYFKEYIGKLKGLVGGEKASYILANAMFLIVAGSDDIANTYFTFGIRKAQYDVNSYADLVVSSASSFIQDLHKLGASKIAVFSVPPIGCVPSQRTLAGGSLRVCASSQNQAAQLVNGKLSAEISSLSRNYPQGKIVYVEVFQPLLDIIQNPRNYGFEVVDYGCCGTGQVEVAILCNEYTKTCEDDSKYLFWDSYHPTEQGYRMLVKQILKNYLNDFF >CDP10748 pep chromosome:AUK_PRJEB4211_v1:10:9435535:9437877:-1 gene:GSCOC_T00031560001 transcript:CDP10748 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVEHGQEKEQSQQPIRLLTPYKLGNFQLFHRFVLAPMTRQRSYGNLPQPHAVLYYSQRTTKGGLLITEATGVSDTSLIGFPFAPGIWTKEQVEAWKPIVDAVHAKGGIIFCQIAHVGRVSGSQPDGQAPISSTDKPLTPEGFDAPKYSPPRRLKTEEIPGIVNDFRLAAINAIEAGFDGVEIHGAHGFLIDQFLKDQINDRTDEYGGSLENRCRFALEIVEAVSDAIGSHRVGLRLSPFANYYEAGDSNPKALGLYIAEALNKPKILYCHMVEPRMKTLGEKSESADSLMPMRKAFNGTFIAAGGYNREDGDRAVAENQADLVAYGRLFLANPDLPKRFELNASLNKYHRDTFYTSDPVVGYTDYPFLETND >CDP01065 pep chromosome:AUK_PRJEB4211_v1:10:6024615:6029956:1 gene:GSCOC_T00034564001 transcript:CDP01065 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKVLGAGLTLLFLFGRVTASDTIRVPSASSFCPLISIKDSILGSQDHADACPVDGIEPLHITGVIEGDEASLQRALNMIHGNKHDYVILLFYASWCPFSGTFRPTFSILASLFPSLPHFAIEESAVKPSTLSKYGVHGFPTIFLLNSTLRVRYHGSRTRDSLITFYEDVTGIKTASLSGLSLEKIECSSDHQKHNSSDPESCPFPWARSPENLLRQETYLALATAFVLMRLLYVFFPALHRSGQLAWRRYISNTRLRNLWEHPLVCLNRAKQLLNSLKEPCKKSNLQEGAMNAKAWASKSLASVSFGDSSTSRVVPVS >CDP07337 pep chromosome:AUK_PRJEB4211_v1:10:846765:848582:1 gene:GSCOC_T00024573001 transcript:CDP07337 gene_biotype:protein_coding transcript_biotype:protein_coding METLVNSATVAAIVSSSTSPPSLPIFSSKPKDSSSPSSSSSSSSSRRLLRFSTSPKNNGNQRDIQSDSNDSSTSLVPMLRNHTLSKDAAMGLVLSAANVRGWTTGSGMEGPSVPAGAGSDSESGTERISTFPWSLFTKSPRRRMRVAFTCSVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHDMKCYVNPSFNPNVNADLGFKYFGFNDDDDDNNNNNNNNNEDFPLF >CDP10676 pep chromosome:AUK_PRJEB4211_v1:10:10672342:10674870:-1 gene:GSCOC_T00031464001 transcript:CDP10676 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIVALCLVLTTLATAGVWSPTPEKVNNDKEDVILKEGHRTVVVEFEKDDGNTKVSISPQEAVHEGFVHKPSSSRVDEKGPHDSSIKGKVSDSVENVKENLKDEQEEAGDPHKATPRELVCDALGRCKHKIASAIGKTKEMVSENAHEAADKVYEGNLSKLVEATKGKVKEAEEKVEGISKEGEEVIERVKEKGKKGLKGILRRGREVVYGFFGYVFSRESLAFGMRILQLLGLAGAYGMSIWVTFISSYVLARALPRQQFAILQSKIYPVYFQAMAYSVGLVLVGHLLSRRKRVSSSAGDTLQGFNLLASLLMLSINLKYLEPLATKVMFERMKLEKEEGRGVESSKEEQSDGVVDSITEPSSVKASSTATKTCPSSSTPHESPEAAASQCEIVRLSEALRKLNTISSFLNVLTLMALTWHLVHLGQLLSRI >CDP00938 pep chromosome:AUK_PRJEB4211_v1:10:7672130:7690302:1 gene:GSCOC_T00034395001 transcript:CDP00938 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSSLPSRLRQLLSGDGAIGPSAKLDSEPPAKVKAFIDKVIQSPLQDIAIPLSGFRWEYGKGNFNHWRPLFLHFDTYLKTYISCRNDLLLSDNILDVSPFPKQVVLQILRVMQIILENCHNKSSFSGLEHFRLLLASTDPEILIATLETLSALVKINPSKLHASGKLVGCGSVNSCLLSLAQGWGSKEEGLGLYSCVTVNERTQEGGLCLFPSDVENDTGKAQYHLGSTLYYELHGTSSQSTEGVSESSVSSGMSVIHLPELHLRKEDDLSLMKLCIDQYDVPPEHRFSLLTRIRYARAFRSPRICRLYSKICLLSFIVLVQSSDSHDELVSFFANEPEYTNELIRIVRSEETISGAIRTLAMNALGAQLAAHSSSHERARILSGSSISFAGGNRMILLNVLQRAILSLNNSNDPLCVAFVEALVQFYLLHVISSSSSGSVIRGSGMVPAFLPLLEDSDPTHLHLVCLAVKTLQKLLDYSNAAVTLFKDLGGVELLAHRLEIEVHRVIDLAGVDVSSMAVGECSRNTNDQIYSQKRLIRVLLKALSSATYALANSTRSQNAYDGSLPATLSLIFGNVEKFGGDIYYSAVTVMSEIIHKDPTCFPALYELGLPNAFLSSVVAGILPSSKALTCVPNGLGAICLNAKGLEAVRETSALRFLVDIFTDKKYVIAMNEGIVPLANAVEELLRHVSSLRGTGVDLIIEIINRIAVLGDAKPVDSLGKSNESTAMEMDSEDKENMGPCSLVDVTGSTSEGLSDEQFIQLSIFHVMVLVHRTMENSETCRLFVEKSGIEALLKLLLRPSVAQSSEGMSIALHSTMVFKSFTQHHSTPLARAFCSSLKDNLKKALTGFTGVSGSFLLDPRVIPDSGIFSSLFIVEFLLFLAASKDNRWVTALLTEFGSESKEVLEDIGRIHREVLWQIALLEDSKIDVEDDATGSADESRQSELDMIDSEEQRFNSFRQFLDPLLRRRMSGWSVESQFFDLINLYRDLTRTSGLQQRQTVDGLSNIQPGVGHQSHQSASANVAESSGKKDEDRQRTYYRSCCDMARSLSIHITHLFQELGKVMLLPSRRRDDMLNVSSPSKSVGSTFASIASDHVNFGGHVNHSGSDASVSTKCRYFGKVVDFIDGILLDKPDLCNPVILNCLYGRGVIQSILTTFDATSQLLYDVNRAPASPMETDEGALRQDRMEEVDHSWIYGPLACFGRLMDHLVTSSFILSPFTKHLLTQPLVNGDKPFPRDAETFVKVLQSMVLKAVLPVWIHPQFTECNYDFITTLINIIRHIYSGVEVKNIASNATRISGPPPNESTIATIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEETQEDDELARALAMSLGNSGSESKEDSADESSQSIVEEMVQLPPVDDLLLACRRLLQMKETLAFPVRGLLVMICSQNDGHHRSHVISFIIEQVKLCGNISDSGSSTMLSSLFHVLALILNEDAAAREVAAKHALVKVASDLLSQWNSGSYDQVASQVPKWVTAAFVAIDRLAQVEQKSNLDVSELLKKEEVGSQTSIVIDDDRQNKLQTTLGSSPKHLDIQEQKRLVEIACGCIKRQLPSETMHAVLQLCSTLTRTHSIAVSFLDAGGLQSLLSLPTSSLFVGFDNIAATIIRHVLEDPQTLQQAMESEIRHSIATAANRQASGRLTARNFLLNLSSVIQRDPVIFMKAAQSVCQIEMVGERPYIVLLKDRDKDKTKERDKEKEKPEEKDKLQNSDGKASLGHMNSQSPGSGQGKLFDTSSKNVKLHRKPPHSFVNVIELLLDSVITFDPPVKEESLTKDNSSSQDMDIDISGSKGKGKAIVSASDENESNEQESAASMARIVFILKLLTEILLMYASSIHVLLRKDSEVSSCRVTSERGSSAGVFHHILHKFLPHLKTLRKEKKTDGDWRHKLASRANQFLVASCVRSTEARKRIFVEISYVFNDFSHSAKGFRAPDVDIQAFIDLLNDVLAARTPTGSYISAEASVTFVDVGLVRSLTRVLHVLDLDHADSAKLVTGLVKVLELVTKEHVNAADSNAGRGEQLGKPSAQIESREMEIAGDTSQSQETMSQANANAVNVDNVESFTVIENYGGSEAVTDDMEHDQDMDGGFAAPEEDYMHETPEDTRGVENGLDSVAVRFEIQPDVQENLDDDEEDEEEDEDEDDEMSGDEGDEVDEDGDDEEQNILEEDEVHHLPHHDTDQDDHEIDEDEFDEEVMEEEEEDDEDDEDGVILRLGGGMNGINVFDHIEVFGRESSFSSETLHVMPVEVFGSRRQGRTTSIYNLLGRSGDSIVPSQHPLLVEPSSSPAASLGQPENARDAYTDRNLDGTSSRLDSIFRSLRNGRHGHRFNLWASDNQQSGGSSTSAIPQGLEDLLVSQLRRATPERNSDHNTSVSSQNKEEASHSPGSAGIMTGPSVADGANSDGGNLPPTSSTAIDTSRVTDTVPAANETTQEADVSSRQPQSVEMQFEQSDAVVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDEGGDRQGAGDRTRRTSVSFVNAAPLNVRDPPLHSVTEVSENPSQEAEQGDAAEEQRNADADSGSIDPAFLDALPEELRAEVLSAQQGQAAQPQNPDPQNAGDIDPEFLAALPPDIREEVLAQQRAQRLHQAQELEGQPVEMDTVSIIATFPSELREEVLLTSSDAILANLTPALVAEANMLRERFARRYNRTLFGMYPRNRRGESSRRGEVLDRASGILPRRSMGNKPVEAEGSPLVDTEDLKAMIRLLRIVQPLYKGQLQRLLLNLSAHAETRSALVKILVDLLMLDIKKPASCVNAAEPLYRLYACQSHVTYSRPQYVDGVPPLVSRRVLETLTYLARNHPLVAKILLESSLPEPGSKVSGTSEQKGKAIMIVEEDELQKQQEGVVSLALLLSLLKQPLYLRSIAHLEQLLNLLDVVIDNAETKSNSSDEPGSSVPGQQSDPHTSTSDAEMNASSGATSAVNDSLKASSSGAKREGDSVHVLLNLPQAELRLLCSLLAREGLSDNAYTLVAEVLKKLVAIAPVHCHLFITELASSVQSLIKSAMHELHIFGEVEKALLSTSSSDGAAILRVLQALSSLVAALNQKDSQIPSEKHSKTVSLVREINAALEPLWLELSICISKMESYSDSAPDLLRSSILSTSKPSGMMPPLPAGSQNILPYIESFFVMCEKLHPEEPGSGHDFSLATVSDVEEAAAFASQQKASGPLAKADEKQMAFVKFSDKHRKLLNSFIRQNPGLLEKSFSLMLKVPRIIDFDNKRAHFRSKIKHHHDHHHSPLRISVRRAYILEDSYNQLRMRTAQELKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWLLENDISDIIDLTFSIDADEEKLILYERTEVTDYELIPGGRNIRVTEENKHQYVDLVAEHRLNTAIRPQINAFLEGFNELIPRDLISIFHDKELELLISGLPDIDLDDLRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEANEGFGFG >CDP20306 pep chromosome:AUK_PRJEB4211_v1:10:24498432:24503216:1 gene:GSCOC_T00002161001 transcript:CDP20306 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTGWFADNGERLGTYRGHNGAVWCCDVSRDSSLLLTGSADQTAKLWNVKTGTQLYTFNFDSPTRSVDFGVGDKLAVITTDPFMGLPSAIQVKRIARDPADQSGESILVLKGPQGRINRAVWGPLNKTIISGGEDSVIRIWDSETGKLLKESDKEAGHKKGITSLSKSNDATHFISGSLDKSAKLWDMRTLTLLKTYTTERPVNAVAMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKVLQEEIGGVKGHFGPINALAFNPDGKSFASGGEDGYVRLHHFDPDYFNIKI >CDP01152 pep chromosome:AUK_PRJEB4211_v1:10:5079232:5082428:1 gene:GSCOC_T00034686001 transcript:CDP01152 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFILTLFVVLRVLPGQASVHRYSFIIEEAPYTRLCSTKNILTVNGQFPGPSLHIRQGDTAIVHVHNKGNQNITIHWHGVKLPRNPWSDGPVYITQCPIMPGKSFIQNIQVTDEIGTLWWHAHSEWSRATVHGAFIVYPKRGENYPFPQPRAENTIILGEWWKSDIQAVLTQFQHSGGDPIVSDALLINGQPGDLYPCSEQDTFKLEAEYGKTYLLRMINAAMNNILFFSIAKHQITVVGSDGSYTKPFKSDYIAISPGQTIDFLLEANQAPDHYYMAAKVYNSALPVPFDHSTTTGIIEYSGNYTPSSPLSFPRLPLLNDTKASVNFTGGLRSLASKVDVPLNLDTKLFLTISVNLRPCETNNTCTGPAGHRFAASINNISFVQPQINILQAYYGGLRGVYEEQFPSFPPLKFNFTAQPFPFKLTIPSLGTKVKVLEYNSNVEIVLQATSLVWSPDHPMHLHGHSFYVVGSGIGNFDEKKDPLNYNLVDPPLRNTIAVPRNGWTTIRFKANNPGVWLMHCHLERHATWGMEMVFIVKNGKSPQEQLLPPPSDMPPC >CDP01378 pep chromosome:AUK_PRJEB4211_v1:10:3059702:3061970:1 gene:GSCOC_T00034992001 transcript:CDP01378 gene_biotype:protein_coding transcript_biotype:protein_coding MEADNIDSLPGQPEEVDLNQYSSYVTPLVLWLNGGPGCCSLGIGAMQELGPFRLVLASRVLIKSLVPGDKITASDSYAFLVNWFERFPQYKNHEFYITRESYDGHHGPQLAHTILAMNRKSPKTFINLKGIAVNEHSVHSIYNDKGEVRLLMDPCFELGRNPHAKIDNRTGNYSPACIACMEQARVERGEIGLFNIAAPICRSSEPSKPSDSVSQVGGYVIGHRGLVLLTVRDAEHEVPSHQPERSLTMIPSRETSSSQKKKFLLNFDSTAHSTANPTAVEIYKATC >CDP11448 pep chromosome:AUK_PRJEB4211_v1:10:26306569:26307931:-1 gene:GSCOC_T00033708001 transcript:CDP11448 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVSTLYSSFTNIRFKITQLPNMEKQNMSLKYELCLDCSALDGSVLDGGKPVCECNACHTGPDCSQLVPDCVWKPMFLEPFWKQNAANSAIMVAGWLQMGYEFEDGSLTSNELEKVIRKLHATVGNAVTDGGYIVFGAGLTQLLNAAVHALSSDGSSSPAMVTAMLRGSNAKQIHDLAYYWPHYTAIPSPMDEDLMIFTFSKLTDHAGSRFWWAIVKDRVVYERMVNYVDLNTYGALEKLN >CDP07421 pep chromosome:AUK_PRJEB4211_v1:10:1425672:1426934:-1 gene:GSCOC_T00024684001 transcript:CDP07421 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTARFKRASLCSSAVNVLMLFSVITTNLFALYAFTYSPKDPLTTHSIHHTQKNISLISEQVSLILREIESSQKKLAQMEKELLGYESIDLSRPNTASELKVFLQHHLLPLGKDSRTGITEMVASVGHSCEKSVDLLSQYMNYKVSGPCPDDWSLGQKLILRGCEPLPRRRCFAKTIPKVGLQPFPISLWKDVSEKVYSWSGFTCKNLACLNSKKLNRDCAGCFDIVNGYETQKYIKARGKNDFLIDDVLALGSGGIRIGFDIGGGSGTFAARMAERNVTLVTATLNIDAPFNEFIAARGLFPVFLSLDHRFPFYDNVFDLIHAANKLDVGGRPEKLEFLMFDIDRILRAGGLLWLDNFYCSNDDKKRALTRIIEQFGYKKLKWVVGEKINGSGKAEVYLSAVLQKPVRVQ >CDP01218 pep chromosome:AUK_PRJEB4211_v1:10:4416835:4422631:-1 gene:GSCOC_T00034790001 transcript:CDP01218 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARVYADINVHRPQDYWNYEALTVQWGDQDDYEVVRKVGRGKYSEVFEGINVTNNERCIIKILKPVKKKKIKREIQILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDICYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHDLRKLRLIDWGLAEFYHPGKEYNIRVASRYFKGPELLVDLQDYDYSLDIWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLTKYHLELDPQFDTLIGRHSRKPWSRFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAKEAMAHPYFFQVRAAENSRMRTQ >CDP07440 pep chromosome:AUK_PRJEB4211_v1:10:1570507:1573793:1 gene:GSCOC_T00024707001 transcript:CDP07440 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGSRSEKVKRIFQQFDANRDGGLNREEMAALVVAVNPRVKFSDEQINAILDEVFRTYGEFIDGEKGLTYDGLLRTYDDGAGDVDRDFDALGLELKPEAAAAGISDEAASTSSIADERVIEPHKKQRTAAWAASPNHGIVFDDTWKLVDDLDILIKRLKSKQAKDGKIKNDNSDMYSDAGWSRELGPSTEISDKRFLWEESGHDYVVFVKELGVLRSRADGARSREEAFDGHMAIGRVLYDYHLYNEALVSFKRASELQPTDVRPHFRAGNCLYVLGRHGEAKGEFLQALEAAEAGGNQWAYLLPQIHVNLGIALEGEGMVLGACEHYREAAILCPTHFRALKLLGSALFGVGEYKAAVKALEEAIYMKNDYADAHCDLASALHAMGDDDNAIKEFQKAIDLKPGHVDALYNLGGLYMDMGRYPRASEMYTRVLAVWPNHWKAQLNKAVSLLGAGETEEAKKALKEALKMTNRVELHDAVAHLKQLQKKRLKGNGSGTGEEVYITVEPSKFKTVGERTTLRPELANSLDIRAFQKITRLNRCDVELIKKRMNDNDVPVSYSGGGVPEKSIRKAALEEILRKLLSFLKPDTFVGAVKAINQKILSVLDESESGRVDLGLFFAVAAPLCGGPAERRKRVAYDALLWRPVNEGSTQIRKSDAQRYIKLLRAIYIPSQGVSEMLEIHGEMDTSPVSLTEFLAMFDDQDWGFGVMSTLLKLEIGDRNRHGRHICATCRYPIIGSRFKEMKSHFSLCSQCYSEGKVPPACKQEEYRFKEYVSEAEAVKDKCLWFNWHSKSSPPAVPS >CDP00979 pep chromosome:AUK_PRJEB4211_v1:10:6999398:7005297:-1 gene:GSCOC_T00034456001 transcript:CDP00979 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEFQNNGMYLEQNPEAPENGDLKNFDDDGRPKRTGTVITASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVLMAFSFITFFTSTMLADSYRSPGPITGRRNYTYMDVVRSHLGGYKVQLCGIAQYGNLIGVTIGYTITASISMVAVKRSNCFHRNGHHVKCHISNNPFMIIFAAIQIFLSQIPNFHKLSWLSILAAVMSFAYSSIGLGLSIAKVAGDGIAKTTLTGVTVGVDVSGTEKVWRSFQAIGDIAFAYAYSTVLIEIQDTLRSHPPESKVMKWASGVGVSTTTLFYVLCGCTGYAAFGNNAPGNFLTGFGFYEPFWLIDFANVCIAIHLIGAYQVFAQPIFGFVENRCSSIWPENKFINTEHAVNVPLYGTYYINLFRLVWRTVYVILTAVIAMIFPFFNDFLGLIGAGSFYPLTVYFPIEMHIAQAKIPKYSVRWIWLKVLSWACLVVSLVAAAGSIQGLSQDVKTYKPFKTQQ >CDP11957 pep chromosome:AUK_PRJEB4211_v1:10:23806149:23806715:1 gene:GSCOC_T00035279001 transcript:CDP11957 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWFQIACILPAKLDVLALEILSIALPVVLALLADPITSIVDTAFVGHLGSVELAAVGVSVSFHSDHGIVAAIKLVSLRK >CDP00922 pep chromosome:AUK_PRJEB4211_v1:10:7878317:7880779:1 gene:GSCOC_T00034375001 transcript:CDP00922 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSGGRRSGGRSSLFSSRPAAATTSRKSSAPAPVASAPPPAPMQAGSPMGGGIGAAVAEGLAFGGGSAIAHRAVDAVAGPRTIRHETVASPASAPAPDSATMATGSSLGVSDACGIHMKAFQDCLNTYGSDISKCQFYMDMLSDCRKNSGAGLTA >CDP07615 pep chromosome:AUK_PRJEB4211_v1:10:2931042:2933063:-1 gene:GSCOC_T00024925001 transcript:CDP07615 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPKHHIFCWNRPWDITPHQNPKSSTGCQFDTPWLFKSFRNLGFMAFDFVNSISQSPNQLLKIPVQLQAGIKEKGIRKKKILTWQEQGEAEQRALAAALAAGKEATVIEFYSPKCRLCSSLLNFVQEVENRNSDWLNIVMADAENDKWFPELLYYDIKYVPCFVLLDKHGRALAKTGVPSSRLHVVAGVSHLLKMKRPSN >CDP00911 pep chromosome:AUK_PRJEB4211_v1:10:7996440:7998762:1 gene:GSCOC_T00034362001 transcript:CDP00911 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDSSILSGVLISTRTRYDVWKDPQEEALSGARGMFCILPLTQTFINSASHAINLTATSALQLLEKPDLFTPEALKLKLNGQVQRIISCMKKPQFDLPILRRSSTFSSNPQGERNELHNA >CDP00965 pep chromosome:AUK_PRJEB4211_v1:10:7236305:7239331:1 gene:GSCOC_T00034436001 transcript:CDP00965 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLSPYHDRPYQNGGNPFSVESASHKVLYNGKASSTLPSFGLGILKFFAGGLFPKNSRKSVNSWKKWIYRCSMFFSLGFLLGMAPFGGVEDVRSRDFSFDESFVAKPVEIVKEENVKNLRFDFVPRKQLIVVTPTYNRALQAYYLNRLGQLLRLVPPPLLWIVVEMNAASLETADIVRKTGVMYRHLVTKKNLTDIKDRGVHQRNTALEHIERHKLDGIVYFADDDNIYSLELFESLRAISRFGTWPVAMLAQSKNKAIMEGPVCNGSRVIGWHTNEKSKRLRRFHVDMSGFAFNSAILWDPKRWKRPTSDPIRQLDTVKEGFQETTFIEQVVEDESQMEGIPPGCSRIMNWHLHLEARGVSYPRGWLLQKNLDVVISNSS >CDP01025 pep chromosome:AUK_PRJEB4211_v1:10:6432254:6437870:1 gene:GSCOC_T00034513001 transcript:CDP01025 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTKTATSNGIEISVFPEAEKEKINEVRRSIGPLSGKLALFCSDACIARYLRARNWNVKKAVKMLKASLKWRREYKPEEIRWEDVAHEAETGKIYRSNYKDKLGRTVLVMRPRCQNSKSIKGQIKYLVYCMENAIVNLPENQEQMVWLIDFHGFNMSHISVKVTKETAHVLQEHYPERLGMAVLYDAPKIFEPFWKAMKPFLEPKTANKVKFVYSDDSNAKKILEELFDMDQLEPAFGGKQGEDFDISKYSERMKEDDKKVPLFWKTEGDPAIASLPVPALDASTLESDSDTSDGKADGSSHEADTDDFPTDNPLPATKDHGNGENDTE >CDP11983 pep chromosome:AUK_PRJEB4211_v1:10:23010772:23015543:-1 gene:GSCOC_T00035324001 transcript:CDP11983 gene_biotype:protein_coding transcript_biotype:protein_coding MIGENSLNLCFEKLMVFGGGNCNNGKMGGAAGTEITEWKDIPVELLLGIVSLVDDDRIMILASGVCSGWKDAISCGLIHLNLSWCKKNMNNLVLSLAPKFTKLQVLNLRQDKPQLLDDAVEMISKNCHDLQDLDLSKSFKLTDYSLYVLAHGCPILTRLNISGCSAFSDNALAYLARYCRKLEVLNLCGCVRAATDTALKAIGHHCNQLQKVNLGWCEKVGDAGVTSLAYGCPNLRELDLCGCILITDESVIALATNCPHLRSLDLYYCRNITDKAMYSLAQSGVKNKREMWESVKNRYGEGLVRLNISQCTALTPPAVQAVCDLFPALHTCPLQHSLIISGCLNLTSVHCACAVQAHRPLAATPHPAL >CDP10653 pep chromosome:AUK_PRJEB4211_v1:10:11311141:11312735:-1 gene:GSCOC_T00031434001 transcript:CDP10653 gene_biotype:protein_coding transcript_biotype:protein_coding MKTITKNSKQSNVRKFVLLNKEYAIFLSYYTLFCWPYRIFLIALKHFHPEFHANVRSQTVLLSLWDDFLNNEGQILLNNMQSYPVIIGRRLKVNNYNGVSLSTWFDSALLVDPPIQEARQLKNWAMRNAKSIAEIINAKSYIKYNPALSLKRDQKTTLICNVTSSQKTAWVKAKLSFEHIFQKYCYMSCVKCYRATAADYGIEFTCNSCKEKGPAMPRCRFDVDLTDDSGVIPASIFGDPAETILTFTGLEAMDQFNQNLELPLEFVHAQLKTKTFLVHIKLVQTQLADARQRYTILYCAELKPKMGRAQLTHEPESVSLHLISRLKMSSC >CDP11929 pep chromosome:AUK_PRJEB4211_v1:10:24300275:24301863:-1 gene:GSCOC_T00035238001 transcript:CDP11929 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSWTPYTSVSAYFLPMQTGSSPSISQSLSTLVSQGILYIIFESFEQPTRVQKSCKIDVLTLIGLTPSNVSMQPPTYVSYGSLIYTPP >CDP01085 pep chromosome:AUK_PRJEB4211_v1:10:5807019:5808820:-1 gene:GSCOC_T00034596001 transcript:CDP01085 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAPLEKKPHAVCVPAAAQGHIGPMLNLAKLLHHNGFHITFVNTEFNHRRLLKSRAIPDGLPPSDVDATQDVPTLCESMDRTCLGPFRELLAELNDTSSSKVPPVSCIVSDAVTAFTLAAAEELSIPEVLFWTASACSYLGYFQYAKLMEKGIIPLKDASYLTNGYLDTVLDWIPELEGIRLKDLPSFLRTTNPDDFMVKFVLQETQRARKASAIIINTYQQLEHGVLDALSSYLPPIYTIGPLHFLDNHVHDKSLTDIQSNLWKEEPECLEWLDSKDPNSVVYVNFGSIAVMTPEQLVEFAWAPDLLIANETFCWCPQEKVLSHPAVGGFLTHSGWNSTIESISYGVPMICWPFFADQQTNCWFCCTKWGIGMEIDNNVKRDDIESLVSELMAGEKGKEMKKKAMDRKKLAEMAVTDSNSNLENLIQFHNRKY >CDP01128 pep chromosome:AUK_PRJEB4211_v1:10:5310686:5314432:-1 gene:GSCOC_T00034649001 transcript:CDP01128 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVHFLTSKASFFFTFCFCFSFIVSPRTLVSSLSPDGQALVSLLSASDPFTKSRSSVLSSWNPSSPTPCSWEGITCSPQGRVISVSLPNTFLNLSSLPTGLSSLSSLQLLNLSSTNISGLIPASYGAFSHLRLLDLSSNSLSGPIPPGLGSLTSLQFLFLNSNRLTGRIPPHLANLSSLQVLCLQDNLLNGSIPAQLGSLSSLQQFRVGGNLHLTGEIPPQLGLLTNLTTFGAAATGLSGVIPPTFGNLINLQTLALYDTEVFGPVPPELGSCSELRNLYLHMNKLTGTIPPQLGKLQKVTSLLLWGNSLTGTIPAELSNCSSLVILDVSTNELSGEIAADLGKLMVLEQLHLSDNALTGSIPWQLSNCSSLTALQLDKNQLSGPIPWQVGELKYLQSFFLWGNSVSGTIPASFGNCTELYALDLSRNKLTGIIPEEIFGLKKLSKVLLLGNSLIGGVPRSVARCQSLVRLRLGENQLSGQIPKEIGQLQNLVFLDLYMNHFSGGLPPEIANVTVLELLDVHNNYLTGEIPSQLGELVNLEQLDLSRNSFTGQVPSSFGNLSYLNKLILNNNLLSGPIPKSIRNLQKLTLLDLSYNSLSGLIPPEVGYVTSLTISLDLSSNHFCGELPETLSGLRQLQSLDLSRNMLYGKITILGSLTSLTSLNVSYNNFSGPIPVSPFFRTLTSNSFLENPNLCESDDGFTCSSRLSGRNRLKSAKTIALVAVVLTTVMMALVLTWILVMRNQKYMSRKHSGMLTPSREEDFSYPWNFIPFQKLNFTIDSILECLRDENIIGKGCSGVVYKAEMPNGELIAVKKLWKTKKEEEPLDSFAAEIQILGHIRHRNIVKLLGYCSNKSVKLLLYNYISNGNLQQLLQTNRNLDWETRYKIAVGAAQGLAYLHHDCVPAILHRDVKCNNILLDSKYDAYLADFGLARLMSSPNYQQAMSRIAGSYGYIAPGKSQ >CDP00909 pep chromosome:AUK_PRJEB4211_v1:10:8005710:8006701:1 gene:GSCOC_T00034360001 transcript:CDP00909 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCPEHAMKAYLKTLHLRKNYSDRTSTRGSPEFEEPKIMEFLSALAAGNCAKLIVQITTEGVTPVTLALAVAARQIGGKFVCIIPHDHDDEEDINKSICTHHQLNGCDHLKDVIHIVAGNPCEIIKQFKKIDFMVVDSKVGDHLKLLKTADLNSKGSLMVMTNLFINGQKRESLREILKAKDGVYCKSVTIPVGEGIELTKIVPSNKHGRKRRTRFHVTYEN >CDP01197 pep chromosome:AUK_PRJEB4211_v1:10:4629265:4632557:1 gene:GSCOC_T00034765001 transcript:CDP01197 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEPAPQSPESLATRDFSASGLSSRNGTGGWESKLEDAQVDEVESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIKTLSPRMSKAIAERTRPRKPRQKGESSLVGVMSLHSVSLLLEAVLLKAKSLWELGRIKDAARECKVILDVVESALPNGMPEGIGEDCKLQEMFHKALELLPKLWMQVGCLDEAITGYRRALSKQWNLDPQRLAIVQKDLAATLLYGGFEASLSPCPQLQGQTAPKNNTEEALLLLFILMRKVLHGDISWDPEFMDHLTFALTICGEYECLADHVEQVLPGTYNRAERWYFLALCYSAAGQNQTAIELLKKVSGCSEAKHKPHLSSLMLGAKLCSKDPKEAREGITFACKVIDAAINPNEHLLGQARKFLGICYGNAARICSSDTERVFFQRESLNSLNHAAHIDKEDPEVMLNLGLENAFQRNLVSAFDNAISYSNMLSGSCAKGWKLLALIVSAQQRLQDAESIVDLALDETERIEQLELLRLKAILQIAQEQPKQAIETYRILLALVQSQTELQAPNSSEEVVSIRKLEGEAWLDLARIYSDLETWPDADICIDKTKATKIFNSRGWHTKGTSFEAQEQYKEALVAFSVSLSIEPDYVPSIVSSAGVLIKLGRQNLPIARSLLMTALRLEPTNHDAWFLLGVLSKSEGLKQAAADYFQAAHELKLSAPVQDFA >CDP07263 pep chromosome:AUK_PRJEB4211_v1:10:284328:287972:1 gene:GSCOC_T00024481001 transcript:CDP07263 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEYTGKRNYNTQLLDELEALSHSLDHSHTSTSTTRRTASLALPRTSVPPISSAAADNSSSNDDNKQQLNPKPRSRRMSLSPWRSRPKLNDSAEEDQRKGRSIPNKERFGRLEDQEKAADTSVKKGLWSWKPIRALSHIGMQKLSCLFSVEVVAVQGLPASMNGLRLSVSVRKKETKDGAVQTMPSRVQQGAADFEETLFIRCHVYFTPGSGTHMKFEPRPFVICVFAVDAGELDFGRSSVDLSHLIQDSIEKSFQGTRVKQWDTSFNLSGKAKGGELVLKLGFQIMEKDGGFGIYSQAEGQKSGKNKNSSSSIARKQSKSSFSVPSPKLSSRAEAWSPSQTGARADLQDIDDLNLDEPASAPQASPPSRKSGVPDAKIEDDLPDFEVVDKGVEFQEDNNGNEEEALSEENYEKRSVSREVVKEIVHEKFHLTRLSELDSIAQRIKALESMMKEEKAEKTDEDTESQKLDAEEETVTREFLQMLEDSEGNEIKKLGDHDEIPQSKSEGDEDSEEAELKVYIPDLGKGLGCIVQTRNGGYLAAMNPLDTAVARKDGPKLAMQMSRPVIVPSKATTGFELFQRMAAVGFDELGSGILSLMPMDELKGKTAEQIAFEGIASAIIQGRKKEGASSSAARTLASVKSMATALSTGRQDRISTGIWNLSEDPVVVDEILAFSMQKLEVMAVEALKVQADIGEEEAPFDVSPLSAKLTAEGGSHPLASAIPIEDWIRRKAVGNENGESGSITIAVVVQLRDPLRLYEAVGGPMIALIHSSAFNGAKVKPAGNYDDEEKMFKVGSLQVGGLKGRSGGRKTEWDSEKQRLTAMQWLVAYGLGKSAGKKGKRQASKAKGQDILWSISSRVMADMWLKAIRNPDVKFTK >CDP01363 pep chromosome:AUK_PRJEB4211_v1:10:3179496:3183457:1 gene:GSCOC_T00034973001 transcript:CDP01363 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSGMTTKAVGRCLATRRDVSRPFIRRGYSKTSAGLGNLFQIRGFNSFAGFNPYSPANNRTISGSYQHSNACLGFRSLCNCGHCNGVSLSQRRGFLGCGDGEEGSMLSKVYEERRVLGYSPEQLFAVVAAVDLYEDFLPWCQRSEIVRRNPDGSFDAELEIGFKFLIESYMSHVELTKPRYIKTTASQSALFDHLINIWEFNPGPVPGTCNLYFLVDFKFQSPFYRQMANMFFKEVVSRLVGSFNDRCRLIYGPGVQVLENSYEQRA >CDP11438 pep chromosome:AUK_PRJEB4211_v1:10:26215605:26219098:-1 gene:GSCOC_T00033691001 transcript:CDP11438 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLANHQNPSFELLLLLLISVLALQFASASVEEAEALLKWKASFSNLNSTLLTSWNLQNTRNHPKTSVSPCTWFGVSCIDGSVSTLNLTNSSINGTLYSFPFSSLPNLEKADLSMNELSGSVPPQVSKLSKLSYLDLSYNKFAGTIPPEIGLLTNLQTLHLNENYLNGSIPQEIGQLRSLVELALCTNNIGGPIPASFGNLKNLTYLYLYENQLSGSIPREIGNLNNLVEVDIDNNQLTGPIPASIGNLNNLVLLHLFENGLSGSIPPEIGKLKKLQSLSLWGNKLTGPIPTSLGNLRDLTLLHLYRNQLSGSIPEELGNLKCKNLKTLLIANNSITGHIPPEFGNLPNLGALDLSSNQIAGEIPKELGKLKSIQWLLLNDNQLTGGIPLELGSLTDLFSLDLSVNLLNGSIPGSIGECQQLTFLNLSSNSLSHSIPSQLGKLIHLNLLDLSHNFLVGEIPTEFGSLNSLETLNLSHNNLSGVIPKALAELPGIHYIDLSFNELQGPIPCGKAFANATIEQLKGNKGLSGNITGVRPCDSPQLFKKHEYGQKLALIIALPLVGALMLLSAFAGILFFQEKRKGDPKVKDGEVKGGDVFSISLFDGKEMYENILKVTQDFDPTFCIGKGGHGSVYKANLPAANTVAVKRLHHLSESADQEGFLNEIRALTKIKHRNIVKLQGYCSSAKYSFLVYEYLERGSLAKLLSIDEEAKKLDWQKRIKIIKGIAHALSYMHHDCSPPIVHRDISSNNVLLDSEYEAHVSDFGTAKLLKIDSSNWSAVAGTYGYVAPELAYTMRVTEKCDVYSFGVLTLEVIKGSHPGDFIPHLTSPTSVNIQLKDLLDRRLPYPGQEDEETLVLILKLARACLTVDPQSRPTMHMISGLLSMGAQALPMHLHGDFQLA >CDP07270 pep chromosome:AUK_PRJEB4211_v1:10:334323:338056:1 gene:GSCOC_T00024490001 transcript:CDP07270 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSSLVLSKNPSFHSSPSSSGSSLKTLEQCMGSTVPTNLSFGLRHSRKSSISKGVLTVRATYSDGRSSTGNLFLGGFILGGLVIGTLGCVYAPQISSALAGTDKKDLMRKLPKFIYDEDKALEKQRKKLSEKIAQLNSAIDDVSNQLRSENTTNGADVNSDEVEALI >CDP11405 pep chromosome:AUK_PRJEB4211_v1:10:25824651:25825481:-1 gene:GSCOC_T00033644001 transcript:CDP11405 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKGNAIFLIVFSCLYISNNLYLVCSVYADDKPHDPNSHTQPRTEVRIQGLDYSSGVWQFEGYGFVPNGTSGATIVQIHGASDGATTIILRIYDGDMRYYSSELVDTGLYDRWFRVNLIHNVDGGKVTVFIDGVQKFETDDQGPGDLHFKCEVYAAPRNISYYMESRWRDIKIYKK >CDP01190 pep chromosome:AUK_PRJEB4211_v1:10:4717112:4724096:-1 gene:GSCOC_T00034750001 transcript:CDP01190 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLALRYLLVHLHPTSSTRKIIASSSFPKLSIPLSKKLSSFFSSYSTPFPCLHASHRENTFVLNKDERLVGDGVVGSYEQQQQHFGNSTTIAAIVTSLGGPPAAVGIVRLSGPSSVAVVGRLFRPMSKKKGRTSIWRPKSHFVEYGEVLDLQGNLIDEVLVLPMLAPNSYTREDVIELQCHGSEVCLRRVLRTCVEAGAKLAEPGEFTLRAFLNGRLDLSQAENVEKLISAKSVAAADSALAGIQGGFSAMVRTLRMNCIELLTEIEARLDFEDEMPPLGLKSIASKIHMMLQDVENALETANYDKLLQSGLQIAIIGRPNVGKSSLLNAWSRSERAIVTGIAGTTRDVVEASISVHGIPVTLLDTAGIRETDDIVEKIGVERSEAVATSADVIIMTVSAADGWTMEDTVLLERIQSNKDASGSTSPIILVVNKIDCAPTTSYKWDTTATSFNKLIFTCAVTGQGITDLEAAVLEIVGLNTIPAGGCRWAVNQRQCEQLVRTRDALVRLKSSIEEEIPLDFWTIDLREAALALGQISGEDISEEVLSNIFGKFCIGK >CDP00905 pep chromosome:AUK_PRJEB4211_v1:10:8085307:8093245:1 gene:GSCOC_T00034352001 transcript:CDP00905 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDDFIGYESDLKLIMEWLQNENSGLSIYSAVGLGGSGKTTLVAKAYNSKIVKQHFNCHAWITVSQKYDTRRLLRNMIKEFYKAAAEPVPEDVNSLNYRELIEMLANYLCLTRYLLVLDDVSNIQLWTAINASLPNEGVGSRIMLTSQREDVALSTFGIKSHVCYLNGLARNDAWNLFCKKAFQQFPNGRCPQGLEVLARELAEKCRGLPLALVALVGVMFRLYPFTPMSESTYLHDYLNYSIKKLIAHMKLSTILRRVCTLSHSIYQKNKFLPLAKLFDYLCMLRLVDTLWFALGRSRGVTAETYLIRLVKRNMLHVVKRNAVGRLKRCQMHDLMRDIALSTSRIQKFCGIYDAQEASNDSGVRRLQLFHSKISPDSLPLLLRTSKFFRVLELQAAPIEKLPDELANLFNLRYLNIRTTKVNKLNNSIGSINLETLDLRDTKIEMLPNETTKLQNLRHLFTSCSEKLDVFQMAIEYNLNVGTRIPPKIWKLKNLQVLDRVRQNRS >CDP01259 pep chromosome:AUK_PRJEB4211_v1:10:4129307:4136841:-1 gene:GSCOC_T00034846001 transcript:CDP01259 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFFLQGWKKSWDEVVGMDRLMKYTEENRQKQQEHNKKQEMESNAKPGSKQKILTGYSGLNTAGARGKKRRSGSVQKEKAMLLTEKRVNIYIPPGLKKLLVHDWECVTHLGKLVKLPSSPNVDEVLSKYYDYRLKKDDGDGCRLAGSVGEVVNGLRRYFDKALPAMLLYKNERQQYEEAITDNIAPSAVYGAEHLLRLFVKLPDILDSADIEDTMLLELQEYLVDFLKFLQKNQSSFFAAAQQSTEISDLTIKKQED >CDP07544 pep chromosome:AUK_PRJEB4211_v1:10:2344974:2356733:1 gene:GSCOC_T00024835001 transcript:CDP07544 gene_biotype:protein_coding transcript_biotype:protein_coding MITCIITNSQETTGSQILELGDTVLLLLSSLTNTMHPFFLPILMVLLLLLILLIKFIYSTLWVPYKIQRHLYKQGIRGPGYRPIVGNSAEFKREYDATLSKPMAFFSHDMVHRVDPCYHKWTFMYGKTMLFWHGSTPRLALADPEMIKEVLLNKSGHIVKQLFGKGLIDLHGEKWSIHRKLANPAFVMDRVKAWVPEVVESTQEVLNKWENGIGERNEIEVDVLKEFHVLSAEILSKTAFGSDFEEGKHKFELQDQQAMLTLEALRTIYIPGFRFLPTRDNRMRWNVEKETRRLIKNLIYREKKTGGNSKCLLSLLLWGGLPVEEVIDECQTFYFAGKEAVAIFLTWTLVLLALHQEWQVKAREEVLQVYSNDKLPNADSLGDMKICQISITCNVGMILNEALRLYNPVPDLFMEATQNIKVGNLDVPGGTQIFVPVTATHHSTEIWGADANEFNPQRFAQPRKHLASFFPFDIGSRICIGQNFALMEGKIIPAMILKQFSLALSPSYVHAPVTILTVQPQFDPCYHKWTAMYGKTMLFWHGSTPRLALADPEMIKEVFLNKSGHVIKVKLPPLAEQLFGKGLIDLHGEKWSIHRKIANPAFIMDRVKAWVPEVVESTQEVLKKWEKGIRERNEIEVDVLKEFHILSAEILSKTAFGSNFEEGKHIFELQDQQALLTILALRTVYIPGLRFLPTRDNRMRWKVEKETRRLIRKLIDREKKAGGNQKCLLSFLLWGGLPVEEVIDECQTFYFAGKEAVAIFLTWTLLLLALHQEWQTKAREEVWQACKNDELPNADNLNDFKIVGMILNEALRLYNPIPDLFREANRNIKVGSLDVPAGTQFYLPVTATHHNTDIWGEDADEFNPQRFAELRKHLVSFFPFSLGSRICIGQNFAVMEGKIILAMILKQFSFELSPSYVHAPVTYLTVQPQFGAQILFRRLSS >CDP07244 pep chromosome:AUK_PRJEB4211_v1:10:142396:146596:-1 gene:GSCOC_T00024451001 transcript:CDP07244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76570) UniProtKB/Swiss-Prot;Acc:Q9C9K1] MSLLLLQFHPWSSSSSSSSARSLLPCGFSTRGNIVRPRLPFSRFKASWQELAGVLVLSAIPFTAVKAIANSSLGDSLRRQMEERKEAELQNSSKLKALAQLARKDSMWYGEDRPRWLGPIPYQYPAYLTGDLPGDYGFDIAGLSKDPVAFQKYFNYEVLHARWAMLAALGALVPESLDMLGTFHFTESVWWLVGYSKLKGDTLDYLGIPGLHLAGSQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGVLFDPLNLSEDPVALEELKVKEIKNGRLAMVAWLGFYVQAAVTGKGPVQNLLDHISDPIHNNIVSFLKST >CDP01240 pep chromosome:AUK_PRJEB4211_v1:10:4263677:4268445:1 gene:GSCOC_T00034818001 transcript:CDP01240 gene_biotype:protein_coding transcript_biotype:protein_coding METSYLYLPLFLALYIFTKHFLNKIRNLPPSPILNLPVLGHLLLIKKPLHRGLAKISDRHGPVLLLEFGSRPVLLVSSASAAEECLNKHDIVFANRPRLLAGKHLGYNYTSMAWTSYGDHWRNLRRIASLEILSSHRLQTLHGIRVDEVKLMLKRLFSASENKKSVDMRALFFELMLNVMMRMIAGKRYYGENVGEVEEARRFREIVEETMRIGGASNMGDFWPVLRWLKVGKKEKALRVLQENRDQFVQELIKGFRSAKDAENGGGDAEETGEKKKTLIEVLLTLQQKEPEYYKDEIIRSLMLALFFELMLNVMMRMIAGKRYYGENVGEVEEARRFREIVEETMIIGGASNMGDFWPVLRWLKVGKKEKALRVLQENRDQFVQELIKGFRSAKDAENGGGDAEETGEKKKTLIEVLLTLQQKEPEYYKDEIIRSLMLVLLAAGTDTSVGTMEWALSLMLNNPSTLEKAKAEIDRIIGKERLLDESDVANLPYLRCIISETLRMFPAGPIMLPHESSEECVVGGYRVPGGTMLIVNLWAIQNDSKNWEDPRKFKPERFEGLEGTRDGYKLMPFGSGRRGCPGEGLAMRMVGFALGSIIQCFDWSRISEEMVDLAEGPGLTLPKAQHLVANCRARPGIMSLLSQI >CDP01137 pep chromosome:AUK_PRJEB4211_v1:10:5205190:5208458:1 gene:GSCOC_T00034666001 transcript:CDP01137 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIEEEAAPANADESSPLLSDPKSPHRIRSVRTKVPEVEVHLYKLGKGPIDVFKSSLSGWDQDQLEVRDILDKYGFKSVYAFTPGSCRGAPIRFNPRNGRSLLSYKDGSVVYLDGQPKDSLVKPVTKIVSGVAFITVLIVFAIKEAPPDWARKLNLSGGRIPPWILACAVIVFTRMRKRTRDFLEKRGR >CDP07275 pep chromosome:AUK_PRJEB4211_v1:10:358739:364778:-1 gene:GSCOC_T00024495001 transcript:CDP07275 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIEAALHVFEGIDIAAVTPKMKITLARRGYHPRKQSQNYSLMPMSLDAGSKFFLCFLFCLSLHCCLIVCMRCLTADVMGIVVHAFPARDVYMEGTMRHGRDYVIVDHSKRPILLTLWKDFESIKGCVIDEAMPTMPIIIAMRVRVLTENYISLSTQPSSIILVAPDGLEARELDCWCERNVSELVHMIFDNKSYADPAILLPPVRAPTLIAISSVASFTRFSFFSNDFVFSCWLFPFACVGYGLDFFIHDDTGYVSVVTLGAEAEKIIGLSAFQLYQTVDDGFFGLYKQVHRHLEQKNLLCYIKHSSDVIRSTAIAKFTVVTCYLYIPSSGDDTVIGNGEDDDGAGPCGGKFKKLLIFSGNDYLGLSSHPTVIKAAVKAAQLHGMGPRGSALICSYTNNHRLLESALADLKKKEDCLLCPAGFAANMALITAVGSVGLVLAEGGKPKRDERVAIFSDALNHASNIDGIRLAEKQGSLVNIERLYWSLYWRLRLTITFLASMLLVSANSKCSSEIQMSPWSSTRKNTCIPTPVPYIPCCFNFRNQRHGNHVSMASYISPLIY >CDP11474 pep chromosome:AUK_PRJEB4211_v1:10:26539087:26542260:-1 gene:GSCOC_T00033745001 transcript:CDP11474 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNRDGLQFDDLDANYESGEDYEYVRSAESDEADSDGSESEPSGSGSGSESESDWSEHHAFLFDPFLDEWSIKNEEELEKYYDVVFTLEKTRGRFFNCLEDLKTGGVFYRSVKNRVNTAIIHYNKKNQTGFRAGKILNCVLNAAGGAKLYLTFVAKDFNTGDVREFQACVYESAAGGDRRVNLCRLKIPKEPCEGDGKCI >CDP01352 pep chromosome:AUK_PRJEB4211_v1:10:3281765:3289649:-1 gene:GSCOC_T00034958001 transcript:CDP01352 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPAIGFQCNNLLPVIWDDLICHFIFPLYNVFLVQLTNYMQLFAFVLVKSKCISLAIKFICPWQFLIEVDIIFNVNNTATFLSDSLDTTKRKIGIEDGEDLPKRKVTKKKTRLITPTSEQLASLNLNGGRNSIVFTFSTPMLGKQQVDARIYLWKWDTRVVISDVDGTITRSDLLGQFMPLVGVDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYNTRQFLLNLKQDGKVLPEGPVVISPDGLFPSLYREVVRRAPHEFKIACLEEIKALFPPDRNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEIVVNRRVDTKSYTSLHALVHDMFPPMSSSEQEDYNSWNYWKLPPPAIDI >CDP17241 pep chromosome:AUK_PRJEB4211_v1:10:18172724:18173384:1 gene:GSCOC_T00013650001 transcript:CDP17241 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSYTNLLDLASGNIPVMGREKERRRFPRVMTVPGSICELDDDQAHSVSSDNPSSILFLSSV >CDP17700 pep chromosome:AUK_PRJEB4211_v1:10:19528443:19529228:1 gene:GSCOC_T00001432001 transcript:CDP17700 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEAAAFSVLSSSFPLPSTSPKCSLHFLNFPFPPKRKLFLLNLPWSFTVPDIKNLFAECGTVTDVEVVKAGALHFVIMASGEEAQAAIKK >CDP07491 pep chromosome:AUK_PRJEB4211_v1:10:1988925:1996090:-1 gene:GSCOC_T00024766001 transcript:CDP07491 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTGPFFHHRRKLSNASSTNSNGLSFSGKDAYDDVLLGGSKPRFGAVAGFASRKVAAEDYAEIFGGRNGTRGSSIPVLDLSDLDERHGSGGFRSSNSKLDYSTIFGGFGGGAVGDNVAMAVPPCEELFNGVKKVKRDKAKARISTDPCPPLKGSDHFYSSEKNKSHSSEASEDFVDGGKQFNMSYHKTGQQRKDGSNGTTHIAQLHAVPGFTCLIDETARLQKVEQDKALSQVKAECNHKQGSFDHLQTTELDKAMPPLKARVSHNQDLSDCLQKTEQDRAMPPLKTQVSHNQSSGDCLQKTEQDKAIPSVKSDVNHNRNCSDRQQKGEKDKAMPQVKLEVNHNRSSNVDISEEKSGRRAKWQLPTIQSSESLVKDKGECYQDASNSSDILSNMEKPGMKSHPYNVPPPCSSSANLFDSKGHTNRSNSSSYDPKVEASEKVTGGYSTPFSDEELDINSAAAAPAAALKEAIEQAQESIRIVKEIMERKRDSGQSSKLHSKGRLKTKDAREMKHVQEVHNVREKNVNEACRSVDNEMPDSCGGDQYLAFGNGEVALPFKDCEESSTGRQGVVAINGENVEVSEGNGVATWFSQLLSNGKHRVAALASELVGKRNSTIQTLDKEKHSIEEPNLVKVTVDLNASEKVNAVNRILESGDTEAKLNALGRSEELKKNVDSSESSPFANEDKLSETQIFSQADEVTKNGQANLVEEQKCKEKHEDFNETISEGHFEPEKLGNPLQENDFLKLEKRSSDQEGEEKLEDIHVCRQEDCKVDEEVDLEQEDFHLWFGNEHALKRATCCICSRKGLEDDSEDRLEGYYKQETDGLRSMQSGNGEENQNMSEEEHVWEAIDRRSAGSCQSIEEDSMDEDADNSSVHQKIEVNEEVQEVGDSWDRTVDSAENPGANLFQEAAYKESLDTDLDAYNDQSVNPDDIHEACRSEVENCSEPNSQQQRRNNEEEAHKVGIPESFYEFEEDLEATEDIEEASTLKGEEVHTTESRIRDVSEVVDFIEKTADFCFTKVNCEAELSKDAETAITTVFISNCTTEDTVDGERANDAPSEVKDSSNFDFINLNGQKQIHNNDNESENSIKTESCAGWVDEVYGQKQSEEIDRGFESGINPANGDGLVHESGGNAESVHVDGIAHEKDDEKDTIELHLKERECIKSQKEPGNSEFPVELKWEEHVETNTEMKTGRSTEHNEENGCKTFAMEDKEIRENVQKEEAAKDCLKSSEVNEREREQKKHRIAVERAIREARERAFAEARERAERAAVDKATAEVRQRAMAEAREKLEKKSAGPKVPTGKASSIDAKLRAERAAVERATAEARERALEKALSQKTTSEMRTQAERNASGKFSGASRVNGLKHSFSSSDLESFDGTNNESAQRRKARLERHQRIMERAAKALAEKNLRDVLAQREQAERNRLAETLDADIKRWASGKEGNLRALLSTLQYILGPNSGWQSISLTEIITTNAVKKAYRKATLYVHPDKLQQRGASIQQKYICEKVFDLLKAAWNRFNSEER >CDP01111 pep chromosome:AUK_PRJEB4211_v1:10:5515194:5516705:-1 gene:GSCOC_T00034628001 transcript:CDP01111 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSLYRSAQCALDGDDAKGSMDVKMVITLPERKKRKYDVVNFSLDYFAKLSCFHFPGGCLSSSLLAVPCSN >CDP01195 pep chromosome:AUK_PRJEB4211_v1:10:4653726:4656599:1 gene:GSCOC_T00034759001 transcript:CDP01195 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVLPENVVQEGVLVGNGSKHGLGRFRGHYRGNFHHNRKEGVSEEGSKVQLGQGKDKEVEEKALSGKSEWNGDQIQRGRTRRGIQEGCAYKERVSNNLRPNIRRDFKALSLNDNREGNLTATKWLRGGRSGRCRESRDGGLVWMPKGGTYGKLCSSNGTTQVHR >CDP07459 pep chromosome:AUK_PRJEB4211_v1:10:1740617:1744290:-1 gene:GSCOC_T00024729001 transcript:CDP07459 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDPRQYENVVINDSDIHNIVLSYLVHNCFKDTVESFTSSTTMRQSVDHLEDMEKRKKIFHSALEGNALEAIELTGQIAPDLLEKNKDLHFDLLSLHFVELVCSRKCTEALGFAQSELTPFGKVQKHLKKLEDFIALLAYEEPEKSPMFHLLSSEYRQHVADSLNRAILAHANLPSYSALERLVQQMTVVRQCLGEECGKEGHPPFSLKDFVKS >CDP10899 pep chromosome:AUK_PRJEB4211_v1:10:20470194:20471063:-1 gene:GSCOC_T00031854001 transcript:CDP10899 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGVYMLAFSGQLSVFLSVFRMYHCLQLLVATFSSTHSLNRKGSTGGGNGEVEKGLLTLISSDVPGLQVLFVTPMAADSGFVPGDLLFLTGKALIHATTGLRPTASHRSALDIPPGTSSGERTSLVFRLMPQGNAILDCSPIAAAGHVIPQSYVPISVTQFMDAIIVLVMCQSL >CDP10940 pep chromosome:AUK_PRJEB4211_v1:10:21466378:21475591:-1 gene:GSCOC_T00031911001 transcript:CDP10940 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSSPKSRVKNASSSCHSRRDSNGAAELDDPERTMSRVAKFIEQLHANKSSPPEKELITANLLGICKARKEARALIGSHAQAMPLFISLLRTGTVLAKTNVAATLSVMCRDEDLRVKVLLGGCVPPLLTILKSESIEARKAAAEAVYAVSAVGLSDDHVGMKIFVTEGVVPTLWEQLNPKRKQDKVVEGFVTGALRNLCGDKDGYWTATLEAGGVDTIVRLLSSDNTVTQSNAASLLARVVLAFSDSIPKVIDSGAVNALLKLLDQQNDVTVRANAADALEALSSKSASAKKSIVEAQGIPVLIGAVVAPSKEGMQGEGGQDLQRHATKALANICGGMSALILYLGELSKSPRLAAPVADIIGALAYSLMVFEQDAEEETFDATKIENSLVTLLKPRDNKLVQERLFEAMASMYGNSHLSKTISQPVAKKVLIGLITMAFGDAQESLILSLIRFCCDNVGIWEAIGKREGIQLLMSFLGLSSEQHQEYAVEFLRILTDQVDDSKWAITAAGGIPPLVQLLEMGSQKAREDAAYILWNLCCHSEDILNCVESADAIPAFLWLLKNGGSEGQKASAKVLTKLIRVPDSSIINQLLVLLLGDSPSSKAHVIKVLGHVLAMASHSDLVQIGAAANKGLRSLVQALNSSNEKTQEHAASVLADLFTTRHDICDNFATDEVIDHCMKLLTSKTHGIATQSARALGALSRPTKTNSSKQKSYIAEGDVKPLIKMAKTSSIDSAETAVAALANLLSDTQIAAEALAEDVVSALTRVLGEGSPDGKKNASRALHQLLKHFPLGDVLTSSAQCRFAVLAVLDALNEMDMDGNDVNDALDLVSLLARTKQGMNFSYPLWSALPDIPAALEALVKCLSMGPPQVQDKVIEILSRLCRDQPTVLSDSLMTQLESISALANRIMNSTSLEVKVGGAALLICAAKEHRVQLMDILDAYGYLKALIYSLVDLMKQNSSCSSLEIEVRTPRGFSERTAFREVNEFEIPDPATVLGGTIALWLLAIISSFHVKNKLTVFEAGGLDVLSEKLAIYISNSQAEFQDTEGIWISSLLVAILFQDANVVLSPAAMHLIPSLTLLLKSEEVIDRFFAAQAMASLVSHGNKGLNLAVANSGAVAGLITLVGHIESDIPNLVALSDEFSLVRNPDEVVLELLFEIEDVRIGSTARKNIPLLVDLLRPMPDRPGAPPVAVQLLTRIADGNDANKLIMAEAGALDALSRYLSLSPQDLTEATISELLRILFSNPDLLQYEATISCTHQLVAVLHLGSRSARLSAARALDELFDAEHIRNSETASQAFQPLLDMLGSASGSEQQAAFSALIKLTSGNNSKAVLSAETEENPLDNLHKILVSSSSLELKSNAAELCSVFFSNAKFREMQIAAEFLKPLLSLIESGTDSAMEAGICAFEKLLDDEQLVELVSAYDVVDLLVHLISGSNHRLIEASICTLIKLGKDRTPRKLDMVKAGIIDSCLALLPTAPSTLCSTIAELFRILTNSSAISKSSAAAKIVEPLFLLLLRADFNLWGQHSALQALVNILEKPQSLATLKLTPSQIIEPLITFLESPSQAIQQLGTELLSHLLEQEHFKQDITTKNAIVPLVQLAGIGILSLQQTAIKALESISLSWPEAVADAGGIFELARVIIQDEPEPSDTLLESAALVLSNVLRCNPDYYFKVPLVVLVKMLHSVQEGTVKLALDALIVQEKTDAASAELMVEAGAVDPLLDLLRSHQCEEASGKLIEALFNNGRVREMKVSKYAIAPLAQYLLDPQTRSQSGRLLAALALGDLSQHEGLARASSSVSACQALISLLEDQPTEEMIMVAICALQNFVMHSRTNRRAVAEAGGILVIQEMLLSANSDIAVQAALLIKFLFSNHTLQEYVSNDLIRSLTAALEKELWASASTNEEVLRTMHVIFTNFPKLHISEAATLSIPHLVAALKSDNEAAQDSALNTLCLLKHSWSTMPIDVSKSQAIIAAEAIPILQSLMKTCPPSFHDRADSLLHGLPGCLTVTINRAKNLKQVMGGTNAFCRLTIGNGPARQTKVVSHNLCPEWKESFTWAFDIPPKGQKLHMICKSKSAFGKTTLGRVTIQIDKVVSEGTYSGEFSLRHDSNKDGSSRTIEIEITWSNRLSDETV >CDP11917 pep chromosome:AUK_PRJEB4211_v1:10:24405187:24409848:-1 gene:GSCOC_T00035224001 transcript:CDP11917 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSCDNWERLVTATLRREDLRLTALRTPSELSLASLSSFSSFNLASSSRRVSSFNFSSLLVGDSLSYNQILQATDYLSENKLIKHGYSGDLFFGVLEGGTPVIVKKIDLSSVTKESLFIEELEILAKVSHHHRFVPPIGHCLENEEEKFLVYKNMAKKDLSTSLSRKIDSGNLRKLPLLDWVTRLKIATEVAEGLRYLHQCVPPLVHSNIQASSILLDDKFEVTLSLYEVFAEENHMRQNGISRAFGQSATGTSNESYASCSYDVYSFGKVLLELVTGKLGLSATDDSTTNGWIANLLSYILPDNSELIINIVDSSLDMARHVLAHVWAVSFIAKACLSPESAKRPQMPQILLALEHIKSSSFTSKCPKTTGDHDSLRAAMEIAEILWGSKLVGRTAHATAYTESLGSGIASTNHKFSQAGGFEKTHPNGGIFAHPSLTIFSYSELRTATRHFGCDIAVTEVEFGRVYQAWLQDKSSSKHGNGSVVAVRNMSSEYMQLFKSRVLSLGRLSHPNIVKFLGYCEDKDLLVVHEFMQSGCLDNHLFRAGSDVQPLSWDTRLNILTGAARGLAFLHATQKQGFYEYFGTSDILLDGAFNAKISGFGTAKIILPIDEVHPNFFRNGRYVDAPPENVIPAAGLMNMETGLMNVESDVYGFGVVLVALLTGLSTNGRNQPSWGEIYPIPYFMNLKRNRLVKIMDPKLEGKYPFKAARKLGSLASMCLQYEPQFRPSMKVVVEVLERVAAAKWKDKSPG >CDP16852 pep chromosome:AUK_PRJEB4211_v1:10:14831920:14833609:1 gene:GSCOC_T00019406001 transcript:CDP16852 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRQICAMANTIWKIFILLGITSNNGLKRNIPDSIGNLSNLAILGLGDNSWTGSIPTTIWELKDLESLDLSNNQFSGKIPSSIWSLESLDHLSFANNSL >CDP07525 pep chromosome:AUK_PRJEB4211_v1:10:2223976:2227738:1 gene:GSCOC_T00024809001 transcript:CDP07525 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLFTWAFIIIFFFQLNHLSRSESFIGINYGQVADNLPSPDATAKMLQSTSIEKVRLYGADPAIIKAFANTGIGIMIGVSNGDIPAMATDPSFAKNWVNSNVSPFYPASKIVHINIGNEVLLSGDRNLMTQLLPAMQNLQNALNSASLNGKIKVSTVHSMAVLRQSDPPSSGGFDPSFGDLMKGLLEFNNATGSPLVINPYPFFAYQSDPRPETLAFCLFQPNSGRVDSGTKIKYTNMFDAQVDAVRSALNAMGFKGVEILVAETGWPYKGDSNEVGPSLENAKAYNGNLIAHLKSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERAFGLFKLDMTMNYDTGLSKTSQAPSNAKNPATPTIPTTPAPKAKKSVWCVPKAGVSDAQLQANLDYACSHGTDCSSIQPGGACFEPNTIASHATYAMNLLYQTAGRNPWNCDFSQTATLSTTNPSYNACQYPGGEEA >CDP07320 pep chromosome:AUK_PRJEB4211_v1:10:733821:735252:1 gene:GSCOC_T00024550001 transcript:CDP07320 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAPPSSSGAQNPKKSLGLVANAIKRKHSFIQLFMMTGILLLSVRSLGQKYRLHELQEDAAALKQEQEGLINRKNHIKQSLLAEAARDHSGLFTTRLRLLFGED >CDP10680 pep chromosome:AUK_PRJEB4211_v1:10:10593463:10594310:1 gene:GSCOC_T00031473001 transcript:CDP10680 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLCKAFCSYFNLNGNLKCQNHKKQSNQENLLPIRIPDEYEDVPPLSCCKMFLASWLFGSSNNASAFVKYLILALFSPLLLPLICATFPFLCALELFFHLSRWRCWRRRRKSSPAAEEGCAGDGKIRGEDGGNGERLLERYLEDQLMLVARSLYGDDDDDEEEEILEADVEYFGPFGFLTCSLTLRVDKGQHYLTFLRGTGFLKGIYESKFEAFSCF >CDP01320 pep chromosome:AUK_PRJEB4211_v1:10:3564685:3567057:-1 gene:GSCOC_T00034920001 transcript:CDP01320 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAPSSPDHARRPVYYVQSPSRDSHDGEKTTTSFHSTPILSPAGSPPHSHSSVGRHSRESSTSRFSGSLKPGSRKINPNDGGSGANRHPGHKGQKPWKECDVIEEEGLLEDQESRKPLPRRCYFLAFVVGFFLLFSLFSLILWGASRPQKPKITMKSLTFERFAIQAGSDDTGVSTDMISINSTLKFNFRNTATFFGVHATSSPVDLSYFELTVGSGTMKNFYQSRKSQRTVTVSVIGNKIPLYGSGASLSTTPTGTTSQPVRLKLNFVVRSRAYVLGKLVKPKFYKKIECSIVFDPKKLNAPIPLKNDCTYN >CDP17255 pep chromosome:AUK_PRJEB4211_v1:10:18635131:18635336:1 gene:GSCOC_T00013672001 transcript:CDP17255 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPARKAMLGRVVDTESPGIIKCKSIHEPMQTCLNAVDSLILIDHG >CDP07548 pep chromosome:AUK_PRJEB4211_v1:10:2371729:2372040:-1 gene:GSCOC_T00024840001 transcript:CDP07548 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVEILTGRLFFVEIQDDATVADLKKEIGFQEQLPFDRLILLVDGTEGFLMNENERSLQDYGIKDGSHVYLFFDSVDDGSWHCLVAPQDSTWCHTSPPIDL >CDP07596 pep chromosome:AUK_PRJEB4211_v1:10:2719980:2724186:1 gene:GSCOC_T00024899001 transcript:CDP07596 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSIKAAVVYLGTTLVKLVCLATFLKVSESDSFDPYQELLKALIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDYILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYTCAGIVATMPSITSYLRRGLGWQLPKVVGFELFTSLVMAFISWQLFAACQRPSS >CDP07537 pep chromosome:AUK_PRJEB4211_v1:10:2291465:2301899:1 gene:GSCOC_T00024826001 transcript:CDP07537 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSRNSLTETGIPTGLNRIKTRRVASSKDKERRSSRIEDSEKLNADSPGSLRPYVKQKFGALVKGRVRINSPREGLKTKRRARWFTSHLSKDSDQVADDVPQQLECNDSQTGQVRTMMKHTTAKQSALEVTSNHKMHKGLKSFSHELGPKGGIPSVQPRARSFNDMKELLRSLHSRFDAAKEVVNTELDCFSKELMEILQDDSLSQEGHRMAEQLLILAQECTEMAASKFRTKCEEIVQDLTAKRQQCQAGLLKWLLTRMLFILTRCTRLLHFEKDAEPVDGKSLHKFQECLKSIPSIEVNWFVNQEMAGSESDYAINLKNDSKRNLQKRNHAYTLFRPSQHRFEAAVQQVDAMFPKDFTSIGQNLPSETTCVLPNVQEVDELGGRFSEKSTNRASINVSKEQENYMEDSNLVICRICEELVPITHLESHSYICAYADKCYLNSLDVNERLIRLAELLEQLVESRNLSIQATDESPEYSRMQLADSAVASEVYSPKVNEFRNRGMDGMFEDIHEMDTACIEDSQIASFINSKGYWGSKLNLYGPPSSTGSMTSASSTNTPRAGSFDLFWLDHNNPSELEDVKQMADLADIARCVAGTDLSDEGSNEFLLACMEDLQDVLQQSKLRALVVDTFGGRIENLMREKFLLACNLVDPKSDIQRPESAKMLLDNGSQSSTISTPSHPLHKERTSIDDFEIIKPISRGAFGKVYLARKRTTGDLFAIKVLKKMDMLRKNDIDRILAERNILITVRNPFVVRFFYSFTSTDHLYLVMEYLNGGDLYSLLQKVGCLEEDVARIYISELVLALEYLHSLGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLMNSTSDLSRCETKENNGSGDHGQNNPDTVDRCQRSAVGTPDYLAPEILLGTQHGYAADWWSVGIILFELITGFPPFTAELPEIIFDNILNRSIPWPSVPIEMSHEAQDLIDRFLDHDPDLRLGAKGASEVKAHPFFRGVDWDNLALQKAAFVPQPESMDDTSYFVSRYNSIGLQDDGDCSDTASDVSDLCSNSGLEKMDECGDLAEFDPSPLDLSSINFSFKNLSQLASINHDVLLQGGKDSSKCSSPSRNPEK >CDP01287 pep chromosome:AUK_PRJEB4211_v1:10:3829170:3831279:1 gene:GSCOC_T00034880001 transcript:CDP01287 gene_biotype:protein_coding transcript_biotype:protein_coding MNENLNLTITTALLQKKMAHQHQNEKQKFPFLLDALYCEEEHWEGLDKEDCFISNEEESRIDGKPPLLMEQDLFWGEEELCSLFNKEQENDLYNSLKRNPSLAGARTEAVEWMLKVTAFYSFSAPTAVLAVNYLDRFLFSFQSHNEKKLWMTQLAAVACLSLAAKVEETQVPLLLDFQVEESKYVFEAKTIQRMEILVLSTLEWKMNPVTPLSFLDFVTRRLGLKNHIYWEFLKRCEFLLLFIISDCRFMSYLPSVMATATMLHVIVSVEPCLGVEYQDQLLGILGINKDKVEECYRLISEVASAYHFHSSNKRKFRSVPGSPKGVMDLSFSSESSSNDSWSVAGASVSSSPEPLTKKSRAQAGQKEDQQQQHAQEQELDHATASDSIPG >CDP11470 pep chromosome:AUK_PRJEB4211_v1:10:26470311:26471958:1 gene:GSCOC_T00033738001 transcript:CDP11470 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEHEEQEEEIGMHQMQAGNYQTLGNSAGRGGGGEGVSAATTARKNGGGGGSMATTSTTTSYRFRECLKNHAVAIGGHAVDGCGEFMAAGEEGTLESLKCAACNCHRNFHRKETEGEGFHQPHPTPHHPHHHHPHHAHHQFSPAYPFRSPHPSGYLHVTPPSHHHHQRPLPLPSTSREDEDVSNPSSSGGGGGGGSGGAGGSKKRFRTKFTPDQKEKMLAFAERLGWRIQKQDEALVQQFCAETNVKRHVLKVWMHNNKHSLGKKP >CDP01022 pep chromosome:AUK_PRJEB4211_v1:10:6487073:6491375:1 gene:GSCOC_T00034509001 transcript:CDP01022 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYSQIVTFFLLLCFFISVSHGRVPPAGADFRVKAVNLGGWLVTEGWIKPSLFDGIPNKDFLDGTGLQFKSVAVGKYLAAETGGGTIVVANRTSASGWETFKLWRINETTFNFRVFNKQFVGLDKAGNGINIVAVASTPGIWETFQIVRKSDDVNRVRIRASNGFFLQVKTEELVTADFQGNGTWADDDPSVFLITSAGGYQGEFQVTNGYGPVKAPLVMGEHWRTFIVEDDFKFVAGNGLNAVRIPVGWWIASGPNPPKPFVAGALRALDNAFFWARKYGLKVIIDLHAAPGSQNPWEHSGTRDGSQEWGLTEDSINQTVAVIDFLTARYAKSPSLLAVELLNEPLSPGVSLDTLSKYYKAGYDAVRRHSSTAYVILSNRLGPADSTELIPFASGLTRSVIDVHYYNLFSDVYSNLTVQQNIDIIQTNRTAQLARITSANGPLVIVGEWVAEWEVSGATKEDYQRFAAAQLQVYGRATFGWAYWTLKNVVNHWSLEWMIKNGYIKL >CDP07265 pep chromosome:AUK_PRJEB4211_v1:10:297854:303260:-1 gene:GSCOC_T00024485001 transcript:CDP07265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1A [Source:Projected from Arabidopsis thaliana (AT1G76400) UniProtKB/Swiss-Prot;Acc:Q9SFX3] MRKIGGCDLSLVLLAISFSVLFAPVLSDLVISKVDRRVDLTSQHVRLFTTLKVENNGTDSVSEVLLPFPDHQSKNLAFLTATTTEEKGKSKSSSQALPIKVVNPEGMPISLAWYSASLPKELGKGGQLTLEIRAVFTHALRPFPEKITQADAQLVVFQDSAYYLSPYVVKAQSFTVKLPEPRVESYTKLENAKLSGSEIKYGPYENIPPFSYTPIVVHFASNGPFAVAKDLVREIEISHWGNVQITEHYNLIHAGAESIGEFSRLDYQARPYLRGASAFRHLIAKLPPRAHSVYYRDEIGNISTSNLWGDSTKTLLEIEPRYPLFGGWKTSFTIGYGLPLHDFLFQSEGQRFLNISFGSPMNELVIDNLIVKVILPEGSKDITVSVPFPIKEWQEMKFSHLDMVGRPVVVLEKTNVVPEHNQYFQVKYRFNNLSLFREPLMLISGFFFLFVACIIYTHADFTISKSSASYVAKLQWDEVQTAIQQFQGVMSRCLAIHDKLEASLRDLSRTGDAQACKAARKAADSSLKELSKELKSLLGFLQSSPQAAQILPKVDELVVKEKELQEKLMLKHSIVVDAFEKRSGGRDTESRVASIQQKITALRLEVDDLLEVIDEI >CDP17699 pep chromosome:AUK_PRJEB4211_v1:10:19487861:19488464:1 gene:GSCOC_T00001431001 transcript:CDP17699 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLTFSYSSSESTKIFFLYLSGKRILDISLSTVTIGHLGGDLFDERIVDWLAENFKRNEGIELLIDKQALQRLTETAERAKI >CDP17873 pep chromosome:AUK_PRJEB4211_v1:10:11712645:11715375:1 gene:GSCOC_T00010104001 transcript:CDP17873 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEIPSSSEAKVHIVYTERPQDQEPEDYHIKTLSSVLGSEEAAKKALVYSYKHAASGFSAKLTPGQVAELSKQPGVLQVVESQKLHLHSGPTKLHV >CDP00928 pep chromosome:AUK_PRJEB4211_v1:10:7807084:7808532:-1 gene:GSCOC_T00034383001 transcript:CDP00928 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKFLHVVMFPWLAMGHLIPFLRLSKCLAQKGHKISFISTPRNLQRIPKVPHDLASLIEMVSIPLPEGDNLPKQGESSMDVPHEKEQFLKIAFDLLQSPIATFLENTTPKPDWILYDYASHWLPEIAAKNGIARAYFSLFTAATMAYIGPPSILLNEVDGRSTAEAFTRVPKWIPFPLNIAYRLHEVAKHIEDSSGNESGTSDVIRFAAAIEGSDLVVFRTCVEFEPEWFDLVCELYKKPVISLGVLPPSLDEDDELETDETWLSIKDWLDKQSVSRVVYVALGTEVALGQTEVHELALGLEQSELPFFWVLRKSPGSTKDVSQMLPEGFTERINANGRGVIYTEWVPQVKILSHPAIGGYLTHCGWNSVIEALGFGRVLILFPVMNDQGLNARLLEGKKVGVEIPREAEDGSFTSTAVAETLRLAVVSEDGESMRANALKMKNLFGDGNANQSYLDSFVRSLEEKKHILFPGPAVEKGT >CDP10958 pep chromosome:AUK_PRJEB4211_v1:10:21866653:21871989:-1 gene:GSCOC_T00031942001 transcript:CDP10958 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQLQHGNEGSKRIVAVDESPPPTDPGKHFDQKPGWRKFFSFVGPGFLVSMAYLDPGNMETDMQAGADHRYEIYVKLPCENILSSILLWVILIGLVFALIIQSLAANLGVTTGKHLAEVCRAEYPKYVIYCLWALAEVAVIAADIPEVIGTAFALNILFHIPLWAGVLCTGSSTLLLLGLQRYGVRKLELVVSMLVLLMAACFFGEMSYVKPPAKDVLKGIFVPKLSGHSATGDAIALIGALVMPHNLFLHSALVLSRKVPNSVRGINDACRFFLIESGLALLVAFFINVAIISVTGAVCSATNLSQETSDSCNNITLNSASFLLKNVLGKSSSILYAVALLASGQSSAITGTYAGQFVMQGFLDLKMKIWLRNIVTRSIAITPSLIVSIIGGSSGAGQLIIIASMILSFELPFSLMPLLKFSSSTSKMGPHKNSIYIIIVSWILGLGIIGINIYYLSTGFVGWLTNNSLPRVGNVFIGILVFPLMAAYILAVIYLMFRKDHAVTFKEPITFDPNAQVDMENGNPNPHHGDCIPHREDLADVTLPE >CDP00884 pep chromosome:AUK_PRJEB4211_v1:10:8397938:8400481:-1 gene:GSCOC_T00034322001 transcript:CDP00884 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEDFCKDYLLLNPKEAGFSDLLRIFYSRELYKRDFFDAPEADSLRGLRRRWVVFVSVVAQMLLLQLKKPLAGLGSTLELLLNYPSSNGGFGGLLLHFLTGNVVKPDRSAANFRSLVANVDTRVDLDGRIKANDERYGAALSIMAAKLAYENEAFARTVVTDHWQMEFLGSFNFWNDYEESYTTQAIIFEDKITSADSNLIEVAFRGTQPFEADDWRTDLDISWYDIEGVGKIHAGFMKALGLQKRKGWPKKIEQGSGGKDYAYYTIREILRNRLRENQNAKFVVTGHSLGGALAILFPAILILHEENELLERMEGVYTFGQPRVGDEQFGEFMKDKLRFYGVNYCRYVYNNDIVPRVPFDDKTLMFKHFGLCLYFNSRYRGQILDEEPNKNYFSLLSFIPKHLNAVYELIRSFIIPFTRGMEYREGSSVIISRLIGLVIPGLPDHGPQDYDNATRLGTLPFWDPPLKGLKQE >CDP00937 pep chromosome:AUK_PRJEB4211_v1:10:7690807:7699690:-1 gene:GSCOC_T00034394001 transcript:CDP00937 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYYCYPLWDRQCSEVCKCWRWDYQRRGRTWQVYHILSELDIYSLIGANKPLQLEDVRISLGPNDVIMIRFQGLNGSPIVSGICIREAPNLPGQQLVSLLFKSHLRHILGNLQNKDVRARNDARYQRKIEELQAQCERKTDECYQAWMSLTDMSKKLEEVAMELDNKSFQNNCLDQSMVLQAEKLKDVSTKYNREKKFWFEAINKIESKVKILKDEQSKLSREAHDCANLIPDLNKMVLAVQGLVAQCENLKLKCSKEQAERMKLYNQIQETKGIPNSKRVFCRSRPLSREEASAGYATVTDFDGAKDGDLGIVTSGFTKKTYRFDRVYMPKDNQDDVFADASPLVISVLDGYNVCIFAYGQTGTGKTFTMEGIENNRGVNYRTLELLFRMIEERKDAFTYNVSVNVLEVYNEQIRDLLVNSPASKKLEIRQDIGGINHVPGIVNAEVENIREVWNVLQAGSNARAVGSNNVNEHSSRSHCMICITVRARNSMNGECTKSKLWLVDLAGSERLAKTDVQGERRKEAQNINRSLSALGDVISALASKSSHIPYRNSKLTHLLQDSLGGEAKILMFVQISPLEQDLGETLSSLNFATRVRGVELGPAKKQIDFGEIQKLKLLLDKLKQESRTKDDALRKLEENFHALESQAKNKDQFCRNQQEKVNELESQLAMKADSCSQMDRQLTELSMKVRVGEDIRANLQQKVNQLEKKLIECEHVESNALQHTVKQLERTLKERTNEFEVHSVILQKKVKELEHKLERQGGGTTVSPMLRPKIQELQEKVSQRDRHLESSPELCASEQSRASTDERKDSSSITENEVNTGPGTQMLQPRKRPTGQGSILLKGNESLGQLRMKREFQNKGIENICLSNPSTEKKRSLATESNKGRHIDPTKAFARVTRTTKPFPGIQRSLSSRINREPVPMVKERESSTRVWLR >CDP07391 pep chromosome:AUK_PRJEB4211_v1:10:1181841:1190165:1 gene:GSCOC_T00024644001 transcript:CDP07391 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNYRFEMDHTDIVRSLITTIGSFIQDRLIDKEQRALQKEHCAERLAAEDGSSDKDTEVRYSDQAVLANLDWGIEALEEAIGTSNMETKMARLDYAEKMLQVCAMLNSSQRTAGVPNFYLSAWAHLNLSYLWKLRNNVQNSVLHILDMFIIDPLFSRIDFAPELWKSLFLPHMSSIIGWYSEERHRIVMDVIPDSNDLSFTVDFDNYFNESLITSVRPDQAEKMQKLEHLYGQSLDENTRLYARYYKECMNYDSATTKKVIPMMPIAEPPMTPLHEVSHKIPDYVKFGPILPKSAGFSPVLKAQGETSEASSRLNLASASDENLDDYAIWDPTQGIPEESEDELDYEPEACEESTNRGVKAAPSYSSTIINKDIEATLKVQATRVRSRNQTPNDFSPVDSPKKKESPSKPETHGGKEPTSLLRLVSTRAKERTASASLADSPDSSRHSNISSVDNDNELMEQQKSGRKSSSHSRRSSQVLEKSFSNESDEGNNSIISLLSDKQTPQSRPPKDFVCPITGQIFHDPVTLETGQTYERRAIQEWIDRGNTTCPITRQPFLATELPKTNYVLKRLITSWKEQHPDLAQEMSYAETPRSNLSTPSLNEMSSDSNPSGMTSYPIRRLMDNDPEHKPRRFMRAAVSTSPTSVLSQPAVETVINGLRPYISCLCNSEDLQECEAAVLTIARIWNDSKVESGIHSYLSSPAIVNGFVEILSASLNREVLRTTIHILSQLIYADDSIREVLTSVDTDFDCLASLMKNGLAEAAILIYILRPSFSQLSAHNLIPSLTQLISSKSEDPLDLQFVMAPKDAALVLLEQIITGGDETTRLTITMDIISTGSVPALLKCLDRVDGRHSSVTILLCCIRADKSCRNTIASRIELSPVLELFHAGNDSVRGTCIEFFSELVHLSRRNLCNRILQIIKDEGAFSTMHTLLVYLQMAPMEQKPAIASLLLQLDLLVEPRKMSIYREEAIEALIEALRKKEFPASQIAALDALSSLPGHMNASGKPYTEAWLLKLAGFDQPYNALLKGDKLQTYESEFSETVEEEERAARSWEKRVGFVLCNHEKGAIFRALEECIKSNSLEIAKSCLVISTWLIHMLYNFPDTGVRDAARKYLLDQFITILQSSKNLEEKILATLALGGFITDPGALNELGVYAKNMYKTLRKLKRNSVVVNDLLKALINLPSIDAAEFWCYAEGPELDASINGEVLSILHTRGRLISSHSDGTMKVWDIGKKIPRLIQEVREHSKAVSCLCLSSSGTKVFSGSLDKTIRVWAIKQAEIQCVQVHDVKEAVLELYANSNFACFSSQGTGLKVYNWSGIPRHVNFSKNVKCISLLGDKIYCGCTSYSIQEVDLGTLTSTIFYTGTRKLLGKQTIFSLEVNSGLLIAGGSSVDGIAGKVFSLPSKAVLGTLSTGLDIQKITANNDFIFTASKCGIIEVWLKERVTKIGCIRMSGGNNTKLLSLASDMDGQMLFGGSSDGKIQIWTLN >CDP10694 pep chromosome:AUK_PRJEB4211_v1:10:10344620:10345730:-1 gene:GSCOC_T00031490001 transcript:CDP10694 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPFLITIATMALLSSLAIASDPSPLQDFCVAINDPKTAVFVNGKICKDPKVVNANDFFFQGLNIPRSTANRQGSNVTAVNVNNLAGLNTLGVSLARLDFAPYGLNPPHTHPRATEVLFVLEGVLNVGFVTSNPPNNMKNQLFTKTLNPGDVFVFPEGLIHFQFNVGKTNAVAFAGFGSQNPGVITIANAVFGSDPLISADVLAKAFQVDKKVIDLLEAQFLK >CDP11429 pep chromosome:AUK_PRJEB4211_v1:10:26139157:26141671:1 gene:GSCOC_T00033681001 transcript:CDP11429 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSSLSSLLFLATSSLLLHGSSHVTKAQTYPPLVQGLSWDFYESSCPSLEYAIRNHLRQVFNDSIAVAAGLLRLHFHDCFVQGCDGSVLLEGSASGPSEQDAPPNLSLQPAAFKTINDLRGIIESQCGRVVSCADITALAARDAVYLSGGPYYDVPLGRRDGLSFATRNQTLANIPSPRLNTSGLLTSFATKNLNAADLVALSGGHTIGIGHCPSFTNRLYPTQDPALDETFANNLYEICPASNSTNATVLDIRSPNKFDNNYFVDLLNRQGLFTSDQDLYTDSRTREIVTSFAVDQNLFFEKFIYAITKMGQLSVLTGTEGEIRANCSVTNSDSLFLPRSVEKGEIGLAKW >CDP07545 pep chromosome:AUK_PRJEB4211_v1:10:2356951:2359625:1 gene:GSCOC_T00024836001 transcript:CDP07545 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTIPTILLVLVITLFKFIYSIIWVPFKIQLHFKRQGIEGPRYHPLYGNSEEIKRQHTEAASKPISFNHDILHRVAPFYKKWSAMYGRTFLFWFGPTPRLTLADPDMIKELMLNHTDCVDKLPFTPVSRQLFGQGLVGLFGKKWAVRRRISSKAFNMERVKDWVPDIAGSTMKLLRKWEEQRGGRDEFELDVHKELHDLSAEIISITAFGSSFEEWKRIFELQDQQINFTFQAMRSIYIPGLRFLPTKSNRIREKLEKETRDSIRKLIETNKNEGQKSKILLRLLMSANKNFDDKEEGLDVEEVIDECKTFYFAGKETTANLLTWALLLLALHQEWQDKAREEVFRVCKGSLLPNAENMDDLKLLTMIINETLRLYSPIAQQTRRTSKSIKLGKLDIPAKTEFYLALTAVHHDPEIWGEDANEFNPLRFTEPKRHLGSYFPFG >CDP07590 pep chromosome:AUK_PRJEB4211_v1:10:2667442:2667762:-1 gene:GSCOC_T00024891001 transcript:CDP07590 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVSKKHSNPSEPEKNAEDLEESNHQPSRAVTSHLQLKPAHSAGTLDKEVALRRIRRRKRVNKIKKAWADDAFAAP >CDP07384 pep chromosome:AUK_PRJEB4211_v1:10:1126129:1132418:1 gene:GSCOC_T00024627001 transcript:CDP07384 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWTVPAVLFLLCLLFLLPDQGRKIHANAEVDADAPVDPPKVEEKIGAVPNGLSTDSDVVKREAESMSRRTLRATAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEILGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLVKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESNADGAFAISEDVWNEPLGRGTEIRLHFRDEAQEYLNESKLKELVKKYSEFINFPIYLWASKEVDVEVPADEEDSSDEDEKPESSSSEEEEEDTEKEEDEKKPKTKKAKETTYEWELLNDVKAIWLRNPKEVTDEEYTKFYHSLAKDFSEEKPLAWSHFTAEGDVEFKAVLFVPPKAPHDLYESYYNTNKSNLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLRTIKKKLIRKALDMIRKIAEEDPDEANDKEKKDVDESNESDEKKGQYTKFWNEFGKSIKLGIIEDAANRNRLAKLLRFETTKSDGKLTSLDQYISRMKPGQKDIFYITGTSKEQLEKSPFLERLTKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKIGKDSKDKELKESFKDLTKWWKGTLASENVDDVKISNRLANTPCVVVTSKYGWSANMERIMQSQTLSDSSKQAYMRGKRVLEINPRHPIIKELRERVVKDPEGESVKQTAHLMYQTALMESGFMLNDPKDFASRIYDSVKSSLHISPDAAIEEEEDAEEAEVESSTKEGSGEDAEEAEPSSVKDEL >CDP10939 pep chromosome:AUK_PRJEB4211_v1:10:21458541:21466121:1 gene:GSCOC_T00031910001 transcript:CDP10939 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEISFMEIDDDQNNTNTAAIVTTTVKNKGKNVIVSTGTGPKATPWVEKYRPQSLADVAAHRDIVDTIDKLASTNRLPHLLLYGPPGTGKTSTVLALARKLYGSQMHNMVLELNASDDRGIDVVRQQIQDFASTQSISFGVKFSVKLVLLDEADAMTKDAQFALLIEKYTKNTRFALICNHVNKIIPAVQSRCTRFRFAPLETYHVSERLNHVIKAEGLDVTDSGLKALVRLCNGDMRKALNILQSTHMASQQITEEAVYLCTGNPLPRDIEQISHWLLNEPFAVSWRKISEIKTRKGLALVDIIREVTMFVFRIRLPSDVRVQLINQMADIEYRLSFGCNDKLQLGSLISAFAQARSAVVAAAK >CDP01330 pep chromosome:AUK_PRJEB4211_v1:10:3435728:3443722:1 gene:GSCOC_T00034931001 transcript:CDP01330 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLCCRTTRRYLRLPYRRTFTTTTSSSVSPSSNSNIPENSTNSIIQNPEHVPAPSPPPILHPLPESKPNLSRVSIISISATIVSAMLASYLLINNNDAESSAAKTARREIENAIEKSNESFRKIMHRMKQTGAAASVLWQSLRSVMSSANHEVRLGFEWRVAALLADIAAASESRRAAIVGAGGGAVVDWLLETVAVGSADNLGTQAESARALAYLMADPNVCEVVLARPHAVPYLLRFIFSAQPRKSQKRRSSFDVSDSLKGRSMLVAAIMDVVTSNCESADKIMFKPSLPKNAIMRDIAAAIEVIEEGGIHWDEPPEDKDDKGGKGMKGIGIKILEGTTVLGLSRTNGRVEMEDSDADHMNTVQSLPQSLSFNKANERFPVQDRVSSVVVPGLWDDLHSEHVAVPFAAWALANWAMASEVNRSHIQELDRDGQAVMTALVAPERSVKWHGSLVARFLLKDQNLPLNESVSDWTSSLLSTAFQASKVQDVSLVEVALSAFLVAIERSPDAREVVMDKGLQLMRETAKQTPKHKSVQGSLAKALELLCCEGLHMSLEESQKWSGILLPWVFSKPCSDTVRASAINILAHVLEDYGPSSLPISQGWLTIMLTDVLSTKKSALMTGNNQPGTDKVKTQIDQSNIVSASQIANQLAVAVVNLAGTQLGTSTDSEDMFPLVDMLSLEPFVGPLKNLKKDKNFKVNAADSALATLKGIKALTEVCAEDSSCQTKITDFGVMCLLRRLLLEDDYEQLAAIEAYDASRALEAQDHVSSSSGQTSAANTNDSSSLRVPPTAHIRRHAARLLTVLSVLPKVQKIIVADETWCKWLAECSKGKIPGCNDLKIQSYAKATLLNVLCNYQSNSVNGDKTDKKNEPCPHYAEMIFLINPERPHWKCLDKVMPNIEDGSSSANDDSTECVGGPSSGASSDDDSSISTSTSENCSGLDIAPLDVVFVHGLRGGPFKSWRLSEDKSSTKSGLVEKIDEEAGKQGTFWPGEWLPADFPDARVFSLQYKTNLTQWSGASLPLLEVSSMLLEKLIAAGIGDRPVVFVTHSLGGLVVKQMLYQAEAEKRDNFVKNTIGLVFYSCPHFGSKLADMPWRMGLVFRPAPTIGELRSGSPRLVELNNFIGDLHEKGMLEVLSFSETKVTPIVEGYGGWAFRMEIVPIESAYPGFGHLVVLDSTDHVNSCKPLSRTDPSYKETLDFLHKMKARLHK >CDP01294 pep chromosome:AUK_PRJEB4211_v1:10:3763309:3767223:1 gene:GSCOC_T00034889001 transcript:CDP01294 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLLLLFSLLSFSSAEDMSILSYGNANLKTSGSGRTDEEVMALYEEWLVKHGKSYNGLGEKDKRFEIFKDNLRYIDEQNSLPSRTYQLGLNRFADLSNEEYRSTYLGTRPDPKRRLAKTSSDRYRPKVGDSLPNSIDWREKGAVLPVKDQGSCGSCWAFSAVAAVEGINQIVTGDLISLSEQELVDCDTSYDEGCNGGLMDYAFEFIINNGGIDTEEDYPYRGRDMTCDTYRKNARVVTIDGYEDVIPYDERALQKAVANQPVSVAIEGSSRDFQLYLKGLFTGNCGTALDHGVNVVGYGTANGKDYWIVRNSWGAEWGEDGYIRMERNVKATSGLCGITSEPSYPVKKGPNPPNPGPSPPSPIKPPAACDNYYECPQDNTCCCVFEFYGSCFEWGCCPLEGAVCCEDHYSCCPHDYPVCHVQSGTCSLSKDNPLGVKVMKHMLARPIKRVKSGTEGMKSSS >CDP18287 pep chromosome:AUK_PRJEB4211_v1:10:24858805:24859892:-1 gene:GSCOC_T00012016001 transcript:CDP18287 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAEKTKYRSYLSEEEEVKNIHWRYGPPNYDLVDKLFEEGRTKIWPAGSLEDTVQRLVKTWEMEVFHKANPAEYKTIDTKNYTVSVNGRKPLTIEEKGKLGGGYNVLLQTALPEEFRAYDPAKETMESALKVFRTTFPRGFALEILHVYSGPPVIVYKFRHWGFMEGPFKGNSPTGEMVEFFGMAIFEVPNSKVVKVQFFYDPGQLLEGLLKGKPSDEYKIKALSTCPFLLQQSNLDK >CDP07385 pep chromosome:AUK_PRJEB4211_v1:10:1134928:1137342:-1 gene:GSCOC_T00024629001 transcript:CDP07385 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTCFCLRIILVFLVINSKEISGATFTLVNRCNHMVWPGILANAGSAKLDSTGFELPPGGSRSFQAPPSWSGRFWGRTGCTFDPSTGQGSCATGDCGSNQLECNGAGATPPATLAEFTVGSGPDSGTQDFYDVSLVDGYNLPMMVEPSGGSGACGATGCATDLNRMCPNELRGGEGQACKSACEAFGSPEYCCSGEYGSPSTCRPSMYSEIFKNACPRAYSYAYDDATSTFTCKGADYTITFCPSTTSQKSSRDSSSSDSTNGPPVATGTVGGSSNPGSQPEDQDPFTSWMPNFLTGDSSRTISNFGLHSTPIASIITFAILSLLQLY >CDP11490 pep chromosome:AUK_PRJEB4211_v1:10:26684157:26684267:1 gene:GSCOC_T00033768001 transcript:CDP11490 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQRIQELLLLCTRLQSNRVSLVGGNHTAARFCTR >CDP18270 pep chromosome:AUK_PRJEB4211_v1:10:24654803:24662665:1 gene:GSCOC_T00011995001 transcript:CDP18270 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSYGNADRDIEQALIALKKGAQLLKYGRKGKPKFCPFRLSADESSLIWISSRGERILKLASVSRIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIGGLRSLISSGQGGRSKIDGWSDGSFYFDENRDLISNSPSDSSASATQEISSSDFSVSSHTVPSPKRYQPDSSVHFEQAHVALDQMNMQVKGSGSDAFRVSVSSAPSTSSHGSAPDDCDAVGDVYIWGEVICDNVVKIGPEKNASSVTTRADVLLPRPLESNVVLDVHHIACGVRHAALVTRQGEVFTWGEESGGRLGHGVGKDVIQPQLVESLSFCSVDFVSCGEFHSCAVTLAGELYTWGDGTHNAGLLGHGSDVSHWIPKRISGPLEGLQVAMVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVTFPREVESLSGLRTIAVACGVWHTAAVVEVIATQSSASVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYNFHKIACGHSLTVGLTTSGHVFTMGSTVYGQLGNPQSDGKLPCSVEDKLGEVVEEIACGAYHVAALTSKNEVYTWGKGANGRLGHGDVEDRKTPTLVEALKDRNVRYIACGSNYTAAICIHRLVSGAEQSQCAACRQAFGFTRKRHNCYNCGLVHCHACSSRKALRAALASNPSKPYRVCDSCFAKLSKVVETSGNNRRNAVPRLSGENKDKLDKSELRLAKSALPSNIDLIKQLDSKAAKQGKKADTFLVGRSSQAPSLLQLKDVVLATAVDLRRTVPKPVLAPSSVSSRSVSPFSRKPSPPRSATPVPTTSGLSFSKSIADSLKKTNELLNQEVHQLRAQVESLRHQCEVKEVELQKSTKKVQETMALAAEEAAKCRAAKEVIKSLTAQLKDMAERLPPGVYDTENIRLPYLPNGLEPNGIQYPNSNGEHHSRSDSNSSYLASQISGDSTINGVQGISELPRDSCGSYETNQSNQAQGLLTPYGRDRLSDLRVPNGNQDCQARNSGASEAGNKGGPFQDGENGSKSRIAVVPGNVNQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYERYNVRGSDKASVSGQTARRSEGNVSPSSQI >CDP16508 pep chromosome:AUK_PRJEB4211_v1:10:18117128:18118037:1 gene:GSCOC_T00018493001 transcript:CDP16508 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAACPGGPSSEVLHVASEFLRMKCESSKFFLVSNNNGTCWIRSKSCYVLALTSSFSSAYDYLQDFCVAVPDATVAVFVNGKVCKNPKQVTAYDFLATDDFLLKS >CDP07428 pep chromosome:AUK_PRJEB4211_v1:10:1485884:1487496:1 gene:GSCOC_T00024693001 transcript:CDP07428 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC6 [Source:Projected from Arabidopsis thaliana (AT5G42520) UniProtKB/TrEMBL;Acc:A0A178UIB6] MDDSGHRENGRHKPPQGQWLMQHQPSMKQIMAIMAERDAAIQERNLALSEKKAALAERDMAILQRDSAIAERNNAIMERDNAIATLQYRENSINSGNMSPCPPGCQITRGVKHMHHPQQHVHHQPQVNEPPYGSRDMPISDAIPISPGVLEPAKSRRTKRTKDPKAVTSTKKASKSSKKVKREGEDLNKTMFGKSHEWKPGQEVGSGTDDLNRQLGVSKPDWKDQDLGLNQVAFDESTMPVPVCSCTGVLRPCYKWGNGGWQSSCCTTNLSMYPLPAVPNKRHARIGGRKMSGSAFNKLLSRLAAEGHDLSNPVDLKEHWAKHGTNRYITIK >CDP00866 pep chromosome:AUK_PRJEB4211_v1:10:8788857:8794052:-1 gene:GSCOC_T00034296001 transcript:CDP00866 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFCFFVTAILTANCATISQAVLHVDQNLSTDASSLLEFKSQVTSDPFRILANWSSTTHVCNWIGVSCNLHQRITALKLSNWSLSGTVSPHLGNLTFLTSLDISFNSFSGFIPYELSNLHGLKLMDFGYNNFSGEIPSWFGTFIELELLLLDSNRFSGVIPVPLCNVSQLKRLNLNDNLLQGTIPQGIANISYLRILNLRYNQLEGSIPSGIFNLTLLQRIDLTRNSLSGNLPMDICNHPSKLQGLYLSYNHFEGEIPTQLYKCRYLEYLSLSYNQFYGKIPRTLGYLGQLKELYIGGNIFTGEIPSEIGNLTHLEELSIRDSLLTGKVPFSIFNISTLEIIDFSNNSLSGSFPVDMFYNLPALKQMDLSSNQLNGSIPFFIWGCKALVDLGLKHNNFTGGISDRIGNLTSLSKIILDDNKLKGELPSKIGKNINLEVISLRNNHLLGLLQPGIFNMSSLVYIDLAGNQFSGSLPSSIWSTLPKLQEVYLDDNKFSGILPAAISNASKITKLCIIGNSFSGPIPTTLGDLQLLKYLLLGGNNFTRESSTPELRFISSLAKCRQLEVVELSQNQFNGFLPTSLGNFSTSLRSFRAFGSKIKGAIPTEIGNLSSLQAIYLDNNDLTGFIPPSVGKLSRVERIYLEHNRLQGQMPAELCQLKNLGDLYLNENMLSGPIPDCLGEIKALRAVFLQSNNLNSTIPSSLWNLEDLLGLNLSSNSLSGSLPSEVKNLKVITQLDLSWNQFSGNIPSPLGNAESLAYLSMAHNKFQGNIPESFGNLVSLEYLDLSQNDFTGVIPKSLEKLGYMKYFNVSFNRLEGEIPTGGPFANLTAQSFMHNYALCGSGRLHFPPCKKTASKSRSKKAISMIKYFLPPIILGIIVLAAISFACRKRKIPRRELPQSDNLLPPKWRKVSYQEILGATDSFNERNLLGTGSFGSVYRGIFSDGSIFAVKVFHAERSSKSFDAECQVLASTRHRNLVKIISCYSNQDFKALVLEYMHNGSLEIWLHSENSFLDMLQRLNIMIDVASALEYLHHDHTPPIVHCDLKPSNILLDEDMTAHICDFGIAKLFDDGEAMVQTKTLATIGYMSPEYGMQGTVSTSGDVYSYGVILLETFTRKRPTDDCFGQGLDLKHWVSKSIQANSMKEVIDSNLIQQEKQKLYAKEECVLSIFGLGLDCLVDAPQERINMRDIVSRLKMIKETLQKNVQK >CDP20304 pep chromosome:AUK_PRJEB4211_v1:10:24490223:24492220:1 gene:GSCOC_T00002159001 transcript:CDP20304 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGYLYNDYFKEAAELFDKMRRRDSFTYSLMITCYARSGFVEKARRVFDSMPDKSCAACWNALITGYVKNGMLSDGRKLFNEMPVRNLVSWNTMLSGYTRSGQMCLAANFFEEMEEKDWISWNLVLEGYTQAGDLNAAREFFERIPNPSVVSWATMLSGLARHGHLSEAEGFFNNMTERNVVAWNAMLAACIQNCKVDKAVELFNEMPEKDAISWTTIISGHVRIGQLEEAKKLFDTMPYENKPDQSTFASGLRVCASLAAEQFGRQLHHIVVKNGYMKDMVVSNALITMYAKCGSILSARDVFSDVDNLDVVSWNSLIAGYALNGYGIEACKLFQEMEGYAVIPDQVTFVGVLSACNHAGLVSAGLTLFNCMTQKYGIEPLAEHYTCMVDVLGRAGRLEEAFELIRKMKVQATAGIWGALLGACRLHKNVMLADFAARKLFEIEPHKTSSLVLLSNIYAQSGRWDEVDRVRNFLNQNGIEKEPGCSWIEDQRQILVFQSDNYSWPKTAEIYRALQILTTQIMELSCLNSIECALLDVG >CDP10664 pep chromosome:AUK_PRJEB4211_v1:10:11003493:11008211:1 gene:GSCOC_T00031448001 transcript:CDP10664 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGNGPYLYSTNNFVGRQIWEWDPNDGTPEEREAFEKAREDFRNNRKKGVHPCADLFMRMQLKKENSHIDLGIPPVRLGENEEVTYETATTAVRKAVRLNRAIQAKDGHWPAENAGPMFFTPPLLIALYISGAINTHLTSEHKKELVRYIYNHQNEDGGWGFYIEGHSTMMGSALSYVALRILGEGPDDGDGAVARARKWILDNGGATGIPSWGKTYLSVLGVYDWDGCNPLPPEFWLFPEFFPYHPAKMWCYCRTTYMPMSYLYGRQYHGPLTDLVLSLRREIHVKPYDQINWNKARHDCCKEDLYYPHSFIQDLLWDTLNYCTEPFMRRWPCNKIRQRAMAKSIEYMRYGAEVSRYITIGCVEKSLQMMCFWAHDPNGDDFKYHLARVPDYLWLAEDGMKMQSFGSQIWDCALACQAILASGMVDEYGDSLKKAHFHLKEAQCKTNPKGDFKKMYRHFTKGSWTFSDQDQGWVVSDCTAEALKCLLLFSQMPQEIAGEKADVERLYDAVNVCLYLQSPETGGFSIWEPPVPQPYLQQLNPSEMFADIVVEQEHVENSVSIIQALLLFKRLHPGHREKEIEIAVSKAVHFLEGKQWPDGSWYGYWGICFLYGTMFVLAGFTAAGKTYENSESVRKAVNFYLSTQNEEGGWGECLESCPSMKYIPLEGNRTNLVQTSWAMLGLMFGGQAERDPTPLHKGAKLLINAQMDDGDFPQQEITGVYMKNCMLHYAEYRNIFPLWALAEYRKRVWPSQRM >CDP11471 pep chromosome:AUK_PRJEB4211_v1:10:26497637:26499209:-1 gene:GSCOC_T00033741001 transcript:CDP11471 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTSKGGTTAPPATSQPSQDEQQRQRECCMCGDFGLSSELFRCKICQFRSQHRYCSNTYPRAESYRACNWCLNEKENSFNSSSSNKNATSTEDDVMKVAKKKSVHGGNGGGNGNLQRSNSSIKSEKGSPKLSVIIKNNRSIKKQKSPERSSPLLAARKRITTGSAVQESLRRTKSDISNSGMKKHVFKNRVRRYKLLDEVSS >CDP01144 pep chromosome:AUK_PRJEB4211_v1:10:5156021:5160327:-1 gene:GSCOC_T00034676001 transcript:CDP01144 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEEEISSCFGSGKIFPPGFRFHPTDEELVLYYLKKKMCRRRHLLDVIGETDVYKWDPEELPGLSKLKTGDRQWFFFSPRDRKYPNGARSNRATRYGYWKATGKDRIIACNARDVGVKKTLVYYKGRAPSGERTDWVMHEYTLDEEELKRSQAVKDYYALYKVYKKSGPGPKNGEQYGAPFKEEEWDDEGGETNGLIDHNDQENPVKLVNETAPVSENGIPVVVPSPAVDYEYSLEELLCEEEAQSNLVDQSSWEVNLHDQSTAVHPACQEYNVQPSFDLTQSGTSQLQLHEASEVTSAPQSYASAPHAVEGDFLEDFLEMDDLLGPQPTVQAFGKSAVENLQFDEFDGLSELDLYKDAPLFLNEFETGEQGQIAQPYMNNMGTEVVDPVSSFYFNDGGTETINCEQTYLSNPEEVSFQPWSHDQRSSVFAATDAHQGTIQPAISGMVYDGNFSDHGSGADQNQSGKQDGTDSWFSSALWSFVESIPTTPASASESANRAFERMSSFSRVRINSKNMNVAAGSISATSSSSVKCKSGFFFFSLLGLLCAILWMLIGTSVKVLGRCIA >CDP11936 pep chromosome:AUK_PRJEB4211_v1:10:24135588:24136444:1 gene:GSCOC_T00035249001 transcript:CDP11936 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPSQKFSASSSSHLFPEEEIGIGCVFLCVKMAEKERRKFRALDLYICQLKIQIFGVFTVFRWGISCAFRPLKSAAKGRRKLMEMLVEHSGE >CDP01099 pep chromosome:AUK_PRJEB4211_v1:10:5595312:5596298:1 gene:GSCOC_T00034614001 transcript:CDP01099 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein 9 [Source:Projected from Arabidopsis thaliana (AT1G78310) UniProtKB/Swiss-Prot;Acc:Q9M9F0] MEKSCHSSSSGAAGGGDSSITTASSAATTTTSSSLTTTISSSSNNNNSNNTNNRDVYLKHLNKISHKISKPIRRPPVFDQNNQEISAPPPPQVPPPPAAQSQQSQQQQQHQPPVYNINKNDFRDVVQRLTGSPAHERFSTPPPIQPPKPPSSRLQRIRPPPLAQISNRPPPLPQINNPMTCGNPTGGGGSASAAGFLIGQRQPLSPLPPFPAVHAAAESPISAYMRFLQSSVSSAASPKWNNLPPPQMPPPPPALHQQQQNIPPPPQGFPQFPVLPPTSPLAFGCIPSPRSPYALLSPSLLFSPTGLGFPQLPLSPSLPVPSPRWKGI >CDP07417 pep chromosome:AUK_PRJEB4211_v1:10:1359807:1365995:-1 gene:GSCOC_T00024676001 transcript:CDP07417 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKAASVTVASDSNNAPSDSAGSQGDQVKKDDYKKPKYVQISVDTYSYLTGLEDQVKSYEEQVGTLEEEIKELNEKLAAANTEMTNKENLVKQHAKVAEEAVSGWEKAEAEALSLKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLHEVVVNKTKQIDKLKLDFESKISNLDQELRRSAAENAALSRSLQERSNMLIKLNEEKSQAEAEIELLKSNIESCQKEINSLKYEVHIVTKELEIRNEEKNMTVRSAEVANKQHLEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDQGETRMKRSPVKPPVAHLSQLPEFSIDNAHKYQKENQLLTERLLAMEEETKMLKEAVAKRNSELQASRSICAKTASKLQSLEAQLQANGELRSPLKFNIQMPIEGSLSQNASNPPSLTSMSEDGNEDDASCAGSWATALVSELSHFKKEKNIDGSQKSENANHLELMDDFLEMEKLAYLSNNSNEAVSVSDISNNGISDIVNHNSAVEGGLQEHHDSDSLEIQISSKLELPQKDPEVNADPLVKLQSRLSVILESLTMHTDIHKVLEDLRHVVQETYDCLHHQSVSCVVEATQALDASSDFKSEAEVTSEKETVFSEQRKPCMETLCALSQELVAAITQIHDFVTVLGKEAKVVQGISVDGEGLGEILDDFYGKYNEVASSKGDLVKFVFSLSRVLGKANELHFNVLGYKISEVESNNSDCIDKVALPENKGSQDSTDRYPNNCTNFSDSNSDPDIPHEGSPVPTSELTATSRKCSLEEYEQLKLEKESFVVDLARCTENLENTKTQLQETEGQLAEVKSQLTSAQKLNSLAETQLKCMAESYKTLEARAEELQTEVNLLQVKIESLDNELQEERRNHQDALSRCKDLEEQLLRIRSCPPDVDAKTNQERDLAAAAEKLAECQETIFLLGKQLKALRPQTESMGSPNHERNHKDEGFNEEPTISGMNLQEIDPSESDMATSVSLHRAGGDSPVDLYSAPFSPDSEGNNLLKSPTSSKYSKHRPTKSGSSSSSSTPTPEKHSRGLSRFFSSKAKTGN >CDP18271 pep chromosome:AUK_PRJEB4211_v1:10:24674449:24675342:1 gene:GSCOC_T00011997001 transcript:CDP18271 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGSNKFVRKSPCPEGRLPCGGSISHIGGWGWGLLGYKSLGHAQELPAFEYCSGIRFIIW >CDP01055 pep chromosome:AUK_PRJEB4211_v1:10:6109297:6110135:-1 gene:GSCOC_T00034552001 transcript:CDP01055 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDGEVPQADEKCTCTSLDETCSICRRKSSSLPSSSSSCSLLSFDSYPVEDYDRLWRIFTASVKGFTIGAGLKGGLGLFAILARLRRRRSLPYARKMEMVSSSEDVILAVKETMRYGLFLGTFAGTFVSVDEIVTALGGHRRHGCSS >CDP07608 pep chromosome:AUK_PRJEB4211_v1:10:2830183:2831231:1 gene:GSCOC_T00024915001 transcript:CDP07608 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRESISNQTHLSLSLAKHIIATNTQDCNLVLSALSIQVLLALIASGSKGPTQDQFLSFLKSASTHDLNSLSSQLVNGVFADGGPSGGPRISFANAVWVDQSLHFKPSFKEIVDDVYKAASDRVDFQNKPDEATNEVNGWVRKETNGLIREILPRGSVDGTTRLILANALYFK >CDP00930 pep chromosome:AUK_PRJEB4211_v1:10:7759924:7786233:1 gene:GSCOC_T00034387001 transcript:CDP00930 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNSTGSIILFYRTENPLQAIFQLHVLSLEILREAVFGNMNNLQFLSENGRVQKFANSFCSLAFMLQDYKQQELKMLVHDDEYVNATKLHEKGLSPPAADSQNWNHYVTNLIAVLCSFLLGFEDTIHQNLQPSTGTSTLPVSSAYLELSIKWFLRVLLTIFPCIKACSYGNELPSHLRIFVYTLQHSVLLTFRKILILLPSSLDVFRAEGAWDFIFSENLFYYGPTAVEFSGDNCSSSEGFIMRSRQFSGFTGNDGHISSNEVEVLQVEAISFLELAATLSGISHNLAECSVLLDALEQSVANPEIANVLAKSLLHVMQLSPEKTVSSFKALDAIPRVLKVASILAQESKRHLSSSFSETTSEKMVFLNNGISDSPKTVPSWRKSVETCLELFAEYFVTDDAKIYILHSSACIDCLFDLFWEEDLRNRMLKYILDLMKVVPSSEEDRKAKLYLSSKYLETFTHVKEREMNFAELSVDLLVGMRNILLMDQTQYRALFRDGECFLHIVSLLNGNLDATTGEKLVLNVLQTLTCLLSGDDASKAAFRALVGKGYQTLQSLLLDFCQWQPSESLLSALLDMLVDGKFDLKASPLIKNEDVILLYLNVLQKSSDSERYNGLNVLLQLLRDSISNRDSCVRAGILNFLLDWFSQEDNVKVVLKIAHLIQVTGGYSISGKDIRKIFALLRSEKVGTRQQYSSLLLTSMLSMLNEKGPTAFFDFNGIDSGVMIKTPVHWPLNKGFSFSCWLRVESFPRSGTMSLFSFLTESGRGCFAVLAKDWLIYESNNQKHQAVSLQVNLVRKKWHFLCLTHTIGRAFSGGSQLRCFVDGVLVSSEKCRYAKVNEVLTSCSIGAKLNLKLYEEDDAIFSIKDSSPFFGQIGPVYLFNDAITPEQVQGVYSLGPSYMYSFLDNEVSVSVDNPLPGSILDAKDGLSSRIIFGLNAQASNGRTLYNVSPSLEHLPDDPFEANVLMGTQLCSRRLLQQIIYCVGGVSVFFPLFTQSDLYEHTQSEKFGETLLTTITKERLTAEIIELIASVLDENLANQQQMLLLSGFSILGFLLQSVPPQQLNLETLSALKHLFNVVANSGLSDALVEDAIFHVFLNPFIWVYTVYKVQRELYMFLIQQLDNDPRLLKSLCKLPCVLDIIRKFYWTNAKSNSVIGSKQLLLPGTNQVIGERPDREEVHKIRLLLLSLGEMSLRQHISVTDIKALVAFFETSQDMACTEDVLHMVIRAVSQKPLLASFLEQLNLLGGCHIFVNLLEREFEPIRLLSLQFIGRLLVGLPPEKKGSKFFNIAVGRSKSLQEGYKKVSLRMQPIFSMISDRLFKFPQTDVLCATLFDVLLGGASPKQVLQKHNQTEQQRSSRSNSQFFLPQILGLIFRFLSGCEDAVARIKIVGDLLDLLDSNPSNIEALMENGWNAWLLASVKLDVVKNYQMDSQSLNDIEMNERMFVRSLYCCVLCHYIVSVKGGWQQLEETMNFLQMECDQGGISYHYFLHDVYADITQRLVSIEENIFASQPCRDNVLYLLKLVDDMLIFEVDHKIPFPTNGSGYPPDFLEIENRKDVGSVLSEALQGEPRDDLSRNPWMQQQDDSIQDEKTDDEWWIMYDNIWIIISMMNGKGPSKALPRSSSTSIPSFSQRARGLVESLNIPAAEMAAVVVSGGISNALGGKPNKTVDKAMLLRGEKCPRIVFRLMILYLCKSSLERASRCVQQFIPLLPSLLTADDEQSKNRVQLFIWALLAVRSHYGISDDGARIHVLSHLIRETVNCSKLVLASSMSRDDSSDLGGNPKEPSAIHNLIQKDRVIAAVADEMKYIKGSTADRTRQLDDLRFRMDEVLNSESNQQRVFEDETQSNLSSILASDDGRRASFQLACDEEQQSIAEKWIHMFRSLIDERGPWSAKTFPNNSITHWKLDKTEDGWRRRQKLRRNYRFNEKLCYPSSNFPNSDALSTVSDAKTGFGAHIPEQMKRFLLKGIRKITDEGTSEMSDNDAESSNPKASTPEDLTDRQYPETEKDSSNLKDIVQDRKDSSNLTSESENNEVLKSVPCVLVTLKRKFAGRLAVMKNYLHFFGEFLVEGTGGSSVFKNFDSSGNLDHKSHDQLGVVDKQKFYQFPIGSDLSSERGSVLDRINAVQDDILQKQYKDIKRHRRWNISKIKAVHWTRYLLRYTAIEVFFNNSVAPVFFNFSSQKDAKDVGNLIVATRNESITPKGYKDKSGAISFVDRRVALEMAETARESWRRRDITNFEYLMILNTLSGRSYNDLTQYPVFPWVLADYSSESLDFNKSSTFRDLSKPVGALDLKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLFYLLRLEPFTALHRNLQGGKFDHADRLFQSIEGTYKNCLSNTSDVKELIPEFFYMPEFLVNTNSYHFGVRQDGEPLGDVLLPTWAKGSAEEFISKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLDTMDDELQRSAIEDQIANFGQTPIQIFRKKHPRRGPPIPISHPLKFAPASINLTSIASSSSNSAAVLYVQVLDSSIVLVNQGLTMSVKIWLTTQLQSGGNFTFSGSQDPFFGVGSDVLSPRKIGSPLAETLELGAQCFSTLQMPSENFLISCGTWENSFQVISLAEGRMVQSIRQHRDIVSCIAVTSDGSILVTGSYDTTVMVWEVNRLRATEKRVRNAQAELPRRDSVIAETPFHILCGHDDIITCLFASVELDIVISGSKDGTCVFHTLREGRYVRSLQHPSGCAISKLVVSRHGRIVVYADDDLSLHLYSINGKHISSSESSGRLNCMGLSSCGQFLVCAGDQGQIVVWCMYTLEVVRRYTGIGKVITSLTVTPEECFIAGTKDGSLLVYSIDNPQLRKSNVPRNLKT >CDP01302 pep chromosome:AUK_PRJEB4211_v1:10:3684687:3687529:1 gene:GSCOC_T00034900001 transcript:CDP01302 gene_biotype:protein_coding transcript_biotype:protein_coding MFEWLQAAIVAACIVAFVLIIVIIKRCFCSNRKRREILGGNEETVPQRLESGTAKLHHVSLHHLDRDGSKRTNYYVFRRGLSTRPFFNWADHPSLVTDAVENGWSRFAFTTFTPSPSARSTRSLLGPCATGDHDRQMEVEISWEVCQGSADFLQKIRLNSGLKKTPNTAFNSSMVAKSAIRTALPLPGPPLGNSAFPQEAYFEIIILPFGEDQNDVVGKAKGGRLDGDKIKLIQEDFNAKVSPETLINFPSGHGNGNSKIEEMKLAGKQDGKPGAAVLSIGLAGGGPLPLKLPGSYPGSIGFNSNGSIFLDGVKLVFESEREEWGRADTVIGCGYNPSQKKVFFTVDSQLVHEIHCKSEEFGTPLYPTLAANSDITVVVNLGQCAFKYAQANLQRTPNPCFIGPLANSPVLGYEDSRELFSMGRIDAQWLNRSTTRSTSTPGNNLNRGVEFDEESEGDLFEIVLDSYGKSPITNTS >CDP01062 pep chromosome:AUK_PRJEB4211_v1:10:6057011:6061708:-1 gene:GSCOC_T00034561001 transcript:CDP01062 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFFCFNAGCGQCVRGESSGSSGKGKSRENKIKYGFSLVKGKASHPMEDYHVAKFVRIDGHELGLFAIFDGHLGDAVPAYLQKHLFDNILKEGSFWTDPGGSISKAYEKTDQEILSNSSNLGRGGSTAVTAILINGQRLWVANVGDSRAILSRGGQAIQMTIDHEPSTERGSIENKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDILDMNVDVNCDILILGSDGIWKVMTNQEAVDIARRTKDPQKAAEQLTAEALNRDSKDDISCVVVRFRK >CDP07599 pep chromosome:AUK_PRJEB4211_v1:10:2738526:2741393:-1 gene:GSCOC_T00024903001 transcript:CDP07599 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISFCAALMMDKKSRRRKGSWVEEEEEWGKAKPSTLKNLPENKLREALEEASEDGSLAKSHEIDSESLNQDGYLGRSRSLARLNAQKEFLRATALLADRTFCTEESIPDFNEAFNKFLTLYPKFQCSERIDQLRSDEYSHLCDPGAKVCLDYCGYGLFSYFQTLQYWDSSAFSLKEITANLSNHALHGGAEEGTVEHDIKTKIMDYLNIPENEYVLVFTVSRGSAFKLLAESYPFHSNKRLLTMFDHESQSVSWMAQCAKEKGAKVYRAWFKWPSLKLCSRELRKQISNKKKRRKDSAVGLFVFPVQSRVTGSKYTYQWMAMAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYKVFGYDPTGFGCLLIKKSVMATLQNQAGRTGSGIVRIVPDFPQYLGDSLDGFDGLMGLEEKADDKNENTEPEKPGGPQLPAFSGVYTASQVRDVFETEIDQDNSSDRDGASTAFEEADIISVGEVMRSPIFSEDESSENSYWIDLGQSPIGSDKSSQLTKQKSDSPLRPSLFPARRNNEQLSLKATSKYTESPIHDDKRLSFRKHEDHVLSFDAAVKSVSQDLDHVKGIPEEESLSETEPNLKKLGNYANGTNIREIQEENESSLESRIACSRWSCASNGFGSKHRNSGLQHSKLEISSTSELCPERKESAIRRETEGEFRLLGRRERNGYTGGRFFGLEDGDRVAGMGSRVSFSLDDSQIVNPKSSFEPGEPSVNSLVDAESIHEGEYGDEQWNGREPEIFCRHLDHVNMLGLNRTTLRLRYLVNWLVISLLQLQFPSFDKGVKTSLVQIYGPKIKYERGASIAFNVRASSKGGIIHPEVVQKLADRHGISLGVGILSHIRLANGAKQQNGALWIEDAAFCKPMSNSHCRNENALLRIEAVTVSLGFLTNFEDVYRVWAFLAKFLDPSFVEEDRLSTVHEDLEEALN >CDP01020 pep chromosome:AUK_PRJEB4211_v1:10:6577345:6577695:1 gene:GSCOC_T00034506001 transcript:CDP01020 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSSSSSCATCFNHGANSVETKMARSSPNNHGCSPGCGRLDGVAMWIINGVASAFFASLDRCSCIRIATVDDTDDSNDLPLIFNDGNNSTSALDGSGRRRTNSKGKRDGVLIY >CDP10678 pep chromosome:AUK_PRJEB4211_v1:10:10660555:10664367:1 gene:GSCOC_T00031467001 transcript:CDP10678 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAGGGGVGLSRGLGGGGGGGGLARFRSAPATWLEALLENDDDNDVVLDPPVLASSSNKPPLHPPASSPVQSTQQQQSSAASSRYAADLGMLDTVGSGGGGLSGLLRQNSSPAELLSDGYFSNFGIPANYDNFLMSSLDVSSESPSKRPREADSNNPSPKASSQVKGEQSEQLHAGMGRLLDSEMEKLSEDAVLCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLEEAVEYVKFLQKQIQELKEQQKKCKCFAKE >CDP11393 pep chromosome:AUK_PRJEB4211_v1:10:25581344:25585907:1 gene:GSCOC_T00033623001 transcript:CDP11393 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAFRDSSLKGPLWEEVSRKMAELGYQRSSKKCKEKFENVFKYHKRTKEGRASKADGKTYRFFDQLEALETNPSMQLPQPPTRPQPPTPAAAAKAVPMHAASNPPISNAIPTIPSLPPSQSQHLHPPPPTTNAANHPPPPHHHHHHPSNTSFPHHPSLSTSMLSNSSSSSTSSDEDIGRRHLRKRKWKDFFERLMKNVIDKQEELQKKFLDTLEKRERDRMIREEAWRVQEMARINREHDLLVQERSMAAAKDAAVIAFLQKITEQQNPNNPNSTPIQLPAQLQLPETTRIPPAPPPTNFMQPSSSRWPKAEVQALIRMRTNLDVKYQENGPKGPLWEEISSGMRKLGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYREKAKGETTSFASGYQNVKPENPMVPIMARPEQQWPLQQDQQQQQQQQQQQQQDSAMEDHGSDNMDENDHDEDDGDEDEDEDEGAGYEIVTNKQASSVATTVE >CDP10672 pep chromosome:AUK_PRJEB4211_v1:10:10759589:10761898:-1 gene:GSCOC_T00031458001 transcript:CDP10672 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPVQRPDYLLVETVPPVAEGGTYDVVDTMQFLYVNVVKAKDLPGTEISGSLDPYVEVKVGTDKGIVTKNVKKNQNPVWESVIAFSKERLQSNLIEVTVRDKETDLEGNFVGRVSFDVTKVPLRCPPESPIAPQWYKLEDEDSQRIKTGEIMLAVWMGTQADEAFTEAWHSDAQSFSRQSQVDTRSKVYFSPNLYYLRIHVIEAKDLISSEKGRQPAAYVKVDVESGSHKTEPSKERTNSPVWNSEMMFVVSDLSDRCIFIAVEDKVGPGEEEEIGTLVIPVREVPHRGENNKLPDARWFNLQSRSVTEEVKKKGKVNFCSRICLSICIDSGYHVVDEPIEFSSDFQPSAEHLRRSSIGFLELGILSAQNLLPVKMNNDGTSKRTDAYCVAKYGNKWVKTRTILDTPTPYWNEKYTWKVYDPCTVITIGVFDNCQISGWKENVKDQGIGRVRIRLSTLVMGKIYAYRYPLLVVGPRGFRKQGGLHLAIRFTCTAWRNMVTQYCRPLLPKMHILVPITVSQLDMMRYQAVNIVAKRLSREEPPLRRETVTYMVDYWRLPSLRELKASFHRITSLLSGISAISQCFHDICHWKNPLTTILVHVLFFMQVHYPLSIMPNIFLCFAVIGLRNYRFRPRHPLHLDAQLSLTEAVDEDELDEEVDTFPTSRPIDLVRMRYTRLRSVAGLIQTSLEDVAMQGERALSMVSWGDPRATVIYIIFAFFCSLVLYVAPVQVVQLTGLYLLRHPLFRSKVPSQAVNFFNRSPTKSDMLL >CDP11503 pep chromosome:AUK_PRJEB4211_v1:10:26822601:26829491:-1 gene:GSCOC_T00033789001 transcript:CDP11503 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSLLSPCEMRICGHYGQFKVHGGLLHSGMMEKTRLHSFSSSLSSHSVRQDAWSLYVLKNVHGPLCPNNAKFNGFTCRSLLKPGGGNEIPVLKAAAKIISRSHGTLHGSPPVLHLVPAIGIIAFAAWGLGPLMRFGRILFLSKNDGSWKKTGIHNLVTSYLQPLLFWTGAMLICRALDPVILTTVTSQAVKRRLLYFVRSLSTILAFAYCLSSLIHQTQKFFVETKESSDARTMGFEFAGKAVYTAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREILTNFLSSAMIHATRPFVLNDWIQTKIDGYEVSGTVEHVGWWSPTVIRGDDREAVHIPSHKFTMNVVRNLSQKTHWRIKSSLAISHLDVNKINNIVSDMRKVLAKNPQIEQQKLHRRVFLDYIDTENQALMIMVSCFVKTSWFEEYLCVKESILQDLLRVISHHRARLATPIRTVQKVYGETDVETIPFSETIFRRTRAASNRPFLLVDPSYKVNNDNKIRTKGHTEQPNEEKDEKVEATSTSETKVDAKVGPASIVDDDKVDDEGSTELISDNNQKATVERASTSDALPHMGSIDPGQRNSVKQRADRARPSVQEFGRDGTAVSSSTSQAMPDVERHIPSASAPKTTLEDNIVLGVALEGSKMTLPIEEDMMPTPTQSEVKEAAACWNGNGLATVGKDKQDEIGEIPNGGPRDLQEKEK >CDP07356 pep chromosome:AUK_PRJEB4211_v1:10:951366:951779:-1 gene:GSCOC_T00024596001 transcript:CDP07356 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPKKKNFNFLLLLSSMLLMAWLYLREKKLAGCFSQIVSTPLPTENLQKYIYIYI >CDP17877 pep chromosome:AUK_PRJEB4211_v1:10:12301777:12303186:-1 gene:GSCOC_T00010112001 transcript:CDP17877 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELIFIPLPAIGHLVSMVQFAKLLVSCSEEFSINLLLFKLPFDAKFNSYIQSLYTQTTRGIQFIQLPDPQLSSQPADPLAIQSALIDSNKPHVRDFVAHLKHSGSSKLVGLVVDLMCTSMIDIAKEFEIHAYVFYPCAAAILGLSILVQGLVDDNQDTSKYKDSDHELSVSTYINPVPAKLIPESIFDEEGINLKIAKRVIAETKGIMVNTFLELELYAIKALTSNPKIPPIYQVGPLINDAGISSNQEEIEPIIRWLDDQPTSSVIFFCFGSFGSFDSDQVKEIACAIELSGCRFLWSLRRPPPKGRLEYPSEYENLNEVLPEGFLQRIADTGKVIGWAPQAQVLAHAAVGGFVTHCGWNSVLESVWFGVPMAVWPMYAEQHMNAFFAVKDLGIAVEIKMDYMKNSSQVMVKAAEIEKGIRQLMEPEGKIRKRVRELKEKARTSLIKGGSSCISLESLLQHLSCFS >CDP16857 pep chromosome:AUK_PRJEB4211_v1:10:15277120:15277894:-1 gene:GSCOC_T00019419001 transcript:CDP16857 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRGKGSMRIKKKAIHKTTTTDDKRLQNTLKRREVNAIPAIEEVNIFEEDVVIQFINPKVHASIPVNTWVVGGSPQTKEYSLSLSLC >CDP07332 pep chromosome:AUK_PRJEB4211_v1:10:816371:818059:-1 gene:GSCOC_T00024565001 transcript:CDP07332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07860) UniProtKB/TrEMBL;Acc:A0A178V8D0] MEASAKTDDAGLATDPNVGPPEYTSSNVKKARLHSTLAALLDDPVLADVPKKPTLSDVDTLISLELGSAMRISVLKLDGTSFDVPLVNSATVKDLKLAIQKKTNEIEQSRMGHRHISWKHVWENFCLSCHNDKLLDDNALLQSYGIGNNSQVRFISYIMSKASKTHSRRRKHRFMHSLKKSTQPVAISSL >CDP07328 pep chromosome:AUK_PRJEB4211_v1:10:794059:801170:-1 gene:GSCOC_T00024561001 transcript:CDP07328 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVVQDNSGGDERPQISNSAAANVAEEVEELKLLQDAVYALPTHAVKDFFSLGACARCIFRLFGLCQKISSFPSLPTATMNAMLEKNVLGRDRDDNCSGSAERKDSGSQQLSSQTFVLEHAVCRICLGILDYVYHDEKEILVKKDSAYEFAKIVAESVKQEHPQIDSFSLEVSLPPVVMDNEQVVRSFMRKKYGSEHWFLQKTLTDCISIKDALKLSILDTLEKLLGTKSNLSTFRIRLTYETSEESRRKPDNEEIDGCKRRKTNMLYTADEKQASETSGSKNFAEHENKQYECTEGFAKDLEGHGSDYLKFQLEKESQPFGLVFLCYRCPIYIGGRYLKYSRNVSQTCWMIEDERMGEASVEEIIGGSILPLCQGDGYKFHAAGREDIDVRMLGTGRPFLVEIQNAHQVPSEELIKEMEMKINSQENKLVGVKNLKILDNKGWALMREGEAEKQKQYAALVWISRPFNDDDSKTLSSLQEMQILQKTPIRVLHRRSPLEREKVIHWMKVEKIAGSCQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSILGCRAEILQLDVTDVKMDCF >CDP00899 pep chromosome:AUK_PRJEB4211_v1:10:8177009:8180401:1 gene:GSCOC_T00034342001 transcript:CDP00899 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFNNQYIINSWPDEQVDDRFFDSKPFLSEVFPAISYCNSESIPIQMPQTCMKQKFKQLNDTNLSPSHPTVESSQKGSSPFSNRQLISFGKSSPYSSDGEKLYEDDIVYNSRSPIRRTPIQAQYHVAAERKRREKLSDLFIALSKLVPGLKKLDKTSVLEDATKHIEELQERLKTLEEGEKNNSTAPNTMVERCIISTCSDNTSSFKGTTLEQIPEIKVKIQGKSVLIKILCEKKYYGSISSMSTELEKLHLTILDTRILRFGSCTLDITLKAQMDSAFSVTVEDIIEHLQLGIFQLQS >CDP01054 pep chromosome:AUK_PRJEB4211_v1:10:6112949:6120882:-1 gene:GSCOC_T00034551001 transcript:CDP01054 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGLAKATHKNPNCKEIVFIGGIFLDNTISSSILDAISSKTTHSDDPHFSISYQKKKPLFKFPAVKGGDFLCVGLSIRSKNVERSCKEEALVEPNGVGDIEDEGNKQKVQLRDSGRGAVNTTKHLWAGAVAAMVSGTFVAPLERLKLEYMVRGEEKKLFELIKTIAATQGLRGFWKGNLVNILRTAPFKAVNFYAYDTYRKQLLKLHGNEETTNFERLIAGAAAGITATVLCLPLDTIRTKLVAPGGEALGGVIGAFRHVIQTEGFFSLYKGLLPSIMSIAPSAAVFYGVYDILKSAYLHSAEGRKRIQNMKRQGQELNALDQLELGPIRTLLHGAISGACAEAATYPFEVVRRQLQLQGRYNKGGVLTTSIKIVEHGGVPALYAGLVPSLLQVLPSAAISYFVYEFVKIILKVE >CDP01250 pep chromosome:AUK_PRJEB4211_v1:10:4191897:4195259:-1 gene:GSCOC_T00034833001 transcript:CDP01250 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEMLYHVIRSPNSSFYLWQFFHHLRPDLYSYLIIFFFFFCVLLVILKRLLFHINTTNKLPPSPPALPLIGHLYLIKNVLHRSLTELSHRYGPVFFLRFGCRSFVVVSSPSAIEECFTENDIVLANRPHSVAADHFSYDYTAFVWAPYGHVWRAIRRLTNSEVFSFNSLQKSSVIREGEIRIILQSFYRICKRGSRSIDLNHWVSVYTLNIAMRIVAGKCTVGREDAGNELGKKKRKEIRDIFTTSITMNSCDFFPVLRWIGYKGLERSMISLQNKRDKFLQGLVDEIRRDKLDKEKKNATLIGSLLFHQDQEPDFYSDQLIRSILMIMFVAGTETSIVTTEWAMSLLLSHPEAMNKLRREIDNNIGHRRLLDESDLPKLPYLRCIVNETMRLYPPAPLLLPHCPSEDFTVGGYGIPKGSTLIVNAWAMQRDPKVWEEPEMFKPERFEAMEMERERFNFVPFGVGRRACPGANMGIRNISLAVGSFIQCFDWRKIEEDEINTSHTTRITLSMAKPLEVMCIPRQESIQLLSQL >CDP07474 pep chromosome:AUK_PRJEB4211_v1:10:1838678:1842023:1 gene:GSCOC_T00024746001 transcript:CDP07474 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKLVLLVSHELSLSGGPLLLMELAFLLSGVGTEVVWLTNQKPVEPDEVIYSLEHKMLEKGVKVFPAKGQEAIDTALKADMIILNTAVAGKWLDAVLKEDVSRVLPKVLWWIHEMRGHYFKLEYVKHLPFVAGAMIDSHTTADYWKNRTQERLGIKMPDTYVVHLGNSNDLMEVAEDTVARRVLHEHVRESLGVRKEDLVFAIINSVSRGKGQDLFLRSFYESLQIIQEKKLQVPSLHAVVVGSDMNAQTKFETELRNFVVEKRIHDRVHFVNKTLNVSPYLASIDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTMEIVLNGTTGWLHPAGKEGVTALAENIIKLSTDVNMRLRMGKKGYERVKEIFLEHHMSHRISVVLKDVLRKAKDNA >CDP18328 pep chromosome:AUK_PRJEB4211_v1:10:16803415:16804544:1 gene:GSCOC_T00007951001 transcript:CDP18328 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVSSQMYYRATKFNCGDQFFSFVLSVSFKTWLSLSSTNCLIDVSFNCFFLISTQTNCSCLR >CDP18299 pep chromosome:AUK_PRJEB4211_v1:10:25045917:25050596:1 gene:GSCOC_T00012035001 transcript:CDP18299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative UDP-glucuronate:xylan alpha-glucuronosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G77130) UniProtKB/Swiss-Prot;Acc:Q8W4A7] MRGILGSSANPIEPRLRVSTPSEEANKKKLLRSKSSRDGEKVLYSPRQERVLNCKFTTLKLVLGIIILGAFFMTLHSPTIYEAESASTSGSGPNLVNRWTRDITAADGRYVSLLAINWDEVSKVVNRLTDRNDYRGIGLLNFHESEIGQWKLFFPDAEHVLLNLESVISDVTWDTLYPEWIDEEEEYEVPTCPTLPTIQFSGKPRIDFIAVKLPCNKSGEWSRDVARLHLQLAAAGLAATAKGSHAVHILLVTDCFPTPNLFSCKELVAREGNTWLYEPNLHTLRDKLHLPVGSCELAVPLKVKESWHTGNAHREAYATILHSAHVYVCGAIAAAQSIRMAGSTRDLVILVDETISEYHRGGLEAAGWKIRTIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEISATGNNGTLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWMGDEEEIKEMKTRLFGADPPVLYVLHYLGLKPWLCFRDYDCNWNVDILQEFASDVAHRTWWKVHDAMPENLHRYCLLRSKQKAALEWDRRQAEKGNYSDGHWKIKIQDPRLNTCFEDFCFWESMLWHWGDKNWTDNATATSTPKTIPTASLPS >CDP07406 pep chromosome:AUK_PRJEB4211_v1:10:1266908:1271940:1 gene:GSCOC_T00024663001 transcript:CDP07406 gene_biotype:protein_coding transcript_biotype:protein_coding MSINKIQEITSKNNARLTWEGCSILLDINDGERMVFERLTAGATLKVGNKKCSLQPLINCPFGSLFQVENGTDGPFLSRIFSTSEGSNDLQEEKESPTVYGSKDNRELMDNNTAQSLTGEDIDELRRKGASGVEIIEALITNSATFEKKTSFSQEKYRLKKQKKYSPRVLLRRPTARSICEAYFKKRPEQIGFLRMDALALLLSMASVTSHSDVLVVDMVGGLLTGAVAERLGGNGYVCNTYRGITPYPIDIVRMFNFGTEICERIVNSSLTELCATSNVTSVSSSQLEEACGTRSQSNEEGPSSSVPSLDMGEIMISSEDGDTDISPASLAVKPCKATKVGQKAPLDAIKSWRENGFSSLIIAAPQIDAWSMVKELLPLLSFSAPFAVYHQYQQPLALCMHNLQVGKMAIGLQISEPWLREYQVLPSRTHPHMQMSTSGGYILSGTRIFGSK >CDP11375 pep chromosome:AUK_PRJEB4211_v1:10:25357704:25358450:1 gene:GSCOC_T00033600001 transcript:CDP11375 gene_biotype:protein_coding transcript_biotype:protein_coding MASNHTTPQDAKLSFPANDVERQMGHEIKQKDINYSKRAQWLRAAVLGANDGLVSTASLMMGVGAVKPDIKTMILTGFAGLVAGACSMAIGEFVSVYSQLDIEVAQMERDNRKAITMQRQEAEEEEGDKESLPNPLQAAVASALAFSVGALVPLLAASFIREYKLRLGAIVAAVTAALVLFGWLGAVLGKAPRIRSSARVLFGGWLAMAITFGLTKLIGSSGL >CDP00951 pep chromosome:AUK_PRJEB4211_v1:10:7468001:7468361:-1 gene:GSCOC_T00034416001 transcript:CDP00951 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKKLTGDEALLDSICKQNNDEKIENQGIDDVIVDVKTWYIQSTDTQPGGATKPRRSRCFQLPT >CDP01237 pep chromosome:AUK_PRJEB4211_v1:10:4299955:4301780:1 gene:GSCOC_T00034810001 transcript:CDP01237 gene_biotype:protein_coding transcript_biotype:protein_coding METSYLYLPLFLALYIFTKHFLNKIRNLPPSPILNLPVLGHLLLIKKPLYRGLAKISDRHGPVLLLEFGSRPVLLVSSPSAAEECLNKHDIVFANRPRLLAGKHLGNNYTSLSWTSYGDHWRNLRRIASLEILSSHRLQTLHAIRVDEVKLMLKRLFSASENKKSVDMKALFFELMLNVMMRMIAGKRYYGENVGEVEEARRFREIVEETMRIGGASNMGDFWPVLRWLKVGKTEKALRVLQENRDQFVQELIKGFRSAKHAENGGADAEETGEKKKTLIEVLLTLQQKEPEYYKDEIIRSLMLVLLAAGTDTSVGTMEWALSLMLNNPSTLEKAKAEIDRIIGKERLLDESDVANLPYLRCIISE >CDP00948 pep chromosome:AUK_PRJEB4211_v1:10:7575885:7577090:1 gene:GSCOC_T00034411001 transcript:CDP00948 gene_biotype:protein_coding transcript_biotype:protein_coding MYCAISSCCFVLILSITSSYRQLFFSFLSPYILLSQVLKLIVKPYMHFLSFARGFRGFDIFFWLLLVSPPRLPPVHELLFKSTFQVRHIL >CDP01309 pep chromosome:AUK_PRJEB4211_v1:10:3629438:3631914:-1 gene:GSCOC_T00034908001 transcript:CDP01309 gene_biotype:protein_coding transcript_biotype:protein_coding MALWISRRAFRSWNGAFSSALGFSSGLSCQRAVRQSVFSVELSALQKNELLIAACRGFSTSILTPGSCEDAFPSDLLSRKRVFPPERTVGLCQDLVIPVTNFSNEDKGLMVLAGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWRQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLNKKVRRLGLKIALSARAAEGKASF >CDP11469 pep chromosome:AUK_PRJEB4211_v1:10:26452939:26456273:1 gene:GSCOC_T00033736001 transcript:CDP11469 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPKPNPKPEDHPHSADYAPYPKLDTQDITPPPPTTTDTWTSVPVGSDPPPPSSQPPQTHPLPGASAATSMPPESNPYVSPAPAGGSGSSVKNTVDSVKDVLGKWGKKAAEATKKGQDLAGNMWQHLKTGPSMADAAVGRIAQGTKIFAEGGYEKVFKQTFETAPEEKLLKYYACYLSTSAGPVMGVLYLSTAKLAFSSDNPLSYKVGEETQWSYYKVVIPLHQLKAVNSSTSKINSAEKYVQIISIDNHEFWFMGFVNYDSAVKNLQGALSAPLLQSA >CDP00887 pep chromosome:AUK_PRJEB4211_v1:10:8355462:8357324:-1 gene:GSCOC_T00034326001 transcript:CDP00887 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCLVFLFVAVIREVSKIKERHLQALGIVEFVCEQLKKEEDTNSVQEYFIPDNHTPILHLAIEQGAFKLVEECLNHFPDLIWYADRKTELLLLHAAVEHRQVKIFNHIIDLIGNNTKAFAELQADKGNNILHLAAKLASTSQVASVPGPAFQMQLEFQWFKAVEAIVYYELRIKKNDEGKTPRELFSDTHEKLLKNAKGWTKDLSNSCMVVATLVATVAFAAMITAPGGNDSETGMPVLARKKLFTVFSISNALSMISSAASLLMFLSIQTSRYTEDDFLKSLPRILVRGLVFLSIAVITMMISFGTTIGLSLQTRQKWAYIPITIVACFPAIIFFWLHLPLLLQTVLFHSRPGMFGGYHLLADDMA >CDP11514 pep chromosome:AUK_PRJEB4211_v1:10:26974329:26977715:1 gene:GSCOC_T00033803001 transcript:CDP11514 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFLCLVILLSSTLNSWQVVKVAGGSTPNYRDALAKSLLFFQGQRSGRLPRTQQIDWRSASGLSDGSFARVDLTGGYYDAGDNVKFNFPMAFTTTMLSWSTLEYGKKMGPELQSARAAIRWATDYLLKCALATPGKLYVGVGDPNSDHRCWERPEDMDTVRSVYSVSPSNPGSDVAGETAAALAAASLVFRTVDPAYSRLLLRTAQKVMQFAIQYRGSYSDSLGSAVCPFYCSYSGYKDELLWGAAWLFRATNNVYYFNFIRSLGANDGTDIFSWDNKYAGARVLLSRRSLLNRDMAFEPYRQQAEDFVCRILPNSPYSSTQYTPGGLMFKLSQSNLQYVTSITFLLTTYSKYMAATKHTFNCGNLLVTSNTLRSLSKRQVDYILGENPLKMSYMVGYGSDYPRRIHHRGSSLPSEAIHPQSFGCEGGFQPFYYTANPNPNILTGAVVGGPNQNDFFPDDRTDYSHSEPATYINAALVGPLAFFAGSFNM >CDP10896 pep chromosome:AUK_PRJEB4211_v1:10:20332091:20333926:1 gene:GSCOC_T00031846001 transcript:CDP10896 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRRRRRKEEGIFYIVETDTVNGKPSETGIVVITPSRTTNVLLKTKPASPTATFIMAAACHTLLYRASILGPESHPLHLHGFNFFVAGEGFGNYDPNNDPSKFKLVDPAERNAVGVPSGGWIAIRLFTDNPGVWFMHCHFDVHKSWGLRMASWICTCCKLI >CDP07372 pep chromosome:AUK_PRJEB4211_v1:10:1042754:1046193:1 gene:GSCOC_T00024613001 transcript:CDP07372 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYILSKAGNEALIESIKLQKEWEKTKADRKKEKKREKKEKKKAEEQKARQTKASQFDHDACGGESWENVKGGFLQKERKDDSEQLERSSITEEHEQPVCSQNPSYSSDSTQNSNKRKRHDPPLNATRVQGNILRIRLPSQKHIQHDSKDRDELLCSTSGRTDIPAEHKDARADPDKSCSTSLGSDLILHGLPLRSDQGLARGNSSQQPDVTSQEIVHTDSGSKRHRKLKRAVKRYTDLIENWTPPSRLSEHTEIDDEGWLFGSKHAEKQPEKKVRCSSDISCSSSSLLWPRACHLHDADIYALPYTVPF >CDP07522 pep chromosome:AUK_PRJEB4211_v1:10:2193909:2198028:-1 gene:GSCOC_T00024802001 transcript:CDP07522 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRWNNSHHEKDSDEQQEKVTELKAALGPLSGRGLQYCSDACLKRYLEARSWNVDKAKKMLEETLRWRSTYKPEEIRWHEVAVEGETGKVFRANFHDRHGRTVLILRPGKQNTTSIDNQIRHLVYLIENAILNLPEGQEQMAWLIDFTGWSITNNVPIKSAKDTVNVLQNHYPERLAVAFLYSPPRIFEAFWKIVKFFLDPKTFQKVKFVYPKNKDSVELMRSYFDVDNLPTEFGGKATLQYDHEEFSRQMAQDDVKAAKVWGFDKHPNGFTGAEVAPEPETLASPAS >CDP00867 pep chromosome:AUK_PRJEB4211_v1:10:8780011:8784365:-1 gene:GSCOC_T00034298001 transcript:CDP00867 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGRNNFSGEIPSWLGALPELELLLLDHNRFTGVLYAISQSRRAATATLNHGSIPSGIFNMTLLEEIDLTNNSLSGNLPTDPCTNNQFKLTDLFLSYNHMQGAIPSELYKCRDLEFLLLGRNQFNGNIPRTLGFLTKLKWLFIGGKIFSGIYWTPFFSATGAFSIQEFSMSSSLLIGQIPLFIFNMSSLKAIDLSRNNLSGSFLLNMWYNLPVLEELYLSTNQPNGPIPSFIWDCKTLVRLYLAENNFTGEFPGEIGKNNNLEILSIINNNLSGLIQPGIFNMSSLVYMHLAFNHFSGSVPSSMQIRLPKLQEIFLDSNKFSGRLPSSITNYSKLTMLVMSQNSFSGPIPSTLGNVRSQLEYVDISGNQFDGLLPPSIGNFSSSLRLFRTFGSRISGTVPSEIGNLSSLEAIYFDDNDLTGFIPSSVGNLSRVQGIYLEHNRLQGHIPTELCQLKNLGDLGVFLQSNCLNSTIPFSFWHLKDLLRLNLSSNSLSGHLPTEIQNLKVITQLDLSWNQLSGDIPSSLGSARELVYLWLAHNKFRGNIPQSFGNLLGCMNFFNVSSNKLEGEIPEGGPFSNLTGESFMNNYALCGSDRLHFPSCKTKDVFKSRSAKYISLTKCILPPILLFILLLAATAIWWKKRNVRRVEVLLQNEVRMCQTWSKVSYQEILDATDSFSENNLLGFGSFGSVYRGVFSDGSSVAIKVFHLQAEGSKKSFDAECQQHPAISDFGIAKLFGEDENTVQTKTTIRYMAPEYGLQGIVSTSGDVYSYGVLLLETFTRKKPTDHLFGEELNLKHWFSKAIQAKSIVSVVDSNLITQEDRQFYARKQCLFSNLRLGLDCLADSPRERTNMREIVTRLKTSSTTIDNISCFICYTFLIFGLILLSSLIMFWILTNWSHIFLLENN >CDP11528 pep chromosome:AUK_PRJEB4211_v1:10:27086291:27089517:-1 gene:GSCOC_T00033824001 transcript:CDP11528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein WHY2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G71260) UniProtKB/Swiss-Prot;Acc:Q8VYF7] MHCFALSATEVGSMINMGPQDTREFFHDPAMLSSNAGQVRKSLSIKPHADGSGYFFSLNVVNNILKTNERLVVPVTAAEFAVMRTAFSFALPRIMGWDQYSNQPLGTAHKSPSKVLPHLTDSEWDK >CDP10901 pep chromosome:AUK_PRJEB4211_v1:10:20475191:20476356:-1 gene:GSCOC_T00031856001 transcript:CDP10901 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHDIEEDKFGFSINYFLAKELSNSSKKSGHKLADIDVVDEQCPTKIRALSLRETLDLGTNTRRYSNDLRYLRVWLQLMDFVDDPKSVLRTMEENRVGMEKSLFYQAYALYFEKMKKFEAAKKMYHLGMQK >CDP07401 pep chromosome:AUK_PRJEB4211_v1:10:1236768:1241005:-1 gene:GSCOC_T00024657001 transcript:CDP07401 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex subunit sigma [Source:Projected from Arabidopsis thaliana (AT1G47830) UniProtKB/TrEMBL;Acc:A0A178W398] MNIVMKFPQHTASTVSGFDLGLVFPKFPFSQVAALRFASLLHLRRPVSSPAPSTLSLKMIRFILLQNRQGKTRLAKYYIPLEESEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKQE >CDP17695 pep chromosome:AUK_PRJEB4211_v1:10:19285259:19288241:1 gene:GSCOC_T00001425001 transcript:CDP17695 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLRSLHFSDLQIKVLPKSFYDLTELRWLILKGLSHLTELKSLKKCQKLMVVDLSGAASLPTFPEKNLKSLPKLQTLNLSNTKIKSLPILHETKELTHLSVSGCRNMDRLPSIRSLTNLQVLDISWSAIMDFQDKSFEINSSLKILDLSGTAIPSLPFNIGACNLVKIEGKFFECLENLRVLNLSGTKVKDLPSLSALHNLCQLLLSCSLNLEKLPRLASSKLEELDLSGCKAMTMIEDKSFEHLPRLRRLVLSQTKIVHLPELNSLSNLEELNLSGVKSFTGTDFIEHMSKLQVLNLSETLLKELPALTNLKSLKHLFLRGCGQLEVLPVLEVLHNLETLDLSQTALRQLPFVGSLSNLHKLLLSDCSKLENFKNHKLLDMSGVENLPCGISRLTQLQHLALPSMKEDIQAADTSEVTSWKQKPSASHWSFSVVDRTVPNTSRSLLSYNGSLFLEFLDSNPSVLDSTSNHFHLFVHPPEVQNGARDMLFHRDELVFRDVYLLTRHCSKSQGRLVEIHHLSAFSEGIEAVLHNAEYIFLFDSLFFKSFSDLGAGNVKTLKGCWIEGCENMEFIIETSDLVDSSERRIVLEILWISNASSLRSMYSENLRLGSFQNLKCLYLDCCPKLSSVFFSSHLLQMLEILHVRFCENLVALFGDDVEEHELPNLRTLRLWELPKLKSIGCIMPSLQSLEVGECPMLGHMLSSRHVPEKLEFLKVRNCSELGNLLEGLTSENCKLPHLAEVHLWGLPKLTRIGIESPLMRSLEIGDCSVLLHVSYMPENLEVLKVRFCDNLEAIFVGMTSENFILQSLHTVYLWGLPKLVGIGARLPPLQKSIIRDCPKVALPVS >CDP07570 pep chromosome:AUK_PRJEB4211_v1:10:2509620:2512570:1 gene:GSCOC_T00024864001 transcript:CDP07570 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGQRNQLFSDVVLFEGSSRDETQLPTSKFPCLYSFPNLIFLRISDYGFQFPDPSAVLGRADPSNFQDQREKQQGLSYFPSAGHFEKSNKLKEMASGFGESTSRAPLSPFCSGNTSNNSGDAGNFECNICFDLAQEPIITLCGHLFCWPCLYKWLHIHSLSKECPVCKAVIEEEKLVPLYGRGKSSTDPRSKSIPGVEIPHRPAGQRPETAPPPPPDSNAFAQHGFGFTGGFGGFAPMATARFGNFTLSAAFGGLIPSLFNIQVHGFPDANMYGAAGGFPYGFSNTFHGGHIHGFPQRTSQQQQQADFTLKLLSLIIGIAVILALIWS >CDP07345 pep chromosome:AUK_PRJEB4211_v1:10:880779:882992:1 gene:GSCOC_T00024581001 transcript:CDP07345 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLHAYRALLLTHPRSHQPNSHLRLLHHGPDTVEELLDRHVVKKERSLDDDENELLTRQRLTSTRREALSLYRDIIRASRFFMWPDSRGVLWRDVLRENARKEFEEARFEKDPEIITRLLIGGRDAVQAALDKLVEKQKQQIEKERNDSTRPR >CDP07503 pep chromosome:AUK_PRJEB4211_v1:10:2066795:2068717:1 gene:GSCOC_T00024780001 transcript:CDP07503 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMTSSRSSSQSWTAKQNKQFEEALATYDKDTPDRWYNIARAVGGKSEEEVRRHYEVLVKDIMQIESDQVPLPNYRSMASNGRGYANEQRLLKNLKLQ >CDP00958 pep chromosome:AUK_PRJEB4211_v1:10:7332706:7336002:-1 gene:GSCOC_T00034427001 transcript:CDP00958 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase WAV3 [Source:Projected from Arabidopsis thaliana (AT5G49665) UniProtKB/Swiss-Prot;Acc:Q9LTA6] MGTGWRRAFCTTIPRDTEKLQQQQQQQQQNQTLSGDGDQIPSPSPSHRSCAKFGFLSSGSNPSTPRLQSQSPRLRCKTCAPSSNNDTPLISPQLHCKTTNNTPKSSTKSQKPRFGSNPSSPRSPFKILKNSLRLTRNSCGVCMQSVKAGQGMAIYTAECSHSFHFPCIAAHVRKQSTLICPVCNSSWKDVPLLAIHKLQQQSHQHQGAAVEQKDIMQQLNSRPYNDDEPLVSPTAGVAKFIPIPEANEEDQDGDDVEVEEFQGFFVNPVPSDDALGSCPNKDFRNVEVSLLPEAAVVSATRTHETLAFVLKVKAPPPPPPLAHNSNSVHFKDPARRAPIDLVTVLDVSGSMIGAKLHMLKRAMRLVVSSLGSADRLSIVAFSATPKRLMPLRRMNPQGQRSARRIIDRLACSHGTSVGEALKKATKILDDRRERNPVASIMLLSDGQDEKVQTNGSDNQRQRSSSRVSSTRFSHIEIPVHSSGFGRKAGYSKEPAEDAFSKCVGGLLSVVVQDLRIQLGFSSGSDPAEITAVYSCNGRPTALGSDCIRLGDLYAEEEKELLVEMRVPASTFGTHHVLSVKCYHKDPATQEAVSGREQALLVPRPQAVRASMPRIERLRNLFITTRAIAESRRLIEQNELTSAMHLLSSARGLLAQSRCESAGEYVRGLEAELAEQLMIQRRKVNEKEAGLFLDENGEPLTPTSAWRAAEKLAKVAMMKKSVNRVSDLHGFENARF >CDP10971 pep chromosome:AUK_PRJEB4211_v1:10:22042469:22044664:-1 gene:GSCOC_T00031965001 transcript:CDP10971 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPTNPFNLFRFLFIFLALWRFPVLANHDGPYSRSSSIVFTTLGRASYCFDIYSLPLWVAPASSNELQLTDGVSVNFNGYFPSPSSVSVLFGAPDRTPADVSPPPPPPLHLVYVTERNGSSHVFLDSLYYRGREHAGSRAILEEDPSESTRSELTRFQFPLVGLEQSDGRVSMKDKPTLVGEYLIYVSTHENTGVPRTSWAAVYSTHLKTGSTRRLTPYGVADFSPAVSPSGVWTAVASYGEKGWDGEVEDLGTDIYLFTTRDGASRVKVVEHGGWPSWADDSTFYFHRRCEDGWWSVFKASILQNRKLGVDSVVTERVTPPGFHVFTPAASTSNKSFIAVATRRPDSEFRHIELFDVVSKQFVELTRPISPNVHHLNPFVSPDSIRVGYHKCRGSSNGHMRTNLILENLKNPFSEKIPLFRFDGSFPSFSADGSRIAFVDFPGVYVVNSDGSGLRKVFERNAFATAWDWKRKGVVYTSAGQEFSSESTDVDIVSINVDDENLSYKRLTRGGKNDAFPSASPDGKWVAFRSGRSGHKNLYVMDALEGEAGGLYRLTEGPWSDTMCNWSPDGEWILFASDRENPGSGTFELFMVHPNGTGLHKLVQSGSGGRANHPCFSPDGKYVVFTSDYAGVSAEPISNPHHYQPYGEIFVIKSDGSGIRRLTHNSYEDGTPAWGPKYMKDDDVEWPNGGTTCSFEDCHWLNISPDNNAATKCSSLYSAPVKPQCYSTN >CDP01077 pep chromosome:AUK_PRJEB4211_v1:10:5884266:5885015:1 gene:GSCOC_T00034587001 transcript:CDP01077 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIGNQWDYTDQMIKGIILVMLTARTDTSSLIPFGMGRRSCHGSGLAQRVVGLALGSLIQSFDWKRIGEEEIDLAEGIGVSMPKAKPLEKTCFRNKKSNKGF >CDP10709 pep chromosome:AUK_PRJEB4211_v1:10:10030110:10036531:-1 gene:GSCOC_T00031509001 transcript:CDP10709 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWWLVPQYILFGLADVFNQVGMQEFFYDQVPIELRSVGLSFYYGALGIGNFLSSFLVSMIDKATSLRGRESWFSDNLNHAHIDYFYWLLAGIGDVGLIIFVYLSRFYSCAVQAHVYYKGSPGGWRSASFMLAGGSLESSQFYFYKLLLAFPLVVICECNEVYGYFNSILFFVSLYLIALAQGYKPCVQAFGANQFNGKHQEKSKAKSSFFNWWLCGLCIGSIVAHLILHYIQHNISWALGFGIPCLVMILGLILFLLGHRTYFFDVKRGDEESPFGRIKWDIAKGGDALSNRAQASSSQEECQEYLRITKQIRDTKDLRGSVKLTYVHGRGRSNISTMKKKYKSRRKVDVLPGADDHSTDIMALPSKTKEQEQKNVLRLLPIRITCLTYTIASSQASTLFLKQATTLDKSIGPKLNIPSATLNTVIPLTIMFCIPIYDQIFVPIAKKITKYIPLV >CDP01165 pep chromosome:AUK_PRJEB4211_v1:10:4996414:4997973:1 gene:GSCOC_T00034703001 transcript:CDP01165 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGFSSALQGGDTRCVPIIHGGCWRIQCSKSLMPRFEVVGYDFHAGKSFEHNVKDSA >CDP07325 pep chromosome:AUK_PRJEB4211_v1:10:780370:786326:1 gene:GSCOC_T00024556001 transcript:CDP07325 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSVLDEQLQYPAARRDDSVVDNYHGVPVSDPYRWLEDPDSEETKEFVEKQVKLTDSVLKTCETREKLREKITALFDFPRYDAPFRAANKYFYFHNTGLQPQSVLYVQDGLDGKPEVLLDPNTLSDDGTVALRAYAVSEDAEYLAYGISSSGSDWVTIQVLRIQDKHVLPDTVSWVKFSNISWTHDSKGFFYSRYPAPKEGDNLDAGTETNANLNHELYYHFLATDQSEDILCWKDPDNPKHTRSASVTEDGQYVLLYTFETCDPVNKVYYCDLSALPDGLEIYKETNNLLPFVKLVDSFDASYLDVANDGSVFTFRTNKDAPRYKLVRVDLKVPTSWTEVLQESEKDVLESVVAVNGDQIVVSYLSDVKNVLQIRDLKTGSLLHHLPVDIGTVCQISARRKDNIVFIHFTSFLVPGIIYKCNLGGGAPEISIFREIIVPGFDRSQFVVNQVFVPSKDGTLIPMFVVSKKDISLDGSNPCLLYAYGGFDISITPSFSVSRIVIARHLDAIFCIANIRGGGEYGEEWHKAGSLAKKQNCFDDFASCAKYLISTGYTQPRKLCIEGGSNGGLLVGASINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSEKEKEFHWLFKYSPLHNVRRPWEQSPDQVSQYPATMLLTADHDDRVVPLHSLKFLATLQYELCTGLESSPQTNPIISRIERKAGHGAGRPTQKMIAEAADRYAFMAKVLGATWVD >CDP11495 pep chromosome:AUK_PRJEB4211_v1:10:26719963:26728411:1 gene:GSCOC_T00033773001 transcript:CDP11495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 16 [Source:Projected from Arabidopsis thaliana (AT4G24560) UniProtKB/Swiss-Prot;Acc:Q9SB51] MLLGGDLGFLARVVVAFFVVIFVPVVGFVVRLKWRRSVARREEIKRLLVLVSEETARAELEAEGDFGYGCDDGHNGYGYSSSLAEVAAEEPVPESVAEVEGPVEVNVGAQPPAAAVQAPRRLPYQCEVCSSPTTTRCARCKAVRYCSGKCQIIHWRQGHKDECQPFTFEDQSHKMGITSPVKLKAKQNEIHKNSFETGVRYPAKADETSSGEASDSSLSNSSDWAENEVDISTDEKERISKSKLIAPMISEVSRLTSSGSSIDASASAVHSQRTSHGHQPVHFPVKTDGDHANVGRTKPSPEHSNLVTSGVNSEPISVDVDTLCGSSTSSASSVDGCSESSFSEPSTSSSGFWDGTINRTRSRIDAVDDTSHSCDAAAHVDLSSSQFSSPCSFESPRSVLPQKDMTGFCDEKALSDDPHTSPSEEKKPTNGSSSPVKLNKDDLASSALCLKRPEHVDFRDFSTRKVLKSRDPGDHAMSKDVKAGSLPSLNSEKVNCKVAGQSSIPQESKSVEVKSFSSKASTEHLSPNYGTYTVQNVKSVKADSAHELPACASSCFDHSENARNSSKPSVWKVVDQIRASKLTRLAPLGGMGENVGKYYNKGLFPYDLFVKLYNWNKVELLPCGLLNCGNSCYANVVLQCLAFTPPLTAYFLQGLHSRACKKRGWCFTCEFESLVLKAKDGNSPISPSRIISQLQNIGSNLGNGREEDAHEFLRCAIDTMQSGCLNEAGISASGTLEETTLLGLTFGGYLRSKIECMRCGGKSERQEKIMDLTVEIGGDIGTLEEALRQFTHTETLDGENKYHCSRCKSYEKAKKKLRVLEAPNILTIALKRFQSGKFGKLNKTIRFPEILDLAPYMSGTSDKSPIYRLYGVIVHLDIMNAAFSGHYVCYVKNTQNKWFKIDDSMVNAVELERVLTKGAYMLLYARCSPRAPRLIRSTLVPRDPRKSRHPDSKPRYHHARGPWDIHADDSSNNETNDEHACPNYSSFQPFRTIWEEDSSSDKSSSFFSEVNSCSTDSSARDSMCSDDLFDQMLGIGDMGVYYGGSSWRNASDSETSSSSSSPSPLYSRHPLRDLEAYASKYPEETDECIDTAVPTVDDRPGPPGRTEVEVTGGRGTMLCPDSGKGSTPFLCPDSTKRCRKLGSSSCRETGSSKLGWINFENWKSSVTFRRPTRERSD >CDP07246 pep chromosome:AUK_PRJEB4211_v1:10:158452:162898:1 gene:GSCOC_T00024454001 transcript:CDP07246 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUBR1 [Source:Projected from Arabidopsis thaliana (AT2G33560) UniProtKB/TrEMBL;Acc:A0A178VU51] MDTEKKAMASDCTLNKQESESEWLSLDPEMEFLASKQETGNEWELFKENVRPLKRGRNVALLNTALKSHSSSSTLRTQLLHHRRRLIEAIDEYEGDDPLQPWLRCVKWVQEAFPAGGDSSGLVVIYEQCVRSFWHQDRYKDDLRYLNVWLEYAANCIDAQVIYSFLEANKIGLTHASFYISFALHMEAKNKTRTANEIFNRGISMNARPIEKLKAAYKKFLNRSMRRSIAVEEDRTENQFPLRSFGTVLATGERRNQADDSDFAMKKLKTDRIQGTAIPIYEDSGRNMISDLQSQTSKLESKPWQSLGVRAERNKENNSVPSKWASNKIPQRFGHRYGGTVTTPSIEIFVDDDCAEAQKMDKETGKSSTLQLRHGDGRDLKKETELLRENPLRNFPPSSLPR >CDP01138 pep chromosome:AUK_PRJEB4211_v1:10:5194634:5197294:1 gene:GSCOC_T00034668001 transcript:CDP01138 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSDGNMSKKHREQHQSASESVDLLSLSTLQISTSRRSPRSPRSPKSPSSPRSPNSPRSPHGKHGTGKKSPLKNLRQSHSKGDGRPKKGGCGGKGTWGGLLDTDDGHVLDPNDPNYSQILFSVSTLQSTSSVLQVIENEQSFATKVNTSFEEYKKKATIIIEEYFATDDITSTANELRELGMPNYDFYFVKKLISIAMDRRDKEKEMAAVLLSSLYADIIDPQQMYKGFIRLLMAADDLIVDIPDAIDVLALFLARAVVDEILPPAFLTKAFASLPNDSKGCLVIKRAQKSYLSAPLHTDSIGRRWAGNKNNTAEDFKSRINNLLTEYVSSGDKKEAYRCIKDLNVPFFHHEIVKRAIIMAMEKQHAESYLLDLLKTAAEEGLINSSQISKGFGRIIDNVDDLSLDIPNAKRILQSLISKAASEGWLCASSLSALSLQPGKQTIGDGVVKAFKVKAQSIIQEYFLSGDISEVIHCLESANSSSSGELNAIFVKKLITLAMDRKNREKEMASVLLSSLCFPADDVVTGFVMLIESADDTALDNPVVVEDLALFLARAEVDEVLAPQNLEDIENQFLGSGSICNKVTQMAKSLLKARLSGERILRCWGGGGSSRNGWAVEDVKDKIGKLLEEYESGGDTREACRCIKELGMPFFHHEVVKKSLVIIMEKKNNDRLWGLLKECFSMGLITMNQMTKGFMRVAESLDDLALDVPDAKKQFKHYFDQAMVEGWLDSSFGLYRSEQSLENGFC >CDP11965 pep chromosome:AUK_PRJEB4211_v1:10:23358774:23366704:1 gene:GSCOC_T00035296001 transcript:CDP11965 gene_biotype:protein_coding transcript_biotype:protein_coding MANNAAACAERATSEMLIGPDWAINIELCDIINSNPGQAKDALKILKKRLGSKNPKIQLLTLFVLETLSKNCGENLFQQIVERDVLHDMVKIVKKKPDLNVREKILILIDTWQEALGGPRGRFPQYHAAYNELKSAGVEFPPREDNSVPLFTPPQTHPIVQPTAEYEEAAVQASLQSDPSGLSLPEIQNAEGLSDVLMEMLGALDPKNREGIKDEVIIDLVEQCRNYQKRVMVLVNNTADEELLLQGLTLNDKLQQVLRRHDDIAKGNPTAAVRTTETPVAALMNVNHEDDEPEDDFSQLAHRSSRDTSQGQGQGRKPTNAYGEPSRINPILPPPPPSTKFSTPDAGMVDYLSGDAYQSERSSGTSASAPVAVPTQSNNKISVRTSSPSQPLSSPPDEFINPTATMFPPKSTYDEPTSLAKSADPLPSAPWDVPPPTSIPPPPSRHNQRQQFFEQKQNTIPGGSPHSSSGSGSSYDSLVGQTQNLSLRTSTPTKQDKQEDALFKDLVDFAKAKSSSSSKANNRSY >CDP11428 pep chromosome:AUK_PRJEB4211_v1:10:26131075:26133083:1 gene:GSCOC_T00033680001 transcript:CDP11428 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTLQAVLAAMASFFAVTVLFGVLMVICRTSSKRQRPNPPRSRVRTLDTRPKAVPTNKPEFSSITIGETTTFDSSLNPVSMAELLQATANFSPELIVGDGSFGLVYKAKLSSGVVVAVKKLDADAFQGFREFQAEMETVGKIHHPNIVKILGYCSTGSERILVYEYIERGSLDQWLYDTSCPSEDTDDVDVEHSVFRLPLSWVTRIKIIRGIARGLAYMHNLDTPIIHRDIKASNVLLDANFEAHIADFGLARSIEGSHSHVSTQVAGTMGYMPPEYLGGATTATMMGDVYSFGVLMLEIVTGRRPSLPFPWEDRKEIRLMEWVNAMVAQKRYMEMVDGNILKDELKENEVIEVFRIAKMCATEYGKTRPAIKEVAQMLDGVSPQK >CDP07582 pep chromosome:AUK_PRJEB4211_v1:10:2591081:2595931:1 gene:GSCOC_T00024879001 transcript:CDP07582 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYYNGSSDDEYYDRVCDDDGEDSVDGLQDPELETDFYCCSKGPSCMVIRKESLLAAQKEDLQRVMDLLSLKEHHARTLLIYYRWDVDRVFNVFADRGKEWLYSAAGLSVRSSEDLNSSQSSDEVTCQICFEDVHANESTIMDCGHCFCNNCWTEHFIVKINEGQSRRITCMAHECYAICDEENVRNLVSGRDPHVAEKFGRFLLESYIEDNKKVKWCPSVPHCGNAIRVECDEYCEVQCACGVQFCFSCSSEAHSPCSCLMWKLWTKKCQDESETVNWIKVNTKYCPKCYKPVEKNGGCNLVRCVCGQPFCWLCGGATGISHTWNSIEGHTCGRYKEDELENAERAKKQLWRYSHYYNQFKAHTDSLKLEATLQDRLQQKIVILEAKNLESRDFSWVTDGFNRLFRSRRILSYSYPFAYYMFGDELFKNAMTQKGREIKQNLFEDQQQQLQANIEKLSMVLEQPFADWEERDVLNTRLQIIALSTVTDSLCKKLYDCIESDLLAPLEEATHSIAPYRSMGVEKASEFP >CDP01325 pep chromosome:AUK_PRJEB4211_v1:10:3465859:3469178:-1 gene:GSCOC_T00034925001 transcript:CDP01325 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDSNLGLPSEEDFCKDYLLLNPKEAGFSDLLRIFYSRELQKRDFFDAPEADSLRGLRRRWVVFVSVMAQMLLLQLKKPLADLGSTLELLQNYPSSNGGLGGLLRNFLTGKVVTPDRSAATFRSIVANLDTRVDLDGRIKANDERYGAALSIMAAKLAYENEAFSRTVVTDHWQMQFLGFFNFWNDYEESYTTQAIIFEDERTSADSNLIEVAFRGTQPFEADDWRTDLDISWYDIQGVGKIHAGFMKALGLQKRKGWPKKIEQGSGGKDYAYYTIREILRNRLRENQKAKFVVTGHSLGGALAILFPAILILHEENELLERMEGVYTFGQPRVGDEQFGEFVKDKLRLYDVKYCRYVYNNDIVPRVPFDDKTLMFKHFGLCLYFNSRYRGQILEEEPNQNYFSLLSVIPKHLSAVYQLIRSFFIPFTRGMEYREGFFEIVSRMVGLVIPGLPDHGPQDYDNVARLGTLPFWHPPLKGLKQE >CDP01196 pep chromosome:AUK_PRJEB4211_v1:10:4636743:4640666:1 gene:GSCOC_T00034763001 transcript:CDP01196 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZCW7 protein [Source:Projected from Arabidopsis thaliana (AT1G59600) UniProtKB/TrEMBL;Acc:Q9SLT8] MDGMELNLEGTKFKLSVALPASDDFEAMRKDWEEMVAFGTRGYSRSSRQQPDTIILRGVPSRWFAEPRVSSKPSMLVTHSIISAFGQIRNLDVAEDNGYGGDADEDGLEIVPGLQCKIVVRFEKYKDFYSALKFLCGRSFQKQGSRLRADYEVTWDKDGFFRNSRTQTEERSRWEPARGTVLGNYRGEAPRRNYHGTRFSPDEARPKRFRE >CDP10703 pep chromosome:AUK_PRJEB4211_v1:10:10105332:10106431:-1 gene:GSCOC_T00031502001 transcript:CDP10703 gene_biotype:protein_coding transcript_biotype:protein_coding MVISILNMVIAALVEKRRLEIARNCGLIGHVSHVVSPYAELYGAYAFTQMGLRESFYDQVTTEFRSLRPSLSYGAVGVGNFLSSFFIYLIDKTTSRFCTNR >CDP07414 pep chromosome:AUK_PRJEB4211_v1:10:1331626:1340785:-1 gene:GSCOC_T00024673001 transcript:CDP07414 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPLIVVDVIEEEPVVIEGVKIPVDTSKPNPNNLEYDNLYLDMNGIIHPCFHPEDRPSPTSFDEVFQCIFDYIDRLFVMVRPRKVLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAVEEEKLRQEFEREGRKLPPKQESQVFDSNVITPGTPFMAVLSIALQYYIHLRLNNDPGWKDIKVILSDANVPGEGEHKVMSYIRLQRNLPGYDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFLCGQMGHLAADCQGKAKRKSGEFDEKGDAEVSPKKPFQFLHVWTLREYLEYEMRIPNPPVEIDIERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLLAVYKKEFRAMGGYLTDSSTPNLSRVEHFIQAVGSYEDKIFQKRARLHQRQAERIKRDKAQVKRGDDSEPNVQPESLVAVARFTGSRLASGPSPSPYQQAGSSTSGKRDQLGKPTSNMAVLDIQCKQPGKSDDKLTHIRAQKIARLTSGATIGAVIVEAENSLEIDVRENKEELKTRLKELLREKSDAFSSETPEEDKVKLGQPGWKERYYEDKFSAQTPEELDEIRKDVVMRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKNLGDLDITFELGSPFKPFNQLLGVFPAASAHALPENYRRLMTDPNSPILDFYPADFEVDMNGKRFSWQGIAKLPFIDETRLLAEVAKVEHTLTEEEARRNSVMFDMLFVSVSHRLSPYIFSLNDRCKQLTDKERLEVKEPLDPGASGGMNGYISLCAGDPCPPIFRSPIEGMEDIMNNQVICAVYKLPDAHKHIARPLAGVIFPKRIVAIGDLKPEPVLWHEDSGRRPFENGRHNPAGAVSGPQLGEAAHRLVANSLQVKADRNGFNDQMYIPPPTHYFPHGPPNAPFQKDRHQGQVPTLQQYTTGYSAQGSVRSHHDHSYRQPYTYPAAHNYQSRSHSHNERSDRSVNGSREFPQHGYYQAGLHQTGGFGYPMHPQHVGRAPLPPGATFYQQGGGYSSYESFQPYEAGNYNHWARQVDPNVGREYRHPRHSGNQFSALDRGSHRRPPISEHRR >CDP07456 pep chromosome:AUK_PRJEB4211_v1:10:1713270:1717456:1 gene:GSCOC_T00024725001 transcript:CDP07456 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDSDVFRVPPGYNAPQQVHITQGDSEGRGVIVSWITADEPGSNIVLYWPENSKHIFRADGIVLTYKYYNYTSGFIHHCTIEDLEYDTKYNYEVGIGNTTRQFWFVTPPKVGPDVPYTFGLIGDLGQTFDSNTTVAHYQLNPAKGQTVLYVGDLSYADDYPYHNNIRWDTWGRFTERSAAYQPWIWTAGNHEIDFSPNIGEPKPFKPYTHRYFVPYRASDSTSPLWYSIKRASAHIIVMSSYSGYGKYTPQYKWFTSELSRVNRNETPWLIVLMHCPMYNSYETHYMEGETMRVMYEKWLVEYEVDVVFAGHVHSYERSERVSNIRYNIVNKRCTPTKDESAPVYITIGDGGNLEGLATKMTEPQPEYSAYREASFGHGIFDVKNRTHAHFSWHRNQDGVAIEADSVWLHNRYWKTMAEASIAVS >CDP07311 pep chromosome:AUK_PRJEB4211_v1:10:672516:675627:-1 gene:GSCOC_T00024540001 transcript:CDP07311 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEAAKSRFRSVCVFCGSSSGKRNCYRDAALELGQELVARRLDLVYGGGSVGLMGLVSQEVHRGGRHVLGVIPKTLMCKEITGETVGEVRPVANMHQRKAEMARQSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVEGYYNNLLTFIDKAVEDGFIKPSQRHIIVSAPNAKELLQKLEEYVPVHDEVVAKARWEVEQVELNASLQSELAR >CDP11515 pep chromosome:AUK_PRJEB4211_v1:10:26977907:26981925:-1 gene:GSCOC_T00033804001 transcript:CDP11515 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSAIQVKRIARDPADQSGESILVLKGPQGRINRAVWGPLNKTIISGGEDSVIRIWDSETGKLLKESDKEAGHKKGITSLSKSNDATHFISGSLDKSAKLWDMRTLTLLKTYTTERPVNAVAMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKVLQEEIGGVKGHFGPINALAFNPDGKSFARGGEDGYVRLHHFDPDYFNIKI >CDP11532 pep chromosome:AUK_PRJEB4211_v1:10:27118313:27125041:1 gene:GSCOC_T00033830001 transcript:CDP11532 gene_biotype:protein_coding transcript_biotype:protein_coding MTPANLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKTTGRSKGYGFVTFREPDAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKQGGGRNFRVMSAFQAAAGGGGYQGTAFPSATFPHYAIQQGIPYNLYGYSPYSADYTYPTSYYSIYGGTTTAQYPVYGTGAAGGMSMITGGGAAAAAAAAAAAAFYPYLNFADGSGGGATAAAYTSSHGYAGVQYPNHHLFQYSAALNSAAGAYTQHYGAAAGTPISLAPPTPPLQSVCFAVPQA >CDP01362 pep chromosome:AUK_PRJEB4211_v1:10:3200159:3203258:1 gene:GSCOC_T00034972001 transcript:CDP01362 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPCIGETLQLYSQDPNVFFTDRQRRYGEVFKTNILGCPSVMLASPDAARFVLVTKANLFKPTYPRCKEVLIGPSALFFHQGDYHMRLRKLVQSSLYPEAIRNLVNDVEAIAIATLESVAGGHVLNTFDEMKRYSFEVGILAIFGHLEAPRKEALKENYSIVDKGYNSFPTKFPGTRYRRALQARKRLSEILSTIISERKEQRTPEKDLLGCLLNYKDENGEVLKEDQIADNIIGVLFAAQDTTASVMTWIVKYLHDNPKLLKAVKAEQRAIYQSNTKEHCQLTWAQTREMPLTYKVILESLRIASIISFTFREAVADVEYKGYLIPKGWKVMPLFRNIHHNQEFFTEPQRFDPSRFEVAPKPNTFMPFGSGAHACPGNELAKLEMFVLIHHLVSKFRWEVVGSNSGVQYGPFPVPLRGLPARLWKESTA >CDP10697 pep chromosome:AUK_PRJEB4211_v1:10:10261653:10262386:-1 gene:GSCOC_T00031494001 transcript:CDP10697 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAICLNWLQEALEPAFQRASQRIGIEIWRIENFQPVPLRKSDHRKFYSDDSYIVLQVHMVSNISSFVSYFWASFL >CDP10996 pep chromosome:AUK_PRJEB4211_v1:10:22386388:22388484:1 gene:GSCOC_T00031994001 transcript:CDP10996 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLRVRCWEYRQLPSIVRVTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWINEDSTYKYYEVILVDPAHAAIRNDPRINWLCQPVHKHRELRGLTSAGKKYRGLRGRGHLHHKARPSRRATWKRNQTLSLRRYR >CDP01100 pep chromosome:AUK_PRJEB4211_v1:10:5581996:5585653:-1 gene:GSCOC_T00034615001 transcript:CDP01100 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFRSDTAGKPIRCRAAVCRGAGEPLVIEEIQVAPPKSGEVRVKIICTSLCHGDISFWRSESGPFSFFPGIFGHEAAGTVESVGENVVEVKAGDLVVPVVQRNCGECRDCKFKGNACTKFPAASLNAMPRDGSSRFLDKDGQPLHHFLFASSFAEYTVVDVTHLVKISPEVPVDKACLLSCGVTTGVGATMKAAQIEEGSTVAIFGLGTVGLAVALVLAFNSLIFCSCISILRSHLISLKLIRTVAEGARIRGASKIIGIDKNLEKFEIAKKFGVTDFVNPTSCGKDSVSQVIREMTDGGADYCFECVGSTSLIREAFDGSRQGCGKTVILGADLHGSPLSIHPLEILAGKSIMGATLGGIKPKQDIPHLAQKYLKKELRLDGFITHEVNFEEINKAFDLLLQGKSLRCIIWMNR >CDP11442 pep chromosome:AUK_PRJEB4211_v1:10:26250798:26252102:1 gene:GSCOC_T00033701001 transcript:CDP11442 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHHPALSTASLMKAAKAQLFKFNSQKIDVLSYVSSHIGTLSGLRSEVPGDLELALLLQAAAEKVANQQYVQARKLLILCGCFASKSGSPVQRVVYCFAEALEKKIEQEWGIAPTEELVGKLRKPLDEMLAQVYMQPAMMTSQQETPFTLFTEFTATESILNAVASAKRVHLIDFQINNGAHWTLIMQALAVRYECPFEHLKISAVGTSKKIMEETGKWLSSFAETLNLPFSYKMVVSDLKDLRENYFELEFDEELAIYSDMRLWTQLVWPNHLKALMGVIRKLKPRIVVVKEFEANTNAPNFPERFDAALLLFSAMFDCINSCMDHHVLYRKMTEEVIFPRMVQNIIVAEGMERFQRHEKIGFWRKLFAEFGMAETDLTRSSLCEASLFLRSSERLSSCTVDMDGKCLIMGWKGAPFQSLSAWKLQNEYEI >CDP11465 pep chromosome:AUK_PRJEB4211_v1:10:26419242:26420925:1 gene:GSCOC_T00033731001 transcript:CDP11465 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHEKPCTQTKKECRSITFLILFQFLFSLVIVFYVQSFTLDSSFHPSSSPKAIFPQCKTEAGAWNQTKVIDALVDKLKQSVTFLPLKDLRFSESAMTGNTWFMSSLNDTLEENEAEHLYFPSEASQGRLLCLKGRDTRDGTKNSYALAWKESLPESAILLEGLTFISDTYFNHDNLWHGVSAAAPFVRWSMKNGCSRPSRWVLFHWGELRHKMGSWLGHLMQINYGDVKVEGFDKGDGPYCFEKALVMRHDTGAMGAESKLKAFDLLRCKARKFCGFNQVRRGIEVNERGLPLIKFTLLMRRGSRSFKNATAVREIFAKACAAVEGCQFDVVQSEDLIFCDQVLVTSKSDVVASPHGAQLTNMFFMDRNSSIMEFFPKGWLEHAGIGQYAHHWLANMSGMKHRGAWWDQNGQECPNPKDELQCFFFHKDGQVGHNKTYFTEWATKVLHEVRQEKLEEATKKRQVDDDRQVATKACAC >CDP10706 pep chromosome:AUK_PRJEB4211_v1:10:10057910:10059521:-1 gene:GSCOC_T00031506001 transcript:CDP10706 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGKFSSAKEVWDHLARLSTQINFSRKYKLEKHYLQSYPHILTLLPYGTIVLLLHFYINTFIDFKHCKRDNCPPIHCLSNQISFIGESVATTAANVNTWIGVVSLVPVFRAFLANSNLQNVTFQGLRFLTLSATITPIVSRGSNSPGRTENEAEPDMHIQILFFGSLFLVALAQGYNTFIQAFGGDQFDGKRPEESKAKSSFFNWWLGVMGIGPIAAHLILNYIQDNISWEIGSGIPCLAMIVGFILLLLGRRTYQFSSTIGDEGESPCSRITKRFAKGISALHIVDPASSSGESSQNYIIENFVCEEFLKGELPSADDDSTDNNATSSITEEIKQVLRLHPIWITSLSYAIAYAQA >CDP15806 pep chromosome:AUK_PRJEB4211_v1:10:15958667:15960997:-1 gene:GSCOC_T00016654001 transcript:CDP15806 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQKSQAKLSRTNSSLLRSSPTIRSSIHSLSSVSEITPDHEEEAAGYHYQDLEEQKPHSKPGSTTSSSRVVSGSARLAPVLVLCLISMYTLFVFFNRDEIASSENLLLALIFVAVLLFFAQRNKGLIHQSISVLKHLFDEYGKKIGWSSKNQSKSVQWFIGEQPDMGTKEVQKEKNLKKCIKEGVEFYSNGDFYEGEFHKGRCNGSGVYNYFGNGRYEGDWVDGRYDGYGIESWARGSRYRGQYRNGLRHGYGVYRFYTGDSYSGEWFNGQSHGVGLQTCSDGSCYIGEFKAGVKHGLGVYHFRNGDRYAGEYFGDKIHGFGVYHFANGHCYEGSWHEGRKQGFGMYTFRNGDTKCGEWDLGNLKNPSPPLDEAVLRAVQAARRTAEKAIHFRGVDEQVNKAVMAANRAATAARVAAVKALQNRIDGKFCDTNI >CDP07425 pep chromosome:AUK_PRJEB4211_v1:10:1453030:1459637:-1 gene:GSCOC_T00024688001 transcript:CDP07425 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGTASCFKLISCAGNNDSVDRDDLQAPESKGSSDRSRWSFRKRSARHRVLSNSVTSETPFVSKTDPDTAAVSLQTQASSTIRDKTSAAQWTEEKNEVQIPVDSKSSSAIASAEDENGIASILDEAIVIVIQSAVRRYLAQRVLLKHKNIIKLQAAVRGHIVRRHAVGSLRCVKAIVKMQALVRKRRACLLLKRSNIEENLDEKNGINNHGSFMEKENTGTEPYTYTSIEKLLSNAFARQLLESTPKTKTINIKCDPSKSDSAWKWLERWMSVASVENGQSQKLEFAKDQQGKDDIVEADCQLETAVSSPENCQSRDIKTSAEALSLASEADNNVITYDADSYNFQECRPTVSSVSHSHKQADPQNVEQANLSNNTLESLPDHHRASDVCKAEPDSFHDQSEMEHRQHVDVSERTAPEGSEIDGKKSSFVSRKASNPAFIAVQSKFEELSSAANSGKSVGLSNQDAGVDYSMDAVSPGTNHAFGAREIDHAESSIPQTSGIQVGGSECGTELSISSTLDSPDRSEVGVVDFEKETKSSEYGTENPKSSLNLEVDEKVEPILSERDVSHSNLSRPEKQENSTAVESEHVSSIVTVESSEIEEKPDSIATGVLVEMESESSHPVSKSSPEASPRSHVTIPESHGTPSSQVSVKPKRIRSGKHGTEHKHGPVSTGKESQLEPNEDNGARSSSEHLSREHKAGKRRNSFGTAKTDHGDQEPRESSSSNSLPSYMQATESARAKALANNSPRSSPDMQYKDVYIKKRQSLSGSNTRQGSPRVQRSLSQAQQAAKGNGTHSPQERKWRR >CDP10928 pep chromosome:AUK_PRJEB4211_v1:10:21142080:21148436:-1 gene:GSCOC_T00031890001 transcript:CDP10928 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCASKVDDLPLVIRCRERKDLLKAAADHRYAFAAAHLSYFLSLKDVGEALRKFVDEELVVAASSSSDTTTSSLGSGGNEGKSKKNKGKKNSGGSGLHHHSHDNDDNESHLHFSDHSDDNGSHIHSPGEDEDEDEGDPGHNRGRRGDGGGGGGGWRYSYPEDYSVPYGYGMMNDQPTPPPPPAVYWGPFGNYFGMEPPPPNQAWAPPSYENPYVNYRHTNVHYMKSSSPATKTVIHGAEPAMNGFSSSFYSYPYENEGFYGFPMGPGPSPSQQGREFEGRKSRSTRADPPPPPSPKASTWDFLNPFDAYDSGYSGYYGLQAGYGNGYGNGSSVSSPDSAEVRKREGIPDLEEETEHEVYREVEKGKTANEDVKRSLAGEGSSRSRGEPLQRSGDQDVPGRMPLRKGTEGSSKSVPLHKNDDVSSRSVPMPSAEQHFSERSSKGVISDNNEGTGSNHLTVDMSSSETLLSSKSPDDVNVKKKEVSFEVDETSKPDVESTKLSNFTALSPHGTRDLREVVAEIRDEFAIASNYGKDVAVMLEVGKLPYQPSFFRVVLSRILYLRSPSSSSLYQPSTSSVRLAAKANKFAESYFGELWKDIESKPGNLSATLEKLYAWEKKLYKEVKAEERLRVIYEKQCKKLQILDEKGAEPNKIDALRASIRVLVTKLNVCMKTIDAISSRIHMLRDEELQPQVADLIHGLIRMWKAMLGCHQKQFQAIMESKTRTLKANTSFLKDSSLRASLELEMELRAWSDRFSDWIKTQKSYVESLNGWLLQCLQYEPEETPDGRAPFSPGRLGAPPVFVICHDWYQAMETISEIRVANAMHNFASSLRELWEKQDEEQRQRIKAQDLSKDIKDRLKTIQMERGKIPREQDAMSDKTGVSIVPSESGISPLDDLTVDLDLVTKKLAEERAKHKTAIKIVHDAASSSVQGGLVPIFKALENFSSEALKAHQRVRFRVDGQSQ >CDP07489 pep chromosome:AUK_PRJEB4211_v1:10:1979053:1984041:1 gene:GSCOC_T00024764001 transcript:CDP07489 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCCATMKANAHPSQIRRAFGYGDSGFLGKKIKGSLKSKASGTNFCRSLKSTSVNGAKKIKPGVAFSIFTQDIDQEIMQFEAPVFDESSTVDPKTVAAIVLGGGAGTRLFPLTSKRAKPAVPIGGCYRLIDVPMSNCINSGIRKIFILTQFNSFSLNRHLARMYNFGNGVNFGDGFVEVLAATQTSGEAGKKWFQGTADAVRQFTWVFEDAKNKNVEHIVILSGDHLYRMNYMDFVQKHVDTNADITVSCVPMDDSRASDYGLMKIDGTGRIIQFAEKPKGADLKAMQVDTSLLGLSAKEAMKYPYIASMGVYVFRTDVLLSLLRWKYPSCNDFGSEIIPSAVKDHNVQAYLFSDYWEDIGTIRSFFDSNLALTEQPPKFDFNDPKTPFYTSPRFLPPTKVEKCRIVDAIISHGCFLRECSVEHSIVGVRSRLDYGVELMDTMMMGADYYQTESEIASLLAEGKVPIGVGQNTKIRNCIIDKNTKIGRDVVITNADGVEEADRPEEGFYIRSGIIVILKNATIKDGTVI >CDP01033 pep chromosome:AUK_PRJEB4211_v1:10:6323793:6324885:-1 gene:GSCOC_T00034523001 transcript:CDP01033 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRAKFSTGWQLKQCSNRLHPPQHHLSQVMHDDRMSQLPDEILVFILSLLTLKEAAQTSVLSSRWINLW >CDP00953 pep chromosome:AUK_PRJEB4211_v1:10:7435939:7441862:-1 gene:GSCOC_T00034420001 transcript:CDP00953 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKILDRSTIIKHKMVDQIKREISIMKLVRHPYVVQFYAVIASRTKIYIILEFITGGELFDKIVHHGRLSEAESRRYFQQLIDGVDYCHSRGVYHRDLKPENLLLDSQGNLKISDFGLSALPGQGVNLLKTTCGTPNYVAPEVLSHKGYDGAVADVWSCGVILYVLMAGYLPFDEIDLTTLYGKIERAEFLCPSWFPVGARSLISRTLDPNPDTRIRIEEIRNDEWFKKGYVPVKLAESEDVNLDDVNAVFNDAEEEQTNEQCGNEDVGPLVLNAFDLIILSQGLNLSALFDRKQDLVKHQTRFVSQKPAKVVLSSMEVVAQSMGFKTHIRNYKMRVEGLSANKVSHFSVFLEIFEVAPTFIMVDIQKATGDASEYLKFYKNFCSNLEDIIWKPPTDLCKSRISLARSKRR >CDP10667 pep chromosome:AUK_PRJEB4211_v1:10:10856885:10857347:-1 gene:GSCOC_T00031452001 transcript:CDP10667 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSGANSVRQKMKPYHFLCFLAVAAILVSSGKITIGEKHGIRERCMEVMEVNGCNLSSCKQQCWEVKNGNGVCLAKVREGYQCVCFFDC >CDP00972 pep chromosome:AUK_PRJEB4211_v1:10:7091621:7099713:1 gene:GSCOC_T00034447001 transcript:CDP00972 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLTVCQVVDTKSSEVQALILSPTRELAAQTEQVIQAIGEFINVQVHACIGGKSVGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRYLPPELQVVLISATLPNEILEITSKFMTDPVRILVKRDELTLEASHSFEGIKQFFVAVEKEDWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLSAKMIENNFTVSSMHGDMPQKERDEIMKHFREGNTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >CDP10732 pep chromosome:AUK_PRJEB4211_v1:10:9713278:9716013:-1 gene:GSCOC_T00031538001 transcript:CDP10732 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIPRGGYGKLVQRELEAQRQLVDYNAGSIGAGSLGSFPPVMPPQYGRHGGHHGYGGSHRHGRDYHRKRYREDDRRGPEFSKRNFDHESRRGSDHDSRPEKNPRFRESGDSDDDEDEDRKQRH >CDP15808 pep chromosome:AUK_PRJEB4211_v1:10:16213428:16217059:-1 gene:GSCOC_T00016661001 transcript:CDP15808 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVGGPLLCIGDLLSDVGEGDQQAVSGGGSHHPQNLQPLDSDPSLVPSDLPKLFQENYDQLDKALAGTDQSWTALTLKLCTALETGNKVVEFATSHIGLLSEKVEKLERITKQRDSAIEAAKAIQGFLEQNEVFPRENSFAQSDT >CDP07481 pep chromosome:AUK_PRJEB4211_v1:10:1912987:1918756:1 gene:GSCOC_T00024755001 transcript:CDP07481 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQGPVVCPVVRAKQTGVYTLPFYTPLGQAAKLVRGGFFGLQVNNRFNVPNQTPRQTKVIRCTFSSSSNGNGSKAGNFSENDADYVNSSVVEAVEVRSGPDGFMVKMRDGRHLRCVHNNPQSGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAIRNVQIARPTMYQVVKEMIDKMGYTVKLVRVTKRVHEAYFAQLYISKLGDETETISFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRIVESAKPSMQAAASDGFLFTELDRPSGQPCIETKEFDLLRNMLIAAVEERYRDAALWRDKLTQLRSKRNWT >CDP15802 pep chromosome:AUK_PRJEB4211_v1:10:15817585:15822510:-1 gene:GSCOC_T00016647001 transcript:CDP15802 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRAKGVWLEIPKSGIKCLFEDIRKSVVVMADYYVFWDEDYLNTNYTPRVSVKVTSPYGNAVHHQENVTHGQFAFTTSEDGSYMACFSTESSHDGGKAVTVGIEWKTGIAAKDWESVAKKEHVEGLELELRKLEGVVEAIRENLIYLKSREADMREVSERTNARVAWFSIISLGVCVAVSLVQVVHLKHFFEKKKLI >CDP01178 pep chromosome:AUK_PRJEB4211_v1:10:4895761:4899809:-1 gene:GSCOC_T00034729001 transcript:CDP01178 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNRSSSGSSMENESETQGYAWPEKAVAVKNFVKEKSVVAVSRLLRRLSGKKKKEVAGSSRESAAQECSPKTVERYGWNPLSLIGISHEADADNKDEQVGNATVITEPLPMKGRIILYTRLGCQDCKEARQFLHRKRLRYVEINIDVYPGRKLELEKIAGSSAVPKIFFNEVLVGGLSELKSLDESGKLEEKIEYVISEVPSFEAPLPPLSGEDDLSSSGSIDELALIARKMKENIVVKDRFYKMRRFTNCFLGLEAVDFLSEDQYLEREEAVEFGRKLASKLFFQHVLDENVFEDGSHLYRFLDDDPLVSQCQNIPRGVIEAKPKPIIEISSRLRFLFYAILEAYTSEDRKHVDYRSIHGSEEFARYLRIIEELQRVELHDMPREEKLSFFINLYNMMAIHAILVWGHPSGPLERRKLFGDFKYVIGGCTYSLSAIYNGILRSNQRPPYNLTKPFGIKDKRIKMILPYPEPLVHFALVYGTRSGPALRCYSPGDIDKQLMEAAHNFIRSGGLIVDLDAKTLYISKIFRWYSSDFGKTEVEVLKHGANYLEPEQSQTLLELLSSTQLKLVYQPYDWGLNH >CDP22069 pep chromosome:AUK_PRJEB4211_v1:10:27315641:27315901:-1 gene:GSCOC_T00001621001 transcript:CDP22069 gene_biotype:protein_coding transcript_biotype:protein_coding MIWNIYARTHAGFSVWDRGCCGIGRNQGLITCLPFVTPCSDRKQYVFWDAFHPTQDVDAILARRAYSGPPSDCHPISVQQLALINF >CDP01277 pep chromosome:AUK_PRJEB4211_v1:10:3933044:3934045:-1 gene:GSCOC_T00034865001 transcript:CDP01277 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLVCGSFSGQEDEDDIDELLGPCSTPKRSRKTSYSSRSRDSNNPYANRGLEKFSAVLAELEDKKQKIYTQKGSEDISFVRFVYSNSNDWRPIVVKARDRKQGNGNNHVKEKQMSRMNSLPSEDKHAIETSTVVKEVRQQQKEESSQRPAKKSIRWSLKLKNLRRPCWYMPVMMVLILLLLVMYGRSFAILCTSLGWYLVPMIKQRSSTSEETKKTKKDYVRRLSEKNMVNDKVASPKSSGPSSPKSVLSEPAEKPTGLHAHRKSW >CDP01018 pep chromosome:AUK_PRJEB4211_v1:10:6594786:6595265:1 gene:GSCOC_T00034503001 transcript:CDP01018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 4 [Source:Projected from Arabidopsis thaliana (AT1G59530) UniProtKB/Swiss-Prot;Acc:Q9LQ65] MSCEEPVHFHFPPVLEDPFTCSDLHDLVSLIQSGFPTHANSGSETTRNGMPSNSTSGSETNRSVYSVEERKRRRMISNRDSARRSRLRKKKQLEELTNQMNQLKLENTELKNQLCLVSHQYRAVQMDSTRLMAESIDLRQKLANLNQILVNMQLPSSLH >CDP07235 pep chromosome:AUK_PRJEB4211_v1:10:46214:53931:1 gene:GSCOC_T00024440001 transcript:CDP07235 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNATSLWVLIRIIVECLAMEPDLRTMLGSGTALLPIIKVKRVLRGKEGREVAMASSSAESTVAAEPHSNRPQNENDDMGSLFEGMVLFTTPVHTDSNSISDDQNQGKRVSHDAAAAAADSLSQLSSSSLPLDEDLFSDLSLIVAAPDDRPPSPLSSPSSSSPAASHPQPHLSTRKKRRAAGLRIGYGRDRDGPQPPSRQQLPDPNHHSLQSKPPTFPVAYYHTSNTNVAVAAHQDGGHQIRVHLATQQHSPPHSAASTTCSREADIQQLEENEAKQQDEEEPVLSNHPTGSCQMVEFRFEEIKTCMTEKLKKAREAVSFLSAARKDSIRKRRKAALQWSQASAKYRELEKQLEEACETEDFEKAERVSESLAPAEKDRELLVVALRDAEAECDAFDSRMQEALQNQIRAEEESASLLRSFALDASNGADLVLENAKAVSLRETEEWLLSTEELELKKLQLKIESQLINEARLALNNSIELSVEDDHRERDILYMKREILADELEKLLALVKKKEAEIAENNSKIETVERRIDGVFSNFEQVHSNLDEKHNNLELDLRQLDLEHELLTNKKKQIDDDLSQEEVRGEEIREISRISAIEASICQDVVGLRKSMLQLIQKFIEDKMKLSKTEQQFTEDVNMLKLGISSARASLQELSSSKSSIQQEVESCKQRLFFIDKRLPELEAEKKVAATTRNFKEAARIATEVKALSVEREGIGIKMGDAKSQLQQLEEQICNTVNRLEQTESQVLLREKELEMARFQRLNLIAEAATAERFAAIELGDLEEADVLLAEADAAASEARKLLLLRNFRDEDLSDLPKHFVPIELVSKLEGKQLAELMASIHSRGG >CDP01354 pep chromosome:AUK_PRJEB4211_v1:10:3238591:3242331:-1 gene:GSCOC_T00034963001 transcript:CDP01354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-IV [Source:Projected from Arabidopsis thaliana (AT4G33350) UniProtKB/TrEMBL;Acc:A0A178UWE7] MEAPKKSVGPTSSNPLLSFSAFLHQHTLRLGAELASRLEDTKRLTSKLAANFPSFLPPPPALLLPAHSSSSPLLLPFASASQSQQPPRHDAAVATSSETLSSDHVAKTLAGTSVYTVSNSNNEFVLISDPDGAKSIGLLCFRREDAEAFLAQVRSRRGAVRGGAKVVPIALEQVYMLKVEGIAFRFLPDPVQIKNALELKASDVMSGFDGVPVFQSDLLVVKRKNKRYLPIYFRKEDLEKELLMVASRRGPAVSQHILVGNLENVLRKMEMSERNSGWEDLIFIPPGKSHSRHIQEVTKS >CDP07373 pep chromosome:AUK_PRJEB4211_v1:10:1046863:1056689:1 gene:GSCOC_T00024614001 transcript:CDP07373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 [Source:Projected from Arabidopsis thaliana (AT1G75850) UniProtKB/TrEMBL;Acc:A0A178WDK6] MMIADGIEDEEKWLAEGIAGIQHNAFYLHRAVDSNNLREALKYSAQLLSELRTSRLSPHKYYELYMRAFDELRRIEMFFKDEERHGCSVLDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRSVQHPIRGLFLRSYLAQISRDKLPDIGSEYEGDGDTVMDAVDFVLQNFTEMNKLWVRMQHQGPIRVKEKLDKERSELRDLVGKNLHVLSQIEGVDLEIYKDTVLPRVLEQVVNCKDELAQHYLMDCIIQVFPDEYHLQTLETLLGAFPQLQPAVDIKTVLSQLMDRLSNYAASSAEVLPEFLQVEAFAKLSNAIGKVIEAQVEMPVVGAISLYVSLLTFALRVHSDRLDYVDQVLGACVKKLSGSPKLEDNKATKQVVALLTAPLEKYNDIDTALTLSNYPHVMDHLDAGTNKIMAMVLIQSIMKYNTCVSTAEKVDVLFELIKGLIKDIDGTLADELDEEDFKEEQNSVARLVHMLYNDDPEEMLQIICTVRKHIMAGGPKRLPFTVPPLVFSALKLVRRLQGLDGEVAGEEVPATPRKIFQLLNQIIEALSNVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFVLYEEEVADSKAQVTSIHLIIGTLQRMNAFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRSLRIANAAQQMANVTRGTGGPVTLFVEILNKYLYFFEKGNPQVTSAAIQDLIELIKTEMQSDTATPDPASDAFFACTLRYIEFQKQKGGAMGEKYDSVRI >CDP18292 pep chromosome:AUK_PRJEB4211_v1:10:24909730:24910643:1 gene:GSCOC_T00012022001 transcript:CDP18292 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDDEKLSQMIRDYIELDPSSPISSSKSLQVDQKSSFMYLQEILERVTVAESEILRKIFLYWKDLEPSKLRKWVVMNLGKDYYDASLCRTSWVTKFNRSSGEYEYIDVMMKNDATSDGAVRLIVDIDFRSQFELARPTPEYEELSNSLPSIFVGTELKLEKIISLVCSAAKESLRERGLHVPPWRKARYMHSKWLSENCKKISFPELGTI >CDP07242 pep chromosome:AUK_PRJEB4211_v1:10:110231:117066:-1 gene:GSCOC_T00024448001 transcript:CDP07242 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGTQVASPIFIRQASFAVGAGGGGSARFCSQHPAPRKRSLPFTQQQQHQQEPSDAWTSKQWEWDSMRFIAKPHECSDHLRLKLGSDSGSGGKAGDAAGTSTSFNSTDEPVSRPNKRVRSGSPGSATYPMCQVDHCEEDLSHAKDYHRRHKVCEFHSKATKALVSKQMQRFCQQCSRFHPLPEFDEGKRSCRRRLAGHNRRRRKTQPEDAASRVLLPGSSEKGINSDLDIVNLLAVLARAQESLILLFVDVPGNTEDRGSTLPDKDQLLQILAKINALPLPANLAAKLPLFSNLGRSVPNQVPSQNQSHLDENSSPSTMDLLTLLSGTPPVCAPNKMESEPERSSQGSDSEKTNSACSDQAACLNLNSGPAMEFPCIGGERSCSSTQSPVDDSDCCVEEIHPHLPLQLFSSSPEDNCPPKLPASRKYFSSDSSNPSEERSPSYSPTVVQKLFPVKRGTLKQGNTSSDGDGDANSRAIRDAGCNTLLQLFAGSSVGNDVGSIQSFPFQAGYTSSSGSDHSPSSMNSDTQDRTGRIIFKLFDKDPSHLPGTLRTQIHNWLLNSPSEMESYIRPGCVVLTVYVSMSLFSWEQFEDKLLHHVKSLIRDFNTDFWGSGRFLLYTGKQLASHVDGKLRIYKTKRAWRSPELLSVSPLAVVHGQETSLLLRGRNLNVSGIKFHCSHTGDYTVEDVSGPACQEPEYNEINLCNFKVSTTASVLGRCFIEIENGFRITSFPVIIADKPICQELRLLEYDFSEGAKMEDSMSAYYQHGPGRPGSREEVLHFLNELGWLFQRKCNSSLLEGPDYKISRFKFLFIFSVEHDFCSLVKSLLDILLEINLGKEGLNRVSLEMLSEIHLLNRAVKRRCKNMIDLLLNYSIDDSSDTSKHYIFTPNHVGPGGVTPLHLAACAFRSDDLVDALTSDPQEIGLHCWKSLLDANGLSPYAYAAMRNNHSYNRLVAQKLADKETGQVSVSVGNEIEQLWLEVNQDHGPSFHIKRSQKPCSKCAAVAMRYRRIPGSQGLLHRPYIHSMLAIAAVCVCVCLFLRGAPDIGLVEPFMWENLCYGPM >CDP10692 pep chromosome:AUK_PRJEB4211_v1:10:10395407:10395667:-1 gene:GSCOC_T00031488001 transcript:CDP10692 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQLFTKTLNPGDVFVFPEGLIHFQFNVGKTNAVAFAGFGSQNPGVITIANAVFGSDPLISADVLAKAFQVDKKVIDLLEAQFLK >CDP11952 pep chromosome:AUK_PRJEB4211_v1:10:23942073:23944265:-1 gene:GSCOC_T00035271001 transcript:CDP11952 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLRVRCWEYRQLPSIVRVTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWINEDSTYKYYEVILVDPAHAAIRNDPRIHWICEPVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNQTLSLRRYR >CDP11419 pep chromosome:AUK_PRJEB4211_v1:10:26040114:26041783:-1 gene:GSCOC_T00033666001 transcript:CDP11419 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTAQIDKNNRLTGQRICSDVSLNGVIHDVNELVGMSESIIPETYSTSLCDHSKEQQMHESIAHESGQLNLEESYFGDHEKSLPVAVCKVATIDTLNCCTLDTAVNPLDNAVQNEQDGHSNFKRGILEEYWHPSSSDALRLSTTREVQDGNVDSGEDTHQPLEMHRIVSAVTPISANAVESLIEFTQSNFDSIRVELHKSNILELQDGLNCKVAGESLDAADLETQLEPRAQSPIEQGRGVEAKLIEDGDNLVELSDYEEVGHLKHKADAIHDSCQSNELESVARNKLCILPEQGATNDEESVVISDAQGVILSLHGEGTPIPNGGKHTEIKLSASKHHSVTATIANSSSILRHQCM >CDP07605 pep chromosome:AUK_PRJEB4211_v1:10:2812593:2815408:1 gene:GSCOC_T00024912001 transcript:CDP07605 gene_biotype:protein_coding transcript_biotype:protein_coding MSINSQSQSQSHPPLFSNLHFMTVVLVLLLLLTSLTPSAGSPSIPPSFNLSHYFLFPTSNDVVNNRQHHHRSHSQPNSSPFLKDVLTEIGAREKWDLQEFSVSKLDVKKAKLGDLQRYEFRVRFGKSEFVFKLLDQVSRWKRFEQLENELGFEDLVSKITSKAAVLGTLKIQGPFHLRLAGDHHLKLFLPLKNSFSGLKDILVGEGITVEITGAEEVSLFHPTSASSSLGRTDYRYFWPSLCMAWPPIRVNC >CDP11386 pep chromosome:AUK_PRJEB4211_v1:10:25507510:25511253:1 gene:GSCOC_T00033615001 transcript:CDP11386 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLAYVALDFEQEAETAKNSSSIEKSYELPDGQVITIGSERFRCPEVLFQPPLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >CDP11390 pep chromosome:AUK_PRJEB4211_v1:10:25535394:25539988:1 gene:GSCOC_T00033620001 transcript:CDP11390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase, cytosolic [Source:Projected from Arabidopsis thaliana (AT1G43670) UniProtKB/Swiss-Prot;Acc:Q9MA79] MDHSADAYRTDLMTITRFVLNEQSKHAESRGDFTILLSHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTCILVSEEDELAIVVEPSKRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYMIKDHSEPKLEDALQPGKNMVAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFILTHPEIKIPKKGKIYSVNEGNARNWDAPTAKYVEKCKFPKDGSSAKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKERALDLVPKKIHERSPIFLGSYDDVEEVKALYAEEQKA >CDP07397 pep chromosome:AUK_PRJEB4211_v1:10:1218623:1219649:1 gene:GSCOC_T00024650001 transcript:CDP07397 gene_biotype:protein_coding transcript_biotype:protein_coding MERTMTGFKLFLFLLPLLLILMVHLMMLSRRWFYIRGLRPVSDQSTSQQGSSSPPWGLVLVVLLLLVLVYYHSSFQSSWFRPPV >CDP07556 pep chromosome:AUK_PRJEB4211_v1:10:2414685:2419285:1 gene:GSCOC_T00024849001 transcript:CDP07556 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVMASRSSNYCLGRGYLIPDDVYKNLLVLYGLCLIFPFSIVICRVLIRRGVEDSDWMVSYHSVYLYSLREC >CDP07413 pep chromosome:AUK_PRJEB4211_v1:10:1307017:1310277:-1 gene:GSCOC_T00024672001 transcript:CDP07413 gene_biotype:protein_coding transcript_biotype:protein_coding MENNHQSQPLQPTKASRFKRVCVFCGSSPGKNPSYQLAAIHLANQLVERNIDLVYGGGSIGLMGLVSQAVFNGGRHVLGVIPITLMPREITGETVGEVRPVTGMHQRKAEMARLADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVDEGFISPSARHIIVSAQTAHELISKLEEYVPKHNGVASKLSWEMEQQLVYTTKSDIAR >CDP07351 pep chromosome:AUK_PRJEB4211_v1:10:925911:927102:-1 gene:GSCOC_T00024589001 transcript:CDP07351 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSVFLALFLPCAGMSVVFLVYICLLWYAASNNSNYSSDNQQLQSPVKPPKGNGLSASDLEKLPKTTGKDLVLGAECAVCLDDIEGDEPARLIPGCNHGFHLQCADTWLSKHSVCPVCRAKLQPELFDPPETNPC >CDP10649 pep chromosome:AUK_PRJEB4211_v1:10:11384172:11386933:1 gene:GSCOC_T00031429001 transcript:CDP10649 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLINYIRVHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDEIIIKLHNLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLISRGIDPQTHRPLNGGTATTTTTVTPTTTNTDASKTAKNICLDFRSSALPLDNKNGFMNDHGSSKFKLTTDHSSAEDTKCSSGTTEESQAPQGPLLLEDQATTPSVMLDLELSIGLPQPKSSISSFSSSAESKVSQGFWAAAAPPQQLTQGAAAAAATATGKPVCLCWQLGYKSGQLCRNCDMALQISLKIEDRFQG >CDP01132 pep chromosome:AUK_PRJEB4211_v1:10:5288170:5289034:-1 gene:GSCOC_T00034656001 transcript:CDP01132 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTVFFALQCCQCSTMQVKQRKKSSNKWTCVVCNQKQSVCKVFAQGYMAKDVRKFVQSFNMSRQFAEQNVIPADDDKATLEIEDKIPPNGKLKRTDWTEYIDPEEDDSEIPNIGENPGLLKIVTEMPKPVFKKPKLKSYHVAKDCEYGDEKNILVDVGESLVRTKGCSQGK >CDP18277 pep chromosome:AUK_PRJEB4211_v1:10:24711398:24714563:1 gene:GSCOC_T00012004001 transcript:CDP18277 gene_biotype:protein_coding transcript_biotype:protein_coding MFPESSWLGSIKSENYFHNCLSLVVTSFPEHGEKGSSSPLLSSVESQIVHENSKSSCNDEASQHVIDSPTERKEVTDKSGPMDEGCKTMYEENSENSTKECIIPRGLEKSDSNYECVEEMPLAEWFAEIRNRNMRDRLPLGTVGTARSINGASSWLKLSNDCSKVSTRESFLVPTVLASNCETTSKCKNESPECLLDIGQTCQCLDFGDENDSFEGLGTKLHHPGPPNVLSTSCFLLQAPFCK >CDP07318 pep chromosome:AUK_PRJEB4211_v1:10:715466:726676:1 gene:GSCOC_T00024548001 transcript:CDP07318 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQPIEGSSTSNVSGGSPESTVELNIKTLDSQIYSFHADKDMPVSALKEKIASQIGVPVEQQRLIFRGKVLKDDHLLSEYYVENGHTLHLVERQATQQQPSSSSDNGNTRTNTGRVGQEPGGPGGSRNRMGQISHSVVLGTFNVGEQGENVGSDLSRVIGAVLNSIGIGGQPGTQPSVQVPQRSATGGVRNDAGHQNQGGNQSQPGQSFNSQPMPHAVQIPLGAAVALPSLNMPIPDSLNTIYEFVNRLEQAMSQQGYQPSQSPNITGDPRTTQLPATTPGVPTPEALSNVLRHAQHLLGGHAVAALSHVAGRLEQEAGSNDPTIRGQIQTESAQVGLAMQHIGALLLEIGRTMLTLRMGQSPAESSVNAGPAVYVSPSGPNPIMVQPFPLQTSSLFGSSASAPSNPGAFGPVGIGNISRHVNIHIHTGTPLGPFVSGVGARMNNGEGTLGERANGTASGESAQSRVQGVTNVNTTAVPLRPAVVAVSGTLEPSVGVSLPPDLFPLSTVVPEVNSQIRNFVGNIRGGHQASSESSTVQERAVGAAAAGDEGRSNEQNNISSGHGFGETSQLFPGVSNTTNQETQPSGHQPSNSKDSGVAVNPKYEPSSSSLGGSNEPSSTPVVVTVEGASSSSQAMDTTGGSSTTPLGLGLGSLQPKRRSRQSRSQSNSGSSSLVTSNQTEQPRIAGQQVLQSLASLAARSNGNTQASGQLSQPAGVVVDSLPPAEENADGQFDIGNAMSQVLQSPALNGLLAGVSQQTGIGSPNALRNIMEQLTQNPAMRNTVNQIAQQIDNHDLGNMFSSLGGGQGGGFDLSRMMQQMMPIVSQALGGDSTGPQPTLAMGPDLMGSRSRRDVVSTVENSQVDIQQVARGIEQQSPPGEIFRSMVENALQLCEGDNVQGNVVNELCSDEGLANEFMEMLRQDISRRLDDRSSP >CDP17256 pep chromosome:AUK_PRJEB4211_v1:10:18769616:18773004:-1 gene:GSCOC_T00013674001 transcript:CDP17256 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSLFLVAILLLQVFTEALSISGTTTPQTHQVDGRSKRPKINCSYACSRRCSKASRKNVCTRTCKACCARCNCVPPGTYGNKELCPCYARLKTRGNKPKCP >CDP11538 pep chromosome:AUK_PRJEB4211_v1:10:27184875:27194436:-1 gene:GSCOC_T00033839001 transcript:CDP11538 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGAYGFGYGGSGGRGSGRDDDEEEEKGILWKLPEVKSKQLGKIGPAFGVGFGCGVGLAIGIVGGMGVGPGIPGLQLGLGAGAGCGLGLGFGYGVGRGIAFDYNRRYSNVARDEIGALINELVLNTKKLVKATSREVDKWRRQ >CDP10935 pep chromosome:AUK_PRJEB4211_v1:10:21405741:21409242:1 gene:GSCOC_T00031905001 transcript:CDP10935 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEKSPRKIPFLQGFLCLLVVLLASFPSCSFAASRSSATKKKASASSVIFPVSGNVYPKGYYQVTVNIGQPPKPYFLDIDTGSDLTWLQCDAPCAKCTPAPHSPYKPNKNLVTCKDPLCVSLYWPTSHQCESLDQCDYQVEYADRGSSLGVLVQDLFPLRFTNGSISAPRLAFGCGYDQEIPGPNPPYTDGVLGLGTGKSSILSQLNGLGLTRNVIGHCLSVQGGGFLFFGDDLVPSSGIVWTSLSSNSLEKHYSVGPADLFFGGQASGVKGQSIVFDSGSTYNYFNSQAYNAVVSLLKKDINGRKQLQDAVDDKSLPICWKAPKPFKSILDVKSYFKPLALVFTSAKNVQFQLQPEAYLIVTKQGNACLGILNGTEVGIGKFNVIGDISLQDKLVIYDNEKQQIGWASANCNRLPKS >CDP11466 pep chromosome:AUK_PRJEB4211_v1:10:26421002:26423725:-1 gene:GSCOC_T00033732001 transcript:CDP11466 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRPGDFYGAAAYRSREGLSTRQVGGSDEIQLRIDPIHGEFDEEITGLRKQVRQLRNVAQDIETEAKFQNDFINQLQMTLIKAQAGVKNNMRRLNKSIIKEGSNHIMHVVLFALLLFFVVYFLSKFSRR >CDP01115 pep chromosome:AUK_PRJEB4211_v1:10:5442628:5443777:1 gene:GSCOC_T00034633001 transcript:CDP01115 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIEILSSTDEVDTAVQTVENVVDAVEKVAEQVEKVAEDIAEGLPAGKLKNAVTFIENVADQIDDTAEVVGDAIDKVQEVGDQIESALDGEKEAIPEKAKEPAKEVKAEA >CDP16856 pep chromosome:AUK_PRJEB4211_v1:10:15131225:15132171:1 gene:GSCOC_T00019415001 transcript:CDP16856 gene_biotype:protein_coding transcript_biotype:protein_coding MMYISYILYYRRKKAIHKTTTTDDKRLQNTLKRIGVNAIPAIEEVNIFEEDVVIQFINPKVHASIAANTRVVSGSPQTETMLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLLIDLAMYLFKLLDEFCSPYLS >CDP17702 pep chromosome:AUK_PRJEB4211_v1:10:19625444:19627298:-1 gene:GSCOC_T00001438001 transcript:CDP17702 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLQPNYLSIWSRRCHTENQHYFSLLKINRRWKNSVEKARITKLKRPSGEERRVVWKVHCRSTSNSSSVNEVELAAGQLEVEGNTCYLYNRRDGFGSLLSEHGWHIRRMVETDEEMRKVAGVQAEAFHEPVVLFNDFFFAFFQAEVLSGLLYRLRNSPPDRYACLVAEPSRDSSGSNMDDLVGVVDVTVSRDDDVLQYISGADEYLYVSGIAVLNNFRRKKVATALLKACDRLCNLWGFEYLVLRAYEDDWGARELYSNAGYRVVSGDPAWLTTWVGRRRRVLMIKECDNT >CDP01187 pep chromosome:AUK_PRJEB4211_v1:10:4768026:4768408:-1 gene:GSCOC_T00034746001 transcript:CDP01187 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVGTNKFPSVGVGLFKKILRIISRGRNSGAEYPDVMPLNEDLKTGELAKHETKMLLQD >CDP01000 pep chromosome:AUK_PRJEB4211_v1:10:6782326:6788603:-1 gene:GSCOC_T00034482001 transcript:CDP01000 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDDAAADKNPKPNNPWPQQPSRPGYSPDSEKDMKIWGILLFGLIGATATTAAVTQLRRSVDWVYSQLSRSKASWKSASGKTFRTSFQEEAWKRYNRRMQEEYEEEMERVERIRRMQSVFNRERNKYKRSYESWAENGQGAYHQHFQRNDWYWKGDTSYRDQGAKFREAPWASASYPLSHHYSVLGLDRARAKPYTDDEIKSAFRAKAMEFHPDQNQDNQQLAEAKFKEVMISYEAIKTERNNKKN >CDP01080 pep chromosome:AUK_PRJEB4211_v1:10:5865369:5867467:-1 gene:GSCOC_T00034591001 transcript:CDP01080 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTLAAAKELGIPEVLLWTSSASSYLPYFQFDKFIEKGIMPLKDASYLTNGYLDTVLDWIPGLEGIRLKDLPSFFRTTNPDDFMLKFVMQATQRSRKASAIIINTFQQLEHDVLDELSSYLPPIYTIGPLHLLENPVHGKSLTDFRSNLWKEQPECLEWLDSKDPNSVVYVNFGSIAVMTPEQLVEFAWGLANSKQNFLWILRPDLVSGSSAILPSEFLEETKERSIGWNSTIESISYGVPMICWPFFADQQTNCWLCCTKWVESLVSELMAGEKGKEMKKKATDWKKLAEVAVTDANLKLENLIHQVLLNPSIRYLKNCVSVRK >CDP11942 pep chromosome:AUK_PRJEB4211_v1:10:24030882:24042716:-1 gene:GSCOC_T00035257001 transcript:CDP11942 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT5G52560) UniProtKB/Swiss-Prot;Acc:Q9C5I1] MAAAESLAKLSIDGDGFPENLRKNLSVLSPQQVELAKMLIEMEQSHLFKQWPEPGVEDDEKRAFFDQIERLNSSYPGGLASYIRTARELLADSKAGKNPFDGFTPSVPSGEVLTFGDDNFVQYEEAGVREARKAAFVLVAGGLGERLGYNGIKVALPSESVAGTCFLQYYIESILALQDASCRLVQGNCPAEIPLVIMTSDDTHSRTVDLLESNAYFGMKPTQVKLLKQEKVACLIDNDAHLALEPNNKYRIQTKPHGHGDVHSLLYSSGLLSKWHDAGLRWVLFFQDTNGLLFKGIPASLGVSATKWYHVNSLAVPRKAKEAIGGITRLTHKDGRTMVINVEYNQLDPLLRATGHPDGDVNSETGYSPFPGNINQLIFELGPYMGELTRTKGSIKEFVNPKYKDSSKTAFKSSTRLECMMQDYPKTLPPSARVGFTAMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKAGVKVGDPVLDTFNEQEVEVWPRIVWKPRWAITFAEVKSKVRGNNTVTDRSTLAIKGRDIVLEDLSLDGALLVDAVDGAEVKVSGSVRNKGWVVEKVNHKDTSVPEEVRIRGFKFNKIEQLEKSFGEAGKFELKP >CDP00934 pep chromosome:AUK_PRJEB4211_v1:10:7715367:7718675:-1 gene:GSCOC_T00034391001 transcript:CDP00934 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCHLVTSSNGTTAEQERRALFQLRDSLNHPNGSALVNEWVGDDHCAWGGIFCARYLDGDLRVLDIFLTGKRQLALGVWYPNASLLSHFKGLQSLDLSGNYFGSWVMPEALCRNRLLNSPRILTALCSLRNLRRLDLSDNFLDDISIPPCLFDNSSSLESLDISLNYIKNPSKLFSGICKLQKLQMLNLKGNLIQGGIDPCLSEMTSLISLDLSFNHFQGNFPSNTFRNLTSLQTLLISDNKFGGILSFAMFANFSNLQDIDLSNNMFEVNTETPRWYPSFQLVSLNLRNCGVNNYHGRVVPSFISSQKNIKVVSLAYNALQGALPSWLIYNTTLDLLSLRGNSFSGGFPLSSGLATSMLIMLDISDNRLYGQLPATIHQLFPDLYYLNTSFNLFKGGIPSSYGNLTKLEVLDLSNNFLQGIIPASLRQNHTSLAQLVLSGNHFHGQTMPLFSNMSNLAYLHLQNLGFSGSITHSLMNLPILKVLDISRNDLSGNIPNWFHTFPNLAIILFSRNKFHGIIPISLCQMQTLHVLDFASNSLSGVIPSCLSNITSWKKESELLLPSFMWLSPTNANYRVKVPLTAKGNRLLYEGTPLSLMTGIDLSMNKLTGEIPSQLGELAALHSLNLSFNILAGHIPKSFSTMEEIESLDLSHNNLVGIIPHDIVQLHFISTFNVSFNNLTGSIPFENNFQTFDESSFIGNGELCGPPLHTNCTSNKNSNKPPEEQQHEEEDDRTGLAESDFFFYSCIAVSYVLGFWCVILPLILSENWRRKHYAVVDSCINICCNKLSSFFPKSG >CDP01039 pep chromosome:AUK_PRJEB4211_v1:10:6252674:6256566:1 gene:GSCOC_T00034532001 transcript:CDP01039 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPEIRKEGCGLVGAILQRGVLKPRKTSESPIPRDSSKRTPVKFLRFYASKRQRGSSDENDMAAAMDSSIVAKPPLKLAEKAPRKPSMDSTMFSTAQGHRTGHSSNSSMSIVNNSSMISTSQVVNSEYTRMLRREPTFTSSEFSVTSHRKSNVSSKGHLYRASTGSVMLVGHLGNLKQKGHNKSLSDSANSRTVQKGNLSGKFAPATAAMGNILKKPTERNHTSGSLNKLDPEALKCLGNEKYQEGKFEEALDLYNQAIAIDPNKASFYSNKSAALMSLGNLIEAVFECQEAIRLDPSYHNAHYRLARLFIRLGEAGKAIDHFEKSGRKASSKDISNAENLETHLNRCSGARRRKDWETLLNHSQAAIAMGADSAPQIYAMQAEALMELSRDDEAYKVNDEGPDFDIELHTRFLGSAETAKLLVVRSKIFMAAGRFEDAVAAARHAARLDSSDDVKSLVKKVDFVASTRIKGNRLFNASRYAEASLAYTSALEEVPYNSVLLCNRAACRSKLGQYEKAVEDCTEALKVRPSYSKARLRRADSNAKLERWEAALEDYETLMRVVPGDEEVTKGFQEARKQVKKQHNYEVQEPRTSSDLKSVISNKPAGKILTAAEFYGSSTSFKLPNGIDFDTSSGANCAAATNTTDFGRSVTTLREIFTH >CDP07371 pep chromosome:AUK_PRJEB4211_v1:10:1035649:1038689:-1 gene:GSCOC_T00024612001 transcript:CDP07371 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIGGLVALCRAVEGIAISSTAGNVVCNRMLQTALYGTTSAYSRRHHWFTNSNKLVEQPAAHTVAGTMLFSVAASAVSEDVHAKEPVQPKLRPNDVVLYQYEACPFCNKVKAFLDYYDIPYKVVEVNPISKKEIKWSGYKKVPILMVDGEQMIDSSEIIDELSRRVGSRAQGDNLSDEAGEENKWRGWVDNHLVHVLSPNIYRSGSEALESFDYITSHGNFSFTERIIARYAGAAAMYFVSKKLKKRHNITDERAALYDAAETWVDALKGRDFLGGSKPNLADLAVFGVLRPIRHLQSGKDMVEHTRIGEWYSRMEDAVGASCRLETQGA >CDP01113 pep chromosome:AUK_PRJEB4211_v1:10:5493709:5494770:-1 gene:GSCOC_T00034630001 transcript:CDP01113 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRVVLALMMFAVVVGAAVAQAPGASPAASPKKSPAPAASPNKAVTAPTPSAAATSPVSAPKTAPTAGPAASPPSPPTVATPASGPSATSPSSIAGNPSGAPASAPNGAPASTPGNGAALNRVTVVGSAGVAFLAAALLF >CDP10710 pep chromosome:AUK_PRJEB4211_v1:10:10012984:10021199:-1 gene:GSCOC_T00031511001 transcript:CDP10710 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSVLEPQTPLLETENEAVHGYVDYKGSPGGWRSASFMLAGGSLERFAYYGVESNLISYLTGPIGESVATAAANVNTWIGVVSLVPVLGAYLADSFLGRHRSIIFTSLLYILIMSGCDTSILGMNKKSDPDTGNQVLFFVSLYLIALAQGYKPCVQAFGADQFIEKDQEKGKAKSSFFNWWICGICIGSIAAHLILHYIQDNINWAIGFGIPCLAMVLGLILFLLGNRTYFFAVKRGYEESHHGRINWGREEVDDALHNGPLASSSQGQCKEYLLILLRTLVTVCYSALLTHTHKTSDILPAADDYSTDNIARSSKRKEQKNVITLLPVWITCLTYTIAYAQASTIFLKQATTLDRSIRTNFNIPAATLKTFIPLTVMFCIPIYDKIFVPVARAITKYPTGITMLQRIGAGMGISVITMVVAALVEMKRHKTAEDYGLVDIPNATLPMSFWWLVPQYILFGFADVFIQVGMQEFFYDQVPIELRSVGLSFHFGALGIGNFLSSFLVSMIDKATSQWGRVSWFSDNLNHAHIDYFYWLLAGIGAVGLIIFVYISRFYSYQCFFFFFTSNMPPVESLIAPPPERYHRLLPLNAPFVFNFQRRMGGGQLQEMNNSFITLLSLLMSKFSFYASIFVEKEMPLPSQFRFISAVMSGSRVMESQIPFLESKNEADHGDVDDNGSPGGWRSASFMLVGGSLERFVCCKCQYMDRVASLVPVLGAYLADSFVGRYRSIIVSSILYILGLGFLSLSATIIPTLINSPRYQDMTKKSGPDTRIKVLFFVSLYLVALAQGYKPCIQAFGADQFDGRYPGQSRAKSSFFNWWLCCLCMGSTTSHLILHYIQDNINWTIGFGIPCLAMILGLYCIGDKENNRLLKDALPAADDCSIDNSVISTKAKEPRNVLRLLPVWITCLTYTIAYAQSSTLFLKQATTLDKSIGPSFDIPAATLKTFIPITIVLCIPIYDRIFIPIARRITGNPTGITTLQRIGPGMAISVINMVVAALVEMRRLKTAQDCGLVDIPNATLPMSFCWLVLQYILFGLTDVFNQVGMQEFFYDQVPTELRSVGLSFYYGAMGIGNFLSSFLVSIIDKATSQGDRESWFLDNLNHAHIDYFYWLLAGIGTVGLIFFVYLSKFYKYVEQRDDQNCSQGRLADRSIGDL >CDP00858 pep chromosome:AUK_PRJEB4211_v1:10:8956415:8958359:-1 gene:GSCOC_T00034284001 transcript:CDP00858 gene_biotype:protein_coding transcript_biotype:protein_coding MEISWLLMPFLAWLAAAVLLSRITSTKRPKKNYRPGPKPWPIIGNLHLIDSQPHRSFHSLYHKYGGIMPLWFGGKPLIVASTPEAAKEFLKNHDYNFSSRPANAAGKYIIYNYSDVTWAPTGDKHLFRYTLTIITRTVLGKTYISTKESNDDSAIVTFEELTAMLDKWFVLNGVLNIGDWVPWHNVFDLRGYVKQMKEVDKDYEKFFNFVLEDHKARTKAEKDFVPRDMVDILLNLAEDPNLEVKLSRESVKGLIQDLLLGGTDTSTTTVEFVVTALVKHPHLTEKVTEELDRVVGRDRWVEEDDFPKLPFLEAVVKETFRCYPLCTLLAPRFAMEDCKVAGYNVYKGTTVLVNAWSIGRDPNYWDSPEEFLPERFLEKDIDIKGQNFEPLAFGSSRRRCAGYALGLKAVRSMLSNLLHGFNLKLPDGTKPEDVCMDEVYGFTTHPKVPIKLILEPRLRKDLYT >CDP10937 pep chromosome:AUK_PRJEB4211_v1:10:21433004:21436784:-1 gene:GSCOC_T00031907001 transcript:CDP10937 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKSLRSSISPFRSRKSSLAPTTKRPPTPSSASSSSPTQSTTTPAPSSFNRSPPDSNKAKENVTVTVRFRPLSAREISKGDEVAWYADGDCTDLYLIILSLCSYDADRVFGPATTTRNVYDVAAQHVVSGAMEGVNGTVFAYGVTSSGKTHTMHVS >CDP01265 pep chromosome:AUK_PRJEB4211_v1:10:4082344:4086731:-1 gene:GSCOC_T00034853001 transcript:CDP01265 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHVRPSCSTGKLRILIRSSLFSYLILPLVLLQLCSNLASAGSPVKFLPGFEGPLPFELETGYIGVDESEDVQLFYYFVKSESSPETDPLVLWLSGGNCCTSFSGLAYEIGPIKFEQVLYHGTLPKLLLNPYSWTKVASIIFVDLPVASGFSYARTAKASQSTVLQACNQAYEFLRKWLVDHPEFISSPVYIGGDSKAGISVPIVTQLISDGNAVGIEPHIDLKGYILGNPVTTPGDGNYAIQFTHGMGLISDELYESLKLSCKGEYQNIDPSNALCLQNMEAYNQASNHFSASFAHILEPYCPYASPKPNNLFTGRRSTIQVFCEKTRELKIQELAAPFTDGYRLVYHWANDESVQEALHVRKGSIGEWIRCNCRYPFIRNIGNSLPYHANLSIRGYRSLIYSGDHDMVVPHFGTQAWVKSLNYPIIDDWRQWILQGQVAGEEAILLLSTSLLNVEQCLKGGYLISLYKQKLFASQNSPSRYAYFQGVAFLSK >CDP01129 pep chromosome:AUK_PRJEB4211_v1:10:5307527:5308021:1 gene:GSCOC_T00034651001 transcript:CDP01129 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRSSIRLCKLIFRHPFRPSSKPMSTFSKNPITSLANHCSLHSFSLLNPKINPFFLQSLSSSSSTQLKKFQSLRLYSAETDKEVDTINIKFAEAREEIESAMESKETVYFDDEAECARAAVKEVLDLYEGLLRKLPENEKAAIQRSMGLKIEQLKAELEQLNE >CDP16853 pep chromosome:AUK_PRJEB4211_v1:10:14856722:14859655:1 gene:GSCOC_T00019409001 transcript:CDP16853 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLAAKVAFADSEKKVYIVFTDRPIGQDLRDYAIQILSSVLGCHKAAEEAVGYVYRRVGPGFSARLTPEQATRLQSECFIFVLYYIPFGVCHCNFIKLFLSFPKDLQ >CDP07269 pep chromosome:AUK_PRJEB4211_v1:10:327814:332876:-1 gene:GSCOC_T00024489001 transcript:CDP07269 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGAGERSLEETPTWAVAIVCAVFVIISFLMELGIHSLGKWFQKRQNKAMIEALEKIKSELMILGFISLLLTVSATYIAKICVPAKLGDKKLLLLSHAGEMVWRRALAADGGDKDYCAAKEKVSLISYKGVHQLHIFIFVLAVLHVLYSVILMALGHAKMKKWKAWELETASLEYQFSSDPARFRLAHQTTFVRRHGGMARAPGVRWAVAFLRQFFGSISKVDYLTIRNGFINAHFAPNAKFDFHRYIKRSMEDDYKRVLGISIPLWSFVLFFLLLNFSRWHTISWISLIPLLILVSVGTKLELVIMEMAQQIQDKTTVVRGAPVVEPTNDLFWFSRPHWILFLIHFTLFENAYQMAYFLWSWYEFGIGSCMHENLVMILLRVFLGLALQLLCSYITFPLYALVTQMGSHMKKAIFEEQTATALKKWHQAAKNRKKLRKAGAATDTSTSNANFTSGETTPGQGSSPLHLLHNFQCRSNAPETESYPASPTSYQTDTELSDLEASARGPTHDAASGSATDHRNVDPHREDFSFVKL >CDP01293 pep chromosome:AUK_PRJEB4211_v1:10:3770287:3772583:-1 gene:GSCOC_T00034888001 transcript:CDP01293 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLPMLNCLLQHTLRSLCTTGTSTTSSSDSSTSAKWVYSVFWRILPRNYPPPKWDHGGSILDRAKGNKRNWILVWEDGFCDFYECERAGSGYVKGRFGADIFFKMSHEVYNFGEGLVGKVASDNSHKWVFRDCPNENDPSFIHSWNVSVDPQPRAWEAQFNSGIQTIAIIAVREGIIQLGSFDKVAEDLNLVISIQRKFSYLQSIPGIYAIQRPYLPIQQPHTFRTNTTPHFMIETGETAYGVDDKRQLVGSKRLYCDRPDEFPVKSINLGFNSPHNGMIGPPPALWSIPPLLPSSACSPGAACIPKMPSISPSYNAIEATDTLLLSKQNCTMKTSSQSVKVNEFIGLSEMQSGDIKAETTCAMEAAQEGKPGTLSHSLGVECRVVKLGFGPQSEEECALNLN >CDP01209 pep chromosome:AUK_PRJEB4211_v1:10:4493564:4498313:1 gene:GSCOC_T00034780001 transcript:CDP01209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G27450) UniProtKB/TrEMBL;Acc:F4HSX1] MASSTTDAADDRIPRIASTIRVIQDFPKPGIQFQDITTLLLDPRAFKDAIDLFVERYKDQNINVVAGIEARGFIFGPPIALAMGAKFVPMRKPKKLPGEVISEEYSLEYGTDKMEMHVGAVQSGERALVVDDLIATGGTLSAAIRLLERVGVHVVECACVIELPELKGRDRLGDKPLFVLIS >CDP01007 pep chromosome:AUK_PRJEB4211_v1:10:6697689:6699849:1 gene:GSCOC_T00034491001 transcript:CDP01007 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAARAYDLAALKYWGPSTHINFPLENYQQELEGMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDISRYDVERIMASNTLPAGELARRNKETEPNNETIAYANAAHKSEECFQPERNANVSDWKMAPYQPPQQQQNLPMDSFNEKIMNVVHYQNPSYSTALHGLIGIDSGNSSRGIVDESAKLGAHFSNSSSLVTSLNSSREASPDRSGTSMLFAAKPTSAANYVNPTANVGSWIPSAQLKPVPISMAHLPVFAAWGDI >CDP01102 pep chromosome:AUK_PRJEB4211_v1:10:5571863:5575939:-1 gene:GSCOC_T00034617001 transcript:CDP01102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MGLHCCLTKTNFQTLKLATIPCSSSFSSSVSLSPLPKIRTIATISFPTPRHFLFARAFSSTATQTTIPPAVSAPAKDSNKAVKPQWKAAIDFKWIRENKEAVATNIRIRNSNANLELVLELYDKLLNVQKEVERLRAERNVVASKMKGKLEPSERQKLIEEGKNLKEGLVTLEEDLLKLTDELQKEAQSIPNMTHPDVPVGGEDASTLRNMVGEPRKFSFPIKDHAQLGKDLDLFDFDAAAEISGSKFYYLKNEAVLLEMGLVNWAVSEVMKRGFTPLTTPEIVRSSVVEKCGFQPRGTNTQVYSIEGGDQCLIGTAEIPLGGIHMDSILLESSLPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFVLCRPEESDSFHQELIEIEESLYSSLGLHFKTLDMASEDLGAPAYRKFDVEAWMPGLERYGEISSASNCTDYQSRRLGIRFRPESSSSSPRKGKGNSAPTQFVHTLNATACAVPRMIICLLENYQQEDGSVIIPEILRPFMGGLHVISYKYK >CDP12007 pep chromosome:AUK_PRJEB4211_v1:10:22700230:22702179:1 gene:GSCOC_T00035357001 transcript:CDP12007 gene_biotype:protein_coding transcript_biotype:protein_coding MITISYTSCCLAGTNNQQIVASKHFVANSASEKGGSIVKAGAGSTERETVNEESERLIQAVSRRSAVASAMSLATTAFGFPGVGLAVVKQGLLAGRVPGLSEPNEQGWRTYRRPDDKSGGHGVGWSPIIPYAFSVPDGWEEVPVSIADLGGTEIDLRFANSQEGRLFVIVAPVRRFADNISDDAKIEEIGEPDKVIGAFGPEVIGENVEGKVLSAQVAEHSGRKYYQFELEPPHIFITATAAGNRLYLFNVTGSGLQWKRHYKDLKTIAESFRVI >CDP00963 pep chromosome:AUK_PRJEB4211_v1:10:7250104:7266949:-1 gene:GSCOC_T00034433001 transcript:CDP00963 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGVMFFLWDLNANSSQLINLMTVFLCQVQLLNIVVLSFSFPDASSQYVVASFFCSLAVIARKRPTNYNPILSALLDFDSKFEMTKGGHIASIQYSIRTAFLGFLRCSDPVMLESREILLKALRAMNAADAADQALRQVEKMMRINGRASRDSRLSKDEQLSDHSPASVDHGRKRSLCLDNEDPDDNNDVALKRGRYGSNNHVAPSVDKNDSGQDFVNGVSPKVPLLDSNLTPVEQMIAMIGALIAEGERGVESLEILISNIHPDLLADIVITNMRHLPKNPPPLTRPSTSARQGDSSSPSQSVAPVGSTVLVEAPEVAAQVPVSSSNAISSSSFDMSTSNSLPSDSKRDPRRDPRRLDPRRMMASVSVPVASASEDNSNATQTGGLQLHVDSSSPFIKPLSPPGNLSSESILVPMMPKSDAGLSSLETLPTYYVDPVTEEEAEKDGSREVVPDGEEKGALEVPSVPLIDEQELVGQSSSEFTMVDEVYSPPSLEADELSPAISDMEASEDASVELPVLPSYINLTEKQQSNATTLAIERIFGSYKNLRGPGDKQMRMALLARLVAQIDAAADDGIVAMLKQLALDYHRQKGHELVLHVLFHLHSFMLSDSEETLPLAATAYENFLTGVAKSLLESLPATDKSFSRLLGDVPLLTDSVMKLLDDLCCERYLAKDASDDRVSQGLGAVWSLILGRPLNRQACLDIALKCAVHPQDHIRAKAIRLVAKKLYVLGYISESIEQFATRMFLSAIDQRASDVGLSQCGGSEQRAEPEVGSQETSISGSQVSEPGVSEIVSMKGAEIDTQTESAVTLAHAQPHVSLLFALCPKKPSLLRIVFDNYARSPKAIKQAVHRHIPVLIRAFGSSYSQLLEIISDPPTGSENLLTQVISVLSEGTTPPADVIAVVKLLYETKLKDATILIPILSSFSRKEVLPIFPQLVNLPSDKFQTALAHILQGSAHTGPALTPAEVMVAIHDINPERDHLPLKKITDACSVCFEQRTVFTQQVMAKALNQMVDQTPLPLLFMRTVIQTTDAFPALVDFVMELLSKLVSRQVWRMPKLWVGFLKCVSQTQPHSFRVLLQLPSPQLESALNKYTHLRGPLATYASQPSVRNSLTRSTLVLLNLVDEPHLQKSHLTSSLHPPDTSSSLHGAMPT >CDP07604 pep chromosome:AUK_PRJEB4211_v1:10:2807805:2811477:1 gene:GSCOC_T00024911001 transcript:CDP07604 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTLDVGDRILAEKVSYVFRKPEVSDIVIFKAPPILQEIGYSSGDVFIKRVVAKAGDYVEVRDGKLLVNGVAQDEDFILEPVAYEMDPVLVPEGYVFVMGDNRNNSFDSHNWGPLPIQNIVGRSVFRYWPPSKVSDTLCDSSLTTTAVAFS >CDP07586 pep chromosome:AUK_PRJEB4211_v1:10:2630445:2633328:-1 gene:GSCOC_T00024884001 transcript:CDP07586 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSACGWVHNQLSFPVTSPFHPRKAPLLHSAPKKQLNASVAFSKQTLLLQNELKSKSRFRGRTALCALNSELPRTSTSPRREPRDVQVNAIDGSEPFRGKPGSVSFLGLTHQSVEEGKLVSAPVEENVGSFVWVLAPVALISSLVLPRFFLGNAVEDLFRDELLSEIISSLASEVMFYIGLATFLHVTDHVQKPYLQFSAKRWSLITGLRGYLTSVFFITGFKIFAPLLAVYATWPVLGLPALVAVAPFLAGCLVQFLFEKRLESRESSSWPLVTIIFEVYRIYQLTRAVQFIQTFMFAMKDAPITQQLLERNGALVSLIVTFQILGVFSLWSLLTFLLRLFPSRPVAENY >CDP01123 pep chromosome:AUK_PRJEB4211_v1:10:5377028:5379847:1 gene:GSCOC_T00034641001 transcript:CDP01123 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIAGTIWTATAHIITGVIGAGVLSLAWSTAQLGWIAGPLSMIFFALITLISTLLLCECYMTPDPECGPIRHGSLTGAVKFFLGEKRHRICAIFVLESLYGTAVAYTITVVESASAIKKSNCYHIEGHNSSCRHVNANMLMLIFGAVQILVSQIPDFHNMAWLSIVAAVMSFTYALIGFALGLAKTIGNGMVMGSISGVPADSGAEKLWLVFQGIADIAFAYPYSVILLEIQDTLKSPPSETQSMKKASSISIATTTIFYLCCGCFGYAAFGDKTPGNLLTGFGFYEPYWLVDFANACIILHLVGGYQLACQVIFALVEKWFAAEYPNSALRKNLDLKLPMFPKFELNMFRFVFRTAFVVTATGNAMLFPYFNQVLGVLGALNFWSLGIYFPVEMYIVQKKIGAWTRKWVLLEVFSLVCMVISVVALIGSVEGLIRAKLS >CDP01360 pep chromosome:AUK_PRJEB4211_v1:10:3211185:3213051:1 gene:GSCOC_T00034969001 transcript:CDP01360 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEKSVQLKSDALMEQMKLHLTTDAGKALTKKIGLVYQLNIAPKKLGFNEEFYVVDLKKGEVKKGTYEDGKPDATFSFTDNDFIKIAAGKMNPQIAFMRGAMKIKGSISAAQKFTPDIFPKPAKM >CDP10965 pep chromosome:AUK_PRJEB4211_v1:10:21986814:21990928:-1 gene:GSCOC_T00031956001 transcript:CDP10965 gene_biotype:protein_coding transcript_biotype:protein_coding METYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLTEDIMSNRILYICQEEGLSLDSDALATLSSISQGDLRRAITYLQSAARLFGSSISSRDLISVSGVIPEDVVQALYSACRSGNFDLADKEVKNVIAEGYPVSQMLSQLFDLVVEADDMSDEQKARICKKLGEADKCLVDGADEYLQLLDVASKTMQALCNLPQELSYES >CDP01094 pep chromosome:AUK_PRJEB4211_v1:10:5632713:5636577:-1 gene:GSCOC_T00034607001 transcript:CDP01094 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSTHSSLLPNKAFVHHPQPSPKAPIFITNPSSKPTIRSIQPISAVQSADKSPKTTPPSATVSSTAAPAATPAVKETSPTKWNPESWKTKKALQLPEYPDQAELESVLRTLDAFPPIVFAGEARSLEERLGEAALGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVIKVGRMAGQFAKPRSEPFEEKNGVKLPSYRGDNVNGDAFDAKSRAPDPQRMIRAYCQAAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELAHRVDEALGFMAATGLTIDHPIMTTTEFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHFLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVKLIEIFNPQNKPGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDSIRAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRLGSQKSVAF >CDP07463 pep chromosome:AUK_PRJEB4211_v1:10:1760115:1766246:-1 gene:GSCOC_T00024734001 transcript:CDP07463 gene_biotype:protein_coding transcript_biotype:protein_coding METDSISKMVIFFFSIALLMGAQLIQCSVTYDKRAIIINGHRRILLSGSIHYPRSTPEMWEDLVIKAKNAGLDVIDTYVFWNIHEPTQGNYNFEGRYDLVRFLKTVQKVGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKVAMQKFSQKIVGTMKSEGLFESQGGPIILSQIENEYGTTEKRLGAAGSAYLKWAAGMAIGLDTGVPWVMCKQDDAPDPIINACNGFYCDTFLPNKPYKPTMWTEAWSGWFTQFGGTISHRPVQDLAFAVVRFIQRGGSFVNYYMFHGGTNYGRTAAGLFVTTSYDYDAPIDEYGLLRQPKYGHLKELHTAIKMCEPALVSSDPAITTLGSSQEAHVYSSAKGGCAAFLSNYDSNSAARVTFNNMHYNLPPWSISILPDCKNVIFNTAKVATQTSHIQMSPTNTQPHSWETYGEDISSLEDGSTLTAVGLLEQLNITRDNTDYLWYMTSIDISPSESFFRGGQNPTLFVQSRGHAVHVFINGKLSGSAYGTHQSISVRFNGPVNLLAGANRIALLSIAVGLPNNGIHFENWNIGILGPVVLHGLDQGHKELSWQKWTYKVGLKGELMNLVSPNGVSSVEWIPGSLVVNGQQPLKWYKAYFDAPMGNEPLALDMKSMGKGQVWINGQSIGRYWITNANGNCGTCQYSGTFRVSKCQLGCGQPTQHWYHVPRSWLKPSQNLLVVFEEIGGDASKISLVKRATTSVCASAQEHRPTIVNWHVDSNGESEMLRQAKIHLECAAGQTISGIKFASFGTPSGTCGAYQLGTCHAKNSHAMCLGQENCRATVSDNFFGSDPCPNQLKRLSVEAICSTSVSKFSDSIMG >CDP16841 pep chromosome:AUK_PRJEB4211_v1:10:14338116:14342291:-1 gene:GSCOC_T00019384001 transcript:CDP16841 gene_biotype:protein_coding transcript_biotype:protein_coding METAVGVGVRFILQNVLQLIQDNRKLISSNDTKLDELCSDLDLLKTFMDKYGEEHYDNEVLRKLAGDFRRLAREVEDVLETHIVDKLVYTNKNIFKKAVGVFDHLNSLRNTGKDVLNLSMKMKKAEDDNRGIGIPTWTMEEIKKDNSTSEDNKAGSNQEGDRIVGFDDAADDVLELLELHNASKQLEVVSIHGMVGLGKTTLARKVLNDPRIEYHFFTRIFVSVSQQYDKKKVLLGILRYFDKKTRDQDVSENDLVAEVAEKLTGKYLIVMDDVWNTEVWDDIKDAFPDNSKGSRVLITTRLVSVANCAKTSSEPYPLRLMKPEEAEELLRTKVFKENKCPPEELQLLETKILDKCAGLPLAVVVTAGILKIHAKDAKWWEDVHLGVAQFVGDDQKKIGQNQKNIDDLIRRSYDNLPHMLKACFLYLGVFPEDMEIQVSKLLQLWIAETFILQYETASLERIAERCLEELVDRNLVMVGQRTLSGRIKTCRLHDTLRNFCRKTAKAEELFQVIHGMGAISSSSHRLCCINSHFLRYISDCEKQKQHGEKVRSFLSFGLDETTLDKDLCPISSVFKPFKLVRVLDILSIKLPYKRFPTKLLELVLLKFIAIYCELHTLPSRMSALTNLETLIVHTTFPTLKIEADIWEMTKLRHLHTNTTTCLPKCKKQSSGSENLQTLSTVSPESLKNEVFGRTKKLRKLGIRGNLGTLVEANGESSLFQSHCKLDSLETLKLHHDTDDGNQRQLVLPQPHKFPRTLTRLSLHNTRLHWEVHMPILGKLRYLEVLKLKDNAFVGKDWRTEEGGFHSLKVLFIGATDLECWLAKATNFPELRYLILKHCRTLIQIPPDFVHMKNLEKINLERTNAKLVSSARRIFSQRSKMLGLQKANETTPIKLIVYPPE >CDP11416 pep chromosome:AUK_PRJEB4211_v1:10:25999595:26002732:1 gene:GSCOC_T00033662001 transcript:CDP11416 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSTDISVLNKSVCRPYLLMLACIALLQLLFATPITAAPKLQHAALSTGNEGKALLTWKSSLDDHSQSQLSSWSSSANPCSNWVGIRCNKAGRISSMNITSSGIKGTLGHLNFSSLPHLTTIELPQNALYGTIPSNIAIGKLRSLTLLSLADNMLTGSIPKSIGNLGDLNLLYLHQNSLSGPIPKEFGNLKLLTDIRIFTNKLGGALPEDVFSNLTYLQFLALSDNYFTGHLPQNICSGGSLVRLSIFDNNFVGTMPRSLKNCSSLENLSAGGNQLSGKFSEDFGVYPNADYIDLSDNEFYGELSWNWSAFQKLTSLVLSSNNLSGEIPTELGEASHLQRLHLFSNRLHGKIPLGLGKLSLLLDLKLDSNKLSGSIPPEIGRMSKLLNISLSANNLVGAIPEQIGDCTQILELKFSHNALNGSIPSRIGNLHSLATLDLSQNMLDSELPKELGELKAIEKINLSHNRISGSIPSSFDHCLSLISIDISYNQLEGPIPNTTAFQKASFDALRNNKGLCGSVVGLKPCPQSTEKKTSRRRSKRIIFLTVLPILGTTVLLIVVLGIFILARSHTPHVESKPRELTGNLFTFWSFDGKMVYENIIDATENFDPKYCIGAGGFGRVFRAELPNGQIVAVKKLHATDGDALRSPKDFTSEIRALTNIRHRNIVKLYGFCSHTQHAFLVYEFLEGGSLMQLLNTDETAAKFEWIKRTNMVNDIANALSYMHHDCAPSIVHRDISSKNILLDSEYQAHISDFGAARLLKPDSSNWTSFAGTYGYAAPELAFTMEVNEKCDVYSFGVLVLEVIMGKHPGDLIMSVLSASSSTHAILLKEILDSRPQSLTKQMAANVVSLAKLALLCVDPNPQLRPTMKQVSVHLLKEKTSLESLFPVITIGELLALDLSDF >CDP01308 pep chromosome:AUK_PRJEB4211_v1:10:3632331:3636291:-1 gene:GSCOC_T00034907001 transcript:CDP01308 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEDPKPPDDPTSFCLPPGSRFYPSEQQLVCYYLTSKNNGTNCYGKDVIKELDLYNFNPFNLPESGCFLFGRGGRRRHWYCYVRRVVLRERGRRRVAGGGYWKKRGRVRDVIDAGAGKVVVGTRKSFDFYSGDSHENAVRTRWALYEYALNDRHMGSFVLCRVFNKSDLGHNLSEHNISSCGEESVATVRHIGVQYDGSVTSVIGESKMHDKSTQNDDNEVLKLPTGQGNELDKTISLKPVSEQDLKTILEGDYIEVDDLLCLLPGVD >CDP07510 pep chromosome:AUK_PRJEB4211_v1:10:2111420:2112127:-1 gene:GSCOC_T00024789001 transcript:CDP07510 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSTWTAKQNKLFENALATYDKDTPDRFHNLAKAVGGKTVEEVKRHYEMLVQDINHIESGKVPLPNYSSNGNSNKEYQYMHEEQRMKYLKLQ >CDP19627 pep chromosome:AUK_PRJEB4211_v1:10:25101334:25107746:-1 gene:GSCOC_T00006178001 transcript:CDP19627 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFLVKAGAVEESAVAAAAGVEGEVKVEAAAVAGTSGGMFAFDSPYMSRQGSVESGGGLGYASAGGLETMGSGGLGGGGGRGKRRASSLLEPMDKAAQQRQRRMIKNRESAARSRERKQAYQVELEAMAVRLEEENEQLLKEKAERTKERCKLLKEKVIPVVEKRRPARILRRVRSMEW >CDP07247 pep chromosome:AUK_PRJEB4211_v1:10:163195:165025:1 gene:GSCOC_T00024455001 transcript:CDP07247 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQPIDPRKIQEHFEDFYEDIFEELGKFGEIESLNVCDNLADHMIGNVYVQFKEEDHAAAALQALQGRFYSGRPIIADFSPVTDFREATCRQFEENSCNRGGYCNFMHVKMIGRDLRRKLFGRYRRYRRSRSRSRSASPHPHHHHRRERERERDYDRSRGGERDFRASGRRSGGDRHARHETDSSGGRRRHPTSPRRSKSPVREGSEERRARIEQWNREREEN >CDP17246 pep chromosome:AUK_PRJEB4211_v1:10:18285298:18289746:1 gene:GSCOC_T00013658001 transcript:CDP17246 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKFFKDEATEEKGERFRIASFVGAMAIADLVKTTLGPKGMLCQGINLTMAYDISKVQDDEVGDGTASVVVLAGELLLEAEKLVNTKIHPMTIISGYRMAAECAWNALLEKFLILLLLDSAEIFKLDLMKIAMTTLNSKILSQYKEHFANIAVDAVMRLKGSTNVEAIQIIKKTWRFTKGFTYCLLCCRFALEKKIGIDQSKRIENAKILVANIATDTDKVKIYGARVHVDSMSKVADIKGSEKEKMREKVQKIIAYGKNCLLVLEYLQ >CDP11417 pep chromosome:AUK_PRJEB4211_v1:10:26025967:26034297:1 gene:GSCOC_T00033663001 transcript:CDP11417 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGRLIAGSHNRNEFVLINADEIGRVTSVKELSGQICQICGDEIEFTVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEDEDEFDDLEHEFDYNSNERRDPQQIAEAALAARLNIGRGGNVNASGITTPSEMDSALDSEVPLLTYGQEDDGISADKHALIIPPFMGRGKRVHPVPFTDNSMSLPPRPMDPKKDLAVYGYGTVAWKDRMEEWKKKQNEKLQVVKHQGDKGGGNNDGDELDDPDLPKMDEGRQPLSRKLPIPSSKINPYRMIILIRMAILGLFFHYRILHPVNDAYGLWLTSIICEIWFAVSWIFDQFPKWFPIERETYLDRLSLRYEKEGKPSELAPVDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKRFSLEPRAPEWYFAQKVDYLRDKVDPTFVRERRAMKREYEEFKVRINGLVAMAQKVPEEGWTMQDGTPWPGNSVRDHPGMIQVFLGHNGVRDIEGNELPRLIYVSREKRPGFEHHKKAGAMNALIRVSAVISNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKKKKPPGKTCNCLPKLCCCCCCSRNKNRKGKSKDKKKTKGRETSTQIHALENIEEGIEGIDSEKSSLMPQIKFEKKFGQSPVFIASTLLEDGGVPPGATPSSLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPVWYGYGCGLKPLERFSYINSVVYPLTSLPLIAYCTLPAVCLLTGKFIVPEISNYASIIFMGLFILIAVTGILEMQWGGVGIDDWWRNEQFWVIGGVSAHLFALFQGLLKVLAGVNTNFTVTSKAADDGAFSELYLFKWTSLLIPPMTLLIINIIGVIVGVADAINTGYDSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQDRLPTIIVVWSILLASIFSLLWVRINPFVNKNGIVLEICGLDCE >CDP01157 pep chromosome:AUK_PRJEB4211_v1:10:5034284:5048587:-1 gene:GSCOC_T00034692001 transcript:CDP01157 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) UniProtKB/TrEMBL;Acc:F4IA34] MMVEDLGVEAKEAAVREVAKLLPLPELLQSISSIKADYIARQQANDAQLSTMVVEQVEQAQGGLESLSLSQKTINELRENFVSIEKLCQECQTLIENHDQIKLLSNARNNLNTTLKDVEGMMSISVEASEARDSLSDDMELINSYERLTALDGKRRFALAAAESHKEEVGRLREYFEDVDHTWETFEKTLWGHISNFFQLAKESPQTLVRALRVVEMQEILDQQLAEEAAEAEGGGAMASIANPRRTAKKSTTTMPSSRNIMQQKLKVQGKGYKDKCYEQIRKSVEARFNKLLAEEDLKAAIEEAKTIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQWLRLLSDKANELTNIEILKVTGWVVEYQENLIGLGVDESLAQVCSESGSMDPLMNAYVERMQATTRKWYLNILEADKAQAPKKTDDGKLYTPAAVDLFRILGEQVQIVRENSTDVMLYRISLAIIQVMIDFQAAERQRLEEPASEIGLEPLCAMINNNLRCYDLAMELSSSTIEALLPNYAEQVNFEDTCKGFLEVAKEAVHQTVSVIFEDPGVQELLVKLYQKDWLEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETVVVYVDHLLTQKNYIKEETIERMRLDEEVILDFFREYISVSKIEGRIKILGDLRELASSESPDSFTLVYTNILDHQPDCPPEVVEKIVGLREGIPRKDAKEVVQECKDIYEHSLVDGNPPKAGFVFPRVKCLSVSKVSLWRKLT >CDP01017 pep chromosome:AUK_PRJEB4211_v1:10:6602219:6609980:1 gene:GSCOC_T00034502001 transcript:CDP01017 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICVAVRVRPAVDDEGSNGSGTFWKVEDNRISLHRALGTPISGLSYAFDHVFDGGCTNSTVYELLTKDIIHAAVEGFNGTAFAYGQTSSGKTYTMNGSEDDPGIIHRSVKDIFVKIRMTADREFLIRVSYMEIYNEDINDLFAVENQKLQIHESLERGVFVAGLREEIVNSAEQVLELIQHGEVNRHFGETNMNVRSSRSHTIFRMVIESKRKDTGSGDNSSSDDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMVLGNVINKLSEGGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEIHIEETKGTLQFASRAKRITNCVQVNEILTDAALLKRQKIEIEELRMKLQGSRAEVLEQEILKLRNDMLKYELEHEKLAMELEEERRTHKEREQCIRDQQMKIDNLSSLVTFSGPDQECFRASLKEESSDSNSMCKEDAFSTPCFKAIPNAFVARRSHYSNQPECSPLPDTLIDFADEDTWMRMNKGYIADLDSVQITPARKVQSFPPGNDVSSEDFKQQIQNLQRQVTLVSEERDELKRQHAEQVSLNYQLTRELCGLQNEVLEIQEIPERLCESVINCKDVYKDVLSVLQTFVADEKSPTAKLLSSTRDIGICLFSTLESHFSVTADGNRLSTADNSLLQEQCNLLRERLLSTISSLVLFDAPILNQENSRSPPYNCKYKGLGEEIASWKRIQDNAVEAIKQKYMDLKKELNTSNQHLEVSKDRYQVLEREFHLLKGEKDALLVSVSNSSQTLALVTDQKEKALQDLRIEAQRRKDLEEQIKKFNTAFSYRQKSLVSFQSDFKSIIDNLKAQNPVSLSKAHGS >CDP00998 pep chromosome:AUK_PRJEB4211_v1:10:6804138:6806113:1 gene:GSCOC_T00034480001 transcript:CDP00998 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKKATCKSHGQDSSYFLGWQEYEKNPFNEDRNPLGIIQMGLAENQLSFDLLESWLARNPDATMFGSNGGSIFKELALFQDYHGLQTFKTELVEFMAEIRRNKVRFDPQKLVLTAGATSANETLMFCLAEPGEAFLIPTPYYPGFDRDLKWRTGVKIVPIHCSSLNGFRITKAALDEAYDEALKLNLRVKGVFITNPSNPLGTTMNQDEFNHVISFAVSKNIHIVSDEIYAGTVFDSSKFTSIIEAIMDRNLEKTDIWSRIHVVSSLSKDLGLPGFRIGMIYSNNETLIAAATKMSSFGLVSSQTQYLLSKLLGDKKFTRHYIQENQRRLKKRQQVLVSGLQSYGIPCLKSNAGLFCWVDMRNLLSSNAFEAEMELWKKILYEVGLNISPGSSCHCTEPGWFRVCFANMSQETLNLSIHRLQAFVTSNGDGNYQNQKLSTRISRRNSLVVS >CDP10727 pep chromosome:AUK_PRJEB4211_v1:10:9780713:9785390:1 gene:GSCOC_T00031531001 transcript:CDP10727 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNDKPTENPKPTSDANITPENESEISMDSLARKVQESLSLAKRHKFWETQPVGQFKDFGDRSLPEGPIEAPTPLSEVKQEPYNLPSQYEWITCDMDSEEMCNEVYNLLTSNYVEDDENMFRFNYSKEFLQWALRPPGYFRSWHIGVRAKSSKKMVAFITGVPARIRVRETIVNMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENSWQAAYTAGVVIPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDQTATPGFRKMEPHDVPAVTRLLRNYLRQFVLSPDFDENDVEHWLLPKENVVDSFLVESPETHEITDFCSFYTLPSSILGSQNYTALKAAYSYYNVSTKTPLTQLMNDALIVAKRKDFDVFNALDVMHNETFLKELKFGPGDGKLHYYLYNYRIRRVLRPSELGLVLL >CDP10904 pep chromosome:AUK_PRJEB4211_v1:10:20600775:20602699:1 gene:GSCOC_T00031860001 transcript:CDP10904 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEGKWIGLIFYFEWANFGWVRIFFFWGFFIWSCVYFHEDGPRFFFWAGAKQIKINGPKPFFTKNQINKFSH >CDP11452 pep chromosome:AUK_PRJEB4211_v1:10:26334571:26337557:-1 gene:GSCOC_T00033713001 transcript:CDP11452 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLKTWRATAFGLFGYMNFTKSGFIEHSKKFNPEDMQTKIEGKNCVVTGANSGIGYATAEGLASRGANVYMVCRNKERGEAALSKIQEATGSKNVYLEVCDISSIIEIKSFTSRFSAKDVPVHVLVNNAGLIENRRETTPEGYELNFAVNVLGTYTLTELMLPLLEKAAPDARVITVSSGGMYTAPLMKDLQFSNNNFNGVEQYARNKRVQVALTEKWAEIYKDKGIAFYSMHPGWAETPGVASSLPGFSKSMSGKLRTSQEGADTVVWLALQPKEKLLPGALYFDRAEAPKHLLFAATKGSHSAIDSIIENLRSMSGLSS >CDP07250 pep chromosome:AUK_PRJEB4211_v1:10:208039:215857:1 gene:GSCOC_T00024465001 transcript:CDP07250 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSSKRSGSHSGEASKHMLEGDDGTPEEQAAFVKELETFYRERGMDFKPPKFYGQPLNLCKLWRSVIRLGGYDLVTGSKLWRQVGESFHPPKTCTTVSWTFRIFYEKSLLEYERYKIQSGELQFPVAAVPESAGADNEGNGYQASGSGRARRDAAARAMQGWHVQRLFGYGEVGEPIVKDKSPNNMQKRENKVKSIGSLKQKRTSEMELPVKAQRTETYRQLVTTVVDVGPPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQPDNPWGITAFKKVVSLPARIDPLQTSAVVSLHGRLYVRVPFEQANT >CDP17253 pep chromosome:AUK_PRJEB4211_v1:10:18476245:18478476:1 gene:GSCOC_T00013666001 transcript:CDP17253 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESRVPPGFRFHPTEEELVGYYLNRKVNSLKIDLDVIVDIDLYRMEPWDIQDRCKLGYEEQNEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVISKEKIIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQTSEQGPSQAKGWVVCRAFKKPCPSHKQGFEAWSNAYYIRENGKYQPPSFPDKSITSMHAVNPISIHGVDFQQVPLRADHHELISNHAARFDNQLIEIPQLDSPSISTSLATKDQVFESGSLVNEDGEDVKSNIYCQQYNDWKVFDKLLVQQVIDPSASYACQNQPVLLPRDDELLLGCFTDL >CDP00990 pep chromosome:AUK_PRJEB4211_v1:10:6887842:6893660:-1 gene:GSCOC_T00034472001 transcript:CDP00990 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLCCCFKRRIPKQFSENSPSQSLKVDMENPSEGNANNAFQSENLAENVRTMRNSSDINIIDNHDFSRGLHSWHPNCCEAYVISAYSSTIEGNSPKPGTYAVITNRKEPWQGLEQDITSRVSTGSTYMVSARVGVSGALQGYADVLATLKLEYRNMDTSYLAIALMTVSLCRRSVSKDCWENLEGTFLLSTMPDRVIFYLEGPSPGVNLLIESVVVSCSSSSWCNSQSKGSPLFEEENIITNWKFDDGLNGWSGRGCKVVLHDSMADGKIVPQSGKLFAAATERTQSWNGIQQEITGKVNRKLAYEVSSVVRIYGNNVTNADVQATLWVQAADMREQYIGIAKVKATDKEWVQMQGKFLLNGSPSRVVIYLEGPPPGTDILLNSLVVKHAAKVPPSPPPVIEDVVFGVNIIANSDLNDGTNGWFPLGNCTLSVGTGSPHIIPPMARDSLGAHQPPSGRYILVTKRTQTWMGPAQMITDKVKLYVTYQVSGWIRIAPGPTSPQSVNVALGVDDQWVNGGQVEIRDDRWHEIGGSFRIEKKPAKVMVYVQGPASGIDFMVAGLQIFPVDRHARFRSLRRQTDKIRKHDIILKLSGSDSGSLLGTLIRVKQTQNSFPFGSCLMRTNMDNEDFVDFFVKHFNWAVFGNELKWYWTEAQQGNFNYKDADELLNLCTSHNIQVRGHCIFWEVENTVQQWIRNLSQSDLKTAVQNRLTGLLTRYKGKFRHYDVNNEMMHGSFYQDRLGKDIRADMFKTANQLDPSAILFVNDYHVEDGCDTRSSPEKYIEHILDLQEQGAPVGGIGIQGHIDSPVGPVVCSALNDLGILGLPIWFTELDVSSDNEHIRADDLEVMLREAFAHPAVDGVMLWGFWELFTSRENSHLVNAEGDLNEAGRRYLALKEEWLSHAHGHIDEQGQFSFRGFQGSYQVEIFSTKGKVIKTFAVDKGEEPLIISIDL >CDP11456 pep chromosome:AUK_PRJEB4211_v1:10:26372182:26373534:1 gene:GSCOC_T00033719001 transcript:CDP11456 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHRISNPTRKLLLMLSIILVTVGACGGPLIMRLYFLHGGKRIWLTGWLQTAAWPLILIPLVASYCNRRKKPEGGSPTKLVLIDLRTLISGVIIGCLVGLTNHLYSFGVGHLPVSTNSLILATQLVFTAFSAFILVGQKFNAYTVNAIVLLTLGSVVLAIHAGSERPKGEKNKMYVLGFILTLAAAALSGCLLPLIELTYMKAKQAISYTLVMEFQSVVCISATVFSTVGMLVNNDFKEISQEAREYQLGETKYYLVLVWCAIVWQCFTVGFTGIIFCASSLFSGIMLAALLPITEVLAVIFYHEKFQAEKGIALALSLWGFISHFYGAIKHGKKQQIPEAAAP >CDP07303 pep chromosome:AUK_PRJEB4211_v1:10:632019:638231:1 gene:GSCOC_T00024531001 transcript:CDP07303 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSRGFAPFGLVRLRSGGDAAILASLHRHRDGNGFLDLPRKKRRFILGECSRRRVVVQCCSSDSVVPIRGSTGGSRKSVDKCSEDWRFDSIITPNYRPRIQASPALPFPSPQSRFVSKQEKFFPRCTPRNSGPQSRDSPPKRDTGIASEKDWGISLLNDNVNESGTNEDGSTWYRESREDLGENGFRCRWTRMGGQSHDGTSEWKETWWEKSDWTGYKELGVEKSGRNAEGDSWWETWREVLHQDEWSNLARIERSAQKQAKSGTENAGWYENWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETELGTKWGDKWEEKFFAGIGSRQGETWHVSPGGERWSRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYEAEPHYGWADVVGDSTQLLSIQPMERPPGVYPNLDFGPSPPLPADDDEPPAAQSSQ >CDP01271 pep chromosome:AUK_PRJEB4211_v1:10:3967010:3967645:1 gene:GSCOC_T00034859001 transcript:CDP01271 gene_biotype:protein_coding transcript_biotype:protein_coding MFQASHGTFFENNAALNYNDNYSTEHDSCLSQGFSATHFKGKSVLSKHFGFFKPSITEKDD >CDP11987 pep chromosome:AUK_PRJEB4211_v1:10:22989349:22994251:1 gene:GSCOC_T00035328001 transcript:CDP11987 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSASAAQEFDYLFKLLLIGDSGVGKSSLLLSFTSNTFEDLSPTIGVDFKVKYVTLGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKKEGINFAREYGCLFIECSAKTRTNVEQCFEELVLKILETPSLLAEGSAGVKKNIFKQKPPETDASTSGCC >CDP01315 pep chromosome:AUK_PRJEB4211_v1:10:3598440:3600999:-1 gene:GSCOC_T00034915001 transcript:CDP01315 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTETVSFLFLVWFLSSSHILGALAERSIYIVHIDKSLMPKVFASHIYWYTSMIDSIGNVGQTSDHGFAPKILYTYDAAFHGFSALMSKDHLQALKKSPGFVSAHPDRSPTIDTTHTPDFLSLNTATGLWPASDYGKDVIIGVVDDGIWPENPSFKDDGMTPIPPRWKGSCQEGQEFNSSLCNLKLIGAKYFNKAVLAANPNLNLSMNSARTTSGHGTHVAGTAAGNYVEGVSFFGYGSGTARGIAPRARLAAYKVLWDEGSYEADVLAGIDQAVADGVDVISISLGFDFTPPYEEPISIASFGAMEKGIFVSTSAGNRGPDLGTVHNDIPWALTVAAGSVDRKFSGSLVLGNGLTIIGWSMFPARALVKDLPIIYNQTISACDSTESLLTVTDIGRSVVICDETVPFREQIRHVYEANARAGIFVSEEPAIFRSNFFPHPGVVISRQDAAKVIRYASKGSSPTATIKFQQTFVGTKPAPVVSASSSRGPSQGFPGILKPDIMAPGVLILAAYYPDSFEASIGSSILLSTDFTAISGTSMSCPHASGIAALLKGAHPEWSPAAVRSAMMTTATTLDNTRSPIKDTGRDNEVATPLAIGAGHVDPNRALDPGLIYDATPQDYINFICSMNFTRMQTLAITRSTSYNCSNPSSDLNYPSFVALYETSDQAKSKLVKKFRRTLTNVGNGAATYTAKVTAPKGSAVTISPKTIKFGKKYEKQSYSLTISYYGNENQIVSSGSVTWIEDDGNHVVTSPIVISPRVERS >CDP17876 pep chromosome:AUK_PRJEB4211_v1:10:11777972:11779579:1 gene:GSCOC_T00010109001 transcript:CDP17876 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHMQLSIVDANFCGWLGKAVEWKRFLGIMEGRFLDRTFRIDKVIRWAPQAQVLAHPAVQRFVTHCNWNSVLESESFEVPVAVWPMYAEQQMNAFLIVKDLGIAAKIKMEYKNDIMNENDVIVKSNEIEDGIRQLM >CDP07614 pep chromosome:AUK_PRJEB4211_v1:10:2923706:2927658:1 gene:GSCOC_T00024924001 transcript:CDP07614 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAILATGFGPRKCAKIGQPLKDQISRLPDEILVYILSCLTLKEAARTSVLSKRWIDLWRSMACLDFDASKVLKKMFSIPSWGRYADFVRKERRKYVEWVDKVLLQSDKSLALDYLRVAFYLDNFYGDEIHKWLQHAFARRVQRLELNLFPDDDPPSTQESYTFHYELFCPSSGQSQPGYSEIHHHAQIGFKSLRALSLISVNVTGEVLEFFLINCPLLERLVVEASSVLINLRVCGPSIALKYLEVCCCLMLQSIIVSDTNLVFLKTTEAHHLVLQNVPMLVNVWVSGNSRNLVRDVTSWLSCCLSKLEVLTLRANKFQVSQEKGIVHELPQLTNLKEFVLIASASKDESLIGFTSLIKASPNLEKFVLKLESWWDDMVRGDRKLKKAASFPLQHLKVVELLGYYGRRSELELVEYFLENAIVLEKLIIDPRDPRNVTFPKTRKERKQEKKREKLARICAKQQIEGLIPSHIEFSIL >CDP11492 pep chromosome:AUK_PRJEB4211_v1:10:26688693:26700377:1 gene:GSCOC_T00033770001 transcript:CDP11492 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKRSSQDDGPDSKPVRTSDRLRRRPKLYGRPYLYYTPTIIRPKRRKTKTKTASQIAKMLRPGNRPVHTSNADSVVSNLRRSTRKRRVSVNLEDYTNSSGTEDNDLMSPKYRSPKFRSSRNHIDRENGSQDELVPRREGLRPRREGLRPRHARRVARARLDLESDDDEDMSDENVAADEQENGNDIEGNEADDGEGEDDGGGEGDGEDEGEDEGEDDGDDEEGEEQEGRRRYDLRNRAEVRRLSIEEGKQRPRSPRRVLQQGMGTKVSRDVRRGGSRVHKRHRITRAEDSDDSLLVDELDQGPPIPWGRGGNRSGPPWLFGGLDMHGAAAWGLNVAASGWGHQNESLASLTSGVQTAGPSSKGGADIQPLQVDESVSFEDIGGLSEYIDALKEMVFFPLLYPDFFASYNITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRVDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKHPPSKELKLELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFLIDVDSVKVEKYHFVEAMSTITPAAHRGSIVHSRPLSSVVAPCLLGGLQKVMTIISDIFPALAVSSEVTKVSMLSYGSVIPLVYRPRLLLHGHEGVGMDHLGPAVLHELEKFPVHSLGLPSLLSDPGAKTPEEALVHIFGEARRTTPSILYLPQFHLWWENAHEQLRAVLITLLEELPSDLPILLFGTTLMSLDELGGDSIKVFPHHNVLHLSGPSTEDRSLFFDRLIKAALSIQLEDVANKSRRSESLPELAKAPKVATGPKASELKAKAETQGHALRRLRMCLRDICNRILYDKRFSAFHYPVMDEDAPNYRSIIQNPMDMATLLQRVDSGKYITCKAFLEDFDLILTNAKKYNGDDYNGARIVSRAYELRDAVHGMLSQMDPALVSFCEKIADEGGPLPIPDDFVDSALPQTPVVQMSTMTRASARLRNVQPDVNLDQSYEALKKPKKHVESAQIEEGPLDPGVPKSSEEYEADSLDQQRPDNLVTDGTQHESSDLTNGCTSQQDVPMLDGELSDKVETIKQLFIDRTKACGIPQLERLYTRVMKGVFETKNGVDGEDLQSSILRFLMKFAEDESNF >CDP00936 pep chromosome:AUK_PRJEB4211_v1:10:7708015:7709393:-1 gene:GSCOC_T00034393001 transcript:CDP00936 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKVASESGVSRVERNGRLVDGNGAIGGETTDVVAEWLTRVQCSDLSVYVFDHETRTTTSKMSVVDVSKE >CDP11950 pep chromosome:AUK_PRJEB4211_v1:10:23945719:23947010:1 gene:GSCOC_T00035269001 transcript:CDP11950 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLNCSLRYVLDLLQCFPLLIQELITFIELIKNPRYNFWSRTLTRCAPEIENLHGQGGKIFILASFFLFNFSNG >CDP10654 pep chromosome:AUK_PRJEB4211_v1:10:11292492:11305788:1 gene:GSCOC_T00031435001 transcript:CDP10654 gene_biotype:protein_coding transcript_biotype:protein_coding MAQENDVIGLHFLELWRFNSKAAAAAATATTSTSSSSKEDAEFEEEPTELDTFNSSGMFSVVLSDKLSVQYPTVNLHGHDVGVVQANKPAPLKRLVYYFEIFVKNAGAKGQIAIGFTASGFKMRRQPGWESNSYGYHGDDGLLYRGPGKGETFGPTYTTGDTVGGGINYSAQEFFFTKNGKVVGTVAKDVKGPLFPTVAVHSQNEEVTVNFGKDPFVFDLKAFEAEERRKQQMTIDKISISQNASYEIIRSYLQYYGYEETLKQFDMASRSTVPPIALGQENGSSDTEITYAMNHRKTLRQLIRSGEIDDAFDKLRMWYPQIVQDNKSAMCFLLHCQKFIELVRIGKLEEAVVFGRTEFEKFYRLTEVDDLVKDCAALLAYEQPLKSSVGYLLEDSQREIVADAVNSMILSTNPNIADARVCLHSCLERLLRQLTACFLEKRSLNGDQGEAFYLGRLL >CDP10731 pep chromosome:AUK_PRJEB4211_v1:10:9716075:9719179:-1 gene:GSCOC_T00031537001 transcript:CDP10731 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDLSKLSQYRDRRFTGSQEEFEQALLKSTTVYVGNMSFYTTEEQVYELFSRAGEIKRIVMGLDKNSKTPCGFCFVMFYSREDAEDSVKYISGTILDDRPIRVDFDWGFQEGRQWGRGRSGGQVRDEYRTDYDADILSIFLDPYLFFVPIGLTIVSLAC >CDP11426 pep chromosome:AUK_PRJEB4211_v1:10:26090985:26093650:1 gene:GSCOC_T00033677001 transcript:CDP11426 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGAGSVIQSANSPSSAYSSSSSGSWAGQKRGRDQEETVSGYPEHAQRVYRGFEDFRSGESSSAKAAMLDEAASVITPTTTASTIATTVQSQNPPAEAAEETGERRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVRLLPPLQASSQSAQLHAVSSTPPATILPVTTPSPQARMFQPQPIQSSDIARDYWEYSQLLRSSGDFQGQQPAGLLEQMYYASSLALLHSHSLPSTTTTTFAASPTPSSSASHPLLLPGQQRNNTFQTQGSQDQGGSSSFPAPSWTDSGHYPPSSS >CDP07524 pep chromosome:AUK_PRJEB4211_v1:10:2214072:2218538:1 gene:GSCOC_T00024808001 transcript:CDP07524 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSDFAQKLLHDLRLRKERMAAAQNSSSSNLTSRGAHGSPGPAYKGSRQVKALEPVGPRSGGLIRKTSSGTGQLHIGDSSNQIVLYGKGRSSEHIGDLSTALAFAFGNGGKFNKIDASGGNPMLNFLQRIGRRSLDTGRMDIVTNIDMHTSTTQFPSFSHIHIHEISKGVQKLNQILRACSNGLNFDRYSVEVGKELLRGAMDLEESLRMLVNLQEASDYMINSQRKNKIKLIEEDEDDETETAKEIDLKQLARPRFSFDKPNRKSQSTKEAPQNGIKQQLMALTYPEETPKLQEKQPRSRSNSFSHARSATCVPDLKALSTYLEPNGHSISHSKPEKGRIPNVIAKLMGLEELAPKDGSKTTPKEMRSKKKEKTVSLKSQTPAESQAQETGSNPPTTANKNALSSNKSATRDARLMMEAENFQSIPNGSSPVVVSHKNSRWQDFEAAARKDASPGSKRATVASKQQNRISQHDETLGYTKVLQEKEKAEDNKEDKEKNIRKAGERKDLFLNDVPLQNGPDRHRLSEGEDVSEENVEVKRNANQTGNRTAIQTKNSNGSSIHTSKQQKHHNHMQQPQMLERRDHPEGKNRQQPPKQRLQAREHKGNQVESIVTLKAKNRPKSSQKKQSSANLATAAANQKNAMKHIEVKPSKDLANSRHHKHRAIHSVHFPRPHGKANYTKTLTGQTHQKEDAAVNKINGTYDDQARSINHQSPIPQEKKQQKDEKLSHSNGVNQETNIESEEANLGIRGLDKPDVSTEPLKRKDSLDNECEEQNFSNSGEEDYALLEASKSQTCDDNSNEMTPDIQEIPEDQQHGDKATMSSNDFPLNSQKALHIQLTGMDEVSRGISNVVRRDHTRIPPTGAAELLTESEKHLKEKLIKGQLFLNTAEAIFKLNIPVSILHGHDHIGRDTETKLILDCGYELLKKKGQRQEFSVYPCKGMSISYIKVRSLDNLVKQLSKDFDVLKFYGGTIGSQDCDAADYLHKMLEKDFRNGHADVSSMWDFGWNEKTFAFLEKDDLVKDLEKHILNGLLDEITRNLLHIAVSA >CDP01070 pep chromosome:AUK_PRJEB4211_v1:10:5959042:5965929:-1 gene:GSCOC_T00034574001 transcript:CDP01070 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQHYRSPFGDTTYTKVFVGGLAWETPTDVMRRHFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACADPNPMIDGRRANCNIASLGRPRPSPPRGRTQGGNPYQGGPVMAQQGASSSYSGVPTPTPLPPPPPPAYVYPPYGYATYPPEYGYPQGIYNPQLQQPQYYHQVYGPSSSGMGSPYFYGYSMQASRGTFPANPAQRIQGPSYLYYPPQMEGSFSTYPPPPPPPPPPLLQPTRHHPFASSTGSPTPQPTPTTPAETEAGVVSSESPNA >CDP07577 pep chromosome:AUK_PRJEB4211_v1:10:2563753:2568179:1 gene:GSCOC_T00024872001 transcript:CDP07577 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSFHQGIYSFSNGYERSPTTTKQGMEWISQRVQNFELQQQQQVQTEVNSGEPQVYDDTGGGMLTEMIDFSRGRGKSANHLLLDGQIPSSYRWSQKQQLPLTVVNEAELLLMNPLHVKASSSSPTSTSSLHMSVPNSSDSHHPSLHLQEYHLENPSQFSWVRVNGNEVGDDNTKIGRAMEAQGLSLSLSSSMPNLEAAKFQELRPGDGGVYFFDQGVGPSMNFTRKVKTLGRFLLLRMLVEGQFHQLAPRTARLYQLLKRRSTKGRRSSSCPCLMRHVDARYTHYCEQMQAIVHSFDSVIGYGAAAPYTNLAQKAMSRHFRSIKDAILGQVKVTCELLGDKDVNGTIGLTKGETPRLRLLEQKFRQQKALHQLGMLDPETWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLSRQTGLSKNQEHQLCLKHERLEVPHMLSQIW >CDP01191 pep chromosome:AUK_PRJEB4211_v1:10:4699039:4712057:1 gene:GSCOC_T00034753001 transcript:CDP01191 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAQRQPLVGGTNRSNGYMNGAISFRSPSTVSIVDEFCRALSGKRPIHSILIANNGMAAVKFIRSIRTWAYETFGTEKAILLVAMATPEDMKINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASESPELPDALGAKGIIFLGPPATSMGALGDKIGSSLIAQAAEVPTLPWSGSHVKIPPESCLVSIPEDIYSEACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVKALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPLETVKKLEQAARRLAKCVNYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMEHGAGYDAWRRTSVVATPFDFDQALSTRPKGHCIAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTVDLLHASDYRENRIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAAVVSEYIGYLEKGQIPPKHISLVNSQVSLNIEGSKYMISMVRGGPGSYKLRMNESEIEAEIHTLRDGGLLMQARILLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRYLVADGSRVDADTPYAEVEVMKMCMPLLSPASGIIHFKMSDGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISGKVHQRCAASLNAARMILAGYEHNFGEVVQNLLSCLDNPELPFLQWQECFAVLANRLPKELRHELEAKYKEHEGISNLQNVDFPAKILRGVIEAHQMSCPDKEKGAQDRLVEPLLILVKSYEGGRESHARVIVQSLFEEYLSVEELFSDNIQADVIERLRLQHKKDLLKVVDIVLSHQGIKSKNKLILRLMEQLVYPNPAAYRDKLIRFSTLNHTNYSELALKASQLLEQTKLSELRSNIARSLSELEMFTEDGENMDTPKRKSAINERMEALVSAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKGSVRMQWHRSGLIASWEFLEEHIERKNWYQDQTLEKPLLEKHSDRKWGAMVIIKSFQLLPTILTAALRETTHSPQTTTSKGSILPASHGNMMHIALVGINNQMSMLQDSGDEDQAQERINKLAKKLREQEVSFSLRSAGVGVISCIIQRDEGRGPMRHSFHWSAEKQYYEEEPLLRHLEPPLSTYLELDKLKGYDKIQYTPSRDRQWHLYTVVDKPVPVQRMYLRTLVRQPTSSDSLTVYQGLDKERSQSLWAISFTSRSILRSLVSAMEELELHVHNTTVKSDHAHMYLYILQEQQIDDLLPYDKRVDINDGCEEAIVEKILQELAHEINTSIGVRMHRLGVCQWEVKLWISSEGEANGAWRVVVANVTGHTCIVHVYREVEDPTEHKVVYHTITERGPLHGVPVNASYQPLGVLDRKRLLARKSNTTYCYDFPLAFKAALEMAWSCQHLGHKRPEDKVILEVTELIFANTGGNWGTPLVSVERQPGLNDVGMVAWSMKMSTPEFPSGRTILVIANDVTFKNGSFGPREDAFFQAVTEVACSLKLPLIYLAANSGARIGVAEEVKSCFRVGWSDESIPERGFQYVYLTPEDYARIGSSVIAHETKMTNGESRWVIDSIVGKEDGLGVENLSGSGAIASAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAVLKWLSFIPPYSGGPLPLLPPLDPPERPVEYLPDNSCDPRAAICGASDGSGNWLGGIFDRDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTMMQVIPADPGQLDSHERIVPQAGQVWFPDSATKTAQALIDFNREELPLFILANWRGFSGGQRDLFEGILQAGSNIVENLRTYKQPVFIYIPMMGELRGGAWVVVDSKINPDHIEMYAERTAKGNVLEPEGLIEIKFRTKELLECMGRLDQQLIGLKAKLQEVKSTEAVDGLQQQIKAREKQLLPVYTQIATKFAELHDTSFRMAAKGVVRKVVDWADSRFFFYRRLYRRVVEDSLIRTVRDAAGDLLTYNSAMDMVKKWFMDSEFSGGKPDAWMNDEAFFSWKDDFSNYEEKLQELRVQKVLLQLTKIGDSALDLRALPQGLFALLQKVEPSAREQLVGELRAVFDRSSNS >CDP01285 pep chromosome:AUK_PRJEB4211_v1:10:3865147:3868097:-1 gene:GSCOC_T00034877001 transcript:CDP01285 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGVINRTWDGVIPFQSQSKTPSILRLSAVQTWVQAQDPLHKDIDVNNTCGVGPGMAFANSVMSKDSSIGVIGLVPCAIGGTKISEWGRGRELYNRLIRRAEGALQGGGIIRALLWYQGESDTIEHEDAKLYKIRLERLFTHLRSDLQLPALPVIQVALASGQGPYVDVVRKAQFEVDLPNVKTVDAYGLPMEPDSLHLSTSAQVRLGEMLADTFLQSVPLLPVHVQSSACKTCQNFVLDTFLRPFR >CDP10985 pep chromosome:AUK_PRJEB4211_v1:10:22254031:22257964:1 gene:GSCOC_T00031983001 transcript:CDP10985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glutamate-1-semialdehyde 2,1-aminomutase, chloroplast precursor (EC 5.4.3.8) (GSA) (Glutamate-1-semialdehyde aminotransferase) (GSA- AT) [Source: Projected from Oryza sativa (Os08g0532200)] MAVTPVDEKKKAYTLQKSEEAFSKAKELMPGGVNSPVRAFKSVGGQPILFDSVKGSRMWDIDGNEYIDYVGSWGPAIIGHADDEVLGALAETMKKGTSFGAPCLLENVLAEMVIAAVPSVEMVRFVNSGTEACMGVLRLARAFTGREKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPRAATFETLTSPYNDIETVENLFKTNEIAAVILEPVVGNAGFIPPKPGFLDALRQITKENGALLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKVIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLKEPGSYDYLNKVTGELIQGIVDAGKKAGHAICGGYISGMFGFFFTDGPVFNFDDAKKSDTSKFARFYRGMLEEGVYFAPSQFEAGFTSLAHTTEDIQQTIAAAEKVFQRI >CDP20124 pep chromosome:AUK_PRJEB4211_v1:10:27266613:27270177:-1 gene:GSCOC_T00011263001 transcript:CDP20124 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPALDSTDSEALLELLEKVTADASQIQDELLQEVLTTNANTQYLKGFLNGYSDKQLFKKAVPVVEYQDIEPFVDRIANGESSHLISAHPITELLLSSGTSGGKRKAIPTTAEEPRRRAFYASLTATILNKHIEFWNQGKQMNFRFIMPEMTTLGGLAVTNAVSSNFRRSRMQNRSNCWNNDTSPDEVILCQDIKQSMYCQLLCGLVQRDAVVRIGTTYASGFLRVIKFLEEHWQELCSNIKTGHISDWIIDPGCQKAVSSILSQQMPGLADSIEIECRSGSWGGIVKRLWPRTKCIEVVSTGTMTQYIPNLEFYCGGVPLVSMYYAASEGFFGLNLKPLSDPYNVSYTLVPWMAYYDTLGDHDLKGELVDLVNVQIGQQYELVVTTFTGLYRYRVGDVLMVTDFRNNTPQFKVVQRRNVVLSIDLDKTTEEGLLKAVSKAMQILEPLGCLLTDYSSYADMSCFPGHYVLFWELQMRENAGIAAVLERVQMEGCCNVVEESLDGMYKTLRRRSNVIDPLEIRVVKRGTFDGLMDLFLSEGASLNQYKTPKSIKSEKAIQFLNSMVVETFFSRVLPAFPPTG >CDP17250 pep chromosome:AUK_PRJEB4211_v1:10:18356042:18356426:-1 gene:GSCOC_T00013663001 transcript:CDP17250 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSNGACKFLLGFLLIFQVSFAKGEDIFLEWHVAIDTRIKPIVSVNQPVITINGMFPGPLINSTTNDNIHVNVFNDLDEPLLMTW >CDP01263 pep chromosome:AUK_PRJEB4211_v1:10:4107170:4108606:-1 gene:GSCOC_T00034851001 transcript:CDP01263 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKARQQNRFLRIITYPARALGKARDFYVRSITSCSDNFGHGTLVMGMPSSNYSASLPRSYSANSSASTDVNDDLRELIRAASTRTSSDNIDINCLYLQQLIMKQQMSNSVAGPKSMPLRSCSVGMGKIDEENPSVFASEDNANNMKPKDAYPRSRSHAVNRRSVVF >CDP07588 pep chromosome:AUK_PRJEB4211_v1:10:2650401:2652596:1 gene:GSCOC_T00024887001 transcript:CDP07588 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPVLTPFHLQLPAHRSSKPFTRNLIVHPIRASVSERPSSISPPPPQPQPAKLPIRKIPGDYGLPLIGPFKDRLDYFYNQGKDEYFKSRVQKYRSTVFKTNMPPGPFISSNPNVIVLLDGKSFPVLFDVSKVEKKDLFTGTFMPSTELTGGYRVLSYLDPSEPNHAKLKKLMFFHLSSRRDHVIPEFQRSYTELFDGLEEELATNGTAKFNEANDQAAFNFLARSLYGANPADSQLGRDGPKLVGKWVVFQIHPVLKLGLPNVLEDLLIHTFPLPPALVKKEYQLLYDFFRANSTSVLDEAERNGISREEACHNLIFATCFNSFGGMKLFFTNMLKWIGRAGVKLHTQLAQEIRSALKSSDGRVTMRAIENMPLTKSVVYEALRIEPPVPAQYGRAKTNFTIESHDSAFEVKAGEMLFGYQPFATRDPKIFERSEEFVADRFVGEKGEKLLKHVLWSNGPETESPDVNNKQCAGKDFVVLVSRLLLVEFFRRYDSFEIEVGTSPLGAAVTVTSLKRASL >CDP15796 pep chromosome:AUK_PRJEB4211_v1:10:15593068:15596952:-1 gene:GSCOC_T00016632001 transcript:CDP15796 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGDPWGAAYKIIRKEDQEEALTYLEVREKQYDQKAHVDIFTDRAATVPAVSGVLIYIASADKKLNRNYLGPASLQEIANQIVRAEGPSGPNRDYLFQLEKALTLLGCEDRHVIDLANEVRSILSGRN >CDP01289 pep chromosome:AUK_PRJEB4211_v1:10:3793009:3795059:-1 gene:GSCOC_T00034883001 transcript:CDP01289 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSASSIAGAQALHHHHRPSKPRSTAAVISTGMKIHNYIENDPDADPADVFTGEGLADYISNLPDECLAYIFQSLSSGDRKRCSLVCRRWLLVEGQSRHRLSLNAQAELLTFIPSIFTRFDAVTKLALKCDRRSASIGDDALVLISLKCRKLTRLKLRACRELSDAGIAEFTKNCKNLKKLSCGSCHFGAKGMNAVLNNCAGLEELSVKRLRGITDGAAAEPIGPGVAAASLKVICLKELYNGQCFGPMIIGAKNLRTLKLFRCSGDWDKLLEVIADRVDGLVEVHLERLQVSDVGLMAISNCLNLEILHLVKTPECTNEGLKTVAEHCKLLRKLHIDGWKTNRISDDGLIAVAKNCPNVQELVLIGVNPTRMSLEKLATGCLNLERLALCGSETVGDAELSCIAQKCIALKKLCIKSCPVSDHGMEALAGGCPNLVKVKVKKCRAVTSEGADWLRASRGSLAVNLDATEPENMDASASDSGAQEGGGAENHQVGGQGGRANIASSSTGRSTSFKARLGLLTGRRLVSCTFRRWSSFGGSSRNT >CDP10969 pep chromosome:AUK_PRJEB4211_v1:10:22002344:22006511:1 gene:GSCOC_T00031960001 transcript:CDP10969 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAGQNQSRRFTSSTASSSAKRSSSSDNVGKMVASGLPSQQHLAKKRPALANVTNQRAQGNFSNNRSTLSEPSKIAPCTAKIVSIKKGAPTSNISNAGISAATLPASSFMKPNIVACSKSALTDAILPAIAGPVPCSMDVSPTQSEGLSVSMDESMSTCDSLNSPEVEYLDNNEITAVDSIEKKASTKLCISDHVQSPGIICKREALSEVVSDDDIIDVDDNLMDPQSCATIACDIYKHLRASEVKKRPAIDFMERVQKDINASMRAILIDWLVEVAEEYRLVPETLYLTINYIDRYLSGNVMDRQRLQLLGVACMMIASKYEEICAPQVEEFCYITDNTYFKDEVLEMESAVLNYLKFEMTAPTVKCFLRRFVRAAQGLVVHEAQSLQLECLANYIAELSLLEYSMLCYAPSLIAASTIFLAKYILVPAKRPWNSTLRHYTLYQPSDLHDCVKALHSLCSGSHNSSLPAIREKYSQHKYKFVAKKYCPPSIPPEFFQNVSS >CDP12006 pep chromosome:AUK_PRJEB4211_v1:10:22702281:22704486:-1 gene:GSCOC_T00035356001 transcript:CDP12006 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSLVDMYGKCREISYSRKMFDNMPERNVTTWNAMIGGYMKVGDLKSGIFLFEEMALRTSVTWNQMIDGLAKNGNMAMARQFFDRVPEELRTVVTWTVMVDGYASGGEMEAANEIFEMMPKRNFYVWSSMISGYFKKGKVEEAKAIFDRMQLRNLVNWNSLISGYAQNGLCDEALDAFTRMQSEGFEPDEFTLVSVLSACAQLGILDVGKKVHEMAIQKGVQLNNFVLNGLVDMYAKCGDLINARLLFEEMPCKTTATWNALILGFAVHGQCKEAIKLFGRMESRGEKPDNITFLAVLSACAHGGFVEKGLEIFSKMEKYGVTASIKHYGCIVDLLGRAGRIQEAYKLIKEMPLKPNETILGALLGACRTHGDTDMAERMLEEVDGLKCGSAVDDPVHYVLLSSIYAASEKWEQAEGMRVALFNKGSKKAAGCSTVMLESTESSFIPPLKTSRHL >CDP10737 pep chromosome:AUK_PRJEB4211_v1:10:9616462:9622231:1 gene:GSCOC_T00031546001 transcript:CDP10737 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRRLKFSNSVLVPSSSISFQSQSISPLQSLQGSSIFDIVGTDSLIPERMGGSFIEDDWEFGSASDGVRTVVLVGRTGNGKSATGNSILGRKAFQSLSCLDGVTKTSELQRTVLEDGQIINVIDTPGLFDRLAEPQFVGKEIVRCIGMAKDGIHAVLVVVSLRSRISIEEAAAIETLQKFFGNKISDYMILVFTGGDQLEEDDVTLDDYLNHSESVKDMLEICENRRVLFDNKTKDATKKAEQLQQLLSLVNDVVMKNGGKPFSNDLFVEFKKGVTKLHDQAAELSSLEGYSKNEKSELEVQIRASYQEHLKQFTDMVETKIMDATRRFEQKLTEEQAARLKAEEAAQAAQMKSNDEIRLLREHIERVERENRELRKHAERGGCQIL >CDP11994 pep chromosome:AUK_PRJEB4211_v1:10:22889706:22892258:1 gene:GSCOC_T00035337001 transcript:CDP11994 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRCWSRRIQQHDEETTFSKVKNNRHKPKSFAEAIFSLQNSPRTRGDFTSYQMCIYDKRDYPLPLPLPMSSPEPSMISIGCSSFSSVSDDDVDEHLCQKVFSSYSGPNTWSRNSYAASRTSTPCASSPKNLILCDISFEFQTEGNEHSNHPIHPLPCPPTSPTKPLGLLESSWIKGKLLGRGTFGHVYAGFNSENGKMCAIKEVRLISDDQTSRECLRQLNQEIEVLSQLSHPNIVQYYGSQLAGDKLSVYLESVSGGSMLKLLQDYGPFGEKVIQSYTRKILCGLVYLHDRNVVHRDIKGANILVNPKGEVKLTDFGMAKHIDSCSSMLSFKGSPYWTAPEIIMNTGVYSLAVDIWCLGCVVLEMATSKPPWSQYEGISAIFRIANDLEVPEIPNNLSEEAKSFVKVCLQRNPSVRPTAAQLLHHPFIQGIQENRISKDICC >CDP12000 pep chromosome:AUK_PRJEB4211_v1:10:22734453:22735763:-1 gene:GSCOC_T00035348001 transcript:CDP12000 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSNDESNTRRFRVLMFPWLAHGHMSPFLELSKRLAKNNFQIYFCSTEINLSFIKKDRNLDEYFSDHSIELVQLDLPHFPELPPHYHTTRNLPLHLNPTLHVAFYMGRTNFQNILNILQPDLLIYDMFQAWASELASMFHIPAVLFLGGGAVFWSWYYFYDINNKGYSDIDGTYPFPAIFLRDYEIKKMAAFLQELKKNVPEEVVLSMTKGFEVSSDIVLLKACREIQGKYIDHLSSSRGKKVLAVGPLIELKHDGTKMEEETENSSHIIEFLNGREESSVVYVCFGSEYFLSEEEREEMAYGLELSNANFVWVVRFPVGHHCP >CDP11484 pep chromosome:AUK_PRJEB4211_v1:10:26636822:26648955:1 gene:GSCOC_T00033760001 transcript:CDP11484 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDDHAVKWVREGSGNHRAEVPLSVTNQRPKIDPLSSIRNGGRGVGLPPPAFRSGQLSGVIPTSRVNPGDIDDSRSASDNDMSTDSEEEIYGGRYSLDSSPQDDRVPRSTTAPKYYNPVSRRAPQYASDALYSDDLTSSRDSLGRGQGYVGDRLMRGANRYSVGNGLYTEDESSDSAASSEFSTTQLGSNNGTVPPTRTYVSQGYGSSASSKVKMDSTRQKDVTSRNLEKQRFSDEDVPSAPPFCGSGGEIKIDRETSPVSKMEYLSSMKAEKDNLGRSPGISQQDNVPKYTRDSTVRTAAAAESGIPSSTYPTRVPNFHAIALGPWHAVIAYDACVRLCLHAWARGCMEAPMFLENECALLRNAFNLQQVLLQSEEELMLKRSSELPTEGSAAKPKKMVGKMKVQVRKVKLGLDPPRGCSFALVKVPKVKIESVRYHSSKLRSAVSSGWRAVRKVRFAPRVSNGSFSRQSLAYVQAGTQYIKQVSGLLKVGVATLRSSSSSYEVQVEAYSCLLRLKSSSEEDAIRMQPGSSETHMFFPDGLGDDLIVEVQDSKGKQCGRVLAQVATIAEDPGEKLRWWSIYHEPEHELVGRVQLYINYSTGIDENSHLKCGSVAETVAYDLALEVAMKIEHFQQRNLVLHGPWKWLLTEFASYFGVSDAYTKLRYLSYVMDVATPTADCLKLVHDLLYPVLMKGHSKTSLSRQEKRILGEIEDQLEQIFALVFQNYKSLDESLSSGIMDTFRPATGHAAPVLKPAVELYKLCHDILSPEAQNKLYSYFQAASKKRSRRHLTETDEYVSGNSEGILMDSLTISTAYQKMKTLCLNVKNEIFTDIEIHNQDILPSFIDLPNLSSAIYSAELCSRLRAFLIACPPTGPSPPVTELVIATADFQRDLSSWNIKPVKGGVDAKELFHLYIVLWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFIDDMYDRLKETLNDYEIIICRWPEYTFVLENAVADVEKAIVDALERQYADVLAPLKENLAPKRFGLKYVQKLARRNVSAYVVPDELGILLNSMKRMLDVLRPKIEQQLKSWGSCIPDGGNTAPGERLSEVTVMLRSKFRNYVQAVVEKLVENTKLQGSTKLKKILLDSKGTVIESDVRSRMQPLKDLLASSINHMHSIFESHVFIACCRGYWDKMGQDILSFLESRKENRAWYKGSRIAVSVLDDIFASQMQQLLGNSLQDKDLENPRSIVEVRSMLCRDAASNKGGNSFYF >CDP00852 pep chromosome:AUK_PRJEB4211_v1:10:9053407:9059395:1 gene:GSCOC_T00034270001 transcript:CDP00852 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKELLKSAPWRVEEEEDDKFKDAKLKVTNQPGSTPIMHVPGKKLSKSKNGVADDGDDSLTEMDPELRYSFQRNFQFLQRVFSMDTLVKPLPPAMAYYASRNLNFFARIFTQFFDTEGIAAAQKSLGIGQEEKIRKVR >CDP10978 pep chromosome:AUK_PRJEB4211_v1:10:22191541:22191987:1 gene:GSCOC_T00031975001 transcript:CDP10978 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIEAENAEVIQVKVAALSKLNSKKVGIGVTAMTEGNVIVAAWASYSCPQLDEAEAIKIAMSKLAVKGWRKVNIQSCNKHLHQQISAGSASNIKLHTLVEDILSLRVLFQMCSFGVLSKNDNHVSTTVGEYALGILHDEEWINPLCY >CDP00875 pep chromosome:AUK_PRJEB4211_v1:10:8669929:8676785:-1 gene:GSCOC_T00034310001 transcript:CDP00875 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVVDETLRAEMAADPILDKVRGERFRKMKEVQLDPTKLGEGGQQITIPKLYRAAMEGNWEKACRQFDDNQDAKTAKISNLGMTALHVAASCGRSKFVQKLVEELSEQLEARDQLGRTALHHVALAADVNAAKAMVTKNPILPYLGDVNRLTPLFYAAKWRHPSQSKRMVEYLCQVITENENVPVLLEGGDVCNAFTDASAPDLIVAITASGSYGEPGRRTDKPYTALRILERYPELALKKDDKGKSILHVLAMKPKAFRKGNKLSPLISWMYNLVPVDKEETEHEDSTIDGAKSKNRCSAASCFKYMCKFIKGIIEMSDIKQSHVHAVRLVKFVCDKLHEKEEEKEKDKKMDFDPDFSNLEEKIQLEEEREQEEGKEEEKERAQEKGKEREEEEKEREQEKGEEKEREGEEEMDFVQDFFIPKNSTAILHLAVENGVFELVDECLKAFPDLIWYADKPPKVEESVQRRHAHYTSEVVGRLLWKDATQHEHASTSTAKLYHHGTEYADTTSGHWEWHEGQYADTATRTGRLLLHVAIEHRRVEIFNYLIKLIGKNTKAYADLKLEGNNNSLHLAAKLAPTPQLQAVPGPAFQMQRELQWFRAVEEIVYDELKTEKNLDDKTPRELFFNEHKDLLKDAKEWMKDTSNSCMVVATLVATVAFAAMITVPGGNNSNTGLPILAREKLFVAFSISNALSMVSSAVSLLMFLSIQTSRYTEGDFLDSLPKKLLRGLLSLFIAIATMMVSFGTAIGLSLQTRLNWSYIPITIIACVPVIIFTWLQLPLLLQAIRVESGPGIFQGQRDLKLWSIEKIGCSLLAYDRV >CDP11380 pep chromosome:AUK_PRJEB4211_v1:10:25414206:25415395:-1 gene:GSCOC_T00033608001 transcript:CDP11380 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSLLLKQAAFTSPKGQRPLMLNLCMTFICQEVKMIIVGLYNL >CDP01011 pep chromosome:AUK_PRJEB4211_v1:10:6645388:6649788:-1 gene:GSCOC_T00034496001 transcript:CDP01011 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGVVSLDNGGGLMCSETTGFASSNTLPTTATTTSDAETKQKWYGSGFLKQERSAGTTTAAAAEDDLRDLKVAKISPDDFSASKAMLFQQRTPLLRSNSSCSNLFPEGLGHQQMLSFSSPNSQSVTLPYYHHPSSIVYSRTTGYGSGGLNAGNMHGVPSGVRGPFTPSQWMELEHQALIYKYINANMPIPSYLLSPIRKALDSAGFSSFAGLRPSAFGWGAFHIGFSNSTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQPGHSVAGTTNNAAKLTPTSSASAAVVPGGSASNSLGLLQTGANVQCAASPQLDRTFLEKENLGGRIQDTTGLSMISRRINLKDNQYPLPKPQNPYQQSSRGEFGQVCSDSLLNPLDRSSSLVNCSNYGTCEEVNDHESKSRTGLRQFMDDWPNNRTERSSVVSWPDIDLHSDRTQLSISISMAASDFMSSTSSPTNEKVASSPLRLSREVEATQMGLGVGTTVNDRTHRQANWIPISWESSMGGPLAEVLHSTNNGTSESKSASALNLMTEGWDGSPQLASSPTGVLQKAAFVSRSNSSTGSSPRAEASKAHEGGSLCSGSVLGSTLVNPALPAL >CDP01336 pep chromosome:AUK_PRJEB4211_v1:10:3388865:3389002:1 gene:GSCOC_T00034939001 transcript:CDP01336 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVKALKQQSYGNCITVLSIDGGGIRGIIPGVILGYLETELQV >CDP10679 pep chromosome:AUK_PRJEB4211_v1:10:10594975:10596888:1 gene:GSCOC_T00031472001 transcript:CDP10679 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVTQWKLPSLCVSLLFLHFFHYSSPQKTCPSCGSLQIPYPLSTNPTCGDSDYHVRCDPNSQKLYFDSLNGSSYLVLRVMPSLQRMVLQPSPWVPGTCITQDMPRSEGFWLNQTLPFNLTSSNTIFLFNCSPRLMVSPLNCTPSSLCHRYLESSGHVDEERAPKCASGPKPCCTFIAGGMPSAYKIRLHSSGCQAFRSILHLDAEKPASEWEEGLELQWAPPAEPLCKSQVDCTGPSTCSTAGKSGNLRCVCNKGYYWNHGSATCLTKNKKTSNSSLALKVSLGISAFLTFVVALTTFTLRKSVRFSGQTKLAKAREDMLKLENDGKSARMFSFEEVIKATNGFSKNRILGSGGFGEVYKGELQDGTVVAIKSAKVGNVKSTEQVLNELRILSQVNHKNLVKLLGCCVEAEQPLIIYEYISNGTLHDHLHGKYSHSLDWKTRLKIALGTAEALAYLHSAAYTPIYHRDVKSTNILLDDDFNSKVSDFGLSRLACPGLSHISTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLEILTSAKAIDFSRDDDDVNLAIYVSQKANSGLIMEVVDKKLLVEKPSVEMMSSIGAFSELALACLKEKKVDRPSMTHLVQELKYITEMVNQEEVCTNMSV >CDP12445 pep chromosome:AUK_PRJEB4211_v1:10:14104810:14106160:-1 gene:GSCOC_T00036012001 transcript:CDP12445 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVEEAIAALSTFSLEDDQPEVQGPGFWVSTERAATTSPVEYSDVAAYRLSLSEDSKAINQLNVLIQEGKEMGSVLYTYRSCVKALPQLPDSMKQSQAELYLETYQVLDLEMSRLREIQRWQASASSKA >CDP12432 pep chromosome:AUK_PRJEB4211_v1:10:12619360:12620028:1 gene:GSCOC_T00035974001 transcript:CDP12432 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKVEIPAPQSTNNGDAKTPLLASQKSPSTTTPQTDQNSLIAQAISSTFKGTAYLACRLPTGTVLAFQLLSPILSNQGVCDVAFKTMTAILLSLCAVSCFILSFTDSFKDEKGKVFFGFATFKGFYPIDRTVTISPAEAAKKKIGPFDFLQAFLSMLVFATIALLDKNIVDCFYATPSVEIKEVLSVLPVANGVVCSMLFVAFPTQRHGIGFGVDKTSITS >CDP11477 pep chromosome:AUK_PRJEB4211_v1:10:26560033:26561227:-1 gene:GSCOC_T00033750001 transcript:CDP11477 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSKYIFIFISKIVIITLIISNIIMAVVNNNNIHHPSVRFTRMKEAKFTRTKRRKKDITNTNTCPRPPHHALPLLSPPPHRERRCQLFCLYSLTLRALLTFDCVISLSLSFTHFLSHTQHALLFSVLCSAPLCCCLLAFGGTYSLLVASGGFQSFSLLLLSGGFKDKRFGSWASGFLTDY >CDP01192 pep chromosome:AUK_PRJEB4211_v1:10:4677627:4679715:1 gene:GSCOC_T00034756001 transcript:CDP01192 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRQRPPMKRTTSMTEFSLDLSIAAVEGGGGGVNGGVNVSQQFDPNNPCKGLDQRFMAAAAVSPSPSPRPRNLRRNSVDFTTETAHFLRACSLCKRRLIPGRDIYMYRGDSAFCSLECRQQQMNQDERKEKCSFVASKKDATNVATGSKVSTKGETVAAV >CDP18273 pep chromosome:AUK_PRJEB4211_v1:10:24690914:24692478:1 gene:GSCOC_T00011999001 transcript:CDP18273 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKTTTTTAIGRSTNAAFKRDELYLNLTVPNLFRCPISMDVMKSPVSLCTGVTYDRTSIQAWLSQGHNTCPATMQVLPSTDFTPNLTLRRLIHLYVHHTTSSPPELSPNSSLSSSLRNSLISQSEALELIKNLSSGDTASSATKLVEFVRFSEENRRFVANSAFGVSNLVEILRTSEETQVSDQILLVLEIISPENGVKELLNEKILKSDFDYLSKFFWFFRKGSLSSRIASAKILESIASDADSQRKIAEKQGLLFELYKLSNEETDSSAVEASLSALIAVSTSKTAKKELVRFGIVKTVGEILSGSEAARPVIEKAAEVLASVATCTDGRAAISEDEGCIMGVVKRLMKCSGVATEHGIVVLWSLCCLARDRTAQEAVLKVNGVTKVLLVMQSDCSAGVRKMCGDLVKVLRVKNSKSCLASYETRTTHIMPY >CDP01013 pep chromosome:AUK_PRJEB4211_v1:10:6633731:6634611:-1 gene:GSCOC_T00034498001 transcript:CDP01013 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSKVHSQRTVPFSWENKPGVSKDSKNGLRHHRTSGDQGDFPIAKLPPPPCRPEKSRASFHSDLQIPPPPCPNFQHPLRSSSRRISFKKNDDPFLLAYKECTKSTSKNHKGLSGVINRIDVGLGLKKNLSVFSCKHSGNNVIDDSVVRISQLPVSNPRESLEE >CDP10970 pep chromosome:AUK_PRJEB4211_v1:10:22006901:22009048:-1 gene:GSCOC_T00031961001 transcript:CDP10970 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTNPFNLFYFFFFTVLAVLEFPVSAFHDSPYSRSSSIVFATLGRSSYHFDIYSLPLWVAPASSNELRLTDGVSVNFNGYFPSPSSVSMLFRAPDWTPADVSPPPLHFVYVTERNGNSHVFLDSLYYRGREHTGSRAILEEDPSEPTRSELTRFQFPLVGLEESDGRVSMKDKPTLVGEYLIYVSTHENPGVPRTSWTAVYSTHLKTRSTRRLTPYGVADFSPAVSPSGVWTAVASYGEKGWGGEVEELGTDIYLFLTRDGSSRVKVVEHGGWPSWADDSTFYFHRRCEDGWWSVFKASILKNRKLGVDSVVTERVTPPGFHVFTPAASTSNESFIAVATRRPDSAFRHIELFDVVSKQFVELTRPVSPNVHHLNPFISPDSSRVGYHKCRGSSNGHKSTNLILENLKNPLSEKITLFRIDGNFPSFSPDGSRIAFVEFPGVYVVNSDGSGLRKVFERTAFSTAWDWKRKGVVYTSAGPTFASESTDVDIISINVDDENLSYKQLTRGGKNNAFPSASPDGKWVVFRSGRSGHKNLYIMDALEGETGGLSRLTEGPWSDTMCNWSPDGEWIAFASDRENPGSGSFELFMVHPNGTGLHKLIQSGSGGRTNHPWFSPDSKYVVFTSDYAGVSAEPISNPHHYQPYGDIFVIKSDGSGIRRLTHNSYEDGTPTWGSTYMKDDDVERPNGGTTCSFEDCHWLNISPNSSSLYSAPQC >CDP00959 pep chromosome:AUK_PRJEB4211_v1:10:7324149:7327781:1 gene:GSCOC_T00034428001 transcript:CDP00959 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAAFAAAKLETLLISNATSSAAAPMAAASPAQVGLFPRSARAARRSLQMSSASALNLNVNSTSSSVRCEVASDFSVETSDKMDFATNAASSSSASASSLSALEQLKTSAADRYTKERSSIVVIGLSVHTAPVEMREKLAIPEAEWPRAIGELCGLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGIPVSEICKHRFLLYNKDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVVGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPESSHATARMLVIGAGKMGKLVIKHLVAKGCTKMVVVNRSEDRVSAIREEMKGIEIIYKPLGEMMECAALADVVFTSTASETPLFLKEHVTDLPPVDPRVGGLRLFVDISVPRNVGACVNEIEAARVYNVDDLKEVVAANKEDRHRKAMEAQAIIAEESKQFEAWRDSLETVPTIKKLRAYAERIRAAELEKCLSKMGDDLPKKSRRAVDDLSRGIVNKLLHGPMQHLRCDGSDSRTLSETLENMHALNRMFNLETEISVLEQKIRAKVEQAQK >CDP10943 pep chromosome:AUK_PRJEB4211_v1:10:21525553:21526290:1 gene:GSCOC_T00031918001 transcript:CDP10943 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRNDPQVYPLSQSYNYSTDFNVKRDEESAFRKQDEIHRNYNASLNVTRDEGSDSIESDEIRRKKRLKFLAYFVAFVVFQTGVIVLFSLTVMKIKTPKFRVRSITFDHFEVRTAPNPSFNFIASVEVGVKNKNFGTYKFQESKMYFSYDGMPIGEAFVPDGKAGWLSTKKLKNLATVLLSNNLPSNSQLGNDLNSGVLKLNAQSQLSGKVTLTFMFKKKKSTNLNCNITIGLADKAVREIDCN >CDP07256 pep chromosome:AUK_PRJEB4211_v1:10:247744:251787:-1 gene:GSCOC_T00024474001 transcript:CDP07256 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MALLAEGLRSCCCSIFFLRLQSQYRLSLTQLSHLCTTTKPSSSSPFKLNGGLRKRNTYLTTLNLSCSNVDVDTDDGCWSQHQNQSAAARPTKRRVLLLQGVVAAGSCSLPLALITTPIANANSVAFSRDTDAPAQDYFRVYSDDVNKFQILIPRDWQVGSGEGDGIRSLTAFYPQEPSNSNVSIVITGLGADFTRLESFGKVDAFAETLVSGLDRSWQRPPGVAAKLIDSKAANGLYYIEYTLQNPGESRRHLFSVLGIANNGWYNRLYTLTGQFVEEEAEKYGSTIEKAVASFRLI >CDP00861 pep chromosome:AUK_PRJEB4211_v1:10:8839729:8845715:-1 gene:GSCOC_T00034289001 transcript:CDP00861 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWSINVKNTSNRGPFLLRNSIPQKPFSKWSSRSVMAAGISSACGTLRVVEIRVSRKRPCGYQSLMVRAMGKKNSNSSNSSSDNSSSSYGNDNQSSPEGDGQKESNPSESNKSKDIGSQKSHRKPLDWREFRASLYIQEQDEISISDNHKQDQASIGSKTLPQKWAHPISAPENGCLLVATEKLDGVRTFERTVVLLLRSGTRHPQEGPFGIVINRPLHKRIKHMKPTNLDLQTTFADCALHFGGPLEASMFLLRAGENSDLHGFEEVIPGVCFGARNSLDEASALVKKGVLKPHDFRFFVGYAGWQLEQLREEIESDYWYVAACSANLIFGGSQNSSSEGLWEEILQLMGGHYSELSRKPKQDL >CDP18873 pep chromosome:AUK_PRJEB4211_v1:10:27616711:27617607:-1 gene:GSCOC_T00013417001 transcript:CDP18873 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYDNIPRPHAILYYSQRATKGGMLIAEATMVSETGPIPGVWTKEQVGAWKPIVDAVHAKCGILFCQIWHAGRISNYSYQPNGQSPISSKDEQLTFKVQKTGVDDYEYPAPRCLRIEEIPKIVNEFRLSNAIEAGIAIQKIFCRHIFVHYPAK >CDP10912 pep chromosome:AUK_PRJEB4211_v1:10:20732405:20733110:1 gene:GSCOC_T00031870001 transcript:CDP10912 gene_biotype:protein_coding transcript_biotype:protein_coding MWEPYIYYEGVELVNRIHTHPPILILRPRISTYHGIDISTRPNSTIVLDPPLHQSASLKI >CDP00894 pep chromosome:AUK_PRJEB4211_v1:10:8321509:8326471:1 gene:GSCOC_T00034334001 transcript:CDP00894 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTTSYFPLHLKTNLLVFPSNNVNNHDMNNCCCYATGRSYYSITSSGGQIKRKWVLRATSSNQGVVETSLTSLDSASTVVRKFYQGINGHDLGSVENLIAESCVYEDLIFPRPFVGRKAILDFFKKFIDSISTDLQFVIDDISEDDVSRVGVTWHLEWKRKPFPFSKGCSFYRLEVMNGQRQIIYGRDSVEPAVKPGESALVAIRGVTWLLQQFPQLAERL >CDP00860 pep chromosome:AUK_PRJEB4211_v1:10:8853139:8856166:-1 gene:GSCOC_T00034286001 transcript:CDP00860 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSPKLWLPIRIPTVGLFHKESIMAAHPYPNCGIVPQKSSMRGLITLSKNWCRSASDLAFIDFSFTTS >CDP01171 pep chromosome:AUK_PRJEB4211_v1:10:4950295:4955261:1 gene:GSCOC_T00034718001 transcript:CDP01171 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMASSTQFAPSRRMGIYDAVQQMSMWEDTFRAHMTPDTGVSIFTKADPSLSSKSECTSKEPLIPPIESQATRSISDKVLRRLAQNREAARKSRLRKKAYVQQLETSRLKLAQLELEIDRARQQGACIGGAVANVGFTGSINPGIAAFEMEYGHWVDEQQKKTSELRNLLQSPISDLELEMVVDNLLNHYHDLFRMKADAAKADVFYLVSGVWRTSVERFFQWIGGFRPSELVNILMPALEPLTDQQLVDVCNLRHSCQQAEDALSQGIDKLQQNLAQSIAADPMVVGNYGSQMASSIEDLEALETFVNQADHLRQQTLQRMSSLLTTRQAARGLLAFGEYFQRLRALSSLWAARPHDAAA >CDP01112 pep chromosome:AUK_PRJEB4211_v1:10:5498423:5513557:-1 gene:GSCOC_T00034629001 transcript:CDP01112 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNMMVLSSSATFISSNIYPSFLLPFHHSSSVMGLKCCKRASGENSSHRFSSKIEDYGKIRFPDVYAPRAQQQQQQLIEEEAPPMTEVIPSLRVSPGQAHPLGASELENGVNFAIFSQHATSVTLCLQLPQSREMLDTLHGEMIELTLDPQVNRTGDIWHICVEDLPRSNVLYGYYMDGPKDWSQGHRFDRSILLIDPYAKLVEGRRVFGDLNNRGSQFLGTFDFDTLPFDWGDGYKLPNILEKDLVIYEMNVRGFTADESSGLDPSIRGSYLGVIEKIPHLLELGINAVELLPAFEFDELEFQRRRNPRDHMINTWGYSTINFFAPMSRYASAGGGPVSASREFKEMVKALHGAGIEVILDVVYNHTNEADDENPYTTSFRGIDNKIYYMVDLNNGGQLLNFSGCGNTFNCNHPVVMEFILDSLRHWVTEYHIDGFRFDLASILCRGADGSPLNAPPLVRAIAKDSILSRCKIIAEPWDCGGLYLVGSFPNWDRWAEWNGIYRDDVRKFIKGDAGMKGSFATRMAGSADLYRVNKRMPCHSINFVIAHDGFTLYDLVSYNTKHNDANGEGGNDGSNDNFSWNCGAEGETADPKIQALRSRQMKNFHLALMASQGVPMMLMGDEYGHTRYGNNNSYGHDTAINHFQWGHLEAKNDHFRFFSELIKFRNRHHVFRRENFIGKSQVTWHEDNWDNAESKFLAFTLHDENGDDVYLVFNAHDYFVKVGIPSPPQKRQWFRVVDTNLESPDDIVLEGVPGIGARYNVAPYSSVLLKAKKK >CDP11984 pep chromosome:AUK_PRJEB4211_v1:10:23003360:23009756:1 gene:GSCOC_T00035325001 transcript:CDP11984 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVGPSASEQEIRKAYYLKARQVHPDKNPNDPQAAERFQARAFSNLIIYLQELGEAYQILSDPVQRDAYDHHGKNCVSRETMLDPTAVFALLFGSELFEDYIGHLSVASMASFELAGENDNSEQVHNRLKAMKTVQREREEKLARTLKDLLYQYVRGDKEGFLRHAESEADRLSRAAFGADILDTIGYVYTRQAAQELGKKAIYLGMPFVAEWFRHKGHFWKSQITAAKGAFQLLQLQEDVRRQFKMDGSGPENDIESHLKHNKSVLMNSIWKLNVVDIEVTLLHVCQMVLQETNVRKEELKTRAVALKILGKIFQNAKHKQTGGTSKNKIASSINVDDSSSESSDDEDSPRALNYRTPLLTQGIGRLFRCLCNPAFDVDDEEIVYKGK >CDP00977 pep chromosome:AUK_PRJEB4211_v1:10:7025060:7028436:1 gene:GSCOC_T00034454001 transcript:CDP00977 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRNLEKLASIDAQLRLLVPAKVSDDDKLVEYDALLLDRFLDILQYLHGEDLKETVQECYELSAEYEGRKDPKKLEELGHVLTSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRNKLKKGDFADENSAITESDIEETLKRLVLDLKKSPQEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSRIEDLMFEVFIIQFTFLNPFDCFSIVL >CDP10749 pep chromosome:AUK_PRJEB4211_v1:10:9401184:9404598:-1 gene:GSCOC_T00031562001 transcript:CDP10749 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAENGEQEQQQPQSIIPLLTPYKMSNYQLSHRIVLAPLTRQRSYGNVPQPDAILYYSQRTTKGGLLIAEATGVSDTAQGYPDTPGIWTKEQVEAWKPIVDAVHANGGVFFCQIWHVGRVSNYSYQPNGQAPISSTDKPLTPQLRANGVDVAEFAPPRRLRTEEIPQIVNDFKLAAINAIEAGFDGVEIHGANGYLIEQFLKDQVNDRTDEYGGSLENRCRFALEIVEAVSNAIGADRVGIKLSPFASYSQPEDSNPNALGLYMAEALNKYGLAYSIAENHADLVAYGRLFLANPDLPKRFELNAPLIQSHFLHT >CDP11545 pep chromosome:AUK_PRJEB4211_v1:10:27248049:27249038:-1 gene:GSCOC_T00033847001 transcript:CDP11545 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGIWKWVRLKDRVAAATTASSSARRWKQSQSHPWQKQNNRGFVNCLHVGSYLKRRESLIGVQERYKWDRGGGGGGGGGSDDYHTFQ >CDP11959 pep chromosome:AUK_PRJEB4211_v1:10:23505309:23507552:-1 gene:GSCOC_T00035288001 transcript:CDP11959 gene_biotype:protein_coding transcript_biotype:protein_coding MALMVISTLFCLFSCCVLATIAREVPQKSNLETYIVRLEPRDGPVTESEDLESWHRSFLPATIESTNYDSRMVYSYRNVMQGFAAKLSPEEVKEMQKKKGFISARPPERLSLHTTHSPSFLGLQQNVGFWKDSNYGKGVIIGILDSGITPDHPSFSDDGILPPPAKWKGKCELRPASLCNNKIIGAQHFIQDDQNGTSADETGHGTHTASTAAGNFVKGANVYGNANGTAAGVAPLAHLAIYKVCSTVCSESDVLAGIDTAIEDGVDVISISLGGTSKPFYDNYVPLGAYSAMEKGIFVSCSAGNEGPDYFSVGNDAPWILTVGASTMDRKIRASAMLGNNKKLEGESAFQPKDYASTMFPLVYPGSNKSDPFAAYCFPDSLNNTGVKGKTVLCERGLPGVTMGELVKAAGGAAMIIINPEDRGYTTEADAHVLPAAHLSYVDGVKIKAYMNSTKLPKAAIFFNGTAIGDDQAPAVASFSSRGPSEASPGILKPDIVGPGVSILAAWPVSVENNTNTKSTFNFLSGTSMSCPHLSGVAALLKSAHPDWSPAAIKSAIMTTADSVNLEKKPIADQLNLLPADVFATGAGHVNPSRANNPGLVYDIEPKEYIPYLCGLNYTNREVGMLLQRHVNCSVESRIPDSQLNYPSFSIVLGATAQTYTRTVTNVGEADSVYTVNIVQPRGVSVNVEPDTLKFSKLHEKLTYEVRFIRLANAPNVSASQGSLTWTSDKYSVRSPIAVTFITSELL >CDP20130 pep chromosome:AUK_PRJEB4211_v1:10:27311589:27312826:-1 gene:GSCOC_T00011270001 transcript:CDP20130 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLILDRPSEPWYPRPLQPTRRPQFDISSSG >CDP11482 pep chromosome:AUK_PRJEB4211_v1:10:26614205:26618739:-1 gene:GSCOC_T00033757001 transcript:CDP11482 gene_biotype:protein_coding transcript_biotype:protein_coding MMWDEWDNHNYLQRQQQQQQSQPQQQTAEEAESTSSESEEQQQREEKQSHLNFDFLSVLAKPKDYYRILEVDYDATEEAVRSNYIRLALKWHPDKQKDQDCATSIFQEINEAYQVLSDPVKRQEYDQKGMLRAYDYNIVEYLNRYKGLILTCNGLGMKCSMW >CDP11493 pep chromosome:AUK_PRJEB4211_v1:10:26700653:26702002:-1 gene:GSCOC_T00033771001 transcript:CDP11493 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLATAALFLTFLLFSTTAGASHDPCASSKSDLSVIHIYGKCSPFTPQTKPAPAPAAASSWLNTILNMASKDPQRVAYLSSLVTAKKATKATSIPIASGQNVLNVGNYIVRAKIGTPAQLLFMVLDTSNDLAWVPCSGCTGCSSTVFSPNTSTSYAASDCSLPECTQVRGLSCPTTGAGACLFNQSYGGDSSFSATLSHDSLTFGPDVVPNYAFGCITAVSGGSIPPQGLLGLGRGSLSLLSQSGSLYSGVFSYCLPSFRSYYFSGSLKLGPVGQPKSIRTTPLLKNPRRSSLYYVNLTGITVGKVRIPIAPELLAFDPATGAGTIIDSGTVITRFILPIYTALRDEFRNQVKGPFSSLGAFDTCFAATNEDVAPPVTFHFQGLDLVLPLENSLIHSSSGSLACLAMAAAPNNVNSVLNVIANLQQQNLRILFDTANSRLGIARELCN >CDP00869 pep chromosome:AUK_PRJEB4211_v1:10:8761276:8773798:1 gene:GSCOC_T00034300001 transcript:CDP00869 gene_biotype:protein_coding transcript_biotype:protein_coding MWGITATRPCPIRCYSNGFGEKRLGFAGTVVKDAQRSQFLKIYIPFLQEEFPKLSMRAAFKGRGIQMALADERLLQRRAVVKPADILAYDLVQGADVTWSYILGRSVPEPPTAVLLHGILGSRKNWGTFARRLAKEYPKWQFLLVDLRCHGDSASIKKQGPHTVAAAALDVLKLLGQLRLTPRVVVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIAFLSKLPDEVYSKRDIVDALVQEGFSKDVAQWVVTNLRQSGPPGLPSSGFSWAFDLKGISEMYKSYEETNMWKLVEDVPRGVHVNFLKAERSLHRWALEDLQRIHAAEEQAVEEGGGVEMHVLEDAGHWVHADNPDGLFKILSFSFQGF >CDP10698 pep chromosome:AUK_PRJEB4211_v1:10:10239155:10240248:-1 gene:GSCOC_T00031496001 transcript:CDP10698 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPFLITLAAMALLSSLAIASDPSPLQDFCVAINDSKAAVFVNGKICKDPKVVNANDFFFQGFNIPGNTNNPVGSNVTRVLVNNLPGLNTFGISLARIDFAPYGVNTPHTHPRATEVIFAVEGTLAASFVTSNPPNNMKNRLFTKVLNPGDVFVFPQGLVHFIQNLGKTKALAFSGFSSQNPGVNTIANVVFGTEPPISLGVLTKAFQVDKKVIDALEAQFS >CDP01028 pep chromosome:AUK_PRJEB4211_v1:10:6392167:6395926:1 gene:GSCOC_T00034516001 transcript:CDP01028 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGRFVFFIQTILISSLSFFLSRTESTQAPLFSCDSSNPLTKSYPFCNTTLAINERVEDLVSRLTLDEKIEQLVNSAPAIPSLNISAYQWWSEGLHGISRHGKGIKFNGTIAAATMFPQVILAAASFDTHLWYRMGQAIGREARAFYNEGQGTGMTYWAPNINIFRDPRWGRGQETPGEDPLLASNYAVSYVRGIQGDSFEGGQLKDGHLQASACCKHFTAYDLDNWNGVNRYIFDAKVTEQDLADTYQPPFKSCIEKGQASGVMCAYNRINGVPNCADYSLLTKTVREQWGFQGYIASDCDAVAVMHDNQGYAKLPEDAVADVLKAGMDVDCGSYLLKYSKSAIEQKKLPESEIDRALRNLFSIRMRLGLFDGSPRSLKFGNIGPEQVCTQEHQDLALEIARDGIVLLKNSNSLLPLPKDKTISLAIIGPNANTSQTLLGNYEGLPCKNITIFQAIQSYLQSAVYHQGCDAVNCTSVALNEALDAAKDADYVILVMGLDQTQEREKYDRMELMLPGKQESLVKSIALAAKKPIILVVLCGGPVDISFAKDDPKIGSILWAGYPGEAGGTAVAEIIFGDHNPGRLPVTWYPKDFVQVPMTDMRMRSEPASGYPGRTYKFYNGVKVFEFGYGLGYSNFSYEFVHVTFKKLYLNQLLPAKALKFTGPHRYLSISELNNEHCDSTEFSAKVAVKNLGEMTSKHPVLLFVRQAKLGYGSPQKQLIGFQSITLGAGERAEVDFVLKPCEHLSKANRDGFMVIEEGSYHLIVEDKEHHITVELCHW >CDP00916 pep chromosome:AUK_PRJEB4211_v1:10:7950878:7956242:1 gene:GSCOC_T00034367001 transcript:CDP00916 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTNTSPLPSLKALAPWRREFAPICRGSPRGSVVEVVSTVTMYRGVDPLVVGRVIADVLDPFNRSIPLRVIHGNRDVINGCEFRPSQVVSQPRVEIGGDDFRSFYTLVMVDPDAPSPSNPNLREYLHWLVTDIPATTGASFGQEIVCYESPRPSMGIHRFVFALFRQLGRQTVYAPGWRQNFSTRDFAELYNLGSPVAAIYYNCQREGGSGGRRSS >CDP07305 pep chromosome:AUK_PRJEB4211_v1:10:643190:647965:-1 gene:GSCOC_T00024533001 transcript:CDP07305 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEKVEFSRTAGKPIRCRAAVAREAGEPLVIEEVIVAPPKSREVRIKIICTSLCFSDITFWRLKVPPACFPRILGHEAVGVVESVAEDVHELAPGDTVLPIFLPDCAECMDCQSNKSNLCSKFPFHVASMMPRDGTSRFTDLKGETLFHFIYTSSFSEYTVVDVASVTKIDPAIPPNRACLLSCGVSTGVGAALRTANVESGSTVAIFGLGAIGLAVAEGARIAGAKRIIGVDINPDKREIGKYNWKKFGLTDFVDSRSCGNKSISQVITDMTDGGADYCFECVGLASLVHEAYACCRKGWGKTVVVGVDKPGSQLTFNSYEVLHMGKTLVGSLYGGLKPKTDVPMLIKKYLDKELELDKFVTHEVNFEEINRAFELLLQGKSLRCVIWMDK >CDP20983 pep chromosome:AUK_PRJEB4211_v1:10:12336380:12344424:-1 gene:GSCOC_T00007963001 transcript:CDP20983 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGELWAIFGPGVSGAVFGAGWWFWVDAVVCSSVKVSFRSLAGIFASLAALMFNCVRKEDIDYSPYEEGEWRLKLWLFIAYVVSFVSLAASVGLLIQDSLVKTGPSAWTGVAGVLQCVFVLIR >CDP07276 pep chromosome:AUK_PRJEB4211_v1:10:365049:372393:-1 gene:GSCOC_T00024496001 transcript:CDP07276 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAANKCSSVQFKTEHLPLVLNRYIDEGVAELAPGVLFIDEVDMLDIECFSYLNCALESFLSPIVIFAANRGICNVRYKATMTIDVTSPHGTPINLLDRLVII >CDP07386 pep chromosome:AUK_PRJEB4211_v1:10:1145698:1147953:-1 gene:GSCOC_T00024633001 transcript:CDP07386 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLYLFPLLFTLINQFTSGIYASATFTLVNKCQYTVWPGILSNAGIAPLSTTGFALQVGESRTIQVPSSWGGRFWGRTRCTGDSSSGKFSCVTGDCGSGKLECAGGNAALPATLAEFTLDGAGGLDFYDVSLVDGYNLPMLVVPQGGSGGNCTTTGCVVDLNGACPSDLKVTSSDGEKVACKSACAAFGQAQYCCSGAYNTPDTCKPSSYSQVFKNACPRAYSYAYDDKTSTFTCAGADYIITFCPPPNTSQKSTQTPAGGDDDNNTPLINGTMIYQGAEWEESGAAASAFRSQAIAAAVAIAAAMWRLCHHF >CDP07343 pep chromosome:AUK_PRJEB4211_v1:10:874257:875048:-1 gene:GSCOC_T00024579001 transcript:CDP07343 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAIAKSKIKFLMLLPKAAAAAAKLPSHPFSPGKVNANKLKSHQNKGFSGPITSVVLEEARNKSKNSTFDAKEPTSPKISCMGQIKHKKKISSKKKKAASMSLPKEVVYNPYSSFHAYSRNKPSAMEQKKKKSASGNIFNRGKPGRKSDASYEQSKKLPDRAPSLSHMKRFASGRDSFSSFDWTSVQVAPEEPDNRRCYSDEEKRDSSEEEQEDEVIIPFSAPILLGEVGAAVLPLEPRKEINLWKRRTMAQPKPLQLNTW >CDP11500 pep chromosome:AUK_PRJEB4211_v1:10:26785230:26786339:-1 gene:GSCOC_T00033785001 transcript:CDP11500 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHTTTTRAEAERLLGIAEKLLRNRDFAGCKEFAVLAQETEPLVDGSEQILAVAQVLLASEKRIGQHNDWYSILQLPNRTDDSDLVRKQYRRFALLLHPDKNKFEFAESAFRLVADAWNVLSDPVQKSAYDKELSFFTKIDLAAMKRQKDLQQRQQQRQHKDDVSNQKLPVRRSSRGNNTANNASGAGNNASNVNSSTPNTARASGRRSNLSNSGSGGGGAMRGQRGATTTFWTVCPYCYNLYEYPRLYEGCCLRCENCERAFTGMEISAMPPTVPGKEAYYCCWGFFPMGFASGDLDGGKGVDASGFPNWMPPMFPGNEENNNRNVGGNAGVGMESGRKEQKTAKVARVSNPSAKKRGRPRKNVINV >CDP11962 pep chromosome:AUK_PRJEB4211_v1:10:23444798:23445727:-1 gene:GSCOC_T00035292001 transcript:CDP11962 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMCTVPNSPTWAIVIVFLALIGTPAYSIFCANAVAKLFPCHTFLKGSGANSAPCCAGLKSLQELASSESSNRFICRCLKQAISTGLSDSMLENAKLLPQLCKINFPLVVDPAMNCNKFGMAYESLNWNSYYNIKETSPGRSPGMGHGTPPPGREKTN >CDP01160 pep chromosome:AUK_PRJEB4211_v1:10:5014564:5021682:-1 gene:GSCOC_T00034695001 transcript:CDP01160 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEGLVSVVLWLIMVFHPLKLILANMEGDALHSLRTNLQDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGLLKNLQYLELYSNNISGPIPSDLGNLTNLVSLDLYLNSFNGPIPDTLGKLSKLRFLRLNNNSLTGPIPLSLTNISSLQVLDLSNNRLSGAVPDNGSFSLFTPISFANNLDLCGPVTGRPCPGSPPFSPPPPFVPPPPIATPGGNSATGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEYFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPNEPPLNWSTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNNYVESEVEQLIQVALLCTQGNPMDRPKMSEVVRMLEGDGLAERWDEWQKVEVLRQEVELAPPPNSDWIVDSTENLHAVELSGPR >CDP18947 pep chromosome:AUK_PRJEB4211_v1:10:19792080:19792292:-1 gene:GSCOC_T00003296001 transcript:CDP18947 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIEDNNTMVFIVDIRADKKKIKDAVKKMYDIQIKKVNTLIRPDGTKKAYVWLTPDYDALDVANKIGII >CDP01142 pep chromosome:AUK_PRJEB4211_v1:10:5172106:5174464:1 gene:GSCOC_T00034673001 transcript:CDP01142 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWAQRSDRLFITVELPDANNVTHKLEPEGKFLFSATTGVDNTPYEVEFDLFDKVDANESKISATSRNICYLVQKAENKWWGRLLKQSGKPPIFLKVDWDKWVDEDEQDEKVGSDMDFGDIDFSKLNMGGGGDFDADIADRDEGKCSYVEPFVYINLLNGFLVDNCGWYYELAGDDDSDTEDEIKEEGAAASGEPHTAPASNESETKA >CDP12010 pep chromosome:AUK_PRJEB4211_v1:10:22677141:22680050:1 gene:GSCOC_T00035360001 transcript:CDP12010 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGQVIRCKAAIAWEAGKPLVIEEVEVAPPQKMEVRLKILFTSLCHTDVYFWEAKGQHPVFPRILGHEASGIVESVGEGVTELQPGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGVMLNDGKSRFSINGKPIYHFVGTSTFSEYTVVHVGCLAKINPLAPLDKVCVLSCGISTGLGATLNVAKPRRGSSVAVFGLGAVGLAAAEGARIAGASRIIGIDLLPDRFEQAKKFGVNEFVNPKDYPKPVQEVIAEMTDGGVDRSVECTGNVNAMISAFECVHDGWGVAVLVGVPHKDAVFQTHPMNVLNERTLKGTFFGNYKPRSDLPSVVEKYMNKELELEKFITHEVTFSEINKAFEYMLKGEGLRCLIRMEE >CDP07527 pep chromosome:AUK_PRJEB4211_v1:10:2235798:2238793:1 gene:GSCOC_T00024812001 transcript:CDP07527 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGKGVSIDGVPLPYETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIVKSQQPVYLEGEVVSNHDELMSNFFAQPDALAYGKTAEQLLKENVPQHLVPHKTFCGNRPSISLLLPSLSAYNIGQLLAIYEHRIAVEGFVWGINSFDQWGVELGKSLASQVRKQLHVSRRKGEPIEGFNFSTTTVLSRYLQASADVPSDPSTLLPKM >CDP07568 pep chromosome:AUK_PRJEB4211_v1:10:2499391:2503314:-1 gene:GSCOC_T00024862001 transcript:CDP07568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 1-acyl-sn-glycerol-3-phosphate acyltransferase 4 [Source:Projected from Arabidopsis thaliana (AT1G75020) UniProtKB/Swiss-Prot;Acc:Q8L4Y2] MDIRQDPNSTNQLTPLRIIRGLACLVVFISTAFMFLVYFVPVCVGILRLLNVHYSRKTVSYLFGLWLGLWPFFFEKINRTKVIFSGETAPEGERVLLIANHRTEVDWMYPWDLALRKGCLGHIKYVLKSSLMKLPIFGWGFRILEFIPVERKWEFDEPIMHQMLSSFMDRRDPLWLIVFPEGTDFTEQKCKKSRKFATENGLPVLSNVLLPKTKGFHACLETLRLSLDAVYDLTIAYKHRCPTFLDNVFGVEPSEVHIHVRRIPIREIPPSEKDVAAWLMDTFQLKDQLLSDFIANGHFPHEGTEEELSTLKCFINFAAVIVITGTFTYLTFFSSIWFKVYVALVCTYVAFATYFSIRPFTALDFAKRLSRHKKVA >CDP10657 pep chromosome:AUK_PRJEB4211_v1:10:11194903:11198997:1 gene:GSCOC_T00031438001 transcript:CDP10657 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYYYGSIDDENSDQYSDRVDDDDEEDSVDGLQDQELCSKDTSCTVIRQESLLAAQKEVLQKAMDLLSLKEHHARTLLIYHCWDVETVSKVFVERGKERLYSEAGLSVRSSKDLNSSLSSDEVTCQICFKHVNAYESSMMDCGHCFCNICWTKHFIMKIQEGQSRRITCMANKCEAICDQENVRNLVSTADPHLATMFYKILLESYVEDNKKVKWCPSVPHCGNAIRVERDEYCEVECACGVQFCFSCSSEAHSPCPCLMWKLWKEKRQDESGMVNSTNTKYCPKCHKPVEKNGGCNRVRCLCGQQFCWLCGGKTSTSIADHHPCGQYKDDRLEKDGLAKRQPWGYSHSCIQFKAHTDSLELEARLQSRLNSKIEILEEKNHELRDFSWVTVGFNRLFRSRRILSYSYPFGYYMFYDDQFKYAMEQNVREPKQNLFENHQEQLQAKIENLSEQLFDDWEERDVLDTRRQIITLSTVINNLCKNLYDCIEELLPPEHIIVPYRSMGVKKASEFH >CDP10998 pep chromosome:AUK_PRJEB4211_v1:10:22397072:22404493:-1 gene:GSCOC_T00031997001 transcript:CDP10998 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYLEFSSSQLQAENQNDIEIAAQNAVLHEQEIATQQIIQSQRDAGYGNGTSEEQRDILSGRHDPNAIKEHLLKMTNEHRTQMALKRGKSTLAEEGNLEIGNGYGVPGGGAYHVTSKANITATVNNVDDHQTSQRNTEHGAESRLKPVGKELPEYLKQKLRARGILKDDPKINNQALPDNASASSPQAVSSQNMAIGKLPPGWIEASDPASGSLYYYNENSGTSQWERPTDAAPMFPAASHSELPEDWQEAVDETTGQTYYYNRMTNASQWERPGTSPKISSHPQGDKSSISNKCMGCGGWGVGLVQTWGYCNHCTRVLNLPQSQYLSTQPETRQHGSNAVKTGEISDKGFSKPRSNMKPPMGRGHKRDTKKRSYSEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQKKKPNSNFAPISKRGDGSDGLGDAD >CDP01107 pep chromosome:AUK_PRJEB4211_v1:10:5540188:5542162:1 gene:GSCOC_T00034624001 transcript:CDP01107 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFSCFDSSENNTRENVGYSNEFQAMLDGLDDEDSIEEYNCSNGKKKRLRIDQVQALERIFEVDNKLDPERKIKLAQELGLQPRQVAIWFQNRRARWKTKQLERDYHLLKANYEALQLNYSKVEQEKEGLVAELRGLREKLGEDNTETNHSIEKVATLQSSPKMASEKILDLKDGLSDSDSSGVLNEDSNSLNVQPLVSKLSSCNPTFNGLDQYAFPLSAPVYHPHLLDSRVKDYQQQFMKMQDQNHSSYADDSCNIFSVDQAPPLYWYFSDPRNQ >CDP11394 pep chromosome:AUK_PRJEB4211_v1:10:25596390:25597378:1 gene:GSCOC_T00033624001 transcript:CDP11394 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPTYLSTPKKQPCNHSCRLFFLHFARYPDTLHPHQRPGKQTVHKLLISANSGLQDIPWLID >CDP16845 pep chromosome:AUK_PRJEB4211_v1:10:14570582:14576731:1 gene:GSCOC_T00019392001 transcript:CDP16845 gene_biotype:protein_coding transcript_biotype:protein_coding MILVHWFGLHSVRCIYSTLGYEMKVVGQMVLAVTLTYSSPFWPTMLHDCLVKVHSLGKCTIFLKKNFLVTILLSTILSIFQSKKVFFCFADLSWENGIITPNVTRKGEVLAVSGLAEYGDEIDVIAPADVLKQIFKMPYSKARLSIAVQRVGQTLVLNTGPDVEEGEKIVRRQKNQSKSADESLFLNFAMHSVRMEACDCPPSHHTATKDKSDSCVLPGRFESGEEPVETLRNYMQQNNSSGHSHDMSEDEDFKRYQEYAKVKEDEVFWGKNKSKRNKGQTAIKKISQVKEKPNCTVKESEKHRRVKDDSFLRVLFWQFHNFRMLLGSDLLIFSNEKYVAVSLHLWDVSRQVTPLTWLEAWLDNVMASVPELAICYHQDGVVQGYELLKTEDIFLLKGISEDGTPAFHPYVVQQNGLMVLRFLQDNCKQDPGAYWLYKSAGEDVIQLFDLSIMPKNHSPEDCDDAESGLPSLIHRGRSDSLLSLGTLLYRIAHRLSLSMSSDKRTRCVRFFRQCLDLLDEPDHLVVRAFAHEQFARLLLVYDEELDLTSEAVPTDYEVTVADAEEDSCEAYPTVSESEFEKVDPEEVQILDLENSVAKTENGNMSSVTVSGDPPLVYPLDIPSSSGQSFSICDSLDSTGPVVQAVTDPISSKLAAVHHVSQAIKSIRWKRQLQHTEVNMDYISKLQGGLHSPNDFSVCACGDPDCIEVCDICEWLLTSKLDGKAWKLVLLLGESYLSLGQAYKDDGQLFQALKVVELACLVYGSMPQHLKETRFVSSMVCTSPNQVEIIDRTENNESITGHDGFAFEQSPSCYLFWAKAWTLVGDVYVEFHLIKDKEISVKSEKKSLTKELKMSPEVLKEVERLKKKLGQCSQNCSSCSLVNCSCQSDRATSGSSASSSSASLHPSAYGRKMSKKSITKGTLYSNAKSNEDARAHQRAEKSGYSKANKYEMLTNTSGVNADAGGDKKTVKCDVERAGTTMEMETGSRVDSHSEAVGETTQVRDGGIFKYVRNPVISDGDYNLSIALECYEEARKALGRNPRTVGELRSVTKKKGWVSNELGRSRLEKRDLDGAEIAFADAISSFKEVSDHTNIILINCNLGHGRRALAEEMVSKIETFKKLAVFHNAYKQALETAKLEYSKALMYYGAAKLEVNASAEDADCASSSLKDEVYAQFAHTYLKLGMLLAREDTVAEVYENGVLEDNAGPAVTRPEKEYRKHEISANDAIRRALSVYESLGELRKQEAAYSYFQLACYQRDRCLNFLESDMKKNNMSRGENQRVKQYASLADRNWQKSMDFYGPETHPWMYLNIIMERSALSLSLSCSLHSNMFLESALTRLLEARHLSINESFGKENPEICAKFWSQLQMVLKKVLSATLPMNSQKSAVNSLQNPGHKSGEVAKLRELYKMSLKSSDFSELHAIHCLWTS >CDP01365 pep chromosome:AUK_PRJEB4211_v1:10:3176440:3178266:1 gene:GSCOC_T00034975001 transcript:CDP01365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated protein 27 [Source:Projected from Arabidopsis thaliana (AT5G42900) UniProtKB/TrEMBL;Acc:Q8L8T7] MDRTGSLLSELSVEKEKHPSNSDDTTSTLLESQETESESTQWTDEKHSLYLNSMEASFVNQLYDSLDTLGGFSQQESLSEPKNWGQMNDGVATPSGQFKVFKDGSWARVNFSNYRREMARPKQAQKSDPVFANPWIQHYRSSCRHQTRTFQGQAPVSTTANPTAFPFGCQDSMGSNTEMTDQNFADEDSEEEKKSRKRRQKCRKMSAATASSNDQVVPHGKLSLIDDHSKVHSSQKE >CDP00876 pep chromosome:AUK_PRJEB4211_v1:10:8617082:8617681:1 gene:GSCOC_T00034312001 transcript:CDP00876 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQCFIELIVALPLSPCVVVKYVRVVYVIFSFHYFNCLCTIYCEFMVHLWLLEAFNSPFLNELTNMFERITEKGSVWVTLKYSSDKSKLQRNKMKTAGEKIEYKCLIRATDVK >CDP11414 pep chromosome:AUK_PRJEB4211_v1:10:25973648:25978071:1 gene:GSCOC_T00033659001 transcript:CDP11414 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDIKTAILECDVCRKYKDKHVSYLGLLQPLLVPQCFSHLEPKKWNHWLTMAEWWYNTSYHTAIQMTPFEAVYGQAPPQLSRGPYTQAKVAIVGDCLKERHKVDIILKQNLKQAQERMKKYADERRSERRFDIGEWVHLRLQPYRQGSVAMRSNTKLSARYYGPYEVVGKVGELAYRLKLPADSKIHPVFHVSLLKKKVGDQTTPVLQLPKVDGRGHLRVEPVVVLDQRTVKRKNAAAVQWLIHWWGTDPAEATWEFAEAIRKQRVPDYKEDVFQLEEGRRFDPDCIEGADDQLEEGEGFTSAASNPSPLLQFGFYTITFHGSIPEELMSLKSLVALDLNLNKFTGSIPTSIGNITALTILSMAANKFSRHIPSRIGNLTKLNEPTFSRNQLSSPVPEDIGKLRSLIRLFLSENMLTGPIPKPIGNLPHLNLLHLYNNSLSGPIPKEIGKLTSLEDLQFKDNNLISKIPFSIGNLGKLNSLYLFENNLTGQIPSSIGNLTNLIELLLYENNLHGAIPPELGKSNLLLSNNNLSGRIPIGLGEVSRLQDLHCFSNHLHGNIPRSLGKLTLLLNLKLDNNDLSGNIPSDIGQMSRLLNLSLSANNLSSFIPETIGRCTQLLELNLSQNDLIESIPSQIGILSSLETLDLSQNILESKLPSELGELKSIEKINLSHNRISGLKPCPQSTQKKTSRRTIVVSILIRSRSHTRHVENKSQELTCNLFAIWSFDGKMVYENIIDATENFDPKYYIGVGGCGSVFRVELPNGQVVAVKKLYAIDGGALRRLKDFTNEIRALTNVRHRNIVKLYGFCSHTQHTFLVYEFRLMQLLSNDETASMFDWIKRVNMVKDVAKALSYIHRDCLPSIVHRDISSKNILLDSEYQAHISDFGTERLIRPNSSRWMSFAGTYGYAAPELAYTLEVNEKCDVYSFGVLALEVIMGKHPGDFIS >CDP12012 pep chromosome:AUK_PRJEB4211_v1:10:22660212:22662616:-1 gene:GSCOC_T00035362001 transcript:CDP12012 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTAGQVIRCKAAVAWEAGKPLVVEEVEVAPPQRMEVRLRILVTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLQPGDHVLPIFTGECKDCRHCKSEESNMCDLLRINTDRGAMLHDGRTRFSKNGQPIYHFLGTSTFSEYTVVHVGSVAKINPQAPLDKVCVLSCGISTGLGATLNVAKPTKGSTVAIFGLGAVGLAAAEGARISGASRIIGVDLNANRFNDARKFGVTEFVNPKDYDKPVQQAS >CDP00912 pep chromosome:AUK_PRJEB4211_v1:10:7992357:7994054:-1 gene:GSCOC_T00034363001 transcript:CDP00912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PARTING DANCERS [Source:Projected from Arabidopsis thaliana (AT1G12790) UniProtKB/Swiss-Prot;Acc:F4IDW9] MMSTAWRDEQQPSFINFISCFLSANSFRLNIVPIAPDFIFNCGGLSIAFIFVTNWDSNLTASVFTRVQKLKEQFAHLYVVVNLPTEEQNDSFVRSYFKSDMEFGRPTFMPVLDIEMGFEKILKIALARGIAKRQDAISKLKADRERSVQTMDAYLRVVSSIPGIDSHDANALNQAVGSIEAIAKASKEYILEYTDLSAEKAETITRFFRDQSYYLGPKIS >CDP19138 pep chromosome:AUK_PRJEB4211_v1:10:20248176:20248832:1 gene:GSCOC_T00007300001 transcript:CDP19138 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLLFLSFLLFNSFLSFAAEEPNPVLDINGEEIRPGVEYHVVSLFWGAGGGGVTYGKGPGNEICPLAVVQEPSDRNRGHPVTFTPVNPEEGVVRVSTDLNIKFAEPPIINFCRGSNVWKVHFNEALEQHFVLTDGVEGNSGCETEANWFKIEAIGSLGYKLVYCPAVCDSSSEAICKDVGIYYDDDRTRRLALSDQPFLVVFIKKSEDILKSVTST >CDP11480 pep chromosome:AUK_PRJEB4211_v1:10:26605527:26609219:-1 gene:GSCOC_T00033755001 transcript:CDP11480 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDYHRYLAEFKVGDERKEAADDTMNAYKAAQEIALADLPPTHPIRLGLALNFSVFYYEILNSSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDAQDQLDEP >CDP07416 pep chromosome:AUK_PRJEB4211_v1:10:1352872:1353531:1 gene:GSCOC_T00024675001 transcript:CDP07416 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSSNKFSQAAVIKQIMKRCSSLGKKQGYDEEGLPVDVPKGHFVVYVGENRTRYIVPISFLTRPEFQILLQRAEEEFGFDHDMGLTIPCEEVVFESLTSMLR >CDP10722 pep chromosome:AUK_PRJEB4211_v1:10:9826190:9827951:1 gene:GSCOC_T00031525001 transcript:CDP10722 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVANPHINISEITANMKAEGVQSPEIEAIVKALSDDTIWNTIEGFKGKDMSTQEKMINNMVAGGHLPQVGVPLPTPVNPTDPHVISVAKFAVAKYNDKHGTKLVFNRVNGGLQWKIVIGTLYILVLATQDSKGTYTDYAVVFETFLGQKYLFWYKH >CDP15794 pep chromosome:AUK_PRJEB4211_v1:10:15524729:15526207:1 gene:GSCOC_T00016627001 transcript:CDP15794 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKCTRQFSLTPHLRTITSCPLSLPSPSRSPPPPPPPPPSKPPSLSNLADQCLCMHQLKQIHAQMITTGRIRDDNYAASRLLAFCSLSDDGDLNHAFKIFSSIQQPNSFMWNTLIRALASSPTPQRSLSLFVQMRRLCIAPGKHTFPFVLKACSNLKSLCASTQIHVNVLKFGLDSDLHVANGLIRAYSVSGCLSHARKLFDQVSVRNLSIWTTMISGYAQSDCGSEAIQLFHAMITHGFEPNGVTLASVLSACAQSGGLELGGQIHSYMQEKRIELGVILGTALVNMYARNGAFVQARQCFASMQERNIATWNSMICGLAVHGHAKEALDFFKKLEQEKVRPSDITFIGVLSACCHAGLFDFGGRIFHSMSRAYGIQPKIEHYSCMVDLLGRSGKLLEAEELIKGMKWKADVVIWGALLTACKNFKNTDVAERVGKEILALDPQNHGVYVVLSNMYAEAGRWEDVVKLRKFMKEGRMKKTPGWSLVDGAT >CDP10956 pep chromosome:AUK_PRJEB4211_v1:10:21838163:21838977:1 gene:GSCOC_T00031940001 transcript:CDP10956 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLWAWERMPRIRPTVLFPAAQADNFPRGGRWAGERTTRYVPSHVVSLFRDALTKMGADEFIWEPYSTDLIAQLPAYCSAGQHIWRSVVPLIYWEVVEFNFPQRVLRQFGLFQPIPASVDTDTDKKLHSLGRSGRSGKDWVQYHSHWVQYWERRAQSVVSGYRSDPLIPLDEYIVWYQNITVRYIAPPVSRQAVDDTHRPDGGQFDYLVNY >CDP19968 pep chromosome:AUK_PRJEB4211_v1:10:9359631:9362843:-1 gene:GSCOC_T00003945001 transcript:CDP19968 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQEFEDLNPQYDTSSSIKKLALVASIAAAVHYGWALQLSLLTPYIQLLGVPHKWAAFVWLCGPISGLLIQPTIGHYSDCCTSRFGRRRPFIVVGAILLCIAVFLIGFAADIGHMFGDSLGTRTKPRAVALFVLGFWILDISNNLIQGPCRALLADLCGHNEAKLTIGNSLFAFFMAVGNMIGYALGSYVSFYHLFPFTKTQACDIYCANLKTCFICGVFFVIITTTLVVTFVREEALDPSIFPKKGVFHDEEAQLSFFGQLLLVIGNLPKPMKNLLIVTALNWIGWFPFTMFSTDWMGKEVYGGQANGNAQDIQLYQLGVWTGTMGLMLYVVVLGTVSLFMEPLVRMIGNVAKVWGIGNFVLAICMVMTTYITKMAIDARQLVDSTASKGLITPPPSVKISSLSLFAILGIPQAVTYSIPFALASIYSNESGTGQGLGLGVLNLAIVIPQMFVAVSSGQLDDVYYDSNLPAFLMGAIAAGLSGTLAFTLLSVNQQPTN >CDP16506 pep chromosome:AUK_PRJEB4211_v1:10:17874761:17879948:-1 gene:GSCOC_T00018487001 transcript:CDP16506 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRKISLVEIQALLDFKDHLDDHFNHLESWKDSNSPCQFYGVSCDQNTGLVTEISLDGKSLSGVISPSISQLQSLTSLRLPSNMLSGSLPSELTNCTNLKVLNVTGNNMNGSLPDLSRLTKMEVLDLSINYFSGKFPTWFGNLTGLVSLGLGDNSYDVGEIPDTFGNLKNLTWLYLAGSNLTGEIPESIFELEALGTLDICRNQISGNFPKLISKLRNLWKIELFHNNLTGELPVELAELNLLQEFDISANQMYGTLPPEIGKLKKLTVFHLHINSFSGEFPAGFGDMQFLTALSIYKNRFSGPFPENLGRYSPLNSIDISENQFSGGFPKYLCQNGNLQYLLALQNNFSGELPDTYDDCKPLQRLRVNLNRLSGKVPDGFWGLPSLQMLDFSDNDFSGGISPAIGFATRLNELVLTNNRFSGDLPKELGKLGLLERLYLNNNNVSGLIPSELGALKQISFLHLEENSLGGSVPAELSQCSRLVDMNLALNVLSGVIPNTLGSMSSLNSLNLSSNKLTGMIPRNLEKLKLSLIDLSDNQLVGVVPSELLRMGGDKAFTGNAGLCIDESSRISVNSRLNICSRKMEQQKFIKNKLFITCFILFGIVIILAGLLLISYWNCKQNQTDIGSPLGDGKKLDSRWKLESFHQIEIDADEICQLDEENLIGTGGTGKVYRLDLKKRSGTVAVKQLWKGNAVKVLTREMEILGKIRHKNIVKLYASMTRGCSNYLVLEYMENGNLFQALHREIKIGRSELDWYQRYRIALGAAKGLAYLHHDCSPSIIHRDIKSTNILLDEDYEAKIADFGVAKTSDASQASEFSCFAGTHGYLAPEMAYTLKVTEKSDVYSFGVVLLELVTGRKPIEDAYGEGKNIVYWVATHLSDRENVLRVLDPKIVCDLVQDDMIKVLKTATLCTDKLPNLRPSMREVVKMLIDAEPITFRSPDNCEKNAKILL >CDP10962 pep chromosome:AUK_PRJEB4211_v1:10:21940110:21948361:-1 gene:GSCOC_T00031948001 transcript:CDP10962 gene_biotype:protein_coding transcript_biotype:protein_coding MDALELQAQANIGGSKRIVAVDENRPSIDESKHFDLDHEPQEEKPGWRKFLSFVGPGFLVSMAYLDPGNLETDLQAGANHGYEASKDLLWIILIGLIFALIIQSLAANLGVTTGRHLSELCKAEYPKYVKYSLWLLAEVAVIAADIPEVIGTAFGLNILFHIPLWVGVLCTGMSTLLLLGLQKYGVRKLELLVAVLVFVMAACFFGELSYVKPPAKDVLKGMFVPKLKGNSATADAIALLGALVMPHNLFLHSALVLSRKVPNSVRGINDACRFFLIESGFALFVAFLINVAIVSVSGAVCSGENLSQEIIESCNDLSLDSASFLLKNVLGRSSSTIYAIALLASGQSSTITGTYAGQFIMQGFLDLKMKKWLRNLMTRCIAITPSLIVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSTTKMGPYKNSIYIIVISWILGLGLIGINIYYLSTGFVGWLIHNSLPKVGNVFIGILVFPLMAVYILAVIYLMFRKDTVNTFEESAKHDPNAQIHMEDGNLNRDHGDQIPHREDLADIPLPE >CDP15797 pep chromosome:AUK_PRJEB4211_v1:10:15597016:15597788:-1 gene:GSCOC_T00016633001 transcript:CDP15797 gene_biotype:protein_coding transcript_biotype:protein_coding MMIALWASSKAIVDSSTKATGVLITGALQNSQVELSHWSLLKGRFVDRVRSSRIEWLTDKK >CDP07289 pep chromosome:AUK_PRJEB4211_v1:10:539961:543875:-1 gene:GSCOC_T00024516001 transcript:CDP07289 gene_biotype:protein_coding transcript_biotype:protein_coding MISNRLKKFLAQISFINGCASNTSYYNANMLILDLRSGVAAVIIKTWNWVLFGGKALSFETSSFISPLPQLIKPPLVKVKLYVLMILQMINTETSSNGVVNGGVAIPALKQDGGGLGFSSHNKQPPCETKKTALRDVQNQASGLSQNHRENSSFLASGANTDAVRVCGNKRLTPERPSGSAFYPALTNSCANEHIMNARRRFELELGRGRVQSSTTKVADSPQSRQVHQLQQETPQKQTHLRASNNYSVPAVPPNNIPPTNSSFGGLSAPNFLGKYATGMQSTQSDSLRVTLECPNSVDYKGSRDQQTTERYVRLQNFLKECDESNCRDYIQVLRYLSPAELSRHAFELEARAIQLAMEEGKEMHRMKALNVLGKSTLTDSSLQATSTLTSNSLQNTQLYPRK >CDP01356 pep chromosome:AUK_PRJEB4211_v1:10:3224372:3227773:-1 gene:GSCOC_T00034965001 transcript:CDP01356 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKKMEENALAESSTTPIVSSTHEDQTNSHIPTDTNGKVESECQQPQTDISALEHPKDMSDVSFLADGPSVPSENPERTSDVTQVEKSISHSSTNILGEQETQKDDTSTIISKSVPSYTLEAKPSEIVQQSLEIGSPDSTHIESNDTSNGHAHGNSAVDSPRSTHGRDAIPSASSCHVRENEGTNHVIASNSPVSKVSRTISRAQQSAGSPKTPQSMDINRGQIDTAAPIESVKHAVSKFGGIVDWKAHRMQTVERRKLIEQELEKVQEEIPLYKNKCDAAEDAKVHVLKELESTKRLIEELKLNLEKVQTEEQQAKQDAELAKLRVEEMEQGIADEASIAAKAQLEVARARHAAAITELKSVKDELEALLKDYTVLVTEKDVAVKKAEEAVSASKEVEKTVEDLTIELITAKESLESAHAAHLEAEEHRIGAAMAKEQDTLNWEKELKQAEEELEKLNQQIVLARDLKSKLDTASTLLQELKAELAAYMESKLKEENNEGNFKDGLVESERRTHSDIQAAVDFAKKELEEVKLNIEKATDEVNCLKVAATSLKSELEKEKAELAAIRQREGMASVAVASLEAEIDRTKSEIALVQMKEKEAREKMVELPKQLQEAAHQADEAKSLAQMAREELRKAKEEAEQAKAGASTIESRLHAAQKEIEAAKASEKLALAAINALQESESAQSTNDEDTPTGVTLSLEEYYELSKRTHDAEEQANMRVAAAMSQIEVAKESELRTLNQLEEVNRELAERKSALELALQKAEQAKEGKLGVEQELRKWRAEHEQRRKAAESNFNQGPDAAGIHQRLSPKAHLNGSNTETESSPEPEVKVVKKKKRSFFPRIFMFLARKKTQTKSA >CDP18284 pep chromosome:AUK_PRJEB4211_v1:10:24846465:24849643:1 gene:GSCOC_T00012013001 transcript:CDP18284 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRELEMGSEIRSAIDELSMVVLKVKPAGGPEQHSTCAYIPTKPFLSVCNLLLQVLDKIGPTMAVLRQDVHQNIQRLEKFYESEPSVYSNVVEMLSKEGKEGKAKKGPSCSKAFVWLTRSLDFTVTLLQLLVEDFGRDMEQAVDEAYNITLKPWHGWISSAAYKVALKLVPDIKDFITILMAEDENQDMLKEEMRTLISLFLPVLEGIRTILKTFGLDRLKCT >CDP11516 pep chromosome:AUK_PRJEB4211_v1:10:26985025:26986049:1 gene:GSCOC_T00033806001 transcript:CDP11516 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHLPFKTRLQISIGTFAAKLCVRSDGTINRRLFSLLDQKVIAPTTKVFNDVPVSSSDISVDPSRNLWFRLFVPDTKSSAETLLPLIVYFHGEHRFPYSAGGNIAHHVTHRACKDSHQFKKIKIVGLLAMQPLFGGEERTASELRLTRVPFLNIESTDRMWRNFLPEGADRNHKAANVFRDGPNFKEADTVPEDFPSSLVFVAGFDPLQDWQKRYCEGLRRCGKEVWLVEYPNGIHGFYNFPELPESALIVKEVRQFIQEK >CDP11433 pep chromosome:AUK_PRJEB4211_v1:10:26157877:26161584:-1 gene:GSCOC_T00033685001 transcript:CDP11433 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTQGRPSMNSPPPGLLKLEMENGYDAKGGYAAARKSTGQRHYTIGAGGNYGRGRTTSSAENKGSQEKKLINLEVQTYSSSANGGVGTASVRTSSSRRDGEDGELVDGWPKWLIDNIPRDALEGLIPRSAETYDKIDKIGQGTYSNVYKARDKYTRKIVAMKKVRFDTTEPESVKFMAREIRILRKLDHPNVVKLEGLATSRMHYSLYLVFDYMQCDLASIITRPDERLTEPQVKCYMHQLLSGLQHCHEKGILHRDIKGSNLLIDKKGMLKIADFGLANYYNPEKKRPLTSRVVTLWYRAPELLLGATEYGIGIDLWSAGCLMAEMFIGRPIMPGRTEVEQVHKIFKLCGTPSIDFWKRAKVATTFRPPQTYKPRLREAFRNFPASSVGLLSTLLALDPETRGSAASALQDEFFCTSPLACDLSGLPVTCKEEDEEIQRTERRKRSKMRHRSQSHIVHRNKDTAAEKPGDPGRSEEPEKSAETITLSQGPGSSATSTSSSGKTTIITSFDAADMGNKESLPRNLASPDIPNRQNSSRTEARPQANKNIKNRPPLPDAKRSSRYNMNINDKNDNIIQFREQVQRYISTREYRNRDLNKLLELHAVD >CDP11527 pep chromosome:AUK_PRJEB4211_v1:10:27081753:27083676:1 gene:GSCOC_T00033823001 transcript:CDP11527 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHTTVQYCCVSKGDRVVYSYNGGNPEIDNLAALCLERTPSYHRWYFQTMAKKTFGFFMEDGYVYFAIADEGLTKIGVLKFLQHLRDEFKKATKKGSTRNLSNLNSTCLQDQLVPVIRHLIAVLENVSRTGAAEQQLGETPPYHAAELSPSPSNHGPGTSEAGASTKAPLLGKSSKQEKKKMKEHVIGVRDIELEEHRKSTERGARVDLGALDANNQGTSVSPVSLQKDFGSMRFRSNSLNFRKRWCRQVRIVLAIDIVVCLVLFGIWLVICGGVECMH >CDP00967 pep chromosome:AUK_PRJEB4211_v1:10:7173551:7174342:1 gene:GSCOC_T00034439001 transcript:CDP00967 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHLPASYLSASLTPNSSCLHSAAFKHMASMPLRIASNSVSSLLNLTFDGPCRLASNSGFYGLPDQPLNNIPLSTSASANRRTRRFLSQVPLHATNAPNRETIKSQSSLSFDVVIIGAGIIGLTIARQFLLFSDLSVAILDAAVPCAGATGAGQGYIWRINKTPGAEKWELASRSHQLWVNLAESLQHQGLNPLQILGWMKTGTNFNSHPSLPHWK >CDP10957 pep chromosome:AUK_PRJEB4211_v1:10:21847515:21853384:1 gene:GSCOC_T00031941001 transcript:CDP10957 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHGTIMLGDQSPLKQSEKHHPVSWDLKLVQSLRNEYADERYISRSAMLKENVKMMLDQEMKVVNQLELIDNLQRLGLSYHFEDKIRSILSGIYNTIQMRNPEGLYATALEFRLLRQHGFYVPQEIFESFKDENGDFKHSLCEDLKGLLYLYEASYLEKENESNLEMAREFTAKHLKEILKEKRIDQELEALVQHALELPLHWRMMRLEARWFIDIYEARSDRNPILLELAKLDFNIVQAIHQNDLESEKLSFARDIMVENFFWTVGTISDPEHGYARRLLTKVAALVTAIDDVYDQYGTEDELELFTSVVERWDVNSIDQLPDYMKICFLALFNFINEMAYDALKEEGVNIIPYLRKAWADLCKAYLQEAKWFFSGHIPTLQQYLNNAWTSISAPLVVVHAYFCVDYPINKDHVEYLEKCHKTIRCSSMIIRLANDLGTSPESEVLKSADVPKSIQCYMKETGACEEKAREYLRFLIIEAWKQMNEAQTVDSPFSSTFKGFAVNVARMGQCMYQHGDGHGHQNSEPRDRILSLLFEPISSFA >CDP00924 pep chromosome:AUK_PRJEB4211_v1:10:7851594:7854462:1 gene:GSCOC_T00034379001 transcript:CDP00924 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADASVDNNARISKEAPQLVAVLREMKYGLDTVRAKIEALAAKVKADNFPTTDGISYLDAKYLLLLNYCQSLVYYLLRKAKGLSIEGHPVVRSLVEIRLFLEKVRPIDKKLQYQIGKLAGVTPRNVAENRDMTVEETETAKEEEKWIKYRPNPDMLISKTDATLEKDGVYRPPKLVPATMDEDKMSRQERNAMRKEKETLRQARRSAYVRELMNDLEGKPEEVREDIGTESAEVTKYMEKMEQRAQQEEELFIRAPLTKAEKKKMRRLKKPRNGLLDLTESFYDEIKTLPLEDNGPEQSAVIGNGNSSERKFKKQKRRH >CDP01316 pep chromosome:AUK_PRJEB4211_v1:10:3593095:3595810:-1 gene:GSCOC_T00034916001 transcript:CDP01316 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLVKLISFLFMFATFLLNLTSAERSTYIVHMDKSFMPKAFASHQHWYSSTLNSLKSTSPNSDPQKPLELLYTYDNVFHGFSAVLSRAELEAVNKLPGFVSACSDRVATLDTTRSTEFLGLNPVTGLWPAANYGKDVIVGVLDTGVWPESLSYKDDGMTDIPSRWKGSCDGGYDFNSSLCNKKLIGARYFNKGLLAANQDDRDNKYSARDTDGHGTHTSSIVAGNYVEDVSFFGYARGRARGVAPRARLAIYKVSFREGRYASDVLAGLDQAVADGVDVISISFGFNSIPFYEDPIAIASFAAMEKGVFVSTSAGNSGSTPRRLHNGIPWVLTVAAGSMDRSFGGSITLGNGLNLRGWSLFPAKAVVKDSTLVYNETIAGCNSTELLSEFHGGTIICDNSSSFSSQIHFISESNADAAIFISSDFTYDENSFQYPGAIISPDEAANVIDYATKDANPTVTIKFQQTFVGTKPAPMVAEYTRLGPSPTYPGILKPDLMAPGTLVLAAWIPDDRVSNIGSNIGLSNSDDFNLISGTSMACPHGAGIAALLKGAHPDWSPAAIRSSMVTTANPLDNTGNPIREINGFNNPIASPLSMGAGQVNPNSALDPGLIYDATAQDYMELLCSINYTRKQIRTITRSSYNCSKASSDLNYPSFVSLYTSGTNASTQNFKRIVTNVGDGAATYKAKVTPPEGSVVTVFPETLVFRKKYEKRSYSLTIHTKIDENNQVTYGAVIWVEDNGKHSVRSPIVVTPKISSDDS >CDP07574 pep chromosome:AUK_PRJEB4211_v1:10:2533899:2537304:-1 gene:GSCOC_T00024869001 transcript:CDP07574 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLKLSYCSVGQKPCVRWVEKYFKDCLCNLKDEISFAFGIASLVCWAVAEIPQIITNFKSKSADGVSLAFISTWIVGDVFNLVGCILEPATLPTQFYTAVLYAATTLVLALQCIYYDHIIRLWKGRKTKVNKDYNERSALKQNLHDRSSRDRRNAPIEVPRPRWRDFHFRSARSLAGSDTPPIQSYIKPKSGPPALEHQSESSSEDEEAIPPEFYQRPVSQPRLIPGPVGYGTFLAVSAYIPRGSKASQLYFVGRRLLEEKYELHGRAYGQWLGWLMAAIYMGGRIPQIWLNIKRGSVEGLNPLMFLFALIANAAYVGSILVRSSEWEKIQPNMPWLLDAVVCVALDLFIILQYIFYRFIKRKKSQRSEEHYEDCGTKLQT >CDP10980 pep chromosome:AUK_PRJEB4211_v1:10:22219282:22221126:1 gene:GSCOC_T00031977001 transcript:CDP10980 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNSSVLACNYAISGMGSSDLSPKLVSVPSSVAVGQKLPIIKAQQTRVSASKESESQGRRAAMLCLAAALFTAAASTSSANAGVIEEYLEKSKANKELNDRKRSATTNANFARAYTVQFGTCKFPENFTGCQDLAKQKKVPFLTEDLELECEGRDKFKCGSNVFWKW >CDP01098 pep chromosome:AUK_PRJEB4211_v1:10:5600281:5602831:-1 gene:GSCOC_T00034611001 transcript:CDP01098 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDYHRYLAEFKTGAERKEAAESTLTAYKAAQDIANTELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAPKADNEQQ >CDP11505 pep chromosome:AUK_PRJEB4211_v1:10:26844208:26848662:-1 gene:GSCOC_T00033791001 transcript:CDP11505 gene_biotype:protein_coding transcript_biotype:protein_coding METRLVSPSSSSPPSSSSSASIPSFSPVQRSLNLHKNPIFLKPQNLSFTKPNRRTTPYAAQNMSSSDASATTDGPLKKEVKLWGGRFEESVTDAVENFTESISFDKVLYKHDIMGSRAHASMLAHQGLISEKDRDSILRGLDEVERQIEAGEFVWRTDREDVHMNIEAALTDIVGEPAKKLHTARSRNDQVSTDFRLWCRDNIDIIVACIRRLQVSLLKLAMRNEGLIVPGYTHLQRAQPVLLQHLLLAYVEQFERDVGRLLDCKVRMNFCPLGACALAGTGLPIDRFMTSEALGFTAPLRNSIDAVSDRDFVLEFLSANSIMATHLSRLGEEWVLWASEEFGFLIPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLVSLLVLCKGLPHAYNRDLQEDKEPVFDSVKTIIGMLEVSTEFAQNITFNQQKIQKALPAGHLDATTLADYLVKKGVPFRTSHDIVGRAVAMCVSINCQLQDLSIGELQNISPAFDEDVYEFLGVENAVKKFSSYGSTGSDCVASQLDYWTAKLDINRET >CDP07434 pep chromosome:AUK_PRJEB4211_v1:10:1530460:1533404:1 gene:GSCOC_T00024700001 transcript:CDP07434 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKTKAYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >CDP07446 pep chromosome:AUK_PRJEB4211_v1:10:1610509:1612879:-1 gene:GSCOC_T00024714001 transcript:CDP07446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein APETALA 3 [Source:Projected from Arabidopsis thaliana (AT3G54340) UniProtKB/Swiss-Prot;Acc:P35632] MARGKIQIKRIENQTNRQVTYSKRRNGLFKKAHELTVLCDARVSIIMVSSTQKLHEYISPTATTKQLVDQYQKAVGVDLWSSHHEKMQEQLKKLKEVNRNLRKEIRQRMGESLNDLSYDELGFLIEDVDNSLRAIRERKYKVIGNQIETHKKKVRNVEEIHRNLLLELDARGEDPHYGLVDNGGGDYNPVLGYPRVLALRFQPTQPNLHSGGGSSDLTTFALLE >CDP10745 pep chromosome:AUK_PRJEB4211_v1:10:9504194:9506616:-1 gene:GSCOC_T00031557001 transcript:CDP10745 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKIDNGREEEQPIPLLTPYKMGPFQLSHRVVLAPLTRQRSYGNVPQPHAALYYSQRTTKGGFLIAEATGVSDTAQGYTDTPGIWTKEHVEAWKPIVDAVHAKGGIIFCQLWHVGRVSNYDAQPNGQAPISSTDKALTPRVQSNSTGFDLEYYSPPRRLRKEEIPNIVNDFRLAAINAIEAGFDGVEIHGAHGYLIEQFMKDEANDRTDEYGGSMENRCRFALEIVEAVSNAIGPLRVGIRLSPFTYFNDSADSNPNALGLYMAEALNKYGILYLHMVDPKLRFNPNFKRHDGSLLPMRKAFKGTFLAAGGYLREDGNEAIAENGADLIAYGRWFLSNPDLPRRFELNAPLTKYDSSTFYISDPVIGYTDYPFLETTTA >CDP07480 pep chromosome:AUK_PRJEB4211_v1:10:1904275:1909516:1 gene:GSCOC_T00024754001 transcript:CDP07480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH110 [Source:Projected from Arabidopsis thaliana (AT1G27660) UniProtKB/Swiss-Prot;Acc:Q9SFZ3] MESTSKIPHHHRHLQQQHPLQGHQLVGSSSTSTTTLGASGFGAALSSHAWTPNTNLNSAGFGSAANTAILNSRNPRLQNDILVSSLNGSMTQDFSFSWANGSSGNNFTNQSSHDLQLEMIKREFSESYSNFSEMISSPSSSIEDVQLPIQATDYRRSEYREINDPNVKLLLRSLSSGDRNNDFHRSCPGELYCSTSSSSSLGATPKRGTFSQIYPTINVSEINQTSLANSSSFHMNSQALDLLNSARFSGIFCLPMVDQLGLSKNGSLSYGYDYLSQSIPMPISEPSNITPLSNGVTETKWSDISLEPKVPQAAPKRSRSESRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYLKSSRNKSSRTVHGGAVENGGEERKRDLRSRGLCLVPMSCLSYVADGGGGVWPPPSFGGGT >CDP18866 pep chromosome:AUK_PRJEB4211_v1:10:27463905:27478421:-1 gene:GSCOC_T00013408001 transcript:CDP18866 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLEIEARDVIKIVLQFCKENSLHQTFQTLQNECQVSLNTVDSLETFVADINGGRWDAVLPQVSQLKLPRKILEDLYEQIVLEMIELREMDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPHEAYQDSTKEKRRAQIAQALSVEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDVDDMYPTTVGHTIKFGKKSHPECARFSPDGQFLVSCSVDGFIEVWDHISGKLKKDLQYQADETFMMHDDAVLCLDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSIVFSRDGTQLLSTSFDSTARIHGLKSGKMLKEFRGHTSYVNDAIYTNDGSRIITASSDCTVKVWDVKTADCLQTFKPPPPLRGGDASINSVHLFPKNADHIVVCNKTSSIYIMTLQGQVVKSFSSGKREGGDFVAACVSPKGEWIYCVGEDKNLYCFSYQSGNLEHLMKVHEKDVIGITHHPHRNLVATYSEDCTMKLWKP >CDP10744 pep chromosome:AUK_PRJEB4211_v1:10:9520160:9522623:-1 gene:GSCOC_T00031555001 transcript:CDP10744 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKIDNGQEEQPIPLLTPYKMGPFQLSHRVVLAPLTRQRSYGNVPQPHAALYYSQRTTEGGFLITEATGVSDTAQGYPDTPGIWTKEQVEAWKPIVDAVHAKGGIIFCQLWHVGRVSNYGAQPHGQAPISSTDKEITPHLPTSTTGYDIKFSPPRRLRKEEIPDIVNNFRLAALNAIEAGFDGVEIHGAHGYLIEQFLKDKANDRTDEYGGSIENRCRFALEIVEAVSNAIGPHRVGIRLSPFTDFMDCADSNPKALGLYMAEALNKYGILYLHMVEPRIRVNENSKRHDDSLLPMRKAFKGTFLAAGGYLREDGNEAIAENRADLIVYGRWFLSNPDLPKRFELNAPLTKYDRATFYISDPVIGYTDYPFLETTTA >CDP16844 pep chromosome:AUK_PRJEB4211_v1:10:14566122:14567165:1 gene:GSCOC_T00019391001 transcript:CDP16844 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKAPPQSMELLCVGRLEIVRPKPVGFLCGSIPVPTDKAFHDFDSAALIPSAQTVQAPRYRMIPTETDLNMLPIPQTPPEKVLPVAAAQSKTSGGDRTVSL >CDP00950 pep chromosome:AUK_PRJEB4211_v1:10:7549479:7554028:1 gene:GSCOC_T00034413001 transcript:CDP00950 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKGDIPDIIPCTSLIRGFCKLGKTKKATRVLEFLEESGAVPDVITYNVLISGYCKSGEIDNALKLLDRMSVAPDVVTYNTILRSLCGSGKLKQAMEVLDRQLRRECYPDVITYTILIEATCRESGVGQAMKLLDEMSAKGCKPDVVTYNVLINGICKEGRLDEAIKFLRNMPSYGCQPNVITHNIILRSMCSTGRWMDAEKLLTEMLRKGCSPSVVTFNILINFLCRKGLLGRAIDVLERMPKYGCTPNSLSYNPLLHGFCKEKKMDRAIEYLEIMVSRGCYPDIVTYNTLLTALCRDGKADVAVEILDQLSSRGCSPVLITYNTVIDGLSKVGKTESAIKLLHEMREKGLQPDIITYSSLVGGLCREGKVDEAISFIHELEELGIKPNAITYNAIMLGLCKARQTDRAIDFLAYMVSKGCKPTESTYTILIEGIAYEGLANEALELLNELCSRGVVKRSSAEQVALVLSFNTFLFHFSFLFHFFQLFFVILFFNLALIYWTGVRSKTMVRYSSTHEDAKRGEKGLYSCWGRLKMMLPWIKSREKGRQNHHAMQGGKLLAGCDCVSIVEPKGQRPAAVSFRYSPLSYAQNFDQWVEDNDEDAILVDFSSRYAASNSSISRRETK >CDP07334 pep chromosome:AUK_PRJEB4211_v1:10:822062:824314:-1 gene:GSCOC_T00024568001 transcript:CDP07334 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATPMAATTPVKVYGPPLSTAVSRVLACLLEKDAPFQLIPVNMAKGEHKSPDYLKIQPFGQVPAFQDQSINLFESRAICRYVCDQYASQGYRGLYGTNPLVKASIDQWIEAEGQSFSPPSSLLVFQLVFAPRMKIKQDENVINQNVEKLSKVLDVYEKRLGESRFLAGDEFTLADLSHLPNAQYLVNGTDKGELFNARKNVARWWDEISTRDSWKKVVEMQNSPPA >CDP00961 pep chromosome:AUK_PRJEB4211_v1:10:7290060:7292507:1 gene:GSCOC_T00034431001 transcript:CDP00961 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWDQTTKSTLTQIPLLTTKAGPRDGAAWTQRLKEEYKALIAYTSMNKSNDNDWFRISAANPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPATAPELELPQLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSSMIKHKDDAASSSEV >CDP01222 pep chromosome:AUK_PRJEB4211_v1:10:4389344:4394312:-1 gene:GSCOC_T00034795001 transcript:CDP01222 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFDRWEKDPFFSAAEEVQESADRMESTYRTWIHSMKDSGSLWNSDELRRDLRTALGTTKWQLEEFERAVSSSYTNNAADDAKDRHREFAIAIGSQISKVEASLNESAVSRGKPPNPWVHLDEGESDELALFLSGPSVSFSGDKNFGRLHGREQQIANLQEGDKQSELKHPTNSSHSLELGQLESKEVKLPGHRRTASANADMGYWKVSVAEDALPQSSPDVQSDRPPPKIPSFSGFLNTIESAAKLKWSKNGYRKLKLSDHHPEADTPLPRTQPLTRGINLCYEKSKSCLEGCDECYDKQLYGWYGAIQRQLQRSQYHMQYSRPMQVIFSAVILLCVIVLLASRGF >CDP07296 pep chromosome:AUK_PRJEB4211_v1:10:576441:578982:1 gene:GSCOC_T00024523001 transcript:CDP07296 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGIHMPKSRAPNQENRTIAIIGAGVSGLLACKYALQKGFSPTVFEARSSIGGVWSRTCDSTKLQTPKNHYRFSDFAWSDSVKEAFPDHNQVREYIYSYALHFNILPHIKFNCKVVSIDYLCTSSEEHMSSWELWAGIGEAFSPKGKWHVSVQDLQTSTATNQVYQFDFVILCLGKFSGLANIPQFAMNKGPEVFDGEVMHSMDYAAMDNAAEFIKNKRVTIVGFQKSAIDIGAEIAKVNGAKHPCTLLFRTAHWSVPENLVEFIFRNLNRVSELTVHKPDEAFFLWLLAALLSPLLWIYSKVIENYLKWLYPLAKYDIIPEHGFLGQIRSCMLTRPEAFASVFKSIDFQKYLLGSSAPFYRECIHPKIPQLAIVGYSESHATIYTTEIRAKWLAHFLEGNFRLPTIREMEHNVMRWEKCMRRYTDPNFKRGCVSVMLQIYCNDEICRDMNCNPRRKKSILSELFAPHGPSDYADLSSLGREE >CDP12015 pep chromosome:AUK_PRJEB4211_v1:10:22643865:22647562:1 gene:GSCOC_T00035368001 transcript:CDP12015 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHFSVCWRLRPAFFDFLSFGKLKAKGMFKSWFFQSFNGIIVVGSLLFFVAAISSGYFFMFPTFQPEMNNPDNRTSPELVIDMNSTSTELDVDIDSALPDLDRECNVFDGSWVADDSFPSYNASNCPFAERGFNCLANGRRDKGYLKWRWQPKNCNIPRFDVEETLEFLRDKRVVFVGDSLSRTQWESMVCMLMTGVNDKRSVYEINGSKITKQIRHLAVRFGSFNFTVEFYRSIFLVQPHQAPKRAPKRVKVALQLDRLDDISKEWVDSDILIFNSGHWWTPGKLFDMGWYFQIGGKMKLGMPISGAFKTALATWKSWVESRINTRKTRVFFRTFESTHWSASRQHCKVTEQPMSKVNGRDKHPFSDAIIDAAKNTSIPVTVLHVTPMGAFRSDAHVGTWSDNPSVPDCSHWCLPGVPDVWNELLFSFLL >CDP07357 pep chromosome:AUK_PRJEB4211_v1:10:953761:955180:1 gene:GSCOC_T00024597001 transcript:CDP07357 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDKTVPAALLRMHFHDCFIRGCDGSVLLNSTAMNAAEKDGPPNISLHAFYVIDLAKKEVESQCPGVVSCADILALAARDAAALSGGPSWNVPKGRKDGRISKASETRQLPAPTFNLSQLQQSFFQRGLALEDLVALSGGHTLGFSHCSSFQNRIHNFDTAHDVDPSLQPSFAARLRNVCPMHNKVRSAGATLDSSATAFDNIYFKLLVLGKSIFSSDEALLTATTTKTLVSKFANSQEEFYTAFVKSMIKMSSISSGGGQEIRLNCRVVN >CDP07594 pep chromosome:AUK_PRJEB4211_v1:10:2691221:2692616:1 gene:GSCOC_T00024895001 transcript:CDP07594 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAPPASTGKRLLIGLTSACLELAVLQSKKERTKANTSSPTAAALGYKRSNSIAKFWVETWWYAYATLILLLSLPCI >CDP01227 pep chromosome:AUK_PRJEB4211_v1:10:4371760:4373656:1 gene:GSCOC_T00034800001 transcript:CDP01227 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIEDQELVLVLSGLWNIAMTEPQDGELPSLGVFECMASLIERSVNDVDWLLSHQNIYIAYYAAHITGSYTINNAEYAVKAIDAGVIPPLLELLRGKMSWVEQRVAVRALGHLASYRTTFKAIALYEEEVVRLAMRLASTCLEVVYKMFVGVKDKKKRLRYHCDLLTRGVGLGDLEIENRKAEEWASQLQCWCLHLLSCFAIKGRSLDLMCKPEFLKDLSEMWGGLANGTSPSGIGLIRILCYSKIGRRSVAEIKQVIENLCNLSRSSDDWQYMGIDCLLLLLKDPDTRYKVMEIATLYLSDLIELRDIGGRSNIGEKIARVLLGDFKLRNSKIIMSNSVQRALEETWNLNIKKRMNEKTMSAEKLEEQRVLVALIKQQGNHSFELGKIQEAQLKYTEALELCPTRLKKERLVLYSNRAQCSLLLKDPDAAISDTTRAICLSNPPNSHGKSLWRRSQAYDMKGLAKESLMDCIMFINGCIKSEATKHLKIPYYAVRMICKQMDSTWLFKAAQSKTLSKRAETEEQSQKDDDLRIYQDKLPSLKISIQGKGFMAGLSATSQEPLHGNWKGRRNVDRAGGSGESFSNQ >CDP01226 pep chromosome:AUK_PRJEB4211_v1:10:4375034:4376639:1 gene:GSCOC_T00034799001 transcript:CDP01226 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAVGAARANGATASKNFQSVALVAGVTGIIGNSLAEILPLSDTPGGPWKVYGVARRPRPSWSLDHPVQYIQCDVLNADDARAKLSPLTDITHIFWVTWANRPSEAECIQVNDAMFLNVLNAVVPNAPNLTHICLQTGHKHYIGPFESLGKIKPHETPFVEDVPRLPVPNFYYSLEDILFETCKKKQGLTWSVHRAAVIFGFSPYSMMNVIGTICVYAAMCKHEKVPFKFPGTKAAWNCYSVASDADLIAEHQIWAAVDPYAKNEAFNCTNGDLFKWRQLWKVLAEEFELEYVEVDENEEFVSMSEWMKDKGPVTTCWFADVIFSGECMLDSMNKSKEHGFLGFRNTTKSVISVIDKMRAHKIVP >CDP01255 pep chromosome:AUK_PRJEB4211_v1:10:4166760:4168555:-1 gene:GSCOC_T00034839001 transcript:CDP01255 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKPMVLLWFWNLGSSGTFLLGYQQGIQASHPWQGAPALLAFWQFDISPFLEQDGELNIPFNYLQNHSAEGYPYYQRVAGKKNLLKKKTDVSSSSYMFKLLRFPVDSMARIQLKHDTQCLDMDNFFQKLVDEGRKIRSETVQAGKSNNERMTTLIDVMLSLQETEPEYDDSDVVIKSVILVRILASRYEYCIMRVANIWDDPTKFKPERFDGRVNEGYKLIPFGAGRRACLGTNLGRRVVALALGALIQCFD >CDP11478 pep chromosome:AUK_PRJEB4211_v1:10:26589823:26592065:-1 gene:GSCOC_T00033751001 transcript:CDP11478 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDCSMSSLLCAEDDSSVFGDDGDEVFDYGVVTEEFDDIWHQGKHQKNNQNMGFYGGKEILIGLPLPSEEFLALMIKKECEHLPATDYLKRLKNGDLDLGARQIAMDWVKKVHARFNFGPLSLYLALNYLDRFLSVHEQPEKPWAMHLLAIACLTLAAKMEETEVLLIQDILVGDSKSFKAKHVQRMELLVLGELKWRMQAVTPFSFLDYFLEKIGGGDQSSSSSSITRATQLILGTFKGIDFLEYRPSEIAAAVAISVAGAAQAVDVETAISALLIQHVQKDRVIKCVELLKEVSSLGDFPSASAPSVPQSPIGVLDAACFSCKTDDAAVGSCANSSHTSPVAKKRRLEDL >CDP11412 pep chromosome:AUK_PRJEB4211_v1:10:25883216:25885114:1 gene:GSCOC_T00033655001 transcript:CDP11412 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEKHHHHHLFHHKKEGEEENIVDEAAAHKYEKEEKHHKHLEKIGELGAAAAGAYALHEKHEAKKDPEHAHRHKIEEEIGASLAVGAGGFAVHEHHEKKEAKKEEEKAEGKHHHHLF >CDP19631 pep chromosome:AUK_PRJEB4211_v1:10:25136301:25138160:-1 gene:GSCOC_T00006183001 transcript:CDP19631 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYTNVFMESLADPTEGFTNVPLTEYNFEIQRPYDIPLNQRYSYENGTRRLWVYADDKPHNPNSHTMPRTEIRIRGLDYSSGVWQFEGYGFVPNGTSGATIVQIHGASHGATTIMLRIIDGNMNYYCGAVRGILATQMYDKWFKVNLIHDVDGGGVTVYIDGEQKVETKDRGPGDLYFKCGVYAPSTNISYFMESRWRDIKIYKK >CDP10995 pep chromosome:AUK_PRJEB4211_v1:10:22374059:22376949:-1 gene:GSCOC_T00031993001 transcript:CDP10995 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWQQQQHLLILHLHMNRVIVGGPTRTHIHNHPLFHYQQLKWTKISGFGFGFLLQRKNKGAAGRRRLRVLAASQLSNSFSLNSGFNSQAIQTRELSLSRLVGPLPGDIAEVEAYCRIFRAAELFHNALMDTLCNPLTGECNISYDIPSEDKSLLEEKMVSVLGCMVCLLNKGREDVLSGRASAVNSFRESDVNLMEGTLPPLASFRGEMKRYCESLHVALEGYLTPDDARSVDVWRKLQKLKNACYDSGFPRRGDDPCQTLFANWNPVYLSTSKEDTASDSEVAFWRGGQVTEEGLEWLLERGFKTIVDLRAETVKDIFYETKLHEATLSGRIEFVKLPVEVGTAPSMKQVEEFAALLSDSSKRPIYLHSKEGVWRTSALVSRWRHYMARSRSQTVPRIAVTSMDVQSLDTKGMEKYCISQKSEGGMEYDDQHGSRPSKLNETSSSSGEYLNGYSTLQQQWK >CDP11960 pep chromosome:AUK_PRJEB4211_v1:10:23498613:23499554:-1 gene:GSCOC_T00035289001 transcript:CDP11960 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCISKCRPKKKFKRDCNCMEEDFSHVQEKLVISQPPLPIQNPVSPSPSVTSSTSFSSVSCANSSTSSGFSNASSLSSSSSCSSSIPVSKDRSFSNEFLWSCVKENPQIIGRNLVKGNLEKSSMNMSSKVHPCKFDLPIKQAIPERHGGSTPKKRARANSPTLIRQKSFRKEPEHKVSSSPAYQLPSRTLMMRSPSPSRRFTGDSFRDSPMNTAKEIYSRRAAVPKANSINPIPTSSSIMRKDSFRIPPSPSYDLGKSNTILKSREALITQQIGSRNSPIAVGQKEANQDVESMVVEDVNNPLIALDCFIFL >CDP11449 pep chromosome:AUK_PRJEB4211_v1:10:26309900:26313688:1 gene:GSCOC_T00033709001 transcript:CDP11449 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHKMSLTYKLCLGFSAILNLLFFSFLIRSNNSELGWSRKASEEAEAVASLSCSGHGRAYLDGFVLDGGKPICECNSCYTGLDCSQLVPDCVVDVDSGNPIFLEPFWRQNAASSAIMMAGWHRMGYEFEDGSLISKELEKKIRKLHATVGNAVTEGRYIVFGAGSTQLLNAAVHALAADASSSPAKVVASAPYYPVYKSQTELFESAKFKFEGHTSSWMNSSSINFIEFVTSPNNPDGQLKKAVLQGPNAKQIYDLAYYWPHYTAIASPMDKDLMIFTLSKLTGHAGSRFGWAIIKNKAVYERMVNYMDLNTYGVSRETQLRTLKLLSVVLEGNGKQMFSFGYEAMRFRWEKLSKILSASKRFSIQQLTPQQCTFSNEVRAPTPAFAWIKCEKEEDKDCHATLSAAKIIGRAGHVFGVDSTFVRLSLVNGKDDFELLQHRLEMLVFQERLVNLMAEFTPGGHVTRKSNFSVALNATYVSDEDFGYYSNQEIASEDSRNSHVQETLQTLY >CDP07377 pep chromosome:AUK_PRJEB4211_v1:10:1089710:1092893:1 gene:GSCOC_T00024618001 transcript:CDP07377 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPGGSGLDDWSSSSSANHCFFSGVTCDQSTSRVTSLNITNLPLLGSLPPEIGLLDKLVNLTLVSTKLTGPLPPELSKLTSLRFVNISANNFNGELPGQLVMKMTQLEAFDCYNNDFTGFLPTAFVNLPKLRTLKLGGNYFYGEIPEAYSQFQNLEILALQGNGLSGRIPSSLARLPKLQNLLLGYFNSYEGGIPPEFGSLSSLRLLDLAGCNLTGEIPPSLGKLKLLHTLFLQQNKLTGHLPPQLSGCTSLMSLDLSFNNLTGEIPTEFSLLKNLTLLDVFHNQFHGPIPSFIGDLPNLEVLQLWQNNFTLELPKNLGSNGRLLILDVTSNHLTGTIPRDLCKGGKLWRLVLMQNFFLGPIPEELGECKSLITFRAKKNYLNGTIPAGIFNLPLLDMIELSDNHLTGELPMQISGAALASLTLSNNLITGKIPPALGNLAELQTLSLDMNDLSGEIPEEISKLKKLSLLNLRGNGLSGELPASLADYPKLTCLDLSQNQLHGEIPRDVSMLKDLNALNLSRNQLTGEIPGELGLMKSLTLLDLSFNNFTGTRPMDGLLKFMGDRPFEGNPNLCPPLVKICPSASGSAHGSNRTRTSTRVIAAIVAVTLGLLFAVTWMMIRRRKYEKSMAWKVTAFQRLDFKADDVLECLKEENIIGKGGAGIVYRGSMPNGVDVAIKRLVEHGTSRSDHGFTAEIQTLGKIRHRHIVRLLGYVRNKEVNLLLYEYMSNGSLGEVLHGTKGAHLQWESRYRIAVEAAKGLCYLHHDCSPSIIHRDVKSNNILLDSDYEAHVADFGLAKFFHNSGASECMSSIAGSYGYIAPGK >CDP01183 pep chromosome:AUK_PRJEB4211_v1:10:4842133:4854785:-1 gene:GSCOC_T00034737001 transcript:CDP01183 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVTKWKLEKNKVKVVFRLQFHATHIPQSGWDKLFISFIPADSGKATAKTTKANVRNGTCKWADPIYETTRLLQDAKSKQYDEKLYKLVVAMGSSRASILGEAIINLADYADALKPSVVALPLHGCNHGTILHVTVQLLTSKTGFREFEQQRELRERGLQTGDKHDESSPGKGAHLQVTANEQMDKDAIRFRPRSDARELSSVEEEMGNEEYGDSTVGFDGSSNTSESVYAERHDPGSAHEIDSLKSTISGDMNGVTHSPGSSDSVQGWGSDFSVDNDLATAYEENNRLRGSLEFAESSFFEFKLEVRALQSQADEIGIETQKFSHILATEISSCEELAREVSLLKLECCNYKNDVERLRSFKLSPQIVTGGHGHIEHYHLLQDIQLRWTKGILVVEDMIRELQSKIYLGFHERDSRFLHSELEALLDTLQDLKHGTGEAISLLNAVLGKRNDTKEIIETSLCRSEQFASGVGFEVEASEPEIMLRNFNIPPLVSQETESIGAIDAMRKHIVDLVRELDGAKVEKEGLARKMGEMECYYEALIQELEENQKQMIGELQTLRSEHSTCLYDISTTKADLELMRQDMNEQILRFAEERREWDALNKELERRATTSDAALRRARLNYSIAVDKLQKDLELLSSQVLSMFETNENIMKQAFSETSQPSFPGYLDVVQNFEEFDALKVWRSQNQNMGVRKQLGGDVLLEDLKRSLCFQEELYQKVEEELMEMHSENLHLDIFSRTLRETLSEANSGMKILKTGIDELMEKLRVSNESKNLLIVRLQAAMDDVHRLNEYKTSCAARFNDLAVQNQIIEAKFGSMIEENSLLLKKVADREAIEMECKSIQHQYEACLAEKTELSILLKQEASVSNKLQNEVSLLNEELGTLKIEFSELKSLKENLQETVSFFQGKVATLLAFYNKHFTGLSLLSDTHSLDSNTKSCRDIILQLEEMQHNACSKIHQLMEENSNLQNERASAIVSLRAIRSEFLAMKQKFKDNIQHAAFKLDASSAAVENLQSKLETVSNKLLRSSQIEEKYVEQHKELLADLATWEVDIQNLISKDGCLVKEILNLDTLAGEFERSESTVAELVQENQNLFVSLQDKTVESAKLASEVNYLKENLQSLQNELDTERGLKDKLKITVGDLAAQLNKEQDKLQEFSQQQAELANLRQLVADVELHKSRLCHLLSEGDEKLKAHLQNELDMERGLKDKLGIAVGDLAAQLNKEQDKLQEFSQQKAELVNLWQLVADLELDKSRLYHLLSKGDEKLKAQTSCLIGLESQLSDMHEYIIGADVKAVFLFSMYKIRIQELEQQLRSSDLCFRELQKKHFDLDAMLNCSLANESRCSKENSNLVKAIESVRSDFEASAVQNRVLSDANRDIMVQLEEYKTKLASLEVKMSEDKDQHLNEVEQLKSKLADAEEETVSLALAKEQLEIMMIVLKHKLDEQLACISLLEEYEDKLMTLRSTNTDLSNKLSHQILKTEEFKNLSIRLKELKNKAEAELLLSHEKREPQGPPVAIQESLRIAFIKEQYETKNQELKQQLAISKRHGEEMLLKLQDAVDEIESRKRSEALHSKRNEELALKLLALDAELQSVLSDNREKLKACDRMKAELECALLSLECCKEEKEKLLMSMHECEKEKSSVAAELSLTKGKPENVAFSVVTCKEETEGVDKVQLLLDESTGNCFPNAVDPDNLIDGEQVEDANTIVVCETEDSNLALNAQILKDDAVYKVMHETPRHALLERELQQSHVKQNSYYVCSDSLQSSINHLHEQARLLLERMKNENSLFTNDLHIDSDCQNLRSELMCLDKANEELRSIFPLYNEISNTGNALERVLALEMELAEALRAKHQSKSHFQSSFLKQHSDEEAVLKSFRDINELIQEMLEVKGRYAAVESELKEMHERYSQLSLQFAEVEGDRQKLKMTLKNMRASRRLMHPNRSSSALNADLSS >CDP01016 pep chromosome:AUK_PRJEB4211_v1:10:6615256:6617109:1 gene:GSCOC_T00034501001 transcript:CDP01016 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLGDFLQEQQEPFILEVYLLERGYSSSSIGTCLKRSVSSGLRRNRKFIPNCSRIVRALFSRTLTVTEDQKVSNISGIRSEGVCVSEIDNIKQEMADDDKFSSASSATMFNSCSESDGEDAHYAWRKDGFWGIPDYYQAIKDENEVTADRRFRLENGEDGKQLSPVSVLEHTQSADGSPFCRKDGDARSHKQISTSVCACKSEKPFCCGFGEFCKPDASSQYAKNRKAVQKSKLLLFDCVREVVEKHKKYYPEPEGQQIQQIMGHEKLWELICQNIWLWSKESIHETNTAHLLHLDLLSSAQEWSNFEHHRLEIGIQLADAMLEDISYEVITDMTKNS >CDP01037 pep chromosome:AUK_PRJEB4211_v1:10:6272607:6275303:1 gene:GSCOC_T00034529001 transcript:CDP01037 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHLCKSLIFHSLKNPAHLIHKPYGHGAFSLSVLFFSSFKEKTSRILNPKIIALLRKHDFSSEAATTVAYKLAQLKIPQKYGYIQKWDSVLSFLKDSGFSRAHLETLVKKDPQVISLNLDKSIKPKIKILQDLGFLTPDIADIISVDPWILGRSANNAIAPSVMVLRNLLGSTSEVAKVLRNAGFGVYLKCDLEKTMVPNIQILESCGISKPRIIRNIYIFPRFLTQRPQRMKKFVEKLEKLGCDAKSKMLLHALRSVASMSPLTWKLKWDAFRDLGFSEDEVLSMFQRRPQAFSVSCRKIKETVNFFLDSGAYNLEDIFDQPEVLTCSIEKRLKPRLNALQILETRNLLKQWPSLSTLYKTSNSYFLKKFIFPYVDEVDEFNLLLKGTWAKDISQSDYSR >CDP07364 pep chromosome:AUK_PRJEB4211_v1:10:997226:998382:1 gene:GSCOC_T00024605001 transcript:CDP07364 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAVKSVVKSVGEYQYPWRDKLVKYKDELSKGVWGYWELGAWKPLGISARRRARLRKEVLLAGEDWDYDPQRKEMRNKRKGHKCDRIAAEKRENTAALMQKMPAMLADYRKRRWERKMKEEDDAARRAVQE >CDP01326 pep chromosome:AUK_PRJEB4211_v1:10:3460820:3465593:1 gene:GSCOC_T00034926001 transcript:CDP01326 gene_biotype:protein_coding transcript_biotype:protein_coding MQELGTFQDQRSGFGARDFSPDSVIFTADSNFSIFSSNSASVDRCSFASDVPDQDSCLSDNSQSQHLAGHELREGSGSRGGPYADPDPHKFTVHNKNSVHLCARKQKAKVQELESSEVETEDDNSIIDSARNSFSQALKECQDRRSRLDASRKKPDRRRPASLDLNNSVISTAANSSSPSFGVMKKHSAVNRRTGTFPSPGTPSYRHTSVGVQKGWSSERVPLPNNINRRHVSTALMPCNNGRTLPSKWEDAERWIFSPISVDGPVRQSVQQPQRRPKSKSGPLGPPGIAYHSMYSPAALMFEGGNVGSQMANSPFSAGVMVAEGLSLPGYVGGNLPASIIEPCMARSVSVHGCTELISQSSLPVYQALRTDEKLDDIEQSATNVSRTVSRRDMATQMSPESSHHSSPRHESSFSPSSPMLPIVELHSMHSSKPEVRDVQVDERVTVTKWSKKNRAIVPGRGSGNAREWKRKSVDMRAAGWDISDTEKTISKIKREEAKIIAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKKAQEMRSSVLPNQSHQVARTSDKAISFPRTRHIGSFSGCFTCHAF >CDP10922 pep chromosome:AUK_PRJEB4211_v1:10:20937306:20940546:1 gene:GSCOC_T00031883001 transcript:CDP10922 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTRAKPEAQKIVWNEKDRKFETEDKEAYLQYQLRNGGKVMDIIHTYVPSSKRGLGLAGHLCVAAFSHAQSNSLSVIPTCSYVSDTFLPRNSSWDSVVYKEELKSSI >CDP07492 pep chromosome:AUK_PRJEB4211_v1:10:2005431:2009860:1 gene:GSCOC_T00024767001 transcript:CDP07492 gene_biotype:protein_coding transcript_biotype:protein_coding MRSECINYSYSYESKLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAMGSRSQAAKTYMERKFETFTESSRENLVKDALFALRETLQGEKLTSSICTVAVVGVGESFHILDKETVQALINEFEIAGEEEAPADEAAPQDQGGDAQAGAADQGPTDQGVAPMDI >CDP01230 pep chromosome:AUK_PRJEB4211_v1:10:4346933:4348632:-1 gene:GSCOC_T00034803001 transcript:CDP01230 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVFFTLLLLALVLNSSFIPATMAGSSFCDSKCGVRCSKAGVQDRCLKYCKICCQECNCVPSGTYGNKHECPCYRDKKNSKGKPKCP >CDP00946 pep chromosome:AUK_PRJEB4211_v1:10:7604879:7605379:-1 gene:GSCOC_T00034405001 transcript:CDP00946 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVVIFSSTGKLYDFSSSRSFTFP >CDP00942 pep chromosome:AUK_PRJEB4211_v1:10:7648026:7652878:1 gene:GSCOC_T00034400001 transcript:CDP00942 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSIFFSPEIFPFLVACIKVKKTDESVLVLQKEPTSTLNEPMAATGVQLHIEADHAVIDNGIVKVTLSNPGGLLRGIEYGGIDNVLELNNQDLNGGFWDLNWSEAGSPGTRGKFDTIEGTSLKVIVQTEDQVELSFTRIWSPSVKGDQAPLSIDKRFVVLRGFSGFYSYAIFEHLKDLPGFNLNTTRIAFMLRKDRFHYIAITDSRQRFMPLPDDRLPPRGQQLAYPEAVLLVDPIEPEFKGQVDDKYQYSLENKDNRVHGWICFDPPVGFWQITPSNEFRTGGPPKQDLTSHVNPTTLAIFVTSHYAGEDLIVKFEDGEVWKKVFGPVCIYLNSAPKGAEAQDLWDNAKEQMNKEVQSWPYTFPASEDFPKTDQRGTVRGNLLVQDRCVNKEAIPAAGAYVGLAPPGNAGSWQRENKGYQFWTTVDQSGSFAISHIRPGDYNLYAWVPGFIGDYKYDAAIGISPGSDIDVGELVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPKYINPLYVNHPDKFRQYGLWERYAELYPEKDLVYTVDVSDYKKDWFFAQVNRKVGEGTYKSTTWQIKFKIDNVDQTETYKLRIALASAHNSDLQVRVNDEMANPPLFSSGVIGTDNAIARHGIHGLYWLFNIDIPGSELLGGDNTIFLTQAKSSSPFQGIMYDYIRLEGPSSLNP >CDP01261 pep chromosome:AUK_PRJEB4211_v1:10:4121019:4124740:1 gene:GSCOC_T00034848001 transcript:CDP01261 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIANTLRLDDDDDDADADADRHEYDAGVIKKPQQQHLNDVAQHHTSIFLHPAESLDLEEVDLEDRPGKSNSYHSNSNNNNVVGDDTSNFEDIGDRSTNNQGRGVKEDLSEFRETLTRQLWGVASFLAPPPPPPPPPPLPRPRSFNWLESDRRRTELAERSGSGDEEMDGQFGQFRGFPQSFLEVGMPEEEEEEDDLLADAVGITEEALDFAENTAHHPETWLNFPLSEEEESDDFEISDLQFKHARAIEHLAPRLAALRRELCPAHMTEGYFWMVYFVLLHSRLDKHDVDLLTTPQLVKARTMWMHELQKQTKPESHWLGRDTFDLEERNYFPYESFDVASCEDNTPRFMSQTAFPLDSTGLLVTSDSEPEKHPHESREIQFIDKTGHEENLTSATGNELLSGPPHKVPIEEYDEHEDDWLDDDSELEGYTGTAITLVNEEDVSFSDLEDDLDIMPTKSKVLVKD >CDP07515 pep chromosome:AUK_PRJEB4211_v1:10:2158765:2160716:-1 gene:GSCOC_T00024794001 transcript:CDP07515 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEIGRSNDVQSWPQLELPDFLYTDAIQEIHRAIQNDWDATRQSACQTAAGRALWKHAVHDPLAELLAGETSLRTAHEKIKKDRLNNAREVSGVILAVRTLWFDSKIEAALNSFGGGAAQIVLLGAGMDTRAYRLSCLKESSIFEVDFPEVLQTKTTILQAAADSTTENQNPLLTAKSLNRVPADISENDWLQKLQKVGFEPRKNTVWVLEGILYYLTHSDAVEVLKIIAENCNLTQTVLLADFMNKQSTTSCGSVFRFYCDWPEQLLPSLGFSDVKLSQIGDPDAHFGLLHDPLNLFNKLRALPRSLQTHPDDGTPCCRLYLVQASGLPNQTIQ >CDP01092 pep chromosome:AUK_PRJEB4211_v1:10:5682466:5683825:-1 gene:GSCOC_T00034605001 transcript:CDP01092 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSVPSKITLQFLLLAMSLNLVFAGRVLQEQETMLFQYHKGPLLMGKITINLIWYGNFRPSQRAIVSDFITALSSPRSQVQPSVATWFSSTQKYYNLIKATNSVKLVAGSQVLDEQYSLGKSLKMQQIEQLAAKGDQLNAINVVLTSSDVAVEGFCTSKCGTHGSLHSKSTVAKGSNNQKFAYIWVGNSETQCPGQCAWPFHQPLYGPQSPPLVAPNNDVGMDGMVINLASLLAGTVTNPFGNGYYQGPATAPLEAASACPGIYAKGAYPGYAGNLLVDSATGASYNANGVNSRKYLLPALYDPTSNSCSTLV >CDP07344 pep chromosome:AUK_PRJEB4211_v1:10:878281:879853:1 gene:GSCOC_T00024580001 transcript:CDP07344 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLPLDLRDFLLRARVLRLYRQALRTIRRAPVDSRAELRDIIRQELETNRNCNDKQKIRFLISDGLQRLKGLDETLDMQGRRG >CDP10942 pep chromosome:AUK_PRJEB4211_v1:10:21516378:21518682:-1 gene:GSCOC_T00031915001 transcript:CDP10942 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVGSVLGGVSEVLGKLLGHPLDFLSGKSCSSKCGPTWDLTCYIENFCIAQLLKLGLVVVLLYFVLVFFYLSYKLGICQCICHIICRSIWACCATWFSVLEFCCTFLCSKLDKHNRRRRRRRRDIEAPATTSEDDLNDDEDDHGGRFTNQATKHISKRRRLLSGQKRHYREEHMRRALRPNSHRVHVGVSEESFRSKRRNSSKYHDHVNPVDHIRVTRTSKFAQKRGSFKGSMRH >CDP18268 pep chromosome:AUK_PRJEB4211_v1:10:24628385:24630037:1 gene:GSCOC_T00011993001 transcript:CDP18268 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYIVGLVVAGVFFWTILFLLTKGIFQERSFDFSLRVVSSIHAIIAVTLASLSIQDWSCPIFPLASKSSPMQIQTLAISGAYLIFDLACCQFSDNINLDTIFHHLVCILGIWAGLASERYGSEMVAALWLGEISGPFLHLRDLLKEMGYRDTDLNLAIDILFAIIFTAARMIVGPYLTYVFLFSDSPLLMKAPALGLQLVSAFWAYKIVRMVIYKLSSKGMPSSQPAVDGEASYKCCVPPLSLRRLPQYC >CDP11975 pep chromosome:AUK_PRJEB4211_v1:10:23097015:23099190:-1 gene:GSCOC_T00035315001 transcript:CDP11975 gene_biotype:protein_coding transcript_biotype:protein_coding MNAASFLNHVLRNATSTTGHRKPPQSTNAKNLTAAILNHLRLGRTSKAVSILFSSPVPFDFSLYARLFQLCASSRAIVEARKVESHLVTFTPNPPTFLLNRAIETYGKCGCLADARELFDEMPRRDGGSWNAMITAYSHNGCPGKALDLFSHMHKSGIYASEVTFSSVFGSCASVLALWLAKQVHGLIVKYGFCGNVILESSLVHVYGKCGMMSESRRMFDEIENPNSVSWNVIVRRYLEMKEGEQAVLMFSKMVRVKVRPLNHTVSNALVACSSIHGLKEGVQIHGYAIKINLEVDEIVSSSLIDMYAKCGDMESASLMFKLPSSKNLIAWTAMVSGYGMSGKIREARELFDEMPERSMVSWNAMLSGYTHFSKWNEALDFLSLMLKETRAVDHVTLGLVLKVSSAIMDIELGKQVHGYVYRHGFYCNLLVSNALLDMYGKCGNLRCARVWFYAISHLRDEVSWNNLLTSYARHELSEETMTMFWKMLGETMPSNFTFATLLAACANIFALEPGKQIHGFMIRNDYEMDIVITGALVDMYSKCRCIDYALGVFKVADLRDVILWNSTILGCFHNRRYDKVLELFKLMENEGIKPDHVTIQGILLACIAEGCVELGRQYFDSMTDKYCIIPRLEHYQSMIELYGRSGWMDELKDFIKKMPFEPTEAMLIRFFDLCQEQKHFKLGEWAADQLNRLNPTIPFQIGTADST >CDP07243 pep chromosome:AUK_PRJEB4211_v1:10:121208:127924:-1 gene:GSCOC_T00024449001 transcript:CDP07243 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETHLVPLELPDLSHNNSPTSATTIATSSPSKVPSLHIQADPESAPFPNCNGANGIHHHRHDGDDHDDDAIPKYDAGDNSYTFVTRNDDSPLPLDMNHHLNGTAHVQSCHSNLIDLQIAKLAAETDANQVASSINGVSVLHQEGSVVASVVGDAFLVGGPSTAASEVVLQNGGGAGGAKADAEPCQLADCQIDSKLPSPAPRENQESDVAVFHLQADADLVESRQAQYPSLHVAKPTDGCQKSEGNDFDLISPLQEEIQEFQHGSLESSPPKSPEFEVSKPIPTNQFHSESSDRVSGAQDPENGQSDASQFVPHQTELQEANLEDQIGIDSTNVIEERVQSGVLVKSKENSETSEFHKSGQRDEGEETKMELLSEDNQNPESIVGSGKNGEPSFDQELEAVNSPNDVDLLSVTASGPVSNESKDHFPVSSSNCSVPDNKESYESTDDSEIHSKESNNRAVDGEKSLELLQFATDADTLVPATSCVKTDTVGNPVESIENVQDAAKEQEVQHEIFPSTENVTSRDDGVCKPETEDIDNAGAQGIAEVPDISRQHEIEKVACSSCEHVVGEDKVIKSETEVASAISLPISDSILEIIQSKGVNNDDLVGVVSILPNTTISGSEFQLGTDNSKEKMQSFQVENMHLKPEVGVLDDECGDMRSVSSVEEVKDEVENSSGTDGADMACSNSVASNAEVSDSSVVISESAPNLGPEFKDVEAQEDKLSLLDVKIDNKLICQERKNMEKSHENEISTSLSENSNPDALAGQNVGFGPLTRSFCYLIRMPRFDDLKIREQIQLAQLQVEEKTKHRDAFRLEIQKHKANCQSHAAEYEDALSKARASKRSVKSKRAEIDSIQSVINKVKNAISVEDIDARIYSMEHMIQHETLPLKEEKQFIREIKQLKQLREQLSSNIGSQDEVQQALKKRDEFEERLKILKKELDNLKDGVLKAETVARAAQKKYEDESLKLRELISQVEDANDIRQAAYHHLQSLKKELFEKNKQFRTYKDNAAAASDYAARKDREALHHLCVNQVETVMDLWNKDDAFRKEYVKCNMRSTLRRLGTLDGRSLGPDEEPPEMMSYRVERIDRFVLNPSNTSAVLQTQDLIQENQLKYVEDVCPGDRSKIKEVRVNSEKAESREAVKPFLRNGLATISGRMISDVEITEKERIPTMEEQELARKAEELTKAEAAAKLREQRRLEEKAKALEALERKKRIAEKAQMRAELRAQKEAELREKEREKRLRKKERKRAGGAEVPDGNNIGECTPKSEIVPGTMKESEVKYCFPTVTKRSQNPSVVVKQSKTKSIPPPLRNRGKRKIQQWMWIILTCVVVIALFLLGNIGFFSSLSNFRPRSHSF >CDP19629 pep chromosome:AUK_PRJEB4211_v1:10:25128030:25129461:-1 gene:GSCOC_T00006181001 transcript:CDP19629 gene_biotype:protein_coding transcript_biotype:protein_coding MGISCLYSLLLFLVLISSTGQILSCLADPTDGFTEIPLTDRNFDVPLNDRYSYQNGVRRLWVYTNDKPFKPDTTTRPRTEVRIKGLDYSSGVWQFEGHAYVPKATSGVTVMQIHGARVGATSLQLRIYEGDMKYYKFEVVDTNLYDKWFRLNVIHNVDEGKITVFIDGVQKFVKNDQGPGDLYFKCGVYAAPANSSSYMESRWRDIKIYKK >CDP00881 pep chromosome:AUK_PRJEB4211_v1:10:8466385:8468109:-1 gene:GSCOC_T00034319001 transcript:CDP00881 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLSYENEAFITTVLRDRWQMEFLDCFNFWSGNFDYEEFYTTQAMMFQDRKVNRDLIVVAFRGTNPFDADDWLTDLDLSLYDLQGVGKLHSGFMKALGLQKEKVKIYRKAKFMVTGHSLGAALVILFAAIPSLHELEWLLDRMEGVYSFEKGGLREGWFGIFFRAVGLINPGLSNHGPQDYVNLTRLGTLSSLAYSPPLPD >CDP10686 pep chromosome:AUK_PRJEB4211_v1:10:10569193:10571454:1 gene:GSCOC_T00031480001 transcript:CDP10686 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSAAAAPAAAAAAIYYSEDIRYSFNTLEPLNLFSGNSKQAVETTPDDHDDGDGDGDDSQVNRISAIPDELLSHILSFLPTRESAVTSLLSTRWRHLFASRPEIDLEFHPEPDKLFSEFVNFGNRLILLRNRAPLRKFKLSLMRVVESYRGALDSLISAALLCQLQELEISVDNRSSYRERLSPEGIFTCKTLTSLRLVWRRVDFKVPSPVCLPNVKLLCLIGPMFQLGDHDDSLQRLIQGCPLLQELELHCALGDLDQIFAEDACMQIEIRDISSPFLKKVVLHLDGGLDTKVVVESNNLESLEYHFVGAEYKISINAPNLKYLGCGGDINGVKSVESLTLIQPKVLTVDISNYVMPTFSNLISLEFILLNYHAVESWKLMPILIKSAPHLEKLVFGRKMFRAERVEKEFELLFPEFMPKYSIEHLKEIEFTKFDEKRYEFKLVEYLLQNGKALKKMVLRGSLQPSSYDRIMSYMRCSEDCQIVVEERGSEEIWWMLTVDL >CDP20303 pep chromosome:AUK_PRJEB4211_v1:10:24485503:24488840:1 gene:GSCOC_T00002158001 transcript:CDP20303 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENPNCTVYVGNLDERVSDRVLYDILIQAGRVVGLYIPRDKETEKPKGFAFAQYETEEVADYAVKLFSGLVTLYKRTLKFAISGQDKPSMNLPTVSSSHKPRPHPVAYNETGVSPDSMGLSTSCRFQDHQVNYSQVRVTPGVSVNQPNGYRSNYDNNDYEHSNGYRSHYNSNNYDYSRRVFGAALDNINRSRLGRYDTRDSTSYYATY >CDP07338 pep chromosome:AUK_PRJEB4211_v1:10:850470:851809:1 gene:GSCOC_T00024574001 transcript:CDP07338 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTSAAVSIPSFTGLKASGAPASRVSSTVKVFAASPKLAVKASLKEVGAAVVATAASAMLATNALAAEVLLGSSGGELIFVPSEFSVASGEKIVFKNNAGFPHNVVFDEDGVPSGVDATKISMSEEDLLNAPGETYSVSLTEKGTYTFYCSPHQGAGMVGKVTVN >CDP00929 pep chromosome:AUK_PRJEB4211_v1:10:7799184:7800626:-1 gene:GSCOC_T00034385001 transcript:CDP00929 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNVLHVVMFPWLAMGHLIPFFRLSKLLAGKGHKISFISTPRNLQRLPKIPQELASQIELVSIPLPEVDNLPKQGETSTDIPHEKDQFLKIAFDLLRSPIASFLENTRPKPDWIIHDYASHWLPEIAAQNGVSAAFFSLFTAAALSFLGRPSALLSGEDGRSTAEDFTVVPKWIPFPSNCAYRLHEVRKNIEDASGNESGASDFVRFAASIDRSDLVIFRTSVEFEPEWFNLVRELYQKPVVSLGVLPPSLDDDDELETDEKWQKIQNWLDKQTASKVVYVALGTEATISQKEVQDLAIGLEQSELPFFWVLRKPPGSKKDVTDMLPEGFRERINANGQGVVYTEWVPQVKILSHPAIGGYLTHCGWNSVIEALGFGRVLILFPVMNDQGLNARLLEGKKVGVEIPRAAEDGLFTSTAVAETLRYAVVSEEGEPMRANARQMTSLFGNGKRNQDYIDTFVRCLEERKISNFLASTSTS >CDP00975 pep chromosome:AUK_PRJEB4211_v1:10:7038651:7042949:1 gene:GSCOC_T00034452001 transcript:CDP00975 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSIPQNSLFLGFDCSTQSLKATVLDANLTIVGTEIVNFDSDLPHYKTKDGVYRDPLINGRIVSPTLMWVEALDIILERFKRLNFDFAKVAAVSGSAQQHGSVYWKKGSAKMLSSLDHKKSLVDQLHDAFSVKESPIWMDCSSTQQCRAIEEAIGGALELSRLTGSVAHERYAGPQIRKIFEMQPEVYRDTERISLVSSFMASLCIGGYACTDHTDGAGMNLMDIQSRDWSKLALEATAPGLEEKLGKLAPAHAVAGPLASYYVDRYNFSKKCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGIANYHKPSLEGHVFPNPVDTETYMVMLVYKNGSLTREDVRNRCANGSWDVFSDYLRQTPPLNGGKIGFYYKEHEILPPLPVGFHRYILDNYSDSHAGVNEHEVAQFDPPSEVRALIEGQLLSMRGHAERLGLPPPKRIIATGGASANICILSSIASIFGCDVYTVQRPGNFLCLTDSASLGAALRAAHGWLCNEKGNFVPIPWMYTDKLEKTSLSCKLAETAGDEELLSQYTLLMKKRLEIENRLVQKLGRR >CDP11494 pep chromosome:AUK_PRJEB4211_v1:10:26711255:26714763:-1 gene:GSCOC_T00033772001 transcript:CDP11494 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKLGCVIVAVDGSEESMSGLKWALDNVKLKSEDGSFVVLHVQSPPSIATGLNPGPIPFGGPSDFQVPAFTAAIEAHQKRITHAIFQHALQICSEKNVKVETKVVIGDPKEKICEVVEELHADLLVMGSRAFGPIKRMFLGSVSNYCSNRAQCPVMIVKGSS >CDP07550 pep chromosome:AUK_PRJEB4211_v1:10:2377203:2385361:1 gene:GSCOC_T00024842001 transcript:CDP07550 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGPVSVPTNSPHLRKSGSRPVVYDLGTSELGNSAEGDFLLPIETNEMKGVSTPLSTAAILPSPILLWRFKVILFFIWGFICCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVTMTVWLWGVNLWVFQHSNVNYAKIFDLDQNHLTHKEIWKCATWMTIIVPTSMTSYLYLYSHGEVALAAFQPVLLYAAVAMALLSPFDLFFLSSRYFLLRTLWRIVFPLQAMLLHNLNRRSKIKNYKRNKRGQEAGDISTKASNGCFFGIQFHVFITAISFADFFLADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSICGSHSVAIPIVLVLPYLFRLFQCLRQYKDTKEKTSLFNALKYSTAVPVIFVSALKYHVFPDKWMNVYRPLWLLSGVLNSLYSFYWDVTRDWDLSSFTRIFKFSKPHLMSHLLYGRKWVYFWVIGSNLILRCTWTYKLSAHLRHNYITVFTITALEIVRRFQWVFFRVENEWNKMNSKSNIELSMSELPNEEEKLLNSNGHNV >CDP11931 pep chromosome:AUK_PRJEB4211_v1:10:24250667:24253266:-1 gene:GSCOC_T00035242001 transcript:CDP11931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein PISTILLATA [Source:Projected from Arabidopsis thaliana (AT5G20240) UniProtKB/Swiss-Prot;Acc:P48007] MGRGKIEIKRIENTNNRHVTYSKRKTGIMKKAKEITVLCDAKVSLIIFGTSGKMHEYISPSTNLVEMLDAYQRSTGKKLWDAKHENLSNEIDRVKKENDSMQIELRHLKGEDITSLNYKELMSEIIKMIRKTGEMLEEENKQLQYIWHQQEMANMKGAIGERDDVYQRVRDYPSQMPFAFRVQPMQPNLHERI >CDP00878 pep chromosome:AUK_PRJEB4211_v1:10:8561598:8567474:-1 gene:GSCOC_T00034315001 transcript:CDP00878 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPELALQKNPQQMSILHVLAMKPKAFRTGNKLSPLMSWMYNLVQVDEEEIEHEDSTSDGANSKNRCSAASFFKYMNMFIKGIREMSEIKQSHGRAIRLVKFVCDKLQEKEKEQEKEKEKEKEKEMDFVQDFYILQQEKEREKERKEREKGKEKEQEMDFVQDFYILQQEKEREKERKEREKGKEKEQEMDFVQDFYILQQEKEREKERKEREKGKEKEQEMDFVQDFYILQQEKEREKERKEREKGKEKEQEMDFVQDFFIPEDSTAILHLAVEHGVFELVEECLKVFPDLIWYADKPPRSVPWRHAHYKSTVEGRLLWNDATQHADTASAHSTPPGTKYTDTTSGHWKWHEGQYADIPTGRLLLHVAIEHRRVELFNYMITYIGKNTKAYADLKLEGNNNSLHLAAKLAPTPARDSVVLAVSGGVFQKLYCNCVYKKLLL >CDP01133 pep chromosome:AUK_PRJEB4211_v1:10:5268002:5270936:-1 gene:GSCOC_T00034660001 transcript:CDP01133 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFILQFVGFLCLASVLLPSEALTHRFKFVIEEASYTRLCSTKNILTVNGQFPGPTLRIRQGDTAIVHVHNTGKENITIHWHGVKQPRYPWSDGPEYITQCPIMPGESFTQVIGISDEIGTLWWHAHSDWSRATVYGALIIYPKKGDSYPFPKPHAEVPIILGEWWKSDIQAVLSQFLRGGGDPNVSDAYLINGQPGDLCECSKPDTFRLTVDYGKTYLLRMINNAMNNILFFSIAKHQITVVGSDGSYTKPFKSDYVAISPGQTIDFLLEANQAPDHYYMAAKAYNSARRVRFSNSTTTGIIQYRGNYAPSSPPSFPNLPLFNDTKASTSFTGSLRSLASKVDVPLKVDTKLFFTLSINTLPCEGNNTCAGPGGNRLVASVNNITFESPHIDILEAYYRHLKGVYGDQFPSFPPLKFNYTANNLPAELQRPTRDRQVRVLEYNSNVEIVFQGTNLVAGIDHPMHLHGYSFYVVGWGIGNFDEKKDPLNYNLVDPPLMNTIAVPRNGWTTIRFKSNNPGVWLMHCHLERHISWGMEMAFIVKDGKGPGEQILPPPPDMPKC >CDP07438 pep chromosome:AUK_PRJEB4211_v1:10:1556292:1557787:1 gene:GSCOC_T00024704001 transcript:CDP07438 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVSKQAERRKNVSTEMKALADLKQSSGSDFPGSDYRPADRKNWMLGLDPGKLVIEKIVWPGTHDSATNKIGIPCITRPFAQCQSLSIYRQLVRGARVVDIRVQEDRRVCHGILLTYSVDVVINDVKKFLSETQSEVIVLEIRTEFGHDDPPEFDKYLEDQLGEYLIPQDDSVFGKTIAELLPKRVICVWKPRKSPQPQHGSPLWSAGYLKDNWIDTDLPSTKFDSNMKHLSQQPPVNSRKFFYRVENTATPQADNPILCVKPVTNRIHGYARLFIIECLSKGYVDRLQIFSTDFIDEDFVDACVGLTQARIEGKL >CDP07499 pep chromosome:AUK_PRJEB4211_v1:10:2045044:2049177:1 gene:GSCOC_T00024776001 transcript:CDP07499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein 6 [Source:Projected from Arabidopsis thaliana (AT1G47270) UniProtKB/Swiss-Prot;Acc:Q0WPY0] MSLKSIFRELRGISSGRETNWRKQGEKKSVLGCGRSHIAPESPQPSVFAVVQQSRWANLPIELLFDIIRRVESSETSWPARRDVVVCAAVCRSWREVTKEVVRTPEQCGLLTFPMSLKQPGPRDYPIQCFIRRERGTSTFRLYLGLSPALSGNASKLLLAAKKIRRATKTDFVISYSADDYSRANDYYVGKLRSNFLGTKFIVYDSYPACNSPVSSSGQSHVRIPSKKVLPRLPLGNYSTASICYELNVLRTRGPRRMHCTMHSIPASTLQEGGATPAPEAFRNCLDGESSSCSFVKGSTQESTFIKLPLPPESIQSTKNPLVLRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAMNPSEIIPVEEQDKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >CDP01172 pep chromosome:AUK_PRJEB4211_v1:10:4934743:4937636:-1 gene:GSCOC_T00034721001 transcript:CDP01172 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIVKKPLLTCAEGALSSIFTTATPPASLVNVQLLSLARDLFGWLAATEVKGKGNSDDQLKVVGAEQGDGDEQNDGGSDNGEGDFPDGEEEVAPEDGGGPGSNPNSSNGNAKKGPAGAGGAGDENGEEEDGEDGDGHNDRDDDDDDENQDDDDDEEEEEEEDDDREDEGDEEEVAEEDAEDEEEPEDEEEEEALQPPKKRKK >CDP10699 pep chromosome:AUK_PRJEB4211_v1:10:10237527:10237754:1 gene:GSCOC_T00031497001 transcript:CDP10699 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLARMYDVKDPNAIFVFKSRTHFGGGKSTRIG >CDP07433 pep chromosome:AUK_PRJEB4211_v1:10:1527252:1529744:1 gene:GSCOC_T00024699001 transcript:CDP07433 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKTKAYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >CDP07506 pep chromosome:AUK_PRJEB4211_v1:10:2083596:2085554:-1 gene:GSCOC_T00024784001 transcript:CDP07506 gene_biotype:protein_coding transcript_biotype:protein_coding MHFENYDPCFPDQPVVDLYLQIWGKLPAFKSKPAFIWAEDDSASSGTGASTCSAITYQQLNNSADCISSQLLLPLQRGDAVVVMCAPGLELVEIIFGCQRAGLLSVPVVPPDPSFAEDNYHHLVRVISQTKPKAAIANHCYIERVKQYISSPSTNKQLSEWLQKLSWISIEAIKDKKLDLHSNSVPYSGCKAEEVYLIQYTSGATGIPKPVLVTAGAAAHNVRAARKAYDLHPNSVIVSWLPQYHDCGLMFLLLTLVSGATSVLTSPATFLKRPRIWLELITFFRATCTPVPSFTLPLVLKRGGVARGTIPINLESMKNLIVINEPIYKDAVEEFIQVFRRFGLNPSAISPSYGLAENCTFVSTAWRIGRNNNLPTHNKLLPSARLISFDKDEEEDIEILVVNEETQEPVEDGTEGEIWISSPSNASGYLGHPSLSREVFNGMLSKRVGRCYVRTGDRGVVMGDDRYLFVTGRISDIIKLPNGQELHPHYIETAAYNSHPKILRGGCIAAFMVSGTLAIVAEIQNFERERRAMRTICEGIRKSIMEEESVAVGLIVLAASGSVPKTTSGKIRRWLAKENLIRAKIRTIMQMELKEENCDLAGETCKLKGKGEVVAEHKLGGIILSLSEAPTKGPLLSSL >CDP19623 pep chromosome:AUK_PRJEB4211_v1:10:25071162:25073265:1 gene:GSCOC_T00006173001 transcript:CDP19623 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFCHSFLDNTEFVLLILQITGLAFSSLSSDYIYVQGVDYEVLCGNWYESEKAFSFRGDSNWLGFSKCPSRDIVGGWCDSGNVFVADIGLEKRY >CDP07271 pep chromosome:AUK_PRJEB4211_v1:10:339022:343122:1 gene:GSCOC_T00024491001 transcript:CDP07271 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHAALASSRIPANTRLVSKTSHYNSFPTQYSFSKRLEVDEYSGLRSSGCVTFATNSRETSFFDAAAVQLTPKRQNAGSTPVKGETVAKLKVAINGFGRIGRNFLRCWHGRKNSPLDVIVVNDSAGVKNASHLLKYDSMLGTFKADVKVVDTETISVDGKPIQVVSSRDPLKLPWADLGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEQDYYHEVSNIVSNASCTTNCLAPFVKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVEKRGLTAEDVNAAFRKAADGPLKGILDVCDAPLVSVDFKCTDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGLFIDGSGDPLEDYCKTNAADEECKVYEA >CDP07279 pep chromosome:AUK_PRJEB4211_v1:10:386309:391935:-1 gene:GSCOC_T00024500001 transcript:CDP07279 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWDGGNDLASQSDDSYHFDRLHIEPIYDAFLCPLTKQVMRDPVTLENGQTFEREAIEKWFKQCKESGRRLVCPLTQRELRSTELNPSIALRNTIEEWNARNEAVQLDMARRSLTSGSLEQDILQALKFIQYLCQKSRSNKHVIRNEEMIPMIIDMLKSSFRRTRLKALETLRIVVEEDSDNKEIMAQGDTVRTVVKFLSNEQSKEREEAVLLLYELSKSEALCEKIGSVNGAILILVGMASSTSENIVAVEMADKTLENLGKSENNVRQMAENGRLQPLLRLLLEGSSETKLSMAAFLGDLVLNNDVKVLVARTVGSSLINILKSGNIQSREAALKALNQMSSHDASARILIEAGILPPLVKDLFTVGAHQLPMRLKEVSATILANVVSSGYDFDSVPVGPEQQTLVSEDIIHNLLHLISNTGPAIECKLLQVLVGLTNSLITISSVVSAIKSSGATISLVQFIEAPQKDLRLASIKLLQNLSPYMGPELASCLRGTSGQLGSLIKIISENIGITEEQAAAVGLLADLPERDMGLTRQMLDEGAFQLVNLRILRIRQGETRGSRFMTPYLEGLVKVLSRVTFALADELDAIALCRNHNLAAVFIDLLQTNGLDNVQMVSALALENLSQESKNLTKLPELPSPGFCVSIFPCLSKPTIITGLCTVHRGTCSLKETFCLLEGQAVGKLVALLDHTNEKVVEASLAALCTLLDDGVDIEQGVHVLCEAEGIRPILDVLLEKRTENLRRRAVWAVERILRSDDIAYEISGDPNVSTALVDAFQHGDYRTRQIAERALKHVDKIPNFSGVFPNVG >CDP01010 pep chromosome:AUK_PRJEB4211_v1:10:6668349:6670548:1 gene:GSCOC_T00034495001 transcript:CDP01010 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSDQCKLFVGGVSWEATEDVLREHFAKYGTVLSSVIAKDRLTGTSRGFAFVSFSDAAAVDLALQDSHEIRGRTVEVKKAIPRGEQHQSKQPQSRGLGRNNRTDGSRSDNQFRTKKIFVGGLSANLTEEEFKSYFEKFGRITDVVVMHDNVTHRPRGFGFITFASEDAVEEVVQNNFHHLNDKLVEVKRAIPKEGNNNVNGHSGRADNGRGSNYNLYPQGTYPLYNPRFGMFPSYSSVPGYGGLLGYPYGPTIYGGNYPFGTYVEVGYGVVPLPGSWVGGATADNAGGSDYSTIYP >CDP11967 pep chromosome:AUK_PRJEB4211_v1:10:23328705:23340854:1 gene:GSCOC_T00035299001 transcript:CDP11967 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLAAVRSMNQKATQRFGASPLGLLLAAYSGMFVGGMIGNWLFPDFLGRQIVRRNAEKREQYEMDRKASVEDTIKGHCGMREYYHRRIERLRSQIAALEEQDVATSSPVAAMENQESTRCFLSMWMLEALDRPEVGHQCLEIML >CDP07352 pep chromosome:AUK_PRJEB4211_v1:10:929934:932939:1 gene:GSCOC_T00024591001 transcript:CDP07352 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQNHLIFKPPFLLFCFLIYIGCAKAQVSIPPKPDGFWYHNRAPNPDSVVIEAFFDPVCPDSRDSWKPLKEAIQNYGSSIALVVHTFPLPYHDNAFLASRALHIVDKLNVSVTYHLLEAFFGQQEKFYGRATSNLTRAFVQEEIARFVVDTVGRSYSPAIFGGFRDVNSDQATRISFKYGGLRGVYGTPTFFVNGFPLPDAGSALDNNGWLRILDPLVNKQDFFFFLRENRVPNTWLIVGALGVLEHKATTTLPEV >CDP01233 pep chromosome:AUK_PRJEB4211_v1:10:4327385:4329547:1 gene:GSCOC_T00034806001 transcript:CDP01233 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNKRYFGAEVQNNEQAKHFRDIIRELSELSGASNPGDFLPILQWIDFQQIEKKMLKLQESMDEVLQSLIDDCRNKCRESQTELKVAGRDTIIDSMLSMQEEEPEYYTDEIIKGIVLILLMAGTDTSAVTMEWAMSLLLNHPEVLRKARVELDNFVGQDRVVDESDLPKLTYIQAIVNETLRLFPAVPLLSPHESSAECSIGGYYVSSNTMLLVNAWAIHRDPELWDDPTSFKPERFEGLEADTYKLKLIPFGMGRRGCPGAGLANRVVTLALGALIQCFDWDRVSQDLEDMTEGSGLTMPKAKPLEAMCRAREKMTKILKEL >CDP00902 pep chromosome:AUK_PRJEB4211_v1:10:8136103:8142908:-1 gene:GSCOC_T00034347001 transcript:CDP00902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MQTTKSSDLPPQPTREESGPGATDSASPFKSGQRVHSAGDTRRIGTVKYVGSVEGYSGTWVGVDWDDGGQGKHDGSHNGVQYFQARGLKTASFVRPHNLSSGITLLEALQVRYRGTSTKEEEDEMYVLSSTNKRVSIELLGKEKIQNKLSRFEELTGVSLSFLGVSSPGPSSQISNTLPNLKQLDLSGNLLSDWKDVGIICKELPALEVLNLSYNSMLHDTVEIPKLHNIRILVLNHIGINWKQVEMLKDSVPRIEELHLIGNNIKEITLSSSMRVEGFDYLRLLNLEENCLADWNEIVKLSKIKSLEQLLLNKNSLNQIWYPEHSTSDGAGNESLGRNFKPFQSLRCLLLGGNNIKDLPSVDSVNSFPSLIDIRLSENPVSDTGKDGVPRFVLIACLANVKILNGSEVSPRERKDSEIRYVRLVMTKYNDNVEELMHLHPRFAELKRFHGIEDVKAPIGVFGPQKMSSGLISVTLKCVGSSIGEKQPLTKKLPSTTTVGKLKNLCDSFFKLKSVKPKLFLQEEGTPLPTLLDDDMASLMEIGVGNDSTILVDEVN >CDP01108 pep chromosome:AUK_PRJEB4211_v1:10:5529110:5535519:1 gene:GSCOC_T00034625001 transcript:CDP01108 gene_biotype:protein_coding transcript_biotype:protein_coding MELCICTFFLSNQVLGRFNRARAAQLTLPHYLCQTPLFMPVGTQGTIKGLTKNQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRSIAAHKRPNEQNLFGIVQGGLDPVLRDICVRGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHQAMANDIRPIDPMCECMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIVEGRFPEFVCKFLQTMFPKGDVPEWVCNAMEVAGIDISSCCHEMKV >CDP16505 pep chromosome:AUK_PRJEB4211_v1:10:17834018:17836321:-1 gene:GSCOC_T00018485001 transcript:CDP16505 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFHQKFFTLVLCFLSSLPFICPLSTVSISQAGNQTLVCALIPSSKQQSHLNCTSFPEGIPIRVGPSLTSFSAIVGGNGFLCALRSSSSSTSIVFCWRFSSNVTNMAHKRIYVGPILEELDAGNSHICGLVSRTKRLECWQWKEFNSTRNSLITSSVAVAENFVCGLLKSGKIQCLGSFANVTDHVPAGIFTVVAAGFRHACAISLTGTLECWGGMAGVKPSGQFKSLALAENRSCALRRPNGTVACWGENNFDLPIYLQQTSFISLEAKGNVFCGVATSNYSLFCWGNDEILDSKATVFNSVIPGPCRKQCPCELLPNYGSYCSQGQVICQPCSIFLSSDKNPPSSPSPLLPVPRMNTKSSSQWNKKLVAFLVVGCIGSLSFLIVLCFLFYKHCKNKASRVHDSGPMDDPARAPQSSQQQAPPGLEKKLSHLISMGNGNRLEEFSLEVLLRATNNFSEKHKIGTGSFGSVYMGTLDDGLEVAIKRAEISSCSSYHHAGGTKRSQEDKDYAFLHELEFLSRLNHRNLVRLLGYSEDCNERVLVYEYLDNGTLFDHIHKLENSPLMSWAARIKVALDAARGIQYLHEYAVPPIIHRDIKSSNILLDATWTAKVSDFGLSLMGPPDDVSYLSMSAAGTVGYMDPEYYRLQQLTTKSDVYSFGVVLLELLSGCKAIHKNKNDEPRNVVDFVVPYIVQDEIHRVLDQRMPPPTPFEIEAVAYVGYLAADCVRLEGRDRPTMTEIVNSLERALAACLATPRLSRSSTGSSV >CDP07257 pep chromosome:AUK_PRJEB4211_v1:10:252596:258921:1 gene:GSCOC_T00024475001 transcript:CDP07257 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEAGGGGGGEVITATITRDNTSFSLPSIQKSPPPPPAPPQPQSLLHSLSFAYPNDPPNLYKPPLSASSPPYQPATADSSAPASSGLLLSNNVNLNSGADTVKRKRGRPRKYGPDGSIAMALVPSPPPTAAAPPPPGVHFSPSLHQPPSLAVPPPSGMPSSPSPSTKKARGRPPGSGKKQPVEALGSVGIGFTPHVITVKAGEDVSAKIMSFSQHGPRAVCILSANGAISNVTLRQTATSGGTATYEGRFDILSLSGSFLLSEVGGQRSRTGGLSISLAGPDGRVLGGCVAGLLTAASPVQVIVGSFVADGRKESKTVNHVAEPSHAPLKVNPSGASSPPSRGTLSLSESSGSPLNHSTGACNNVSAQGMPWK >CDP07392 pep chromosome:AUK_PRJEB4211_v1:10:1193183:1195151:1 gene:GSCOC_T00024645001 transcript:CDP07392 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDRIPPLISAQLTHLLAHCPFSVKVEQMWSGCMNSSLLDHFTLVIPFCLEYVRWDIIYNAIYPLAKPDVIFGPEDEHFRPYRGTIDSKNALTDWNSKDPTRLLTLVLELRDLYRDYQKKRVAEVDDERLKFEISTMLSREGIEMLMSSGLDKPEEVKFAVPLLDMDLNKMVLGSTWRHQQKIYLQASL >CDP12011 pep chromosome:AUK_PRJEB4211_v1:10:22666072:22671714:-1 gene:GSCOC_T00035361001 transcript:CDP12011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:Projected from Arabidopsis thaliana (AT1G77110) UniProtKB/TrEMBL;Acc:A0A178W8W8] MISASDFYKVMCAMVPLYFAMLVAYGSVKWWKIFTPEQCAGINRFVAVFAVPVLSFHFISQNNPYEMDTKFILADTLSKMFVLVLLSIWAVCTGKFDWMITLFSVSTLPNTLVMGIPLLKAMYGDFTQSLMVQLVVLQCIIWYTFLLFLFEYRGATMLIRSQFPGSIAASITKFEIDNDVISLDGRGPLCTESEMDVNGRIHVRIRRSTSSAPESALSSSLGLTPRASNLSNAEIFSVNTPAPLHEFHHANGDIAFGHGDLGFGYHATSPRLSGYASSDAYSLQPTPRASNFNEMDTVTATAIVNTPMWIRSPAAAGKVHRQPSPTTKIVWDSPGKGQGGLGEGQGHKDALGENDLSFRDCSIVEVGPHGAAKDQEMPNAFVMLRLILIMVGRKLLRNPNTYSSVLGLLWSLISFKWNFGMPSLVKYSIKIISDAGLGMAMFSLGLFMALQPRIIACGTKMAAVGMVIRFVCGPLIMSAASIAVGLRGIRLHAAIVQAALPQGIVPFVFAREYGLHPDILSTGVIFGMLVSLPVTLLYYIVLGL >CDP07511 pep chromosome:AUK_PRJEB4211_v1:10:2119636:2125111:1 gene:GSCOC_T00024790001 transcript:CDP07511 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEQVLASSGSERWALLELRASLGIRARYWPKKVEPCLNWTGIECLDGRVTGISLSGIRRTRVGKRNPQFAIYPLSNLTSLSSFNASGFLLPGPIPEWLGQRLATLQVLDLRSCSIYGSIPDSLGTTLGKLNSLSVLDLSKNLLTGPIPSAISALRNLSSLDLSSNFLSGAIPTEIGSLSSLKFLRLHNNSFSSSVPAQLGNLSALEELDLGYNFLSGPLPEDLGRISSLQKLLIGNNELEGALPARVLLDQKFVEFVVLRNNKFDGKFPDVLRSVPHLLFLDISENNFTGELPNLTAFSDNAGTKFNFSNNLFYGNVPFEIGGNVSLDLSNNFLKGLAPTTTKSSVNLSTNCFQSLPDQRSIMDCQQFYAKRGLHFDGNNVPESPEPPISKHSKKKNKLTFILVGTFGGLGCILILGVALAYVLKVCNKRTGNGRGTAEVRHVSVKDSRPPPQIPLCFSGLGEEFTYEQVLHATNNFSETNLIKHGHSGDIFRGTLEGGNLVAIKRVDLQVLKKGRCTSELDLFDKINHPRLVQLIGHCLELENEKILVYKYMPNGDLSNSFYRVNHLDDDCLQSLDWITRLKIAIGAAEALSYLHHECNPPLVHRDIQASSILLADQYEVRLGSLSEVCAQGVENSQQRISRMFWMAQTPDKGPSGPSASCAYDVYCFGKLLLGLVSGKLDICNFEDEGSTNEWLESNLSYISIHEKEQVGKIIDQSLIIDDDLLEEVWAVAIVAKSCLNPKPSRRPLMRHILRALENPFKIVREENFSSGRLRTTSSRSYWTTALFGSWHHSSSESASFPGQTRREGASDLKQTGRAGSHGSGMNEYSSSHKRSSSEIFPEPVDIQDLEKQDEN >CDP01075 pep chromosome:AUK_PRJEB4211_v1:10:5914082:5914965:1 gene:GSCOC_T00034584001 transcript:CDP01075 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSGLPVTVLFYRLLSGNQFTGSLPEEIGYLENLERIQIDQNQISGQLPKSFSNLKAAKHLHLNNNSLSGQIPPELSRVPSLVHLLLDNNNLSGYLPPDFSLLPNMLILYAIFLNHICHVSSLLLLICVSVTPNLKLLCLHIIFICWGYLILLKQVAHLDIKSRHSQRMHSLEFARGIKGGRCNHKRSLDESIYLCCKLLMGEITEI >CDP11518 pep chromosome:AUK_PRJEB4211_v1:10:26994032:26996791:-1 gene:GSCOC_T00033809001 transcript:CDP11518 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENPNCTVYVGNLDERVSDRVLYDILIQAGRVVDLYIPRDKETEKPKGFAFAQYETEEVADYAVKLFSGLVTLYKRTLKFAISGQDKPSMNLPTVSSSHKPRPHPVAYNEMGVSPNSMRLSTSCRFQDHQVNYSQVRVTPGVSVNQPNGYRSNYDNNDYEHSNGYRSHYDSNNYDYSRRVFGAALDNINRSRLGRYDTRDSTSYYASY >CDP07260 pep chromosome:AUK_PRJEB4211_v1:10:266345:266805:1 gene:GSCOC_T00024478001 transcript:CDP07260 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSFERAANFSLFFLFLLFFFSRTFRALSGRYNLEELMLSVLQSRRFVLT >CDP01382 pep chromosome:AUK_PRJEB4211_v1:10:3015207:3016827:-1 gene:GSCOC_T00034997001 transcript:CDP01382 gene_biotype:protein_coding transcript_biotype:protein_coding description:AR781 [Source:Projected from Arabidopsis thaliana (AT2G26530) UniProtKB/TrEMBL;Acc:A0A178VPT1] MEIVVPIHQNMDFDFNSARSSPMHHSSSPRTPKAFGDVYCSAPASPSRLSQFYREFDEFLRINENISNGEGSYSEAAVPFDWEEKPGTPKSPKAAATKHDDDFAFHVGLDDLETASLSAEELFDGGVIKPFKPPPGLQLPSAARISGSSSINLSPTSPASASQRKKIVLGFSPRYKRESSTPFSHSPKTTGQRSPRADRGRERAPAGMKSSSRRGTRSLSPLRVSRYPWEQEEQSSQQQNRSESSIIAKSASNFASSALASFSKGYKRWKFKDFFLFRSASEGRAADKDPLKKYTAAVRRREDVRTSSMRSIDSPSGLVPSRRRSPVSAHELHYTVNKAVSEDLKKKTFLPYKQGILGRLAFNPAVHALANGFGLSHK >CDP20126 pep chromosome:AUK_PRJEB4211_v1:10:27277470:27290726:-1 gene:GSCOC_T00011266001 transcript:CDP20126 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNMKTLTQAFAKASAAIEKTVQTTVQEVTGLPKPLQDYDLLDQIGSAGPGLAWKLYSAKSRDGRAVYPNVCVWLLDKKALSEARQRAGLSKAAEDAFLEVLRADASRLVRLRHPGVVHVVHALDESKNAMAMVTEPLFASAANALGNLENVEKVPKELKGMEMRLLEVKHGLLQIAETLDFLHNNARLIHRSIAPETILITSNGAWKLGGFGFTISTDQSSSDSANLQAFHYAEYDVEDSILPLQPALDYTAPELVRSKASTVGSASDIFSFACLAYHLVARKPLFNCHNNVKMYMNTLTYLSSEAFSSIPRDLVSDLQRMLSSNEALRPTAMDFTGSPFFRDDTRLRALRFLDHMLERDNMQKTEFLKALSDMWKDFDPRVLRYKVLPPLCAELRNLVMQPMILPMVLTIAESQDKNDFELSTLPALVPVLISAAGETLLLLVKHAELIINKASHEHLISHVLPMLVRAYDDTDARMQEEVLKKTVSLVKQLDVQLVKQAILPRVHGLALKTTVAAVRVNALLCLGDMVHMLDKNAVVDVLQTVQRCTAVDHSAPTLMCTLGVANSILKQYGVEFVAEHVLPLLTPLLIVQQLNVQQFAKYMHFVKDILRKIEEKRGVTLTDNGIPEVRPSPIADGHMPGQVNKTSTAASSNMKHSPSWDEDWIPTRQSSASIPSSATKATAHPSASTQSVQGTSGYLQSTMTSTASGQSSSSCPAVDIEWPPRSSSLGLSTQLDISGKLTESKTLSATSLDDIDPFANWPPRPGGSTSAFGSSTNGGMALSANKNGSSYGGAAPNGLSFQTGSSTSWAFNTESLTEPMRPNQGNSSLNTNSLNGGGLNTQNSLGFMKQNQGVSTYGVSSEKTMDLGSIFASSKSEHTAPRLAPPPATAVGRGRGRGRGNQGQLSASSASRSSHMKPQSEQPPLLDLL >CDP07435 pep chromosome:AUK_PRJEB4211_v1:10:1534644:1539450:1 gene:GSCOC_T00024701001 transcript:CDP07435 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIASPAAAAATTSQTATSTAATTAESICKKRSLWPSILRWIPTSTDHIIRAEKRLLSVVKTPYIQEHVNIGSGPPGSTVRWFRSVSNDPKFINTLTFDSKEGSPTLVMVHGYGASQGFFFRNFDTLAAHFKVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSLEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHIQHLILVGPAGFASENDYKSEWLTRFRATWKGAVLNHLWESNFTPQKIVRGLGPWGPDMVRKYTSARFGSRAQGSVLTEEESRLLTDYVYHTLAAKASGELCLKYIFSFGAFARMPLVQRASEWKVPTSFIYGFQDWMNYQGAQEARQHMRVPCEIIRVPQAGHFVFIDAPDGFHSAVLYACRRFLSPDPDSESLPEGLTTA >CDP07552 pep chromosome:AUK_PRJEB4211_v1:10:2392292:2395006:1 gene:GSCOC_T00024844001 transcript:CDP07552 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPLSLVASPITDSHGCPCLCLDALPSCNLSSGDLITSRNLVTSRRHMPRPTGSLELSSPFLDSVKALSGGWSLGEQRKNRSLKIVSEVAGQYEENFADVKASILNFFTYKAVRTVMNQLSEMNPPQYRWFNDYVAANKPRDGKRFIRSLAKEKRELAERVMVTRLYLYGKWIKKCDHAAIYKEISDQNLELMRERLIETVIWPSDDTNTEKIG >CDP10724 pep chromosome:AUK_PRJEB4211_v1:10:9792417:9793144:-1 gene:GSCOC_T00031528001 transcript:CDP10724 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGSFLLRTFCGSQGSCLCPLGLTVHISQLHLLKLLKSVAFSGFITLTPCKGQVGYAIFIPSMKPCVHMFHGYM >CDP07330 pep chromosome:AUK_PRJEB4211_v1:10:808124:808318:-1 gene:GSCOC_T00024563001 transcript:CDP07330 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVKVFCVHTGRIFRSPGSGRGSIVRVVVGQKGRGEEERERERKKKRGSGGRNSDRRRKWWW >CDP11483 pep chromosome:AUK_PRJEB4211_v1:10:26621806:26628792:1 gene:GSCOC_T00033759001 transcript:CDP11483 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHYWLRSPKLAPNSFLRLQIENTLEAVFQFANDVISGKIKPPAPAERFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELATTLVIVISKSGGTPETRNGLLEVQKAFREAGLEFAKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLAGAALMDEANRTTVVKNNPAALLALCWYWATDGIGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGISVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLHGTRSALYANDRESISVTVQEVTPRSVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKEPVEPLTLEEVADRCHASEDIEMIFKIIAHMAANDRAIIAEGSCGSPRSIKVFLGECNVDELYA >CDP11930 pep chromosome:AUK_PRJEB4211_v1:10:24295091:24300207:-1 gene:GSCOC_T00035239001 transcript:CDP11930 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G21600 protein [Source:Projected from Arabidopsis thaliana (AT1G21600) UniProtKB/TrEMBL;Acc:Q9XI19] MITTQLLLAPPPRPLLPIFSPKPSLPPITTSVKSPTIHLPITKPIISSRRDFRVWADDGDGDSGSPDDYDMDDEEAEEVDNKKDFDVDYDTTTAISAAAAGGEDDILIVQSKSFISTQGWDSEKIVEYRINEEEFHKICLLDCDFFIRKPPDLDDDVYDFREMYVTPPDTDVYAIPKVLAPMPQKYIRCAQTDYGCYNVTEPPIDAPRDPMYKSEREVLKIFLTKHYRNRRSGDPDFMLDFEEIYVIDSKTKSITRAKVVVTVPDGKNRDRRNDLLVIRDNGNSFRIIPPNERDTPTDVIEKEEWSKTRQDMERHLSKLRDFSVSNWF >CDP10963 pep chromosome:AUK_PRJEB4211_v1:10:21970821:21971768:-1 gene:GSCOC_T00031951001 transcript:CDP10963 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLVKGNSLKPLWEFLRKMSRKGIVNTSIMTCVIKVLGEEGLVNEALAAFYRMKQFHCKPDVVAFNTIIHALCRVRNFKKAKLLLEQMELPGFRCPPDTFTYTILISSYCRYGMETGVKKATRRRLWEANHLFRIMMFKGFVPDVVTYNCLINGCCKTYRIERALELLDDMIKRGCLPNRVTYNSFIRYYSAVNEIDRAIEMLKKMKELNHATPTTSSYTPIIHAFCEAGRVVEAWDFLVELVEQGSIPREYTYTLVQDALKSSSQINVLDRKYCKKIEEGIQNRITQVMKMKPILKRETNLYPWKCLEMNVM >CDP01347 pep chromosome:AUK_PRJEB4211_v1:10:3319663:3321857:-1 gene:GSCOC_T00034953001 transcript:CDP01347 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33360) UniProtKB/TrEMBL;Acc:Q9SZB3] MKKIVLVTGASGYLGGRLCHALLDQGHHVKAFVRRTSDLSCLPSPTTDSTDHGGALELAYGDVTDYPSLLDACSDCHVIFHAAALVEPWLPDPSRFVSVNVGGLKNVLQAYKDTGGSIEKIIYTSSFFALGSTDGYVADESQIHSGKYFCTEYEKSKAMADKIALEAASSEGVPIVPVYPGVIYGPGKVTAGNVVARLIIERFNWRLPGFIGEGNDRFSFSHVDDVVGGHIATMNKGRPGERYLLTGENASFKHVFDLAATISRTNKPQFGIPLFAIEAYGWLLVLCSRLTGKLPLISPPTVHVLRHQWAYSCEKARVELNYSPRSLEEGLTEVLPWLKRSNLIKY >CDP10693 pep chromosome:AUK_PRJEB4211_v1:10:10389449:10390566:-1 gene:GSCOC_T00031489001 transcript:CDP10693 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSLAIASDPSPLQDFCVAINDPKTAASFFNAVFVNGKICKDPKVVNANDFFFQGLNIPRSTANRQGSNVTAVNVNNLAGLNTLGVSLARLDFAPYGLNPPHTHPRATEVLFVLEGTLNVGFVTSNPPNNMKNQLFTKTLNPGDVFVFPEGLIHFQFNVGKTNAVAFAGFGSQNPGVITIANAVFGSDPLISADVLAKAFQVDKKVIDLLEAQFLK >CDP10931 pep chromosome:AUK_PRJEB4211_v1:10:21265658:21269289:-1 gene:GSCOC_T00031897001 transcript:CDP10931 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDSLPEEDKVRMSAMIDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEETCVRRCAEKFLKHSMRVGMRFAELNQGAATPD >CDP16500 pep chromosome:AUK_PRJEB4211_v1:10:17723478:17725517:1 gene:GSCOC_T00018474001 transcript:CDP16500 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGKTTLANKILTDQNTEYEFLTRILVSVTKKYEKKEVLLSILRSLKISISEQNMSEADLVNKVRDGLKYRYLVVVDDVWEGEHWDNLKGAFPDNNNGSRVIITTREVRVAKYVSPKCEPYQLRFMKLQEAEELLRMKVFEENKCPEELKPIERLILEKCDGLPLAIVVTGGILKANPKDANWWKDVLDEVPPLVDKKRVERIDRYIRLSYDKLHHEVKPCFLYLGVFPENLEIQVWKVLQLWIAEGFIPQHETASLERMAEQYFGELVDRNLLIVGKRTLSGKIKTCRIHDTLRDFCKKTAKVEDLFQAIHKNTNPSSSRRLCCINAQFSEYILGGQPADKVRSFLSFGQDETKYNEEPSSSIFKPFKLLRVFDISSIYINFHGRFPTKLPNLVLLKFIAINFNLKNLPKSMSSLRNLEALIVHTTEPTLDIQADIWMMTKLRLLHTNTTASLPKCHDQSSSSEYLQTLSTISSHSLTKEVFERTKKLKKLGISGSLGTLVKTNGDSDLFECLCRLSSLENLRLHSDESKLLALPQPDKFPKNLKRLSLHKTGLEWNNHMPILGGLQSLEVLKLKDKAFVGVEWKTEKGGFRSLKVLFIGDTDLENWEVKADDLPELRWLILKHIKSLEQMPSDFEHMKNLERIDLERTNRWLVKSAKDIQKSRPQIKLSVYPPEK >CDP11002 pep chromosome:AUK_PRJEB4211_v1:10:22484274:22486720:1 gene:GSCOC_T00032010001 transcript:CDP11002 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLARKAALLRGTPKFCKIQNPYSTQVTRSHNQSPPLPDLALFQWNRFTSSVCVKVPYYPSARFFSSNPYLENEDSQSEKPVIDGVDENPGFSFVESNPRWDLVDKTGEVFPEFVFESEKTGDLADNFVGSSAGNDDDDEGGVLDGGDGNAGKTEKIEDMVQKLEDLLSLLQSSGDAKSLESSLEDMGLVLNEELVVKVLETPFVPGENLIGFFKWVLKNGQFSITKGAIDMLVRAISNEVKTRDAYALWDLVKEVGGKDIGVLSTETLNELLSMFSRLGKAKAAFEVFNKFEDFGCVPDADTYYFTIDALCRRRIFDWACSVSEKMLNAGRLPDSEKVGKIVSHLCKGKKLKDAHAVYLWAKEGKVYPRQSSVNSLISSLCENEKQKGVEVERLKGETDSHSQAEGAAHEEKENVYLALKMLDDISGEELKHAIKPFSSVTGGLCRIKDFEGAKKLLNRMIEAGPPPGYTIFNTIITGLSKSGEMQEAIDTLKMMEERGLKPDVYTYTVIMSGYVVGGAMEEACKVLDEAKKKHSKLSPATYHTLIRGYCKLEQFDKALDLIGEMKNYGVQPNADEYNKLIRSLCMKALDWRTAEKLLEKMGENGLHLNGKTRSLVRAVKELEEEGLASQDVPTAA >CDP07319 pep chromosome:AUK_PRJEB4211_v1:10:727843:733567:1 gene:GSCOC_T00024549001 transcript:CDP07319 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEVVAKPPKGRFCTICNQRRAALKRPKTLEQICRECFYAVFEEEIHGVIVDNQLFKPGERVAIGASGGKDSTVLAYVLSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKVVSYKDLYGWTMDEIVKLIGLKNNCTFCGVFRRQALDRGATLLKVDKLVTGHNADDIAETVLLNILRGDIARLSRCTSITTGEDGPIPRCKPFKYTYEKEILTYAYFKRLDYFSTECIYSPNAYRGFAREFIKDLERIRPRAILDIIKSGEDFRISTSTKMPEQGNCERCGYISSQKWCKACVLLEGLNRGLPKLGIGRSRGANNELSKNAKEAKGIDSIQSKQCGTLDF >CDP00874 pep chromosome:AUK_PRJEB4211_v1:10:8682347:8685964:1 gene:GSCOC_T00034309001 transcript:CDP00874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 14 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43640) UniProtKB/Swiss-Prot;Acc:O04421] MSSGASQPNNISKVRLQPDPFLNELTDMFERSTEKGSVWVTLKYSSDKSKLQRNKIKTAGEKIEYKCLIRATDGKRTISTLVGQKDHQRFQASYATILKARMTALKKRERKDKRKTADSDKKQGVLKK >CDP01124 pep chromosome:AUK_PRJEB4211_v1:10:5370375:5373938:1 gene:GSCOC_T00034642001 transcript:CDP01124 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSRIEELHQQLLHEVESNCLNNNDGRVQENEDAEATNLKNRMCIESKKLWQIVGPAIFSRIASYSMNVATQAFAGHLGDVELASISIANTVIVGFNFGLLLGMASALETLCGQAYGAKNYHMLGIYLQRSWIVLFIGCVILLPLYFYATPVLKLLGQPDDVAEQSGTVAMWFIPLHFSFAFQCTLQRFLQSQLKTAVLAWVSLVALVVHVLMCWLLVYHFELGIVGTAITLDVSWWILVLGLFGYVVLGGCPETWNGFSMQAFSGLWEFLKLSTASGIMLCVENWYYRILILMTGNLENATITVGALSICMSINGWEMMIPLAFFAATGVRVANELGGGDGKAAKFATIVSVVQSTIIGFFFCGLIMMFHDKIALIFSSSAEVIEAVDRLSYLLAITILLNSVQPVLSGVAVGSGWQSSVAYINLGCYYLIGLPLGAAMGWLFNLGVEGIWGGMILGGTAVQTVILAIKTVKCNWDEEVDCLQHSHSFCLFKLLLLFTSFIACTFQAKRAVAGVQKLSC >CDP07559 pep chromosome:AUK_PRJEB4211_v1:10:2435139:2437729:-1 gene:GSCOC_T00024852001 transcript:CDP07559 gene_biotype:protein_coding transcript_biotype:protein_coding MMWEAGGSTASSSAAGGSGGGGGGGGEGGGSGGVVNGRRKPSWRERENNRRRERRRRAIAAKIYAGLRAQGNYNLPKHCDNNEVLKALCAEAGWIVESDGTTYRKGCRPPPIEIGSTSANITPSSSRNPSPPSSYFASPIPSYQPSPLSSSCPSPTRQEPNMASHPFAFLRNSIPSSLPPLRISNSAPVTPPLSSPTRLPKQIFNLEALAKESMNALNIPFFAASAPASPTRGQRFTPATIPECDESDSSTIDSGQWMNFQTYAPNMVPTSPTFNLMKPMAQPVSPMDVVSGKGKGIEFDFEKLPVKAWEGERIHEVGLDDLELTLGSGNARI >CDP07579 pep chromosome:AUK_PRJEB4211_v1:10:2573422:2577095:-1 gene:GSCOC_T00024874001 transcript:CDP07579 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLTLEKSESIPTSMEGLCGIFCTRLRRKTNKRKTAASIIDSDDRTHEGVRTVDTGNCCEFSGHSDVCLCIVTWNMNGQVSSEDINKLVGKNRKLDLLVIGLQEVPRENIAQLLKNVLADTHILLGEAIMQSLQLFVFGPKHSQQFVRGIKVDKQPVGGLGGLIGRKKGAVAIRIKYKGIQMLFVSCHLAAHVGNVEERNDQFRHISRSIFSKDWNPNATPAQLTVWLGDFNYRLEGINTFPARDLIHENLHELLTSKDQLLQEAERGQIFNGFREGTLSFKPTYKYNIGSSNYDTSYKVRVPAWTDRILFKIGDHDHIRATLHCYDSMDTISSSDHKPVKAHICLSVNRQSSHPRMEQ >CDP00970 pep chromosome:AUK_PRJEB4211_v1:10:7138740:7141106:-1 gene:GSCOC_T00034442001 transcript:CDP00970 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYALLSSIHSNVSNFRQMLSNFGVSLYLQTIFIWSIPFIFFLLAATAPVWLLFSNKNVQKKKTIKEVPAGDRSETLHRKYNGNHVFDDSKYSMELQIQEVLLASLSSDTASTASSSSQGRIEDVDSRQVKIDNDVDNASQIFCGICMEAKESWEMFRNDTCDHSFCYECTSQHIVAKIQDNLKIISCPGADCKATLDFNICRLMIPKDVLVKWDEFLCQSLIPNSQKLFCPFRDCSAMLIHDSGEVIKEIKCPACRRSFCAQCHVPWHSEFKCKEFQKLNAKKGGKDDGLFKLLAKKKSWQKCPSCKMHVEKIEGCIHITCRCSYEFCYRCGSKWTSSHVCKKS >CDP07424 pep chromosome:AUK_PRJEB4211_v1:10:1444928:1450508:-1 gene:GSCOC_T00024687001 transcript:CDP07424 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQKSKRVRWPSDDNLCQVRLFLSEETPAQVGFGCQDHLQAKTSWSSNSNSLVSDDNLPPGFEGTQPANLLWNKSPQIPLIKWKCPPRFVLDDAWRVVAGEESVEVERENRRENTVLEAIYPRPSAIPPDPSTDAGAEISSDEPVTFIPITPIEDEDAPAPTNALFDSVTMNTLPLSLQSQPSTPGASSSHVTINHPQRAPATEVITGVDLDVVAAAHAALSAVMTNTNQGNMIDRDLLIKILSDPKMVEQLVKNHGSSTSTQTMPATSMQNVPTNMQHMASISPSMPNRPRPMTPGISLSDQSQISISRTDRSLAHMSRPEVVPPPVTAASGGVFYPPSRIAPISNMRPPVPDVVSAPSPSVGAPVAKDINYYKSLIQQHGGDRQEPMLHYNNRSNTHLLSAREPLNNPKSRDMKPKIMKPCMYFNSSRGCRNGANCAYQHDESSPQQRVNGMPDSQSAKRMKMDREITGT >CDP01174 pep chromosome:AUK_PRJEB4211_v1:10:4924586:4925436:-1 gene:GSCOC_T00034723001 transcript:CDP01174 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSKFFLSPIYKATSICFSKVLPEALKFLILSAVILWSSYRRQSSRHEYMAQIDKQSCKFVFRKKLRPSLEAMECSICLCEIEEGDEARELHCNHVFHKNCLEKWLQRCHATCPLCRSLVVPEEVASEHKRSQAEHQLLKNSVEEELALMLLSTMTMSGWSCHSGF >CDP15812 pep chromosome:AUK_PRJEB4211_v1:10:16386854:16391004:1 gene:GSCOC_T00016670001 transcript:CDP15812 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTLVKRIQNINAKEAALGISDEASWHTKYKDSAYVYAGGLPFDLTEGDLLAVFAQYGEIVDVNLVRDKGTGKSKGFAFIAYEDQRSTNLAVDNLNGAQILGRTIRVDHVSKYKKKEEEDEETEQRKREERGVCRAFQRGECNRGAGCKFSHDERRAANTGWGHEEDRRSRWANDKFEGSTGNRKQSGVPDRVLESANQKGRGLTDKDSRDSRVKGTKTGLDRHYEHGELSPRDRGHRDYEKKLDRKETDARHRDDRNDLRDRESRRRDAKSNSGEDHNGRDEKRSRKYEDGSYTNEDRDSRGLDRRSTRDKVASPSRPRNSDDDYRHRSHR >CDP00976 pep chromosome:AUK_PRJEB4211_v1:10:7033394:7038265:1 gene:GSCOC_T00034453001 transcript:CDP00976 gene_biotype:protein_coding transcript_biotype:protein_coding MADYSLPQGSLFLGFDSSTQSLKATVLDEYFNIVATEIVNYDSELPHYKTKDGVHRDPTVNGRIVSPTLMWVEALDLVLQRLKKSNLDFGKIAAISGSGQQHGSVFWKNGSEAILSTLDPKKPLLDQFGNAFSINESPIWMDSSTTEQCKDIENAVGGPMELSKLTGSRAHERFTGPQIRRMYQTKPEVYDSTERISLVSSFMASLLIGSYASIDHTDGAGMNLMDIKQRAWSKKALEATAPGLEAKLGTLAPAHSVAGLISPYFVERYKFDKDCLIVHWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEHNPSLEGHVFPNPVDTSGYMVMLCYKNGSLTREDIRNRCAEKSWEVFNKLLQQTPPLNGGKLGFYYKEHEILPPLPVGFHRYALDGFKGDSVEGLKEREVSEFDPPSEVRALIEGQLLSTRAHAERFGMPSPPKRIIATGGASANTTILSSIASIFGSNVYTVQRPDSASLGAALRAAHGWLCNRKGSFLPIWFMYRDKLEKSSLNCKLAVTAGDQELVAKYALLMKKRVEIEKSLVQKLGRL >CDP07339 pep chromosome:AUK_PRJEB4211_v1:10:851893:855944:-1 gene:GSCOC_T00024575001 transcript:CDP07339 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGPLFMSLKTLTKFDKKILSIQNSAILSIKNIGNPRVVGTLYTHHQKCVIVDTQAHGNNRKISAFIGGLDLCDGRYDTPQHRLCRDLDTVFVDDYHNPTFAAGTKGPRQPWHDLHCKIEGPAAYDVLTNFEQRWRKATKWSKFGRRFKKITHWHDDALLKIERISWIISPSSSVPNDDPSLQITTEDDPENWHVQVFRSIDSGSVKGFPKNVKEVEAQNLVCAKDLVIDRSIQMAYIQAIRSAQHFIYIENQYFLGSSFAWPSYKDAGADNLIPMEIALKIASKIRAKERFSVYVVIPMWPEGAPTSASVQEILYWQGQTMQMMYGIIAKELKSSGLENSDPTDYLNFYCLGNREEWHEESNSAAKVSSSGEVASASQKYRRFMIYVHAKGMIVDDEYVILGSANINQRSMAGSRDTEIAMGAYQPHYTWSKKQKHPNGQIYGYRMSLWAEHLGEMDDCFMKPESLKCVQHVNKIAKDNWKKFTAEKFTPLQGHLLQYPLEVDGDGKVKPMPDYETFPDVGGKVLGAHSTLPDALTT >CDP00896 pep chromosome:AUK_PRJEB4211_v1:10:8297082:8297621:-1 gene:GSCOC_T00034338001 transcript:CDP00896 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFKRKKNTGLPFLILFLILWSNLTGASVSSPIKGAGLPCNGTFSTCFLEGSMKSEGEVLRPYATPGRWLQSQQQNTIGYKALQRKPVCSAAQYNNCIVQVNAANGRCTDYNRCKHQNPN >CDP17240 pep chromosome:AUK_PRJEB4211_v1:10:18170373:18172214:-1 gene:GSCOC_T00013649001 transcript:CDP17240 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTDAPAHSMNKNIVCKRKLREKYASLSVEEKEARRAKQREAYQKRRARKAAASISSMNQQQTDGIELPVGCDVQQKNEYNSQQSIAVKQLLQQNRNKDTNLQQFIAVKSNSLCKTNAKSKGKLKNHDSVFSTFEQGSSSKKPSSYKCRRINKRNVSGDPPICDSCSNFLHQTFDNLAGLT >CDP00873 pep chromosome:AUK_PRJEB4211_v1:10:8723231:8723599:1 gene:GSCOC_T00034308001 transcript:CDP00873 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCDELKQPLMVYEDIPSETPVDHLQGLNRWRVVWTTRLNVPHAIAEGLAYLHFSVVPANNHRDVKYSNLLLHDTLNAKISDFGLSRLAHTKLDHISMCAQQTLKYVDPEYKTKYPLTDTR >CDP11544 pep chromosome:AUK_PRJEB4211_v1:10:27246189:27247909:-1 gene:GSCOC_T00033846001 transcript:CDP11544 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQGSFLEIGRVKTSNGGATRIASDKRSMTEEEWGKRLRASFWGTFKSYGSEPPENLPPPPPANRNGGDGIAVHTPPGPPFAPGVNVVRAASTPNIKGGDTSNNGEKSGWGGSNLGKSLPTPKEICMGLDKFVIGQDHAKKVLSVAVYNHYKRIYHASLNKGSGAEIAPDDDDENVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQASNSWVNLF >CDP16495 pep chromosome:AUK_PRJEB4211_v1:10:17300921:17302282:-1 gene:GSCOC_T00018465001 transcript:CDP16495 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVTRHAWKAFQRSRRLSNSSSKSACGENDLQLCITNYFKRRGTGMGWDSTNYTFMMDDGCWADLLQVNKGYGRFYDRSCLVFYLLEAVFMNQGATGDYSPQHELSPLNSEEELEMENAMRGARGKDFVDVGSSDEDVTMPSTRKGKGKGKAQIGRHVNRFILLMSNQFRQYIRALDSIENLRSI >CDP01015 pep chromosome:AUK_PRJEB4211_v1:10:6617859:6628586:-1 gene:GSCOC_T00034500001 transcript:CDP01015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MECFYGLIVAAMFGIFIVLWEAEWYCAFHRADASTVWKEFTTPEGRKYYYNKETKESKWTMPEELKLAREQAEKAASFGSHLEMGVPTAPTSTSVGQPPLVTSVSSTSSTVSAIASSPIAVTPVAAVVNSPTVAVFGSPAVPTVQPAVTSMVSVPSLGETSASPAPTTGSLGMHNVENNSSHIAEGSTGEASSQDIEEAKKGMAVAGKVNVTTSEEKPMDDEPLVFTNKQEAKNAFKALLESANVEADWTWEQAMRVIINDKRYGALKTLGERKQAFNEYLMQRKKIEAEERRLRQRKAKEEFTKMLEECRELTSLTRWSKAVTMLEDDERFKAVEKESDREDLFRSYLVDLQKKERVKAQEEHRRNRSEYRQFLETCGFIKVDSQWRKVQDLLEDDERCSRLDKIDRLEIFQEYIRDLEREEEEQKKKEKEQLRRAERKNRDAFRKLMEEHIAAGILNAKTLWRDYCQKVKDTEAYQAVALNTSGSTPMDLFEDVLEELENQYHDDKTRIKDAVKLEKISVLPMWTLEDFKAAIKESISSEVSDINLQLVFEDLLERAKEKEEKEAKKRQRLAKDFSDLLSTIKEITATSNWEESKELLEDSSEYRSIGEENSCKEIFEEYIARLQEKAKEKERKREEEKARKEREREEKEKKKDKDRREKEKEKEKDKEKEREREREREKEKGKERSKKDEVDTANADAIDDHGLKEEKKKEKDDHGLKEEKKREKDRDKKHRKRHHSVTDDASSEKDEKEETKKSRRHSSDRKKSRKHGHSPESDCETRHKRHRKDHRDGSRRGGGHEELEDGELGEDVEME >CDP10927 pep chromosome:AUK_PRJEB4211_v1:10:21128687:21134810:-1 gene:GSCOC_T00031889001 transcript:CDP10927 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRVRISSLLIMLSIIGLAAAESGTTEEESKSEEFVVTLDQSNFHEFVSKHKFIVVEFYAPWCGHCKKLAPEYEKAASILSKEDPPLILAKVDANEEKNKALATEFGVSGFPTMKILRFGGSVVQDYKGPREADGIVAYVKKQSGPASVEIKTAEDATSFVDEKKIVIVGVFPEFSGEKFDNFTTVAERLRSDYEFGHVLDAKLLPRGELSISAPTVRLFKPFDELVVDFQEFNVDDLVKLIEESSVPLVTLFNNDPNNHPFVIKFFNTEHDKAMLFLNFSSENIDAFKSKYRDVAEQYKGKGIAFLLGDLEASQGAFQYFGLKEDQVPLIVIQTNDGEKYLKTHLEPDHIASWVKDYKDGHVKPYKKSEPIPEVNNEPVKVVVADTLQDFVFNSGKNVLLEFYAPWCGHCKKLAPILDEVAVSLENDADVVIAKIDATANDIPQGTFEVKGYPTLYFKSASGNILQYDGDRTKEDIIEFIQKNRDKAAQQESGKDEL >CDP12433 pep chromosome:AUK_PRJEB4211_v1:10:12640839:12644516:1 gene:GSCOC_T00035976001 transcript:CDP12433 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKEQKYIYHLHRHHPSDIHCRLRISPRKPSGNDFRHWRKKIVKMEIILILAVILMIMRTKGRRANLSPPLGLKVTGNSVFSSEALGFLKAVIFIWYQIFSFTYSFLARRDI >CDP11998 pep chromosome:AUK_PRJEB4211_v1:10:22823103:22826743:1 gene:GSCOC_T00035343001 transcript:CDP11998 gene_biotype:protein_coding transcript_biotype:protein_coding MVHILHTVFGVIGNACGLFLFLAPTITFKRILRKRSTEDFSGVPYTMALLNCLLYAWYGLPFVSPNNILVSIINGTGAVLESIYVLIFLILAPKKEKAKVFGILVLVLTTFAAVVLVSMLALHGKTRKLFVGLIATIFSIAMYAAPLSVMRLVIKAKSVEYMPFFLSLFVFLTGTTWFIYGMIGKDPFLAVPNGFGCVLGAMQLMLYAIYHKNKGDNNKGVANGSLEMGIEQSHQQKHATNDRQLDGQL >CDP16849 pep chromosome:AUK_PRJEB4211_v1:10:14749691:14750698:1 gene:GSCOC_T00019401001 transcript:CDP16849 gene_biotype:protein_coding transcript_biotype:protein_coding MFILEDFLKLCIVFINSRNGIWTENSTIISLGILDLKVVLGAATSQNSWISAANEIPWVEECYVPLNC >CDP15801 pep chromosome:AUK_PRJEB4211_v1:10:15814757:15817125:1 gene:GSCOC_T00016645001 transcript:CDP15801 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTAAMAAMAARIPVSTWPTATRLSIFHGQVPKWSHRPRFSLSHSSISASSSPSTSRKLVLYSKPGCCLCDGLKEKLQAALRLSGPDSIQNVELQIRDITTNPCWEKAYQYEIPVLAVVRSDGFEETLPRLSPRLGIELIQKKLAAALSE >CDP11501 pep chromosome:AUK_PRJEB4211_v1:10:26801966:26811308:1 gene:GSCOC_T00033786001 transcript:CDP11501 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIKKIDNITARQVTFSKRRRGLFKKAEELAVLCDADVALIIFSATGKLFEFASSSMSDILGKYKLHSSNLEKTEQPSLELQLENSCHVRLSKEVADRTHQLRQMKGEDLQGLKIEELQQLEKVLEAGLTRVLQTKGERIMNEINALQKKGAELFEENKQLKQKMAMLYEGKRPVIPDLDKDMLIEEGQSSESITNVCSCNSGPPPEDDCSDTSLKLGLPFN >CDP07283 pep chromosome:AUK_PRJEB4211_v1:10:466832:472375:1 gene:GSCOC_T00024507001 transcript:CDP07283 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCMSPRRKDVRDYDEDMAPRSADSANGRRGGSVGSKLRESMAQRTNVARSFTFKELAMATQNFREANLIGEGGFGCIVAIKQLNLEGLQGNQEFIVEVLMLSLLHHPNLVNLIGYCTDGDQRLLVYEYMPMGSLEYHLFDLDPGKEPLSWGTRLKIAVGAARGLKYLHCSANPPVIYRDLKSSNILLDNDFNPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRKAIDCTRKPGEQNLVVWSRPFLKDRRKFVLMIDPQLDGHIPLRCLHHAVAIAAMCLQEQPSFRPIIDDIVVALEYLASQVDKSDSHKGTSCNQHPAGLDVNLEEKKKDLVNYSAAF >CDP07324 pep chromosome:AUK_PRJEB4211_v1:10:770280:774978:-1 gene:GSCOC_T00024555001 transcript:CDP07324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 1 [Source:Projected from Arabidopsis thaliana (AT4G38430) UniProtKB/Swiss-Prot;Acc:Q93ZY2] MAANYSCILSSSSEEEEDDGDIYRHSSFHPFDSYSISADVSESESCSSASTFSSRPPPPPPPQAAASHALNSLSSSSDSPARPKVMFPVVGHRHVVIPEEKQDEPELSEVELIKERFAKLLLGEDMSGGGNGVCAALAISKAITNLAATVFGELWKLEPMVPKKKSMWLCEMEWLLCVSDSIVELVPSVQELPSGRTVEVMVPQPRSDLSLNLPALKNLDAMLISILDGFHDSEFYYVDRGIIFADGEFIEARPCPPSQERLSITLEEKWWLPFPKVPQNGLCEETRKQLQQCRECTNQIYRAAVAINNRVLSEMEVPQVYLDSLPKSGKACLGEILYRYITGNQFSPECLIDYLDLSSEHNTLEIANNIEAALHIWRQKYSKKQFSHTKTGKSQWSGPLKVFVDIEKAKMSAQRADSLLKILKQHFPTLPQTALERHKIQYNKDVGQSILESYSRVIEGLAFNLMARIEDLLYVDEATRLRAAAESLSFVDHRGLTGDFTMHKQFPSGPVSTQLNSCASLLRKPAFCSGVPLVRKLKRIQKSSKNTPDEKLERLTFQ >CDP12005 pep chromosome:AUK_PRJEB4211_v1:10:22705273:22709926:1 gene:GSCOC_T00035355001 transcript:CDP12005 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLHPEMKVKRIVWKSSSRERFANEVNDDHDSSSRTSQDDAKKSHCCDRSPLTAVPRTRDSDEPENHDASMNFQYDLQNQLLCDPQTSMLQTNNSLYGPCMMPLLPIPNMQERSSDLCVILNGAANRGGIGPPVGAVDIGVSKSAYYFCVALPGVKKDPGEFSCEVERDGNVHVRGVTTTGARTVSRNSRVYGMKFQQQCPPGPFTLSFSLPGPVDPRLFYPNFRSDGIFEGVVVKSERYFSMNE >CDP01251 pep chromosome:AUK_PRJEB4211_v1:10:4187057:4190269:-1 gene:GSCOC_T00034834001 transcript:CDP01251 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRFSYNYTVVGIAPYGHLWRVLRRLLVVESFSFNSLQRTSFIREEEIKMILRSIYRVSKNGSLIRVDLNYWISVFTLNVIMRMLVGRCSIREEDAGEELGMQIIKEFREMFASGIAQNLCDFFPILRWIGYKGLEKKMISLHKKRDKLFQGFIDEFQSSDTLLDKEKKALIANLLASKEKESDFLSDDIIKGIALIMLTAGRETSTLTTEWAMLLLLNHPKALQKLRTEIDNSVGHGRLVDESDIPKLPYLRCVVNETLRLYPAAPLLIPHHASEDCRVGGYDIPKGTIVLANAWAVHRDPKLWEQPEKFMPERFEAKGLMDKEEFNSKFLPFGIGRRACPGANLGIRNVSLAVGTFIQCFDWDKVEEDGELDVNFSNRMSLKKANHLEAICSPRQESIQLLSQL >CDP07359 pep chromosome:AUK_PRJEB4211_v1:10:957647:959859:1 gene:GSCOC_T00024599001 transcript:CDP07359 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEENAQHFESNTALCRVITKDSSYIVRCCVKGSLLEVNERLVKQPGLLNSSADREGYIAIFMPKLVDWRKVEASFVGVEEYKRLRGIS >CDP00957 pep chromosome:AUK_PRJEB4211_v1:10:7359110:7364016:-1 gene:GSCOC_T00034426001 transcript:CDP00957 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLLGVGEEEGQSNVSLLTSSSSLDSSRQNGSVFKERNYMGLSECSSVDSSTISNISGGSNGSCLNLKATELRLGLPGSQSPERDSELCLVSSTKLDEKPLFPLHPLKDGNCSNLQRTITSGNKRGFSDAMDGFSEGKFSEVNVMLSPRPSLNSSMKSVSAKETTGTQAAKLKEVVPQSTVQERPRTVNESVSNRVGATSNNTGAPAPKAQVVGWPPVKSFRKNTLAPTSKVAEEVDGKSGPGALFVKVSMDGAPYLRKVDLRTYSTYQELSSALEKMFSCFTIGQCGSHGVEGKEVLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLRIMKSSDAIGLAPRAVEKNRSRN >CDP01084 pep chromosome:AUK_PRJEB4211_v1:10:5823517:5823651:-1 gene:GSCOC_T00034595001 transcript:CDP01084 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEKGKKMKRKALKWKRLVEAATQQVYFNLENVINQVLFNPRH >CDP01288 pep chromosome:AUK_PRJEB4211_v1:10:3805602:3809624:1 gene:GSCOC_T00034882001 transcript:CDP01288 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLRGLPVLRHRLHLHRRRLTLGSLSLRPWFTSVESIPPDDKTGPSTTTQTTTIPGLSARDSVANPRRSWDDPDYRKWKDKEAEILEDIEPVISLAKEIIHSNRYMDGERLTAEDEKTVIERLLAYHPHSEDKIGCGLDSIMVDRHPQFRHSRCLFVVRTDGGWIDFSYQKCLRAYIRDKYPSHAERFIKGHFKRSSS >CDP16502 pep chromosome:AUK_PRJEB4211_v1:10:17790341:17796252:-1 gene:GSCOC_T00018481001 transcript:CDP16502 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYEVEDVLETYIVEESLYRKKNFVRKVVGSIKHLSDLKSIGKTIQELSKRVEQTRSDNRGYVPVLVEGVKRNNAISKDNQLEGYPEADRIIGFEDAADHVLKLIDAAQQSESKLKVVSIHGMLGLGKTTLANKVLTDPNIEFQFLTRILVSVTKKYEKKEVLLSILRSLKINISDQNMSEVDLVNKVREELKYKYLVVVDDVWDGENWDNLKGAFPDNNNGSRVIITTRDVRVAQYVSPKCEPYQLRFMKLQEAEELLRMKVFEENKCPEELKPNERLILEKCDGLPLAIVVTGGILKANPKDANWWKDVLDEVPPLVDKKKVERIDRYIRLSYDNLHHEVKPCFLYLGVFPENLEIQVWKVLQLWIAEGFIPQHETASLERMAEQYFRELVDRNLLIVGKRTLSGKIKTCRIHDTLRDFCKKTAKAEDLFQAIHKNTNPSSSRRLCCINSQFLEYILGGQPADKVRSFLSFGQDETKYNEDPNSSIFKPFKLLRVFDISSIYINFPGRFPPKLPNLVLLKFIAINFNLKNLPKSMSSLRNLETLIVHTTEPTLDIQADIWMMTKLRLLHTNTTAFLPNPMVILICLNVFAG >CDP07621 pep chromosome:AUK_PRJEB4211_v1:10:2998629:3001920:-1 gene:GSCOC_T00024934001 transcript:CDP07621 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKNSSTAAAAAISSQPHLQQAPSGTMREESSGKKQSSVNPKSMLKLDHLKNLAIWATAEASVSSLGAFFGHRLAATAEALGVPPDPSLFSCQRCESILQPGYNCTVRIEKIKPNPKRRRKKRSLSIENSVVYSCHFCSHRNLKRGTPKGYMKELCPSKPKVSSKSDPTKSSHPKFAKAKVITASKNDPMSKVDGIASQKIINKDPVNDSSTTPSAKVETLLDTRKRKRTRSGSKKIVESESSSVPVDAKKASIPSSKRKRKSWTTSKNDPMSKVDGIASQKIINKDPVNDSSTTPSAKVETLLDTRKRKRTRSGSKKIVESESSSVPVDAKKASIPSSKRKRKSWTSLKDIAECSDQDSSRNFTNLAIPFRI >CDP01027 pep chromosome:AUK_PRJEB4211_v1:10:6398539:6408038:1 gene:GSCOC_T00034515001 transcript:CDP01027 gene_biotype:protein_coding transcript_biotype:protein_coding MRILEIKIIFISSVILVTAIESFQPPYSCDSTNPLAQSFPFCNTTLALDHRVQDLVSRLTLDEKISQLVDSAPAIPRLNISAFEWWSEALHGISESGKGILFNGTLTAATVFPQVILTAASFDACLWYNISQAIGKEARAFYNEGQALGVTFWAPNINIFRDPRWGRGQETPGEDPSVAESYAVSYVRGIQGDSFDGGQLKDGHLQVSACCKHFTAYDLDNWDGVTRFVFNANASNQTISDLADTYEPPFESCIQQGQATGLMCAYNLLNGVPNCADYNLLTETVRGEWGFKGYIASDCDAVAVIHDDQGYAKLPEDAVADVLKAGMDVDCGSFLMNYTGRAVQQKKLSESDLDRALHNLFSIRMRLGLFNGDPTRLEYGNIGPEQVCSQEHQDLALEAARDGIVLLKNSYNLLPLPKAETVSLAVIGPNANTSETFAGNYHGLPCKNITIFQALGSYTKNAVYLQGCVGVNCTSSATAEALGVAQEADYVVLVMGLDQSQEREELDRTELVLPGQQETLIKNITLHAKNPVILVLLSGGYPGEAGGIALAQIIFGDHNPGDRLPVTWYPEDFIKVPMTDMRMRSEPSTGYPGRTYRFYTGPKVYEFGYGLSYSNYTREFVHVTPDKIYINNKKFSTKIAVENRGNLPGKHPVLLFVKQANLTIGSPQKQLIAFRSPPFSCDKLNSQSDSFSFCKTTLPIPARARDLVSRLTIDEKISQLVNTAPAIPRLGIPAYQWWSEALHGVANAGPGITFNGNIRAATSFPQVILTAASFDVHLWYSIGQVVGKEARAVYNEGQATGMTFWAPNINIFRDPRWGRGQETPGEDPMVTGKYAVSYVRGVQGDSFQGGMLNHGELQASACCKHFTAYDLDNWKGITRFSFDARVTEQDLADTFQPPFRSCIQDGQASGIMCAYNRVNGVPPCANHDLLTQTARKQWGFHGYITSDCDAVSIIYEQHKYAGTPEDAVADVLKAGMDVNCGYYLLNYTKSAFLQKKVSESDIDRALHNLFSVRMRLGLFNGDPKKLLYGSIGPDQVCTKEHQELALEAARNGIVLLKNSAKLLPLSKKITSLAVIGPNANNAFVMLGNYQGPPCISVAVYKALQDYVPNAVYHEGCNAVNCTSAAIDDAVNAAKGADYVVLVMGLDQGEETEQLDRESLTLPGQQESLITAVANAANKPVILVLLCGGPVDVSFAKDNPKIGSILWAGYPGQAGGIALSEILFGDHNPGGRLPLTWYPKEFVNIPMTDMRMRPDPSSGYPGRTYRFYNGKKVFEFGYGLSYSTYSYNFVKVAQSTLYLNQLSATAQVTATSSNAARHLAVSEMNTDSCEKAKFSTVVGVENSGDLAGKHPVLLFVRQAKATNGNPIKQLIGFQRVSLDAGAKAEIEFTLSPCEHLSGANEDGLMVIEEGSRYLVVGDKEYPINVVI >CDP11531 pep chromosome:AUK_PRJEB4211_v1:10:27110409:27114216:1 gene:GSCOC_T00033829001 transcript:CDP11531 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD52-1B.2 [Source:Projected from Arabidopsis thaliana (AT1G71310) UniProtKB/TrEMBL;Acc:A0A178W7H6] MAGGRLQTTAINSLLKGGRGSDCFSKLLGSRQQQRSIAAKPKTFDTAAVAHTDSSSSADADAAYPKQSDFPHHHHHDAVDSGGVPTSGISRPLSEILKELNKKVPDSLISARTEPNGFSVKYIPWHIVNRILNLHAPEWSGEVRSITYSADGKSVSVIYRVTLYGTDAEIYRESTGTASVGEPGYGDAVQKAEAMAFRRACARFGLGLHLYHEDTL >CDP07236 pep chromosome:AUK_PRJEB4211_v1:10:57032:64836:1 gene:GSCOC_T00024441001 transcript:CDP07236 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLLCCRHIEGTTLLHEYEACFSSRKRMKVASSVVPDKDHLSDVESSMELSLGCLNDSHNFAACCDGDEKVGSNSRTEISCQSNGNSGDVNQSFVVSGSSNDNKTHTLSSLPSYVTGWMYVNHNGQMCGPYIQDQLYEGLATGFLPEELPVYPILNGALTNSVPLKYFKQYPDHVATGFAYLAAATSGLKQSRDCPTDSHCNTQSSGKYCGFGSMNKVFSSGEACLPTAPFVPLSGESSWLFEDDEGRKHGPHTLIELYSWFHFGYLNNSVMIYHIENKFEPFTLQSLLNTWGMARGGAVTMSNAESEETDLSVNLISTVFDELCCQLHSGIMKAARRFMLDEIVSHIISDFMATKKVHKQSKPEAIHLSIETSASEGKMYKALHGRKDYTTYGCEAEVSSIIEQQCSPSAMMPSICLKSVGNFENFWVTYVVVCRVLLDSCMEVMWNAVFYDTIAEYSSRWRKRKRWYTPVSVELSIPSKQYVEPCAKVAVENRQVEQECSECESDFPPGFEIMANSVDTNISDDILEIVLADLHLSVKMSLIEYIESLLEEQVRKVVYSPEVAEFTEVASDAFSVNGCMTGQDPPRMISVSKASPSNNVQLASQSEERFHQKTVNEGQTSITNILPSVFNNLQVPLDDPCHKVLFDKLRPMKFEGSSRTCITSQARRVKPSRSDESVPRMTLDAVLTVCRLRVHDVVLRELKLMLVDDAILGTSMTLTPLKKLLRSDHSEGLGSGRLDENSFDEFKKYGHRSSRVLELSGKHTYYRKKKLARRNSGSVSQSAATAGSIRLLRQSVQKSRKHEISEGIPENARLENAVVNAERYAVQSCRNDVHNAADALGDSFLLDNVCNKKFEKVSREVKAREDLASRSRKTTSFSTQDTKDLEKIARSRSKKFAKLDLQSSGCLEKMPNNPASKVVKLKRKQVEDDMAQSQSRKVLRVSKGAGKQAASKHVTIEKVRMTCKSRKGAPFPQSEGCTRCSVNGWEWRKWSLNASPADRARARGTTRVHAQNIISNAPGSQSSSIKGLSARTNRVKLRNLLAAAEGADLLKATQLKARKKRLRFQRSMIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERHYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVISVEGQKKIFIYAKRHIAAGEEITYNYKFPLEEKKIPCNCGSRRCRGSLN >CDP11388 pep chromosome:AUK_PRJEB4211_v1:10:25514842:25516905:-1 gene:GSCOC_T00033618001 transcript:CDP11388 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNSKMAIGKHLRGILYWNVGLFDGPYRSHVHRKFSLWSMKKDPDLESALSRNRRWIVNNQIKNVLLRCPNQVASVKFLQKKFKTLDLQGNALNWLKKYPCCFEVYLENDEQYCRLTKQMMALVDEEECLKDMQEPVFAERLAKLLMISSNQRLNVMKLNELKRNFGFPDDYLIRIVPKYPELFRVVNYTGRRSSMEIELKTWNPNLAVSAIEKIALKEGRPPGFSCSLPSSWVKSWERFEEFNSTPYISPYTDPGSLVEGSKEMDKRIVGLVHELLSLTLWKKLSILKLSHFRKEFCLPEKLNVLLLKHPGVFYVSNKYQIYTVLLREGYSGSELIDKDPAVIVKDKFGELMQEGLHEYNQRHYLLNLEKKRKKGMITSRPGKKNNLRNEMSENEDQGGDIGGIFDPEERKRFYKILFDDSAP >CDP12437 pep chromosome:AUK_PRJEB4211_v1:10:13236988:13242686:-1 gene:GSCOC_T00035992001 transcript:CDP12437 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQKALKEQYFFTCTCPRCIKAGQYDDIKESAILEGYCCKDDSCSGFLLRDPENRGFVCQECGLLREKEEIKDIEWEVKYLIEKASICSSGNKIDASLTYKAVEKQQLELCHPFSINLMRTRETLLKIYMELQNWKEALAYCRFVIPIYERVYPRLHPLVGLQYYTCGKLEWFLGETNEAIQSLTKAVDVLRITHGTSTSFMKELLIKLEEARAEASYSLSSKDDQ >CDP07258 pep chromosome:AUK_PRJEB4211_v1:10:259269:262632:-1 gene:GSCOC_T00024476001 transcript:CDP07258 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIEKKRFVVTGGAGFVGSALCLELVRRGALSVKAFDLNIHSSFSDRLRQQGVHLIQGDVTCKEDVEKALRGVDCVFHLASYGMSGKEMLQYGRVDEVNINGTCHVLDACLHQGIARLVYVSTYNVVFGGQEIVNGNETLPYFPMDHHVDPYGRSKAIAEQLVLKTNGRPLRNTNKNGKCLYTCAVRPAAIYGPGEERHLPRIVNFAKLGLLPFRVGNPSVKTDWVYVDNLVLALLLASMGLLDDIPGKEGSPIAAGQPYFISDGSPVNSFEFLHPLLNSLDYELPKASLAVRHALLLGNFFWAFYSFMYPWLNRSWLPQPLMLPAEVYKVGVAHYFSFVKAKEELGYIPMVSPREGMAATISYWQDKKRRNLDGPTIYAWLFCVIGMLSLFAAAYLPDFGPVPLVRSFSLLFLRSVTALRLLFLVSAALHVGEAIYAWNLAKKVDPANSKGWFWQTFALGFFSLRFLLKRAKRLR >CDP10735 pep chromosome:AUK_PRJEB4211_v1:10:9667625:9667855:1 gene:GSCOC_T00031541001 transcript:CDP10735 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSNFNANLGDFGLARLVDLELRPQTTDLAGTFGYLAFEYVSTSRPSKESDVYSSGVIALEIASGRKSTDPMSDT >CDP01061 pep chromosome:AUK_PRJEB4211_v1:10:6073710:6074611:1 gene:GSCOC_T00034559001 transcript:CDP01061 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGSALVDRITPLTIVGIGLIWGLVIMALIYALGHVSGAHFNPAVTIAFGAASRLPLVQVEKFLSTCMATYVVSQLIGSTLACLTLRVLFHGQHDILPTHTQYRSSTSDLEAIAREFIITFILMFIICGAATDDRSNKALSGVATGVTMLFNSVNVICFFNWSINESWKKHWSCNSI >CDP01166 pep chromosome:AUK_PRJEB4211_v1:10:4995693:4996353:1 gene:GSCOC_T00034704001 transcript:CDP01166 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQIFRRLRINGGTSACTRVVVLFPEPLPHYTTRAAAVPEHQKNRFLEEYLVNSLGFSKEEAFSASKKAPMRRK >CDP07399 pep chromosome:AUK_PRJEB4211_v1:10:1226903:1227459:1 gene:GSCOC_T00024654001 transcript:CDP07399 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPKAPMMMVIIIIASLVTLSLVYAAQVPQIDSSTGGRSLLQNNDIDCKSACDARCAETKRRNLCKRACGTCCERCHCVPPGTSGNEDVCPCYANMTTHGGRHKCP >CDP01156 pep chromosome:AUK_PRJEB4211_v1:10:5051336:5054006:1 gene:GSCOC_T00034691001 transcript:CDP01156 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNSNSLILYFLACVLFSSFLQDSQAFHHFKFVVKEARYRRLCSSKEILTVNRKFPGPTLYAHRGDTVIVDVINKGSYNITLHWHGVKQPRNPWSDGPEYITQCPIRPGNRFRQKIIFSDEEGTLWWHAHNDFLRSTVHGAIIVYPRRGLPYPFPKPYAEVPIILGEWWKEDAMVVFEDFVRGGGQPKVSDAYTINGRPGDFYPCSKRGKFKLKATYGKSYLLRIINAALDEILFFGIAKHKLTVVGTDASYTKPLTRDFITISPGQTLDCLLFADQKPDHYYMAARPYVSGINVTFNNTTTTGMVKYRGAGLHGRFSSSPPLIPYLPCFNDTSAAVNFSGSLKSLASKDYPVDVPLTVKKRFMSTVSVNTFPCPNKTCDGPNGTRLAASMNNITFVTPSFDVLEAYYYGIRGVFGKNFPDRPPFVFNYTADYLPLELQRSKRGTEVKTIKYNSSVEIILQGTKLVAGIDHPMHLHGYSYYVVGWGLGNFNESKDPMNYNLVDPPRRNTIAVPKNGWTTIRFRADNPGVWLLHCHLERHIIWGMSTVLIVRDGKKPEERILPPPQDMPAC >CDP07268 pep chromosome:AUK_PRJEB4211_v1:10:317052:323239:-1 gene:GSCOC_T00024488001 transcript:CDP07268 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHGWQLPAHTFQVVAITVFFLLSVAFYAFFAPFLGKDIYEYIAIGIYSFLALCVFILYVRCTAIDPADPGILIEPDKTLTHRSQNNSEFPEEPSKVGLNNEGKSEQHSSGCCSTVGGLFCGFLVKADCRKDEDHLQEQNGEEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFVCLMAASLVWLAFECAVGIMVLVRCFADRKATEHQITDRLGDGFSRPPFATVVALCTAVSFLATVPLGELFFFHIILIRKGITTYEYVVAMRTQSEPPGPSIDGEEQQSLPSSPTSSAVTAISGRSSLGKGLQYKGAWCTPPRIFMDHQDEIIPHLEPGRLPSTVDPDTLQADKGKKVAQRPVRISAWKLAKLDSNEAIKAGAKARASSSVLRPVSSRHHQHDGDHLSSTNMSGKSSPTSTNQGFYERNARAGTSRLSSTKSSCPPSLASREDIETCGHSISNLSSPLAANLTPSPQGLESSNRGHFNPIYQSSAEQSPRSVKAGDGNGNEAGVGDDLNQNLARKNNPGVTENPKSSVYWDQEAGRFGSAATRNTGSCSSQVSGTELTYTGQSIFFGGPLVSEQLGRGGTRSGGGLAAGPQRSSGSSLYQQGRTQRGGQLPVFVPSDSQQNQFSSRFQ >CDP01235 pep chromosome:AUK_PRJEB4211_v1:10:4318442:4323146:1 gene:GSCOC_T00034808001 transcript:CDP01235 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASPEDPTPSKDEEACHLLSSSSLPSPSPSPLVDASLRKCLPEQEEEEEEEEVAYESRDKILVVDIELDGADYSTVPPFSFKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATAMGLLIQLLSARIGVATGKHLAELCREEYPNWARILLWFMAEVALIGADIQEVIGSAIAIQILSRGVLPLWAGVLITASDCFIFLLLENYGVRKLEAVFAVLILTMAISFAWMFGDTKPSRNELLIGLLVPKLSSRTIHQAVGVVGCVIMPHNVFLHSALVQSREIDPNKKGQVREALTYYSIESSVALLVSFMINVFVTTVFAKGFYGSKQADSIGLVNAGQYLQEKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSCAILPTVVVALIFNRSESSLDVLNEWLNVLQSIQIPFALIPLLTLVSKEQVMGVFRIGPTLEKVAWTVAALVIVINGYLLLDFFSSEVHGLLFGFLVCAGTVAYLAFILYLISRGGGPFSNWINIPLFKVFTTFGN >CDP18296 pep chromosome:AUK_PRJEB4211_v1:10:24969363:24971104:1 gene:GSCOC_T00012028001 transcript:CDP18296 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKMYGLMLQSHALVTLDFVGPTVLLRHIIKDGSPFQLPCPLPLENSFYDKYLIKSSGELLFVFKIYSAPGNYTVAYFKVFRVNTSEIVCEEVKNLGDQLVFLNHHYGMTCSIQEPGIRRNSIYFAELFDRNLYVYNMENRSQSVKLPCPIAKRKARLSWVMPLLPSSI >CDP07543 pep chromosome:AUK_PRJEB4211_v1:10:2344538:2344897:1 gene:GSCOC_T00024834001 transcript:CDP07543 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRMIKLGKLDVPAGTPFYLALASVHHDEARPRASIHKDLLSPGSIWPCFFPLGLGTRICVGQNFVLMEARIYNPSYDSQKKFDFAVSPSRVHPHPPVTFRFQTVQAQYSAHVLFRKI >CDP01148 pep chromosome:AUK_PRJEB4211_v1:10:5128030:5130438:-1 gene:GSCOC_T00034681001 transcript:CDP01148 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPRTAKMREFLNDPHRFGQFKSVHSVFTFTADPDFLENDIRVKPDLDALGALGDVGWYTMRAILWSVDFELPKSVIALPGPVFNKAGVVLSCGASLYWEDGKVATLHCSFLSNMTMNVTAIGTKGSLHLCDFVIPFEEEKASFSTSVESGFTDLVTGWAPKPSEHVVTTDLPQEAQMVKEFSRLVGLIKAEGAKPETKWPTFSRKTQLVLDAVKTSIDRGFEAVEVIG >CDP07606 pep chromosome:AUK_PRJEB4211_v1:10:2815982:2819047:-1 gene:GSCOC_T00024913001 transcript:CDP07606 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNCLRPTFLVVNIQAICIETQPKPRAKLGGTRAALFVYSMASLENMAFISNAVSLFTYFHGYMNFSLTKSATTLTNLMGTAFLLSLFGGFISDTYLSRFKTSILFGCIEAVGLAVLTVQAHFPQLRPILCKDAATLKSTQCETITRSQEALLFAGLYLFAFGNSGVKAALPSLGADQFDERSPLEAPKLASFFNWLLFSITIGAMLGVTFLVWISANQGWDWSFGVCTIAVTMGLLFLSMGRSIYRNNVPKGSPFVRIAQVFVAAIRNRNLPIPEMADDLHQIRDKEARKGNEIEILDRTDQFKILDRAAIVRSTEDSTTVIVPGPWRLCTVTQVEETKILIRMLPVILSTVFMNTCLAQLQTFSIQQGTTMDRSIRGFQVPAASIPFIPLFFMFVLIPVYEHVFVPIARKFTGIPTGIRQLQRVGVGLVLSAISMAVAGVIETRRKSVAVEHNMVDSLEPLPISVFWLGIQYAIFGMADMFTLVGLLDFFYAESSSGMKSLSTAISWCSLAFGYYTSTVVVNVVNKVSGGWLASNNLNRDNLNYFYWLLAGLSVANFGVYLMCSSWYKYKKIDMKQVDADSKVDPEEKV >CDP00859 pep chromosome:AUK_PRJEB4211_v1:10:8950083:8952724:1 gene:GSCOC_T00034285001 transcript:CDP00859 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIQYLVAIPVVLALFLSYIQWKPRSQISDEKRKSPPEPEGAWPVIGHLLQMNPKIHVAETLAAMADKNGPVFSIRLGMLPVLVVNNWESVKECFTTNDKTFASRPPSSFAKYLCYDYAAFGIAPYGPYWRDVRKMVLRELLSTQRLEKLKQVRISEVQTSIKEIFLNISEVNKHGNEIKAPARVDLGDVFEKLTLNIVLRKIAGGRYTDSDVGKERDAEFRRVLKEFEAFARILVVSDIIPFRFLKWLDPQGNIKSMKRLAKELDKYMQIWVDEHKEGRMKNSGDGDDELDFIDVLLSTIKDESICGFSKEVVIKSTILVLIVAGTSTTSRALSWVISLLLNHRHVLQKAQEEIDSFVGKERWVEESDIKNLVYLQAIVKETMRLHPPAPVPMPRQADEDCNVAGYFIPKGTQLYVNVWKIQRDPRIWPEPEKFFPERFLSGDHAGAQLDFSSQNFELNPFGNGRRICPGMSFALQVMHLTLARLLQAFDISRVSDLPVDTAGYEGKAPPLEALMMPRLPNLDLYG >CDP11475 pep chromosome:AUK_PRJEB4211_v1:10:26556569:26558794:-1 gene:GSCOC_T00033747001 transcript:CDP11475 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYLNELYIDGTFPSFTFSGLPQSRASQPNGPCNWFDCLPRFWQSAPGLNSLQKEKLPSELTEKCGRTGIPTASTGCAQKKFLVFDQCDGQTTLIYNSGVGAPMQHPTSWNPKVPAAYDPLMEDLGMKKDMFSNFAPFTSYEHHEDNHGDDVETEMHEDTEELNALLYSDDENEYSDNDDDEVTSTGHSPSTMTEHGIQEWFEERGEEVASSASPTKRRKLLSGDYEVPSPVNALSSGKPRKVSEIEDDAESSCGNFDNQVWEESDSLSGKKRWRKEKIRETVSILQSIIPGGKGKDAVVVIDEAIHYLKSLKVKAKSLGLDAL >CDP07245 pep chromosome:AUK_PRJEB4211_v1:10:147215:148271:-1 gene:GSCOC_T00024453001 transcript:CDP07245 gene_biotype:protein_coding transcript_biotype:protein_coding description:CP12-3 [Source:Projected from Arabidopsis thaliana (AT1G76560) UniProtKB/TrEMBL;Acc:A0A178WDK7] MDGCTSTTPPHRLLLSKIDSLNKQTGNRMSIVDTVVMANFTTVKNHHPVLAAAGAPPLSFRFSWCASGAVTAGRENRKQMRGGSSPSGMVIPKAGRMRRSGAQATTMMMGKYKGTQMREKQLTEMIEKKVREAKEVCGEDGKSDECKVAWDEVEEVSQAKADLRLKLEIMHQDPLESFCQDNPETDECRIYED >CDP07353 pep chromosome:AUK_PRJEB4211_v1:10:933976:937926:1 gene:GSCOC_T00024592001 transcript:CDP07353 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYRKVEKPKAETPIDENEIRITSQGRMRSYITYAMSLLQEKGSEEIVFKAMGRAINKTVTIVELIKRRIVGLHQITSITSTDINDTWEPLEEGLLPLQTTRHVSMITITLSKKELDTSSLGYQPPIPADQVKVSTDIEYDGEGSPNGRGRGRGGRGRGRFRGISGNGFVSAEYEDGGWDHNRGYGRGRGRGRGRNFRGRGRGGYNGPLVDTLEDTGGYNQEAPPQGRGRGRGRGGARGRGRGIKSNGPVHVAAGGT >CDP01307 pep chromosome:AUK_PRJEB4211_v1:10:3639710:3644566:-1 gene:GSCOC_T00034905001 transcript:CDP01307 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQVQNAGVTAGPNGVAAPAGGAAVAAAAAPIGGGNQFLSTSLYVGDLDVNVTDSQLYDLFNQVGQVVSVRVCRDLSTRRSLCYGYVNYSNPQDATRAMDVLNFTPINGKSIRIMYSHRDPSIRKSGTANIFIKNLDKAIDNKALHDTFSTFGNILSCKIATDGNGQSKGYGFVQFDHEESAQTAIDKLNGMLINDKQVYVGQFLRKQERESAFSRTKFNNVYVKNLSESTTDDDLKKIFGEHGAITSAVVMRDADGKSKCFGFVNFENADDAAKAVDALNGKKYDDKEWYVGKAQKKSEREQELKSQFEQTAKEVVDKYQGLNLYVKNLDDSIDDDKLKELFSDFGTITSCKVMQDPSGISRGSGFVAFTTPEEASRALSEMNGKMVISKPLYVALAQRKEERKARLQAQFAQMRPVAIGPTIAPRMPLYPPGGPAIGQHLYYGQAPPTIIPPQAGFGYQQQLVPGMRPGGAPLPNFFVPLVQQGQRPGGRRGAGPVQHAQQPVPLMQQQMLPRGRMFRYPPGRSVPDVRMPGVAGGVLSPYDMGGTLPREGTIGQPMPTTALASALANASPEQQRTMLGENLYPLVDQLEPDYAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLQNVQQGSGPADQLASLSLSDSIVS >CDP10738 pep chromosome:AUK_PRJEB4211_v1:10:9604413:9608782:-1 gene:GSCOC_T00031547001 transcript:CDP10738 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSFIDDDWEFGSASHRARTVVLVGRTGDGKSATGNSILGRKAFKSMNSPDGITSTSEMQTAVLEDGQIINVIDTPGLFNKSAEPQLVGKEIVRCIDMAKDGIHAVLVVVSLSSRISIEEAATVETLQKLFGDKITKYMILVFTGGDDFEEDEVDFDDYLSRSESIKGMLEICGNRWVLFDNKTKNTAKKAEQLKQLLSLVDDVDKKNGGKPYTNELFVEFQKAAAKLRDQAKELNSLEGYSKEEKIEREVQIYQSYEEHLARSTDMVETKLREATHELEQRLAEEQDARLKAEQTVRETRAKSDNEICELRQNLERSQRVAEQLKKFGKNLGCHIM >CDP18860 pep chromosome:AUK_PRJEB4211_v1:10:27317562:27325149:-1 gene:GSCOC_T00013396001 transcript:CDP18860 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCVNHCENVSLRLISSSQPYSFNSTKNHLSNSNLFKLFFNATKPATKWSVVSHLNFQCRPLFLHSAKLNWRVKVNSIRCEKGAVCDSNGSMNGGVFGSQKVGKRADIKKILILGAGPIVIGQACEFDYSGTQACKALREEGYEVILINSNPATIMTDPEMADRTYIEPMTPELVEQVLEKERPDALLPTMGGQTALNLAVALAESGVLDKYGIELIGAKLEAIKKAEDRDLFKQAMKNIGLKTPPSGIGTTMEECFEIANSIGEFPLIIRPAFTLGGTGGGIAYNREEFEAICKSGLAASLTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAIIREIGVECGGSNVQFAINPEDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPILTTQMKSVGESMAVGRTFQESFQKAVRSLECGYPGWGCSQIKELDWDWDRLRYNLRVPSPDRIHAIYAAMKRGMKVNDIHELSYIDKWFLTQLKELVDVEQYLLSQKLSDLTKDDLYEVKKRGFSDKQIAFATKTTEKEVRLKRLSLGVKPAYKRVDTCAAEFEANTPYMYSSYDFECESAPTQRKKVLILGGGPNRIGQGIEFDYCCCHTSFALQDAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNIIDLEGPDGIIVQFGGQTPLKLALPIQQYLDEQKPKCKSGAGYVGIWGTSPDSIDAAEDRERFNAISEELQIEQPKGGIAKSEQDALAIAADIGYPVVVRPSYVLGGRAMEIVYSDDKLVRYLETAVEVDPERPVLIDKYLSDAIEIDVDALADSHGNVVIGGIMEHIEQAGVHSGDSACMIPTKTVSPSCLDTIRSWTTKLARRLNVCGLMNCQYAITASGEVFLLEANPRGSRTVPFVSKAIGHPLAKYASLVMSGKSLHELQFTQEVIPRHVSVKEAVLPFEKFQGCDVLLGPEMRSTGEVMGIYFESSIAFAKAQIAAGQKLPLSGTLFLSLNDLTKPQIPTIAQAFLALGFNIIATSGTAHVLELEGIPVERVLKMHEGRPHAGDMIANGQIQLIVITSSSDDLDQIDGRKLRRMALAYKIPTITTVAGALATAEAIKSLKCNKIEMSALQDYFDSEKKAGSYQNMQSASSTVVVE >CDP01335 pep chromosome:AUK_PRJEB4211_v1:10:3389471:3391784:1 gene:GSCOC_T00034938001 transcript:CDP01335 gene_biotype:protein_coding transcript_biotype:protein_coding MELENTINTPTSSWMQHTKVSTITIYKSKKALKPQSDGNCVTILSIDGGGIRGIIPGVILGYLETELQKLDGSDARLAGYFDVIAGTSTGGLVTAMLTAPNENKRPLYAAKDIKDFYLEECPKIFPQSNHLFSCIERVVKSMTGPTYDGKYLHSMLREKLGQTRLHDTLTNVVIPAFDVKLSQPTIFSSYAMKHFSSLDALLSDICISTSAAPTYLPAHKFETKEPDGSTREFNMIDGGMAANNPTLVAMAQVTREISRGNPDFASVGSSDYSPFIVLSLGTGTTKAGGFDAEDVAKWGLLSWLTNANTTPIIDIYTQASGDIADLHLSTIFQTMQCEENYLRIQDDTISGDLGSVDLATKENLKNLVKVGENLLKKPVSRTNLKTGASEPVNRGTNEEALKRLAAALSEERRLRLSNNSKNFSSLNPQRC >CDP07602 pep chromosome:AUK_PRJEB4211_v1:10:2787475:2788311:1 gene:GSCOC_T00024909001 transcript:CDP07602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF1.6 [Source:Projected from Arabidopsis thaliana (AT1G47655) UniProtKB/Swiss-Prot;Acc:Q9SX97] MSSETGDPRPARLSTMATRPSEPEHLPCPRCDSTNTKFCYYNNYNLSQPRHFCKSCRRYWTRGGTLRNVPVGGGSRKSSSSSSSSSSSSNKRPRTTSGTNSPTATTPTASSSSDSGYGLGPGLHGLGFGLGGMDWPMESVVAGQGNGGGVGGAGVGVGGGDGISDGSGAGGSSSSGCNNTWQMGSGVEGGLAEGDCFGWPDLAISMPGKGLK >CDP10954 pep chromosome:AUK_PRJEB4211_v1:10:21713210:21713976:-1 gene:GSCOC_T00031934001 transcript:CDP10954 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLNPLFTTHRSGVIAQQFFASSAAASINSVSSLKIAACSKTKLVDQSPLRQSGNHQLLSSDFNHLQSLKNDYAEEKYISRCEVLKEQVKMMLDQEMEVVNQLELIDDLQRLGLSYHFGDEITSVLSGIYNRKSMNKMRNQWGLYATYTFNGKARKVCLQGDSAEKTPSVLARDNLPRIIC >CDP12022 pep chromosome:AUK_PRJEB4211_v1:10:22519006:22520275:-1 gene:GSCOC_T00035378001 transcript:CDP12022 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSASIKALADGQTRTHPSIASSALHIDLIPTIIQYTKMGPSSAIKVIFFFHFILALAVPSLAASYAVTWTKTSLASPGTLLQLSNLHAGDTISKIPIIRVCFILVFFFFFFLLIREFTTAIRK >CDP07274 pep chromosome:AUK_PRJEB4211_v1:10:352433:357664:-1 gene:GSCOC_T00024494001 transcript:CDP07274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 3.3 [Source:Projected from Arabidopsis thaliana (AT1G42540) UniProtKB/Swiss-Prot;Acc:Q9C8E7] MNAIRHFPLVLWFLSSGVFSSHGLTGNVSSTRPAVVNVGAIFSFDSTIGRVAKIAIQEAVKDVNSNSTLLPGTKLVVKMRTSNCSGFVGMVGALQLMETETVAVIGPQSSVVAHTISHVANELQVPLLSFAATDPTLSSLQFPYFVRTTRSDSYQMTAIAEMVDYYGWKDVIVVFLDDDYGRNGVSALDDAIAARRGRISYKAGIPPAPGVNRTDIIDILIKVAVMESRVIVLHAYPDVGFMVFSVAQYLGMMGDGYVWIATDWLSSVLDSSSPLPPENMDSMQGVLVLRQHTPDSDRKRSFLSRWNKLNGGSLGLHSYGLYAYDTVWLVAHAINSFFEEGGRISFSSDPNLRFVQGSTLHLEELKIFDGGPLLLKKILESNFVGLTGPVKFNSDKSLVFPAYDIINVIGTGFRVIGHWSNYSGLSTVPPETLYSRPPNRSSANQQLFGVVWPGETVIKPRGWVFPNNGKQLKIAVPRRVGYREFVSQVPGTSTFKGFCIDVFTAAVNLLPYAVPYQFIAFGDGHENPSYSELVELISAGVFDGAVGDITIVTNRTKTVDFTQPYVSSGLVVVAPFRKLNTGAWAFLRPFSGLMWGVTAAFFLLVGIVVWILEHRTNDEFRGPPKQQLITILWFSLSTLFFAHRESTASALGRVVLIIWLFVVLIINSSYTASLTSILTVQQLYSPIKGIESLKESDEPIGYQVGSFAENYLIEEIGIPKSRLVSLGTPEEYATNLLSGPKKGGVAAVVDELPYVELFLSSQCKFRIVGQEFTKSGWGFAFPRDSALAVDLSTAILALSENGDLQRIHDKWLTKSTCSSDNAEIDSDRLHLKSFSGLFLLCGITCFIALLIYFLQIMHKFREAARAGRIANEGPGSSRSRSLQTLLSLMDAKADPSRRDSKRRKIEISLSDGIDFGKDPDGRQ >CDP12439 pep chromosome:AUK_PRJEB4211_v1:10:13251449:13255199:-1 gene:GSCOC_T00035995001 transcript:CDP12439 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQKALNDGRSLTVSTLPNKGRCLFTSRHFSPGEVIISQEPYVAVPNKNSNDSSSRCEWCFSTRNLKKCSACQVVWYCGSTCQKTDWKLHRLECQVLSKVEKGRIKSLTPSIRLMVKLYLRRKLQVEQVIAMTSTDNYGLVEALVSPLGILLTQTYLFCFVLLLP >CDP10717 pep chromosome:AUK_PRJEB4211_v1:10:9922975:9925337:1 gene:GSCOC_T00031518001 transcript:CDP10717 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFLCYSLYTILALLVPLYLYLLTRKPKVNKSKLPPGNLGWAILGENVDFATGGPRKFIEERMSKYSSQVFKTSFMGEKVAVFCGPAGNKFLFSNEDKTVTSWLPRSMRKALLFPSYVDAPLKEVGALQHSFLHEILKPEALKKYIPAMDAMARKHLDAEWARFQEVKVYHLAKKYTFALACRLFLNIEDPEHVKRLSDPFACVLNGLFSIPLDFPGTAYNGAIKGGNKVREELLKIVTNRKKELMEDESSAGRDLLSRMILVKDEDGKLMNEMEICNNIVGLLVASFDTTSCAVTFVLKNLLDLPHIYEKVYQEIMEIAKSKGPDDILSWEDIQKMTYSWNVARESLRLTPPAQGSFREAKTDFDYAGFTIPKGWKTFWSVYSTHRNPKHFANPDVFDPSRFEGSGPAPFTFIPFGGGPKMCPGKEYARLEILVFMYNVVTRFKMHKLIPDEKIINLASPTPVNGLPVLLRRRNA >CDP01005 pep chromosome:AUK_PRJEB4211_v1:10:6714753:6716846:1 gene:GSCOC_T00034488001 transcript:CDP01005 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNVDLDRIFQKDILHSRGSSAATVGIGPGLNSSHPFPGYPQGSFPGISGAAAPFHPVPQAVWDNELQSILQMGFDTSPSINNLGPNAGRSKLEL >CDP11420 pep chromosome:AUK_PRJEB4211_v1:10:26042234:26044275:-1 gene:GSCOC_T00033667001 transcript:CDP11420 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHNLKRRELQELCKKHKIPANLTNLEMATKLTALLRGNQQPLTRGLRSCLKGLEENVSENESDFENRPAKKVRFSPQNEMIEFEKSAVKCMETRVRGRRKSVMNHSKGGEVVENDSPVILVDEGVGRGRRSRRGKVIEGSCVKRKGGKMGVEDNVLKDKLPSASGVVGMSSDAPMDEGAGRVTRSRKVKFMEDREVESKGGKKGMKADALKGELPSATADNVPLVDFSNEEHVVVGKRSLRNRVIDVEKKKIALVEKGSQQNEEDLLVRKRSLRNIELKDNKMRAYEDVEKGSRKTERQVKDMRRKDIVRTKATEEEPEAIQAEKVLRRSRRHVAKMECHKLVKEDVRKIEKAVQGRLRSIVAVEVKEVSEVDSVSGMMKESYPFDDNLRRSKRNAAKPEVIYTREQTDKVANAKGKEESKKRRRDAFLQEQAVKVDGNSVERPLRRSTRNTEKIERVAATTVRGKVAQKQKGKSKKTRGKFEDSFTEEILITEDLLAPEAELMIPEIVKDTVIDNFNVVVDLTGYVSDLNGADTSEPNFIGEGRGIVYFPLFVLFYL >CDP11507 pep chromosome:AUK_PRJEB4211_v1:10:26866324:26871561:1 gene:GSCOC_T00033795001 transcript:CDP11507 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRAARNAYSWWWASHVRTKQSKWLDQNLQDMEEKVEYVLKIIEEEADTFKQRAEMYYRKRPELVNFVEDSVRRYRALAERYDHLSRELQNANKTIASVFPETVDLPMDDDDFDGQNLPVAAMPLDKFNILSNSKHPPPAPEMSIAKNSSVRKRNGKMPTRLMSRKGLLKTNADDSAAAGPISGLNKSEAFQKIDKIQKEILALQTEKEFVKSSYESGLEKYWNIENQISGMQTEVSNLQDEFGICKVIDDNEARTLMTATALKSCQQALTQLQEKQEKSAEEARGENRKLQETREKFKRLKEKFNSNQAHQEVYLEEESRTSHNVGAYKQDKEVDNAEQNIIHDVEPLQKRIKEESQLNLASPLTMSELAEKVDELVDQIINLESAVFSQTAYVKRLTSETNELQTHLRSLEEEKDTLIEGSDGMNRKIRDLEEELQRVQRLNKCIQEKNHHLQDHCVEASCNLDDLSEKLLHVKLDEETEKLTLFSKDTHVPGVNPAKDILRNKKHAQNAEENFHVVNIQAEKQASKPEQNRCKIKEINCPVQSSSSNHHDCILPYREVKSTTDASAGLEVQEIPSCSSVVLQCKVESDGIKGDSNSTSAESNSCLLSNQSQNQFTSLSGASLDVNSEEWFGEARDGIGPDYGSTIMKNRVKFNLVDTSTSETARDESFFQLNQQPNSDDFPTAQKVASPGANSQKKCSQDESVSPPDYHIKPLENVTMEEKVLKKDVLVHSSSSICGKGIMYSNQGDHLCDKPIKGPMKDVHSDSSERRSAEEGRGTATYQSLSIEGHMKVESSLDDFFLSNRDDCLDGYSLKDQVGDLLDANLDKSDNNNNIQSAAKNHPRSFKIETGGDLSTPSASDNNRDMEEDYWNTAKASRNSGESRLSSQLNDLPMNSQVKSISDTSPLKVLAANEDNRAPDISPTSSELATVKDQKMYSFLDQGKDQEAKQQQVFQPLQHDLSGNQDELGVGDDDQPNWKELFLSGLDDREKLLLQEYTSILRNYKEAKKKLNEVEKKKRASLFQYCIQIKVLKSANASKDAKIESLEKKLNLLGKKKDAAVDSIESRASASYHVSAEQNLLTEQKALADMVGAPTPQSMDTSVESPPQEQPKISFVEENGAIKVINLDETQNLSVVEERIRTDIDELLEENIGFWMKFSTSFHQVQKFRTTVRDLQAELAEVKANNKHSGSTNHQLLVSEIRPIYRHLSEIQTELTLWLDQNDMLKDDLDNRLASLSGIQEEITRLSNAGSSAEETELSDYQAAKFQGEVLNMKQENNKLAGELHFGLERVKVILVEIERTLRDLDTEFGVAARKQQPRNSPIRSKIPLRTFLFGVKLKKQKPSFFACISPSLQKQYSDLKAYYRLLAFYGYTGQTKSREGHLNHPLLAL >CDP07348 pep chromosome:AUK_PRJEB4211_v1:10:893983:899296:-1 gene:GSCOC_T00024584001 transcript:CDP07348 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCLSRLCCPRSVDIPISSPPDSTPHQYRPIPIIAQEEPVQPPPPPFPKPLPSYKPPSTHSAPSSSQIGPILGKPCVDINAFYDLDKELGRGQFGITYLCTEKATGLKYACKSISRTKLATPKDIEDVRREISIMQHLSGQPNIVEFKGAYEDRRNLHLVMELCLGGELFDRLAAKGSYSEKEAARIGRQIVNVVHACHFMGVIHRDLKPENFLLVNRDDDSPLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEILKRNYGKEADVWSAGVILYILLSGFPPFSAENDKAIFNEILVGRLDFQSSPWPSISSGAKDLVRKMLTMDPRKRITAAGALEHPWLKEGGEASDTPIDSVVQIRMKQFRAMNKLKKLALKVIAENLSEEEIKGLRQMFNNMDTDRSGTITYEELKTGLSRLGSKLSEEEIQELMEAADVDKNGTIDYIEFITATMHRHRLEKEDHLFKAFQHFDEDGSGFITRDELRHAMAKYGMGDEATIDEIINDVDIDKDGRINYEEFVTMMRKGTTDDHKQEIS >CDP11001 pep chromosome:AUK_PRJEB4211_v1:10:22470568:22481833:1 gene:GSCOC_T00032009001 transcript:CDP11001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGGGGGSAGGAGGSESGGGGGGGGRSGTTSVEVGGERLRFRVELRPGETTIVSWKKLLKDANAHGHGAAAAAAAMGSSSTAAGASASASKPNGPGPGPDPAAPSSFSTQVNNHDNIPGPPPPPHPPSMDPRLAPVSFSGGQVGEKGETDAPQPPNRLNTVIERIERLYVGRASSDEEDLNDVVPDDDEYDTEDSFIDDTELDEYFQVDNSAIKHDGFFVNRGKLERVEPSMLPNEQPKKRRRKDGKGLDGSDDALNPGKHLKAGKKAGKPVPMFGRNASGLPTVIALPNVHGEDLKFQNQVNALEVSSKKRSHDSGEQPPLGVMNGDAVTLGKVSEQQKLGTHLANNQGNQMKEGCEYSDTSNQRSQEKTSYSQSKPLPGKALNNAALDQSIPQKEKSGIRERSEVGVADSKNSMQNVRVSYMQKREGSSARPKSTLLEKAIRDLEKMVAESRPPTAEAQDADNSSQGVKRRLPPEIKQKLAKVARLAQASHGKISKELVNRLMSIVGHLIQLRTLKRNLKIMVSMGLSAKQEKDNRVQLVKKEVAEMIKMRIPFMKSKAAEQQAGTSDDFQEISAEEKEAFKRKYSLDDALEDKICDLYDLYIEGLEEDAGPQVRKLYAELTALWPSGFMDNHGIKRAIYRAKDRRRALYGRHKDPEKIKRKKMLARKTEAARMEVHTVAQPVYIQEKSVADSSDHGTVLVNRPASSNTVAGAAVRMPVNFLNGSNVDRPKQEKIKGSASAHPDAIASEILQSKKIKRKPETELGDAAQFRPEKLLSVQGDDKNKSHKVQVAGSLPKSNPHPTGPTNFEQHFG >CDP10734 pep chromosome:AUK_PRJEB4211_v1:10:9685541:9690659:-1 gene:GSCOC_T00031540001 transcript:CDP10734 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLICMAKRNSIRENNVGSRSKRNGEKPSKRRSLMEEELLHRQALSMAIQQHQLSQRFEGSMSRRIGSTSSRRRNNNFSDSFSTSTKQLPEFLENIKTKKIVLIHGEGFGAWCWYKTIALLEETGLNPVALDLTGSGIDLTDTKDVTSLADYSKPLVDYLENLQGDEQAILVGHSGGGACISYALEHFPEKVSKAVFLCATMVSNEQRPFDVFAEQLGSAELFMQESKFLIHGNGKDKPPTGFMFEKQQMHGLYFNQSPTKDVALAMVSMRPIPLGPMMEKLSLTPEKYGTSRRFYIQTLEDHALSPDVQEKLVRENPPEGVFKIKGSDHCPFFAKPQSLHKILLEIAQIP >CDP11970 pep chromosome:AUK_PRJEB4211_v1:10:23217252:23222146:1 gene:GSCOC_T00035305001 transcript:CDP11970 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGAFRSMARNSADKLFNNPDIVVAVVGGGAGLFLGHFVAAPVFKKLYGLDRVENFVLTQKEFEAKEKVRSLQDAFKIERELYWQKIAELSCQLDSLEEKKRLKGSWRSWKFSVMKAGIDTMTSANGIHLIVLTVARN >CDP01164 pep chromosome:AUK_PRJEB4211_v1:10:4999467:4999916:1 gene:GSCOC_T00034701001 transcript:CDP01164 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHGFTVMSSIKQSTIDMKWGVLRSFGWSDADIHNLMRNLPYTLKVSEAKMRKSLDLFMNDLGYSPAYLASRPKIFSLSLEDRIKPRVEVMKILSEKKLKKRNASLYSVVSYSESRFIKVYLLRYKDEIPDVYESYITRHLKKKIGNF >CDP10991 pep chromosome:AUK_PRJEB4211_v1:10:22294266:22299756:-1 gene:GSCOC_T00031989001 transcript:CDP10991 gene_biotype:protein_coding transcript_biotype:protein_coding MVILFALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDILTKHLNPSDDLDIEINEPYMVFKGLTVKEMEELHEDIKMHLDLDRSTPTHVQYWEALLVVCDWELAEVRKKDALDRARVRGERLPPELLAEERGMHSSIEADVKRLLQGKSYGELEALQSQIEAQMQSGTAKVVEYWEAVLKRLHIFKAKACLKEIHAKLLRKHLQRLEKPLEVGDSERELTPQPDEEDASSDGKGVETLSPEPIMRQETPELDEEAGSYSPQLIHGDENEEAIDPEEDMAVLERKRMAVLEERRLQELTVRPTPPEDNFEKKAMKAMGAMEEGDAVFGSNDEINLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKGKAPTYAIEKDGDSSETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >CDP01170 pep chromosome:AUK_PRJEB4211_v1:10:4956029:4959738:1 gene:GSCOC_T00034717001 transcript:CDP01170 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRAICRPYAVFSCCRSVVRVRVSIGSPFNLGSPSLSPKSSVSVFLSPPPLLGLDSAIKMEPWATRWRLNQQRRMGVKASSNWTDSKSPYDTLELERDADEEQIKVAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDQEKRRQYDNDNRVNPMKASQAWMEWIMKKRKAFDQRGDMAIAAWAEQQQRELNLRARRLARSKTDPEEERKILAKEKKASLENFNNTLRRHTLVLKKRDLMRKKAEEEKKKAISRLLAAEGLELEKDENEDL >CDP10705 pep chromosome:AUK_PRJEB4211_v1:10:10081595:10085787:-1 gene:GSCOC_T00031505001 transcript:CDP10705 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRSTEPQTPLLATDNEPVDGYTDYKGCPIKRSKSGGWRSASCILAARSLERFAYLGIESNLINYLTGPIGESVATAAANVNTWVGVASLVPIFGAFVADSFLGCYKSIIIAAILYILGLGLLTLSAMITPIVTGASDSQGRTKNELGPESPAMHIKALFFGSLYLIALAQGYNTFLQAFGANQFDEKHPEESKAKSSFFNWWFCVGAMGAIATHLILPYIQDNINWGIGFGIPFLAVIVGLILFLLGNKMYRFPATIGDKEVEIRYGKKDKGFKKSISALYIVAPSSSREESLLHGEFLKDDSPPAGDDSTNINETSSKTKEIKEVLRLFPIWVTCLTYTIGHAQSCTLFTKQATTLDRSIGQSYSIPTAALRIIIALSVAFCSIIYDRIFIPIARRITRYPYGITMLQRIGIGMAISVLNMVIAAVIEKKRLKTARDFGLLDISNATVPMTFWWLAPQYLLFGLVDVLINVGMQEFFYDQVPTELRCLGLSFSQGAIGIGDFLSSFLVSMIDKITSQGSRESWFSDNLNRAHVDYFYWLLAGIVFYDLVPTELRCFGLSFSYGTIGVGDFFSGILVSAIDKAASHEGSESFFSNK >CDP11956 pep chromosome:AUK_PRJEB4211_v1:10:23910133:23912232:1 gene:GSCOC_T00035278001 transcript:CDP11956 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQIFLSSLTETPSVDSTEASCSEAAGTENVNGKLEDLSNDASPDSRFQEQGLGGKSDLATTSVREDSISDRFSLAVPLGLDEFKNRAISSRSRYMSSHAGSIKHRVAPGGAEHNYASSSKGAKVLASNKDAYGASNILSKDKDKYLRNPCSAEEKFVVIELSEETLVDTVEIANFEHHSSNLKEFELLGSQVYPTDTWTKLGNFTAGNTKHAHSFVLPEPKWVRYLKLNLLSHYGSEFYCTLSVFEVYGMDAVERMLEDLISVQDKVIVSDESLSRETHMPHRPVPAEGDSYHNIDSEVEPELAVGHSDTKRVVTTIDVPDPVEEIRQHQVNRMPGDSVLKILMQKVRTLDLNLSVLERYLEELNFRYNKIFREFDREMGEKNVLLENIKSDIRSLQDSKEAMSKEVNDLVAWKSFVSMQLDDIVRSNAVLRLEVEKVRRNQVHMENKGIVIFLVCLTFGFFALVRLFVDMALSMYRSQNSGKFWSLGSSWFLLLLSCSITIIILSL >CDP00914 pep chromosome:AUK_PRJEB4211_v1:10:7973937:7975446:-1 gene:GSCOC_T00034365001 transcript:CDP00914 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLLIIFPIVFVAAINCAQGNGDDPFYRNYYQTWGGSHLTVYNQGHEVQLLMDSSSGAGFSSKRDFGSGYFRMKIKIPEKNSKGLITSFYYSFSIEGVKHYEFDIEFYGTDGNPHIISTNAFANDLGNREQQFHLWFDPTKGFHTYEILWNQHQIVWFVDDTPIRVWKNNTQLGVGFPTGPLHVEASIWNPSYLGTPDWTQGPFKAHYREFGIDGCNHQSSKQDLYPKQQGKLQNARKNYMYKDYCKDSNRHGPECQVNQ >CDP16843 pep chromosome:AUK_PRJEB4211_v1:10:14408109:14418234:1 gene:GSCOC_T00019389001 transcript:CDP16843 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFGQAENSNTEEPSINSPHHLPPIMSSSFPITLKFMEVCYRVKLKGKNSGGGSLRKMLTSNGPTSSDVENPTAVIQERTILSGITGMVSPGEILAILGPSGSGKSTLLNALAGRLQHSHGLTGTVLANNRKLSKPVLRRTGFVAQDDVLYPHLTVRETLVFCSLLRLPNSLTKKEKISIADSVMSELGLVKCENTIIGNSFIRGISGGERKRVSIAHEMLINPSLLILDEPTSGLDSTAAFRLVSTLGSLARKGKTIVTSVHQPSSRVYQMFDSVLVLSEGRSVYFGKGNDAMNYFESVGFAPSFPMNPADFLLDLANGVCHLGNNDKERPSVKQTLVSSYNRLLAPNVKAACLETSTSTGPREMMVRICSPKDQFRSSWTSNVSTWFNQFSILLERSLKERRHETFNSLRVFQVIAASLLAGFMWWHSDFRNIQDRLGLLFFIAIFWGVLPSFNATFVFPQERAIFMKERASGMYTLSSYFMSRIVGDMPMELILPAIFVTITYWMAGLKPELAAFILTLMVALGYVLVSQGLGLALGALIMDAKQASTVVTVTMLAFVLTGGFYVHKVPSCMAWIKYISSTFYAYRLLINIQYGEGKEISSLMGCLQHGSDRAICNFIDEDIGGQIHPLTSSAILLLMFLGYRLVAYLALRFMRS >CDP01045 pep chromosome:AUK_PRJEB4211_v1:10:6195446:6199625:-1 gene:GSCOC_T00034539001 transcript:CDP01045 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMDLAYKLLMLNGNARGMPNACVNLGRPMFSVTNAGEVGAPGCEDSFIVDGAGKCSKFVAEKKSNRSKGDKKSSRNEAVMSKSGEKDLVLDFVMQCQGVCANSAGHAQSQCKELCEDGLRPRNSELQGYIYPMCKHQLGCRFLQRVFDEGNSQDIQIIFTEIIDHVVELMVDPFANYLIQKLLDVCSEDQKLVIVLKLTNKRGELVDICMNTHGTRVVQKLIEAIKSRQQISLIMVALKPGLLDLIKDQNGNHVVQRCLQSLTIDQNKFIFDAAAKFCVDIACHRHGCCVLNRCVAYSSGKHREKLVSSISANGLLLSQDAFGNYVIQYIIELKIPSAAATLLSQFEGHFVFLSMQKFSSHVVEKCLRCLKDSQPRIITELLSVRHFDQLLQDRFANYVVQSALEATKGPLRTLLVEAICPYSEILRTSPYCKKIFSRNLLKK >CDP07321 pep chromosome:AUK_PRJEB4211_v1:10:741384:748316:1 gene:GSCOC_T00024551001 transcript:CDP07321 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSAAVLFTATCAVLFAAIAKAEDPYLFFNWNVTYGTIYPLGVPQQGILINGQFPGPDIHSVTNNNLVINVFNSLTEPFLLSWSGIQNRRNSYVDGVYGTTCPIPPGKNYTYILQVKDQIGSFYYFPSLAFHKAAGGFGGFRILSRSVIPVPFAEPAGDFTVLIGDWYSKNHTVLKRILDGGHKLPFPDGILINGRGPNGTSLTFEQGKTYRLRISNVGLQHSLNFRIQGHKLKLVEVEGTHTLQNTYSSIDVHVGQSMSVLVTADQPPQDYFIVVNTRFTTPIITTTGFLRYANSNSRASGPIPGGPTIQVDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINTTRTIRLASSAGRVNGKQRYAVNSVSFVPADTPLKLADFFNIPGVYRVNSISSAPTGGGIYLDTSVLQADYRAFIEIVFENNEDIIQSWHLDGYAFFVVGMDGGQWTAASRNGYNLRDAVFRSTTQVYPKSWTAIYVALDNVGMWNLRTEFWARQYLGQQFYLRVYTSSTSLRDEFPIPKNALLCGRASGHHTRPL >CDP01349 pep chromosome:AUK_PRJEB4211_v1:10:3304529:3310483:-1 gene:GSCOC_T00034955001 transcript:CDP01349 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGEHQTVPLSVLLKRELANEKVERPELSHGQASQSKKGEDFTLLKTECQRVLGDGITTYSVFGLFDGHNGSAAAIYSKENLLNNVLGAIPADLNRDEWVSALPRALVAGFVKTDKDFQERAQTSGTTVTFVIIEGWVVTVASVGDSRCVLESAEGDIYYLSADHRLECNEEERERITSSGGEVGRLNTGGGTEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSSAGGRLIISSDGVWDALSAEVAFDCCRVMPADAAASQIVKEAVQVKGLRDDTTCIVVDIQPPEKPNPPLAPPKKQGKGVFKSMFRKKPSESSSHTGKEFSEPDVVEELFEEGSASLSERLDTKYPVCNMFKLFVCAVCQVEIKPGEGISIHVGSKNSRKLRPWDGPFLCSSCQEKKEAMEGKRPSGDGRYSSGSD >CDP11511 pep chromosome:AUK_PRJEB4211_v1:10:26902597:26906716:1 gene:GSCOC_T00033800001 transcript:CDP11511 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPESKRVDSMASGFVCRSKKTSWSSLEEKRQLVHEIAQCLDDAPAVLNSFTRKELLEIICAEVGKECKCSGFTKPKMIEYLLELVTRKCNQETKFNKQRKNKYLSQLTTESDQSCAEIMVEDQKLLLCGNLACRAALSTDDAFCKRCSCCICHQYDENKDPSLWLTCDYNYPEEGELCGISCHLKCVLEHEPMGMKNQDSSANLDGYFCCVSCRKSNEVMRTWRKQLVVAKEARRVDVLCLRLSLSYKILVGTNKYKELLKIVESAVTILENEVGPLHRASATMDRRIVNRLSCGAQVQKLCASAVEAFDRMMASKCFDHVNEMESPVKIHFEASSPAKVTIVLEYEDCILKDILGCRLWYRRYDMDYPQEPTYAVLAPVERLELYDLDPSTQYFCKISVDGETRTPLGVCEANWITPAQFDEKQAAGENTNEHNSQMRTESMNSSDSKVALSDDHSKELSFSEYGNKSDGSPALPSPMKNVSLASPSSNAPSTPCKSDGTTGMPQLVSKSQVKETDYEYAVRVIRKLEHEGHLGSDFRVKFLAWFSLKATVQEKRVVSVFVDTFVDDPSSLAGQLLDTFEDEICSEEKLASSHRFCTRLWH >CDP10743 pep chromosome:AUK_PRJEB4211_v1:10:9536342:9541215:-1 gene:GSCOC_T00031553001 transcript:CDP10743 gene_biotype:protein_coding transcript_biotype:protein_coding MALILHATSNNKNAFKALIAAEYSDVKVELTKDFQMGVSNKTPEFLKMNPIGKVPVLETPDGPLFESNAIARYVTKLKANNPLFGSSLIEYARIEQWIDFATTEIDVNLGRWLYPRLGFMVHLPPAEEAAIASLKRALGPLNAHLASNTYLVGDFVTLADIITICNLYLGYKTVMTKSFTSEFPHVERYFWTLVNQPKFHKILGDVNQTDSVPPVPSKKPAQPKEPKAKEEPKVAKKEAKKEAPKPKEDDLEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWEMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKVKGLWLFRGKEIPKFILDEVYDMELYDWKEVDISDEAQKERVSQMIEDYEPFEGEPLLDAKCFK >CDP07423 pep chromosome:AUK_PRJEB4211_v1:10:1441359:1443624:-1 gene:GSCOC_T00024686001 transcript:CDP07423 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVRIEFNALDPRTAACMEFLAQCNARKAKESNPACQVQVKRRTDDQPPQITVTFVNGVEEKFDATATPAQTIRNMILEKGQYLETEQMFREAGERWPVIIPEEELNQPFPGIKPKKAEEKKQ >CDP07547 pep chromosome:AUK_PRJEB4211_v1:10:2368558:2370991:1 gene:GSCOC_T00024839001 transcript:CDP07547 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRREKYSQSLRGSKIAIAIVIGVLLGCVFAFLFPQGLFSSSDPPTRNRRLSKSSLQVDSSSCDSPERINLLKSDIMKLSEKNAELKKQVRELKEKLQLAAQGKGHAEEQVVALSEPRKAGPFGTVKGLRTNPPVLPDESANPRLAKILAEVAVRKEVIVAVANSNVRDMLEVWFTSIKKAGIPNYLVVALDDAMVEFCKSNDVPVYKRDPDKNVDLIGKTGGNHAVSALKFRILREFLQLGYSVLLSDVDIVYLQNPFDHFQRDSDVESMTDGHSNMTAYGYNDVFDEPAMGWARYAHTMRIWVYNSGFFFMRPTIPSIELLDRVADRLSQEKTSWDQAVFNEELFFPSHPGYVGLHAAKRTLDFYLFMNSKVLFKTVRKDAALKKLKPVIVHINYHPDKLSRMRAVVEFYANGKADALDQFPDGSEW >CDP01328 pep chromosome:AUK_PRJEB4211_v1:10:3451602:3452473:1 gene:GSCOC_T00034929001 transcript:CDP01328 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEETPPQHTHTAEGGAAVKALGPLFKLTEVYLWDDASQENRGGISSQLNKATGRGNSGSSSNDGVTTNGKFFFFFSF >CDP10665 pep chromosome:AUK_PRJEB4211_v1:10:10862964:10897974:-1 gene:GSCOC_T00031450001 transcript:CDP10665 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSENSKNPGQNPPKIHPITANNDLEIDPSSSYSLEKFRLYETRARFYLIGSDKNKRFFRVLKIDRMEPSDLNISEDPVVYTSQEVKNLLQRIAEGNRATGGLTFVAKVYGIAGCIKFLESYYLVLVTKRRQIGCICGHAIYSIDESQIISIPHSTVQTDIAHSKTELRYKKLLSSVDLTKDFFYSYTYPVMHSLQHNVLSTCEEGIPYEDMFVWNAFLTQAIRSRCNNTMWTIALVHGHFKQIRLAIFGRDFSVSLVSRRSRHFAGTRYLKRGVNDHGRVANDVETEQIVLDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYEATKLHFEDLEKRYGNPIIVLNLIKTVEKRPREMMLRREFANAVGYLNQILPEENHLRFIHWDFHKFSKSKSANVLAVLGGVASEALDLTGFYYSGKPQVVKRRATQLSRTSTARDSSFRDLRTNSGDISRISSSNEALTSFLKQDRERDSNQHIRKQNDGNAAPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDKPKVDADSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDSDYYLHVSGIGDDFIPEKSSLVEAELGAQGNSFAPIPASKEDFSRIKLTSLDKLIERTCSSIKNVRLYSETDQKTGSFGVAPDAAEIQLKSPNWLFGQRKYYDTNSGAKVGPDEVIDRDSHDKKRVDALSDLSWLSPTSEINDEDLFQRYLAMTSVNEANGWYGGTLLGDQDESSEIYQHYAELVQGPAMEPFQDDLEKEKYYADILQRGTMGIMDDTAAEAEMEAAFKEYEQIGADLGIIPSSCNALAVDPSLVTQWIIGEQRMHRI >CDP01232 pep chromosome:AUK_PRJEB4211_v1:10:4331254:4334811:1 gene:GSCOC_T00034805001 transcript:CDP01232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal transporter Nramp5 [Source:Projected from Arabidopsis thaliana (AT4G18790) UniProtKB/Swiss-Prot;Acc:Q9SN36] MAHATPNNQPEQEEDQESNILIPLSSSTATHDYVDEAHEKILALEIETEEPSSSHGNPDEEEAKAPAFSWRKLWEFTGPGFLMSVAFLDPGNLEGDLQAGAIAGYSLLWLLMWSTVMGLLIQLLSLRLGVATGRHLAELCREEYPYWAGLLLWLMAELALIGADIQEVIGSAIAINILSHGVFPLWAGVLITAADCFIFLFLENYGVRKLEAVFAILITTMALSFAWMFADTKPSTKELTLGLLVPRLSSKTIQKAVGVVGCVITPHNVFLYSALVQSRKIDAKNKGKVKEALNYYTIESCIAVLVSFTINLFVTAVFAKGFYGSSQASTIGLVNAGQYLQQRYGGGLFPILYIWGIGLLAAGQSSTMTGTYAGQFIMGGFLNLRMQKWMRSLITRSCAIIPTIIVAIVFNRTEDSLDVLNEWLNVLQGMQIPFALIPLLTLVSNERVMGVFKIGTAMGRTVWTVAALVIVINGYVLLDFFKSEVEGPLLGLVVCLGTLAYLAFILYLISHGGSLPITNLFSHMHSTGFAQLKS >CDP07498 pep chromosome:AUK_PRJEB4211_v1:10:2036769:2038271:-1 gene:GSCOC_T00024775001 transcript:CDP07498 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDQSGKGDHHRIQDAIDAEPFNNSHHVYILVNAGTYKEKIAVPANKAFITLSAIKPGTTIITCIQRLWGHIWFSNFFFFSFFPLLINDVRFKMKLIASSSRNNGTKAVALRASADRVSFFSFFKKCHLHSVSQGNGAITSQPRQSSSQETGFIFVGCKVSEAKSALPGRPWGDYSRVLFQTKDGVLRGVQVLWTRCKAPWSYGLTTQEVAPYLGWGVVGGPSWILSANYARIKRISTMTSNNFHGG >CDP11004 pep chromosome:AUK_PRJEB4211_v1:10:22497278:22500544:-1 gene:GSCOC_T00032012001 transcript:CDP11004 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLISIPATKRIYLVLALLTLEVHAKGLPLSMASTKTMDRKEADHHDHVALLDFKSKIQHDPYGIMNSWNDSHHFCSWKGVLCGRKHKRVTSIDLQSRGLVGFLSPFLGNLSFLRTLMLRNNTFQGGIPPQFGNLFRLQELYLSRNSLEGKIPSNLSRCSKLLHLYLSHNNLVGSILPEFGSLRNLESLAIHHNNLTGIIPPSMGNFTSLSELSAADNHLEGKIPEVLGQLKTLIGLGLGGNRLNGNIPVSVYNLSQLEVLSLSANQLHGTLPSALGLMLPRLEYLQLRDNQFWGVLPASLSNASELGRIEIGDNGFSGRIAVDFGGLQNFILLSAANNSFGSGEVLDGLQFLSTMTNCSQLFGIDLGGNQLKGILPNSIGNLSSQYLVLGGNQIYGEIPSTVGNLISLKLLFLESNQLTGTVPNTIGYLHKVQRLSLHSNKLSGEIPESVGNLSLLNELYLADNHLGGSIPPALGNCKQLLLLGLSKNYLSGTIPKEIFGMSSLSISLDLSQNHLSGTIPSEVGTLKNLAGLDLSQNHLSGELPGTFGGCSSLEILSLAGNSFQGSFPEFISSLKGIQNLNLSSNNFSGSIPQFLARMPIKALNLSFNDFVGELPTQGIFGNASAISVVGNKRLCGGIPQLQLPKCQPLRESKKNKKLLRFIMPVVITSSFLVIVVISISIFRLRSFKRRRTQPKSPNFSGRPFLRVSYRQLVQATNGFSAENLIGAGSSGSVYKGVLTEGGNLSVAIKVFNLQHHGAFKSFIAECDAMRNIRHRNLVKIISSSSGLDFQGNDFKALIYEFMPNGSLETWLHRADEHQQHIFPIPNLLQRINVAVDVACAVDYLHHHCHNQIVHCDLKPSNVLLDSDLTAHVGDLGLAKYVHSAPNLQETSSAGIRGTIGYVAPEYGLGAEVSSNGDVYSFGILLLEMMTGKKPTHPLFTGGLDLHTYVEMAIPERVMDIVDPVLLCEDHRRTTAANSRSSPLGETKCNLPEQCLISLLKVGLACSMHLPEDRMNMTQVVNALKSIKDTFTMAEL >CDP01051 pep chromosome:AUK_PRJEB4211_v1:10:6145760:6147125:-1 gene:GSCOC_T00034546001 transcript:CDP01051 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLHTSLSPSRRKIIPELENLFKKRKLHDDDDDDDRPDQAPRKIPDHPIKGQPMIKPTPDTELQLETPTPMEWQRCLDIKSGQIYFYNTRTNKRMLTDPRSSPEPPVPPPPPQPSHGHMSLDLELNLPCGSSGKTQVTNIFTKNNSGSTSNSLGDDHELLVNSSATKNNNDQKGGLTRSPSWLTFEGSEQEMVAAACKKCHMLVMMCKSSPSCPNCKFMHPPEQTSPSLLKRRLSLLC >CDP07464 pep chromosome:AUK_PRJEB4211_v1:10:1769225:1772405:1 gene:GSCOC_T00024735001 transcript:CDP07464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g19720 [Source:Projected from Arabidopsis thaliana (AT1G19720) UniProtKB/Swiss-Prot;Acc:Q9FXH1] MKHLLKDLDPFTETKIVGMYAKCGSLEDAYKMFDEMSERNLYAWSAMIGACTRERKWDEVVELFYLMMMEDGIVPDEFLFPKILQACGNSGDVETGRLIHGVVIKCGMNFQLRVNNAILAVYAKCGFSDLAKRFFDSMVLKDTVSWNSIITGYCQKGELEKARRFFELMREEGFEPSLVTWNILISSYSQLGKYDVVMEMMIEMQSCGILPDVFTWTCLISGFAQFNRKTEALECFEKMLLARVQPNDVTLISLLSMYASLKDLKKGRELHAWALKAGFGENLLVGNSLVDMYSKCAKVEAARQVFDMMLARDMYTWNSMIGGYCQAGYCGKAHDLFRKMRESDVKPTVITWNTLISGYMQNGDDDQAMDLFQRMEKDGHIKQDTASWNALIAGYLLTRNKDKALGIFRQMQSLCVKPNSFTILSILPACANLIAAKKVKELHCCVLRRNLDCELSVANSLIDTFAKSGNIKYSRTIFNSLLAKDIITWNTLIAGYVIHGRAVDAIELFNDMSHTELKPNRGTFVSVISAYGLARMVGEGNRIFSRMIEEHHISPCLDHCAAMVNLFGRSGMLEDAVDFINNMTIEPNSSIWAALLTASRVHGNIELAVYAGERLLELEPENALIYQLVLQLYALSGIPEDSFKAKEPRKMKDAKECLGWSWIEDENTVQSFVGGSQCQQINWVMHSWIKHMAPKSKRSDLSNGLPVEEEESEALSGVHSEKLAFAFALSKSSSASMCMRVVKNMRMCKGCHETAKFISNTYRREIYLCDSKCLHHFRDGHCSCNDYW >CDP07571 pep chromosome:AUK_PRJEB4211_v1:10:2518931:2520279:1 gene:GSCOC_T00024865001 transcript:CDP07571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase-like 1 [Source:Projected from Arabidopsis thaliana (AT1G19300) UniProtKB/Swiss-Prot;Acc:Q9LN68] MKPQQLAIFLLFLLCVSFPSTSSITPSTSSPPSSIPQRFREAPEFYNSPECPSIISSDELDATDIISIDNNINNNSNDEDSTSASDGDDDDGDVVEDHNFICSDDAVHVAMTLDAAYIRGSLAAILSILQHSSCPQNVIFHFVTSASSNASLLSTTVASSFPYLKFQVYRFADAAVAGLISTSIRSALDCPLNYARSYLANLLPLCVRKVVYLDSDLVLVDDIAKLASIPLGDDKVLAAPEYCNANFTSYFTPTFWSNPSLSLTFANRKACYFNTGVMVIDLDRWRAGDYTTKIEEWMELQKRMRIYELGSLPPFLLVFAGNIAAVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDALWAPYDLLKTPFAFDS >CDP00944 pep chromosome:AUK_PRJEB4211_v1:10:7630046:7635496:1 gene:GSCOC_T00034402001 transcript:CDP00944 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGVRLSIQDRYVVMDNGILQVTLSNPDGIVTGICYNGLDNLLEVLNAESNRGYWDIVWNALDGSGKAGVFEVIKATEFKVIKETEEQVELSFLRPWDTSLQGKLAPINIDKRFILLRGCSGFYSYAIYEHLGSMDWPAFSLGETRIAFKLRKDKFHYMAVSDSRQRFMPLPDDRLSGRGQPLAYPEAVLLLNPVEPELRGEVDDKYQYSCENKDLRVHGWISMDPPVGFWQITPSDEFRSGGPLKQNLSSHVGPTTLAMCLSSHYAGDDLSPKFAKGEPWKKVFGPVFIYLNSVMGEEDPLTLWDDAKIQMLREVESWPYSFPASEDFPSCDQRGNVRGRLLVEDRYVSSDCILAAGAYVGLAPPGEVGSWQRECKDYQFWTKADEGGYFSIRNVRSADYNLYAWVPGFVGDYRNEALITITPGCDMDIGDILYEPPRDGPTLWEIGFPDRSAAEFYVPDPNPKYINKVLLSSPERFRQYGLWERYSEIYSEGDLVYTVGESDYRKDWFYAQVTRKKEDNTYQGTTWQIKFKLDDVNKGGIYKLRIALASATYAELQVRINNPGTNRPLFSSGLIGRDNSIARHGIHGLYWLYNVDIQGALLVEGDNTVYLTQPRNNSPFQGFMYDYIRLEAPPS >CDP07300 pep chromosome:AUK_PRJEB4211_v1:10:605636:610531:-1 gene:GSCOC_T00024527001 transcript:CDP07300 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTLRPSLLSQSSSSASASASSSNCSLALVSWRNNWQNSSSINCRTKSSAYKGRLILRAQSFDSSSEDSNNKNNNSNAAGSQPSNGTLHKSRREILLEYVQNVQPEFMELFVKRAPQQVVEAMRHTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRIELQQSLEQVALPEPPKSKDGPEFAPGTQKKVTGEVIRWNNVSGPEKLDAVKYIELLEAEIEELNRQIERKSSDGQNDLLDYLKTLEPQNLKELTSTAGEDVILAMNTFIKRLLTVSDPSQMKTSVTETSAPELAKLLYWLMVVGYSIRNIEVRFDMERVLGTPPKLAELPPGENI >CDP07302 pep chromosome:AUK_PRJEB4211_v1:10:622642:629086:1 gene:GSCOC_T00024529001 transcript:CDP07302 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSTGIGYGLKYQARCISDVKADTDHTSFLAATLSLKEENEVHLIRLSSDGNELICEGLFSHPNEIWDLASCPFDQRIFSTVFSSGESYGAAVWQIPELYGQLNSPQLERIASLDGHDSKIRSVLWWPTGRHDKLISIDEQNLLLWSLDTSKKTAQVQTKESAGMLHYLSGGAWDPHDVNAVAITCDSSIQFWDLRSMTKTNSIEHAHVRNLDYDMKKKYTLVTADDEFGIHIWDTRMLNFPFLELPGHAHWTWTVKCNPEIEGLILSAGTDSAVNLWLASPHNGDHLTSESLESPTRQVDPLLNSYTDYEDSVYGLAWSSRQPWIFASLSYDGRVVVESVKSHLPRK >CDP01298 pep chromosome:AUK_PRJEB4211_v1:10:3721328:3723991:-1 gene:GSCOC_T00034893001 transcript:CDP01298 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGMDADMMEADSTASPPSPPPPRPPIPSDQSDVMTGLLSTARQLIQQGNPSQALHAVVIAMRMRGGEEAVYHALNRAGELYRNKVQESIAADELATLLAECALAEATPLNSQSSQHNKVDQSRELDVDGTSILAETGRKQIVLDAFSDGSSFVCLQCGGLVSNERKEEHYAFWCCKT >CDP10684 pep chromosome:AUK_PRJEB4211_v1:10:10577122:10579118:-1 gene:GSCOC_T00031478001 transcript:CDP10684 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHSHRGQPFRRDRSSSITRRRRPSKFRHSYSTPRMAISTAPEANLSDAIDTPTLLNNTLVVEGNVDYKGRPANRSKSGRWKSAAFIIGMEMAERFAHHGISANLISYLTGPLGQSTATAAENVNAWSGTALLLPLLGAFVAESFLGRYWTVIISSLLYIMVS >CDP01313 pep chromosome:AUK_PRJEB4211_v1:10:3611393:3614528:-1 gene:GSCOC_T00034913001 transcript:CDP01313 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPYGTDDRSSFEPDSEPGGPPEPEDMNVFLHNLFQNSPATAGSSSLYRGSASMINSSSAASFDFSDPGGFFAGEVKGRLEKTFSLAAAADCDAVTSSMDRGEFSGANKGLEASDAAINQAQPRSTKRSRSAEVHNLSEKRRRSRINEKLKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTIRNGLSFHPNYVSGSLQPMQLPMDFNEGDVMPNTSGGKHTLSSNQEVAMQSAFGVSNPKISSQQLAIASMTNNSSSAFSFGLQSSAQNNPGVPNYLASAKDLCREDTLMQFPLDISRSGNNSSSGVSS >CDP07312 pep chromosome:AUK_PRJEB4211_v1:10:680283:681056:1 gene:GSCOC_T00024541001 transcript:CDP07312 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNFLALRDLHDSANDSLHSPITIRAIAGHKQEEWVHEVSEASLRMLETCGNTRDVLLFAKDHLHDLQSAFRRRVGIADSSAAGAANNFSGYSRERKKLKKAMLRRLHSLKEMKNKCIRSSSSSSSDVSSSLNQNLVAVVNVLRQVRMATMAIVESLMSLMSVPNAKRHSKSNRGFFEARLSRVDSLSSWWENCDTSMLQEASKRMQAVEMAIDDLEEELDCIFKRLIQTRVSLLNILTAH >CDP10733 pep chromosome:AUK_PRJEB4211_v1:10:9698579:9712260:-1 gene:GSCOC_T00031539001 transcript:CDP10733 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEEEIAPIYKEPPKIKRLEESVVNRIAAGEVIQRPVSAVKELIENSLDADSTSISVVVKDGGLKLIQVSDDGHGIRYEDLPILCERHTTSKLSNFEDLQTIQSMGFRGEALASMTYVGHMTVTTIMKGQLHGYRATYRDGVMEQEPKPCAAVKGTQIMVENLFYNMIARRKTLQNSADDYPKIVDLLSRFAIHHINVSFSCRKHGAARADVHSVATSSRLDAIRSVYGVSVARNLIKIEAFDDESSSSVFKMEGFISNSNYIGKKITMVLFINNRLVECGALKRAVEIVYSATLPKASKPFIYMSIILPSEHVDVNVHPTKREVSLLHQEIIIEKIQSTVESRLRNSNECRTFQEQTVDASTSSLRATQKDSPKNPTPPTPKSEKVPVHKMVRIDSLDPAGRLHAYLQATPSSQADKSSLASIRSAIRQRRNPRETADLTSVQELVNIIDSNYHSGLLDTIRNCTYIGMADEVFALIQHNTHLYLANVVNLSKELMYQQVLRRFAHFNAIQLSDPAPLADLLMLALKEEDLDAEGEENYDLKEKIAEMNIQLLKQKAEMLQEYFGIHIDTNGNLSRLPVILDQYTPDMDRVPEFVLCLGNDVDWDDEKICFQTIAAALGNFYAMHPPLLPNPSGEGLQFYKRRVSSNCHQAGGSLKDADDAAVESEYDDELLADAENAWAHREWSIQHILFPSMRLFFKPLTSMASNGTFVRVASLEKLYKIFERC >CDP10681 pep chromosome:AUK_PRJEB4211_v1:10:10590258:10591092:-1 gene:GSCOC_T00031474001 transcript:CDP10681 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGTDIADADAGTPLLNDAVDGSVDYKGPPVYRSQSGGWKPASFIICVEMSGRFTYYWINSDLKNYLTGHLGQSTATAAENVNAWFGMASLLPILGAFLADSFPG >CDP00968 pep chromosome:AUK_PRJEB4211_v1:10:7150715:7154567:-1 gene:GSCOC_T00034440001 transcript:CDP00968 gene_biotype:protein_coding transcript_biotype:protein_coding MIATILLYWNLKKIPTKLLREKQKVVIFKGKRQHHSDESFLLLKAYLSNIHENQTFSSPPADPNSPANMPSEGPISRPFLPTLFLLLCLFSLATSDELQTLLTIKTSLKNSNTFVFDSWDAKIPTCNFTGITCDPATKLVKEIELSKQNLSGQVPFAAICQLKSLERLSLGFNSLSGQVTEDLNKCVNLRYLDLGTNFFYGSVPDISALSQLMYLYMNLSGFSGTFPWNSLDNMANLVALSLGDNPFDRTAFPLGVVKLNKLNVLYLSNCSIEGKIPPGIGKLTELRNLELSQNYLSGEIPVDITKLQNLRQLELYENELTGPLPVGFGNLSNLQRFDASSNHLSGDLSEVRFLTKLTSLQLFENELTGELPAELGEFRNLVNFSIYRNKLTGQIPPSLGSWSDFNFIDASENFFTGPIPPDMCKKGAMTQLLLLQNNLTGGIPETYANCLTLIRFRVSKNLLSGVVPKGLWGLPNVGMIDLAMNQLVGPVTSDVGDATSLAQLLLSDNQFSGELPSEISKASKLVSLDLSSNQFSGGIPSTIGDLKELDDLYLQNNKFSGSIPDSLGSCDSLNVMSLAYNSLTGNIPASFGSLQTLTFLNLSNNQISGQIPGSLASLKLSLLDLADNRLSGPLPQSLAIEAYNGSFAGNHGLCGQNFKDFRPCKADSKASGKLRELLICILALGIVAMLASLACFWYLRKKGLKDGNRSWKEDSWDLKSFHVLSFTEDNILDGINQDNLIGKGGSGNVYRIRLENGIELAVKHIWNLDSGGKKKMESTTPMLTKRRSKSSEFDAEVQTLSSIRHVNVVKLYCSISSEDSSLLVYEYMPNGSLWDRLHTCKKLALDWDSRYEIALGAAKGLEYLHHGCDRPVIHRDVKSSNILLDEDLKPRIADFGLAKIVQANATKDSTHVIAGTHGYIAPEYGYTHKVNEKSDVYSFGVVLMELVTGKRPIEPEFGDNKDIVSWVSSKLKSKETVLSIVDSAILDGYKEEALKVLKIAILCTARQPVQRPTMRTVVHMLEDAEPCKLVGIIISKDDSIMRKELKENEKV >CDP00992 pep chromosome:AUK_PRJEB4211_v1:10:6856959:6858258:1 gene:GSCOC_T00034474001 transcript:CDP00992 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDINICNTRLSLGLGSTFTTRNNDQNHLPQFDHHEEFKNKSSPKIDHFSLTLGLPSELGNISVDAGAKGDQSCNIHGQQASSVSAVSSFSNSSVKREREASVEEVEEERVSPKICEDQDEEGPRKKLRLTKEQSLILEDSFKEHSTLNPKQKQALANRLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKKCCETLKEENRRLNKELQELKAMKLAAPPPLYMQIPAATLSMCPSCERISGGGHVGEKSSKISFSIGAKPHYYSPFANHPSAAC >CDP10999 pep chromosome:AUK_PRJEB4211_v1:10:22425780:22426704:1 gene:GSCOC_T00032000001 transcript:CDP10999 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSLSSYISKAYQFIYPPPIVRNFANSPSASLPRQSPVFIRNLNQIISSALYEQKLLPSVMTSVAFFAFTNHTISKRKVQNLTNQDKRQWNLLFLLFSFLGLTFTRSLFFK >CDP07410 pep chromosome:AUK_PRJEB4211_v1:10:1290066:1292828:1 gene:GSCOC_T00024668001 transcript:CDP07410 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIIGLGLGDEKDITLRGLEAVQKSQKVFIEAYTSLLSFGISSDGLSTLEKLYGKSITVADREMVEEKADDILLEATSSDVAFLVVGDPFGATTHTDLVVRAKKLGVDVKVVHNASVMNAIGVCGLQLYRYGETVSLPFFTDTWRPDSFYEKIQRNRKLGLHTLCLLDIRVKEPSLESLCRGKKQYEPPRFMAVSVAIEQLLEVEQMRGENAYTEDTTCVGFARLGSEDQLVVAGSMKQLLEVDFGLPLHCLVIVGDTHPVEEEMLDFYKLAKNQGQA >CDP01110 pep chromosome:AUK_PRJEB4211_v1:10:5516786:5526778:-1 gene:GSCOC_T00034627001 transcript:CDP01110 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPATIEEQLIVKAIKEECLWDKLPKRLQATFNSRDEWHRRVIDHCIKKRLPWNTCFARKVCKEGEYYEEMMRYLRRNLALFPYHLAEYICRVMRLSPFRYYCEIIFEVMRNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPSQPVDFTIEPWWGVCLVNFTLDEFKKLSEDEMATIDKICKEEANSFILFDPDIVKGLYRRGLVYFDVPVFPDDHFKVSRLEGFVSNREQSYEDPIEELLYAVFVVANENSTVAELAATLQADLSQLQAAASFVCRLGWAEKLIDPASILQDSNVPGSPKSLLSDEEDAIMGSANMSIDGSAPPPGEVLWTDNTSQASGYTRVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIVDLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVISDERDKIDMSSLSAEEDASSVIEALTTDELGGSDTKEFAKSTDDSTNLSISIEESGPSEHVSESTGNDISSAVISEGNDSLIGDSVSDHSSQKNEKPAWSESSDGGKELSKKQRRYRVDILRCESLAALSPATLDRLFLRDYDIVVSMVPLPPSSVLPGPKGPIHFGPPCYSSMTPWMKLVLYSAVASGPISVILMKGQCLRLLPAPLAGCEKALIWSWDGSTVGGLGGKFEGNLVKGSILLHCLNSLLKHSAVLVQPLSRDDLDKDGKTITLDIPLPLKNSDGSPACIGEELGLCPEECSKLNVMLNDLAKKIDLLTIGYIRLLRLYKEQEPESSISDDEKYEWVPLSVEFGIPLFSPKLCNNICKRVVSSQLLQTELLTEHHDAMQDTRKRLRDICGEYQATGPAARLLYQKEQPKESSRQLMNYASGRWNPLVDPSSPISGASSEHQRLKLANRQRSKTEVLSFDGNILRSYALTSIYEAAIRPDEESLILSTSKVESDEADSKEVVLPGVNLLFDGSGLRPFDIAACLQARVPVSLVFEASAASASSMVK >CDP10990 pep chromosome:AUK_PRJEB4211_v1:10:22285931:22288599:-1 gene:GSCOC_T00031988001 transcript:CDP10990 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRQAMEIDSGEKRLNELGYKQELKREMSLFKTMAITFSCMAVFTGTPLYGQSLLYAGPAPLLWGWVVVSFFTWFVGLAMSEICSSFPTTGSLYFWAAHLAGPRWGPFASWCCAWLETIGLVSGIGAQAYSGAQALQIIILLSSGTNKGGGYFAPKSVFLGIYVFFILVWAVLNSFALKVIAYLNIISIWWQVIGGLVVIIMLPLVAQTRQPASYVFSHFELSSDSTGIGSAPYAVIMAVLLSHYCLYGYDAAAHLTEETKGADRTGPFAILSCIGIITVFGWAYNVALTFSIQDFSYLYDPNNETAGALVPAQIIYDAFHGRYHNVTGAIVFLWIIWGSFFFCGLSVTTSAARVVSIFHLFS >CDP07462 pep chromosome:AUK_PRJEB4211_v1:10:1757645:1760022:1 gene:GSCOC_T00024733001 transcript:CDP07462 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGQVIGCHSVDQWNEHFTKGVESKKLVVVDFTASWCGPCRFIAPILAEFAKKLPHVIFLKVDVDELKTVAEKYEVEAMPTFIFFRDGNIVDKFVGAKKDELLQVITKHATAADTATPAAAATTASVSV >CDP10725 pep chromosome:AUK_PRJEB4211_v1:10:9788656:9792215:1 gene:GSCOC_T00031529001 transcript:CDP10725 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLFDSDSSGEDDEKLNRIEINQEFARRYEHNKKREDLQRLEELKKKGVIDSESDSEESSSEEEEEEENANLSKKKDLEFFDALIRVRNKDPILKDKEAKLFQSELDSENEEDDDNDNDGNGNSGSEEKGNKLKKDGKRKEKKKPMYLKDVASKQLIEEGPEFDDDDDEEKDNVDKKVVKSYAEEQEELRKAFLQAVEDAEAEQGDDGEEFLIEKRKRDGEDEENEEDVGFGEKLDEYFGGDEKLDEDMMFLKDYFRNRMWVGNGDHKKGVGDEEGVSEDEEEIERQEDYEREYNFRFEENAGDRVMGHSRVVEGSVRKKTNARKVQRERKEERMAQAEFERREELKHLKNVKKKEMMEKLRKLREAAGIGDDGAWLLDEDDLEEEFNPDEYDKKMKEAFDDGYYKADDVDPEFGSDHGEDNNEFEKPDFAKEDELLGLPEGWDDIRNACNGFLSTREKILQLKAESGDNHEQSDEEDVRPEDGKRKKKRKRHASEVEKALRDEFLEEYYKLDYEDTIGDLKTKFHYRQVPPNRYGLNPEEILIMDNKELNQYVPIKKLAPYREKEWKVPRIKAYQQRQRIKEVKANVSTVPKNHKLLSDSRNVNSAVSSAESEKPWPAESNGDTSKLSRRSKRRHRQAELKLSHSRLMAYGKIPSKTKSKRKSCVQDVA >CDP11366 pep chromosome:AUK_PRJEB4211_v1:10:25144999:25145921:1 gene:GSCOC_T00033583001 transcript:CDP11366 gene_biotype:protein_coding transcript_biotype:protein_coding HCHHHHFFFRFLHLQNSSLLPATTITFSSSSLFLVVSFLPFLLPSPPPSPLTVTTTTSSSGIQV >CDP11379 pep chromosome:AUK_PRJEB4211_v1:10:25386358:25388170:1 gene:GSCOC_T00033604001 transcript:CDP11379 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWKELEEKRKLVSRNHATTKFNPKDLWLEGRGCPKGTVPIRQMTKEQQKRALRADQALKYPSLATGPILDFAGITVNADPGKKYGAAQAVINIYNPKVVGPGHYSSATIAIESGENQIQMGWIVHPQLYGDYRTRLYSSWTADNSRSTGCFNNNCPGFVVLSRDIPLDYAFPSISQPEEQQYDSLIGLALVSFQWLLVFEFNTVIGYWPNSILPNLASGADTLRWGG >CDP00996 pep chromosome:AUK_PRJEB4211_v1:10:6823054:6825570:-1 gene:GSCOC_T00034478001 transcript:CDP00996 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTAAITPSSCPIMLFKSLPCQLQSPLVRQVSICRISACHPVKSFRMEWFNEPAKFKVHIDYAVKKLSEFIPPSVQNFPWAKAENAALQHLLALGQLALKWSLTALFILSSASDFIYSISKNKELVIPIGLFCGCVVADFLNETSHELIRSTQERGKNWQLLVIGCFFVLVRIFAICIAVEPQSFLLHAANGGLMQILWQWRTSLQPEGNDANNVPLEDGCSSEVQITRSD >CDP01158 pep chromosome:AUK_PRJEB4211_v1:10:5032503:5033117:-1 gene:GSCOC_T00034693001 transcript:CDP01158 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASINYKPRSFWFLGSRSLNTYTYCLASQEKPGPNNMENFSQMVARDQGRRSSRRSTRYLGVRRRQWGRYAAEIRNPYTKERHWLGTFDTAEEAAVAYDLASISFSGIQKARTNFVYPFLALPSPSPSPPSPPPPPPPTPDLEEVDQSCAEVSSFEDDDDESLFIASVLESFRQSSFDGTQKD >CDP07538 pep chromosome:AUK_PRJEB4211_v1:10:2302035:2307970:-1 gene:GSCOC_T00024827001 transcript:CDP07538 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAFASASGISDQRQKIEQYKHILSTVLASNDTAQAKKFIDHMLSDDVPLVVSRQLLLTFAQELRNLEPEAQKEIAHYALNQIQPRVVSFEEQVLIIREKLAELYESEQLWSKAAQMLSGIDLDSAMRVIDDTFKLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSGHEVLNLQYKVCYARVLDLKRKFLEAALRYYDISQIEKRQIGDEELDEEALEQALAAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELKPHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIAPQKAEKIASRMICEDRMRGSIDQVECVIHFEDDSEELQQWDQQIVGLCQALNDVLDSMAKKGLPIPV >CDP07294 pep chromosome:AUK_PRJEB4211_v1:10:565728:573196:1 gene:GSCOC_T00024521001 transcript:CDP07294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MPTACWNLLSQTALGALMPSSLGKDASKVSTSSTCGISKCQTRLIVGSFRAAGFPSPKSGVKHQRVQPTSAISDILTNDNSTMSTADVETENIGLFGMDSALEPYKDHFRYRIMRYVEQKKLIETYEGSLEEFAQGYLKFGFNREKGYILYQEWAPAAQEAEVIGDFNGWDGSNHRMEKNQFGVWSIKIPDCNGNPAIPHKSRVKFRFKHGNGDWIDRIPAWIKYATVDPGKFAAPYDGVYWDPPPSERYQFKYPRPPNPKSSRIYEAHVGMSSSEPRINSYREFADDVLPRIQANGYNTVQLMAIMEHSYYASFGYHVTNFFAASSRSGTPEDLKYLIDKAHSLGLRVLMDAVHSHASNNITDGLNGFDVGQSSQDSYFHTGERGYHKLWDSRLFNYANWEVLRFLLSNLRWWIEEFNFDGFRFDGVTSMLYHHHGINTTFTGNYNGYFSEATDVDAVVYMMLANHLIHKILPDATVVAEDVSGMPGLCRPVSDGGIGFDYRLAMAIPDKWIDYLKNKKDEDWSLKEITWSLTNRRYTEKCIAYAESHDQAIVGDKTVAFFLMDKEMYSNMSCLTEAPPEVERGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYEKCRRQWDLVDTDHLRYKFMNAFDKAMNLLDEEFSFLASSKQIVSSINEEDKVIVFERGDLVFVFNFHPENMYDGYKVGCDLPGKYRVALDSDAWEFGGHGRVGHDVDHFTTPEGVPGVPETNFNNRPNSFKVLSPPRTALVYYMVEETLEENNHNKSTTFSEAGTATLVEDEENIEKLASADKSGSFSGSSTTGRLENEEREFASSENRGAVSSEAERPN >CDP18290 pep chromosome:AUK_PRJEB4211_v1:10:24874936:24877349:1 gene:GSCOC_T00012019001 transcript:CDP18290 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSRCTRSQAAPDWSAWECLTLVNEINAVEGEWRQTLASFQKWQLIVENCSALGMNRSMNQCKKKWDALRNEQKKVKQWEAAYWSFATAEKKELGLPEEFDKELFNAVEKHMNQRGDDPAALDTELDSDPEAQPIASKMFLQTGPKKQRKKRMPPRKDKFEERFHPWKHILKGVVKPEPSALDEIPDQPPKSTAQMVKPEQISKEEKQKIMTAKLLENAQLINAVLQGNLAEDVDYKLADLKNNEAMQTDSTRRQGDKIIDCLGNIVSTLNQFCHLVQECNLRPERN >CDP18862 pep chromosome:AUK_PRJEB4211_v1:10:27335981:27337982:-1 gene:GSCOC_T00013398001 transcript:CDP18862 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKLSQSSLPRTLVVIRSSVLVKVSRKVSNHKNFHLHAQRWRCQIRGMSSKGRHSMLKHVVKEGETLTSISKLYGVAIYEIAAANKDIVDVDLRPSSVFEGQHLNIPLSTAVPLQMQKSERALSSDPSLDERTSRLELFSSCLNQKMFSFLSVHKLSYAKSTGYFLVLVPLIAFCIRCIIGALCNRVAGDMKHNVNESEEHHHGSKRIRWKFALQHLKDPDALDTGTRPDYDAST >CDP11530 pep chromosome:AUK_PRJEB4211_v1:10:27093139:27096835:-1 gene:GSCOC_T00033826001 transcript:CDP11530 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKVETAAGENFKEEAGVSHDRRHESPCSFEEILVDWRGRPTCQPNQHGGMTAAAFVLGLQAFEMMAIAAVGNNLITYVFNEMHFPLSKSANIVTNFVGTVFLLSLFGGYLSDSYLGSFRTMLIFGVVELSGFILLAVQAHLPQLRPPKCDMMASTAGGHHCLGAKGFEAWIFFLALHLVALGSGCLKPNIISHGADQFSKNDSKQFRRLSTYFNCAYFAFCSGELVALTVLVWVQTHSGMDVGFGVSAAVMAVGLLCLISGSAFYVNKPPRGSIFTSIAQVLVAAITKRKQICPSQLEMSHGSQDTGPQGFSSPSASAIGLRHTEKLRNIQNRTGTSESRWRLCSASQAEQVKILISVVPIFACTIIFNTILAQLQTFSVQQGTTMNTRIAKNFRIPPASLQSIPYIMLIFVVPLYETAFVPIARKVTGKESGISPLQRVGIGLFIASFSMVSAAIIENKRRNFALEFNKSLSIFWIAPQFLIFGLSEMFTAVGLVEFFYKQSLEGMQSFLTAMTYCSYSFGFYLSSLLVSSVNKITSSGPSGGGWLSDNDLNKDRLDLFYWLLAALSLVNFFNYLFWSNWYSYSPSLPPTAAPPLQENQGRDDVDDKNNDGRHRTFV >CDP01106 pep chromosome:AUK_PRJEB4211_v1:10:5546697:5547209:1 gene:GSCOC_T00034623001 transcript:CDP01106 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRPRHQQTARSTRPNGMCNKHPKHQQSPGVCSICLTEKLSQLSSSSSRSNTTATTVDSSCSSSSLSSLSSSHYSASASSCSSPVHSHHYRMTSDGRGLSSLSFFRSGRNVLTKSRSVAFITRRRDAGEVIAMDHGKKKSGFWSKLLRPRSKRTDEGLVHSRTVTRVH >CDP07350 pep chromosome:AUK_PRJEB4211_v1:10:903546:907811:-1 gene:GSCOC_T00024587001 transcript:CDP07350 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEAVRVRVRDSNNATEEGDQEFKNTNFLSILATEDRDFLLSATGSQVKISELEGKIVGIYFSANWYPPCKNFTPLLVNAFEEFKSQTPGFEVVFVSCDEDLDAFNNYRACMPWLAIPFSDLNTKKNLNSRFDVEGIPSLIILQPDNYKVDEAIHDGVELLYRYGKQAFPFTKERLQELQEREREKHENQTLMNLLTSQNRDFLLGHSTSKLVPVASLKGKTVGLYFSAQWCFPGVKFTSRLISIYQKIKEVLLENGGEDFEIVFVSTDHDELSFNLFFGTMPWLALPFNDPTIKNLTKHFDVQWIPSLVILGPDGKTVTKKGRNLVNLYQENAYPFTEARTALLEKQMDEEAKSLPKSKYHVGHRHELTLVSEGSGGGPYICCDCDEQGLGWAYQCMECGYEVHPKCTKSAERPPGSDRQRS >CDP11933 pep chromosome:AUK_PRJEB4211_v1:10:24221526:24224644:1 gene:GSCOC_T00035244001 transcript:CDP11933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSLDSQKVRLALEEKGVDYTSHRVNPITGKNMDSFFFRLNPSANLPVFQNGAHIIFDTVEIIKYIERIALVSSRGDNAELSNQEVIEWMNKIQAWDPKYFTLFHIPVKYRVFVSKFLRRVIIARMTESPDLASAYHRKLREAYETEEKLRNVEVLRRCEEHLVRLLDEVETKLGETSYLVGEEFTLADVMLIPVLARLVLLNLGESYINCRPNIAEYWNIVQQRPSYKKVIGRYFNGWRQQITLMRTWCFLHIRSMLRRY >CDP11934 pep chromosome:AUK_PRJEB4211_v1:10:24184529:24186022:-1 gene:GSCOC_T00035245001 transcript:CDP11934 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGNSINTYDMNDPLYPLIRARDAPNIVDGFDSSTSAQLLKLKNIKKRKDSNTLIREQKTEGDVVAEAGAFGTVMLVPNLDGFSSLYPLPASLVNLGTNGSSGISKYMNSTRLSLDVKQRWLSTTQAPYISSLSSRGPNKINPHILKNCCIQLPIWNFHACPHATGAAAYVETFHPLWSPAAIKSALMTTGKRIQSKYPMGVNDSNNQNGLAFGAGNINPLKAIDPGLV >CDP07382 pep chromosome:AUK_PRJEB4211_v1:10:1116282:1117415:-1 gene:GSCOC_T00024625001 transcript:CDP07382 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPSDQTQTQAETQVPAGSDPNPSQYWCYHCDKRVSIETLADLPDVICHECKNGFVESIGPPAAPAAASDPMDDPSFGNQFLQVLRLIAQAARDEDAPPPPPSEHADPSDDDYLRIELDGWDNDDDEDDENEIEVRNEDVEENRERVDQNRDQSDDDDDDEEEVDDENEENETREETDEDDLRRRRRDVLRLRLRDFAARAANRRNRILDWAEILMGLEDHSIELRLAVPEGEGYIGNPGDYVDAAGYEALLQNLAETDSGGRRGAPPASKASVEALETTEIKSELEALACAVCKDIVGVGEMVKKLPCGHGYHGDCIIPWLESRNSCPVCRYELPTDDAEYEEERKKRAAAAAAPSSSSGGSGGISGDYETEFF >CDP00988 pep chromosome:AUK_PRJEB4211_v1:10:6904787:6908427:-1 gene:GSCOC_T00034470001 transcript:CDP00988 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLYSNKYLFNLSKKQDNLLSQSKNPAFSEDENIISNPNFDDGLNNWSGRGCQIVLREAMADGKIVPSSGKFFTSATNRTGSWNGIQQDITGKVQRKLAYQVVAVVFVLGNNGADAEVRATLLIQAADDQGEHYIGLARIQLESKPNPLQMQEKFLLNCFPSKVIIFLEGPPPGTDILVNGLVIKHAEKLPLSPPPVVENPTYGVNIVGNSSLNDGINGWFPLGNCTLSVEIGSPRILPPMARDLLGPYEPLSGSYILVSNRTETSMGPAQMITDKVKLYLTYQLSA >CDP10685 pep chromosome:AUK_PRJEB4211_v1:10:10571977:10573957:-1 gene:GSCOC_T00031479001 transcript:CDP10685 gene_biotype:protein_coding transcript_biotype:protein_coding MHCCESQGLGFLTLSTVLPSFNSSGCQNAENAVTCSPSEFPIIFCFFSLYLIAVAQGGHKPCVQAFGADQFDGQDPEECKAKSSFFNWWYFGMCSAILVALVILTYIQDNLSWSLGFAIPCLVMGFGLILFLLGTVTYRFSVNSEEKSPFMRIGRVFVNAARNWRATSSTLSMQLESQGCVPYQGPQEFKFLNKALLVPDGSEEDGNICSISEVEDAKAILRLFPIWATCLTYGIVFSQSSTLFIKQGGTMDRSISPSFEVPAASLRSFITLSIILFIPIYDRILVPTARAITTKPSGITMLQRIGAGIFTSILSMVIAALVEMKRLETAQEYGLVDKPKATIPMSVVWLIPQYLIYGVSEALAMVGLQELFYDQMPNQLKSTGLALYLSILGIGSFLSSFLISVIEKATSRHGHESWFSDNLNKAHLDYFYWLLAGLSAIALAAYVYFARSYAYNRGSSI >CDP07277 pep chromosome:AUK_PRJEB4211_v1:10:375106:381323:1 gene:GSCOC_T00024497001 transcript:CDP07277 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTDCPPPFTVLDSGYIKESVPQVEDELLESSDDLKETPNELLEGSDDLKQTPNGKPPRHSVSSATLLQPSDVDFDLTVCGQKSPSDGKSSFLPIFRSGCCAEKGPKQYMEDEHICIDNLFEHLGETAGFPSPGAFYGVFDGHGGTDAAIFIRKNILKFIVDDSSFPLFLEKAIKNAFLKADYAFADDSILDISSGTTALTALISGRTMVVANAGDCRAVLGKRGRAIELSKDHKPNCTSERIRIEKLGGAVYDGYLNGQLSVARAIGDWHMKVPKGSSCPLSAEPELQEILLSEDDEFLIMGCDGLWDVMSSQCAVTIVRKELMIHNDPERCSRELVREALKRNTCDNLTVIVVCFSPDPPPRIELAPTRVRRSISAEGLNLLKGVLEL >CDP11949 pep chromosome:AUK_PRJEB4211_v1:10:23958639:23966165:-1 gene:GSCOC_T00035266001 transcript:CDP11949 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSYDPSLKHLRNVVLEHICKSLKGEGGLEECIRDALVHPSENGCTSAVKESVHSCEDGKKCSSPSGRLHDRIQNACNGIEGAILDSSINETNNWIVTERCKRTFSDVIMSEKFALLCNMLLENFQGMKADKLFDISLMNSRIKEGAYEKSPVLFFLDIQQIWTKLQKVGTDIVALAKDLSEKSRTMYHKQIGGLMRAASDDGAIEFVTQESDMHAKVEQTDACGIYKVCTCKRCGGKADGRDCLVCDSCEEMYHVACIEPPIKESPQRSWYCASCTAKGIESPHDNCVVCDRLNAPRSLVHDGVDELSNAETLMELEESSNGLTDDDTNVAKGGKVITHCNVCRMDIKNGEKLKICGHAFCPHKFYHARCLTSKQLDSYGPQWYCPSCLCRVCLADRDDDKIVLCDGCDHAYHIYCMQPPRSTVPRGKWFCRKCDAEIRCIRKAKRTYENLQRRLTKRPGEGKTPHVEKGEKEEALEKSGGVDMLLNAARTLNYEEDLAARRVKI >CDP11938 pep chromosome:AUK_PRJEB4211_v1:10:24120030:24123064:-1 gene:GSCOC_T00035251001 transcript:CDP11938 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSQMASTNLSMLHFKGGGKRDLRKRKVLTVSAQEVDVQEDVKVEKEEEKEKQKQQQTLKQPRPVEPQMNVKSKNMAREYGGQWLSSATRHVRIYAAYVDPETSEFDQTQMDKLTLILDPTDEFVWTDDTCNQVYSYFQELVDHYEGAELTEYTLRLIGSDIEHFIRKLLYKGEIQYNMNANVLNFSMGKPRIGFNYKDGQIQDVNL >CDP17698 pep chromosome:AUK_PRJEB4211_v1:10:19477670:19485925:1 gene:GSCOC_T00001430001 transcript:CDP17698 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARFGFALDEELRKAASDEEVKAAIANKISRERIGHEIDLMVSGNQPVKAMTYVSDLQLFGAVFSLPLEFEPEIPDRYDRVCVADFDSAWRLLEAIGCPFSADQQRLFLYAALFLPFRENVYKEKKAKKIPVVSYIIRNSLKLKASDAEMVISLHIATKKFVSLIPFVVSKEDIQILEVDWKREIIDVPFASKLRILAGLLLRDIKDFWRAALLLSMLLYPTDIYSATSSFEFDKRREVYNMVEEAILGKGLDKVWEVKPLVDGKEIMSILDLKTGGPLVREWQQKLIEWQLAHPFESKDKCIQWMNEASSKRARLE >CDP00954 pep chromosome:AUK_PRJEB4211_v1:10:7428741:7435391:-1 gene:GSCOC_T00034421001 transcript:CDP00954 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGEESRLKLIEDRLSQASVPSQVGLVIGKLSKSLDKGFVFDLIPTPLNDAGEPASSLIESGKDDGNKKKGSKSKPQSDSSALVIDRDWVSEHARQVSRMLLGGMKVIGVYIWVNESSFKNSTITLCQTVKGVCDAVPFMDTDMDERLLIHISYSPRRWTCRNCSLASNITSSSLRPCDFKMGKVLSSLKEFRCTYNFDMRLPVFSENGSSIRKMSEVLRRGLSTHAKELKGARCLINGRLGIEDEQYAPDGLHEVEFLLPFMHEKSLEVYRQTEVVGVLVFHGSVCSFAYLNPKEPMSQALNEIKEDIIMSLQSRLEILCDEADRDSESIIDEDQEAGRHLSMGKQIPQLDLQLQREFSNLSFPRRVFIPWLAGTYICDYILPSETIEVLKDHCVELMSMEAPEDDSAILGLESEAVSVTSASKSFWDIAASQLSSESKPDGLISKKSKKERSPGTSQLAKLGDLSIVAAFFVLIFSVVVGLALFVFRSQ >CDP01243 pep chromosome:AUK_PRJEB4211_v1:10:4249410:4252186:1 gene:GSCOC_T00034822001 transcript:CDP01243 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSPNCILVLAHFRLSPVLLSFVMNMMIHFQVESLAQSLRAEVGPNKTRKRQQEVQEHLKSAYPLCVKKKNGNKLLLVSSPLSLRQTPFLPHCQYHQLLQFSTILITKLGKKNAQISSCSTKQSSSSSSVFSAVPRDSLAISMRYGYYGDCDMLLGPSSSRLFEANSVFVKQVHVTDTDRKGVVLYGFSQKPELSLEESWSVSNYMIVGSYSHKGFSLWLNKGSRIQLGLEAEKTSLNQLDVSVSKGVREYETLLPPNSLGAGLFNYDTAGKAAEYVIEEDDRYCIGITNLNPRSIMVVMHVNVSSKMYDSTKAKSMCSTGSGTCHLNLLFPITQYVVVTTPNNGDLGQWHVELSFVARLLAYICILGVVVIIIFLLLKLLGACTEENHEPERPVTRVAAETEPLLPEKVFRLPYGTDEEDRESSRGSSSEDLYDGKICVICYDMPRNCFFVPCGHCATCQDCANRIMEGETRVCPICRRLIHKVRKVIIP >CDP01272 pep chromosome:AUK_PRJEB4211_v1:10:3966483:3966890:1 gene:GSCOC_T00034860001 transcript:CDP01272 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSASEYSNGCASGWTRYLDPFSSSADQCNRSLPFNDYSLGKGAYLYEDEEDEDLSMLSDASSGPPHIHQDEDSSEETRYASGISVSEPKKSKHKNKAKQQGKKQQNPHLDDTASSPVLSFSKASSTYDKTIMW >CDP07526 pep chromosome:AUK_PRJEB4211_v1:10:2230800:2235721:1 gene:GSCOC_T00024811001 transcript:CDP07526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT5G42740) UniProtKB/TrEMBL;Acc:A0A178UPP5] MGSSSLICDSESWKDLKFHVEDIKKTHLRELMADTERCKSMMVEFDGNLLDYSRQRATHDTLNKLLSLAEAAHVKDKINRMFNGERINSTENRSVLHVALRAPRDAVIKSDGKNVVPDVWGVLDKIREFSERVRSGAWVGATGKPLKDVVAIGIGGSFLGPLFVHTALQTDSEAIESAKGRQLRFLANVDPIDVARNIAGLSPETTLVVVVSKTFTTAETMLNARTLREWISSALGPQAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSIVEKFLKGAWSVDQHFYSAPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKLAPHIQQACC >CDP18948 pep chromosome:AUK_PRJEB4211_v1:10:20028819:20029124:-1 gene:GSCOC_T00003302001 transcript:CDP18948 gene_biotype:protein_coding transcript_biotype:protein_coding MINCFNFSPNFIALIFFLNFCPGQGGHPPDQQHLIFTGKQLENSRTLVDYNIQKESALHLVLHLDGGAKKRKKNTCTKPAVTEGNSPPFFINFIVTSLIEH >CDP01041 pep chromosome:AUK_PRJEB4211_v1:10:6227646:6230486:1 gene:GSCOC_T00034534001 transcript:CDP01041 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTDLAFPTKLTTTDTRLEKVKRIFQVFDLNKDGCLNRDEMAALVVAVNPRVKFSNDQLDAINNELFLTYAPFIHGEKGLTIEGLLCTYDDGAGDIDRDFDALGLDLNTPVPDALGGSSKPTTIRTRLEKVKRIFHRFDANADGGLNRDEGLLISYDDGAGDIDRDFDVLGLDLNHTVVFHETYKFLDDLDILIGKLKKQKQAKDGKIKKIGNNSDKISQPQMSDKKVNWEESGQNYTVFVKDLVDLRSRADKNGSREEAFDRHMAIGRVIYDYRLHNEALISFRRALELQPTNVVAHFRAGNCLYELGRHGEAKEEFLLALEASEVNFRDWEYLIPQIHVNLGLVLENEGMVFNACDHYREAVILCPTHFRALKRLGSALVAVGEYGAGVVALEEAVFLNRAYVDAFYDLASALVAMGDEERAIMEFYKVLELKPGHVDALYNLGELFMDTGRYPRAFEMYTRVLAELPNHWKAQLSMAVYLFGKNEIEEAKQALKEGLKMVNGVELHDRLAYLKQLKKKRLKGKEGGFGEGAYIIVEPSKFRMADDSTTLGLELANALHIRAFQRTTGLSRCDVDLIKKQINEYSLPDSDSGSIFAERSIRKASLEGILRELLSFLKPETFVGSVKAINKKILSVFDEVYTGNIDRDLFFAVIAPLCSGPLERRKRVAYHALLCRPGHEGSSKIKKSDAQKYIKLLRAIYIPSFGVNDILEIDDTDESMVSLTEFLAMFDDPDRGFGIMSTLLKLETGKRNGSYVCATCRSAIIGSRFKEMESHFSLCGHCYSKGKVPSTSEQEEYVFRDLYGSVIDKSVAVTFLTQIFCSNIFVSMSLWFFVSVPKSVRNKNCIKIKRNKKN >CDP07409 pep chromosome:AUK_PRJEB4211_v1:10:1287040:1289451:1 gene:GSCOC_T00024666001 transcript:CDP07409 gene_biotype:protein_coding transcript_biotype:protein_coding MTITPSVSRLHSPFICCPLKISSSSSGLLTQKSIRNRRCPTSYPCIRAIELDQNTIVAITVGVASIAVGIGIPVFYETQIDSAAKRENTQPCFPCNGSGAQTCRFCMGTGSVSVELGGGDKEVSRCINCEGMGSLTCTTCQGSGIQPRYLDRREFKDDD >CDP07557 pep chromosome:AUK_PRJEB4211_v1:10:2422514:2423941:-1 gene:GSCOC_T00024850001 transcript:CDP07557 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKEVKPHIALLPSPGMGHITPLFEFAKRLVTNHGIPVSFLVITADASAAQTQLFQSPDIPAGICIVNIPPVDMSNVINENTLVLSRGCLTVQESLKPLRSILVEVKPKVLIVDLFTSQAIEVARELSISVYSFYTASAGLLAFSLYLPTLDREVEGEYVDLLEPIKVPGTRPQRIEDLLDQVRNRKIDEYKWFLFHMSRLALVDGILENSWEDLEPLSLKAVREHEYYKTVQAPPVYPIGPLIKPDEFITDKDARIFTWLDNQPADSVLFVAFGSGGTLTSEQLTELAWGLEMSEQRFILVARNPSDASASAAFFNVGGDQNDPSTYLPEGFAERTQGVGLIVHSWVPQAAILGHSSSGAFLSHCGWNSSLESLSHGVPILAWPLYAEQRMNATLLEEGVGVAVKPVVQPGKKVVGREEIERVVRLIMEGEEGKVMRRRAKQLKEKADTALSCGGSSYNSVCQVVGIWKSLV >CDP01281 pep chromosome:AUK_PRJEB4211_v1:10:3902415:3903472:1 gene:GSCOC_T00034871001 transcript:CDP01281 gene_biotype:protein_coding transcript_biotype:protein_coding MKFILILPSLPLGENTKQRYSFRVLWYLHFSGWFAYLDLLLHSRSG >CDP10936 pep chromosome:AUK_PRJEB4211_v1:10:21409837:21426189:-1 gene:GSCOC_T00031906001 transcript:CDP10936 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLRNFFCSPIVVNVFVLCEISQGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDTQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPCAENQEENVKLSQLHLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKQELQQLKRGMENKSLMAPTQEDLVNLKLQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNTLPTNIRERPGHRRTHSFGEDELAYLPDRKREYVIDDDTRSTDSEASANGRSDITNIDELVKDYKRNRRRGMLGWFKVKKPENLVGLSANDFDSSTSGSPASSSRSSQNRVTFGDVKDGCRKSVSRRGDEASVVDSLPERTQAGDLFTAAAGGRQLPPTGNTITDQMDLLREQVKMIAGEVALCTSSLKRLTEKAGKNPEDSQVQEHMQKLKDEIREKKFQMRILEQRMTGSVEVNPHTSSSIEMSQALSKLATQLNEKTFELEIKSADNRVLQEQLQLKMSENAEMHETILLLKQQLDSLSTNKSSNFPRQSVNDEITHGTCFEVSTESKTRWKDGCHSYEETTVDENTPTSVISLDRVLMCEDSKECNQGAFLNSQLLMLAAELESLKQEKVKIIEEKDGLEVHNQKLAEEASYAKELAAAAAVELRNLAEEVTKLSYENANLTAKLSAARETCNANCCQRSASVDFKLSGGSSSTQPDTNSRKPDDRFLVKELQQELNARHQREASLVAALTERDKIESELRKRVDDAKRREKDLENELANMWILVAKMRKSGTDTEDTLLKDERMTTNGTSLSIGHKEQKFEENDRNEDSEEASRFEELKACYDTERKRCKELESLVSRLKGDDIGGLDLNTLEEMQSLHVEAITKICHAKCTNHISS >CDP11497 pep chromosome:AUK_PRJEB4211_v1:10:26752546:26758441:-1 gene:GSCOC_T00033778001 transcript:CDP11497 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP4M [Source:Projected from Arabidopsis thaliana (AT4G24550) UniProtKB/TrEMBL;Acc:A0A178UX13] MISQFFVLSQRGDNIVFRDYRGDVQKGSAEIFFRKVKFWSEDAEEEAPPVFNVDGVNYFHVKVVGLLFVATMRTNTSPSLVLELLQRIARVIKDYLGVLSEDSLRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYVFNEPIVVDAARLPPLGPASLFMQGSKRMPGTAITKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALTDELTIGRGGRSIYDYGGSSGSGAVILDDCNFHESVHLDSFDVDRTLSLVPPDGEFPVMNYRITQEFKPPFRINTLIEEAGQLRAEVMLKIRAEFPSSITANTIVVQMPLPKYTTRVSFELEPGAIIGGSEHTLRAKLTFSQELHGNITKEAGPVSMTFTVPMYNPSRLQVKYLQIAKKSKTYNPYRWVRYVTQANSYVARI >CDP07381 pep chromosome:AUK_PRJEB4211_v1:10:1112024:1112640:-1 gene:GSCOC_T00024623001 transcript:CDP07381 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKRKRDMEKNLPRKQRRKLEAAREKLEDDSEVLQGNDKSKKENAGISPVDLAYRRAKAVKAVKRAADVGKITRRVEKKSKHPSQKTQSRMEEMRELFQSDRSEKK >CDP00882 pep chromosome:AUK_PRJEB4211_v1:10:8460134:8462675:-1 gene:GSCOC_T00034320001 transcript:CDP00882 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEEDFCKDYLLLNPKEAGFSDLLRIFYSSELYKRDFFDAPEADSLSGLRRRWVVFVSVVAQMLLLQLKKPLAGLGSTLELLLNYPSSNGGFGGLLLHFLTGNVVTPDRSAANFRSLVANVDTRVDLDGRIKTNDERYGAALSIMAAKLAYENEAFARTVVTDHWQMEFLGSFNFWNDYEESYTTQAIIFEDKITSADSNLIEVAFRGTQPFEADDWRTDLDISWYDIEGLGKIHAGFMKALGLQKRRGWPKKIEQGSGGKDYTYYTIREILRNRLRENQNAKFVVTGHSLGGALAILFPAILILHEEKELLERMEGVYTFGQPRVGDEQFGEFMKDKLRFYGVNYCRYVYNNDIVPRVPFDDKTLMFKHFGLCLYFNSRYRGQILEEEPNKNYFSLLSVIPKHLNAVYELIRSFIIPFTRGMEYREGLPVIFSRLLGLVIPGLPNHGPQDYDNATRLGTLPFWDPPLKGLKQE >CDP07231 pep chromosome:AUK_PRJEB4211_v1:10:1317:6551:-1 gene:GSCOC_T00024436001 transcript:CDP07231 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1K3 [Source:Projected from Arabidopsis thaliana (AT1G79600) UniProtKB/TrEMBL;Acc:A0A178WNJ7] MQAEARAMARAANASVYSPALLATKYGSRPIKVLRRALEIFTGLGSFAINLWLDQLNGLAIRSRRLRAVELRQTFTRLGPTFVKIGQGLSTRPDLCPPEYLEELSELQDALPTFPDIEAFSCIERELGRPLDSIFSAISASPIAAASLGQVYKAQLKYSGQLVAVKVQRPAIEEAIGLDFYLIRGLGFLINKYVDIITSDAVALIDEFARRVYQELNYVQEGQNARRFRKLYANKEDVLVPDIFWDYTSGKVLTMEWIEGVKLNEQDTIERQGLKVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARLAIIGHIVHLVNRDYEAMARDYYALDFLAPDVDVSPIVPALRNFFDDALTLTVSELNFKTIVDGLGAVLYQFPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFQDGRFRWNRLENLLVQGKKDRDFSAEDALQPVLKLLLGQDGEPLRVLVVKEAVRVTEAIFFGTLLESYNSMPVFMRTVIANGNMTGPFITSIAEQESMMELRAQVFRIWELLRSSENFDPALLQPILQVLQQPEARSLGGRVFGGITQRLAARLLQQVLRSPTPSTLSTSIS >CDP07451 pep chromosome:AUK_PRJEB4211_v1:10:1668537:1677567:1 gene:GSCOC_T00024720001 transcript:CDP07451 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSEPMQLAQLIIPMESAHRTISYLGDLGLFQFKDLNTEKSPFQRTYAAQIKRCGEMARKLRFLKDQMTKAGFSPSSRCSFDTRVTLDELEVKLGELEEELIEVNANSDKLQRSHNELLEYKLVLQKAGEFFHSAQSIAAAQNHEFEANVMGEVSIDSPLLLEQEMSVDPSKQVKLGFVSGLVAREKSMAFERILFRATRGNVFLKQVAVEDPVIDPLSGDKIEKNVFVIFYSGERARMKVTKICDAFGANRYPFTDDIAKQYQTISEVSGKLSELKTTIDVGQLQRSNLLQTISFEFEQWNLLVKKEKSIYHILNMLSFDVTKKCLVGEGWCPISATDQIKNTLQQASLDSNSLVGAIFQVLQTEESPPTHFRTNKFTSAFQEIVDAYGVAKYQEANPGVFTIATFPFLFAVMFGDWGHGLCLFLATLYFILREKKLSHQKLGDIMEMAFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGRSAYDCRDSSCRDASTVGLIKVRGTYPFGVDPKWHGTRSELPFLNSLKMKMSILLGIAQMNLGIVLSYFNAKFFGNDINIWYQFVPQIIFLNSLFGYLSLLIIVKWCSGSQADLYHVMIYMFLSPTADLGDNQLFFGQKYLQILLLLFALVAVPWMLFPKPLLLKKQHQERHRGQAYRPLYSTEDSFELEIQSDLHGHEEFEFSEVFVHQFIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGFNNVIILIIGIIVFICATVGVLLLMETLSAFLHALRLHWVEFQSKFYEGDGYKFYPFSFASLSEDEE >CDP11525 pep chromosome:AUK_PRJEB4211_v1:10:27057347:27061728:1 gene:GSCOC_T00033820001 transcript:CDP11525 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDTSTNIFKRFRIGLLPWFRKKLLDPLLHILRMGAEPKQLAFSAALGITLGVFPICGVTFFLCGLAIALLRSSCHAPTVMLANFVATPIELSLIIFFLRFGEFITGGPHFPLTSDALKKVLTGQASREILRGIFNALLGWLVAAPFILGTLYVLFLPCFAFLVRKFSGVPTSPKLPLHSNTEARLKVRDV >CDP11993 pep chromosome:AUK_PRJEB4211_v1:10:22893453:22897248:-1 gene:GSCOC_T00035336001 transcript:CDP11993 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLVQPIGQKRLTNVAVVRLKKHGIRFEIACYKNKVLSWRSGVEKDLDEVLQSQTVYSNVSKGVLAKSKDLVKAFGTDDQAKICLEILEKGELQVAGKERESQLSSQFRDVATIVMQKTVNPETQRPYTISMIERLMHEIHFAVDPNSSSKKQALEVIRELQKHYPIKRSPMRLRLTVPEQNILDLLEKLNGWNVNVVSRDEAGSQISIICEMDPSLFRDCDAVVRNMHGRSEILAVNVHVDSDTHVDHYDDHEDEPSSLRRGYTDPIHQLSDQMQNQTISKTGSSSRELKQNKCSTCNAVVGDSKEYREHFKSEWHKHNLRRKTRQLPPLTAEECLADLELGDSKADLKDYSF >CDP07501 pep chromosome:AUK_PRJEB4211_v1:10:2051092:2052526:1 gene:GSCOC_T00024778001 transcript:CDP07501 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLFVLIQRTKRHTPCNGFIIFSNRTSFVAHADCSCTTRINMQGFLQAIRSYQEKLLGHSLLRSSTAMKNNLLRLGSISSYLTVEEGTRPAEESSPMQHKGLENVTVAEVLMTKGGEKAGSWLSCRADDTVYDAVKQMAQNNIGSLVVLKPGQQQLIAGILTERDYLRKVVVQDRSSKYTRVGEIMTDQDNLVTVTSDTNILHAMQLMTGNYSTD >CDP00901 pep chromosome:AUK_PRJEB4211_v1:10:8146725:8150798:1 gene:GSCOC_T00034345001 transcript:CDP00901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor A [Source:Projected from Arabidopsis thaliana (AT2G30410) UniProtKB/Swiss-Prot;Acc:O04350] MASTMKNLKIKTSTCKRIVKELHSYEKEVEREAAKVADMKDKGADPYDIKQQENVLAESRMMIPDCHKRLEAALADLKNILLELEESNEKHGAEVEEAEKVIAEVEQLFQAGN >CDP16494 pep chromosome:AUK_PRJEB4211_v1:10:17296604:17297274:1 gene:GSCOC_T00018464001 transcript:CDP16494 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDDEKFHRIPLPRRSKLHDRIMEMRGGLGFVTHKKLCRIDGWILRNLCGDGWAKQYSITVDCNSHLIPLYFSRICGEMIFEDKDGSLYACDCSLQHMRKVEMLEGSFPVVGHYFAHVNSLLSWKIQGNVND >CDP17251 pep chromosome:AUK_PRJEB4211_v1:10:18441819:18444392:1 gene:GSCOC_T00013664001 transcript:CDP17251 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSLFLVAILLLQVFTEALSISGTTTPQTHQVDGRSKPPKINCSYACSRRCSKASRKNVCTRTCKACCARCNCVPPGTYGNKELCPCYARLKTRGNKPKCP >CDP07292 pep chromosome:AUK_PRJEB4211_v1:10:553238:557120:1 gene:GSCOC_T00024519001 transcript:CDP07292 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAGSDENYPGLIRPSNTRGDMGARGGKLTESIGQNRRALSTINRNVIGPPPYPRVVHKRGVLAEHNATAANIPPIPVHRPITRKFAAQMASKQDQPLIQEKKPVVNSVPKASASEDCVIIDVEDYKSNGDYPVPMSVQHTEAMLEEIDRMDAEFEMEDIAVEQVVDIDSVDKNNPLAVVEYIDDLYAYYKRTEISSCVPSNYMAQQFDINERMRGILIDWLIEVHYKFELMDETLYLTVNLIDRFLTTVSVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDRAYSRNDVLEMESLMINTLQFNLSVPTAYVFMRRFLKAAESNKKLELLSFFIVELCLLEYEMLRFPPSLLAAAAIFTAQCSVNGFKKWTKTCERHTNYTEDQLLECSKLMVTFHQKAGTGKLTGVHRKYSTSKYGYAAKSQPALFLLDATFQQD >CDP18283 pep chromosome:AUK_PRJEB4211_v1:10:24831385:24840870:-1 gene:GSCOC_T00012012001 transcript:CDP18283 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVTATQSFITAPPRLLCRRQPQIIKHNLFSFLFFSKMGFDSFQKSFNFPVRKLVIRAAGAESKGVSLGFRAPHFELPEPLTGKMWKLEDFEPYPALLVMFICNHCPFVKHLKKDIVKLSNFYVKKGLAVVAISSNSTVTHPQDGPEFMGEEAKLFKYPFPYLYDETQDVAKDFGAVCTPEFFLFRKDGRRPFELVYHGQFDDSRPSNDVRVTGRDLSLAIDCVLSGQPVSSDQKPSIGCSIKWHPKAQL >CDP11498 pep chromosome:AUK_PRJEB4211_v1:10:26772059:26776834:-1 gene:GSCOC_T00033781001 transcript:CDP11498 gene_biotype:protein_coding transcript_biotype:protein_coding MKQELQRSKSGSCKQKTKGTIVAEASQNKMEKQTKIDKGENIKSLSSVKSNLEKLNTKLMTKKSKEINLDSVRSKEEKSRKRKKEDEQKKPKSNSIISPTPEAKRRKETKLEPEKNSGNISLVQLLSKSKSAESRKPKPNIKPKKPKATSVSVKTSKKKPSNGTAAQNSVKKGKTTSKSEQGKEEEETESGSHEKLKPKSPNSNAEAREKNHDSLSMRAEPSRSKDQTQNATLKTPRLSSIHQTQMEAGKQKNAKATKHEVLPSLVEKSRDPSLRRLKIMRALGLIPPAASPFMRTGAGV >CDP07453 pep chromosome:AUK_PRJEB4211_v1:10:1687288:1691397:1 gene:GSCOC_T00024722001 transcript:CDP07453 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGNGSNSTVVDTAKAERSVWLMKCPLVVSKSWQAQAAASSSSSVSDSPPASKVVVSLDPLRPDDSLQFTMEMAGTGSDAGNMPKSYSLNMFKDFVPMCIFSETNQGRVAAEGKVEHKFDLKPHNENMEEYRKMCRERTNKSMVKNRQIQVIDNDRGVHMRPMPGMVGLIASNSKDKKKAAPVKGSDVKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGTNQGTYELKPEYKKSVEDTGAE >CDP07418 pep chromosome:AUK_PRJEB4211_v1:10:1387673:1388119:-1 gene:GSCOC_T00024679001 transcript:CDP07418 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCSKIRYIVRLRQMLRRWRKKASMTAKRLPSDIPAGHVAVTVGTDCKRFVVRATYLNHPLFKKLLLQAEEEYGFTNSGPLAIPCDESLFEEVLRYLSLSESTHSNSARFMNLEEFQRYCHVGIRSNLEFLAESRPLLNGIADKSVW >CDP01346 pep chromosome:AUK_PRJEB4211_v1:10:3322561:3326648:1 gene:GSCOC_T00034952001 transcript:CDP01346 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFGGRYYWGRKERGRDVEGIVVVFAWMSSQERHLKNYVDLYSSLGWNSLICHSQFLNMFFPDKAVSLALQIVGELVKELKIRPCPVVFASFSGGPKACMYKVLQIIASKSEEQLNMDEHQLVRDCVSGFIFDSSPVDFTSDLGTRFVLHPTVLRMSHPPVLAAWVAKGIASSLDALFLSRFESQRAEYWQTLYATVSMGAPYLILCSEDDDLAPYPIICNFAQRLQELRGDVKLVKWKSSPHVGHYRHYLSEYKAAVTQLLAKAAVSYSKQIRQLEGEKMGLEGTNDDISEPFCNLRKAAASSQQSFQRIALELNDHFLVPSSAEYHEGRDVGSVQDERKERYIPLSSPPSMNAHGVLGQFLFDVCVPKNVDNWDVKLSPSTSRVSFTSSRRHSPFNPMKCIRRSRL >CDP10938 pep chromosome:AUK_PRJEB4211_v1:10:21441395:21448962:-1 gene:GSCOC_T00031908001 transcript:CDP10938 gene_biotype:protein_coding transcript_biotype:protein_coding MASAISRNHRLRYIYCSFSSNYSLLNRCHSTSSSRSPLLQLYNNSGLENLHHRSQLLSSSDFGRVQYGMFPSWTSKHRFLCTTTTNVNKSSNSAGPSDASSDAGKSKTSSGGSQKTTKQGKPIRGSPVSWMSFFLLLATGAVLVWYYDREKKRHIEGINSASKTVKEGPSAGKAAIGGPFNLVDHTGKSVTEKNFFGNWNLIYFGFTHCPDICPDELQKLAAAVDKIKEKSGIQVVPVFISVDPERDTVEQVREYVKEFHPNLIGLTGNADEIKKAARAYRVYYMKTEEEGSDYLVDHSIVIYLMDPKMEFVKFFGKNTDADLLAEGVINEIKQRKKVGA >CDP07259 pep chromosome:AUK_PRJEB4211_v1:10:262955:263926:-1 gene:GSCOC_T00024477001 transcript:CDP07259 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIHEKRLRKCLSKLKGIEKVEVDGKSEKVTVTGCPHRNKILKAVRRGGLRADFWSPQNELLLLNAYASSAAAAPFPFNNFTFFF >CDP01185 pep chromosome:AUK_PRJEB4211_v1:10:4803343:4804081:-1 gene:GSCOC_T00034739001 transcript:CDP01185 gene_biotype:protein_coding transcript_biotype:protein_coding METRNAVYNLQWPPYGGRLLVAEFVDPEEVKLRVEAPSQSAAPVNSTSVMPSQPPSKQLAPTPVNQQDVRQQPHRSEQQTTSDPPTTRERLARPRSPVAATVDPPIVTLDDLFRKTKTTPRIYYLPLTDEQVAVKLSRRRK >CDP01058 pep chromosome:AUK_PRJEB4211_v1:10:6100012:6101260:1 gene:GSCOC_T00034556001 transcript:CDP01058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) membrane protein, Early tapetum development and meiosi [Source: Projected from Oryza sativa (Os07g0622900)] MVNDAVFRSALAWLAAMVLLVGLCTQSFKKMLVTYILGMFAISGVLLPDWEFFDRRFSQWRTPLTVDEKRTPRSARTQATPTRFRIHPIRVAIYTIVYGFGFYKWWMFVST >CDP11434 pep chromosome:AUK_PRJEB4211_v1:10:26163565:26167036:-1 gene:GSCOC_T00033686001 transcript:CDP11434 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSSSFPCIKLRIPSSPTSSPSSPSSSSSFSSSSFRFSTAKPPIFTIRSSQADGPIRRPVAPPPTPVKPTPPSPPPSPPAAASPPPTPISPPKPVAVAAVEDKNVITLEFQRQKARELQEYFKQKKLEEADQGPFFGFIGKNEIANGRWAMFGFAVGMLTEYATGSDLVDQVKILLSNFGIVDLE >CDP10712 pep chromosome:AUK_PRJEB4211_v1:10:9981344:9982795:1 gene:GSCOC_T00031513001 transcript:CDP10712 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLLRRLRHSDHNHHQLQLRCLYDAAASVKCVRDRGLDHAVDRERNLKPVLNLKNLIKSEPSKSLPLSLISQSRDSLKIPIRPIEFIRKFPSIFEEFLPGGIGIQPHVKLTPEVLNYDKEEEIIYQSVNYRQDVANRLLKLLMIRRINSIPLSVIDGLRWELGLPQDYVKTVVPEFPDYFRVRDDANGGFLELVCWSNELAVSALEKGKEPIEFTFKYSNGFEMDKKYKKWVDEWRKLPYISPYENALHLAPKSDDSDKWAVAVLHEILNLFLGSKGERESVLSLGEWLGLRSRFKRALLQHPGIFYVSSKIGTHTVVLKEAYKRGMLIKKVPLMDMRYKYVQLMNLVKEDKRSKSVEQKKSRDLKGDQGEEALEDDKSGEEEDEEVHDLSDEESDDEYEERMNSKNRGNLSGKRYKEKESPRTVLGRSPGRNSSQNAGKSKTKFHLRTEIQDCKSACGGSLERFNVPRRKNKSVSRERAST >CDP10918 pep chromosome:AUK_PRJEB4211_v1:10:20853698:20859454:-1 gene:GSCOC_T00031876001 transcript:CDP10918 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGRRFWFWRNNQFLVWALKEFGSSSCCSCKLQVLKLKNGASRMQSSRAPAPERSSVLNFLRSYSTTTETQPQLSIDLVKIMEQRLSAIEQRSCYLMGLINQPEASPAEYSTANKELRKLRHSLDLINQLRAKQKEIKDLKLVITECQDDRDMKEMASEELSQAMEEEKRLQCLLLKHLLPKDDADERDCILEVRAGTGGEEASLFAVDIFKMYDKYAQQNGWRFDVLDVAESDLKGYKEASAAISGVGVYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAILPQADQVDVQLRNEDLRIDTYRSGGSGGQHANTTNSAVRITHIPSGLTVAIQDERSQHMNKSKALKVLCAKLYEMERSRAHSFRSKLRLEQIGSGDRSERIRTYNFPQGRVTDHRVGITSHSMDEIMQGQGLDTFIDALLLQQEMEAIASFSSVHS >CDP11447 pep chromosome:AUK_PRJEB4211_v1:10:26306082:26306430:-1 gene:GSCOC_T00033707001 transcript:CDP11447 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRCRFSKEVRSPTPAFVWIECQNEEDKDCHAVLRESKIIGRAGHAFGAERSYMRLSLVNSQDDFNLLHGCTIDLRC >CDP07437 pep chromosome:AUK_PRJEB4211_v1:10:1550342:1555452:1 gene:GSCOC_T00024703001 transcript:CDP07437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42480) UniProtKB/Swiss-Prot;Acc:Q9FIG9] MEVLRHLNIGICTPSLLSPPPPPLPPLLITRKLPKLNAVSGSASSSSIPNSFSSATSKWAERLFADFQFLPSTNVTADHSDDNSATATLAPPFTTPTLAPTERSVEVPIDFYRILGAEAHFLGDGIRRAYQVKVSRPPQYGYSQDALVSRRMILQAACETLANASSRREYNQGLADDEFGTIITQVPWDKVPGALSVLQEAGETEVVLKIGENLLKERLPKSFKQDVLLAMALAYVDFSRDAMALSPPDFITGCELLERALKLLQEEGASSLAQDLQAQIDETLEEISPRYVLELLALPLGEDFCTKRAEGLQGVRNILWAVGGGGAAAISGGFTREGFMNEAFLRMTAAEQVDLFVATPNNIAPENFEVYGVALALVALAFVGKKPHLIQDANNLFQQLQQTKVTALANSMTVYTVRETHEIDFALERGLCALLVGEIDECRMWLGLDSESSPYRDPSIVNFVLEHSKDDQENDVLPGLCKLLETWLMEVVFPRFRETQHIKFKLGDYYDDSTVLRYLERLEGRGRSPLAAAAAIAKLGAEATAVLDSVKFSAIQALQKVFPLGPSDRSVKTDEEFEIKSSEVAGESEEPTRPNNWDDSSNTGVLPDRQEYDELHEQEQITEEIKETIVKIMCAGVAVGLLALFGLKFIPSRHGMSTLRKDAGSAIESDVINVGASVDEKDVKIPRMDARLAENLVRKWQNIKSEALGPDHCLEKLSEVLDGQMLKIWTDRGAEIAQHGWFWQHMLLNLNIDSVTVSVDGQRAIVEATLEESAQLTDVAHPEHNDSYNSIYTTRYEMSCTKSGWKITEGAVLKA >CDP18869 pep chromosome:AUK_PRJEB4211_v1:10:27520511:27522225:-1 gene:GSCOC_T00013411001 transcript:CDP18869 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVVNGQKEQHPQQPIPLLTPYKLGPFQLSHRIVMAPMTRQRSYNDIPQPHALLYYSQRATKGGLIISEATGSSETWRGYVHTPGIWTKQQVEAWKPIVEAVHAKGGIFFCQICHTGRVSNYGNFFSHYDFKLDGNKWSLLYCFQPNGQAPISSTDKKLAAQVRNGVVVAEFSPPRRLRTEEIPDIVNEFKLAALNAIEAGFDGVEIHGAHGYLIDQFLKDSVNDRTDEYGGSLENRCRFALEIIEAVSNAIGPQRLGIRLSPFANYLGSADSNPEALGLYMAEALNKYGILYCHVVEPRMKLIGEKFECSDSLLPMRKAFKGNFISAGGYDREDGNNAVAENRTDLVVYGRLFLANPDLPKRFELNAPLNQYKRETFYTSDPVVGYTDYPFLESNA >CDP07288 pep chromosome:AUK_PRJEB4211_v1:10:531603:536590:-1 gene:GSCOC_T00024515001 transcript:CDP07288 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSAFNSPFWTTSSGAPVWDNNSSLTVGTRGPVLLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISNLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDIRGFAVKFYTREGNFDLVGNNTPVFFVRDAMKFPDSIHALKPNPKTHIQEYWRILDFFSYHPESLLTFAFFLDDVGIPQDYRHMEGFGVHAYTLIDKAGKAHYVKFHWKPTCGVKNLLEEEAIKVGGSNHSHATQDLYDSIAAGNYPEWRLFIQIMDPDHEDRFDFDPLDVSKIWPEDILPLQPVGRLVLNKNIDNYFAETEQLAFNPAFVVPGIYYTEDKLLQTRIFAYGDTQRHRLGPNYMQLPVNAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRFDPVRHAARHPIPSTVLRGKRDRCVIPKENNFKQAGERYRSWAPDRQERFICRWVDILSDARVTHEIRSIWISYWSQADKSLGQKMATRLNVRPTM >CDP17245 pep chromosome:AUK_PRJEB4211_v1:10:18271173:18277646:1 gene:GSCOC_T00013656001 transcript:CDP17245 gene_biotype:protein_coding transcript_biotype:protein_coding MDALETFRHSIYQWLLIKKFKLDSTSVVANNPYIAVNFPNNVLPNVIRRPWKFVPPSRKWELHSTAQTKSTVLSDEEKKSWDACRQALSTFEFTVEEEDKILGKAFGHIHSPYWSEERKMEVPRFDVVSEILSYLRSLNLADDDLSKLLKKFPEVLGCSLEDELKNNVKVLENEWGIEGKTLKNLLMRNPRVLGYNVDCKGDCMAKCTRCWVRF >CDP00855 pep chromosome:AUK_PRJEB4211_v1:10:9030007:9030675:-1 gene:GSCOC_T00034276001 transcript:CDP00855 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQGLGIKIYNASPQVDPSKQPSSSQDVAPPEMPPPPGGRKRRAVANGVQKTLSKTSMLVNFLPTGTLLTFEMVLPSIHAAKGECSHVTTLMTHSLLGLCTLSCFFFHFTDSFRGPDGKVYYGFVTPKGLAVFKHGLGVEVPKDERYKVGLTDFVHAFMSVLVFVAIAFSDHRVTDCLFPNHTKEMDEAMQSFPLMVGVICSGLFLVFPNTRYGIGCSAA >CDP01351 pep chromosome:AUK_PRJEB4211_v1:10:3289766:3291865:-1 gene:GSCOC_T00034957001 transcript:CDP01351 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVGKVGSFLSRSVYTVSGPFHPFGGAVDIIVVEQQDGSFKSSPWYVRFGKFQGVLKAKEKVVGISVNGVEADFHMYLDHKGEAYFLKEVDKGSRSKKL >CDP01280 pep chromosome:AUK_PRJEB4211_v1:10:3904848:3908317:1 gene:GSCOC_T00034869001 transcript:CDP01280 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVVYDSCGGPKVLKWKVVENPVLDFNEVLIKVEACGVNRRDLWIREGIYQHLGKNKYLGFECSGTIVKRGAAASKLPIGSKVCALLNGGGYAEYVAVPEDYVMSIPSGLTFEQAAAIPCSASLIWLSFFERNNLIRDDKVLIHGAAGGVGSLAVRIAKSLGCVVFATAGSEEKVDFCRTLDADFVINYKKQDFSEVVARETNGTGVNCVLDCLGDDVVDQNLKSLAVGGKLICIGYKDHWKWSSVEMKDLVSKDTTIMGININTFNVVEKRNMLEGIRRDIWPLLNNNPHLVDICATYSFAQATQAHTLMEKNLHRGKIVLLPRTDLPHTDLP >CDP17879 pep chromosome:AUK_PRJEB4211_v1:10:12317344:12317796:-1 gene:GSCOC_T00010114001 transcript:CDP17879 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVSIRTRKFMTNRLLSRKQFVIDVLHPGSPNFSKAELKEKLARMCDMKDPNAIFVFKSRTHCGGGKSTGFGYIYGSVENAKKYEPKYRLIRNGLDTKIENSRKQLKERKNRAKKIRGVKKTKAGDAAEAGKKEIIFLRVLGLVKFL >CDP01304 pep chromosome:AUK_PRJEB4211_v1:10:3673759:3674962:1 gene:GSCOC_T00034902001 transcript:CDP01304 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHVGCAITLISHYANTNTQIFFFFCCCCCCCYCRQMIEKKHLSSVASKSVVWTEEKQFRHSDTQLQARSSKASYFRSILFKEVV >CDP07341 pep chromosome:AUK_PRJEB4211_v1:10:860343:868474:-1 gene:GSCOC_T00024577001 transcript:CDP07341 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSAGLSHLFMTVFLYCFSGFMVIPAITDVTMSAVCPGKDECSLAIYLSGFQQAIIGLGSLVMMPLVGNLSDRYGRKVMLTVPMTLSIFPLAVLAYSRTKGFFYAYCVLRTLISMLTEGSVQCLALAYVADNVPESKRVSIFGILSGIASCAFVCGNLSTRFLSTSAVFQVAAGGTVISLVYMRMFLPESMSRSSIVSEQATETDSLLEKSPKKTLKLFKTLPSLKDTISMLRTSKTFSQAAVISFFSTVAEVGAHSSLLYYLKAQFHFDKDQFADLMIINGIAGTVSQLIFMPILAAAVGEAKLLSVGLFFSCLNMLLYSIAWASWVPYAAALLSLVAIFAMPCLRSIASKEIGPCEQGKAQGCLTGICSFAQVVCPLAFSPLTALFLSESAPFHFPGFSILCAAFAAMIAFAQSIAVRTAQPGCTVEDFSSVEP >CDP01086 pep chromosome:AUK_PRJEB4211_v1:10:5806742:5806933:-1 gene:GSCOC_T00034597001 transcript:CDP01086 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNNVKRDEVEGLVSELMAGEKGKEMKKKAMDWKKLAETAVTDSNLNLENLIHQVLLNPSI >CDP17247 pep chromosome:AUK_PRJEB4211_v1:10:18296369:18296914:1 gene:GSCOC_T00013659001 transcript:CDP17247 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAKVVDELARKTPGIKSHAIEAFSAELVSQFHAEHHKEGSTADIDVISGSVGDTAELGISEAFKVKRSVLLSATEAAEMILRVDADITCAPCRREDRM >CDP17874 pep chromosome:AUK_PRJEB4211_v1:10:11716844:11722236:1 gene:GSCOC_T00010105001 transcript:CDP17874 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSSSSKAPNSVLPYQTPRLREHYNLGKKLGQGQFGTTYQCTEKATGIEYACKSIPKRKLLCKEDYDDVWREIQIMHHLSEHPYVVRIKGTYEDNLFVHIIMELCHGGELFDRIVQKGHYSERKAAQLMKTIISVVEACHSLGVMHRDLKPENFLFDTADEDAKLKATDFGLSVFYKPGQYLSDVVGSPYYVAPEVLHKYYGPEADVWSAGVILYILLSGVPPFWAETDNGIFKQILKGKIDFVSDPWPQISDSAKDLIQRMLCRDPRKRITAHEVLCHPWIVDDKVAPDKPLGSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLGQLFKMIDTDNSGTITFEELKQGLKRVGSELMESDIKALMNAADIDNSGTIEYGEFLAATLHMNKMEREENLLAAFTFFDKDGSGYITIDELQQACKDFGLADGQLDEMIREIDQDNDGRIDYGEFATMMRKGNAGLGSRTMRGNINISLADALGAKENLD >CDP11978 pep chromosome:AUK_PRJEB4211_v1:10:23081163:23082620:-1 gene:GSCOC_T00035318001 transcript:CDP11978 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRFGLVDAALGIFATMVVKDLIAWNTVIMGLAHNGKFLESIGLFKQLKSRGLEPDKITFSGVLLACRFGGLLEEGMAIFPLMERDYGIAPRDEHYACVVDLMSRAGKLKEAIAIMEVMPHPPNALMWESILCACRIYGDLKLVEKVAERMIDLKIESLLSCSVLAQVYEHNGRWESLVRVRRFMKQKHKVFDFSWVGFGGNVYAFETNETFHQGGEDIYSLLRLLTLETQDQVYL >CDP10903 pep chromosome:AUK_PRJEB4211_v1:10:20557345:20558097:1 gene:GSCOC_T00031858001 transcript:CDP10903 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVDASTCRSGRRKLKKGEKKKEKKGKERMKKKKKKEKTWACFFILHLGLFFCSMKITCIFSWVGWLWPKQIKRNGPMVFFQPQ >CDP01076 pep chromosome:AUK_PRJEB4211_v1:10:5891944:5893670:1 gene:GSCOC_T00034585001 transcript:CDP01076 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSLPEKPHAVCIPYPAQGHINPMLKLAKLLHHKGFHITFVNTEFNHKRLLKSRGPDALNGLPDFQFKAIPDGLPPSDVDATQDVPSLCESTTTHCLGPFRDLLAELNDTSSSQVPPVSCIVSDGVMSFTLEAAAELGVPEILFWTPSACGFLGYMHYAKLIEKGLTPLKDASCLSNGYLEQAIDWIPGMKDIRLRDLPSFLRTTNPDDYMIKFVLQETERSKKALAIILNTFEELEDDVINALSAILPPIYAIGPLQFLEKEVKDERLSVLGSNLWKEEPECLEWLDSKDPNSVVYVNFGSITVMTADQLVEFAWGLVNSKQTFLWIIRPDLVSGDSAILPPEFLEEIKDRGLLASWCPQEQVLSHPAIGGFLTHSGWNSTLESICSGVPMICWPFFAEQQTNCWFCCTKWGNGLEIDNNVKREGVESLVTELMVRGKGKDMKKKALEWKNKAEEAAKSSGGSSYANLEKVVQVLLSK >CDP11522 pep chromosome:AUK_PRJEB4211_v1:10:27030140:27037461:1 gene:GSCOC_T00033816001 transcript:CDP11522 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWLNGYLEAILDAGSGRNSDGSREEEKIKNHKSTSPSLRKRFDEKLKFEKFEAWKEKEAGKLFSPTKYFVEEVVNSFDESDLYRTWIKVVATRNSRERNNRLENMCWRIWHLARKKKQIAWDDAQKLVKRRLEREKGRSDAADDLSEISEGEKEKGDLAQTDFPTHISRINSDTQIWSEEDKSRQLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELARALASTKGIYRVDLLTRQITSPEVDSSYGEPIEMLSCPSDGFGSCGAYIVRIPCGPREKYILKESLWPYIPEFVDGALGHIVNMARAIGDQVNAGKPTWPYVIHGHYADAGEVAARLSGALNVPMVLTGHSLGRNKFEQLLKQGRLSREDINTTYKIMRRIEAEELGLDAADMVVTSTRQEIEEQWGLYDGFDIELERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSSVATPDSLDSDGDLNSLIGPDRTQKKPMPPIWSEVMRFFTNPHKPMILALSRPDPKKNVTTLVKAFGECQPLRELANLTLILGNREDLEEMSNSSSAVLTAVLKLIDKYDLYGQVAYPKHHKQPEVPQIYSLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDILKALNNGLLIDPHDQKAIADALLKLVADKNLWLECRKSGLKNIHRFSWPEHCRNYLFYVEHCRSRHPTNRLEVVPATEEPMSESLRGVEDLSLKFSVDGELRVNGELDAAARQQDLIETLTRKATSNGKPIINYCPGRREGLYVVATDCYNNVGIATETLPLVIKNLMQVMHPRSSQIGFVLLTGLTLSEMIEAFKSSQIKLEDFDALVCSSGSEIYYPWRDMLADEDYEAHIDYRWPGEHVKSIVMRLAKLENGADNDIEPCKSACNPRCYSYTVRPGSETRKIDEIRQRLRMRGFRCNPVYTHAAARLIVLPLFASRTHALRYLSVRWGIDISKMFVFLGERGDTDYEDLLVGLHKTVILKSSVEYGSEMLLHTEDSFRRDDAAPQESANIFRAEGYEIPDISKALETLK >CDP01204 pep chromosome:AUK_PRJEB4211_v1:10:4568787:4570269:1 gene:GSCOC_T00034774001 transcript:CDP01204 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSQDAFSSPSSLLCREESENVLNDGGGTIGDYISTAGKEEDDDDDAEYVEMLLREEISGNGPVLQEQECAYISNWIKEARLDAIQYILSTNASFGFKIQTAFLAVTYLDKFLSRRLIEGEKYWAIRLLAIACLSLAAKMEECRVPLLSEFPGEDYNFEGKVIRRMEILILDRLEWRMAIATPYTFTPYFVSKLCKNNQMRDAVSRVIEIIPVAIRNANLIHDRPSTIAAAATLWMLDQRLSRESLELKINALSSSGFLKIEDIISFYNQMKELDTSSSIRSPPLTPIQLRRTSNDVLENSSIASSGLSAKRKSLTFTDEGDQNVKMPDSKRQG >CDP01342 pep chromosome:AUK_PRJEB4211_v1:10:3345936:3346941:1 gene:GSCOC_T00034946001 transcript:CDP01342 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPRQEEPPYTTKRCKCLSATLKDAFSNCRTHHEESSDPSKRCKFRTSTLKDAFSDCHTFRGRLSSSTPEDDHLPYGDYDDEEIFVSAIISTYMESKISRNSGLTVDNFSWALSPETRELFVTPKPMHQKGNDDEPMDDYFSPHSRLSRCSTVSSMGAFASAKTAFTRSSSLGRIDFQDFWRRHSIIQELSHCQGWPFGLCRKALLLPPLPKSPSDSWLWRKSTRVVKIL >CDP01024 pep chromosome:AUK_PRJEB4211_v1:10:6460551:6465388:-1 gene:GSCOC_T00034512001 transcript:CDP01024 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVFQQALGTLESHSCLSSSGFRHECGSASVRLISKDFKVDIALMRRGNYCSKKRSFSLIQASTSQTTVFDPVSSPLHKTANDSKKKPSEAALILIRHGESMWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPIDLIYTSALIRAQMTAMLAMTQHRRKKVPIIQHEENEQARAWSQIFSEETNKQCIPVLTAWQLNERMYGELQGLNKQETADKYGKAKVQEWRRSYDIPPPNGESLEMCAERAVAYFQEHIEPQLQSGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGSFIRRGSPVAPTEAGVYAYTKRLAQYRQRLDEMLQ >CDP11928 pep chromosome:AUK_PRJEB4211_v1:10:24306186:24315057:1 gene:GSCOC_T00035237001 transcript:CDP11928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EMBRYO SAC DEVELOPMENT ARREST 30 [Source:Projected from Arabidopsis thaliana (AT3G03810) UniProtKB/Swiss-Prot;Acc:F4J2C8] MKSKIKWAALGGLVLSFVSLLVHLFLAKSSANIVHYAGIPFMTKSWSQFIPAEDVKVPVNKKLWGKVKALEGLHPYAKPRSAYPVPITQNNGFIYAKIFGGFEKIRNSICDLVAVARLLNATLVIPEIQQSIRSKGISSNFKSFSYIYNEEQFIAALATDVIIVKDLPPNHKDARKQKQFPTFRPQHSAPPSFYLTNVLPKLKKAKVVGLIVTDGGCLQSILPNSLGEYQRLRCRVAFHALQFRSEIVALGRLMVQRLRYPGHPYLSYHPGLIRDTLAYHGCAELFQDIHSELIQYRRKQLIKQGIVNQELSVDSYAQKANGSCPLMPEEVGLLLRAMGYPPTTTIYLAGSETFGGQRVLIPLHAMYTYLVDRTSLCSKQELDDLIGPETPLPPDPLEALPAKSVRQQKEEWEKAGPRPRPLPPPPDRPIYRHEKEGWYGWVAENDTEPNPSPSDLREQAHRLLWDALDYIVSVEADAFFPGFDNDGSQWPDFSGLVMGHRLYEMASSRTFRPNRTYLAELFNSTSAHLYYPPHNWTLAVKAHLNKSLAEEGLNQELHLAMPKTFLSHPIPECSCTTLRTPEVPQSGKDSSVHLLYEGQDECPKWMEQSLAKSVVLEGNGEEFESQEDEVDSEGQPESEGNDQSNAIPSWEQGEDMDPDD >CDP12449 pep chromosome:AUK_PRJEB4211_v1:10:14197915:14200554:-1 gene:GSCOC_T00036016001 transcript:CDP12449 gene_biotype:protein_coding transcript_biotype:protein_coding MSILTWLNFYSKPRQTYFHIIFLQVYKTRTNSLSQNMDVKQRLLYAIFLSSLLLSSMSSLTAQKENYNSTAYLPASWINQASESLMINSTGGNIVIPILLRGSDGPRYVCGFYCNMEGNQCVFGVLISQSRRSNDEYLQSPELVWSANRNNPVHSNATLQLRQDGDLVLANSDGTLIWSSHTRGKLVSGLKLTKMGNLVLFGPKNESIWESFDHPTDSLLLGQKLGPGQKLRASDSATNWSQGRLSLAVGSNGLSAYIESDPPQRYYVSGTNSYPFYEFRNGSLNDLTIPPASGAQFIKFEPDGHLKVYQWRDMRFVEVIDLLDPYVGDCGYPMVCGNYGVCSKGQCGCIETTNDQEKSYFSQINFRQPNLGCSRVTPIFCDDSRDHTLLELTNTSYFAVDSSLDKANMGMEDCKSKCLSNCSCKAALFYYTDQRDSVLESNGNCLLLNEVFSIINNEDNIRPPYNTTVLVKVQDVYVHSSSSSSSSSSSSSSSSSSSSDIKQTILGCTFAVLACVVSLICYCLILLRRILEDSEETEGHFLNQLPGMPTRYSYEKLKSMTEDFSKKLGQGGFGSVYEGVLSDGTKIAVKCLDGSGQVKDSFLAEVQIIGSIHHVNLVKLIGFCFHKLHQLLVYEYMANGSLDKWICGGKNSQSLPWNARRRIITDIAKGLAYLHEDCSHKIIHFDIKPQNILLDQNFNAKVADFGLSKLVEKDQSRVITKMRGTPGYLAPEWLSSVITEKVDVYSFGIVMLEIICGRKNVDWSKIEEDKHLLSIFKRKAEEERLQDMVDKNNLDMLIHVQEAVEMMEIAAWCLQSDFTKRPSMSLLVKVLNGLVAPETNLDYDFTKPSVVETGAAGHLVIEAENIASQLLPSALSGPR >CDP01334 pep chromosome:AUK_PRJEB4211_v1:10:3401018:3403061:1 gene:GSCOC_T00034937001 transcript:CDP01334 gene_biotype:protein_coding transcript_biotype:protein_coding MFIELFLIRKWVISGHISLFAAKEIKDFYLDHCPKIFPQASNSISIHNILLLCIDLSGPKYDGKYLHDLLKERLWETKWHETLTNVVIPTFDVKLIQPTIFSSYEVVLDAFLGDTCRGTSAAPTYLPAHLTPCTNRITPCPKCTLPSGAPGPSNYACMQDDTFTGELSSVDMASDENLQNLVRVGEELLKKPVSRVNLQTGAFEPLNKGTNEDALKRLAETLSKEKRLQDVRSPNAHVAKRGRNYLHSSQRFSLSPIELSCLKMHNITLHVDYLHVEN >CDP01377 pep chromosome:AUK_PRJEB4211_v1:10:3062144:3067855:-1 gene:GSCOC_T00034991001 transcript:CDP01377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen-III synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G26540) UniProtKB/Swiss-Prot;Acc:O48721] MPWLSLTSSSLHLPPPLAPSSSSSSSLQFRRITCLRASSSVNSASSASSTSQPKVVVTRERGKNAKLINALGKHGIDCLELPLIQHELLPDSNRLSCLLSETAFDWVIVTSPEAGTVFLDAWKAAGTPNVKVGVVGGGTASIFEKVAQQSKQYLHVAFAPSKATGKILASELPKHGNERCSVLYPASAKASSDIEEGLSERGFEVIRLNTYTTVPVDQVDQMVLRQALAAPVVAVASPSALRAWINLIPELERWGNAVACIGETTASAAKRLGLTNVYYPVDPGLDGWVDSIVEALKVQEQVQRV >CDP01120 pep chromosome:AUK_PRJEB4211_v1:10:5406032:5408203:-1 gene:GSCOC_T00034638001 transcript:CDP01120 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRKLREFIGRLKDNASLIKANLTTKRTVSSIKIAILRATSHSSTAAPPEHQLDAILSLGHDVHLPLACACVEAVLDRLHNTRSPYVALKCLFILHNIITHGSFILKEQLSFYPLTGGQNSLKLTRFSNKSNLENWELSQWVRWYASVLERNLITTRILGCYLCCTSSSSKFQENRNNKIAIRPPDCDLLKEIDSLVCMVEGICAAPESLHYQRLDLVHEFMLLVSEDYRFTQHQIITRLNELGDRTARMSYDDSAELICCLKRLEDCRMRLVEMFANRKRNDEFWDLVRQTRVGLEELNEKRDRGRMVVWKGWQDETTELTRFVDQRVMGTSEVIKLSPCRSQWLTVSAAVA >CDP11445 pep chromosome:AUK_PRJEB4211_v1:10:26286551:26292356:-1 gene:GSCOC_T00033704001 transcript:CDP11445 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMHSSCYAVCLLASMIVNILLMTQQYKVRNQLTWSQNAAAEAESVASISCSGNGRAYVDGLVVDGKPVCECNSCFQGPDCSQFITDCPADADSGDPLFLEPYWMRHAASSALLVAGWHRMSYTFSDNTSISQVLDQHIRTLHAAAKNAITDGKYIVFGAGSTQLLNAAVFALSSRNSSSRTRVVASTPYYPLYKKQTDYFETLHFEFGGDVSSLKNNSDALDIIEFVTSPNNPDGQLKKAVLRGPTVKAVYDHAYYWPHFTAIPSPADEDVMIFTISKLTGHAGSRFGWAVIKDKDVYEGMSAYISLAEMGLSRDTQLRALKLLKALLEDDGKEIFYFGYRRMMDRWKRLNQVISLSKHFSLQEISPQYCNYLDRVREPSPAYAWLKCEREEDTNCTKVLNAANIIGRNGRVFNAGNRRVRLSLLKRQGDFELLLYRLNELVQKEYDAHQAM >CDP11499 pep chromosome:AUK_PRJEB4211_v1:10:26782314:26784036:1 gene:GSCOC_T00033783001 transcript:CDP11499 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWWWSIVAAAIPAVVAGQAFRMKNRRAEEQRLKSARGREKSSDEIFVCERVCTSKRMLKKVGAFSKDPTPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDICLKRCQSECLRLSNSSAMSS >CDP11944 pep chromosome:AUK_PRJEB4211_v1:10:23999548:24000003:-1 gene:GSCOC_T00035260001 transcript:CDP11944 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSANDLHKIFTKLDKNGDGLMSIDQVKWLLERIGFHTTLEELQRLVGPTTSLDSIDFFIFYDIVVKSNIGRSNQTNSFDGDLAEAFKVFDLNGDGFISCEELQKVLSRFGLWDEREGRDCKSMINIFDTNSDGLLDFEEFRTMMVSSS >CDP07573 pep chromosome:AUK_PRJEB4211_v1:10:2528121:2532793:-1 gene:GSCOC_T00024868001 transcript:CDP07573 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCQSICSFTRRLHTHLPLCRRRSFHSSATLLKWASGVEPSNLTTPELTNRVCRLLVLERHTDLKNLSFQFSHELVDAVLQKLKLNPSACLHFFRLASNQPNYRPHFKAYCKIVHILSKARLFDETRSYLDELIDLSRNISSVSLVYDELVRIYGEFKFSPTVFDMILKIYAKKGLIQNALYVFDNMPKCGRLPSLRSCNSLLSGLVKNHDFQTVFCVYEQIVRMGIVPDVYTCSIMVNAYCKDGRVCKAVEFLEEMEKKIGLELNSVIYHSLINAFVEEGDLENAEGVLRLMSERGIVKNVVTYTLLIKGYCKQGKVEEAENVFRVMKTEEGDKMAVDEQAYGVLIDGFCQVGKLDDAIRIKEEMLSFGLKMNMFTCNSLINGYCKLGQVSEAHGIVRSMSDWKLRPDSYTYTTLMNGYWQAGQTQQALILCDTMIRDGVEPTVVTYSVLLKGLCQSGAIDDALRLWALMLKRGVTPDEVCYGALLHGLFNKGISEKALMLWKHVLAQGFAKSRILVNTVINGLCKMGKIIEAEQVFDRMKELGFSPDEVTYRTLSDGYAKTGDIEKVFEILYVMERERIAASTEMFNSIISGLFGAGKFSQVPDVLTEMQNRGLKANIITYGALIAGWFREGMLKKALDAYFEMRAKGIVPNTIICTTIISGLYRLGMRGEASKVLLKVMDLHSAPSLKYFSDQLMVNSDDGSQDLERITTSIDKSTKSSIIPNNYLYNVAIAGLCKYGRVDNAVEVINDMSVRGFIPDEFTYTSLIHGVSLSGDVDRAFQLRDEMLKKGLIPTIATYNALINGLCKSGNLDRATKLYSKLCLKGPAPNLISYNTLIDGYCKSGNTSEALKLKETMIEEGICPSAITYSTLIYGLQAQGDSEESEKLLDQMLEAGLDPRFSKYGRLVQAKVASRG >CDP01057 pep chromosome:AUK_PRJEB4211_v1:10:6102564:6102932:1 gene:GSCOC_T00034555001 transcript:CDP01057 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLSSNIKGVTNGFPLRIEVEKVVEKSVDFNPDFLKNMFAKIEYKALVEASRIMGYAELPDHAEPSMLESDDFLRKFHHALMELHLEEGTLVCPETGRRFPVNKGVPNMLLHEDEV >CDP01295 pep chromosome:AUK_PRJEB4211_v1:10:3745747:3747956:-1 gene:GSCOC_T00034890001 transcript:CDP01295 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMTGFRNDTFASKMEENAVQEAATAGLQSVEKLIRLLSQSQSQPSQIYQDPSSKQSSDYQAVADAAVNKFKKFISLLDRTRTGHARFRRGPVTNPPQPAPPLPPTQQPEQPQPQRQPPQSNPAATSQPANNLFQEDDKEKVKSSSRIYCPTPIQGLPPLPHNHHQLMKNVNIERKESTTTINFAAASPVNSFMSSLTGDTESLQPSMSSGFQITNLSSHVSSAGKPPLSTSSLKRKCSSMDDSAVKCGGAASSGGRCHCPKKRKSRVKRVVRVPAISMKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSLRGCPARKHVERALDDPTMLIVTYEGEHNHSHSATEAPGALVLESS >CDP01053 pep chromosome:AUK_PRJEB4211_v1:10:6123694:6130879:1 gene:GSCOC_T00034550001 transcript:CDP01053 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLGLSSLKSFDHFKSLAGSASAGAKTLSISSRASSDSVSLGSFANLKLTAEKLVKEQASAKTDLDLANAKLKKLTEHIHILEEKLQNAFNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLQHLAAQVQDAEKDKVYFEDKLSASSVALDNLHEQMKSLSLRLESSEEAVRTREKELRDLHTEKESVENSLNSELKGVALLIEEKADAVIRNLEETVATNGLAMESLNSKLEKLDLDLKVKEDDLHSLNNSKEELEKEKDNLVSINKKLAGKLENALQEIKTLENFVNLLTLKLAELESQSVSFSEKVVQLTSLFESCFKLLQDEKHLAANHAQKKFDKLQDQSMSVTEEKNALQLVNQELSNKVIELQKEQEYAMVQHAEECRLAEETVRRLESELETLKSKKNEMEVLIAKLQDDIGTLSENSRTSDEKLQDFMLKISELEIENKRYIDELQSDITKKQDEIDLLRKEMENRDQHIDSLEKQVIQLNDTQKEIDRLVLELKDREKQLEDQKAKIEESLSDAETKLSEAKKQYDQMLESKQLELSRNLKEISQKNDQAINDIRRKYEVEKLESASIAKEKADKVIGEMERNCQLKLEEYKEESRQYLLRIQEEHAALISRIQQEHDKKELLLMSNHSEEIKQVQLQAEKELREKTTSMRNEHEIQLRALKCEHEDECRRLQEELDIQKSKEERQRALLQLQWKVMADNPQDDQEVNSKKNYSVSSTKMRNSENDKRGHHTAGRREVEQTDSPYLTATQTPVSNLLRKAEKGNTGNVMSLPKHSRKVTHHEYEVETANGRTITKKRKTKSTVMFADPSRHKKLETPKAVTPRAVTKGTKGQVHTNSSNIGDLFSEGSLNPYADDPYAFD >CDP01153 pep chromosome:AUK_PRJEB4211_v1:10:5073331:5077199:1 gene:GSCOC_T00034687001 transcript:CDP01153 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFIFTWAVFLLLSSHVLPSQAMMHRYTFIIKEAPYTRLCSTKNILTVNGLFPGPTVHIREGDTTIIRVHNKGTQNITIHWHGVKQPMYPWSDGPAYITQCPIMPGKSFSQKIRLSDEIGTMWWHAHSDWSRATVHGAIRVYPKRGDTYPFPRPYAEVPITFGEWWKSDVQAVLTEFKSNGGFPNNSDAFLINGQPGDLYPCSKPDTFKLAVEYGKTYMLRMVNAAMNSILFVSIANHKITVVGSDGSYTRPLKTDYVAISPGQTIDCLLEADQKPGHYYMAAKAYDSTTDNTTTTAIIEYIGNYTPSSPPVFPNLPMSNDTKASTNFTWSLRSLARKVDVPTHVDTKLYFTLSVNLRPCEANNTCAGPRGQRLATSINNISFVSPQFDILHAYYYSLRGVFRKNFPRFPPFVFNFTADSLPATLLNPTRDTRVRVLEYNNSVELVFQGTNLVAGIDHPMHLHGHSFYVVGAGIGNHDKEKDPLKYNLVDPPLMNTIAVPKNGWTAIRFKANNPGVWLLHCHLERHLTWGMDMAFLTKNGKLPGQQMLPPPPDAPPC >CDP07612 pep chromosome:AUK_PRJEB4211_v1:10:2867474:2873369:1 gene:GSCOC_T00024922001 transcript:CDP07612 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEKLKKSNSFQGILGKSSDDLVRRSSKSPTYRRRGFFNGLNVEGVRRDFTVNIPARSAPSSGFSSPALSPRFSTVDFHYPFRASSALETSPQDRVTGSPPPLLPTKLPCSPDHSPVRSPTSQNPCMNVRKNNGAALHSYHKSHSETWFDGNNANVHPLPLPPGVLRPSQSSLTYQNVDKSDVSPVIAQWKKGKLIGRGTYGSVYVATNCKTGALCAMKEVDLVPDDSKAQECIKQLEQEIKVLRQFKHPNIVQYYGSGTIEDRFCIYLEYVHPGSINKYVRDHCGAMTESIVRNFTRHIVSGLAYLHSKKTIHRDIKGANLLVDANGVVKLADFGLAKHLTGHATDLSLKGSPHWMAPEVLQAAMRKDTNSELAYGVDIWSLGCTVIEMLTGKPPWSEFNGVQAMFNVLHRSPHIPETLSPEGKDFLQRCFQRRPEDRPSAAVLLGHAFLRNSCDQNVASCLEEFSGVTLSDKPQSPKDSIERTKNLMSDLPSLSVKNREVPHNSECLQSSPQVSDFGFASRQSPCSTLDVSSPELNSTSRNISPPDICNSLPAGTGINKRPFDNIYVFGCSVDRKKVTKQCFGKHEGGKTPRWQV >CDP07310 pep chromosome:AUK_PRJEB4211_v1:10:670016:670844:-1 gene:GSCOC_T00024539001 transcript:CDP07310 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAHRASASHLVSPASVPCDRPSFFLCVCCICFLPAPFSLQLHLLLPTMLLCHLPACSGACCNILLSSGIRFSQAHSLLTGQGAATFAVGCIGTDLVPFVGLSSSFVVSSLLCLLFSFPVRSDPLFKFPLFIFFPWRSYFFPLSGDVQLSVFLLGYCLLSAQGGAIFAVGCSCPDLLPFVWISSSSFVVIFLLSFSPCYKFPSFFFFFFFAWNSYKRSDSYNQPDQVSTNKKKKGNP >CDP01380 pep chromosome:AUK_PRJEB4211_v1:10:3049363:3052139:1 gene:GSCOC_T00034994001 transcript:CDP01380 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQLSLKLLFLVLSLLCHDGKASISSIGGLKMEELLQEEPSQNFASPKFGSDTSFSLSSAYLYSQSGRKENDKIQRLPGQPDGVDFNQYSGYVTVDPNSGRALFYYFVESPRNSSSNPLVLWLNGGPGCSSLGAGALTELGPFRVEKGGKILYRNQYAWNADANIIFLESPAGVGFSYTNTTSDYDFTGDERTAVDTYTFLVNWLERFPEYKTRDFFIAGESYAGHYVPQLAQVILHANTNTNHTKINLKGIAVGNGLIDYESYSRGWLDYYWTHALISDEMYIGHKSNCNFSSPAPPSDICRQYQDQVTNATKDIYSYDIFAPLCNSTSSSTLASIYQFFIFSKCANVVDQIYVFDPCSLDYAFDYLNNPAVQIALHVDAATFPYPWELCNLTMNGSWKDRRLPILPIITDGDTDGAVPVTSSRYAINKLGISVKTPWYPWYLQNEVGGYVVEYENLMFVTIRGSGHFVPSYQPARALALFSSFINQKLLPSK >CDP11404 pep chromosome:AUK_PRJEB4211_v1:10:25811253:25820368:-1 gene:GSCOC_T00033642001 transcript:CDP11404 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNTLFGPILLSATDLVYFQLAGSKINLFDLSDFILRGGRRVPLYRLFSKPSFLFGILLLMADIENKLIIPITKLCGLAEYTILRKEEGSLYQGKIQRFGKDHGDVSFLLFQSEEEVSNIVKNLEKFTGEEFLVVKDVIKDSTNDCYWLIVEYVTSLTKIQETKAVWSKKRLELPYRQILRDMIIGFHKLHEKKTPHGPISASQIYIGLGGHGKLAHICGNAENWDEQLRSIDVAGLRELFRQILGSNAENEELVHFQKRFLDRQMSNPAVVVEKLFYHPLLMSDVERFYMPLITNTNLRHGTGFPSTADAAQAFDWSFKPLDEDWSKAVPWRGAYRLVYRKGNYGTGMWSRFCYTRNCTQHITDDDISTELRNQGRPTMRGSTGAIARDLSSFFEGHLLYVYDFFSLADFKSC >CDP01012 pep chromosome:AUK_PRJEB4211_v1:10:6641526:6643213:-1 gene:GSCOC_T00034497001 transcript:CDP01012 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCSDLPLEASTCFTITNKYKLYKSVTSKKALSSHYLQAKRFQMSSKKTKVHYHLTMELLTMQTIKPKLLNCCFLSKLSNIEAKMKLHGGCSYSMGV >CDP07316 pep chromosome:AUK_PRJEB4211_v1:10:701962:704408:-1 gene:GSCOC_T00024546001 transcript:CDP07316 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLAEQLREYQIRSKHDWASVSFFSSTSNLSSSRVDVVVFVIWELVILAFLVFSAVSLYFRQLRLAFILLCVTLLLLLCMKITKQVRQARRKKRRMLLPLSM >CDP01224 pep chromosome:AUK_PRJEB4211_v1:10:4384398:4387387:1 gene:GSCOC_T00034797001 transcript:CDP01224 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKNFNGTGVGFGFGIGCGFGVGWGFGGMPLNFLGLGVGGGCGVGVGLGWGFGAAFGSHYRNSRVIFQGTDLDAKDSSKSS >CDP01332 pep chromosome:AUK_PRJEB4211_v1:10:3418490:3422838:-1 gene:GSCOC_T00034934001 transcript:CDP01332 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLSSLLPMQAPTYGNLITILSIDGGGIRGLIPGVILEYLESQLQELDGEDARLADYFDVIAGTSTGGLITSMLAAPDENKRPLFAAKDIKPFYLENGPKIFPQKWGRLASVRSALKAVFGPKYDGRYLHQVVREKLGEIRLHETLTNIVVPTFDIKNLHPTIFSTYEAKEKKSMDARLSDLCISTSAAPTYLPAYYFQNHDELGNVQEFNLIDGGVAANNPALVAIGQVTKQIFRGNPDFFPIKPTDYGRFLVISIGTGSAKVEERYNAAKAAKWGVFGWLISGHSTPLVDVFTQASADMVDLHLSEVFQALHSEDNYLRIQDDTLIGTVSSVDIATKKNLDNLVITGTQLLKKPVSRVNQQNGAVETIANGGTNEEALKRFARLLSEERRLRELRSPLTSKAEKPPPLT >CDP07284 pep chromosome:AUK_PRJEB4211_v1:10:475242:480631:1 gene:GSCOC_T00024508001 transcript:CDP07284 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWPKPVESLPSAPKPASPAETRDHQRHVVVVVKDSKEGGVDHHRHDHDQDVAAAEAPFTTAGTCSSSSSSSSSSTGPATGHIMAAPNLKMFTYSELRSATRNFRPDTVLGEGGFGRVFRGWVDEKTYAPSKVGVGIPVAVKKSNPDSEQGLSEWQAEVKFLGKFCHPNLVKLLGYCWEDREFLLVYEYMQRGSLESHLFRKGAETLAWETRLKIAIGAARGLAFLHTTEKQVIYRDFKASNVLLDSDFNAKLSDFGLAKLGPVNGYSHVTTRVVGTYGYAAPEYMATGHLYVKSDVYGFGVVLLEIISGRRVLDLNRPSGEHNLVDWSKPLLPDKRKLRKVMDPQLEGRYPSRGAFQIAQLILKCLEPDPKKRPPMEQVLETLQQISAVKMKPKDAKAAAQQHRAEQHQRRPANRAHHRSPLHTKHSSCSSVIGAGAQHRDKHSY >CDP01046 pep chromosome:AUK_PRJEB4211_v1:10:6191159:6194116:1 gene:GSCOC_T00034540001 transcript:CDP01046 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLIVPPHVPSVAEDCEQLHKAFEGWGTNERLIISILAHRNAGQRKAIRHAYAETYGKDLLKDLDKELSSDFERLVLLWTLDPPERDAVLTDEATKKWTKSNQVLVEIACTRPSKGLILVREAYHSRFKRSLEEDVAYHTTGDFRKLLLPLVSSYRYEGDEVNLRLAKAEAKILHEKIKGKHYSDDDVIRIVATRSKAQVNATLNEYKNEFGNDIIKDLEHDDDNEFLPILKATFECLVYPENYFEKVLREAINKRGTDEGALTRVVATRAEVDLKIIKDLYHKRNSVPLHRAIVKDTHGDYEKMLVALIGEDDA >CDP07528 pep chromosome:AUK_PRJEB4211_v1:10:2239035:2239697:1 gene:GSCOC_T00024813001 transcript:CDP07528 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLTELPPPLSCISLVAIIIVYLIICYLVIDLTHPNDDRSGEKDCRGLSAEELQKAACFYYHKVGSESTCAICLDSIQNAQLCRAFPPCSHVFHVQCIDPWLTKNMTCPSCRSLYEVQQRFCS >CDP18329 pep chromosome:AUK_PRJEB4211_v1:10:16986251:16991720:-1 gene:GSCOC_T00007954001 transcript:CDP18329 gene_biotype:protein_coding transcript_biotype:protein_coding MEELTSALNAQKDQMADLVEKLTAELRSSLTPAYNNFMGFFHAIDWREPWLICLVAFHAVLFLVAIITRRNTNFQMCLFLLALAGVYLAEWLNSILANNWKSFATQNYFDSHGLFLSVLWSGPLLVVAIVVLVNTLFSLCYLIVRWKKAELRHRAREARNKQD >CDP01283 pep chromosome:AUK_PRJEB4211_v1:10:3873075:3873891:-1 gene:GSCOC_T00034875001 transcript:CDP01283 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGVIDGIWDGIIPPECKSNPFIRRLSANLSWEEATEPLHRDIDVNKTCGIGPGMAFANSVLQGDPSSGYIGLVPCAVGGTNISQWARGTDLYNQLIRRARAAVQSGGIIRALLWYQGESDTVSFEDARRYKSNLQRFLTDVRSGLQSPILPVFQVALASGEGPYVEIVRQAQLGAELPNVRCVDAKGLELQPDNLHLTAQAQVQLGEMLADAFFQTPPYPLPSNAHRGLH >CDP10729 pep chromosome:AUK_PRJEB4211_v1:10:9754675:9758968:1 gene:GSCOC_T00031534001 transcript:CDP10729 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVKQKSRKEGSEKESLKRSHRDREDRDRDKERNGERSKDRDRDHHRSSSDRKEKKERESRHSERERSSDDKYRDRDRDRERHKDRHKEKDREKERTTGRDAERARDKGRDKEDREVDREKEEKERERAKERERERAKEREREREERERERERERERERERRERDREREKDRKEREREKERERIREKEKRREADRDYSSDENGVRDRDRKRRRRDDDHKDRDREQSSKSSKHRDEVEVSSPQKMEEEDFVDKKENTREEDLAEEQRKLDEEMEKRRRRVQEWQELRRKREESEREVLGVAANVEEPKSGKAWTLEGESDDEEAPSERKEDADMSVDGGGKTMDGDGGSMAVDSENEHVISAAENGVGGSMDDEEIDPLDAFMNSMVLPEVERLHSEPPASEDMDTGLKEKNGRGNGEQPNKGVNKSMGRIISGEDSDSDYGDIENEEDPLEDEDDAEFMKRVKKTKAEKLGVVDHSKINYASFRKNFYIEVKEVAKMTSEEVATYRKELELKIHGKDVPKPVKTWHQTGLGNKILETIKKLNYETPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPLNSGDGPIGLVLAPTRELVQQIHSDIKKFSKGLGLSCVPVYGGSGVAQQISQLKRGAEIVVCTPGRMIDILCTSAGKITNLRRVTYLVMDEADRMFDMGFEPQITKIVQNTRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDIAQLVEVRPESDRFLRLLEILGEWYEKGKILIFVHSQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTIADFKSNVCNLLIATSIAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDEARYAPDLVKALELSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRKAAKKAQAKEYGFDEDKSDSDDEEGVRKAGGDISQQAVLAQAAALAAATKTSVPTPIPTSQIGGLPVSLPGILANIPSVTSVVPGSGPNDGAARAAALAAAMNLKHTFAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLSPISEWTGAAITTRGQFFPPGKTPGPGERKLFLFIEGPTEQSVKRAKAELKHVLEEITMQASSLPGSAQPGKYSVV >CDP10929 pep chromosome:AUK_PRJEB4211_v1:10:21159766:21170554:-1 gene:GSCOC_T00031893001 transcript:CDP10929 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTLGSAASRLLPSATAATSAAKLSLSSPHSVKLFRSSPLISHLFLPQKGAAAASRLLRSRSSSYSTVTKCFASDPEQLKSAREDIKELLKTKFCHPILVRLGWHDAGTYNKNIEDWPQRGGANGSLRFEIELKHAANAGLVNALQLLLPIKDKYSGVTYADLFQLASATAVEEAGGPKIPMKYGRVDVSGPEQCPEEGRLPGKMSVSIADLLVILICVRLGWHDAGTYNKNIEDWPQRGGANGSLRFEIELKHAANAGLVNALQLLLPIKDKYSGVTYADLFQLASATAVEEAGGPKIPMKYGRVDVSGPEQCPEEGRLPDAGPPSPAAHLRDVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGMPETKYTKDGPGAPGGQSWTVQWLKFDNSYFKDIKERRDAELLVLPTDAVLFEDPAFKEYAEKYAADQEAFFKDYAEAHAKLSNLGAKFDPPEGFSIDEGTSQPQPEKFVAANYSTGKA >CDP07540 pep chromosome:AUK_PRJEB4211_v1:10:2312707:2321311:1 gene:GSCOC_T00024830001 transcript:CDP07540 gene_biotype:protein_coding transcript_biotype:protein_coding MENSENHQGTRVPPVEGIAGGGTAYGWSDSGVHEPSKLRGSIDPMKVPSSELIHVWCMPSTANVGPQDMPRSFEPISLLAARNERESIQIALRPKLSWSGSGIAGTIQIQSTDLCSASGERLIAGQSLTLRRVVSMLGVPDALVPLDMPTCQVNLFPGETTAIWVSVDVPNAQPPGQYDGELIITAIRADAESAANCLGKAEKHQLYKQLRNCFEIMEPIDGTPLDEVVERVKSTSSYLRMALASPSFSDCFPDNGPVDMMDEDAISNLSVRLKISLTVWDFVLPETPSLPAVIGVSDTVIEDRFGVEHGSSDWYEALDQHYKWLLQYRISPYFCRWGESMRVLTYTCPWPADHPKSDEYFSDPRLAAYAVPYNPVVSSGDTTEEYLHKEVEILSKKNHWRKAYFYLWDEPLNVEHYDSVRTMASEIHAYAPDARILTSYYCGPSDAPLASNNFEAFLKVPEFLRPHTQIYCTSEWVIGNREDLAKDIIAEIQPENGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATAPSAEIKFRRGLPPGDGVLFYPGQVFSSSQQPVASLRLERLLSGLQDIEYLRLYASRFGRDEGLALLEKTGVYLGPERYTLEHTPIDVMRGEVYRTCRS >CDP01136 pep chromosome:AUK_PRJEB4211_v1:10:5233254:5234426:-1 gene:GSCOC_T00034664001 transcript:CDP01136 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDQGNIIAIRTKTQLEILDDGFKWRKYGKKTVKSNPNPRNYYKCSTEGCKVKKRVERDGEDPSYLITTYEGRHNHESPCFIYCDELPLAISYGWTLRPSQYS >CDP01066 pep chromosome:AUK_PRJEB4211_v1:10:6013394:6021230:1 gene:GSCOC_T00034565001 transcript:CDP01066 gene_biotype:protein_coding transcript_biotype:protein_coding MASATVDSTTAAAAATAITEPPLQVDSIPTVDLHLLSQSELYSLSLCSPSSFDPLRGDDVVVPKIDRSVFNESAGSRKQTYSRLRLAPASAATSASSIRRRTPHLRHTSNLPYQYLDTDPDKDENQQILALLKQLFGLDSIGTMSNAIVVYPNENVEEKDKEILNRDGFEVDLVALAAMEDPYGVELRRRTEGMETEEALLEFLRCLNGQWGSRRKKKRIVDASEFGSALPRGWALLLSVKKKDGRAWLFCRRYISPNGRQFVSCKEISSYLLSLRGIQVANQLTSNQINADSQTANNTVFVNTVGEATVDKKIEVNPVCQISPAVASTPGNFVVEVTLNTGDMPGVQVGEVLHCDKCEMTFIAQDDLLQHQSCHRRRRSKNGESVTDGVIIRDGKFECQICHKTFLERHRYNGHMGAHVRNQVKFSDQNQATNVKDSLGTGTFDVIPPGSLGKTSDSLESYIATGNPWANADHVLNTYSLHCNDKQHGDRNESNDMVRKVDKAIDVDVLKHNLPSNSQAGLHNNGNNDFCGTAEGSSAIKGVAIGNEAGVKMPHSESCRPAVLDKIPGRIDKTVTGPSVDMEEPKVQVDAGIDFLENVDIGLDDGHLSHKVIEIRLVGNKYDADESNVFDKKHDGLDVTSGIGHLQHSEAKDHLCRNDDNLDGKLICNVFGSKQDTSSDYMAPCVDKEKCYDNVLNYTRPSPSSMVVGQEDNRIYPLVGKESRSAVELTKDSPTGLQEERNIGTCTVPPSWNEQVNFTEKYNDEVSTCFLEGHEQPKDSGSSLPSISSFQENRGVPLYSNRISARQMWEPKVNDVQNVRNNGLFPFSSSSDPMNMDSITSSKNERRPEFRSFFPSASAGDNIDNVHNNLSEQSGSPPSGSVLLTQSFTAEASQQPYDMNKIFAPQVDECKLTDTGYSKFKDLSLASDSQPYSKNVQLEGFPAENFDIQSGIKQVSGVQSNLNNTAHNGPDNHREARAFGIDLHGPAFGDQTCDFDNRFNMIYQGKVWEGPKIDDVENSGNKFIIGFDGGNTQPVEDVMSGSIWRTGEGNFLQTGLANTSTPLEESSSNSFRNFDIISQKGDRLFGVNQNYEGGTGFEGLRLGRSNPVEYSFMTAQSSNSIPGENKGFSYDVNTGQQYDSSFWLGKDALMPNVTGQNPVPTFCVWCRNEFYQEEPMPSGAEAGAIGSMCPACSSKISQRFNVL >CDP18265 pep chromosome:AUK_PRJEB4211_v1:10:24597281:24598831:-1 gene:GSCOC_T00011990001 transcript:CDP18265 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFTTLLVALVSLNLPAQTYCACGKEGKTTANEDCLPSPLPSPPHHYKSSPPKPYLYKSPPPPPLVHEYPPPPKSPYKSPPPKPYLYKSPPPPPPVHKYPPPPKSPYKSSPPKPYLYKSPPPPAHVHKSPSPPKSPYKSPPPKPYLYKSPPPPPSVHKSPPPPKSPRKSPPPKPYLYKSPPPPPPVHKSPAPPKSPHKSPPPKPYLHKSPPPPPPVHKSPPSPKSPYKHNSPPPPSPNRPYKNKSPPSPPHNIPYKCISPPPPVYKSPPSPPPIYESPPPPPPKSTYVYKSPPPPPPMKPYKYTSPPPPKSPYTYTSPPPPHHY >CDP11541 pep chromosome:AUK_PRJEB4211_v1:10:27218142:27223596:1 gene:GSCOC_T00033842001 transcript:CDP11541 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSDLDTVPEGSGGDVKEIFGVWIERNPSQFKLDELGVTSWPTWTGNPSQIPWTFAAKETMYLLEGKVKVYCDGHDDFFEIGAGDLVEFPKGMKITWHVIEAVKKHYNLDK >CDP07281 pep chromosome:AUK_PRJEB4211_v1:10:404012:406185:1 gene:GSCOC_T00024503001 transcript:CDP07281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G48560) UniProtKB/Swiss-Prot;Acc:P17597] MATAANFTAPSSASTTSTISNHLSHSSANSLNNSFLPKFTLPFSQIPKNPTLHHRRRGGHYTPIVTNVLSTSTETPKPSSSSSSTTSTTTAGTPFVSRFGPDEPRKGCDVLVEALEREGVTDVFAYPGGASMEIHQALTRSKIIRNVLPRHEQGGVFAAEGYARASGVPGVCIATSGPGATNLVSGLADALLDSVPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLNVEDIPRIVREAFYLARTGRPGPVLIDVPKDIQQQMVVPNWDQPMRLAGYVSRLPRPPNELSLEQVLRLIGESKKPVLYVGGGCLNSSEELRRFVELTGIPVASTLMGLGAYPCSDKEYSLQMLGMHGTVYANYAVDRSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSICADVKLALEGLNSMLEARGNKLKLDFSAWREELKEQKVKFPLSFKTFDDAIPPQYAIQLLDELTNGNAIVSTGVGQHQMWAAQFYKYNRPRQWLTSGGLGAMGFGLPAAVGAAVARPDAVVVDIDGDGSFIMNVQELATIRVENLPIKIMLLNNQHLGMVVQWEDRFYKSNRAHTYLGNPSKESEIFPDMLKFAEACNIPAARVTKKEDLRAAIQKMLNTPGPYLLDVIVPHQEHVLPMIPSNGAFKDVITDGDGRSVY >CDP01127 pep chromosome:AUK_PRJEB4211_v1:10:5318538:5325551:-1 gene:GSCOC_T00034648001 transcript:CDP01127 gene_biotype:protein_coding transcript_biotype:protein_coding MRISRHAATPSLLNLSFRAKDSILGTTRVLFCSKNEVFEDFKSAHVRPEIENFDELELLDKPFPKQMDDGSVTEIEEEELKKDDKDDVLEEFYKFFKPRDELRQEIDVEEGGKGSQTGEGYQNEKVSIEYYEPKPGDLVVGVVVSGNENRLDVNVGADILGTMLTKEVLPLYDKEINDLLCNLENDAEEFMVNGKAGIVKNDEAVSREAMPGRPVVAPGTLLYAEVLGRTLSGRPLLSTRRLFRRIAWHRVRQIKQLNEPILITITEWNTGGLLTRIEGLRAFLPKIELMNKISNYTELKENVGCWLYVLIKRINEDTNDLILSEKDAWEVLNLREGTLLEGTVRKIFPYGAQIRIGETNRSGLLHISNITRGRIASVSNLLEIDEKVKVLVVKSMFPDKISLSIAELESEPGLFLSNKEKVFAEAEEMAKKYRQKMPAVSAAQKSDPLPANSIPFEDEENILANWKWFIFDKDGVPNP >CDP15800 pep chromosome:AUK_PRJEB4211_v1:10:15773219:15778445:-1 gene:GSCOC_T00016644001 transcript:CDP15800 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQSGLCRALSVFFDKAKCYLAMVSLQFGYAGMYIICMVAFKRGMSHWVLVVYRHAVATVVMAPFAYFVERKIRPKLTLRVFLKILALGLLEPVLDQNLYYVGMKSTSATFASAFVNVLPAVTFVMAIAFRLETVNLKKIHSLAKVIGTAITVSGAMVMTLYKGPIINILFTHGGGHHSSTNASSEPQHWVTGIITLISCIFGWSGFFILQSMTLKEYPAELSLTALVCLMGMIESGIVALIFERNMSAWVIGFDSRFLAAVYSGVVCSGIAYYMQSVVNKIRGPVFVTAFSPLSMVITAVLGAIVLAEQTHLGSLIGATVIVIGLYSVIWGKSKDLTAANAEFEKGKASQLENISDFGDDIDLESNGVSKSAAKKFPPEP >CDP10983 pep chromosome:AUK_PRJEB4211_v1:10:22232061:22234388:1 gene:GSCOC_T00031980001 transcript:CDP10983 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDVLICFIGVAMLIIVRSLLGSIKFIRKAHSYPVRLHSAPHLIPSSCAFPREFMMSVTSFYCFFQSPYSSEAAGSSGRLNDKGFDKEMIRKIVNEGRWDDFRILGLLKSALAPIWVSRILVELKQEPTLALKLFHWAKTQDKFCHTTENYCIIAHILFCSKFYSEANYVLKELVSLNNGNKVSPCCSIVDVLWATRNICFPGYGVFDALFSVFVELGLLEEASECFLRMRGYRVLPKLRSCNHLLHRLSKKDDAIMANKFFGDVIDAGIVLSVYTYNIMIDIFCKEGDLESAQRLFARMKQMGVSPDTITYNTLLDGYGKFGHLEDVFGLYEEMKDAGCPPDVITYNTLINCSCKSEKMPKAFDFLRQMKDSGLKPDTVTYSTFVDAFCKEGLLQQALKFYLDMWRVGLTPNEFTYTSLIDANCKAGKLDDALKLLKEMLEMGCKLNIVTYTVLVDGLCEEGKMKEAEEVFIAMEKDGIIPNEKIYTALIHGYIKANRIEHAMEVLNQMKENQIEHDLFLYATIVWGVCSQGNLAEAKRLLDEMKGHGVKVNHVIYTTLVDAYFKAGKSAEACSLLDKMKRKGISPTIVTYSVLIDGYCRLGSIQEAVDCFSRMQQIGLQPNVVVYTALIDGLCKSKRIEDAESLFDEMIDKGIFPDKIAYTALMDGNLKSGKVEDALELRNRMVETGLKLDLHAYTTLISGLSRCGDIQRARNLFDEMIENGVLPDVIIYGCLIRKYHELGDVNEALFLQNEMTRRGLFPVRGQYAVQNVQT >CDP11921 pep chromosome:AUK_PRJEB4211_v1:10:24360521:24367116:1 gene:GSCOC_T00035229001 transcript:CDP11921 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIIKVLPFLKPRKEKEEAKLSYFFKNGSSTLEEVIASFGGRYELPIRSFAAEELIKATNKFSERVRSTNIGDMFAGTLKERPVLVKFYSGLTKNSSWNETAPDRIIRDIVVTSQVSHLKNVLQLIGCCLEFAYPAMVYYYAPGSEFLTNRLRHPNNDGKLLSWKNRLTIATGIANVLLYLHSAFSAPIIFGNLTINKVIIDQCGVAKLFDFGLSISLPPGKSEVENQLKWIHVPSGPQGFKSNIVTLKSDVYSFGVLMLMLFTGETDVIKYDEEMGGRIYIQDYVKRHILNNQFNQIVDQNILIHIRKYVKGYLDNDQLNQIVDPKIMEEVGDNCVHELEQQLLAFLDLAFRCTEHEQTSRPDMIDAAKELRQMEKSTSNCFRSPTFLCLAFWLQLVPFTIFLQKLQNHIRKHPYMDRIRKALPCLKARNEEEEERSFNFLKNGSLVLEELIESFGGRYKLPIKSFTAKQLIRASNNFSEQVPHMTDNGYMFRGNLEGRPILVKLYSNIGHSDKCVLRGATRDLVITSQMSHLKNVFKLIGCSFEFKCPAIVYECAGTELLAKYLSHKNDAKRLSWGSRIKIAKDIANVIGYLHSAFPTPIIYRDLTPNKVIIDQCGVAKLFDFTFSISLPPGELQVEDRVKGTFGYLEPQYTITGFITQKTDVYGFGMFMLVLFTGETAMVKYQEGTVEPIHVRDYIKGCLDNAQINQILDPQIFEGENSDGLRQNLLAFLDLALRCTEYERADRPDMLDVAKELLHIEKSVRH >CDP07360 pep chromosome:AUK_PRJEB4211_v1:10:959951:960973:-1 gene:GSCOC_T00024600001 transcript:CDP07360 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSNIVDEEYMEAFRTNSYIEICSEVQSQLARKSIDRLSSSPSLPQHLSQGVLEPCPQTPTNVNKGSANDLHQILSGFFKISSEACKTCELILRSIHETRAKYGTMKRVLNQLEQISDEDQQNELYRNLASFALLRNPLATITQAQFQHIHDDLHSALQRFTSKIKRIRRRRKFIRCSKRFARGTLIAASCALTIALPILVLHSAVGIVAAPALVACSLGACMKRIKQTKEWLVPETSLERFEAQLDTAAKGIYLIINDFDTMSRLMTLLHDEVEHSKFLANLCLRRRSNELLNEVIREFQINETGVLDELEELEENVYLCILNINRSRRLLVEQMEVG >CDP19624 pep chromosome:AUK_PRJEB4211_v1:10:25085194:25087883:1 gene:GSCOC_T00006174001 transcript:CDP19624 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGGASSFANFGRSILSIRRDQVHSMDSSHEATSQELELEAFQKLVAERFNDLLAADSSELLSIPWIRKLLDVFLSCQDDFRVILFNNRAYFNRAPMDRHISEFFERSVKALDVCNAIRDGIEHIRSWQKQLEIVLCALDNHKSFGEGHFRRAKKALIDLAIGMLDEKESNTTLGHRNRSFGRQNAQKDQRSLGHFRSLSWSVSRTWSAAKQLQAIGNNLVAPRANEVMATNGLVVAVFTMSYVLLFVMWALVAAIPCQDRGLGTHFSVTRQFIWAVPILTLHERILEESRKRDRRNACGLLKEIHEIEKCARHMNELTDSVQFPLTDEKEAEVRQRVQKLGLVYEAIKDGLDPLERQVREVFHRIVRSRTEGLDTMGRANTN >CDP11509 pep chromosome:AUK_PRJEB4211_v1:10:26880646:26888180:-1 gene:GSCOC_T00033798001 transcript:CDP11509 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:Projected from Arabidopsis thaliana (AT4G24520) UniProtKB/TrEMBL;Acc:A0A178UWR2] MESKLELIRSIESALGVSLGEDGNQVLLVLTTSLAAIVGLLVFLWKRSSDRSKETKPLVITKLNTSVPEEEEDDVDPSKVKVTIFFGTQTGTAEGFAKALGEEIKARYEKAVVKVVDLDDYAADDDQYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFSEGLKDREPWLQQLTYGVFGLGNRQYEHFNKIGKVIDEQLSEQGAKQIVPLGLGDDDQCIEDDFAAWRDQLWPELDQILRNEDDANSASTPYTAAILEYRVVFYDSEDTSYEDKQFSMANGNTSYDIHHPCIVNVAVQRELHTPESDRSCIHLEFDISGTGINYETGDHVGVYAENCDETVEEAAKLLGQPLSVRFSIHADKEDGTSLGGSLPPPFPGPCFLRTALARYADLLNPPRKAALVALAAHASEPSEAERLKFLSSPQGKDEYSQWVVGSQRSLLEVMAEFPSVKPPLGVFFAAIAPRLPPRYYSISSSPRFVPNRVHVTCALVYGPTPTGRIHKGVCSTWMKNAVPLERSQDCSKAPVFIRSSNFKLPADPSIPIIMVGPGTGLAPFRGFLQERLVLKEEGAQLGPALLFFGCRNRRMDFIYEDELNNFVDQGVISKLIVAFSREGPQKDYVQHKMIEKAAEFWSLISQKGHLYVCGDAKGMARDVHRTLHTIVQEQEKVDSTEAEAMVKKLQMDGRYLRDVW >CDP11520 pep chromosome:AUK_PRJEB4211_v1:10:27017509:27019615:1 gene:GSCOC_T00033814001 transcript:CDP11520 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLMNMVVFDEPLTFVILRLLISIILITSFAVHPSLCTATATDHEDMKNSTSSNSTRSSWILAACLADYGVNNFTLFPSYHHHLGQDAKLYYTFLDFSISNLRFAQPSVPKPAAIIMPQSKDQLVNTLLCCRGNLGGGSFEIRVRSGGHSYEGTSSVATDGSPFVIIDLMNLNRISVDLESQTAWVGGGATLGQTYYAISEASRDRGFSAGSCPTVGVGGHISGGGFGFLSRKYGVAADNVEDALLVDAAGRVLDREAMGEEVFWAIRGGGGGIWGIIYAWKIKLVEVPRTVTAFTLSRPGTINYVGRLVEKWQHVAPKLSDDFYLSVSLASDSSEGLPPTIQVSATFDGLFLGTKREALSALIKVFPELRLRAGDCKEMSWIESVIYFSGLEPAGNSSTVEAPQLRGRYSPNKSFFKAKSDYVRAPISSTGIRTALDMLQKEPKGYVILDPYGGFMERTSSESIAFPHRRGNLFSIQYMVDWEEKDDHGSNGYIHWIRGFYSAMAPFVSSAPRAAYVNYLDLDLGVMNPSNNDKETADGAVERARAWGEKYFLGNYDRLVKAKTRIDPLNVFRNQQGIPPLPAAN >CDP00890 pep chromosome:AUK_PRJEB4211_v1:10:8343079:8348430:-1 gene:GSCOC_T00034330001 transcript:CDP00890 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDGIDLDPEDFTSSLPLKKVPYGDVYEASRAGDVDRLKYLLESGVNVNARDQWDSVALYYACLAGHLDAARMLLESGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLGPLQAALRETFLGCWANKAYLEQLDGQAQFPIPGYSPNGGSTSDHFPADAVLYVQGRPIEAHRVILSARSPFFKRKFVTDWKDRKEIRFSREKLSYPALFSLIHFFYSDRLEISVDDMEDLVRICRVCKCQSLQKVLEKELIHQQYADYKALREIDNSQKRFILQGLSLPQDDRLPVALHEILQISLANSTREESLDSPVDSLVSRVGLMQMVGFEDDLADVCVLVEKKIFRCHQVILASRSEYFKARLSRVKEFHEGRDSLPDSTLPCLEEHDLSVGAFEKMIEYMYTDGLKDIDPDQAEELFDVASRYLLFSLKRAVADALLPHLEMVPPAELCHWLMLSDMYGVVKIREHCLDTIACNFEIFAETPEFRAMLLTLPPPSGESTLRTTAPSAPGAELNTVEENLLDDLREKWLEAEGAELDKRDESALIFDKRLEMLVVVAEQEKSGALDESSASVPETASSLP >CDP11486 pep chromosome:AUK_PRJEB4211_v1:10:26654321:26656450:1 gene:GSCOC_T00033762001 transcript:CDP11486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g14730 [Source:Projected from Arabidopsis thaliana (AT3G14730) UniProtKB/Swiss-Prot;Acc:Q9LUC2] MLITGFFHSSPLSATSLINMYSKCSISISDALSIFLASPHCQNVYAYNAIIAGFISHDLPNKVLEFYFNMRMVGLIPDKFTFPCLIKACCHAMDVEKIHALVFKLGLEFDLYVGSALVHGYLRVGLLSSEALKVFDELPERDDVVIWNAMINGFAQLGEIDKPLGIFRRMVENRVVPNRFSVTGILSVLAVAGEIYNGRVIHGFVIKMGYDSGVAVANALIDMYGKCQFVMDASKVFDSTLEKDIFSWNSIICVHGQCGEHEETLRQLENMLHAGVQPDFVTVASVLPACAHLTALMRGREIHRYVIVYGLGGVYGGHNNIYINNAVMDMYAKCGSLREARLVFDKMSHRDVASWNIMIMGYGMHGFGNEALDMFHIMSKTELKPDEVTFVGVLSACSHAGFVTWGREYLASMQPKYGVFPTIEHYACVIDMLGRAGQLEEAYELLSTMPYEANPVVWRAFLAASQLHGNSDLAEVAAHQIFELEPEHCGSYVMLSNIYGASGRYDEVFGLRHTMRQQDVKKIPGCSWIELSNGVHVFVTRDQNHPEGIEIYAGLGSLTACLCENGYQPSLLEATV >CDP01199 pep chromosome:AUK_PRJEB4211_v1:10:4614034:4615403:-1 gene:GSCOC_T00034768001 transcript:CDP01199 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEIWSRLPEDILERVLSFLPLKTFLSLRSTCKHFKSLLFSPSFISKHSPASPTSSSSPFSSFLLLSHHQFSCKCPLYDTVQNAWRNLPLSYSPTVSPAQSCSLLSVSHGRLCFSHPSSSSFIVCNLLSRSSRVVKYPKFPCSFESLTLVSTSTGYKLLMMSSSGSSNTAFVYDSGIHLWQQFQGINLILNNQGGVFHEGKLYFITTEPFHILCFDLETGSWERSPIQLPNQLAFARLVSDGDRKLYLIGGIGSDGISRSLKLWELSGDGENWAEVERLPEMMVKKFLSVCYHKYEHVYCFWHEGLLCVCCYTWPEVLYYKVSRKTWHWLPKCPLLTEKWSCGFKWFSFVPQLYSFA >CDP07513 pep chromosome:AUK_PRJEB4211_v1:10:2132973:2143897:-1 gene:GSCOC_T00024792001 transcript:CDP07513 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVLANPITTSAQAAISLGRRGGFIAKEKERIGGSGISIVKGAVESVSVFVTVSVSVLVSDSSSFSKSAGLPKIASSTSPKSKCLFKLKMRIPKRPLPVSVSRCLSYLFSPPPDLLLFSSSSSSYPSFSTFLHFHSRILASTCISRRSSDAAKFLRPKGSKQMHTGSTMDGTDLDGKTSDFERTTGRFGGQYPHIWSSPEGGHKIDIGKQIFCNRSLNMKNIVAVGFDMDYTLAQYKPETFESLAYGGTVRKLVYDLGYPSELLEWTFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEDKVATYGSTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDNNPGKLLGRVDYSQMYKDVRAAVDLCHRDGTLKQMVAEDPKRYINEDTAIVPMLKMLRESGRATFLVTNSLWDYTNIVMNFLCGPRSVDGCSPLSFDWLRYFDVVITGSAKPGFFHDEVRANLFEVVPETGMLLNTDNGTPMAQVGNTLLRLPSNGLNKKCKVFQGGNVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWEIRDTRKQLQSLRSERDHIEDKIHHLKWSLMFEHADINKEEMSSEIEKLQSLREQVRLSHQQAQRECHQKFHKAWGQLMKTGYQNSRFAHQVERFACLYTSQVTNLGLYSPDKYYRPSEDFMPHEFDILSV >CDP07234 pep chromosome:AUK_PRJEB4211_v1:10:35463:40765:1 gene:GSCOC_T00024439001 transcript:CDP07234 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQKKSAVSDVGAWAMNVVSSVGIIMANKQLMSTSGYAFSFATTLTGFHFAVTALVGMVSNATGFSASKHVPLWELLWFSVVANMSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHSKHYTREVKMSVVVVVIGVGVCTVTDVKVNAKGFICACVAILSTSLQQISIGSLQKKYSIGSFELLSKTAPIQAASLLVLGPFIDYYLCGKFILEYKYSSGAFLFILLSCSLAVFCNVSQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTFKNIMGMLIAVVGMVVYSWAVEVEKQSNAKITSVKNSLTEEEIRLLKEGVEKAPVKDIELAEPKE >CDP00989 pep chromosome:AUK_PRJEB4211_v1:10:6899518:6904630:-1 gene:GSCOC_T00034471001 transcript:CDP00989 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIQGLSSSIDLMVVGLQIFSIDRKARFKALRKRTDKIRKHDIVLKFSGLESNSLLGTSIKVKQTHNSFPLGSCLSKTNIDNEEFQGTFNYKDADELLNFCTNHKFPVRGHCIFWEVESTVQQWIEHLNVPCAIECVSTCLLTCYKGKFKHNDVDNEMMHCSFYQDRIGKDIQANMFKIVIGCDFIIYFIINFPYCLI >CDP10934 pep chromosome:AUK_PRJEB4211_v1:10:21386042:21387160:-1 gene:GSCOC_T00031902001 transcript:CDP10934 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFLNSPVPTLKLSSKLAIFRPTISVSASKLPISSSFSASATLQNQQRQQEDEKRVLPAVQEFEDYNQDEAYGEVNKILGSRKTSLPNGAQVMEYLIEWKDDHAPTWVPSEFIAKDVIAEFETPWWTAAKKADEAALKSLIEAGDGRDVDAVDEDGRTALLFVSGLGSEDCVKLLAGAGADLDYQDKNGFTALHMAAGYVKPGAVKVLVGLGAHPEVEDDRGRTPLDLAREILKVTPRLQFARRLGLESVINILEGEIFEYAEVQEILERRGKGDKVEYLVKWRDGEDNEWVKAGLVAEDLIKDFEAGLEYAVAECILDRRESADEGGKKEFLVKWTDIDEPTWEPEENVDPLLIQAYEKIDQNGNGMSS >CDP10895 pep chromosome:AUK_PRJEB4211_v1:10:20327941:20331306:1 gene:GSCOC_T00031845001 transcript:CDP10895 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNSNTDKVAKLSTANLKKANLAKENKDKGEASDVEHQQMRASTHYSNDGENSSVHSKRETSGACDSDCEGNSLKKTKFFAEEIPLAGNL >CDP01225 pep chromosome:AUK_PRJEB4211_v1:10:4380561:4382130:1 gene:GSCOC_T00034798001 transcript:CDP01225 gene_biotype:protein_coding transcript_biotype:protein_coding MTANWWWNRSISPRKCVENGGQKEHNSAALIIGITGIVGSSLAEMLLLHDTPGNPWKVYGVARRPRPTWLSDKRLNYIQCDISNSRETISKLSPLIDITHIFYVCWVGSEDCDLNGSMFRNVLRVVIPNAPNLQHVCLQTGIKYYLGVFDERYKQEPHESPFTEDLPRLPIPNFYYDLEDILEEETKAKLGLTWSAHRPALVFGFSPCSMMNMVSTISVYATICKHENKPLVYPGSDFSWDCYYDVVDADLLAEQQIWASMEPKAKNQAFNCSNGDVFKWKHIWKILAEQFGLEMVEYRKGQKETSMAELMKGKEPVWDEIVKKHKLVPTKLNDIAAFWLADVVFYSDNRVSCMNKSKELGFLRFKDSTKSFLDSIEKMRAYGFIP >CDP10906 pep chromosome:AUK_PRJEB4211_v1:10:20623487:20631065:1 gene:GSCOC_T00031862001 transcript:CDP10906 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYIVGVLVPLVVTFLLRSSKSEKKRGVPVDVGGEPGYAIRNSRFPSPVETAWEGITTLAELFEQACKQHFDKRLLGTRKLISREIETSKDGRSFEKLHLGDYEWLTYGQAFEAVCNFASGLAQLGHGKGERVAIFADTRAEWFIALQGCFRRNVTVVTIYASLGEEALCHSLNETEVTTVVCGHKELKKLIDISGQLDTVKRIISMDDELPSNSASVSASVSWTLISFSDLLRLGQGHPVDPELPLAADIAVIMYTSGSTGLPKGVMMTHGNVLATGSAVMTIVPGLGTKDVYMAYLPLAHILELAAENIMAAVGISIGYGSPLTLTDTSNKIKKGTKGDASVLKPTLMACVPAILDRVRDGVRKKVDATGGLAKKLFDLAYARRLSAVNGSWWGAWGLERLLWNFLVFRKIRAILGGRIRFVLSGGAPLSGDTQRFINICLGVPIGQGYGLTETCAGGTFSEEDDTSVGRVGAPLPCSYVKLIDWPEGGYLTSDSPKPRGEIVIGGPNVTVGYFKSEEKTREVYKVDEKGMRWFYTGDIGQFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIISPYVDNIMLHADPFHSYCVALVVASQAAIEEWASKKGIEYTDFSDLCQKEETIKEVHGSLVKAAKAARLEKFEIPAKIKLLSEPWTPESGLVTAALKIKRESIRKAFSEDLAKLYSS >CDP01078 pep chromosome:AUK_PRJEB4211_v1:10:5881637:5883456:1 gene:GSCOC_T00034588001 transcript:CDP01078 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISLLEKKPHAVCIPFPAQGHINPMLELAKLLHHKGFHITFVNSEFNHKRLLKSRGPDSLNGLPDFQFQTIPDGLPPSDVDATQDIASLCESTDKHGLGPFRELLARLNDTSSSNVPPVSCIISDAAMSFTLAAAEELGIPEIYLWTASACSYLAIFHFSQLIDKGITPLKDASYLTNGYLDTVLEWIIPGMEGIRLRDLPSFLRTTNPDDFILKFILQITESAQRASAIILNTFEELDHDVISSLPAYLPPIYPIGPLHVLENQVDDKSLEVLGSNLWKEEPECLEWLDSKEPNSVVYVNFGSITVMTPEQLVEFAWGLANSKQTFLWIIRPDLVKGDLAILPDEFVEETKHRGLFASWCNQGTVLSHSSVGGFLTHSGWNSTIESMSSGLPMICWPFFADQQTNCWICCTKWGIGMEIDNNVKRDEVESLVIELMSGKKGKEMKKKAMEWKKLAEDAASCSSGSSSVNFENLISQLQQSKTLDTLESNHDKVNNWPH >CDP10718 pep chromosome:AUK_PRJEB4211_v1:10:9903798:9906091:-1 gene:GSCOC_T00031519001 transcript:CDP10718 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFLLYSLYTILALLVPLYLYLLTRKPKFNKSKLPPGNIGWPVLGENVDFARGGPRKFIEERMSKYSSQVFKTSFMGEKVSVFCGPAGNKFLFSNEDKAVTSWLPRSMRKALLFPSYVDAPLKEVGALQHSFLHEILKPEALKKYIPVMDAMARKHLDAEWAPFEEVKVYHLAKKYTFALACRLFLNIEDPEHVKRLADPFARVMNGLFSIPLDFPGTAYNGAIKGGNKVREELLKIVTNRKKELVEDESSAGRDLLSRMILVKDEDGKLMNEMEICNNIVGLLVASFDTTSCAVTFVLKYLSELPHIYEKVYQEIMEIAESKGPEDLLSWEDIQKMTYSWNVGRESLRLTPPAQGSFREAKSDFDYAGFTIPKGWKTFWSVYSTHRNAKHFANPDVFDPSRFEGSGPAPFTFIPFGGGPKMCPGKEYARLEILVFMYNVVTRFKMERLIPDEKIINLASPTPVNGLPVRLQRRSARILCI >CDP10989 pep chromosome:AUK_PRJEB4211_v1:10:22280301:22285815:1 gene:GSCOC_T00031987001 transcript:CDP10989 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLGQLTFLRILDLKFEDFQIVLKIFTRTHLVGSEIKSVTSYYSLQDEMFKKFNFDTEWAVRLISRITNDEDIPIEGDTIVKQLLALQINAEKFFFGIRKSLVEFDEVLEVQRKHVYDLRQSILTGNSESTSQHIFQYMQAVVDEIVFKNVNPLKHPSCWSLGKLLKEYNDIAEELLNDCFAGITEESFRKSLSQLHELNSVNINDFHLPNLPKPPNSFRGIRAKSSSLRRWLAICTDDSTPDGKYRATANLLRKYLGDFMIASYLKVIQESGYDAMYIKEIERAVLVKTLDCFWRDHLVNMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLIHYWSSPMESEELYV >CDP16496 pep chromosome:AUK_PRJEB4211_v1:10:17314383:17320435:1 gene:GSCOC_T00018466001 transcript:CDP16496 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSISFLKSVKQRASWKKEREEEKRKQYESRIPYLPTECISNILVRLPLESLQRSRFVCKTWYKIVNCCIFIEAYLQRSESVLIFLVPPKRDVFFPYSRPNLQEHSNVFSVESKLFQLQSVPVLQRPLMDPTSLLHIKFMEIENGKMKVEEYNATCLGAVRAACGGLILLDNKMKKGGLIALNPVTRELTALPLGTLFPPHSESYGLAFSQTARHFKLVHLFRDEMQYVSCEILNLGARSWRMVDGPAFGAFGWFGYDPVFAIRAIHWIPQVDHSEYMVSMTVDDEKFHHIPLPRSSGIQDRIVEMRGDLGFVRHEELCKIDVWILRNLCGEGWTKQYSITVGSESCMIPLYFSRICGEMIFQDEDGSLYAYDCSLQLMKNVEMKKGSFPLNCHYFTHVNSLLSWKIQGNVID >CDP10923 pep chromosome:AUK_PRJEB4211_v1:10:20942409:20950646:-1 gene:GSCOC_T00031885001 transcript:CDP10923 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MTSDYANVAAELEAASRMRAAQFFVTQRPWLDLYGVNIRPVAPFGSASSKPVVDPALIHRALPDELIFEIFSKMTPYTLGRAACVCRKWRYTIRNPVFWRNACLRAWQVTGVAENYKILQSKYDGSWRRMWLSRPRVRTDGLYVSRNTYIRAGVAEWKITKPVHIVCYYRYLRFYPSGRFLYKNSSQKVKEVAKYMNFRSTKGDCVFGGHYTLSEDKVEAAFLYPGLRPTVWRIRLRLRGTIAGANNRMDLLALVTSGVSDTEVTGPDEDILGVVERWEEDETHNPDVPAITHKRGLTPFVFIPFEEVETSVLNLPVERMDYYVPG >CDP07618 pep chromosome:AUK_PRJEB4211_v1:10:2959457:2960170:-1 gene:GSCOC_T00024929001 transcript:CDP07618 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFLHPNTFHKMENHVLLSLLQRPTNPDKKPKSGGTGGGAGGLFRMFKLLPMLTTGCKMVALLGRPRKHLLTDNATTVTLFGYRRGRVSLAIQEDPHRLPIFVIELPMLTTAFHKEMGSDVVRFALESDTKTRKKKVLEEFVWAVFCNGRKIGYSIRRKNMSDDEIHVMQLLRGVSMGAGVLPSPHEKETGDGELTYIRARFERTVGSKDSESLYMINPDGASGQELSFFFVRLR >CDP15804 pep chromosome:AUK_PRJEB4211_v1:10:15890639:15891858:1 gene:GSCOC_T00016651001 transcript:CDP15804 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTEHKIQSEPAKPTKPIPSSSSSSSSSSSSKSKSSCKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSSANLNFPISSYSLRFPESLVMSPKSIQRVAAAAAATVSTTTTATATDPESPDSPSQPSTSSYSASESASSPTPSLSSSPPDHPPYIIEEEDISASVSLPPANLLDEAVMPMTMNAAAGQWYTFDSPKYTDMVNGVFFDPMMMIEDVYEEGDINLWSFC >CDP01291 pep chromosome:AUK_PRJEB4211_v1:10:3783464:3785195:1 gene:GSCOC_T00034885001 transcript:CDP01291 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAPLPFHGNQAQPYGATGNVNENPDSAAKHTPPAQMYATPNATISTLLPYDGGLPPPAAANPYPGTNAAYPGAPSPSINAPPNPHPPTSAAPFPFPGFPPNSGPNPNPNPSPAYSSPQSPTYPPAPTTSAPYAPPPQSSGYPPAPTPSAPYAPPPQSSGYPPAPTPPAPYTPPQSSSYPSAPTQPAPYTPPQSSSYPPAPQSSGYPPAPSPQSSTYPPQPAPATPYTQSTAGLPQPCPPTGYPPHPPPGAPSGYPSVIPPSGTFPPPPSSQYYPQTNSSPPGTYPPPPAPAAAGGFYPPRPY >CDP18278 pep chromosome:AUK_PRJEB4211_v1:10:24716339:24717766:1 gene:GSCOC_T00012005001 transcript:CDP18278 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFVPYKAKVLLVHVVDSSADIFNGIIVLFYLILFTKFTGQILRIFVLPKKNLPKMVVWNSCIKVCMARQEVKNFFFFFFKKTGPSVQHGVTAQF >CDP11385 pep chromosome:AUK_PRJEB4211_v1:10:25502059:25505914:1 gene:GSCOC_T00033614001 transcript:CDP11385 gene_biotype:protein_coding transcript_biotype:protein_coding MHICWVSMMIQETDVAIERIARVINDHPFPDKRLHSTLRCEIPPGVLSTTFVENVLGHLFGAHSNGIKAYEFFRFCLCQPHYVPSSDAFEKTLHILTRMRYFDKAWELMGTIRDKHPFLLTLKSISIMISRIAKFQSYEETLEAFERMEKGIFSGKQFGSEEFNILLRAFSTQRQMKEARSVFNKLHTRFSPNTKTMNILLLGFKESGGVTSVELFYHEMIKRGFKPNSVTYSIRIDAYCKKGYLGDALRLFEEMEQCKIMPTVETITTLIHGAGIARNVAKAKECFDEISKRNLKADIGAYNALLSSLLQCKDVKSAAALMNEMEEKNIGLDNVTYHTMFLGLMRSYGIAALSELYQKMIERNFVPKTRTIVMLMKFFCENQRLDLGVNLWSYLLEKGHCPHSHALDLLVTGLCSRGRFEEAYDCSKQMLERGRRMSELSYRMLERYLLQIGQMDKLQNLDKLIRRLQAALPPSSGHANANS >CDP07616 pep chromosome:AUK_PRJEB4211_v1:10:2944872:2945577:1 gene:GSCOC_T00024927001 transcript:CDP07616 gene_biotype:protein_coding transcript_biotype:protein_coding MAITMSFGKGLLILLLTLVATLAISQAETVVVGGSEGWRYGCNYTDWALKHGPFFLNDTLVFRYPPPNDTVKPHSVYLLPNLYSFLTCDFKAATLVAPPNQGGGDGFSYTLSRVRPNYFASGEGNGDDCTKGLMKFAAIPLYRPPFP >CDP10973 pep chromosome:AUK_PRJEB4211_v1:10:22078962:22082570:1 gene:GSCOC_T00031967001 transcript:CDP10973 gene_biotype:protein_coding transcript_biotype:protein_coding MVESTAPKQGPQNHHQVFGVSVNVPPQGGSKCFDDDGKLKRTGSVWTASAHIITAVIGSGVLSLAWATAQLGWIAGPTVLLLFAFVTYYTSVLLAACYRSGDPDGGKRNYTYMDAVRANLGGFQVKVCGAIQYMNLFGVAIGYTIAASISMTAIKKSNCFHSSGGKDPCKVSSTPYMIIFGVVEILFSQIPDFDQIWWLSFVAAVMSFTYSTIGLGLGIGKVAETGKFRGSLTGISIGTVTQTDKIWRSFQALGAIAFAYSYSLILIEIQDTIKAPPSEYKTMKKATLLSVAVTTIFYMSCGCFGYAAFGDLAPGNLLTGFGFYNPYWLLDIANIAIAIHLVGAYQVYCQPLFAFIEKTARSWFPESQFITKEIEIPIPGFKPYKLNLFRLVWRSIFVVITTVISMLMPFFNDVVGILGAFGFWPLTVYFPVEMYIVQKKIPKWSTRWLCLQILSLACLIISAFKTSY >CDP11489 pep chromosome:AUK_PRJEB4211_v1:10:26679158:26680132:-1 gene:GSCOC_T00033766001 transcript:CDP11489 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSFVEGGIASIVAGCSTHPLDLIKVRMQLQGEQPAQQVLTLRPALAFHPSHAISVHNNLDVHPTPLPQVAKSGPISVGNKIMQTEGVAALFSGVSATVLRQTLYSTTRMGLYEVFKQKWSDPSSGKFPLSRKIAAGLLAGGIGAAVGNPADVAMVRMQADGRLPVSQRRNYKGVVDAITKMSREEGIASLWRGSSLTVNRAMIVTASQLASYDQIKEAILDHGVMNDGLGTHVTASFAAGFVAAVASNPVDVIKTRVMNMKVQPGMAPPYSGAVDCALKTIRSEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKILKDF >CDP07569 pep chromosome:AUK_PRJEB4211_v1:10:2505918:2507102:1 gene:GSCOC_T00024863001 transcript:CDP07569 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIKEVKPQAPMPLHPPFMKCNGSTQTWPTKLHASSKNWKFRITNGKLALGIPISVQNLYPYTNAKTRVVKRISNT >CDP16499 pep chromosome:AUK_PRJEB4211_v1:10:17532801:17536901:1 gene:GSCOC_T00018471001 transcript:CDP16499 gene_biotype:protein_coding transcript_biotype:protein_coding MARNESTKILTFGGTGYISSYMVKASTNLGYPTYVYSRPTSPRIDLLNEFHSSGVTIFKGELDEHEKLVSVFKEVDIVISALACPQVLDQLKIIEAIKVDGNIKRFLPSDFGCEEDRRTVLPSFQEFLNKKKKIRRAIEAEGIPYTFVSANCFGAYSVNYLLHPHDHKKEDLTVYGSGEANEEDIGSYTIRVAYDPTTCNTVVIFRRSTNTLSQLELIKLWEEKMDRKLKKIHVSEKEIVNLSKNKVNKTCLIFFFLVNLYVGVTANFDLKNKDLEASKLYSNLKFTTIRELLHIFKCNPPKLVLAAFE >CDP11953 pep chromosome:AUK_PRJEB4211_v1:10:23924544:23924768:1 gene:GSCOC_T00035274001 transcript:CDP11953 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAVRLMHFSTMLLGYLLKLTISYTLSPFFNFIGKKGLEVLVQGGVIDDLAKHLIEQYRIAKRYIEVLDKTKK >CDP07500 pep chromosome:AUK_PRJEB4211_v1:10:2049245:2050689:-1 gene:GSCOC_T00024777001 transcript:CDP07500 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRPAFRFRLPWLPAAPPPRPTFPPRPPAQPTPATTPSQTMPQTTATPAPPQPSVTATPPPTMPQTAATTEAPPQPSVTITPPPTTPQTAATTEAPPQPSISTTAPPAMPQTAETQIPSQPSTTTTPAPTTQTAERATVTQPTITTRPTQTPTPQAPERPPYRPPAVAPAQGPHPQSQTSRTESQPSSPSPAITQPRVPSQPASLSRVDTQSRAPSQPSAPPHAAPQQEAVSLPSSPSRRSTELPSTPQTATQPRSPSLAPIQAGQTSPPPPPSSTTAQRQPTTAAATQLASPLKTEQSPTQKNSQSSASSTEGFSPSDKQEPKSAVSESLPLEFQPKTAVPADNIHDSQDRAPIKTIKSTSSTVNGEPESFSKDMKPEEPKEVKEKGDDSNICF >CDP07306 pep chromosome:AUK_PRJEB4211_v1:10:651809:652999:1 gene:GSCOC_T00024535001 transcript:CDP07306 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKMVTNFRRSLSFPSQPGSSSSSTKLPKKAYHVRSTSLPCRSHPLVSQLRDEINELKSWASSSKPDNHRTSAWLCDGLSQLTNVQESLDDLLQLPQARESLCPNHDLIEKFLDDFLGLVDVYGIFQTLLFTLKQEHLAAQVAVRKRDDSKTALYLKILKKIAKDFGQLESSVQSIAGRQLVILSPPAPAAAGEDAEIARVLRDAIQVTASISAALFNGLSVSLAFRKPSCVGLISLVKNSKKIAIVKGGIQEFQQVSFQNLWGLQRKGEEELKLTLKIMHELEGCIRGIGSGGERVFRSLISTRVSLLNVVTH >CDP10917 pep chromosome:AUK_PRJEB4211_v1:10:20849298:20853600:1 gene:GSCOC_T00031875001 transcript:CDP10917 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDELRVAFEAWNFCNEVGNEEAPGMGSPRAADCFHISSNGSLIHKVTEVDNKLGVGKPFPGLPEKALNDPNLYAVEKELYLGSLCEVADHTAPTKPWQFWMIMLKNGNYDTRSGLCPKNGEKVPPFISGQFPCFGKGCMNQPLLYHQPTAVVTSENGIKTMKGGFSGTYDLVPFPPPFGIGTLGDSSLNSYFEVVWEKKVGDGGSWIFRHKLRTTKFYPWLMLYLRADATKGFSGGYHYDSRGMLKILPESPNFKVKLTLDIKQGGGPKSQFYLMDIGSCWKNDGRPCDGDVLTDVTRYSEMIINPETQAWCSPASMNRCPPYHITPNNIKILRNDTAHFPYSAYHYYCAPGNARFLEFPDSTCDPYSNPQAQELVQLLPHPVWAEYGYPSKRGEGWVGDGRTWELNVGALSSRLYFYQDPGTRPARRIWTSLDVGTEIFVSNKEEVAEWTLSDFDVILTSQPPSSA >CDP07383 pep chromosome:AUK_PRJEB4211_v1:10:1120505:1121407:1 gene:GSCOC_T00024626001 transcript:CDP07383 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLQPVLLRKPEPDLRRRGLDGHAVPADATPAHFVPIMPPTASTVAAIVPCQRIRGLTGHVPRQTGNQEYAHHRRGCKQHKSSTEALPTCQSISLQRRYRHQIQSRQVPHHRIRNRHLFLDPFSF >CDP11468 pep chromosome:AUK_PRJEB4211_v1:10:26439451:26443214:-1 gene:GSCOC_T00033734001 transcript:CDP11468 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDRSSEMSEDEERPPSSDPAAGVGGVKPPRSPSTVHGGDLPCSYISPNPDQVLENVLENVLCFLTSRRDRNAASLVCRSWYRAEALTRSDLFIGNCYAVSPGRVINRFKRVNSVAIKGKPRFADFSLLPADWGANFTPWVNSMAGAYRGLDKVYRGLEKVYLKRMSVADEDLAVLAHSFPNFKELVLVCCDGFGTNGLAIVASECRKIRVLDLIESELVEDEVDWISCFPQNGTCLESLIFDCVDSPINFEALERLVVKSPSLKKLRLNRQVTIVQLYRLIVRAPQLTHLGTGSFGPGEIVAQGEQEPDYVSAFAACKSLVCLSGFREINAHYLPAIVPVCANLTSLNLSYATISTEQLKSFIYHCHKLQTLWVLDSVCDEGLQAVAATCKDLHELRVFPIDPREDVEGPVSEVGLLAISEGCRKLQSILYFCQRMTNAAVVAMSKNCPDLVVFRLCIMGRHRPDHLTGEPMDEGFGAIVKNCKKLTRLAVSGLLTDKAFSYIGQYGKLVRTLSVAFAGDGDMSLKYLLEGCPNLQKLEIRDSPFGDLGLLAGLHHYYNMRFLWMSSCRVTRAGCNRIARQLPRLVVEVISSDDDGEGRDIGDYDDVEGRDVGDLVGTLYMYRSLDGPRADAPRFVNIL >CDP16498 pep chromosome:AUK_PRJEB4211_v1:10:17367481:17372566:-1 gene:GSCOC_T00018469001 transcript:CDP16498 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVVGAVAQSIIPYVLQLIKDHVALMTRNKEKIDELSSNLRLLETSMKQYTDKHYYGSIQLMQLAKEIRELMNDVEDVLETYVVEEALHGEKNWVKRKADTGYRSRLHTIGERILNLNERVDKAYKDNQGIINTLLQIDEDRRRNPIPEANQLGSYPKADRIIGFEDAADDVLILLGAKSRAVEQSVPKAQQEIESKKLQVVTIHGMLGLGKTTLANKVFKAQETEYDFFTRIFIEVKEKYEKKEMLLSILRSLKSDIRDQNMDIIGLVKKVHEELKHKYLVVLDNVWNIAHWEDLKDAFPDKNNGSRVLITTRDVRVSHCIQPKTEAYPLRFMKKEEAEELLRMKVFRKNECPKHLESVEPLILRKCNGLPLAIVLTGGILLHHPKDLKHWNNVLDKVPLLDEENVIQIDDYIRLSYRNLHHKLKPCFLYLGVFPENLEIQVSKVLQLWIAEGFIPQHQTASLERIAEQYLQELVYRNLLIVGQRTLGGEIKTCRIHDTLREFCKKTAEAEDLFQAIDTNTNPSSSRRLCCINSHFSEFVRGGQPVEKVRSFLSFGEDETRYKEDSSSSIFKPFKLLRILDISSIHIKFSGRLPTKLSNLVLLKFIDINFNLKILPKSMSILHNLETLIVHTTEPTLDIQADIWGMTKLRHLHTNTTTSLPEYQDQSSSSGNRQTLPLQTLSAISPRSLRKEVFERTKKLKKLGICGSLGTLVEPDGDSHLFDYLCKLSTIENLKLHGADINSELQALPKSNKFPTNLKRLSLEKTSLKWNIHMPIIGKLQSLEVLKLKDNAFVGAEWKTEKGGFRSLKVLFIGDTDLDNWEVEGDDLPELRCLILKRIKSLEQMPSDFEHMKNLERIDLERTNSASLTEYHAHLLLSSAPLNEFSKRSKCIVMKIRPQISWPNLC >CDP01213 pep chromosome:AUK_PRJEB4211_v1:10:4459050:4465171:1 gene:GSCOC_T00034785001 transcript:CDP01213 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVSAKTPNPKLSSEFSNVRLNPKRPPLLPSEAENGPAGQLRRPKSREVTSRYLSSSSTSSSSSISTSSSSNSGNSISSRRSASPMLSRTTTTTAMTPTPQTYLRRAQSAERRRPVTPKPSSVEMSSAAKLLLTSKRSLSVSFQGESFSMSASKAKQAPAPASASAQAVIGAGSARRGTPERRKPTPVKDRMENSSFKPNDQQRWPGRLKPGNSSFLTRSLDFGAAADKSRFNGSSNDVKSMQKSSIDANNRLKAEKRLEPATKDVELEKKAEVVANGTSALSNAATDAAPSDSESVSSGSTTGLQECGNLAQSRGGPRGIIVPARFWQETNNRLRRVPEPGSPLSKHNGSKIKNVSKLIGAKKSFNDTPVSSPRVISGYVSPLRAGPRPSSPNRSLNSSINSAFRGMPSPMRMRNGVASMLSNDVCSTPSILSFAADIRRGKVGENRIEDAHELRLLYNRQLQWRFANARAEAAQLVRTETAERSLYNAWVTVSKLRYSVKSKRMELQLLSQNLRLFTILNDQVPYLDNWDMIERDHCSALSGAIEALESSTVRLPVGGGARADIQDVKNAVYSAIDVMQGMASSICSLLPKVEQVNKWICELARLVANERNLIDQSRDLLSKLTEMEVKDCSLRAHILQLRRLHPVLTTKD >CDP11422 pep chromosome:AUK_PRJEB4211_v1:10:26047175:26050659:-1 gene:GSCOC_T00033669001 transcript:CDP11422 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHFCPQFMFLGLLLTPFFPKLAPLHFTTLRPNATAREVAHENEVVALLKWKASLDVNSQSLLSSWDSNGSNPCNTWIGIRCNKAGRVGYVKLSDFGLRGRLHDLDFSSLNHVVYLDMFNNSLYGTIPSNIGNLSKLHYLDLALNFLSGPIPPEIGLLTNVWYFSLYHNNITGIIPSFIGNLTHLYFLALPNNGLSGCIPSTIFSNLTNLQYLYLYSNQLSGPIPGEVGMVRSLRDLELADNHLTGQIPASIGNLSNLIDLILRVNQLSGLIPEEIGKLHSMYDLQLDLSSNRLTGRIPQIFDKFISLLDLRLNNNKLSGDIPSTIGNFSQCLSLVLVNISYNQLEGPLPNIAAFRKAPFDALRNNKDLCGDVAGLKACPRSGSNQVNKSSSKAKTMLIVFPTLGTMLFCVLLLFVALTRKSSKARTESGCDRNKDSFAIWSFDGKMVYKDIVKATEDFSAHYCIGVGGNASVFGAEMPNGQIVAVKKLHTQENIGLSSPEGFRNEILALTEIRHRSIVKLYGFCSHALHSFLVYEFLEGGSLLDRLSNDEKAMNLKWITRIRILTDVANALFYMHHDCSPPIIHRDISSKNVLLDTENVAHISDFGSARFLKPDSSNWTTFAGTYGYAAPELAYTMEVTEKCDVYSFGVLALEVIVGKHPSDLISILLSTPSSMPKPQSLDIMLKDVLDKRIPPPTLQEAEDVVLAAKLAIACVHPSPQFRPTMQQVSGHLSRKKSASKSLSLVTLSEPLDS >CDP07479 pep chromosome:AUK_PRJEB4211_v1:10:1884539:1889696:1 gene:GSCOC_T00024753001 transcript:CDP07479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-T1-3 [Source:Projected from Arabidopsis thaliana (AT1G27630) UniProtKB/Swiss-Prot;Acc:Q8LBC0] MAGKLPGDPSYHERARNSAINPVQEEPVCFTGKWYFTREEIEDNSPSRRDGISHKEESHSRKLYCSYLQELGMELKVPQVTIATSMLFCHRFYMRQSHAKNYWQTIATASMFLASKAEETPRWLSDLVVVAYKLANKWDPFAPQRIRQRDIYDKQKETVVLGERLLLATLAFDLNVEHPYKPLVAAVKKLDIPHKELVKVAWNFVNDWLRTTLCLQYKPHYIAAGSLFLAAKLQKVKLPTTKGNVWWLQFDVSPKQLEVVIQQMFRLLDQNQKQVLPSAVSNKTVSKSTSGKATLSSPESCISNGSIAAQDPNNRSLLDTDGASTDAHSTRYQAQPCNGVHNNAKETEGCQISDCGSANSIVEDGGDELKMGQIEQNSGSKGAAYDASYNKMDVHRIRERLKKRRLDRGTEKKSDIQADLEVGNEAWIEKELESGVEKLSASPEKRKRC >CDP00971 pep chromosome:AUK_PRJEB4211_v1:10:7107992:7109354:-1 gene:GSCOC_T00034444001 transcript:CDP00971 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELVKLIEILNPQNKLGRITIITKMGVENMRVELPHFIKAVRRAGQIVTWVSDPVHGNTIKAPCGLKTRPFDAIRVEVRAFFDVHKQEGSHPGGAHQEMTGQNVTECIGGSRTVTL >CDP07254 pep chromosome:AUK_PRJEB4211_v1:10:235761:240622:1 gene:GSCOC_T00024471001 transcript:CDP07254 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQQQQQPPPPVPGPGSGSSSGSGLQFLNSPFGDTTFTKVFVGGLAWETQSDTMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPESARRACADPTPIIDGRRANCNLASLGRPRPALPFGRLRSPTPYLGGLPANRGAYVGSFGYQQPVSYGYQQGLMYSPFGYATYSPDYVYPQGVYNPYAGQQYVHVYGLPGTVNSTMYPYSQMGQTVPGSHSYAALQGYTVPNHQLVQFGGPSVNAMTTSSIPTIQAPYPTGIASPVPPQPQFLLPAHTPQFMQGSGSDQNAG >CDP07309 pep chromosome:AUK_PRJEB4211_v1:10:667690:668339:-1 gene:GSCOC_T00024538001 transcript:CDP07309 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSILMHRYLNLEIVQLCSQTFKLYHRVACRIELTRLLCYFKRSSDVINKVTCTKYAVVACYPV >CDP11988 pep chromosome:AUK_PRJEB4211_v1:10:22975906:22977533:-1 gene:GSCOC_T00035329001 transcript:CDP11988 gene_biotype:protein_coding transcript_biotype:protein_coding MNQETVVIIVGGGPAGLATSACLNVRGIPNIVLEREDCSASLWKKRTYGRLKLHLAKQFCELPHMSFPPDAPTFVPKDGFIQYLDDYTSHFNINPIYCRLVESASFDVTDEKWLVLAKNALSGAIENYVAKFLVVATGENSQGLIPEIPGLDSFGGVAMHSSHYGNGQNFCDKDVLVVGSGNSGMEIAYDLANWDAKTSIVIRSPVHVLTKEMVRFGMKLLKFIPCDLVDSTVVMLSKFLHGNLAKYGLERPDKGPFLLKNMTGQSPVIDVGTLKMIKSGKIQVLPAVKRVDGDYVEFSNGIKKNFDAIVFATGYKSTVRTWLKDGTSLFNEDGMPQKSRPNHWKGDDGLYCAGFSSSGLFGISKDAMNIAEDINFMPGKEKN >CDP01181 pep chromosome:AUK_PRJEB4211_v1:10:4878202:4879137:-1 gene:GSCOC_T00034733001 transcript:CDP01181 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSAPLAEAYVMKKKYEEKLKRMEKVEAGREDQKGHEKSSCAAQKTKNSSRGFVSRMFKKVHPSSAVLPSSESAVQS >CDP18266 pep chromosome:AUK_PRJEB4211_v1:10:24609353:24611881:-1 gene:GSCOC_T00011991001 transcript:CDP18266 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYRIYHLYTYRITYKVEYFATYIDSGSRTCLCKSTLKDCGDHSFRCNFFFPFNGRYAVVTAGANKGIGFEICRQLASQGIIVLLTARDKKRGVDAVELKESNDFSDQDLLFHQLDVEDSSSVASLAEFVKTQFGRLDILVNNAGIGGSLVDTDALKAATAAAGGVSINQVRFLMARRTHINWKAISTHTYELTLECVETNYCGTRRMVEALLPLLQLSQSPRIVNVSSTMGKLKDVKAGSQEAKGWPIAYSVSKAAIIAYTRILAKKLANFKVNCVCPGSTSTDLNYRTGKQTTAESAESPVMLALLPDDGPSGLFFLHK >CDP01019 pep chromosome:AUK_PRJEB4211_v1:10:6583963:6590671:1 gene:GSCOC_T00034504001 transcript:CDP01019 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDGMTQTPSRFELLSMVRKHSKFLAKPSADEDDASDVEMDTHFWHDVLNVYFVSGKESRGRQEDDMLFFVRKGSDGNGSSESGEDNSPFFVRRWAPKLDELVGDSLLTVDWRRSFYLNLIAHSSFTVTVAICSHQVLRNYQSVQGRPLAPIYKVVKTVYASPSRINFHLDSRKAVETTAAYPDICFAVDDFDSTFDAVVLTDVDHCYCVILNANDGAAFPYENLQQDNSSRDNFSLKSGTTAVKTKSSKTTLFSGFVSYQMVRDAYDAGRTGFGSLLSLAHSPGKADRLYMKGPGGRGEVEVAVSGVVDQGKEEWDHHSSKGLSIGKIVRRAASVASVAAKHAYAAASSTRSSYEEMLPLRCCLMSISLPWEHIAHDLLFKGSPPVNL >CDP01048 pep chromosome:AUK_PRJEB4211_v1:10:6175999:6177742:1 gene:GSCOC_T00034543001 transcript:CDP01048 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIVLTAFLCILGSALASVEEAEALLKWKASFQNPDNPLLSSWNLPPNAANSSHSRKEIASPCVWYGVSCIHGSVNWLNLTNSSINGTLYNFPFSSLPNLEYVDLTLNDLSGSIPPQIGNLSKLLYLDLQRNLFSNTIPREIGRLKNLQTLHLNANELNGSIPEEIGQLRSLSDLALATNDLDGPIPASLGNLKNLTYLYFYDNLLSGPIPPELGLLYNLVELYLYRNQLPGPIPPSFGNLNKLEVLHLYSNKLTGLIPPEIGNLTSLHSLSLFNNSISGSIPPSLGNLASLTLLHLYGNQLSGSIPKELGNLKLLEDLEFADNLLSGSVPATLGNLSNLQYLYLHRNHLSGPIPQELGNLTKLLHLIMGENQFSGHMPDQPLKLDLSYLHFDSVKVYDVQNYIFGLKKKTFFNNKKKNWDIVCLLFLIRVVVIKYLN >CDP10677 pep chromosome:AUK_PRJEB4211_v1:10:10665858:10667438:-1 gene:GSCOC_T00031465001 transcript:CDP10677 gene_biotype:protein_coding transcript_biotype:protein_coding MENTLVLYPAPGIGHMISMLELAKLILRHYSNKFSRIHILITTGFPDFSSNLSPPAIAFKFIRKNAPNVHHALQEISKTSSIRAMIIDFFCTSAMPYSNNLGTPVYYFFTSGAAALALYLYFPTIHKQTSESFKDLVQTKFDVPGLPPIPATHMPEPVLDRVDPASDDILYFSVHLPKSSGIIVNTFDELEPIALKAITDGLCVPDAPTPPLYNIGPLIAEADSRPAEDGDEGIDLDQSDCFSWLDRQPNKCVVFLCFGSRGTFSVEQITEIAKGLERSGKRFLWVVKKPQGDNKSTQVNGSGGFEIDSILPEGFLEKTKGIGLVVKSWIPQMQVLRHPAVGGFATHCGWNSILEAVVAGVPMVAWPLYAEQHVNMAALVQDMKMAIPVEQGNDGIASAEEVEKRIRELMDSERGQELRELSKMIRDIAVESGQHLGSSSIALSNLIRVLFGN >CDP07600 pep chromosome:AUK_PRJEB4211_v1:10:2748006:2749760:1 gene:GSCOC_T00024905001 transcript:CDP07600 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSSCFILSLLLFSPIVSSLSSALSDEEASVIAEGQFSTLPENGHLSDDFEFKVDVKVECPNPRLRRAYIALQAWKNSIHSDPSNFTGNWEGPDVCSYNGVFCATALDDPNTTVVAGVDLNHADIAGYLPVELGLLKDAALLHLNSNRFCGIVPKSLSKLALLHELDISNNRFVGPFPKSVLSMPRLKYLDIRFNNFEGELPPRLFDKDLDALFLNNNWFNSYIPENLGKSPVSVVVFANNKFKGCIPSSVGNMTNTLDEIIFLKNELSGCLPTEIGQLRNTTVVDVSFNSFSGILPRTFSGLERVEFLDLSHNMLTGFVSDAVCQLPSLSNFSFAYNYFNGEGKACVPNSRKDIAVDDTSNCLPDRPKQKSQKECNPVVSKPPPKPQPKPSPNPPKSPQPKPNPPPVVKPQPPKSAPHPPPVAKPQPPKLSPHPPPVAKPQPPNTPPVTMPSPVVHSPPPPVVVHSPPPPIYSPPPPVLHSPPLVVHSPPPVVHSLPPPTYFPPQPVVHSPPPPPRDYSPPLPVSSPPPPPPPYQDVVLPPTIGAVYASPPPPIFPGY >CDP00891 pep chromosome:AUK_PRJEB4211_v1:10:8341102:8342223:1 gene:GSCOC_T00034331001 transcript:CDP00891 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGGNCGKMYPDVEKVTTVTIIEGVAPKNTYSDESAENSSGAEGGHGCKCGANCKCDPCTC >CDP07354 pep chromosome:AUK_PRJEB4211_v1:10:943586:945974:1 gene:GSCOC_T00024594001 transcript:CDP07354 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEDIVIVGAGISGLAASLGLLRYGLHSLVLESSESLRSTGFALTLWTNAWRSLDALGVGDHLRQCSLPFRGFQTADVNTGLPTEELILQESTYGNYEARCVRRKDLLETLAKELPEGTIRYSSKVVSIEKSGHLKLVHLADGCVIRAKVLIGCDGVNSVVAKCLGFKKPIGVGRSAIRGYVEFPAAHGFKPQLYMYFGGGVRFGFAPCDDKSIYWFCTFKPSTATGYENMSDNPVLLKEFVLSKTANVRKEVSGFVERTQLESISCAELKMRLPWDILIRDFAKSSICLVGDALHPMTPDLGQGGSSALEDCSILARCIGESFPRMMSRKFEEKRDGVDVKIAAFNKGLENYAKERRWRSFSLISTAYMVGLIQESENKLVRFFRDRFFSKYTGATVLRMADFDCGKLNIP >CDP15814 pep chromosome:AUK_PRJEB4211_v1:10:16396566:16397728:1 gene:GSCOC_T00016673001 transcript:CDP15814 gene_biotype:protein_coding transcript_biotype:protein_coding MTILKKYFDLQPKLMLVGVVKSKRSLWRLRTITDFFWAIVNFIGVFFTTMFSMEKTDAYRKGSGGSKKWDGGGPGGPGSGPYGRGPRGPPRGLDNVRGIDHSSLPACGSCCG >CDP17249 pep chromosome:AUK_PRJEB4211_v1:10:18334641:18336382:1 gene:GSCOC_T00013662001 transcript:CDP17249 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLNFLLPLFSIFIALALAIVFYNYKSSRDKKLLPPGELGLPWIGETLDFLKAQKNNKLYQEFVQPRIKKYGKTFKTSLMGSPTVVVNGAEANKFFLSNEFKLVVSSWPTSAVQLMGSDSIMGKQGDAHRCIRGIIATSLSCSGLEATVPKMCNTVQLHLDENWQGKNIISLYRFTKTLTFDIVLKCMLGIEVEQKMLGIFERVLEGVFSPPVKFPGSAFSRAKNARVEVEKMLLNIVRKKREEIEFQQGGEEGGMLLFRLVKAMIQGEMSEKEVVDNMILLVFAAHDTTSFAITMTFRMLAHHPKCYSQLLQGLSFNFIKTIADIEFDGYTIPKGWKVLWTAYGTHYESEYFEDPLTFNPSRFEKPVQPYVYSPFGGGPRQCAGSQLAKLNILILIHFVVTQYEWSLVNPEEPIAVDPLPFPSHGMPIRISPKLS >CDP17697 pep chromosome:AUK_PRJEB4211_v1:10:19465393:19474791:1 gene:GSCOC_T00001429001 transcript:CDP17697 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTATTTTASSHPPIQVKDHIELTPKEKEIFDRLLQVIRHFDLETELRVAGGWVRDKLLGKDSDDIDIAIDTMSGREFCEKINEYLSYTGEETPGIGLIQCNPDQSKHLETARMRLFDVWIDFVNLRSEDYSESSRIPVMRFGTAKEDAYRRDLTINSMFYNINKDSVEDLTERGISDLKSGKIVTPLPPKQTFLDDPLRVLRAIRFGLPIEFDAARTQILSSPEIVSLHDTFTRVLRTEGSLFTFNVTSVLVSRNGVGRGNNGRNRAKMGLVGLLVMDNITQINESVVTVRNQAIP >CDP11927 pep chromosome:AUK_PRJEB4211_v1:10:24325248:24326048:1 gene:GSCOC_T00035235001 transcript:CDP11927 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVSPPSAQLFLLLSLILWPSISAKSGHFVLVHGACHGAWCWYKLVSLLQDAGHKVTALDLAASGIDTKQVSDLHTIDDYHEPLYSYLKALSPDDKVILVGHSMGGFAVSSAMERFPEKIAFAVFVAAQMLGPELSIYKLLEHYSTTDGNPLDHLKTLYQHSPRQDLALASLLVREGKAFNDDESRRQLLVTKEKFGSIARAYFVAGDDKIIPVDLQRWMVQNNPPDLVRVIDGADHMVMISMPEQLYNYLLEIAVQLKNYASI >CDP11910 pep chromosome:AUK_PRJEB4211_v1:10:24478583:24481780:1 gene:GSCOC_T00035216001 transcript:CDP11910 gene_biotype:protein_coding transcript_biotype:protein_coding MHYIYFSAHFPKTMDRKEADHHDHVALLDFKSKIQHDPYGIMNSWNDSHHFCSWEGVECGRRHRRVTSIDLQGRALVGFLSPFLGNLSFLRTLMLRNNTFQGGIPPQFGNLFRLRELNLSWDSLEGEIPGNLSRCSKLVHLDLSYNNLVGRIPPEFGSLRNLESLVIQNNSLTGAIPPSIGNLTSLSLISAAANHLEGKIPEVLGQLKTLKGIGFGGNRLNGDIPVSVYNLSQLEVLSLPSNQLHGTLPSALGLMLPRLEYLQLRENQFWGVLPASLSNASELGWIDIGHNGFSGRIAFLSTMTNCSQLVAISLQDNQIKGVLPNSIGNLSSRFLDYMSLGGNQIYGGIPSTLGNLIGLETLYLESNQLTGTVPSTIGYLQKLQRLALISNKLSGEIPESVGNLSLLNELYLDDNNLEGSIPPALGNCKQLLLLGLSQNNLSGTIPKEIFGISSLSISLNLSQNSLSGTIPSEVGTLKNLAGLDLSENHLSGEIPGTFGGCSSLEILSLAGNSFQGSFPEFISSLRSVQILDLSSNNFSGQIPQYMASISIKTLNLSFNDFSGEVPRQGIFGNGSAVSVVGNRRLCGGIPELQLPKCHPLGESKKNMKPLRFIIPVVITSSFLVIVVISIPIFRLRSFKRRRTQPKLPNFLGRLFLRVSYTQLVQATNGFSAENLIGAGSSGFVYKGVLTEGGNLSVAIKVFNLQHHGAFKSFIAECDAMRNIRHRNLVKIISSSSGLDFQGNDFKALIYEFMPNGSLETWLHREDEHQQHIFPIPNLLQRINVAIDVACAVDYLHHHCHKQIVHCDLKPSNILLDSDLTAHVGDLGLAKYVHSAPNLQETSSAGIRGTIGYVAPEYGLGAEVSSNGDVYSFGILLLEMITGKKPTHPLFTGGLDLHTYVEMAIPERVMDIVDPQCLISLLKVGLACSMHLPEDRMNMTQVVNALKSIKDTFTMAEL >CDP07248 pep chromosome:AUK_PRJEB4211_v1:10:182835:185857:1 gene:GSCOC_T00024459001 transcript:CDP07248 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Endoplasmic reticulum stress respons [Source: Projected from Oryza sativa (Os06g0622700)] MYPEEEGEEDRLTASLGFLSDIILDSPLPSDPSSPDNPSPESDFPYSNHNSNSDSDAKDVQQPPNLIGHQELLTPAAIPHPHQEEKGRSEAGQLNNNGDDVDPISKKRKRQLSNRDAAVRSRERKKMYIKDLEIKSRYYEAECRRLGMLLQCYLAENHALRLSLNTTTTTQAFDASTTKQESAVLILESLLLGSLLWFLGIVALLTLPRQLPNLGAAGAKKVGCKTPRSLAPREAGTKVHGTLGFQSFMMSKRCRGSRRKMRCSFKLGSAASFRGILSVTPCQFLAFC >CDP10651 pep chromosome:AUK_PRJEB4211_v1:10:11356823:11362778:-1 gene:GSCOC_T00031432001 transcript:CDP10651 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAAKRRRKGAPKGATEATQAHNNDSASCTLKALLSDINSPQGMTIAVLKRLYFLLNHLCQNQQCRSSNGLKSSILSVEISKVRTEDIKRLSDVLFKELSQRFDQFFSALPNLSNNDAGDGNSASVMAEAAKIVNWLLRCCLVLLILLQDQQSLLLEKAHVLLQVRRSLCSLNLNVKNERKGFRFEKSVSRACEYGENDCTTSTEDFMASLHFLEPSDSRLPLLSAMLEVILDEVLVHEQLGPLFEITDRFATATGMLHMSHSGQGDNDNGPLIEIICAHFSIAFLEKNAVEDFLDRLFWTYTERFSCSSRPKELSTSAAIMLLLNPILLFAPKVMQAHILALVSEATVNVVDFKDWKPNFMVINCSLTVFEKSVALYVKYISSSRFYSNLASSKGSEKSNVDMNTIQQSFESFVFPNMTEKINHLITKSDGFPESHFGNMSCKMKSDLVSCSIKYVKECQHVFDMSCQDEILSILSCIILRASDGFCNPVLNPIGVGDLEDFCVLSSILKLMSNALLQVIGCLRHCGDSNCTKLLKDFSSSKEYEYISSIISCFTEFSIHLPIQQILCKLMEAKCTWHSSSKMMFLHFSGLLSLAFSTGLDFLVKGCLLTIMSLMNLFAFEEGILDVLYSALCSSSESFQSDLQQVNIQEAVLNQSSSLVVASKFQKIRTLYSSTPSPANHLTGSGDGYCDTGRNGLVLGTMVGDVGTEEETEESRNGELYLRCVIKNSQMVSDFDELADFIECKNGKDYSSWLKDREQYRKWKGEKMAVLRWKRKKKTWRVMKSKRI >CDP10961 pep chromosome:AUK_PRJEB4211_v1:10:21908749:21910479:-1 gene:GSCOC_T00031946001 transcript:CDP10961 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLELQTQANIGGSKRVVATHESSPSINESKHFDLDHDPQEEKPGWRKFLFFIGPGFLVSMAYVDPGNLETDLQAGANHGYEASKDLLWIIFIGLIFALITQSLAANLGVTTGKHLSELCKAEYPKYVTYSLWLLAEVAVIAADIPEGILKFFLHLFNHFCVTFIGTAFALNILFHIPLWVGVLCTGMSTLLLLGLQKYGVSQLILSIHVVSFF >CDP07611 pep chromosome:AUK_PRJEB4211_v1:10:2851315:2854799:-1 gene:GSCOC_T00024919001 transcript:CDP07611 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEKTISRFVHGPMQAHVLADVHHVRFDMAERFDRIEGSTRRLEQRLGGLKIGIVDESGGWIGEAVKRVEEEEEMCGGDGGFMSLGAGMELGKRKVKEMLMGREDLSVVGILGIGGSGKTTLAREICRDDQVRSHFSNRVFFLTVSQSPNVEQLRAKIWEMISGNTIDGFSPMIPQWRLQYDIKPAAKTLLVLDDVWSLSVLEQLKFRIPGCKILVVSRFKFQQVTDCTYELELLREDEAMSLFCHFAFGQNSIPLGINKNLVKQVVDECKGLPLALKVIGASLRDQPELFWTSAKNRLSRSQPICESHEVQLLERMKLSIDYLPDKVRQCFLDLGSFPEDKKIPLDIVINMWVELHDIDEEEAFAILVELSNKNLLTLVKDARSGDMYSTYYEICVSQHDVLRDLAIYLSSREDINRRRRLLMPRREEGLPKEWERNLDEPFNAQIVSVYTGRMGGMDWFQMDFPKAEVLILNFSSDEYFLPPFIDNMPKLRALILINYGTSSAVLHNVSVFSNLSNLRSLWLEKVSVPRLPDRMIPLENLRKMSIILSDINNNLDQSVVDLPHLFPRLSEFTMDHCINFSELPPSICRMQSLRSLSITNCDTLERLPKDLGKLSSLQILRIYACPKLRSLPSGIGHLIWLKYLDISQCVNLRCLPEEIGGCRSLEKIDMRECPQIRNVPMSVAALQSLQRVICDEEVSWLWKDLEKIGSNLCVQVAEECFNLDWLAE >CDP07378 pep chromosome:AUK_PRJEB4211_v1:10:1093043:1093743:1 gene:GSCOC_T00024619001 transcript:CDP07378 gene_biotype:protein_coding transcript_biotype:protein_coding MSELSQPSDAAAVLAIVDFRLTGYPLTSVMNLFKIAMMCVEDESCARPTMREIVHMLGNRPPQSAAPPPTLL >CDP16842 pep chromosome:AUK_PRJEB4211_v1:10:14343824:14344971:-1 gene:GSCOC_T00019385001 transcript:CDP16842 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGVSKAGRTEFTECWSTIWKTPYIMRLALSAGIGGLLFGYDIGNPESHPTHPPRVISGALLYIRDDFKAVDGKTWLQGKVDEAKSILQRIYPTEEVEEEMQALKSSVDEEMAQQGFVGEGSLLSKVRQTLSYLVFWRGLYARITVQVAQQFVGINTVMYYSPSIK >CDP10671 pep chromosome:AUK_PRJEB4211_v1:10:10766507:10772677:1 gene:GSCOC_T00031457001 transcript:CDP10671 gene_biotype:protein_coding transcript_biotype:protein_coding MAYADITALLENLEFLLQSDPHPILHYKEQVESLHDKVNLLRGFLEESEKRYDRGSMKHLELEIRDVFYKARKVIDSKLLNVYAAKSAKSWKKARRILRRSLRKLTEKVDFILKKLNKISQKRKNAASADLQAGEPVLGGLSRRVYMENHVVGLNNDLQIVKDRLTQSPLRLETIPIVGMGGVGKTTLARRLYEDPSIVLHFHVRLWVTVSQDFQIRILLQDLCQLGNDNEMNNADLAEHLYKSLKGRRYLIVLDDIWCTEAWDAVKSIFPDDNNGSRIILTSRLKEVAVHANRKKPLHIKLLDPEVGKEIAAKCQGLPLAIVVVAGYLLKIDRTRDCWDNFADSVASYVTGDPQQCLDIIALSYNQLPPPLKACFLYFGAFPEDREIPVSRLIYLWVAEGFLKQVTGKSLEEIAEECLMDLIDRNLILVRRVSYGRIKTCIIHDILRDLCLREAEKENFMHVVNHNSHVFHEGKTIAQRLSFHMDCSFPILSTTHIDSLPSTISHLWNLQTLVLNIYSGCTTLPWKLWTIQQLRHLHFNFCSFLPNPAGAEINGQGDLVLRNLQTLSKLSFSSCTMQVIASLPNLKKLGLYETAEAHSNCWSYISNVAQLHQLEILKLNFINLFAEERRWVPCVDEFPPNLKKLTLSSSYLPWKDMNVLSMLPNLEVLKLKNNAFVGSDWEQYEEGFSRLKYLLIDKTDLVHWRATSTQFPSLKHLRLFRCRCLREVPLDFAEIPYLQIIDVYGSYDAVRSVMQIKQEQESVGNDDLLVRFDSAV >CDP07429 pep chromosome:AUK_PRJEB4211_v1:10:1491364:1492706:1 gene:GSCOC_T00024694001 transcript:CDP07429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3.3 [Source:Projected from Arabidopsis thaliana (AT5G10980) UniProtKB/Swiss-Prot;Acc:P59169] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CDP07486 pep chromosome:AUK_PRJEB4211_v1:10:1947559:1951379:1 gene:GSCOC_T00024760001 transcript:CDP07486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate O-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G19640) UniProtKB/Swiss-Prot;Acc:Q9AR07] MDTLQILRMNGGEGETSYAKNSVVQRKIMSYESPSIEEAVTDILCKNFSETMGMADLGCSSGPNTLTLVSEVIDMVNSKSQKMGFSLPEIRVSLNDLPGNDFNDIFVSLPTFYQKQEEEKGKGFRNNCFISCVAGSFYGRLFPKKSLHLVHSSSSLHWLSRAPPRLAVDAAVPLNKGKIYISKTSPSSVSKAYLSQFREDLSLFLKSRSEEMVPGGRMVLSFLGRTSADPATEHGGYQWELLAKALTSMVSEGRVLEEKIDSFNAPYYAPSLEEVRNEVEEEGSFVINSLKAFEVEWDAGFQTDSACEIQENKGKISSRGGRVAKTIRAVVESMLESQFGRDIIDDLFVKYAELVDDYFSRTTPIYINLVLSVTRKGP >CDP10975 pep chromosome:AUK_PRJEB4211_v1:10:22120596:22129575:-1 gene:GSCOC_T00031969001 transcript:CDP10975 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGVLVESIGFKSQRNSAPMAHLKSKPKTNLSVNDGFPDISSANASRSSFYDNNDYFVDDLDGIFQSNRNNYSSSKKSQNFFDGGDDIFDGGSFLNSKPQKGIIDFDSVFDLKNSNSKSSSNFHGDDLLTSGPRKGDALDDFFGNLKKGGEEKGSQYDDLIPGFEGTSPSRSNDPGNTRMASKPKVSQPSSGYSSKSSSAADDPFLVFESSRSEENTSSWPFSDLLEQTAGKSSVESSLDEFEKFARGMPPGDAHDKPSGKAVRNIKISLKKKEDKARDNASGRDVNTDAKVVHEKRRGKEKDRADKDKVTNGKKESVGINIFGVDTLSNVNGPRSQLKDPDLDALFNEKREPEVKETSIQGSSRTSKDFDGLSSLFEGPEFQEIEGETVERRSRRLNQHIKIQERMFKALEEKTQRDLEAQYEQEERHRLADCLDNDIKRWSAGKENNLRALLSSLQDVLWPESGWQPVSLADLITSVSVIKVYKKASMCFHPDKVQQRGCNLQQKYIAEKVFDVLKEARSNFGEL >CDP12434 pep chromosome:AUK_PRJEB4211_v1:10:12650326:12651022:1 gene:GSCOC_T00035977001 transcript:CDP12434 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYLSVAWFVKFGDCIWLSKSLYNHKDVFLQEFVSNASDALDKLRFLSMIEFGLLRDASDLEIHNKPDPNNGAITIRESGIGMTKNELTDYLETIV >CDP11451 pep chromosome:AUK_PRJEB4211_v1:10:26330014:26334503:1 gene:GSCOC_T00033712001 transcript:CDP11451 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEVYRVTWPTKSKDNTRTVLVRVYGEGVELFFNRDEEIRTFECMSIHGHGPRLLGQFSDGRVEEFIYAKTLSAADLRDPEISALIAAKLKEFHKLDMPGSKNVVLWGRMRNWLRKAKSLCNLEHVKEFWLEKLEKEISILEKELSQDNQEIAFCHNDLQYGNIMIDEETRSITFIDYEYSSYNPIAYDIANHFCEMVADYHTDTPHILDYNKYPGLEERQKFVREYLSSAGHEPTDAEVKQLTINVEKYTLANHLFWGLWGIISAYVNNIDFDYMEYARQRLQQYWLKKPKILDVSVDSLYETNDSAAELKISFPLL >CDP07541 pep chromosome:AUK_PRJEB4211_v1:10:2329855:2333406:1 gene:GSCOC_T00024832001 transcript:CDP07541 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPSASEEASVSSAGNPMIAAQVGPVKKKRNLPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRNGKEVKKRVYVCPEATCIHHDPSRALGDLTGIKKHFCRKHGEKKWKCERCSKKYAVISDWKAHTKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARSQTQTLAITNEEGTLKVQAQTTTTRTSSSSPPPPPLTPSTGVLSPVLSIHSSEMPENLIGRSQPQPGTPQLSATSTTSAAAATAGNSSDANVSSTTGVFASILGASGSAGLSRSSQSPSSFSGLLCAMGGPGRSAVEPISLSLSSSLYLSNHPSTLFPSTPQDHHPRHYSTNPQPALSATALLQKAAQIGAASSGSSFLRGLGLAMPSSSDLQDNCASPSPATAPATASASASTANWMMSHVKPENGSVAPGQLGLGLASDHAASGLTDLMMGPPTIFGGKPTTLDFLGLGMAPGAPSSDGISAYLSSMRGGGLDVAAVAATSTFGGINLGGSGWDDSSDRKPTLL >CDP18274 pep chromosome:AUK_PRJEB4211_v1:10:24696427:24700630:1 gene:GSCOC_T00012000001 transcript:CDP18274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MITOFERRINLIKE 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42130) UniProtKB/Swiss-Prot;Acc:Q9FHX2] MEISTSKPLGFLSSNSQTSLTFQDFNTLFNNLNTSLLSTSTSSLRRLTNTPCKAEKIKNGQNFSPKRILQFSSTSISIQPEIKNPENPTYRIWLKPASRGSPQVYALFKNLSVLEKALMGAAGGGIAGAFTYVCLHPLDTIKTKLQTKGASEIYSGTVDAIVKTFKTRGILGFYSGVSAVIVGSTASSAVYFGTCEFGKSVLSEIPQYPAVLIPPTAGAMGNIVSSAIMVPKELITQRMQAGAKGRSWEVLLKILKKDGILGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLNSTHQVHLEPFQSVCCGALAGAISASLTTPLDVVKTRLMTQVHGEAVNKVAAVMYSGVSATVKQILKEEGWIGFTRGMGPRVVHSACFSAIGYFAFETARLTILHQYLKQKELQNMALDSSSTVNQSE >CDP07331 pep chromosome:AUK_PRJEB4211_v1:10:812450:815629:1 gene:GSCOC_T00024564001 transcript:CDP07331 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 9 [Source:Projected from Arabidopsis thaliana (AT1G76110) UniProtKB/Swiss-Prot;Acc:Q9SGS2] MRPNPVIPAAENGVELESKRYPSPLASHEEVVKNASVFWNTLRIFHKTMGRKHMIPVIGGKELNLHVLYAEVTKRGGFDKVVSQKKWREVSCVFEFAPTTTSASYALRKHYYSLLFHFEQVYFSRLKAPMLDSPVSVIRRTSRVVLDINYMFKPEINVSVFLPFNCLGPLSFQAIGTIDGKFDCGYLVSVKLGTEVLNGVLYHPDNGGPSSSSTVKTCTAIVPYASQPHHSGRRKRKRRGDPGRPKPNRSGYNFFFAEKHAMLKSLHPNREREFTKMIGESWNNLTSEERTVYQDYGLQDKERYKREMKEYKERINITSSH >CDP00993 pep chromosome:AUK_PRJEB4211_v1:10:6848272:6848463:-1 gene:GSCOC_T00034475001 transcript:CDP00993 gene_biotype:protein_coding transcript_biotype:protein_coding MCYEDKCSSCGKTTWVGCGKHVASVYARIPQGKHCQCKDWPGVKDKGGSENSSSSSSSHCTIL >CDP11453 pep chromosome:AUK_PRJEB4211_v1:10:26339755:26349922:-1 gene:GSCOC_T00033715001 transcript:CDP11453 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHHLELLKSENIRIFFFLQNLPTFFKFFIQFFKMRQSSTRRQQQRGWAEILCFGCTCLQLFWGRVVMRKWLNIPTKESDYSADTESDSGSDVSDEEFCEWPRRESRFKDDRGGQVQLDANDALPTLRRRKSETFRAQYINKKEIKICAGTWNVAGRVPPDDLDLDVWLDLNEPADIYVIGFQEIIPLNAGNIFGAEDSRPVSKWEDIIRQSLSKIQAKTKFKCFSDPPTPSRFKPAEDAPDIEDEIILESDSDGEEEIYPINDETSGFGGHMVGPVNEENEAMNADVSFCGHKNNVSAIDQDLERQFSSPKKLDRLKCFRTEDSEETEEPNKQYNRKLLRTLSGTEKIGFCWPEAPLDLLSQHLLERPNSFKSMKSFKASKSYRKYSSFKSGMNIQNRKQSNAALLAELDLESLIKRKRRPAFVRIVSKQMVGVFLSIWVRRCLRKHIHNISVSTVGVGAMGYIGNKGSISVSMSVYQTLFCFVCSHLTSGEKETDLVKRNADVYEIHRRTHFNSHSAMGLPQKIYDHERIIWLGDLNYRINLSYETTRQLISKKNWSNLRESDQLIKELRKGRAFDGWSEGILTFAPTYKYEINSEKYIGNDPKGRRTPAWCDRVLSFGKGMRLISYRRNEIKFSDHRPVTASYMVEVEVFSPKKLQRALTFTDAEIEEEPIISDRSVDRGMSRLMLGEDISYWGR >CDP07562 pep chromosome:AUK_PRJEB4211_v1:10:2449501:2452869:-1 gene:GSCOC_T00024855001 transcript:CDP07562 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPTTHERLSRFLKSGIYRFENSTAIFIDPVRVLNRFYTRFRVSPTAYYSRFFDDDDHNGNSKEETPEAPPDSRKRKRKKEKKPRPLNETELIAQRRHQEVRPLLLKAHETLLGATELLAALKGLRSDGHFTDEECRGSALKREANELNFVELGRVWQSPLYEISLNFDQDQNFTQHGGDQISVPVFNNFVVNNGDNDVEAELLNRNYIIPRKSCFYMSDLKEIHNLVPVECESGFNLILIDPPWENSSAHQKLKYRTLPNRYFLSLPIEQLTHTSGALVALWVTNREKLRRFVENELFPSWGVKYAATFYWLKVKADGQLISQLDLFHHRPYECLVLGYSSQKDVDVVELSGHVPIPDNQVFISVPGDYSRKPPIGDLLLEYVPGSKDCHRLELFARELGAGWNCWGNEPLHFQDTKYILKRRRDR >CDP10959 pep chromosome:AUK_PRJEB4211_v1:10:21876722:21882150:-1 gene:GSCOC_T00031944001 transcript:CDP10959 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIVLPKILSYFNALLSRNSREQCNDGEEPFLKSADEDAFSTAGIWSKLGFLWLNPLFKKGHLERLEFQHVPTISQSEAAAEAFSSLEESLGKQNPNKTSLWKAILHTLWRPLALNAIFAGANTISSYIGPLLITTFVKFLSEKDDASENWHQGLVIPLIFFSAKTVESMSQRQWYFGAHRIVIRLRAALMVLIYKKSLSVKYVGMSTGKIINLIDGDVERVGDLCWHIHEIWLLPVQVTLALVILYWNLGAVPSFAALLTTILVMLTNTPLAKVQKQFHTKIMEAKDSRIRATSETLKSMRVLKLQSWESTFLERLLQLRGIERSWVKKYLYTCSAVAFLFWASPTLISVVTFGDDENLPIGDPAPNSSGVAVKIEAAEYAWGTDDAKKATITITDKIRIMKGHTVVICGSVGSGKSSLLFSILGEIPRISGASVKTCGTKAFVPQSAWIQTGTIQDNVLFGKEMDRDFYEEVVRACALNKDFEIWLDGDLSRVGERGINLSGGQKQRIQLARAIYSDSDIECIEKLLATKTVIYVTHQLEFLDAADLVLVVKDGKIVQSGNYHDLIADPDSELNRQIAAHTKTLDEVTTVQQFNRSPKDNHQDNQNEITGKKFKDFNMNSRLLEKNQQEEAKYGRVKWHVYSTFITSGYKGMLVPIILLCHILFYGLQIASNYWIAWATEEEGRVSSVRLIGIFALLSAGSSLFILGRAILLSTAAIETSQRLFLGMITSIFRAPLSFFDSTPSSRILSRSSTDQSTVDTDLPYRVAGLAFAVIQLLSVIVLMSHVAWQVIFPFLIILAISIWYQDYYISTARELARMVGIQKAPILHHLSESVAGAATIRCFNQEDRFLKKNLDLIDNYSRVAFYNSATMEWLSIRINFLFNLVFFLLLLILVNLPRSAIDPSLAGLAATYGLSLNVLQAWVIWNLCNVENKMICVERILQFTNIPSEAPLVIEDCRPQPAWPLHGKIEIENLHVQYSPSLPKVLKGINCTFPAEKKIGIVGRTGSGKSTLIQALFRVVEPSDGRIMIDGLDICKIGLQDLRSKLSIIPQDPTLFQGTIRSNVDPLQQYPDHDIWEVLRKCHLAEIVMLDQRLLDAPVAESGENWSVGQRQLVCLARALLQKRRVLVLDEATSSVDTRTDNVIQKTIREETSQCTVLTVAHRIPTVIDNDIVLVLGEGKIVEYDTPARLLDNKYSAFSELVTEFLRRSS >CDP01143 pep chromosome:AUK_PRJEB4211_v1:10:5166388:5170581:1 gene:GSCOC_T00034675001 transcript:CDP01143 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEAYVSDESMGTFAPIVVYWLYAGFYQLLPPLDKYRLHTRKEEDEKNLVPLGSVIKGVLLQQLVQAVVAELLFRLTSNMNSSGIIVQPSIFIQIVQIIIAMLVMDTWQYFVHRYMHQNLFLYRHIHSQHHRLVVPYAIGALYNHPLEGLLLDTFGGAISFLISGMTARTAVIFFCFAVVKTVDDHCGLWLPGNIFHLLFQNNTAYHDIHHQLQGTKFNYSQPFFPIWDKLLGTYVPYRLVKRPEGGFEARLIKD >CDP07299 pep chromosome:AUK_PRJEB4211_v1:10:598294:605555:1 gene:GSCOC_T00024526001 transcript:CDP07299 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRVQVNKQHKTRFASKSSRNAHKVPLQDKHKIAKSHANVGKGARAARLQRSKMLRDQKRAELLKEKRFSSGSGSPPRIVVLFGLSSSVDLNSLEEDLLGLLSPQRNAIGSPAVASSEYKLRATILKAPYGDLSACMEMAKVADLIAFVASASFLSEDDSSNLYIDSFGSQCLSVFRAVGLPSTVVLIRDLPTALKRKHDLRKACTSSLVSEFPEDCKFYPADTKDELHKFMWLFKEQRLTVPHWRNQRPYIVAQKVDLVEDNCTPGNCTLLLTGYLRARSLSINQLVHVSGAGDFQLSKIELLKDPCPLSVKKGGDSMHSDDNHTQVIRCLMPDPMEQEPLLVENIPDPLAGEQTWPTEAEMAEADRNHKDKKPKKRILPPGTSEYQAAWIVDNSDIDYTDSDDNEDDAMVLEERGSDFLDQGNENGFEPDDDESSLPGEYDEQTETDSIMMDGENLTKEQIEDEIRKIKAAHAEDEEFPDEVDTPFDTPARKRFAKYRGVKSFRTSSWDPKESLPAEFARIFAFDNFSRTQKHVLAKAREMEQGNDDEIIPAGSYTRLHIKEVPNVVASKLSNLTKTMPVISCGLLQHESKISVLHFSIKKHDTYTAPLKAKEELTFHVGFRQFVAKPLYSSDNINSDKHKMERFLHAGRFSIASIYAPISFPPLPLIALKNDGEAASPVVAAVGSLRSIDPDRILLKKIILTGYPQRVSKLKATVRYMFHNPEDVRWFKPVEVWTKCGRRGRIKEPVGTHGAMKCVFNGVLQQHDTVCMSLYKRAYPKWPQHWFPLLGA >CDP10683 pep chromosome:AUK_PRJEB4211_v1:10:10582866:10583966:-1 gene:GSCOC_T00031477001 transcript:CDP10683 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEVKLHGFWPSPFVYRVIWALKLKGIEYDYIEEDLANKSELLLRYNPVYKKVPVLVHAGKPISESLVILEYIEEVWPHSPLLPKDPYERSVARFWINFATDKGRSAVRSLFWGGGDGKKEAAEQMFDFLKIIEEQALGDKKFFGGNSINMVDLIFAWFACWIQPMEQLVGIKVLEPSRLPSLHAWVKNFKEEPIIKENLPDPERLLAYYTRLTSNLVSKPT >CDP15815 pep chromosome:AUK_PRJEB4211_v1:10:16407660:16411553:1 gene:GSCOC_T00016674001 transcript:CDP15815 gene_biotype:protein_coding transcript_biotype:protein_coding MELERVQQIASLSKCMDTIPTDYIRSENEQPAVTTFHGVVLEVPVIDLSDPDEGKIVGLISEASREWGIFQVVNHGIPDEVVRKLQQVGKEFFELPLEEKELVAKIPGSQNIEGYGTRLQKEVEGKKGWVDHLFHIIWPPSAINYRFWPKNPPSYRETSEDYAKRLRGVADKMFEYLSKGLGLEPSEMKDGMGGEDLIYMMKINYYPPCPRPDLALGVVAHTDMSGLTILVPNEVPGLQILSNGKYKSVFHRTTVNKEMTRMSWPVFLEPPPEQEIGPIPKLVNEENPPKFKTKKFKDYAYCKLNKLPQ >CDP11376 pep chromosome:AUK_PRJEB4211_v1:10:25361876:25373939:-1 gene:GSCOC_T00033601001 transcript:CDP11376 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKPSARDDEVAGATQGGVGGGKSKKKNLVIDDDEYSVGTELSEESNVQEEKTATVGGGKKKGKKGNAKKGGLKEDDDDDDQLEERIDDKEHDEALDIQFAGKKKGKAKKGGNNKSNSVFIEDDEAVGGSASGELSDKDDETVIAFSGKKKPSKSGKKGGSGAFTTSPFGAIGDEDDGEESKEEDEDIASITFTGKKKKSSKSSKKISANSFDAAVLDEDTIEEVSMSKSGPEAAGDGTSALDELDTSVAMFSGKKKSSKKKGSGAISALDAGTGDESLVVAEADQPSVSASSKQIAEDVAETLKNKKKKKKSGRTAQEEEDLDKILAELGEAPPVSKPSPTPTPGLLEPTAEEKVQSQLEQDGAGEKEAEEGGPAESAAAKKKKKKKEKEKEKKAAAAAPVTEDKQEDSKNETKGKASDKKVPKHVREMQERLARLKEAEERKKREEEEKLRKEEEERRRQEELERLAEEKKRLKKEREKEKLMKKKQEGKLLTGKQKEEARRLEAMRKQILANAGGLPLPTGDAVGVPTKRPKYQTKKSKPASQANGAAVAEAAESQEIKESEIGSEVDSVETEKFEEVQVLEVEKPQEVEVEEENEVEVEEEDDDEEWDAKSWDDADLKLPGKSAFADEEVDSEPENVGKKELKSTRPATNDAGSRPLASKTATAPLKSVNPEVGVVEKQKQREAPTKTDAAEPVAPPTRGENNLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAGNIRERTKELKADAKLSVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKTCRNAPIMKAMKQQSKDIQVEFNMRLTQVITQFKEQGINTELYYKNKEMGETFSIVPTSAISGEGIPDLLLLLVQWTQKTMVEKLTYQDEVQCTVLEVKVVEGHGTTIDVVLVNGVLHEGDQIVVCGMQGPIVTSIRALLTPHPMKELRVKGTYVHHKKIKAAQGIKITAQGLEHAIAGTGLYVVGPDDDLEDIKEAAMEDMRTVMNRIDKSGEGVYVQASTLGSLEALLEFLKTPVVNIPVSGIGIGPVHKKDVMKASVMLEKKKEYATILAFDVRVTPEARELADELGVKIFCADIIYHLFDQFKAYIDNLKEEKKKEAADDAVFPCVLKIIPNCVFNKKDPIVLGVDVLEGVAKVGTPICIPQKDFIDIGRIASIENNHKPVDYAKKGLKVAIKIVGSNPEEQQKMFGRHFEIEDELVSHISRRSIDILKASYREDLSLEEWKLVAKLKNLFKIP >CDP01104 pep chromosome:AUK_PRJEB4211_v1:10:5566750:5570820:1 gene:GSCOC_T00034619001 transcript:CDP01104 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFVSTVPSFLAVVPAPSSTTSRHPPVNAGIVCCKIEVDNVQRHGFRRRDMLHGIGATIGMDVMARSCLFVEVANAADLIQRRQRSEFQSSVKATLATAIKGNPDLIPPILTLALNDSVTYDKSTKSGGPNGSIRFSSEISRPENKGLAAALNLLEEAKKEIDSYSKGGPMSYADLIQYAAQSAVKSTFLAAAIKKCGGNEEKGNLLYTAYGSNGQWGLFNREFGRSDAQEPDPEGRVPQWEKASVQEMKDKFTALGFGPRQLAVMSAFLGPDQAATEALLATDPDVSPWVQKYQRSRETVSQTDYEARTMSLFLLLIQEN >CDP18872 pep chromosome:AUK_PRJEB4211_v1:10:27596608:27607590:-1 gene:GSCOC_T00013415001 transcript:CDP18872 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGNITKHFKIVLAPLTRLRSYGNVPQPHAILYYSQRTTKGGLLIAEATGVSDTAQGYPDTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNYSYQPNGQAPISSTDKPLTHQLQPNGVDVAEFAPPRRLRTEEIPRIVNDFKLAAINAIEAGFDGVEIHGAHGYLIEQFLKDQVNDRTDAYGGSLENRCRFALEIVEAVSNAIGADRVGIRLSPFASYSQAGDSNPNALGLYMAAALNKYGLAYCHTVEPRMKTVGEKSETPDNLPKRFELNAPLNKYNRATFYLPDPVVGYTDYPFLETTALLSNLGCRPFSSLLLSTFKFLHCISLFGSCLHIPKFDCHTQGLIHASKSLKANVFTNFGYVNPFRIVLAPLTRMRSYDNIPRPHAILYYSQRATKGGLLIAEASAVSETGRGCPATPDIWTKEQVEAWKPIVDAVHAKGGVFFCQIWHAGQISNYSYQPNGQSPISSTDKQLTFKVQKNGVDDCKYPPPRRLRADEIPEIVNEFRVVAINAIEAGMAIQKTNRTENFFHFSFL >CDP01244 pep chromosome:AUK_PRJEB4211_v1:10:4241805:4245288:-1 gene:GSCOC_T00034824001 transcript:CDP01244 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKKIESTTNRQVTFSKRRNGLIKKAYELSVLCDVDVALIMFSPSGRLSVFSGNKSLEEIMARYLNLPEHERGRLHNQEYLEKALGKLKSEADRTNQDVSPVSVDSQIEEIQQEILRYKSQMEDMEKKLRIYEGDPWEINTICEAEYREQILEETLNQVRARKVNLAQQAAQVNGFTTRSASSILDWFPHQRDQDQIPMLNFLDPTGLIPLRAGQADQRIENMVPASLTLPPQSHMDTAADMQQQLNDHNSPISKIENDPQVLQRPHDDHFGQIIDVNLSPWTHLYPTDQLQMIPQRSSFMALFN >CDP10979 pep chromosome:AUK_PRJEB4211_v1:10:22192417:22212075:-1 gene:GSCOC_T00031976001 transcript:CDP10979 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGPRPGNVPPNYNPNYLADNMQNLQINRPNQPPSTAGMNANAPRHPAPFGQQPPPFAGGPPVSRPGPPPAGVLPRGQPPAGGPPQSTLPSNVGLNRPTGPPPMSQPPPPFASRPPPPGYVPPIAGSTVPPPSGAVPPPGQGPLPGPLTSGPTFPPSSTAGLMSNGPPAFGSGPVQAGPRAPPASTAPRFPMAGPPQTMYSSALQPPSMSSPFGSPPATASGGMAQSAPPFLSEQPSVPPPFGSSPFAQQGQVMPPPSGSAMHGWQMQHGQVAPPPSVPGSVQPPRMYGMPPPAGPVLGQSMAHTGAAVSGQSKIDPNQIPRPIPSSSVILHETRQNNQANPPPPATSDYIVRDTGNCSPRYMRCTINQVPCTVDLLNTSAMQMALLVQPMALPHPSEEPIQIVDFGEAGPVRCSHCKAYINPFMKFIDQGRRYICNLCGATNETPREYQCNLGPDGRRRDADERPELCRGTVEFVATKEFTVRDPMPAVYFFLIDVSMNSIQTGATEAACSAISQVIADLPEGPRTMVGIATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLESDVVVQLSECRQHLELLLESIPTMFQNNRTSDSAFGAAVKAAFLAIKSTGGKLLMFTSFLFLPSVGIGALSAREAEGRTNISAGEKEAHKLLQPVDKTLKTMAIEFAEYQVSVDLFITTQSYMDIASISVIPRTTGGQVYYYYPFSALSDPAKLANDLRWNITRPQGFEAVMRVRCSLGLQVQDYSGNFCKHIPTDVDLPAIDCDKTIMVTLKHDDKLQDGSECAFQSALLYTTVYGQRRIRISTLSLPCTNMLSNLFRSADLDTQFGCMLKQAANEVPSTPLVQVREQVTNLCINILHSYRKFCATVTSPGQLILPEALKLLPLYTLALIKSVGLRTDARVDDRSFWINYVAPLSVLLAIPLVYPRMIAIHDLGSKDSGEDLIPPSIPLSSEHINDNGIYLLENGEDCLIYVGSTVDQDVMRQLLGFSSIDEVPTQFVLQQYDNPLSKKLNEVMNEIRRQRCSYLRLRLCKKGDSSGMLFFSYMVEDKIQSGLSYVEFLVHVHRQIQSKMP >CDP17704 pep chromosome:AUK_PRJEB4211_v1:10:19742511:19743919:1 gene:GSCOC_T00001441001 transcript:CDP17704 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIGSTGVNNRRRSGSRRTHPPPPPPQPPQPEITPRYPNPNAPPPYYQYTGYYPAPPPAMPVPLPAPYDHHHHMDPPHANWVSGRYPCGPMMQPPTPFVENQKAVTIRNDVNLKKETLRIEPDEANPGKYLVAFTFDATVAGSITIIFFAKEGEDCTLTPMKDRLLPPVTVSFEKGFAQKFRQPSGTGLDLSMFEEADLLKEGEMDVYPLAVKAEAFADNQSTSADGNTEPEPGSTNSQITQAVFEKEKGEYQVRVVKQILWVNGMTYELQEIFGIGNSVDSDFDGNDPGKECVICLSEPRDTTVLPCRHMCMCNGCAKVLRFQTNRCPICRQPVERLLEIKVSNAAEE >CDP00994 pep chromosome:AUK_PRJEB4211_v1:10:6838552:6843914:-1 gene:GSCOC_T00034476001 transcript:CDP00994 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKITSIRNLIQPSALSSLISKLGASSYATQAASSLQQACEPSSAYSNDEYADIDWNGLGFGVIPTDYMYIMKCPSDGYFQQGQLCRYGNIELSPSAGVLNYGQGLFEGTKVYRKKDGGLYLFRPDQNATRMQIGAERMCMPSPSIEHFINAVKQTALANRRWIPPPGKGSLYVRPLLIGTGPVLGLAPSPEYTFLVYASPVGNYFKEGTAPINLYVEGEFHRASRGGAGGVKSITNYAPVLKAIHRAKDRGFSDVLYLDSLNKKNIEEVSSCNIFIVKGNILSTPATNGTILEGVTRKSIMEIAKDLGYQVEERTIPADDLIDADEVFCTGTAVGVAAVGSITYNGKRTEYEISPDLVCKKLYSRLVGIQSGAVEDNRGWVVEID >CDP12013 pep chromosome:AUK_PRJEB4211_v1:10:22659337:22660083:-1 gene:GSCOC_T00035363001 transcript:CDP12013 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGGVDRSVECTGNANAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPVNLLNGRTLKGTFFGNYKPRSDIPGVVEKYMKKELELEKFITHEIPFSEINKAFDYMLRGESLRCIIRMEA >CDP01279 pep chromosome:AUK_PRJEB4211_v1:10:3915162:3917562:1 gene:GSCOC_T00034868001 transcript:CDP01279 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVRIQRAGGPDVLIPEELELPENKIYDVLIDVEWCGVNRIDLDQRIEGKKCSNGVAYCPGLECSGRIIAVGKYVSQHKPSGRVCAILDGGGYADKVVVPANQVFEVPERISLKEAACFPEAACTIWQAFSKVKIERRKTILVHEACGYYAVFAMQMARLKGVRVFVATENDENSNFYMELGAYKCINWNGDDFLTQVQKLTSDRGGIEIILDSRGDHLPQDLEALCFGGVVVFLDMHGKTLVDVDIQCLMAKNAQIEGNLSILTCLMNLRSHYCLFHCKAFHCVFIVAVYASIMKANLLLDSVICWNLLP >CDP10702 pep chromosome:AUK_PRJEB4211_v1:10:10108936:10109749:-1 gene:GSCOC_T00031501001 transcript:CDP10702 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTVNETTNPEAQTPLLHGDDAVDSNADYKHCAKGSRLGGWRSAGFVLGQNIFYLERVAFRGVESNFINYLTGPLGESMAIAAGNANLWTGLGALLPVFGALLADSFLGIYEQIS >CDP00877 pep chromosome:AUK_PRJEB4211_v1:10:8567773:8569092:-1 gene:GSCOC_T00034314001 transcript:CDP00877 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNTHSEMVDNMRSAMDDPMFNMLLDERFRKRVKQVGEAAREGDQQIAKADTTKLPKLYRAAMEGDWKEACRQFRYNQNAKTAKISNLGMTALHVAASCGQSGFVQKLVGKLDKKQLEAGDQLGRTALHHVALAADVDAAKAMVTKNPILPYLGDVNKHTPLFYAAKWRKPSESKKMVEYLYRVSRDENLLRDLERSDLSNAFTDASAPDLIVAITASGSYGEPGRRTDKLYIYVCVCEIIWFSYNFIIWPIPQNSSVSQLIIVDPEYLL >CDP01154 pep chromosome:AUK_PRJEB4211_v1:10:5063440:5068733:1 gene:GSCOC_T00034689001 transcript:CDP01154 gene_biotype:protein_coding transcript_biotype:protein_coding MHCIQHNPSTTSLSSSASSIHGQNKFSDIRTQSISVRGRDGGRSMIKCIATSSSEKTAYKTQVSRNENLAKLQAGYLFPEIGRRRAAHLLKYPDAQVISLGIGDTTEPIPQVITTAMAERSRALSTVKGYSGYGAEQGEKPLRAAIASNFYADVGIEEDDIFVSDGAKSDISRLQVLFGSKVTMAVQDPSYPAYVDSSVIMGQTGQFQKDVEKYGKIEYMRCNPENGFFPDLSKVFRTDIIFFCSPNNPTGSAASREQLIKLVQFAKDNGSIIVYDSAYAMYVSENKPRSIFEIPGAKEVAIEVSSFSKYAGFTGVRLGWTVIPKELLYSDGFPVAKDFNRIVCTAFNGASNIAQAGGLACLSPEGIKAMQDVVGFYKENTQIIMDTFNSLGFKVYGGTNAPYVWVHFPGQSSWDVFNEILEKTHVVTTPGSGFGPGGEGFIRVSAFGNRNNVLEACSRFKQLYK >CDP00984 pep chromosome:AUK_PRJEB4211_v1:10:6937677:6941032:-1 gene:GSCOC_T00034463001 transcript:CDP00984 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWWLMLVGSLRLASVWFGFVDIWALRLAVFSQTTMTEVHGRTFGIWTLLTCTLCILCAFNLDNKPLYLATFLSFIYAFGHFLTEYLIYHTMAIGNLTTVGIFAGTSIIWMLLQWNAHQPVKTKNK >CDP11392 pep chromosome:AUK_PRJEB4211_v1:10:25560381:25563479:-1 gene:GSCOC_T00033622001 transcript:CDP11392 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEEESAVKEPLDLIRLSLDERIYVKLRHDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTTKRNVPFLFVRGDGVILVSPPLRTA >CDP11461 pep chromosome:AUK_PRJEB4211_v1:10:26395894:26402478:-1 gene:GSCOC_T00033725001 transcript:CDP11461 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLTWCCEAGSLIHTPTSTPVPKTQLAGGKRREEKEKSLIRRQKEIANGTSKLVAEDIADAKRYCGAEDSIPNQKNQNLEISYTRINGWDINGSQNSSRNPYDKDCSLLVQNRSTLAVESLTRQTRLVCIKPAGFCHIYGMTFLSNLFNLPITPSWALLSFQRENYNLQLWNWELRVSVFLISLSLESLQTSLLTSQFSPSLSNLQRLIETNKIRRNEQANQATISLCLLKRSLDLLIAEAFPIFVVLASCKHGRRTFISQILPQALSYVDSRPVPQTAINSRGLPQLLQTCMKTETPP >CDP07415 pep chromosome:AUK_PRJEB4211_v1:10:1340846:1347409:1 gene:GSCOC_T00024674001 transcript:CDP07415 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTIKLQLTTSTNAYCNATFKKNSYFSKFQSKPIYHSNPLIQVLSFQEKMSSLPFSSPKGIGTIKSSLIDPDGGSLVDLVVPERQRAAKISEAESMPKVKLSKIDLEWVHVISEGWASPLRGFMRENEYLQSLHFNCLRLKDGSVVNMSLPIVLAIDDETKEKIGGSTDVALTGPNDALVGILRRIEIFKHHKEERIARTWGTIAPGLPYVEEVITSAGNWLVGGDLEVLEPIIYNDGLDRYRLSPQQLRKEFDHRQADAVFAFQLRNPVHNGHALLMNDTRRRLLAMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPATTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTVERRMAFFDPSRAKDFLFISGTKMRAYARNGENPPDGFMCPGGWEVLVKYYKSLQAQEADEKPTVLSA >CDP07502 pep chromosome:AUK_PRJEB4211_v1:10:2052662:2056612:-1 gene:GSCOC_T00024779001 transcript:CDP07502 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKNLICRQTFNNISKFNRRKVSSLPRPLFNSRNANFSTSSSLAYRSNNSTRAEVDNFVQPSWIFNRCISTSQGALLRDSSSKDDELEEDEATNEFLSRFVWIMRGKLSEAYPESDRKTIDAMLLIIVEKVVSEMEKGGVEGMTGTAAATPSEDFSEDLWRTVWEVSQVVLEDMQKAQKKEKMKKFLQSDDVKEMCRFAGEIGIRGDMLRELRFKWAREKMEQTEFYEGLEKLKEEAKEGREEVKSESRATEEDGVFLGAGSDDSVNEEVPNVVTLPKRHGKLKYKIYGLDLSDSKWAEVADKIHRTEEVILPQEPQPISGKCKILTDKILSLQIEDDPSQLLAEWVELLQPGRIDWITLLDKLKERSTGLYLKIAELILGEESFQANIRDYSKLVDAHACENRSEDVERILKKMNENGISPDVLTLTTLVHMYTKAGNLDRAKETFESLKHQGLHPDMRVCNSMITSYINAGLPKSGESLMRDLEKWDIKPTEEIYMALLKSFSQLGDVNGAVRIASSMHFAGIQPNLESCTLVIEAYAKTGDLNKARQNFDNIIKLGLKPDDRCTASMIAAYARKNLLDKALHLLLQLEKDGFEPGVATYSVFVDWLGKLQMVDEAEQLLNKIAELGEAPPFNVHISLCEMYSRAGIEKKALQALGVMEAKKDQLGKEGFTRIIQGLNDGGFVEDAKRMLALMKAEGISVPESLQISMIRFISPLCCSTTARTTSTMDIIPAIFPSMTGTCRMWFSGTHQNCYP >CDP00904 pep chromosome:AUK_PRJEB4211_v1:10:8093414:8109362:-1 gene:GSCOC_T00034351001 transcript:CDP00904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase SGR2 [Source:Projected from Arabidopsis thaliana (AT1G31480) UniProtKB/Swiss-Prot;Acc:Q8W5R2] MGSEKGLREAMASVSGSRNDNAAEEASSPDMLKNTPSNIRRLAHEIEQFEGRHKYLAQTTSPSDGGDVRWYFCKTHLAENELAASVPRSEIVGKGDYFRFGMRDSLAIEASFLQREEELLSIWWKEYAECSVGPRGQPGSYSTLSQQLKESSLETEEERVGVPVKGGLYEVDLVKRHCFPVYWSGENHRVLRGHWFARKGGLDWLPLREDVAEQLEFAYRSKVWHRRTFQPSGLFAARVDLQGSNPGLHALFTGEDDSWEAWLNADASGLSTVITLGANSIKLRRGYVPALSSKPTQDELRQQKEEEMDDYCSQVPVRHLLFMVHGIGQRLEKSNLVDDVIDFREVTASLAERHLSSYQLGTQRVLFIPCQWRKGLKLSSEHAVERITLDGVRKLRMMLSATVHDVLYYMSPIYCQAIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQETLSSPFPMECMYEDQNANILYDPNTIGLSSDCTLASNVEEDRSNVNDETNREAGITNQGDPRLEPCFLGPVDNQDKNSLNPVNPLASSDSDILTLTGGTCEPMNINDGVVESHEENIDEEVPENDKDKQIELLKEEIDLLQAKIKELESTSGAGNVEKAKAMSKQPISDGPPLGPNHASKSYTPYIKYTKLAFKGDWREQYQVDTFFTVGSPLGVFLSLRNVRIGIGKGKDYWEEDNINEEQPACRQMFNIFHPFDPVAYRIEPLVCKEYVDKRPVIIPYHRGEKRLYVRFQEFREGLASHSQALMDQMTAVRVKVLTICESRNKDNLDEGSENVLAKEERSYGSIMMERVTGSEDGRIDHSLQDKTFRHPYLSALGSHTCQHMNSIKLCGYLILLGTNNHLHLIPPLGRSTSSQHPLLFLNINYWRDPDTALFILKHLYRDIPEEPQSTHAPQEGTSEDGSGLIGWSEQRDEELPLTFADKVRIKDFSSWVRSSRKR >CDP10981 pep chromosome:AUK_PRJEB4211_v1:10:22221910:22225913:-1 gene:GSCOC_T00031978001 transcript:CDP10981 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein At2g22870 [Source:Projected from Arabidopsis thaliana (AT2G22870) UniProtKB/Swiss-Prot;Acc:O81004] MFETPKLNLHYCNHASFATKGSKPGPVSETKKFIEKVLFVPPGVDPEEVTDDLILPGSNIVLGPYAGDAKIKEVEFVKSSNRPKDCPKDDRPEFAMLGRSNVGKSSLINALVRKKEVALTSKKPGKTQLINHFLVNRSWYIVDLPGYGFANAPEAARMDWSAFTKGYFLNRETLVSVLLLVDASVPPQKIDLDCANWLGRNNIPMTLVFTKCDKMKGGKAKRPDENIRNFQQLIRENYKRHPPWIMTSSMTGLGRDELLLHLSQLRNYWNNE >CDP20308 pep chromosome:AUK_PRJEB4211_v1:10:24512083:24520076:-1 gene:GSCOC_T00002163001 transcript:CDP20308 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MSDADATQPLPSVPPAIQPQLQTAQNLGYHLQSNEHEATLLVRHLPEAIPQETLSRLFSHYGASSVRPCSHGRVRNSAFVDFKSEALASQAQKQLNGLRFLGKVLLVERACKSMKDKKSQEDENKKRSDLDSLIEDAAVGRHQREGFKLGSSLTSEPIAERLGVDYPFPPYLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRVALPTPPLPTLVPASPVPPPPPPVATRTNMEDVSSSESEMESSDEEAPGEGRAKAKRIKREAIVGPAVDEDIGHEAVGLKRSALLPKEIPIIKKKNPVLQIKIAPKQIQNEHVGDAAQEESLKPDKEASHHEPYATVEELKRGKLPPEEILSLPMFKNYRAGNPSSVLYIKNLAKDVVADDFYFIFGSFFGSIDVAKSSLNVKLMQEGRMRGQAFVTFPSIELAHGALNLVNGYALKGKPIIIQFGRNPAASI >CDP01341 pep chromosome:AUK_PRJEB4211_v1:10:3347553:3350201:-1 gene:GSCOC_T00034945001 transcript:CDP01341 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTMVLRSLYRAFCRGSLGISSSSSGSAAAVVAAVNHVRHLHLNRPSIPTSSSKPLASDFQNPFTMRIGNARYFSEDVAHTPDIKDSEIECAFKDLMAANWDELPPAVVHDVKKALSKRTDDKSSQEALANMFRAAEAVEEFTGILTSLKMAIDDSIGLSGEDVKPLPKEMADALETVHQRYVAYLGAFGPEEGYLKKKVETELGTKMIHLKMRCSGLHSEWGKVTVLGTSGLAGSYVEQRS >CDP11454 pep chromosome:AUK_PRJEB4211_v1:10:26365638:26366738:1 gene:GSCOC_T00033717001 transcript:CDP11454 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIYLKSGLGDETYAPPFIFGEDTTPTLKCAIQEAQEGIFSSIDALLSKTLIDPLSIDIVVVTCGSFSHSPSLSSLIVNHYNLKPDVKTYNLSGMGCGSGVLSIDCAARVLRASGKAQHALVVITESTTLNWYSGDNRSMLVTNCIFRVGCTAAMLTNDPSRRSVAKMELVDILRTHHGADDRSYRAAFQEEDDKGYTGVALTKDLVRVAGVNLREHLTILAPRVLPLSQLVLYAYSVAMAALSRGESKPTVPDFTAAFEHMCIHTGGKAVIEQVARVLRLRGEVTEPARMSLNRFGNTSSSLVFYELAYFEAKKRVKKGDKMWMIAFGTGFKIGSLVWKWLQNSAQENDNPWNDCIQRYPLDAW >CDP01241 pep chromosome:AUK_PRJEB4211_v1:10:4256933:4260141:1 gene:GSCOC_T00034820001 transcript:CDP01241 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYCYLLLFPFLYLLTNQFIKKFQNLPPSPPLSLPIIGHLHLIQKPLHRGLAKISDKYGPIQFLHFGSRPVLLVSSPSAAEECFTKNDIIFANRPRFLAGKHLGYNYTTLGWVSYGQHWRNLRRIATLEILSATRIQAYTSIRVNEVHSLIKYLVKASKASDSSTVEMKSAFFGLTLNIMMRMIAGKRYYGDDAQNKEEAARFKEIVKETFQLSGATNIADYIPLLKFFGQQKLETKLKTLQAKRDQCLQDLIEEHRRTVRSSDSEKGNKTMIDVLLSLQQTESEYYTDEIVKGMIVQMLSAGTDTSSGTMEWALSLLLNNPQVLKKAQQEIDAYGGQSRLINDSDLGQLPYLHAIINETLRICPVAPILVPHVSSQQCSVGGYNIPGGTLLLVNLWAMQNDPKVWEEPTKFRPERFISLEGQRDGFAFMPFGFGRRGCPGENLAMRVVGLTLGLLIQCFEWERVGEELVDMTEGAGLTMPRAKELMAKCKPRQEMVKLLSQS >CDP00949 pep chromosome:AUK_PRJEB4211_v1:10:7558720:7563221:-1 gene:GSCOC_T00034412001 transcript:CDP00949 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEISEDDSTLNAPKHKDHEYDTKDIDPEEESPVEQVRLTVSNHDDPSLPVWTFRMWFLGLLSCVLLSFLNTFFGYRSQPLTITMISAQVATLPLGRFMAKVLPKKKFRIPGFGSRQFSLNPGPFNMKEHALISVFANAGSSFGGGTAYAIDIVTIVRAFYHRRISFLASWILVTTTQQVLGYGWAGIMRRYVVEPAHMWWPSSLVQVSLFRALHEKDKSRMSRGKFFVIALICSFSWYTFPGFLMPMLTNVSLLCLVFPRSITMQQIGSGLSGIGIGSFSLDWSAVASFLGSPLVSPFFATLNAFVGYVVIVYVLIPLAYWKFNLYDGKTYPFFSSQLYDNQGKHYNISAIVTDKFQLDLPEYEKLGPVNMSVIFATTYALSFANLTSTLTHVALFYGKEIKDRFQASSKGKPDIHTKLMRKYKDIPSWWFMVMLSLALALSLVLCTVMIDQVQLPWWGLLFSAGIALFFTLPISIITATTNQQPGLNVITEYVFGYVYPGKPVANVCFKTFGYISMGQAVSFLNDFKLGHYMKIPPRSMFIVQFLGTIIAGTINIGVAWYLLTSIDNICQKDLLPPDSPWTCPGNDVFYSASVIWGLIGPKRMFGPLGRYSALNWAFLAGALAPLLVWLVHKVFPSQKWIKLINFPVLLGATAMMPPARAVNYNAWFVFAFVFNFYVYRYRKKWWQRYNYVLSAALDAGLGFMGVLLYFCLQYGGFYLLWWGSEEDDHCPLVACPTAKGIAVDGCPVH >CDP01359 pep chromosome:AUK_PRJEB4211_v1:10:3214896:3216860:1 gene:GSCOC_T00034968001 transcript:CDP01359 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLMISLAYFFLIAGVCFFPNVAAQTLLFQGFNWESSNKQGGWYNFLLNEISDLASAGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGNKQQLKTLIKAFHDKGIKCVADIVINHRCADKQDSRGIYCIFEGGTPDDRLDWGPSCICKDDVQYSDGTGNPDTGDGFGGAPDIDHLNSRVQRELSDWMNWLKSDIGFDGWRFDFVRGYAPSITKIYMQNTKPGFAVGELWDSLSYGQDGKPDYNQDGHRNRLAQWVQNGGGAVTAFDFTTKGILQVAVQGELWRLKDSNGNPPGLIGILPQNAVTFIDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGIPSLFYDHLFDWGLKDGITKLSTLRIRNGITATSKVQILASDSDLYVAKIDDKIIVKIGPKLDLGNLIPPNYQVSTSGNDYAVWERKA >CDP07487 pep chromosome:AUK_PRJEB4211_v1:10:1960654:1961806:-1 gene:GSCOC_T00024761001 transcript:CDP07487 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQEQVFDNVKFEKAKAMARYNRFRRTMKLFQFLEVLVALLLISWSSTRLPGALKISGRILFEVADYLFNPHVVFLIGNVIIVALFFLCRRTGAGGENSGDDDLYDEYARHSQAHAQQQKVAGSGGVNIPPPEIPATPETRDVIAGAGGGDGGEAEEKQIILWTEEKAEQCDAVTTAIETAAKRIEKFQRMQSEKPKREIAVKPQGELRRSETVQVGRGRTERPKATSFDTVDNLSDEDFKRMIDGIIKQRGFAA >CDP07455 pep chromosome:AUK_PRJEB4211_v1:10:1706925:1710857:-1 gene:GSCOC_T00024724001 transcript:CDP07455 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSIHFSQPHDKSFIEEPSKNGFVGGNGELPKEAGVDSTLVTNGSVDYKGRIADKRKTGGWKASPFVIVNEVAERLAFFAIAVNMVQYLVFEMHQSLPDAATHVTDWIGAAYVLTLLGAFLADAYLGRFLTIIIFSCIYEVGLVLLTLSASIDSLRPPFCSKRPCPPASDGQIAFLYAALYLIALGTGGIKPCVSSFGADQYDDADEKEAQKKYSFFNWFFFAINMGALLGITVLVYVQVKKGWSWGFAVPTVAMFCSIVTLVAGCSKYRYQKPMGSAFTRFVQVIVASVRNHFKGVKFEPGARLYEVPTENSDIFGARKLFHTEQYRFLDKAAGKTDAESNANNRWRLCTVTQVEELKCFIRVLPVWASTIALSISFAQLSTFFLSQANIMHRKLGPHFEIPAGSVPVFAACNALILVPIYEKVIVPFLRSKTGHRRGLTSLQRMGVGLFISIFALLSAARVEKKRRTDSNPSSLSVFWLFPQFFLMGSAEVFTYVGQLEFFYDEATDGTRSISSAFFLSEIGIGSWLSTALVKIIEAATGGVEKGWLRNNLNKSRLDYFYWILTAINGLNFLVYLVVAWNYKGRDGAKASVRDVSQVENGVELRNGKDDSGESSSIMH >CDP10704 pep chromosome:AUK_PRJEB4211_v1:10:10095059:10100521:-1 gene:GSCOC_T00031503001 transcript:CDP10704 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTAKGSRNLEAVTPLLVRDDDEVLEGYVDYRGYPSKRSKSGGWRSASLILGGGSLERFAFRGIECNLVNYLTGPLGQSMAIAAGNVNLWTGLGSLMPVFGAIVADSFLGLYQTNIISSILYILGLGFSTLAVALISNNQETSRIAAVPSTELQVLFFASLYLVALAQGYQSTIQVFGADQFDRQHQEESKANTSFFNWWLFGLSLGVALAYLILPYIQENIGWGIGFGIPCLAMVIGLVLLLLGHRTYRFAIRRDDTCPCSHEDDQEASQNNPQQIIPTHEFPNKVLHAKKDDTKENIISGSSRRKHTEVKKTLKLLPIWSSCLMYAIAWAQVPTFFTKQATGVDKSIGQSFNIPSASLRVFIPLTTMCCTPIYDRVFVPLARIVTENPSGITEFQRIKIGMTISVLDMVIAALVEKKRLGIAQEYGLIDIPNSTVPMSFWLLVPTELRSIGLSLSFGALGVGNFLSSLLIFVIDEITSQNGRDSWFSNNLNRAHLDYFYWLLAGLGFLGLVAFAFLEKSFSKENISLERTAQA >CDP11964 pep chromosome:AUK_PRJEB4211_v1:10:23366799:23367104:-1 gene:GSCOC_T00035295001 transcript:CDP11964 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLFTQNFSYCQSLTQNALTKHLQRLSQTLVIQRQEIKDFKPNPKRKNKKQNNIYSQKLNVGNNSHHLSYTICHWAASAKTIDTMSQENAPAEFPSTFI >CDP07304 pep chromosome:AUK_PRJEB4211_v1:10:639873:642971:1 gene:GSCOC_T00024532001 transcript:CDP07304 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTRKVLDLDWRLLLLLLPPLSLLVYVSLSSSFTTTSSTAVGTLLSSLSSFKSIFHSNYVPHARPSPEEVGLDRSRIAVCLVGGARRFELTGPSIVQNILGVYNNSDLFLHSPLDPNAYKLSLLKAAPRIAAVKIFQPEPIPETQSQSRVLTAANSPNGIQGLLQYFNLVEGCLTMIYDYQRKNNFTYDWIVRTRVDGYWSRPLPRDSFIPGKYLVPPGSSYSGLNDRLGVGDYNASAVALSRLSLIPLLDAAGHQQLNSESAFKAQLAVKEVPYVTHRIPFCVVTDRRYEFPPGRFGVPVAALSSPGPLSGAKCRPCTPACTGSCVGPVMNGLYRGWSWTEWANRSLELCDARGDWEEGWEKLFDAVAGKRLAAERKRVEEALKLKQCVDDFEEMRKRTALWEAPPAADICRLASGLV >CDP01229 pep chromosome:AUK_PRJEB4211_v1:10:4359816:4362834:1 gene:GSCOC_T00034802001 transcript:CDP01229 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFSALREEVVRGLSPGRSRRRSPSPSRSSLRRRRKGAHTVPPEAFISRSGSLRPAVETLSPLREGPDPAGSEAGDSKSEKWGHWLCRAPSVSTAASGSGSGYQRSDLRLLLGVLGAPLAPVHVSSNDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNAIHNAYAMGKVKMLASDIETATKVIKSRNSSKAAESGGFVLWQMNPDMWYVELALGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTANMFANARCTGEKKINGEDCFVLKLCADPHTLKARSEGPAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGDTAMSHTKTRMEEAWTIEEVAFNVPGLSGECFIPPAELRLGSLSEACELPQGERARTVAAAAAYRAKVVALEKSQESNVNNIVLKMDI >CDP01238 pep chromosome:AUK_PRJEB4211_v1:10:4278770:4284956:1 gene:GSCOC_T00034815001 transcript:CDP01238 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGFGRRGCPGENLAMSVVGKNISNNLQSKHTQCFQWERVGEELVDMTEAEGLTMPRAKELMAKCKPRQEMVKLLSQSMQQSSNSLSELGAAHCLAREHPFHMTSFRCQLSWRTGISLNRSSTPTLGAGEGSNPTYISFVSPPPAAEECLNKHDIVFANRPRLLAGKHLGNNYTSLSWTSYGDHWRNLRRIASLEILSSHRLQTLHAIRVGEVKLMLKRLFSASENKKSVDMKALFFELMLNVMMRMIAGKRYYGENVGEVEEARRFREIVEETMRIGGASNMGDFWPVLRWLKVGKKEKALRVLQENRDQFVQELIKGFRSAKDAENGGGDAEETGEKKKTLIEVLLTLQQKEPEYYKDEIIRSLMLVLLAAGTDTSVGTMEWALSLMLNNPSTLEKAKAEIDRIIGKERLLDESDVANLPYLRCIISETLRMFPAGPLLIPHESSEECVVGGYRVPGGTMLIVNLWAIQNDSKNWEDPRKFKPERFEGLEGTRDGYKLMPFGSGRRGCPGEGLAMRMVGFALGSIIQCFDWSRISEEMVDLAEGPGLTMPKAQPLVANCRARPGMMSLLSQI >CDP10951 pep chromosome:AUK_PRJEB4211_v1:10:21668627:21679717:1 gene:GSCOC_T00031930001 transcript:CDP10951 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3C [Source:Projected from Arabidopsis thaliana (AT1G21700) UniProtKB/Swiss-Prot;Acc:Q9XI07] MPASSSEARTRWRKRKREPQISRKLKAPQQPAPDDDVLEDDDEDEEELNEDDNNNNNNNNNQNPNNITLERTVQIRESESVSDGGERISSFPLVIKRAVHRPHSSVTSAVTMERAGNLGESRGQGQNALVLENISHGQLQALSTVPTDNLVIGEEGGSGSYVITPPRIMKGHGVVKKFGSAERVHVVPMHAADWFSPNTVHRLERQVVPHFFSGKSSDHTPEKYMECRNCIVAKYMENPDKHLSLSDCQGLVASVSIDDLTRILRFLDHWGIINYCAPTPSRSVQKDGTYLCEDSNGDLCVPGAALKSIDSLVQFDRPKCRLKAAEVYPELACQHDEDSDFDSAIREHLSETRCNCCSRPTPTVYYQSQKEVDVLLCLDCFHDGKYVAGHSSLDFVKVNSMKGYAGLDGESWTDQETLLLLEGMQLYNENWNEIAEHVGTKSKAQCILHFVRLPLDATPLGNIDVPGCANSSNLPDGNECGRSHPNANGNLAGCGLQDPDFETKFPFANCGNPVMALVAFLASAVGPRVAAACAHASLATLSKDDGSTSTRNFMQMDGSRISKDSGPRGDYGNSSQQKEEKMRGQGPWTNTDTFPLSAEKVKAAAKVGLAAAATKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETLLMRECEQMERTRQRIAAERNVILSAHLGSSGLSRPMGPPSVGQAMVNSNVGNNRQQVSNSPQPFISGFGSNQPIHPHMSLMSQQQSMYGIGPRLPLSAIQPSSSSPNVMFNPAATSQAALNHPMLRPVSGSKSGLG >CDP12430 pep chromosome:AUK_PRJEB4211_v1:10:12403292:12407992:-1 gene:GSCOC_T00035969001 transcript:CDP12430 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLHNLSPFGFVLLCFLSASLAMLPTNITTDQSSLLALRSHISFDPHQILANNWSVRSSVCEWIGVTCGFRHLRVTGLSISNMNLSGTLPPQLGNLSFLVSLDMRNNNFHGEMPHEIARLRRLKVLNLGINNLKGDRIPSSISNLSKLETIYLSFNPLQGNIPTGIFNISSLQIIHLMDNGLSGVLPSDMCYRLPGLSILDLSMNKLNGQLPSSALAQCSELQVLSLSINKFGGSIPKEIGGLKKLEELYLGINYLEVCLLDNCKQLNTKFHIFHNFVIMLIYSLMMMLKFSRYANLFTKLTEYRDLYILMYMLKIILAGVIPREIGNCYFLQHVNMEFNSLTGSIPIEIFNLSKLIIMSLTQNQLSSNLPSTFGYRLPNLEELYLGINSFSGVLPTSISNSSKLRRVDFGSNKFTGPIPTSLGDLSLLELLNLSNNNLTRDSSSQELSFITSLTKCQYLSILVFSDNPLNGIIPNSVSNLSTSLQMLSASDCKIKGSIPNGIGNFSSLIQLDLSNNELNGSLPARIKDLHMLQGMDLNLGQNQIMGSIPKCMGNFTSLRNLNLSHNRLNSTPPVEIWNLKDLLELDLSSNLLSGSLPQAITNMKMANWVDLSINQLSGGIPDSIGEMQNLKNLSLAHNRLEGSIPESIGKMLSLESLDLSHNFLSGSVPMSMENLRYLRHFNVSFNNLIGEVPSKGPFINFTAASFTSNQALCGAQRFHVPPCPNNSAHKLRTKKLHRTIFILLGVIIAAGALSFGFVYLRYRKKDTVSGLANLSLVAMPERISYFELLKATNGYNESNLLGTGSFGSVYRGTLDNGRVVAAKVFNLHVEGAFKSFDVECEVLRNLRHRNLTGVITSCSNPEFKALVLEFMPNGSLEKWLYSHNYFLDLMQRLDILIDVACALQYLHCEYSTPVIHCDLKPSNVLLDQDMVAHLSDFGLTKLLGEENSITYTETLATLGYLAPEYGLEGLVSRKCDTYSFGIMMMEVFTRTKPNSEMFGENLSLKSWVTDSIPDELAHVIDANLLKESDEYFVEKLSCIASIMKVALDCTMESPRERSNIQDVLVALKKIKLQYISALHSRTS >CDP15813 pep chromosome:AUK_PRJEB4211_v1:10:16391205:16392736:-1 gene:GSCOC_T00016671001 transcript:CDP15813 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGLYLIIQQMQEKVQIFKGEGAFSLFPSFPVSFVYTLLFFCLYSSWNQVSGDIRKTVNFSQRDLTAEDVLVLDCHSDIYVWEGHHSPAKSKHQALTQNQKFLGMENSLKQHRLQTPLYVVTEGSEPPFFTCFFQWGSLKGKFFSLQMLCNSLEQKLAILKGKSEKLEGSHCSFGSLYST >CDP07551 pep chromosome:AUK_PRJEB4211_v1:10:2386247:2391769:1 gene:GSCOC_T00024843001 transcript:CDP07551 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSQRENVLLGYSRQGSFETSMKTSDGDSDMDFSDVFGGPPRRFSIQETRNRYSFCEAREESDEDAVFSRSPWPVLDEKPVFGAEGVNRKSYPSDDFYDDIFKGDEANPSRPLPPKAEPLSTSYPAQFGLPAKLTKATDFPLFGTTNRSSYKSKDGLSNGTSYKNKDEHTSLSRFANQATEVQDEARKDRPVYRQSPLSHEASHTGENCHETKSIEKDMGAKLKYKTQGKEVPFNSGQFHFSIYKWAGSGVPLLMPLGGRSKSRYKENFKTERCSSSNGRIESDIIGRHLPAVEEHIISIDTKSLTLKIDNEKHDDSSNDKKIDSCSQVEETHLQVPEPKLFESEGTAAKLDDNITRKKTEEESLSKNETNLYAKIEKEFSTNKGQTPKAHTKSLHELLKDENGEQGNENSFYIKTTNVASNNVNVRTSPKKTDARSTSSNRSEMTNAKKGSATNSGDAIGGTRVKGKVKEFVKIFNQETTAQSNAKFDSKSPRWQGLEINRSENGMSTAVKTDENVHKLDNMADASSRVDANFNKNKVNQESHFKSSVHKPSDPSTNESNASNFSDSLPKDYDSNVENKDDSFFQVEDLTHDEEKDLQTSGVSAEIQASNAKIQQWSSGRKGNIRSLLSTLQLVLWPESGWKPVPLVDLIDGSAVKRAYQRALLCLHPDKLQQKGAASHQRFIAEQVFDILQEAWSHFNSLGAI >CDP15817 pep chromosome:AUK_PRJEB4211_v1:10:16433947:16434373:1 gene:GSCOC_T00016677001 transcript:CDP15817 gene_biotype:protein_coding transcript_biotype:protein_coding MESIALEDHPSPFHNMNRLKLITEPAWQYQSTVPPQNVMNYLTGASCFGDSLVVELGKA >CDP00981 pep chromosome:AUK_PRJEB4211_v1:10:6987827:6990148:-1 gene:GSCOC_T00034458001 transcript:CDP00981 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIQFDSGDEKSIAWIDVKGKCFFPKVFVNSSEAFVSYDGNEEILGNKCPKIEIEVKILEDSDNLDSLSGKRKRVGEEIEVEQIERGKSEGSSSNVRNLDAKRRQIVANEVDKARWEKTKLLKEGEKEYTIVKNLFLSGLLSVEPDATITRIHQCTRTGVLDKARYEVFMKQMEIMKKARGDANMVFAWHGTSAEGVDSILAHGFGMPDQVQTPRPHGVGVYLSPAKLPHISAMMSDIDDNGEKHVILCRVLLGKCEKIEAGSNQKLPSSLDFDTGVDQLTDPKWYVVWPTNMSTHILPELVVSYKSANHVQDQVTGTPCVKLDSPVPSPFVAKLFAKLGRSLPPLKVLQLQTLCGSLKDGKVGKDMFMEQLRSVVGDEMLRSAINEIRG >CDP01249 pep chromosome:AUK_PRJEB4211_v1:10:4210545:4218618:1 gene:GSCOC_T00034830001 transcript:CDP01249 gene_biotype:protein_coding transcript_biotype:protein_coding MISITSAELNYLIFRYLHESGFTHSAFAFGYEAGISKSTIEGNLVPPGALVTFVQKGIQYLELEANLSNDNAEVDEDFQFLQPLDLITKDVHELQKIIKEKKENLQRDKPNEKEKDDLENGQEHEHEPSKEREKEKQQKEKEQEREKIDKDREREKGKEKEKVHEDLTDTKMVVDTTGTKMEMVVDSSGPRHDEDGINGEQEPMEICASSTSLPCEISNSDVTVLEGHASEVFACAWSPAGSLLASGSGDSTARIWSIGEGQSSCSVENGAPNVLVLKHFKGRTNEKSKDVTTLDWNGEGMLLATGSYDGQARIWNRNGELVSTLNKHKGPIFSLKWNKKGDYILSGSVDKTAIVWDVKTSEWKQQFEFHSAPTLDVDWRNNVQFATCSTDTMIYVCKVGENRPIRTFSGHQAEVNAIKWDPSGSLLASCSDDATAKIWSMKQDTCLHDFRDHAREIYTVRWSPTGPGTNNPNKQLLLASASFDSTVKLWDAEVGRFLHTLNGHRDPVYSVAFSPNGEYLASGSLDKCVHIWSVKEGKIVRRYNGNGGIFEVCWNKEGNKLAACFANNVVCVIDFRM >CDP07394 pep chromosome:AUK_PRJEB4211_v1:10:1198110:1201989:1 gene:GSCOC_T00024647001 transcript:CDP07394 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVICDEHGVDPTGRYRGDGSNDLQLERINVYFNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYISLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLKMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDEEEFDDGADEAYEN >CDP10716 pep chromosome:AUK_PRJEB4211_v1:10:9929788:9930880:-1 gene:GSCOC_T00031517001 transcript:CDP10716 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVDHGKTTLLDYIRKSKIKSSSEAGEITQSIGAYKVQVPFDGKPQTCVFLDTPGHEAFGAMRARGARVTDIAVIVVATDDGIRPQTEKAIAHATAARMPIVIAINKVRLHLF >CDP00871 pep chromosome:AUK_PRJEB4211_v1:10:8750159:8752993:1 gene:GSCOC_T00034304001 transcript:CDP00871 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKAELVRGVFFKTRSGKARDGRGRSNAVEKKGWSSVKSYLCGDECASVLGENDSASFGQLEISSVVAEENSAQTTRLCQIHSDFTEEDTASFKSSEATVTQPIIREIPDKSDKKNILNNQELWGVKQNSAYQLFQQEDAAMTIQSAFRNYLARCSSRMKVNDGKQEIIVHTAIPSPESLSSSIKVQTGESTGTSVVDVESISLNQPMQKRARVQAAKLQEDWDDSTVSSTISKMRIQKRIEAATRRERALAYAFAQQLRVCAKKKCTKSNSLDANMSWSWLERWMATRQPESSLVSNNACKRTKLRSCASLSKKLMDATAEEESCGSNEVSAHIDGILVAGQRTQDRIRPAKIKSRKEYRKETTRFKQAVCKRGKDRICS >CDP10740 pep chromosome:AUK_PRJEB4211_v1:10:9584907:9593407:-1 gene:GSCOC_T00031549001 transcript:CDP10740 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSFIADNCKFGSASNKVRTVVLVGRTGNGKSTTGNSMLGRKAFKSMSSFDGVTRTTELQTAVLEDGQVLNVIDTPGLFDKSAEPQFVEKEIVRCIDMAKDGIHAVLVVVSLRSRVSMEEAATIETLQKIFGDKIAEYMILVFTGGDELEEDQDFDDYLSHSESIKGMLELCGNRRALFDNKTKDTAKKAEQLKQLLSLVDDIVMKHGGKPYTNELFDEFKKAAAKLHDQAAELNSLEGYSKEEKSERGLQMHQSYEKHLTQITDMVETKLMDTRRGLEQQLKEEQDARLKAEAAAREAQLKSDEEKRMLRERLERSQGEVEVPRNRRGGCLIL >CDP15807 pep chromosome:AUK_PRJEB4211_v1:10:16048120:16053978:-1 gene:GSCOC_T00016656001 transcript:CDP15807 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAISTPKDQKDVVYRQNESTQKASQPNLQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPEFVKEAAIQAIKDGKNQYARGYGVPDLNSAVAARFQEDTGLLVDPEKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGAKVKSVTLRPPNFSVPLDELRSVISKNTRAILINTPHNPTGKMFTREELSVIASLCIENDVLVFADEVYDKLAFEMDHISVASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQYAAATALRAPDSYYEELKTDYMAKKAILVDGLKAAGFIVFPSSGTYFIMVDHTPFGLENDVAFCEYLIKEVGVVAIPTSVFYLNPEEGKNLVRFTFCKDEETLRSAVERMKAKLSKKF >CDP01300 pep chromosome:AUK_PRJEB4211_v1:10:3693898:3698286:-1 gene:GSCOC_T00034897001 transcript:CDP01300 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPESGADGSVNLMVWHCTIPGKAGTDWEGGYYPLTMHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYQMYMQEPAEYKRRVRQQAKQYPPIV >CDP00999 pep chromosome:AUK_PRJEB4211_v1:10:6792731:6797929:1 gene:GSCOC_T00034481001 transcript:CDP00999 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPINPSRRVADIVGASPVPTSLHSKSRSSPFLSLGLIVVGSILLIGYFYRGSGGFGHIGAVSRVQGDFSCTTEVQQAIPFLKKAYGDSMRKVLHIGPDTCSVVSALLREEDTEAWGLEPYDIEDADHSCKSLVHKGLVRVADIKFSLPYRAKSFSVVIVSDALDYLSPKYLNKTLPELARVSADGLVIFTGFPQHHKAKPTDKSKLGRSAKLRSATWWVRHFVQTSLEENEAAVKKFEQAALRRSYNPSCQIFHLKSYQ >CDP01353 pep chromosome:AUK_PRJEB4211_v1:10:3274402:3274644:1 gene:GSCOC_T00034961001 transcript:CDP01353 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEEFKEWLKKFDSNGDGRISKEELRQAIRAKGGWFTRWKCKDGLKSADKDGNGFIEDDEMSYLKDFALKHLGIKIVIV >CDP16851 pep chromosome:AUK_PRJEB4211_v1:10:14780417:14781400:1 gene:GSCOC_T00019404001 transcript:CDP16851 gene_biotype:protein_coding transcript_biotype:protein_coding MERTCNYFPVGLLLATSLVAITAANIITDKSTLVALKDHIVYDPHLIFGPFLPLFVIGLELLITLVLSGEILSRLANCSRLESLSLSSNQFNGSLPKELGRLKMLEELDLAVKFLEAIAPEELGNLHNLRILPIKTSHIVGMLITLNWSYVNEYLRIVILVLL >CDP18867 pep chromosome:AUK_PRJEB4211_v1:10:27485551:27488534:-1 gene:GSCOC_T00013409001 transcript:CDP18867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL12 [Source:Projected from Arabidopsis thaliana (AT1G71692) UniProtKB/Swiss-Prot;Acc:Q38841] MARGKIQMKKIENPVHRQVTFCKRRAGLLKKAKELSVLCDAEIGVVIFSAHGKLYELATKGTMQGLIDKYGKTCRGRAPAEQAKEKEPLDPKDEISNLKQEIEVLQKSLRYMSGGGAGTMTLDELDVLEKHLETWIYHIRSAKMDIMFQEIQLLKNKVPRIYLSFQILIR >CDP11973 pep chromosome:AUK_PRJEB4211_v1:10:23125939:23127303:1 gene:GSCOC_T00035311001 transcript:CDP11973 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGIQHISQCFIKPKNTPEEAKQPIYLSQWDLAMACANYIQKGLLFAKPPAFDRENQMEDLLEKLKDSLALALDHFYPLAGRLATLKQENPPIYSIYVDCNNSPGARFVHASLNSTIDDILSPVDVPKIVQSFFDHDRAINHDGHTRPLLTIQVTELIDGIFMGCSANHMIVDGTSYWHFFNTWSEIFNAKGQKIAISRPPIHKRWFLEGHGPILSLPFTHHDQFVRRHEAPQMRERVFHFSSESLAKLKAKANAESDTTKISSLQALAAHVWRCITRTRNLPPDQETSCMMAINNRTRLCPPVPQEYVGNCIQAVRATAAAGELLDRGLGWSSSKLHLAVHNHTDEIVRNWVESWLQSPVIYQAAEFIDPCSVLMGSSPRFNMYGSEFGLGKAVAIRSGYANKFDGKVSSFPGVEGGGSMDLEICLRPHSMSLLESDEEFMGAVTVSSSRD >CDP07403 pep chromosome:AUK_PRJEB4211_v1:10:1250346:1251880:1 gene:GSCOC_T00024659001 transcript:CDP07403 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGGADEEGAIMMRKAEIDTSAPFRSVQEAVLLFGERILAGEVYANKLKEMHDGSIENGHAPSRLGNITAELEETKQSLQKAQAESLVMATCLSSLQEELQRTKRELQHLKERESQKRAIELEIEDLKFVEDIKDEEEFQVKITETMIHQQQNVEFQKKRYVKFADPPSLAQVVPPADALLQRHPSLRKSKKKPLLPLIKGIFRKIGSSGVGPAGA >CDP12436 pep chromosome:AUK_PRJEB4211_v1:10:13121004:13130547:-1 gene:GSCOC_T00035989001 transcript:CDP12436 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEANLQAAPPPAVPPPPPLSTNSNFLRNSINLEFTSTSTSTTSTSSSSSSLSNDAFFRNVQAVFKRHRPLSMMPSNNIQPRRMLVPQRGAPKSSSITTGSTFDIKKIEDEGLLSQKLRDCVSRSKDMVSVVSETPECASINAPSEWGSTVNTHDEGYKKFDGKSKQARSHAGHKTNDTVASVMESEHVPLVDGTKRVHFATQTDSRFQEMEWDGSNQTEAPTALSHRLQHQIIQSEEVDPESRISSSLARNLGVTDQLHQFGNFIQNDTSQPMTQSSVVGMSCATTTLINPGSAPNLNSTTYCSQTHQTSRSKLGLESVGDIEIKFQPVQPELLPSDPPSKCNSTVLSHQAATAAHVSIGTPEANLEAKNCIMPNEKECIVPKESDNSRNPRTLGGTSAAEDHIAVHPEPPSSKVQALDVKLEPDKSGKPEKVGGGKATSVSRKKSYDMDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYGTAYGFCQEIEYLNKLKGKKNIIQLVDYEVTDKALLKEVTSGTMSNKDGRVKEDGYIYMVLEYGEIDLAHMLSQKWKELDSSSSTIDENWLRFYWQQILLAVNTIHEERIVHSDLKPANFLLVSGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNETDANGNTIKCGRPSDIWSLGCILYQMVYGRTPFSEYKTFWAKFKVITDPNHEIAYEPVQNPWLLDIMKKCLAWDRNQRWRIPQLLQHPFLVPPVPPQVSAPMDQSCKLLQLIGKSCQNDPQSFMLFSQLQQLLKDPRPSATSESSISHDEIHKLLSGVSNLCLQLQRQLANFEEIQLSDI >CDP07261 pep chromosome:AUK_PRJEB4211_v1:10:272216:278431:1 gene:GSCOC_T00024479001 transcript:CDP07261 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVFVALSATVGNFLQGWDNATIAGAVVYMKKELDLEATVEGLVVAMSLIGATLITTCSGSISDWIGRRPMLILSSSFYFFSGLVMLWSPNVYVLLLARLLDGFGIGLAVTLTPLYISETAPSEIRGSLNTLPQFAGSAGMFLAYCMIFGMSLMTSPNWRLMLGVLSIPSLIYFALTVFYLPESPRWLVSKGRMLEAKRVLQRLRGKEDVSGEMALLVEGLAVDGETSLEEYMIGPADEVTDDQVPAAGKEQIKLYGSEAGMSWVAKPVTGQSTLGLVSRQGSMLNQSIPFMDPLVTLFGSVHEKVPEMGSMRSMLFPNFGSMISTTEPQAKNEEWDEESLQRDGEGYASDAASADSDGNLQSPLISRQTTSLEKDMVAPPSHGSILSMRRHSSLMKDNAGEPVGSMGIGGGWQLAWRWSEREGGDGRKEGGFKRIFMHEEAGPGSLRGSVVSFPGGDVPEDAEFVQATALVSQPALYSMELINQHPVGPAMLHPSETATKGPGWAAVLEPGVKRALLVGMGIQILQQFAGINGVMYYTPQILEQAGVEVLLSNLGIGSDSASFIISALTNFLMLPSIGVAMWFMDLSGRRALLLSTIPVLTVSLVILVVANVVDLGTIAHAVMSTLCVVLYFCCFVMGYGPVPNILCAEIFPTRVRGLCIAICSLVYWICDVIVTYTLPVLLSSIGLAGVFGIYAVVCVISWVFVFLRVPETKGMPLEVITEFFAVGAKKPAAT >CDP18267 pep chromosome:AUK_PRJEB4211_v1:10:24622316:24625946:-1 gene:GSCOC_T00011992001 transcript:CDP18267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear speckle RNA-binding protein A [Source:Projected from Arabidopsis thaliana (AT1G76940) UniProtKB/Swiss-Prot;Acc:A1A6K6] MGDPYWNRQSGMQPPAAGGGMLKRHRSDYDVPPSRMTLGHEMHNYLAPDDDRGGPRIVKDTKTLGSAYDRYLQNSQLSLNSGEGNNYGGAALRAVGSGMPTLPIRDPVSSGRPGTGGPELAPSSRRVGYSGQLPVEEIPRTRETLPLPPDASSTLYIEGLPPDSTKREVAHIFRPFVGYKEVRLVTKESKHRGGDPLILCFVDFADPACAATALSALQGYKMDEHDPDSSYLRLQFSRFPGPRSGPGFRGKR >CDP01001 pep chromosome:AUK_PRJEB4211_v1:10:6776765:6780704:1 gene:GSCOC_T00034483001 transcript:CDP01001 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYVWETILAVALGFVAVYGILARNANARRKSFGKGGAEDREPRATAATTPIDGIFRSKNNDASDDADVIIVGAGVAGAALAHTLGKDGRRVYVIERDLSEPDRIVGELLQPGGYLKLIELGLEDCVEGIDAQRVFGYALFKDGKRTRVAYPLEKFDSDVSGRSFHNGRFIQRMREKAASLPNVRLEQGTVTSLLEEKGVIRGVQYKTKSGEELIKYAPLTVVCDGCFSNLRRSLCDPKVDVPSCFVGLVLENCQLPFADHGHVVLADPSPILFYPISSTEVRCLVDVPGQKVPSISNGEMAKYLKTTVAPQVPHELHDAFIAAIDKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLGDLNDPPTLCKYLESFYTLRKPVSATINTLAGALYKVFCSSSDQARKEMRQACFDYLSLGGVFSNGPVSLLSGLNPRPLSLVCHFFAVAIYGVGRLLLPFPSPKRMWIGARLISSASGIIFPIIKAEGVRQMFFPVTVPAYYRAPPAK >CDP07554 pep chromosome:AUK_PRJEB4211_v1:10:2403878:2410936:-1 gene:GSCOC_T00024847001 transcript:CDP07554 gene_biotype:protein_coding transcript_biotype:protein_coding MESELKTLNPNPAQIQPTQRSPPVDDNLTKDDRPLLKPEPVPPGPTQNPATTTAPDIEELEKKYAAYVRRDVYGVMGRGDLPWVEKLLLGLALVTLVPLRLVSGLTVLVLYYFICRICTAFLAPNREDEQEDYAHMGGWRRAVIVQTGRFCSRALLFIFGFYWISETHRDIVDVSFNGKFGTQTESKDQSQDSARPGVIVSNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSSDFKGVSGIVNDRIKEAHQDKNAPMMMLFPEGTTTNGDFLLPFKTGAFLAKAPVLPVILRYPYQRFSPAWDSISGVRHVFFLLCQFVNYMEVTKLPVYHPSQQEKDDPRLYAENVRRLMAHEGGLVLSDIGLAEKRVYHAALNGNTSIPTVLHQKDD >CDP10944 pep chromosome:AUK_PRJEB4211_v1:10:21533587:21534249:1 gene:GSCOC_T00031920001 transcript:CDP10944 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRNDQQVHPLSQNYNHDAAFNFKHDEELASRESDEIRRKKRIRYLAYFAAFVVFQSGIIVLFSLTVMKIKTPKFRVQSATFETFNVGTATNASFNLRMNAEVGVKNNNFGTYKFQNSTISFFYDGTPIGEAMVPDSKSGWLSTKKLNVAVDLSSNNLTSNSQLENDLNSGVLKLNAQSKLSGKVTLTFMFKKKKSTNMDCTITVGFADRVVRDINCM >CDP01121 pep chromosome:AUK_PRJEB4211_v1:10:5395701:5402338:1 gene:GSCOC_T00034639001 transcript:CDP01121 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKSNELIQPLLVQDSALSTNSREKYIPAEGSGGDLSSRVWTESKKLWHIVGPSIFSRVVSYSMNVITQAFAGHLGEVELASVSIANTVIVGFNFGILLGMASALETLCGQAFGAKRYHLLGTYMQRSWIVLSICCFMLLPFYLYATPVLKLLGQPDEVAELSGQLALWFVPMHFSFALQCPLQRFLQSQLKTGVLVWASLAALVIHVIISWVFVYQFDMGVIGAAVALDISWWVLVLGMLGYTVWGGCPQTWTGFSMQAFSGLWEFTRLSAAAGVMLCLENWYYRILILMTGNLKNATIAVDALSVCMGINGWEMMIPLAFFAATGVRVANELGAGNGKAAKFAAKVAVIQSTVIGIIFCGLVLLLHNQIAYIFSSSSQVIAAVNKFSYLLALTILLNSVQPVLSGVAVGSGWQAWVAYVNLTCYYVVGIPAGIVLGWFLDLGVEGIWGGMIVGGTAVQTGILAIITIHRDWEKEAENASRNIQKLSCPIPDLLS >CDP11547 pep chromosome:AUK_PRJEB4211_v1:10:27260050:27265239:-1 gene:GSCOC_T00033850001 transcript:CDP11547 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPLVFPYSMPVPFVNEMFVLARDGVDFEVDKIPGAQRGHDKAKGISYLSNIRMVFVANKPGGDFAAFDLPLLSKDDTPTDLCSEDDSHYHVLSII >CDP01184 pep chromosome:AUK_PRJEB4211_v1:10:4837451:4841663:1 gene:GSCOC_T00034738001 transcript:CDP01184 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDLVEIKFRLADGSDIGPSKFSPSTTVSSLKEKIIALWPKDKENGPKTTNDLKLINAGRILENNKTLAESRLPVAEVPGSVITMHVVVRPPLAEKNTDKLQDDNPKKGGCGCTIL >CDP00885 pep chromosome:AUK_PRJEB4211_v1:10:8385221:8387352:1 gene:GSCOC_T00034323001 transcript:CDP00885 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTKKRRKGTKQHRKDEGGILIDWLIEVHYKFELMDETLYLTFNLIDRFLKTVSVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDRAYSRNDMLEIESLMINTLQFNLLVPTPYVFMRHFLKAAQSNKKLELLSFFIVKLCLFEYEMLRFPPSLLAAAATIFTAQCSVNGFKKWTKICSRHTNYIED >CDP15803 pep chromosome:AUK_PRJEB4211_v1:10:15864135:15869291:1 gene:GSCOC_T00016649001 transcript:CDP15803 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQRFCHHHLLLSPFLLAFLSFSLFLAAAAEIHHQNPPPAITRFQQYLQISSAHPTPDYTSAINFLTSFSNSIPSLQSQILYFTPEKDKPLLLLTWPGSDPTLPSILLNSHLDSVPADPSKWVHPPFSAYHSSDGKIFARGAQDDKCIGIQYLEAIKRLIEEKNFSPLRTVHISFVPDEEIGGFDGMAKFVKSDEFKALNLGFVLDEGQASPGDEYRVFYADRQPWHIVIKAVGMPGHGSRMFDNSAMENLMKSVEVITKFRDAEFDKVKAGLAANSEVTSANPVFLKAGIPSPTGFVMNVQPSEAEAGFDLRLPPTADPDAVRRRIAEEWAPSWRNMSFEITEKGPLRDHKGRPLLTPTNDSNSWWSVFKQAVTSAGGKLAKPEILPSTTDARFMRQLGIPTIGFSPMRNTPILLHDHNEFLKDSVYLEGIKVYESVIKSLSSFADSS >CDP07472 pep chromosome:AUK_PRJEB4211_v1:10:1828645:1833087:1 gene:GSCOC_T00024744001 transcript:CDP07472 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCFCSIFKPSIPTSEPAFRWLIPKHTRYLQFTTLPSHFLNYNNSTRQQKHNHSVRAVQEEGTGILPPPDDTVSLPNYPAVVEDTTHQEIKEAILDDGVAATVLEEEVPNTRPRKKQLADKEEKESDDDNRFTLRNGKEVLEEKAYLVGVACKGDKEDSFGTEESLKELAQLADTAGLLVVGSTHQKLSKPNPRTYIGSGKVAEIKTTIQAFGVETVIFDDELSPGQLRNLEKVFGGDVRVCDRTALILDIFNQRAATREAALQVSLAQMEYQLPRLTRMWTHLERQAGGKVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRTRRISVPVPVVSLVGYTNAGKSTLLNQLTGANVLAENRLFATLDPTTRRVQMKNGKEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVVDISHPLAEQQIEAVEKVLSELDATSIPKLMVWNKVDKAEHPEKTKLEAKRGEDVVCISALTGEGLDDFCNVVQEKLKNTMVWVEALIPFDKGELLSTIHRVGMVEKTEYIDKGAVVKAHVPLRFARLLTPMRQMCVS >CDP16501 pep chromosome:AUK_PRJEB4211_v1:10:17781814:17783856:-1 gene:GSCOC_T00018478001 transcript:CDP16501 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLKSSSLSGHISSYMVKASTNLGYPTYVYSRPTSPNIDLLNEFHSSGVTIFKGAMDEHEKLVSVLKEVDIVISALACPQVLDQLKIIEAIKVAGNIKRFLPSDFGCEENRVTVLPSFQEFLNKKKKIRRATEAEGIPYTFCVCKLLWSIFCQLLASST >CDP00985 pep chromosome:AUK_PRJEB4211_v1:10:6928785:6929922:1 gene:GSCOC_T00034466001 transcript:CDP00985 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGRIFHYRNRHLLTFVLFLLITRSNPFKIIAEGRATPKLQVQSLEADQKRVEEKVVMVRSLIGSRPPRCERRCMSCGHCEAVQVPIVPSLKHQKIRSNQFFATPTIAYSRGDDISNYKPMCWKCKCGNFIFNP >CDP15798 pep chromosome:AUK_PRJEB4211_v1:10:15696604:15697338:-1 gene:GSCOC_T00016635001 transcript:CDP15798 gene_biotype:protein_coding transcript_biotype:protein_coding MREPGDAANNVTRNPNSAHVNNNNNVRMPMPHPRQDPEHLFTITNICRIMRHIFLPNARVFKEAKSTIEECVSKDINFITMEANERYHSEHCKTITAKNLTYAHANLGFDSYVSPITLYIERYRQNEAAQNTMHGDAFERHTTIFPNVHTQGHPSMLAPAPSHSPPINSTFQVGADEQAFFNPTLMSKEFYFQNSPGASLGDGFDVAGLSSNAEFPRAFFPHDFHPGPTLPHGSHPHGLGLDGQ >CDP01176 pep chromosome:AUK_PRJEB4211_v1:10:4906322:4906825:1 gene:GSCOC_T00034726001 transcript:CDP01176 gene_biotype:protein_coding transcript_biotype:protein_coding MKALEKLCSHFYKVAAIIFSIILPQSIRLTILIIRASWSWYRRTSSKYKYMAAINKKSSKFVYTKELRRLRSEPLICSICLSDFVAGEEGRELLQCKHRFHRNCIEKWLQGWQATCPLCRHLVIPNEIVAEYQISQIVEENNSSEEELALVFLSPLHLHGRHHHGFF >CDP07585 pep chromosome:AUK_PRJEB4211_v1:10:2626202:2628285:-1 gene:GSCOC_T00024882001 transcript:CDP07585 gene_biotype:protein_coding transcript_biotype:protein_coding MASARLFRMSRPLGLPCYPSSSSSNNSKFSSMVSTRSVKATCLGSSGGLSTENWLSTGPPSGHGERAPKEVKVSVSSSGCLVTSKPKTDMWVDLAQFLTDVAQFVVLQLRRLDFFNKRTPWKLHMQMFLEKVIMDCRFFAMFAVGGSLLGSGLCFVEGFSLILESYLQYFHSLSQGSEQEHLVHLLIEAIDMFLVAITMLLFGMEMHVMFVGSSSLAGKLSRFLHLSTSTKAVPSRVGIHTIMQAKSKIGQAVIMMLQVGVLEKFKTIPLVTGFDLACFAGAVFTSSACMLLLSKLAGSGSGALKR >CDP01167 pep chromosome:AUK_PRJEB4211_v1:10:4976863:4979541:1 gene:GSCOC_T00034711001 transcript:CDP01167 gene_biotype:protein_coding transcript_biotype:protein_coding MFARISRLEGNPTRIFCFKSLLQYYSSTSTAVPAAALKPQNQLLAEYLINSLGFSKQEAISASNKVKRLNSIKKDFDLVVDFLKKTGLNQSQIKSLVSVTPQVLLSKVNKTLKPKLQILRDIGLSGSDLVKVVMNYRTFFVLGLDNHLKHHVNYLRTVLGSDEKVALALKKYGMLLDNRAPERLASTVSLLQKVGFSDENVVRFIMRNPKRSLVIKPGWIEDILHRVENELGIPRESRMFFYGIEALASVSKSTLEMKLDNLRSFGWPDEEIFQLIRRLPLILNLSVGKVGAALDFYMKELGCTPDYLASHPVFFSLDLERRVKPRVRVLETLNKKKLNTKNTGLARVLGIPESKFVNNFLLPHKDILPNMYEQYLKSVGQQKAKELCVKGEAEFRPSKHC >CDP12004 pep chromosome:AUK_PRJEB4211_v1:10:22719666:22721294:1 gene:GSCOC_T00035354001 transcript:CDP12004 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLISPNSFTFTFLLRCFESFEALGDGEMVHNQIVKLGFESSVFVMNTLMDFYGNCCGDLGVARKVFDYMPKRDVVSWNTLIGRCMSRGEIESAIGLFESMPERSIVTWNSVISGLLRARKLELAHSVFQRMPERNDVSWNTMLSGYVKVGDMETAQAIFNKMPERSVVSWTAMVSGYATVGDLPSARKIFGEMPAKNVVSWNAMIAGYVNGHLFDQALSVFHHMLIDGRCKPDQTTLVSVLSACAHLGSHDHGKWVDSYVRKNKFELSLPLGNALIDMYAKCGDVENGRAVFQKMNKRCIITWTSIISGLAVNGYCTEALDLYDEMCLEGLKPDDVIFIAVLSACTHGGLVKEGKRVYDQMVHDFDITPRIEHYGCMVDLLGRAGKLEEALRIIESMHLQPNAVIWATILSACKIHGNGKLLESLTRKIFEQEPMNPGSLTLITNLSLHVGRWQDALDVHVASRQQGTEKVPGCSSIQIGNSVHEFLAKDTRHTQRKEVYRSLASLNGHLKSVYDMQGLLALSLTTNASSQSQTCKNSG >CDP18276 pep chromosome:AUK_PRJEB4211_v1:10:24706554:24708608:1 gene:GSCOC_T00012002001 transcript:CDP18276 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLKPASDETSLTLGPFGRKNSKRRSDASKHVSETLNKWKEYFDKIESTNGKLVRKAPGRGSKKGCMKGKGGPENSRHNYRGVRQRTWGKWVAEIREPKKGSRLWLGTFGTAIEAALAYDKAARVMYGPSAQLNLPNYNVVKEASTEPSLELTISIPESTLTTSHAEFAKAEDSKVTSETSYHSASVPSFAGKEEMLVSCIMLCLICCCHPALFFVLLFIRSLKSRNKMFCLF >CDP07470 pep chromosome:AUK_PRJEB4211_v1:10:1813934:1818384:1 gene:GSCOC_T00024742001 transcript:CDP07470 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYYPNLTSQQDVLQTQYLLNPKLTSYQSSDLPEIIYPNPPSTASLRPELFSGTSLQAHNFVEAQSVESREEMLFIAPHGNPVMMQPINEHLNFAPNFSDSNAVVVDQIFTKKLLHLQNADQNLQNQGLSLSLGSQAASSVEMPSYQNPYSNSGLSSLLSSHVEHLADRFSESSELKTAEYLSFDLAGRVNNSSKVGAVYEPQSSLSSKGINSDPKLHEETVVSGAIYNSKYLKAAKELLDEVVNVHQALNQSEKCNEFQSSGLGVTEATKLKAEYQSGIPSKTGISSDVLSPAERNDLQNKLTKLFSMLDEVDRRYKQYYQQMQVLVSSFEIVAGCGAARSYTALARRTISRQFRCLRDSIKNQIQVVQQCLGEEGTVPNGQGGGLSRLRHLDQQLRQQRALQQFGVMRQPWRPQRGLPETAVSVLRAWLFEHFLHPYPKDSEKIMLARQTGLTRSQVANWFINARVRLWKPMIEEMYKEEFVDMEADSKSSQEHGQPTGRLKSESEDTGEELHESLMSPSADGGRLAQSSELRNDVTSDLKVHAYATRMGFQAGSLEENDMDHLNKSSRDDVVSMADHKVGAIETIRSNQTGDVSISSDANAHNFCMLAGPVGNQVSLALGLQHPSKDSQPISDGTHTGVDDTAASSVGLDKAEYYCLDAINQQERFSHPHLLSDFVL >CDP07405 pep chromosome:AUK_PRJEB4211_v1:10:1259581:1262185:-1 gene:GSCOC_T00024662001 transcript:CDP07405 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTSLPEPRKTPSEKTSHNNKKHPSKRRKKQQPQKPPSSWDQFKNLLTCKQIEGSKVHDPSIPKLGSCSSICTFRDVVHGNTSRVVHRADNSPESSSVGQETRLLSKKSSHGSSSRSFSSSLRSNGTSVTYNNGSCRGMQFRKLSGCYECHTIVDPSRYPLPRTTICACSECGEVFPKIESLEHHQAVRHAVAELGPEDSSRNIVEIIFKSSWLKKDNPICSIERILKVQNTQRTIQRFEDCRDAVKIRANSNSKKNPRCAADGNELLRFHCTSLTCPLGARGSSSLCGSVPGCGVCAIIRHGFQGNKSSGVRTTASSGRAHDCLGLGTDGGRRAMLVCRVIAGRVKRMADDAAVEEDDAGTLAAGSYDSVAGYAGVYSNLEELYVFNPRAILPCFVVIYKALES >CDP00868 pep chromosome:AUK_PRJEB4211_v1:10:8773907:8779624:-1 gene:GSCOC_T00034299001 transcript:CDP00868 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGNGGNCHLLLQYNQKAFIKHDNDHKKFFGSFHGCYTNCIETNINDEEDQNSFGYDGHHETNGHAIEDDDCNDYQPFDHDERTLYWESQEALLQEILEQYSSSGSKSREELSSLIQLARNTKLCECLGLDVDDCSKCSRRAIVNFLRRKGINASICTSMWKKTHSIPGGRHEYIDVIASTRGKKRKIRFLIEIEFRDEFKMANACEDYNKLIEQLPETYIGKPEHLNAIVRVICDAAKRSTAERNIHLGPWRKMSFMQMKWSAPNQSKHEHQSSSSASKLG >CDP07475 pep chromosome:AUK_PRJEB4211_v1:10:1842249:1843935:-1 gene:GSCOC_T00024747001 transcript:CDP07475 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYMANMQTLAVTTAYLIYDLVCCQFDKNVKIDNAVHHLVSIVGLGAGLAHQRCGTEMVAALWITEISSPFLHLREILKELGYKNTDFNLAVDILFAIVFTSARMIGGPYLTYVTLSADNPLLIKAMALGLQLVSAFWFYKIAKMVMYKLSRRTSSRRMQSS >CDP07467 pep chromosome:AUK_PRJEB4211_v1:10:1782046:1787397:-1 gene:GSCOC_T00024738001 transcript:CDP07467 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKFSATLSIGDLNDYIAPSQGCVVSFKANSNSNPKPSTSSCSSRLDKSYAGNSAKLGSAIKPLETEPVKISLNDCLACSGCITSSKTVMLEKKSLDEFLSNINKGKAVIVSVSPQSRASLGVAFGLSPLQASLFSYVFKKLTTLFKALGVKAVFDTSCSRDLTLIESCYEFITRYRQCHSTTDKKSKLGLPLISSACPAWINYAEKNLGSYILPNISSVKSPQQIIGAIIKNHICQMLYQRPEDIYHVTVMPCYDKKLEAVRDAFVFQLDAQGEKIVEVDSVLTTVELLDLIKVIFDFFKCMVPSPLSPSSITLSKTFLQAFVLLWLFSPGKWVVADVWTFIDMLPEFDFLQSKSVDFASLEELPLDNLLTNLDDGNLLYGVRGSSGGYAETIFRYAAKNLFGKEIVGPLNFKTIRNPNFQEVTLEVEGETVLKFALCYGLQNLIQVVKKIDSGECEYQFLEIMACPSAGCLNGGGQIKRKPGESVQSLELKYMENVIEADPFENPIIKGLYTEWLDQPGSEKATKYMHTEYHPRVKSRTSQLQDW >CDP11536 pep chromosome:AUK_PRJEB4211_v1:10:27161415:27164474:-1 gene:GSCOC_T00033835001 transcript:CDP11536 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVREEEEHEVVIVGGGIGGLATALALHRKGLRSVVYERSESLRAEGSAITILRNGWRALDQLGVGDVLRDKAILVQGGQGIWVDEGNQQQPIPIPGGEARCLKRSDLIKALADALPPETVRFGYKVVAVTMDPENMFPTLTLNNGSSIRAKVLIGCDGSNSVVADFLGIKPTRLFALCSVRGLTSYPNGHVFSPELVRIKRDRVMVGRIPVDNNLVYWFVSVPLSWLDRKFPDDPELIRKLTTKITEGFPSDAVEMIEGSDLDSLSITHLRYHAPWEMLVGRFRRGPITVAGDAMHAMGPFLGQGGSAALEDAVVLARNLGRKIASLSPYERGKEIMTDKIGQAFDQYVEERRMRMVRLATQAYLTGLILGSPPMSITKFIAVIIMAILFRDRNEHAKFDCGNL >CDP19625 pep chromosome:AUK_PRJEB4211_v1:10:25093368:25094760:1 gene:GSCOC_T00006175001 transcript:CDP19625 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELGLKLRRVADEFCLADFQFYKDRTGPVFISTETDSKFFLTAHLKGHRKQNIKIEINEDGTRIIISGEMEIQETVMVEWRLYRKETEIRRFRKAFKIPDGVILDKIKAKYNADECILTISMPKKDKGIRGFDIEEVQEQELAREGSETLEIVPDEVPKQEDEMQAKDQEAEAEHAEGKLEKEEKSQGIEGDMERKHEQPEPLTEVIDQNHHKRDQVDGKESGTQEAEEEEESALVKPPDEVQEDRPSRIEKHRGRCKMCAPILAGSALLLSLVVFVIQFIRKKSHQGKRKE >CDP07366 pep chromosome:AUK_PRJEB4211_v1:10:1005875:1008447:-1 gene:GSCOC_T00024607001 transcript:CDP07366 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVASVVDKLKDFAKSSQELLARGILRWPQNFNRRNPIEILKRLQREAFSDIMKLRDRQDKVERMLTFYKTSKATPFQEASTRVRGDIDVVGAMFMVDNFDDQQHQTVQNAGIRTGVDLRLVFETAIREKDMLVAEFVAGRKDQGNTLERPLSLGKLLYSANVSDWFSAVAIPMGATCSDVAVDMSSFHKDKGFSEYSAYGPPFLNQKNGSALGIMVKKSNVVASLAQFVSGLGLPTNCSEITNCFGTFGQVVYQLSQTTKLSLLGVHKVPKLSNQRLRLGAMTTPFSIFRQRKLSETSIEENGLQIDTYGEGERLDGSVALMLESELDESTRIGGWIEMKQSNTRHLQWAVSMSDTPEDDLGWGLSMGGLVQGSRSWDHFQVEAFLNFSFGKRFRFQPAVVYLADRTSHFPAFLLRSSWSL >CDP01032 pep chromosome:AUK_PRJEB4211_v1:10:6343232:6347018:1 gene:GSCOC_T00034520001 transcript:CDP01032 gene_biotype:protein_coding transcript_biotype:protein_coding MENLMSKIRSLDAYPKINEDFYSRTLSGGVITLASSIVMFLLFFSELRLYLHAVTETKLVVDTSRGERLRINFDVTFPALPCSMLSLDAMDISGEQHLDVRHDITKKRIDVHGNVIETRQEGIGHPKASKENWMKCDDLLLTRERFLQI >CDP00987 pep chromosome:AUK_PRJEB4211_v1:10:6910946:6914787:1 gene:GSCOC_T00034469001 transcript:CDP00987 gene_biotype:protein_coding transcript_biotype:protein_coding MISLRKLAYHTPRLSSALLQSLATGGLRTYEKFSVAEWSCRPSTLFRELKSTNLIEKSNCLDFQTGFTRSSLPFSTVAGTILVQARDPGKLCQELEVAIEELRLSDAWNLYKQYMHMEGFPRKSIVNVLVASLVESLDVQWLEKAYALFEQASEEHKQNLFEKETLIYLSLGLARCGLPVPSSTILRKLIEMEQFPPINAWATILAYMSQTSPGAYIAAELVLEIGYLFQDGRVDPRKKVNAPLIAMKPNTTAFSIALAGCLLFNSARKAEQLLDMVPRIGLKVDATLLIILAHVYENNGRTEELKKLQRQIDESYGVSDLQFLQFHNCLLSSHLKVGDLDSASQMVLEMLHRAKKARSSFGATTSAFKMVANGNRSSSGHVSKEDLDCREPDGVEYPTLRPLLSFENFSRDRKFLKLEAEAKELLDVLVFKVQRQVELISTEHGILQPTERLYVKLVKAFLESQRTKDLAEFLIKAEKEGSPVSADNSTLIHVIKSCISLGWLDQAHDLLDEMRLAGIRTGSSVYADLLKAYCKENRAGEVTSLLRDARKAGIQLDASCYEALIESQVLQKDTQGALFLFKEMKEAKIRRGGHQDFEMMVKGCSESGETDLMSKLLQEIKEGHKIDCGVHAWNNVIHFFCKKRLMQDAENAYKKMKSLGHSPNAQTFHSLVMGYAAIGTKYTEVTELWGQMKALAFSRKMKFDQELLDAVLYTFVRGGFFIRANEVVDMLERGNLFIDKYRYRTLFLKYHKTLYKGKAPKFQTESQMKRREAALNFKKWVGLS >CDP07273 pep chromosome:AUK_PRJEB4211_v1:10:350080:352290:1 gene:GSCOC_T00024493001 transcript:CDP07273 gene_biotype:protein_coding transcript_biotype:protein_coding MALSYLIKNGIALPALIFLLLLNPFSGVSGVGINYGTLGNNLPSPKRVAQLLQSTLIDKVKIYDTNPDILEAFSNTGIDLIVAVENYLVSNVSSSASAADEWFSTRIVPFIPATSVIAIAVGNEYLTTDDDKLDHNALVKAMQNLHQVLLSRGLDRKIKVTTPHSMAVLASSFPPSASTFATTLLPTMTAIVGFLADTGSPFMVNAYPYFAYRDNPAMVNLEYALLDNSTGVRDPKGYMYTNMLDAQIDAVRSAAAALGFGNRSVEITVSESGWPSKGDAGETAAAPDNAKTYITRLIERAQSNKGTPMKPRDSVEIFVFALFNENKKQGGVSERNFGIFNSDGSKVYDVDLSCKFCSSNGNKMGFGEKVSGMARGPSVWCVAKPHADEKVVQAVLDFCCGPGGVDCREIYEKGDCFEPDKVHAHASYAMNAYYQMHGRNYWNCDFKGTGLVTFSDPSYGKCSYSQQ >CDP01047 pep chromosome:AUK_PRJEB4211_v1:10:6185469:6188658:1 gene:GSCOC_T00034542001 transcript:CDP01047 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIVLTSFLCFLGSASASVEEAEALLKWKASFQNPDNPLLSSWILPPNAANSSHSRKEIASPCTWYGVSCIHGSVNWLNLTNSSINGTLYNFPFSSLPNLEYVDLTLNDLSGSIPPQIGNLSKLLYLDLQRNLFSNTIPREIGRLKNLQTLHLNANELNGSIPEEIGQLRSLSDLALATNDLDGPIPASLGNLKNLTYLYFYDNLLSGPIPPELGLLYNLVELYLYRNQLPGPIPPSFGNLNKLEVLHLYSNKLTGLIPPEIGNLTSLHSLSLFSNSISGSIPPSLGNLASLTLLHLYGNQLSGSIPKELGNLKLLEDLEFADNLLSGSVPATLGNLSNLQYLYLRRNHLSGPIPQELGNLTTLVAMIMSENQFSGHLPDKLCESGVLRNFTVNDNMLTGPIPRGLKNCTSLLRAVFNGNHLTGNLSKMFGVYPHLDFMDLSNNNFHGELSGNWGRCRNLSTLKIAENNISGPIPPELGNLAQLGVLNLSSNHLTGKIPMEFGKLRSMLNLFLQDNQLSGSIPQEMGSLDQLLSLDLSSNSLNGSIPETLVNYKQLFYLNLSSNLLNQNIPAQLGNLITLSSLDLSHNFLEGEIPSLFRNLQSLGLLDLSHNNLSGFIPQDLDELPGSTHIDISFNNLEGSLLLFCAFAGAFLLCYRRKRTERAEDTDVDEDGFYSVAIFDGREMFKQILKATEDFNATFCIGEGGYGSVYKANLPSADVVAVKKLHQSSEMTDRNGFLNEIRALTNIRHRNIVKLYGFCSDSKHSMLVYEYLERGSLADILSKEEAAKKLDWQKRVNIVKGVAYALSYMHHNCSPPIVHRDISSKNILLDSEDEAHVSDFGTTKLLKKDSSNWSALAGTYGYIAPELAYTMEVTEKCNVYSFGILALEVIKGEHPGDYTSPIMCASPGNLQLEDFLDQRLSRPTKKVEEVLVSIIKLARGCVAADPKSRPTMHIVSESLARGAQSLPHHGE >CDP01208 pep chromosome:AUK_PRJEB4211_v1:10:4507835:4509397:1 gene:GSCOC_T00034779001 transcript:CDP01208 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMASFFDEEWESLSRMFSTEDAEFMIQQHGHESLSNDSDSSLFFQTAANFWPVGDANIENVAGVGESFLCCDNTIDYSNFLNVSQDSSSSNASDTSIVFPNLSYEFHQASDINVFQVTNGTPESMDFNAMDEKNDNSSVPVFLDGLVEDIVSPREVMGSENMKNAETQPASNGSSANELLLKRKFEKSELQTEAEPVENSKKKSRKTKRTVQPKSKKNQKAQQINKDEEEANAAQNGQSSCSYSSEDDSNASQESNGGEASDNKGPALNLNGKTRASRGSATDPQSLYARRRRERINERLRILQNLIPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMYAPIVYNGLDIGLYGRTFPTL >CDP01355 pep chromosome:AUK_PRJEB4211_v1:10:3233591:3236811:-1 gene:GSCOC_T00034964001 transcript:CDP01355 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant glycogenin-like starch initiation protein 3 [Source:Projected from Arabidopsis thaliana (AT4G33330) TAIR;Acc:AT4G33330] MIKAIFPKALVIRINIAFLALFLLAYAFLFLWPSSTSVYNASPASFVRCSLRECHHKTENGIKMKAVLEEPRNSQFNSPDRIVVKRERPSFLDEMGRGMMIGMVNMEGEDVSEWKMLGQVIPVSFEPVSELFEWKDLFPEWIDEEEEIDGPSCPEIPMPDFYKYASVDMIVAKLPCRVQEEGWGRDVLRLQVHLIAANLAVKRGRGIWNRRIKVVFLSKCRPMPDLFRCDDLLRQEGDWWYYEPDLTRLAQKLSLPVGSCNLALPLWGKGIHEVYDVSRIGKKSTRTIKREAYATVLHSSEAYVCGAIALAQSLLQTGTKRDLILLLDRSISKPKREALAKAGWKFRFIERIRNPRAEKNTYNEYNYSKFRLWQLAEYDKIIFIDADVIVLRNLDILFHFPQISATGNDGSIFNSGIMVIEPSNCTFNMFMQRTREIVSYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWSNSTVEAAVKNQLLGADPPKLYSIHFLGLKPWLCYRDYDCNWDLEDLHVYASDVAHRRWWKLHDAMDEGLQKFCTLRKRRKIDLEWDRKLAREKGLKDGHWRVNVTDPRKYTT >CDP01264 pep chromosome:AUK_PRJEB4211_v1:10:4095500:4103120:-1 gene:GSCOC_T00034852001 transcript:CDP01264 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSKLNAADFVGEDDELDEEPGEVIESAPPLQVGEEREINSLGLTKKLLKRGIGWETPEFGDEVTVHYVGKLADGAEFVSTRDRDKPLIFNLGQGQVISGLNHGIITMTKGETALFRMPSELAYGVEGMDGVPPNSSVQFEVELISWITVVDVCKDGGIIKKIIEKGEMMGPPGELDEVRVKYTATIDDGAIVAKTPEDGLEFYVKEGHLCPALPKAVKTMKKREKVNLVVQPHYAFGDDKKDSNTSFRSFPQSSVLRIDLELVSFKPVVDVTGDSKVLKKILKEGEGFVTADDGASVTVRYTAMLEDGTVFDKRGLDGTDAMEFVTDEEQVIAGLDRAVMTMKKGEHGLLTIKPEYGFGNAEVKQDLAIIPPISTILYEVEILDFIKEKAPWEMNDQEKIEACKRKKDEGNLLFKNGKYRRAGKKYDKALDFVSGDIPFGDDDLKLVESLRVSCWLNTAACCLKLLDFHRAVNLCSKVLDVESSNVKALYRRAQAYMETADLHLAELDIKKALETEPQNREVKLLQKSLKQLRAESNKSDAQIYTTMFSRMTKDGSTATKRLKIDNVENNNKPLEEVIAMELEKTAVSSQPPEEGVAVDSS >CDP07461 pep chromosome:AUK_PRJEB4211_v1:10:1751016:1753371:-1 gene:GSCOC_T00024732001 transcript:CDP07461 gene_biotype:protein_coding transcript_biotype:protein_coding MALPQILPSTSSSIIPSKSHLNPPANSHLNHPLKPFVSSPSAPPPLHHRQWRRRPNLLRCSASSFSEKHHTNSPKSDDVVELPLFPLPLVLFPGAILPLQIFEFRYRIMMHTLLQTDLRFGVIYADAATGTADVGCVGEVVKHERLVDDRFFLICKGQERFRVTKLLRTKPYLVAEVTWLEDRPSANGDEDLNSLANEVETYMKDVIRLSNRLNGKPEKEVQDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTATRLKREKETLRNTLNYLTAASAMSFSYDKHK >CDP00973 pep chromosome:AUK_PRJEB4211_v1:10:7067936:7071621:-1 gene:GSCOC_T00034449001 transcript:CDP00973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein-tyrosine kinase CEPR1 [Source:Projected from Arabidopsis thaliana (AT5G49660) UniProtKB/Swiss-Prot;Acc:Q9FGL5] MHSPSHSAVPVHYCTNLLFHHHHGLLLVTTAPKNPINQAIYIPLLFLFPSSATTNLTTFNLFHFQNTAIRTTAANQSQFFTLMRTSLSGNLLSNWDVSKDQNFCNYQGVGCNNQGYVEKIDISGWSLSGQFPEDVCSYLPELRILRLGHNNLHGSFPSSITNCSLLEELEMSSAYLTGSLPDLSPLNSMRSLDLSYNYFQGNFPVSFTNLTNLEMLNFNENEGFNFWQLPENISRLTKLQTVILTTCKLHGRIPASIGSMTSLTDLELSGNYLVGKLPSELGQLKNLKQLELYYNELEGEIPQEFGNLTALVDIDMSVNKFTKVPEALWRLPNLASLQLYNNSLIGEIPAFLGNSTTLTLLSLYENYLTEKVPENLGRFSPLVGVDLSENQLSGELPAYVCDGGKLLYFLFLDNKFSGEIPESYAKCNFLLRFRVNHNQLEGRIPEGLLGLPHVSIIDVSNNHLNGSISKTIEGAKNLSELFIQNNRLSGILPVEISRVINLVKIDLSDNLLSGSLPPEIGNLKQLNLLLLQGNKFNSSIPESLSLLKSLNVLDLSSNLFTGNIPQSLSQLLPNSMNFSNNMLSGPIPPPFVEGSGLLESFSQNPGLCVPNHLNASRRGFPLCSPAYNRRKVKHIWVIGISVGIVIVGIVLFLKRWFHNDRAMMEHEDTLTSSFFSFDVKSFHRVSFDQREIIESMIDKNIVGYGGSGTVYKIELSNGEVVAVKKLWSRKAKDYVSDDQLVLDKELKTEVETLGSIRHKNIVKLYSYFSSLDCSLLVYEYMPNGNLWDALHGEKIILNWPARYQIALGVAQGLAYLHHDLLPPIIHRDIKSTNILLDINYQPKVADFGIAKVLQARGGKDSTTTVIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELITGKKPVEADYGENKDIIYWVSTKVETKEGPLEVLDKKISGSFKDEMIKMLRVAIRCTCRNPALRPTMSEVVQLLIEADPCKFGSCKSLNKTKETFNITKPKNISDL >CDP11963 pep chromosome:AUK_PRJEB4211_v1:10:23418021:23418512:1 gene:GSCOC_T00035293001 transcript:CDP11963 gene_biotype:protein_coding transcript_biotype:protein_coding MCNELYSFHPKTFNQVNSLCKKTQILHPSHNRIPCFFFFLLLTTSEGRLLLGNLYILDKIDSFFLLLYFFSGDCVGNEAGVRWVTEGVPRRCLTRPMVTKSSLFHQCSFKFVAAIIFDSI >CDP18864 pep chromosome:AUK_PRJEB4211_v1:10:27458303:27460691:-1 gene:GSCOC_T00013406001 transcript:CDP18864 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFGDSLIDNGNNNNLPSFAKANYFPYGIDFNGGPTGRFSNGYTMVDEIAELLGLPLIPPFSEASGDQVRYGVNYASAAAGILDETGRNFVGRIPFNQQIRNFENTLDQITNSLGAPDVAQALSRCIFFVGMGSNDYLNNYLMPNYNTKNQYNAQQFADLLTSQYAQQLTRLYNLGARKFVIAGIGLMGCIPSILAQSGAGLCSEPVNQLVVPFSTNSKAMINKLSTNLPGSRFAYIDVRNMFQDLLTNHDSYGFDIVNRGCCGIGRNRGQITCLPFQVPCPERDRYIFWDAFHPTAAVNILFGKSAFSGGPQLVYPINIEQLARL >CDP00918 pep chromosome:AUK_PRJEB4211_v1:10:7906538:7910925:-1 gene:GSCOC_T00034369001 transcript:CDP00918 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGGAEFQDWEVLQSESGLESAYPLQNSSDFQEIDAQSEGMIQTDYFSMDPLRNSFSTRTVSVVSDANEVGSENSDNPSWIDPNSDTRYPRKDAGGEFWSDSSSDRSDERKFSELEGNNEKIQVGFDGNEKMQVGSGGIEEIGGGVNGKSLENFEKLLSVSGGVELDSVKVGEEVVEVDGESSCEENANSVALVEEKNEKGSTDAGVKNEGAEGFEIEARTEVEAEEEKKKAVVWWKVPIEFLKYWVFRASPVWTFSVAAAVMGFVILGRRLYKMKKKNRGLEMKVTMDDKKISQFMSRAARLNEAFSVVKRVPVVRPSLPAVGVTPWPVMSLR >CDP01074 pep chromosome:AUK_PRJEB4211_v1:10:5917213:5922017:1 gene:GSCOC_T00034583001 transcript:CDP01074 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIDCNNSSSHQQLLFACCSDLSHNDLSGTIPMNLSGLPYLQRLSLANNLYNGSVPSIIWPNTTMTGKEALTIDLQNNRFLDVSSRLILPSNVTMRLKGNPMCANVTLTKFCRTEDEDAVNIVNSTNSIFCPPQACPAPYEFAPASPVPCLCALPLHVGYRLKSPGFQDFRPYIHQFVVHLTSVLQLELYQLYINSTAWEEGPRLRMYLKIFPVYDNIYLSLFSKSEVLRIQTMFTSWEIPDADIFGPSELLNFTIPEPYNDGLSPILHDMVSCKAAIRGIVSAAIAAAAILCACMLWLILRFRKRKHHAVSKGHNLSRISVKIDGVKKFSYKQMALATNNFDSSSQVGQGGYGKVFKGILADGNIVAIKRAQVGSMQGEKEFLTEIELLSRLHHRNLVSLIGYCDEEGEQMLVYEFMSNGTLRDHLSGKSKEALSFAMRLRIALGSAKGILYLHTEANPPIFHRDIKATNILLDSGFTAKVADFGLSRLAPIPDLEGTAPAYVSTVVKGTPGYLDPDYFLTHKLTDKSDVYSLGVVFLELLTGMPPIVHGKNIVREVISHTFVVIG >CDP10644 pep chromosome:AUK_PRJEB4211_v1:10:11623766:11627927:1 gene:GSCOC_T00031423001 transcript:CDP10644 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKPAITPAAAYPHLRIAKHGCSDTCGNITIPYPFGMTEDCYLESNFSVTCNHSYNPPKLFLQDSNVNVTKISLEGQLNILKFVARKCPDHPESDDEPSFRFPEFTINSTVNKFTAVGCDTYGFLQSFHSVKGTTVDQTIGCITMCFNPSAVVNGSSSGGGCCQTSIPQGMTNFELKAGSYYNHRFVKEFSPCSFAFVVEEGKFNFTFDNLTNLRGVDKLPMVLDWGIGDENQTCETARKDSATYACQQNTYCVNGTGNYRGYRCFCNEGYEGNPYLPNIGCQDIDECQKEETNNCKFKKLCKNVEGGYNCSCPKGYLQKDDGKGSEGCIPKPKKSYEALVARILAGVAVGTMVLLMVCSWCLYVSKKRKMMWLKEKFFRENGGLLLQKRLNGQEESSNSSRIFSARDLEKATNNFHEGNIIGQGGFGIVYKGRLIDNKEVAIKKSKTVDRNQIEQFINEVVILSQINHKNVVKLFGCCLETEVPLLVYEFINNDTLFNHLHNKKRAREISWDIRLKIGAETAEALSYLHSAASPPIIHRDIKTTNILLDEEFTAKVSDFGASRLGLLDQDQLSTVVQGTRGYLDPEFFQTFQLTEKSDVYSFAVVLVELLTGKKPVCFNRSEGEISLSNHFLSSMKENRLFQILEDSVASDENIDQLRQVAVLAERCLNVKGADRPSMKEVAMELVGLRITSKHTRTQGSQALNQEEIEPLIDHQEQSNPSGGGDIMLTTTYYSLQNQEIQPIAHGR >CDP10986 pep chromosome:AUK_PRJEB4211_v1:10:22259161:22261188:1 gene:GSCOC_T00031984001 transcript:CDP10986 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLKKAIGAVKDQTSISLAKVGSNTTSNLEVAVLKATTHDDVPIEERYVNEVLYLVSSNKAYAAACARAIGKRIGRTRNWIVALKSLMLVLRIFQDGDPYFPREVLHAMKRGARILNLTSFRDDSNSSPWDFTAFVRTFALYLDERLDCFLTGKLQRRYTHRVREASGHRNSRANEPVRDMKPAMLLDRISYWQRLLERAIATRPTGAAKTNRLVQISLYAVVQESFDLYKDISDGLALLLDSFFHLHYQNCVSAFQTCVKASKLFEELSAFYSLCKSMGVGRTSEYPSVQTISEELIETLQEFLKDQSSFPATSKSPNRLLLLPAPPNSVARSSRGDSYGGLSEFSVTTDGYSERTSEFGSQCTSLEDLISATEITGAPPSISIDLEDYSDQFSKQLQHERSFRLSDSGSTHSLPVSSSMADLVSLDDWPDEEKQSQDQQEQEKEQNDKAIQSSSSASAKDWELVLTDVALVVSPPQAPQPITNDFDGSTLDSLYNQSSMPVSSSQQHYNPFLEDTPALPSMPFPDSITTTAAAADEFQLEFPATEAYSFAPTFQAAEPAVPTFKASLPPTFSAQDPTGTAMVPELENDPFATVFSSDQMLNASMNQQNFLHEQQLWLQNQTKIIAKHTA >CDP12019 pep chromosome:AUK_PRJEB4211_v1:10:22564378:22569138:1 gene:GSCOC_T00035375001 transcript:CDP12019 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAWHCISLLVILAGLMVGGNGFPAEDFVEALPGQPKVGFKQYAGYIDVDVKAGRSLFYYFVEAEKDPDQKPLALWLNGGPGCSSIGGGAFTEFGPFFPRGDGRALRRNKMSWNRASNLLFVESPAGVGWSYSNTSSDYNTGDANTARDMHIFMMEWFKKFPSFRSRALFLTGESYAGHYIPQLAVALLDHNADSKDFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEVGLAIMNNCDFEDYTYPNPHNVTEACNSAISQANQIVGDYINNYDVILDVCYPSIVEQELRLKKLATKISLGVDVCMTYERRFYFNLPEVQKALHANRTNLPHVWSMCNDDLNYTQADGNLDMLPLLKQILQSGIPVWVFSGDQDSVVPLLGSRTLVRELAHDLHLQTTVPYGAWFHKRQVGGWVTEYGNLLTFATVRGAAHMVPFAQPSRALHLFSSFVRGWRLPNTTQTRMDD >CDP11479 pep chromosome:AUK_PRJEB4211_v1:10:26602238:26605327:1 gene:GSCOC_T00033754001 transcript:CDP11479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CutA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33740) UniProtKB/Swiss-Prot;Acc:P93009] MALKLSSLVSPSVVRRRLPLVGAFCVLSFGLSNLCPSFNPSSKTGCAQSLHFLPILGSKVGSSVHSTNRIRMEASSRTVPSIVVYVTVPNKEAGKKLAESIVKEKLAACVNRVPGIESVYEWKGEVQTDPEELLIIKTRESLLDALTQHVKANHEYELPEVIALPITGGNLQYLEWIKNSTRD >CDP07238 pep chromosome:AUK_PRJEB4211_v1:10:76581:82236:1 gene:GSCOC_T00024444001 transcript:CDP07238 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSHWRRRRSSLCAALVVVGAVIVAFFGVSPCNALLLSEEAEEDNKSLVSRIAFGSCANQSAPQPIWDAIIDFNPHVFIWLGDNIYGDIRRPFRLFGKQRTIGPWKNVPRFIPSSEHEMQSRYLLAKNSPGYSRLRLSTKVIGTWDDHDYGLNDAGKEFSAKITNQRLLLDFLDEPQDSPRRKQAGVYASYTFGPRGRQVKVILLDTRYHRDPLFSDGSILGSLQWEWLAKELKGPATAITIIGSSIQVISNLSATTGPLFYMESWGRFPKERSRLFELISESKREGVFFISGDVHFGEITRYDCAAGYPLYDITSSGITQAVEKAVPSPLHFLVRVAARLTPSTMRVMDRGCSYSSCTYGKPNFGIVEINWDTAPVNLKFEVRDENGDTVIGVNTSLSQLKAQRTESKFTKVEGKYKNHCLLEVELPWMIRYRLAIMFFCTVGVLLLLLIGVFYTVISLCSRCLQKCKLD >CDP07314 pep chromosome:AUK_PRJEB4211_v1:10:687795:694883:1 gene:GSCOC_T00024543001 transcript:CDP07314 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPRSGFCKANSTFYSKRKPIPFPANHALDLTTFISSRPHHGKVAFIDATTGGQLTFPDFWKAVESVSTCLSEMGIRKGHVVLLLSPNSIFFPVVSLSVISLGAVITTTNPLNTAREIAKHISDTKPALAFTVPQLLPKLADASNDNHLKVVLVGERERETPCENNFSTSSCTVIGSLEEMMKREASQARVKDRVTLDDTATLLYSSGTTGVSKGVASSHKNFIALIETLVRRFGTNAQEEIYIATVPMFHIYGLAAFTMGHLAMGSTVVVLSKFDLEEMLWAVQKYRATYLPLVPPILVGIVNKADEIKKTYDLSSLRTVLCGGAPLSKEMIEGFVDKFPKVTIMQGYALTESTGGGASTDTMEESRRYGTAGLLSPSVEGRIVDSDSGRALPVNRTGELWLRGPTIMKGYFNNEEATASTLYSDGWLRTGDLCYIDEDGFLFIVDRLKELIKYKGYQVAPAELEALLLCHPEVADAAVIPFPDEEVGQFPMAYVVRKAGSTISGVAVIDFIAKQVAPYKRIRRVAFIASIPKNPSGKILRKDLIQLAASKL >CDP07592 pep chromosome:AUK_PRJEB4211_v1:10:2678596:2683644:-1 gene:GSCOC_T00024893001 transcript:CDP07592 gene_biotype:protein_coding transcript_biotype:protein_coding MQISNATLTPRSAAGKMSSEQVIVAVKAEKVITKTAMAWALTHVVHPGDCITLLAVFPEEKTGRRRFWGFPRLKGDCRAAAGGADLTSTNKLPDRIGQISESCSQMVLQFQDRIDVRVRIKVVSATPAGTVAAEAKDNAAKWVVLDKKLKLELRHCMDQLHCNIVVMKGSQPKVLRLNLECPDEIQTPFYSAAASPVLDVQKLHGQRMKHSTPVSSPEEPSTSYTKTSGETSLSSPDTATSKFLVYQQNPLYEKLTTGKHTPSHKPNGFGHPLALPDSVEERTVTLSMSSENLNLDDKRIFWIPQNHKISEKAQEIGDCQNGLQNTALPMRDDHHHLVPCMLTEGHKHNLTYGKDVEFNSNIRDAVSLCRTLSTPPPLCSQCQQKAPAFGKPPRQFLYEELEEATDGFSDMNFLAEGGFGLVYRGILRDGLVVAIKQLKFSGSQRDADFCREVRVLSCAQHRNVVLLIGFCVEQKRRLLVYEYICNSSLDLHLHGNLGTILDWDMRLKIAIGTARGLRYLHEDCRVGCIIHRDLRPHNILLTHDFEPLVADFGLARLHREWELCDEEQVIGTYGYLAPEYFTDAKVTEKVDIYAFGLVLLELITGEKTGALPNYSGQQFLFKNFHPLGTLEESHSLADKQRFLDPCLVSYELQSFPYELRAMSHAASLCLQKDPDLRPPMSKVLRILEGGGKVVPLVLDSNSIGSRSGHINGLNPGISTTSRRKHSRRLSH >CDP18298 pep chromosome:AUK_PRJEB4211_v1:10:24999777:25001431:-1 gene:GSCOC_T00012032001 transcript:CDP18298 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTSPPTSPDCIVFGLSTFSDDYVDTGTIERGKTDWNLEEYYKNETRFRPSTRTPVFHKGSFYCIDLAGKVGIFYPNNSENKWTVLTRLTKRRCERVIRQNFLVAVDGELLAVLNHDNRKVMVERLDRTNGQWKPVQDLGKILYVSHGACFAEDAKIKNVVVYFPKFRDNIGVFYSTNTGKYHSVVGDFSSKTSYELDEITEFGTWIMPNLDIALEEQLGDCKLKISVTHFGGYRFGLFSLCAP >CDP01366 pep chromosome:AUK_PRJEB4211_v1:10:3165069:3171958:1 gene:GSCOC_T00034977001 transcript:CDP01366 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDGTVKLGNLTTMKPAELDRAFNLEPDVFVSSPVTRQKAAAAKQFIENHYKNYLQGLQDRKDRRRALQRKAEEANVSSEEQEEMLRNLERRETEYMRSQRHKVGIDDFEQLTIIGRGAFGEVRLCRAKNTGEIYAMKKLRKSDMLSRGQVEHVRSERNLLVEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYIAESILAIHSIHQHNYVHRDIKPDNLILDKNGHLKLSDFGLCKPLHNKYSSILLEDEDLSIQESPSEHDGDSESSRAPWLMPKEQLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGLECDWWSLGAIMYEMLVGYPPFCSDDPRIACRKIINWRTCLKFPEEPKISDEAKDLICHLLCDVDTRLGTRGVEEIKAHPWFRGVQWDQLYEMEAVYKPRVNGELDTQNFEKFAEVGDAPSTGPIVGPWRKMLTSKDANFIGFTFKKSDALKSLGTSGVVTRSSASSKPPSLVSLFGKVDLQETIAEDEPKQE >CDP07488 pep chromosome:AUK_PRJEB4211_v1:10:1970716:1974673:-1 gene:GSCOC_T00024763001 transcript:CDP07488 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMACTSAGTSTLATVKAEDRWPVQIKHIRCGPVGLKPMSLRFRPLDKRTPINHAIRTKQTSIICSAALNATCAEGQTQTVTRQSSTITVAPVQGKEKSPELDDGGTGFPPGDDGDGGGGGGGGGGNWSGGFFFFGFLAFLGFLKDQESEGPYRDERRR >CDP07584 pep chromosome:AUK_PRJEB4211_v1:10:2604148:2617052:1 gene:GSCOC_T00024881001 transcript:CDP07584 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDEELRRACEAAIEGTKQKVVMSIRVAKSRGIWGKSAKLGRGQMAKPRVLAISTKAKGQRTKAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVVTNDPSGCTFMLGFDNLRSQSVSPPQWTLRNVDDRNRLLMCILNICKDVLGRFPKVVGIDVVEMALWAKENTPTIPKQLGNQQDGPVEVAVAEGDMKVTVEKELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELQALEAANVHAILENVPLMDEVLQGLESATNCVEDMDEWLGIFNVKLRHMREDIESIETRNNKLEMHSVNNKSLVEELDKLLERLRIPSEYAACLTGGSFDEARMLQNIEACEWLTNALRSLEVPSLDPCYADMHAVREKRAELDKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSTGSSQNVNNADTSTVSEAYAKMLTIFIPLLVDESSFFAHFMCFEVPALVPPGGLANGKGGPNDNDDGNDDDLGILDIDDNDSKSGKSTAELEALNESLRDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYISGQKADAAGFVRLLLDDLESRISMQFGRFVEEACHQIERNERNVRQVGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVTIMFVTLDKIGQADPKYADILLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRFISTIIYYQFERLFQFARRIEDLMYTITPEEIPFQLGLSKMDLRKVVKSSLSGVDKAIATMYKKLQKNLTSEELLPSLWDKCKKEFLDKYDSFAQLVAKIYPSENIPSVKEMSELLASM >CDP01339 pep chromosome:AUK_PRJEB4211_v1:10:3358809:3359777:1 gene:GSCOC_T00034943001 transcript:CDP01339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 2 [Source:Projected from Arabidopsis thaliana (AT1G47480) UniProtKB/Swiss-Prot;Acc:Q9SX78] MGSYNSEIADEVPPYLRVYKDGTVERLLGTEVTPAAFDSKTGVSSKDIIVIPEAGVTARLYRPNLVTKTQRLPLLVYFHGGAFCISSPSDPKYHNCLNLLVAEAKIIAVSVDYRLVPEHPLPAAYEDSWAVLKWVASHISGEGSEDWLRECVDFSKVFLAGDSAGANLSHHMAIRAGNADPPRIGGLKLRGTIMIHPYFWGEDPIGIEAKDPVRKGMVDKWWQFVCPSGKGCDDPLINPFVDGGAESLSRLACDFIIIFVAQNDILADRGRRYYEFLAKSKWQGKAEMVETPGEDHVFHIFNPCSDKARMLIKRCASFINQV >CDP10747 pep chromosome:AUK_PRJEB4211_v1:10:9443598:9445240:-1 gene:GSCOC_T00031559001 transcript:CDP10747 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLKDSIFTWHMDKGTNSQPNGQAPISSTDKPLTPEGFDAPKYSPPRRLKTEEIPGIVNDFRLAAINAIEAGFDGVEIHGAHGFLIDQFLKDQINDRTDEYGGSLENRCRFALEIVEAVSDAIGSHRVGLRLSPFANYNEAGDSNPKALGLYMAEALNKHKILYCHMVEPRMKTLGEKSETADSLMPMRKAFNGTFIAAGGYNREDGDRAVAENQADLVAYGRLFLANPDMPRRFELNASLNKYHRDTFYTSDPVVGYTDYPFLETND >CDP07516 pep chromosome:AUK_PRJEB4211_v1:10:2164079:2169314:1 gene:GSCOC_T00024795001 transcript:CDP07516 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDVNCCESKFFLFLAIIVGLVLFAGLMAGLTLGLMSLGLVDLEVLSKSGRPQDRIHASKILPVVKNQHLLLCTLLIGNSLAMESLPILLDKLVPPWAAILISVTLILMFGEILPQAICTRYGLTVGATVAPFVHLLLWIFFPVAYPISKVLDWMLGKGHAALLRRAELKTFVDFHEKEAGKGGDLTHDETTIIAGALELTQKTARDAMTPISKAFSLDLDGTLNLETLNAIMTMGHSRVPVYYKDPTNIIGLILVKNLLAVDPEDSVPLRKMTIRKIPRVAENMPLYDILNEFQQGHSHIAVVFEDLNKTKGTPKKTKDPDTAAVKSDSVDASLSKGGADQAKKKSPPPTPAFKKRHRGCSFCILDLENTPIPEFPPNHAVLGVITMEDVIEELLQEEILDETDEYVNIHNRIKINMNASQEKLPELNAIESSRSHTASEVPGPHSDQPVYARTSR >CDP01319 pep chromosome:AUK_PRJEB4211_v1:10:3572796:3574229:-1 gene:GSCOC_T00034919001 transcript:CDP01319 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSTEILHDLSPTIRVYKDSRVEGIVGKDIVAASVDPETGVDPTGYKASSSGLFPWWGLLVEYAFSPTYHAHLNTVVAEIGVITVSINYQLAPEDSFKWIASHSNGKGPEAWFRDYVDFDRVFFGRDNVGVPHPIATPIYNMALRVGLEILDGFNLDSIFLHCPYFFGKLPIRHLALCSSKIYEVDDPLLNPIMEPNLSRLGCKKVLIYVAEKDILKDRGWLYKEALEKSE >CDP11496 pep chromosome:AUK_PRJEB4211_v1:10:26744380:26745369:-1 gene:GSCOC_T00033775001 transcript:CDP11496 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDYVKEEKDGHSNPMFAKLHQTQKFLHHPPPPQPQPTTLLHHSFNPRECQASEEVDSHHRSPTTPSASAATTSKTQTLVTPSSGNDGATIEVVRRPRGRPPGSKNKPKPPVIITREAEPSMSPYVLEISGGVDILETVTTFCRKRSTGLCILNGSGTVSNVTLRQPSTTPGASVTFHGRFDILSLSATILPQNSHSFSTSAALSNGIGNGFTISLAGPQGQVVGGTVVGSLFTAGTVYLIAASFNSPSFHRLPLEDERNSGSAAAAAGSEGPTVSGGGGGDGGGGRSPAQGGGVDSCSGVSLYSCHLPSDVIWAPTARQPQQHPPPY >CDP20125 pep chromosome:AUK_PRJEB4211_v1:10:27273496:27275705:1 gene:GSCOC_T00011264001 transcript:CDP20125 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRRSNISNFSCCFLVILVLSLHCENHIVSSSASRFIQTRGTRFVLGGSPFFFNGFNSYWMMHVAAEPSERHKISNVFREAAAAGLTVCRTWAFSDGGDRALQMSPGVYDERVFQALDFVVSEARKYGVHLILSLTNNYKDFGGRAQYVTWAKNAGVQVNSDDDFYTKNAVKGYYKNHIKKVLTRINTISRVAYKDDPTIMAWELINEPRCQVDFSGKTLNAWVQAMATYVKSLDNKHLLEIGMEGFYGDSMPGKKQYNPGYQVGTDFITNNLIKEIDFATIHAYPDIWLSGQSDGAQMMFMRRWMTSHSTDSKTILKKPLVLAEFGKSSKDPGYSLYARESFMAAIYGDIYRFARRGGIAGGLVWQILAEGMQPYADGYEIVLSQNPSTGRIISQQSRQMTSLDHMSSNRTNSQSNKLRNSKEQ >CDP17875 pep chromosome:AUK_PRJEB4211_v1:10:11737902:11740877:1 gene:GSCOC_T00010106001 transcript:CDP17875 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQCIAMIDVANEFETLVDDNNQDVTRYKDLENEVSVSTHINPVLGKQFPTSVFDKEGASLKLTRILINTFLDLESYAMKFLESNEKILAVYPVGPLINFNDMGDANETFKSANKELNQRSSLPNHSIIFLCFGNLGYFCGYQVKEIAHAIEHTGCRFLWSS >CDP07255 pep chromosome:AUK_PRJEB4211_v1:10:243284:247356:1 gene:GSCOC_T00024473001 transcript:CDP07255 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3B [Source:Projected from Arabidopsis thaliana (AT2G33610) UniProtKB/Swiss-Prot;Acc:Q84JG2] MGTDNNHHHNNDTTITTTPATLGPNISNNNNSSTANNKSPPKPPIKPAEPPTPTTTTTLQPFGSFPNTADSDFISIPSYSRWFSWDNIHQCELRFLPEFFDGRSASKNPKTYKYYRNAIIQRFRDNPSSAPTKKITFTEVRKTIVGDVGSIRRVFDFLEAWGLINYSPSSSSSSSNKAAAAPQQLSQNDNKDSSSSATKSAAAAAAPPPDAPPSASADNSTTAAAAGGPKKRLCSACKSPCTISCFTSDKHNLTLCARCYVSNNFRVGISSTDFRRVEISDVVKTDWTDKETLHLLEAIMHYGDDWKKVAEHVGGGRSDKDCVARFLKLPFGEQFVGAPESSEMVDNQLTSRRSSFQNKRMRLSPLADASNPILAQAAFLSALAGVEVAEVAAHAAVTALSDFAGVKIKANLKSVPADAKQQDFDVASHGDTAYRMDGALAEAQSELEKEEEDVERALCEIAVQTKELQDKIVHFEELDLQVERESQQLQQLKDLLYVDQLTLLFYKAAAHKSGESMVESVKAE >CDP10746 pep chromosome:AUK_PRJEB4211_v1:10:9445482:9452337:-1 gene:GSCOC_T00031558001 transcript:CDP10746 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALEYGQEEQHSQYHHQQPIPLLTPYKLGNFQLSHRIVLAPLTRQRSYDNVPQPHAKLYYSQRTTKGGLLIAEATVISETARGYESTPGIWTKEQVEAWKPIVDAVHARGGIFFCQIWHVGRVSNYDFQPNGQAPISSTDKLLPTQIQADGVSVHECSPPRRLKIEEIPQIVDDFRLAAINAIEAGFDGVEIHGAHGYIIDQFLKDQINDRTDEYGGKLENRCRFALEIVEAVSTAIGPDRVGIKLSPFDDYNESGDSNPQALGLYMAEALNKYGILYCHMVKPRFWEKADYPDESLLPMRKAFKGTFIAGGGYDREDGNEAVAENRADLIAYGRFFLANPDLPKRFELNAPLNEYNRETFYTPDPVAGYTDYPFLDSRPKWLWDQMLRDVTSLKPHFHLPLNISVSLVLLTSEHKGKKFFGSLVALITNLEMGKKMEDGQEKEQSQQPIPLLTPYKLGNFQLFHRIVLAPMARQRSYGNLPQPHAVLYYSQRTSRGGLIITEAAGVSDTSLIG >CDP00935 pep chromosome:AUK_PRJEB4211_v1:10:7710132:7714759:1 gene:GSCOC_T00034392001 transcript:CDP00935 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIAASCCSGDQQGGPKISFANGVWVDKRFPVKPSFQQCVRDAFKAEAKNVDFSNQADEAVEEINSWVESQTNGLISGVLDSQHIDPLTALVLGNALYFKGYWKNKFNPKRTEERDFYPCKISVPFMTSSCSYLYGSFDNFKALEIPYQSGKQDEKHFSMQFLLPNEKDGLQNLLEQFNADSYGLINRHNFQLTRTEFKDFWIPKFKFLYNLGNIKFPFMEEPMELTEMVHLESGEHPVISNIVQKAVIEVDEQGTEAAAFTGFDIFGPPPTLEEKLESFWRTILSCSLSNNKRLD >CDP01311 pep chromosome:AUK_PRJEB4211_v1:10:3620987:3622896:-1 gene:GSCOC_T00034911001 transcript:CDP01311 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAHPSLFPSSFTPITHHFFLIFSSSSYKTFSLYSYLSPFLHLYLFIYHRLFSSEVLTVDLQLNLLLFV >CDP07580 pep chromosome:AUK_PRJEB4211_v1:10:2578794:2583231:1 gene:GSCOC_T00024875001 transcript:CDP07580 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKQREPPKFLCKLEMEVDKISNLPGHIIDKILSHMSLRDAVRTSVLSSKWRYKWDTLPHLIFDNQSVLVSSQDQTLIKNKLVNIVDHVLLLHSGPIQKFKLSHRDLQGVSDIDRWILFLSRGALKEFVLEIWKGHRYKLPSSTYFCQDLIHLELFNCLLRPPATFDGFKNLKSIDLQHITMDQDAFEHLISSCPLLERLTLMNFDGFTNLNIHAPNLLFFDIGGVFENVSFQDTFQLAVVSIGLYTNTGFDRNLTFGSAGNLIKFFAHLPRIQRLEVQSYFLKYLTAGKVPGRLPTPCIELSFLSLRINFNDVEESLAALCLLRSSPNLQELEMLARPEEQSAMRISANIVEEDYENCPFNQLRFVKIAGISGLRQELNFIKFLLANSPVLEKMTVKPGSTDGGWELLKELMKELLRFRRASVQAQIIYLDP >CDP07566 pep chromosome:AUK_PRJEB4211_v1:10:2484394:2493834:1 gene:GSCOC_T00024860001 transcript:CDP07566 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFRCSGCASVNLHPTFSTNYQLAFVFFKVFLTFVCLEAVYATLQEHPLERQGLEKGDEYIISHSCIHDRIVEQRERPGRQVYSVTPQVYAEPGTSKPLHHRGRALLGISESSEQQKDVKLPIRIYLNYDAVGHSSDRDCQNVGDIVKASLGEPPGASFSGEPSCNPHGDPPVYGDCWYNCTLEDIAADDKKDRLRKALGQTADWFKRALAVEPVKGNLRLSGYSACGQDGGVQLPRQYIEEGVAEADLVLLVTTRPTTGNTLAWAVACERDQWGRAVAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTEQVSDEKLGRVVTRVVLPRVVMHSRFHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTKSVVSKMTLALLEDSGWYQANYSMADRLDWGRNQGTDFVTSPCNLWKGAYRCNTTQLSGCTYNREAEGYCPILNYSGDLPQWARYFPQANKGGQSPLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCVNNSLEVAVDGIWKVCPEGGGPIQFPGFNGELICPAFHELCDIDPEPVSGQCPNSCNFNGDCVNGRCHCFLGFEGDDCGKRLCPNNCSSCGKCLSHGVCECENGYTGIDCSTATCDEQCSLHGGVCDNGICEFRCSDYAGYTCQNSSTLLPSLSVCQDVLKKDAGSQHCAPSELSILQQLEEVVVMPNYHRLFPGGPRKFLNYFRGKDCDGAAKRLACWISIQKCDKDGDNRLRVCHSACQSYNMACGASLDCADQTLFSNEEEGEGLCTGWGELDSWF >CDP07534 pep chromosome:AUK_PRJEB4211_v1:10:2274957:2278925:-1 gene:GSCOC_T00024823001 transcript:CDP07534 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSCSNLHFINAIKGNLVSKLCNVNSCGKPALMFKKLKDIYNTGEMRTTSHSFLQSVRSLLKVHPARNEAVCSISDGETGNDSDDDIFGKMTLKQLKQKCKVKKRRRSVSVGLNPQHVHTKLEREDDESDLKVPLSSLKMKSPKAKKKCIRSSSESSELSVFKIKSEQGLDPESSVQFHGGLHSVAHVKTEVPEPELEGCQNSTPFVDETFTVNPTYESNIIEVINDGNSGRVECRRLEPDLDGEQYQSCALNQVSYDHLEYVEPLCVLVPSFEDLMDVSNQEERHHQFLDMPSSEFGREGQIEQPLETISHGKDQSSDVNGCSGSCHLHEFSVQSSKPVAQVPELVVDASTGKKEPCYGGESCLLQGTLNKKLTTNQQIATLGSPHRTCSSSWGPHPCSSTEEELLSSQDGKTEEKQQLKGNIIDIVNNNLNSENHMGLLEHELSSDEEKKTPISTKVHSERDYSSWNQNCAFEETHSPSAPVPTPGRLLSTRKVVSPTSQERYCLTMNSTESSYGTDLQDCKENLCTSKQTEALPFCEGSDKHDNASKIPKGPVAVSRTKFILSPSQVKGKTKRERTSPPKGNLEGPRLSRSLPRVTTGCTSVQGCSESAIAFSRRQMHDIESLATKLMSELKSMKEIVEEKLLFEAYRNVSLKNDADEVKNAITNATKAEESARKWLSIMGRDCNRFCKIMSLTQKCTTPASADVVQRERKRISFADEAGGMLCHVKFFENGMASGNENQED >CDP18871 pep chromosome:AUK_PRJEB4211_v1:10:27575962:27576354:-1 gene:GSCOC_T00013414001 transcript:CDP18871 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDSNPAALGLYMAEALNKYRILYCHMVEPRMKTLGKKFECADTLLPMRKAFKGTFIVAGGYGREDGSEAVAKDNADLVAYGRLFLANPDLAKRFELNAPLNKYNRTTFYIPDPVVGYTDYPFLETIA >CDP07471 pep chromosome:AUK_PRJEB4211_v1:10:1820797:1822464:1 gene:GSCOC_T00024743001 transcript:CDP07471 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQDSPSNPNTSILHQFIISNSIASQNQFGGEHFDAYANGLSGGSTYDSLGSTPSLQCFGERIPRSIDIVNAPQLTDESDVNHPRHLMDLLGASNEANYHAQRLSLSLGTHNAREACNPRGDSINSSYSFGGSVFAASSTSPNHQSSCISYGTEALAVAISNSKYLRPAQWLLDEVVKVGSKAIDLSNEKYARRLSSSSKRGSLKLRSEINLEFTNNEVFTEKHELQAKLLKLIALLEEVERRYEQYYHHMDELVSSFEMIAGLGAGKSYTALALQAMSRHFCCLREAILSQIYVTKRKISEDMPKISSDMSQLSLFDQENRHSRLSLQQLSMIQSSRQAWRPIRGLPETSVAILRSWLFEHFLHPYPNDSEKLTLASQTGLSKNQVSNWFINARVRLWKPMIEEMYKEEFAESYVESNPSISREGFADSAED >CDP11935 pep chromosome:AUK_PRJEB4211_v1:10:24152790:24157511:-1 gene:GSCOC_T00035247001 transcript:CDP11935 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQAVVAGGDVKGCSGVGGSDDARTVVVGVKLDAQSRELLTWALVKVAQPGDRVIALHVLDSNEIVDRDGKSSLLSLVKAFDSVLAVYEGFCNLRQVDLKLKICRGSSIRKILVREAKSYSATELIVGTSRNHHTIRSSASVAKYCAKKLAKDCSTLAVNNGKIVFHKGAPSSSNIIAKEIEHHRRNGLFNALQSSLTKNNKATTDGNLAMRMIASEHVTNGTLDLAPKSGDSEHDDGFLKKGCPICSLATVSEDDHNTQSAKQSSCDGSNGDSMAIVPLQKIEAASSSISLLMRELPEVRPGWPLLRRAILSNKRNSNNSSVRQISVVQWALRLPSRHFLSIDSNEKGRVCDHDKDQSPKIDGECGAIVPIGNETLPAPASSDGISKALPKELEGLHDKYSATCRLFKYVELVSATSNFIPENMVGKGGSSKVYKGCLPDGKELAVKILKPSEVALTEFVLEIEIITALNHKNIISLFGFCFEDNHLLLVYDFLSRGSLEENLHGNKKDPLAFGWTQRYKVAVGVAEALEYLHNRDAQPVIHRDVKSSNILLSDDFEPQLSDFGLAKWASTTSTHITCTDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELISGRKPISNDSPKGQESLVMWAKPILNSGKFSQLLDPNLSSNYDGEELERMALAAALCIRRAARARPQMSLILKLLQGDIEVTNWARLQVNGLEGTNTAKLVDSLEGSDALDDETFSHSNLQSHLNLAFHGVEDSLSSIEQTISLEDYLRGRWSRSSSFD >CDP07508 pep chromosome:AUK_PRJEB4211_v1:10:2098219:2099775:-1 gene:GSCOC_T00024787001 transcript:CDP07508 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGNAPADTNPTARTSARVGVQIQQSRRLPDFLQSVNLKYVKLGYHYLISHLLTLCLVPVMIIILIEASQMNPDDIRQLWLHLQYNLVSVITCSAILVFGSTVYIMTRPRPVYLVDYFCYRAPDELKAPFESFMEHSRLTGDFDESSLQFQRKILERSGLGDETYVPEAMHFVPPRPSMQAAREEAEQVMFGALDNLFANTKVKAKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDLLQVHRNTYAVVVSTENITQNWYFGNKKAMLIPNCLFRVGGAAVLLSNKSVDRRRAKYRLVHVVRTHRGSDDKAFRCVYQEQDDAGKTGVTLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFATLVLKKLFQKNIKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPEHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRIRRGQRIWQIAFGSGFKCNSAVWQALRHVKPAPNGPWEDCIDRYPVKVVQ >CDP01131 pep chromosome:AUK_PRJEB4211_v1:10:5296216:5299257:-1 gene:GSCOC_T00034654001 transcript:CDP01131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) UniProtKB/TrEMBL;Acc:F4IA25] MDLNSHIEKILWTDQEISQRVSELASQITQDFSTNSDSSSSSSAPIIVGVATGAFLFLADLVRKIQLPISVDFVRIESYGSGTVSCGKPKITCDLKIDVRDKHVIVVEDIVDTGSTLSFLIEYLKSKGSLSVSVCTLLDKPARRKVNFELVGSGKFYCGFECPDYFVVGYGLDFAELYRNLPYVGVLKPELYQ >CDP01035 pep chromosome:AUK_PRJEB4211_v1:10:6302088:6304743:-1 gene:GSCOC_T00034525001 transcript:CDP01035 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVISDTKSGFSMEIVVSMREPVLFAQAVPKPLAAPGGGTPIIWTKPSLKVLETAGGGGRINAWVDSMRSSSPPRRSSESEEVNKSWMLHHPSALSMFEEIIEVSKGKQIVMFLDYDGTLSPIVEDPDRAFISNEMRDAVRDIAHHFPTAIVTGRCRAKVYNFVKLSELYYAGSHGMDIKGPTQGHRYRKGNQTVLCQPAREFLPMIDEVYKTLLEKTKSIPGAKVENNKFCLSVHYRCVEEKRWAELAVQVKTVLKDYPKLKLTQGRKVLEIRPTIKWDKGNALEFLLESLGYANSDDVLPIYIGDDRTDEDAFKVLRKRGQGFGILVSKVPKETNASYTLQEPYEVMCFLKRLVEWKKSSLRRF >CDP11913 pep chromosome:AUK_PRJEB4211_v1:10:24458904:24462140:-1 gene:GSCOC_T00035219001 transcript:CDP11913 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKDLSSCLPMEVVSDYDKALPWLDWTVRLKIASEAAAALSFLHHECVPSLVHRGIQSSSILLDDKFEVRSGSLCYISDEEQRISQDKLHSIRISRKAIPKFLQFPNTKCWYMTYLVKVLLELIAGKLGFSADNESAIKDWMESSLSYITSDNKDFVVRILDPPLIVDKHLRMQAWAVALVAKACLSPKPSKRSQMPHVRVALEHVTSPSLGNGNFQPTGHFRPLDPIDDIAKILGRSALIGKTYKATTNRTYLGNNVSRNHEILEPRGINEPCQNPEFLAHPNLTVFSFQELMDATRNSRTMVGATKLWTIYQAWLHEKSTSKSGSGSVIAVRKLYSQNMEVLDLCQSQAHLLGSLSHPNVVEILGYCLKGKELFLVHEFMQHGSLENHLFNTTGQLSLSWDIRLKILIEAAQGLAFLPSDILLDGVRYIFKLKKVLYFHSYNAKMLGFGIAKIDRPKPFDDVHLLLRKITDKDDIIILLINSYLTGLMNTNSDIYGFGVVFGGNTYWSIRERQI >CDP00864 pep chromosome:AUK_PRJEB4211_v1:10:8822354:8822860:1 gene:GSCOC_T00034294001 transcript:CDP00864 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDEGGSSCSTSQKRFRGIRLRKWGKWVSEIRVPGTQDRLWLGSYAAPEAAAMAHDVAYYCLRENASLDDFNFPLMLPAGVQRGMSPRSVQKAATDAGMAVDAQIIAKHIPSWDGKEFSRELGDQALNISVDDYL >CDP11914 pep chromosome:AUK_PRJEB4211_v1:10:24448703:24453620:-1 gene:GSCOC_T00035221001 transcript:CDP11914 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSCDNWERLVTAVLRREDLRFTGQRTPSELSLASLSSISSFNLASSSRRVSSFNFNNLFAGVSFTCLQILQATDYLNESKLIKHGHSGDLYDGVLEEGTRVVIKKIDLSSVNKEYLFTAELEVLGKVSHHHRFVPLLGHCLENGNEKFLVYRYMPNKDLSTCLSRKIISGNNTQSPFLDWITRFKIATEVAESLSYLHHQCVPPLVHRDIQAGSILLDDKFEVRLGSLYKVCAEEKDIAPNTIARASNQGTPGTSNACYATCAYDVYSFGKVLLELVTGKQGFSATENSIMKDWMIKALAYIIPDKEELLINIVQSTLSWDKHLLSQVWAVSFIAKACLSPESSERPNMARILLALDHIISARFGDENFKPIGHHGSVSTALVIAKILQRSQIVGRMPKATGNATLGSRTALKEGYQNDGIFVHPKLTIFSYSELMVATRGFRSDAVLREGEFSAITQAWLQDKSTSKSSSEALVAVRKFYSQNMLLLNDWQSHFLEQSRVCLLGRLSHPNLVEFLGYCLEDKELFVVHEYMQNGSLENHLFRTSSDVRPLSWDIRIKILIGAARGLAFLHAAEWQGYYEYFGTSDILLDGSYNAKISGIGTTKIVRRKVFNEEHPLFFWYKRGMYFNAPPEYDLTEYIPQELRNVKGDVLGSRVVLAEKNVKGDVFGFGVVLAEMLTGLSAKGRNRLGWREHHLVPFFRYHMRKNPLEKIMDPQLEGKYPAEAALELGSLACLCLQDEPESRLSMKEVVEILECIVSTKE >CDP01159 pep chromosome:AUK_PRJEB4211_v1:10:5028902:5029708:1 gene:GSCOC_T00034694001 transcript:CDP01159 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTTSTGRSSGVGDHDVYNPCSFLRKAASAMLKCLGFDEAKPYVDDHGNKSSSCSKEKDEEKFNNERNGCSGQDDQSSYSPLDPRSSMDPADDPPMEDLAGRGRTPPRPGIRTGNPQTNSSSS >CDP07241 pep chromosome:AUK_PRJEB4211_v1:10:108071:110168:1 gene:GSCOC_T00024447001 transcript:CDP07241 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRASSSISMQAAILSTSPPNYSPAAHGGNGNTPQPPSIEFPTSPQGCTSIGSDAAVLVTHYSHPKHPLAQLTLPELFACAGCKEYGAGKRFACQECDYQLHEFCALSPPLLRSHPLHPQHQLVFHSRPKQGGIKWPRCDICGKSCRGFTFRCRACSFQMHPCCAMLSTDITLPELHPHPLKLLPPRQLQLSNAGESLVSCDRCKKRRSGGRVYRCAVCNNYHLHALCAKPFINGLQENGFQTAPDHDKPSNTVLGTAARLASQVVIEFIGGLIEGFGEGFGQALVQSINPRGRPRRRSPTRPSAEATTAPASN >CDP20305 pep chromosome:AUK_PRJEB4211_v1:10:24495191:24496192:-1 gene:GSCOC_T00002160001 transcript:CDP20305 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHLPFKTRLQISIGTFAAKLCVRSDGTINRRLFSLLDQKVIAPTTKVFNDVPVSSSDISVDPSRNLWFRLFVPDTKSSAETLLPLIVYFHGGGFTYFGPDSRSFDALCCNLAAQIPATIVSVNYRLAPEHRFPCAYDDGFDTLKYIEAQNYAVLPSKTDLSKCFIAGDSAGGNIAHHVTHRACKDSHLNFLPEGADRNHKAANVFRDGPNFKAADTVPEDFPSSLVFVAGFDPLQDWQKRYCVGLRRCGKEVWLVEYPNGIHGFYNFPELPESALFVKEVRQFIQEK >CDP01367 pep chromosome:AUK_PRJEB4211_v1:10:3142266:3159913:1 gene:GSCOC_T00034979001 transcript:CDP01367 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPVIIIFFLGFFATLILESKATADEKSIQYCPVNQRAVMGSVGGIFDQSSRVGKEQAIAVEMAVHDFNRWSHCSNLALHSQDSKGNSARVVSAVTELVNKKQAQVIIGQLTLQEATLLWDFDKVAKDIPVISTVPTATSPPFLPSQPPSFIRMTTDTTLQMQGIAAIIGQFRWRRVIAVYEHSNSFSADSGLITHLADSLWAVEAGLEYYSAFPRVVSQTNPQKFIEEELSKLRTKNIKVFTVLQASLEFAVMLFEKAKKLGMMNKGYVWIVMSDVANLLDSVQSSVLLNHMQGVIGLKTKYLDTSDSFRTFELRFRRRYGSEYPEEEKHSSPSIYALQAYDATWALAKAIQKSEKNGLQNSTNLGNSILSSNFEGLSGIVSFKNGALLQKPVYQLVNVIGKSYQEIAIWSPDEFGLSEDRIKGDGTKIRIGHEYGKTWDLGTIIWPGGQLAVPKGMELGSTAKPLRIGVPAKGAFNQFVNVTFDQLSNETTITGFTIQVFEAVVKRLPYHLHYVLVPYSGSYDQMVHEVHNKSFYAAIGDIEIMANRYEIADFSQPYLDSGLVMVVAVKPDTIATKILVLKAFKLSMWTIMAAMTVYTGVTIWLCEHANENPDFGGTFPQNIRTMLWYSVTVLSFANRETIRSNLSRLVLQTWLFVTLVVTACFTASVTTLMTSPRNKPSIGDIDYLLATNAPVGCNGNSFIVRFLIDALHFRPENIRKIGSLSEYPGKFESGEIKAAFFVAPHAKIYLAEHCKGYTMAGPSIKLGGFGFVFQKGSPLAADISEAILKVTQTGTIDELEKHMLSFPTCSSSSTGGDHDQGQGPDGVGIEPFFVLFLVSGGLLALASLNAILRLLKKHLANHGFINGRVLRWPSLLLARAFTKSGTTLLRKTPIIQRPNNEINMHRNELEPDLRAIELATNVLRKVNSSGLVDSILSCNFDGLAGKISFKNGALLQNPVYQVVNVIGKSYRPVALWSPEFGFSKAPIEHDGMVTNSGNGLREDLGQIYWPGAELKVPKGWTLGESGKPLRIGVPANGAFHYFVNVTFEQSRNETAITGFSIQVFEAAVSLLPYHLPHVLVPHYGSYDQLVSEVRNKNLDAAVGDIGIVVERYKFADFTQPYLETGVVMVVTVKPDVTKTRLMVLKAFALKMWILLAALSVFTGAVIWLNEHANNNPEFRGPFPQNIGAMLWFSVTILSFAQRQSIRSNLSRLVLATWLFVTVVVTTCFTAAVTSWMTVPKIEPSIVDIDYLLGTDAPVGCNNNSYIGRFLTNVLHFKPENVRRIGSISDYPEAFQRGTIKAAFLAAPHAKVFLAKYCKGYTEAGPKFKLGGFGFVFQKGSPLADDLSGAILNITLTGTTDQMEKRMLSSLNCSSSAEEDNQGPSKIGTEPFFFLFLVSGSLLVVAFVNAVARLLERHCSIFDSIQASLINRRAVRWALLLLTRCFTKFGTRNLRVPIMHRDSRI >CDP07448 pep chromosome:AUK_PRJEB4211_v1:10:1637714:1638273:-1 gene:GSCOC_T00024717001 transcript:CDP07448 gene_biotype:protein_coding transcript_biotype:protein_coding MVELNGEQDNIHTGRDETCKFDKSKIVAIESNLTVVSTDQDQVAAHLVKHARLSCRGLNSTLMHTYLFGGPAGISSSKTSLIGQSRTPKVTVGIEASSGCVGVAQIAGLITWSHLRLCQFFNTSAQ >CDP15792 pep chromosome:AUK_PRJEB4211_v1:10:15474998:15478659:-1 gene:GSCOC_T00016624001 transcript:CDP15792 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDMFVFFLCVVEGGGLGQRHKQWTEVLSSEPRASIFHNFLSKEECEYLINLAKPRMSRSKVLDPNTGQTGHSSSRTSTGMHLKRGHDEVVRDIERRIADYTSIPVENGEGLSVINYEVGQKFEPHHRMAALLMYLSDVEEGGETYFPDAIAKRKCCCFPGCTKRRGLYVKPKMGDALFFWTLKPDRTTVDPSSLHGGRPVIRGDKWACIKWMHAEEFKASKSYTRHS >CDP10945 pep chromosome:AUK_PRJEB4211_v1:10:21568827:21575038:-1 gene:GSCOC_T00031922001 transcript:CDP10945 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTIATDFQRIYRIHDKLFIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGPYFCQPVIAGLGEEDKPFICTMDSIGAKELAKDFVVAGTASESLYGACEAMFKPDMEAEELFETISQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >CDP11401 pep chromosome:AUK_PRJEB4211_v1:10:25721294:25728124:1 gene:GSCOC_T00033639001 transcript:CDP11401 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVQSLKKALVPKSLIPNPSPGNLQSTRLALHVKEDASPPSCWVYVASGCRIYKVLVRMGGSFVYQGKESLLIPQETLVLDSAVLNCCPHRSEIQSIVLAETESSGSFILGSVDSYGHLIVSKLDGSGDDVNNFSFSVSPRDFGAGESGWAGLCFNPSQWSMAAVARSFCKSIDIYDQDIHLRTLRTLWYPSSLIFMNNFYGGSEGSVLAVAEGSQLTVWDLRIKENSGCVHRICGSVGDMVYAVCSSPTGTIAAGGADRTVTVYDPRRWCALSRWLNCSKYEVSYNLVLSKYKFFFSEKVFDELERLVTSN >CDP11439 pep chromosome:AUK_PRJEB4211_v1:10:26230433:26234215:1 gene:GSCOC_T00033695001 transcript:CDP11439 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTPNTDLHHSSGEQHVAGTDAGQSTQALDAKLESQITQVDIVMPAQPRRTQRVHKPRGCGTHRKLGHH >CDP00925 pep chromosome:AUK_PRJEB4211_v1:10:7823219:7850148:-1 gene:GSCOC_T00034380001 transcript:CDP00925 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPAKFLFGFLFISIILWLIFTFASRLLTGILSRVLGASVQFRVGGWKCLRDIVVKFKKGAVESVSVGEIRLSVRQSLVKLGVGIFSRDPKLQILIYDLEVVMRAPTKSTQKRKSRKSRASGRGKWMVVANMARFLSVAVTELVVKTPKATVEVKELRLEISKDGAAQPTLFVKLHLVPVWVYLGESRVTSDIPGGSLPSGEAFSGLTERTSAPFNCEDFALLCEFGHDREAGIVVKNVDITSGEVSMILSEELLVKKKSSIGTSAQAGQVVTEANEASATKKPDKKPAALAITKFTSIFPEKIGFTLPKLDVKYVHRGQGLVMDSNIMGIQLKSTKSRTVEDLSESTRLDIQLEFSEIHLLRDAGVSIVEILKLDVISSAYIPLQPSSPIRCEVDIKLGGTQCNLLVSRFVPWMQMHFSKPKRMVLREEGSLEKQRSSGQSAIMWTCTASAPEMTIVLYNLSGSPVYHGCSQSSHVYANNISTMGTAVHMELGELNLHTSDEYQECLKESLFGVETNTGSLLHIAKVSLDLGKKDMDSPEDGRKCKMVLSTDVTGMGVYLTFRRLESLVSTAFSFQALFKSLSGSGKKAAHNRGSKSVSSSGKGIQLVNFNLERCSLNFFGEVGLENAIVEDPKRVNYGSQGGRFVISVSADGTPRTADIMSTLSDKFNKLKYSVTLEIFHLGFCMNKEKRSMQMDLERARSIYQEFLEDSTPRTNVLLLDMQNAKVVRRSGGLKEIAVCSLFSATDISVRWEPDVHIALSELGLQLKLLVQNHRLQVEKNKENISSMTNNEQEKDTPVEPLQIDKQQKKRESIFAIDVETLCISAEAGDGVETTVKVQSIFSENARIGVLLEGLMLDFNEARVFQSSRMQISRVPNTSATASNGKIETVTVWDWVIQALDVHVCMPYRLQLRAIDDSVEEMLRALKLINAAKAKMLFPVKKESSKPKKPSSTKIGRVKFCIRKLTADIEEEPIQGWLDEHYQLLKNEACELAVRLNFLDELISKAGQISGGTERNDPIIESKVQFDGEEINMQDPESIKKLRDEIYKQSFRSYYQACQKLAPSPGSGACKEDFQAGFKFSTTRTSVFSIIATEFDLSLTRIDGGDAGMIEVLQKLDPVCRANNIPFSRLYGTNLILHTGSLVAQLRNYTCPLFAGTSGRCEGRLVLAQQATSFQPQIRQNVYVGRWRKVCMLRSASGTTPPMKTYCDLPIHFQKAEVSFGVGFEPVFADVSYAFTVALRRANLSLRNPNPEVQPPKKEKSLPWWDEMRNYIHGNTTLYLSETKWNVLATTDPYENSDKLQIFSGYMEIQQSDGRVYMTAKNFKIVLSSLESLLKNSCSKHPTGFSGAFIEAPIFTIEVTMDWDCESGNPLNHYLFALPIEGVPREKVYDPFRSTSLSLCWNLSLRPSLPSSNHESQSLAMSNHALLNGASHNPFATANASTDSPVVNLGPHDLAWLLKFWNLNYLPPHKLRTFSRWPRFGVPRIARSGNLSMDKVMTEFMFRVDATPTCIRHMPLEDDDPAKGLIFKMTKVKYELCFGRGKQKYTFESKRDTLDLVYQGLDLHMPKAYLDKEARTSIAKVVELTRKTSQSASMDRVPNDKTNSLSASTERHRDDGFLLSSDYFTIRRQTPKADPERLLAWQEAGRRNLEMTYVRSEFENGSESDEHTRSDPSDDDGYNVVIADNCQRIFVYGLKLLWTLENRDAVWSWVGGISKAFETPKPSPSRQYAQRKLLEENKAVGGPEMPQDDINKSTSVSPVGSSSSRQHSESSKSQSSPSNSFKGENPLPGASVKQSDESEDDGTRHFMVNVIEPQFNLHSEEANGRFLLAAVSGRVLARSFHSVLHIGYDMIEQALGGRNTQIPESEPEMTWNRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTADLKVKPLKELTFNSRNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSYAAEDDDVEEEADEVVPDGVEEVELARIDLEHKEQVQKLILDDIRKLSLYGDTSGDVHPEKEDNLWMIVGGRTILVHRLKKELLNAQKSRKAASSSLRMALQKAAQLRLMEKEKNKSPSCAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGVAQFTTKYFVVRNCLPNAKSDMLLSAWNPPPEWGKKAMLRVDAKQGAPKDGNSPLELFQVEIYPLKIHLTETMYRMMWEYLFPEEEQDSQRRQEVWKVSTTAGARRAKKGLLSQEAWTSNSHLTKDTEVFSKLNASQPATSATSQSSVNADSSQSSKLQNLKPNIVCGSTPELRRTSSFDRTWEESVAESVANELVLQAHSPSVSSFKTGSFAYDEPPDEPNKSKTRDSKNVKPGRSSHEEKKVGKAQDDKRSRPRRMREFHNIKISQVELLVTYEGSRFAVSDLRLLMDTFHQGEYTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAQNQKEATGTSVPDIDLNFSDSDGGSAGKSNPYPLSWPKRPSDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEADDELHADWSESEAEFSPFARQLTITKAKRLIRRHTKKFRSRGQKGLPSQLRDSLPSSPREMTAYESDSSSGSSPYEDFNE >CDP11446 pep chromosome:AUK_PRJEB4211_v1:10:26301168:26301702:1 gene:GSCOC_T00033706001 transcript:CDP11446 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEFEDGSLISKELEKKIRKLHATVGNAVTEGRYIVFGAGSTQLLNAAVHALAADASSSPAKVVASAPYYPVYKSQTELFESAKFKFEGHTSSWMNSSSINFIEFVTSPNNPDGQLKKAVLQGPNAKQIYDPK >CDP10696 pep chromosome:AUK_PRJEB4211_v1:10:10279865:10280122:1 gene:GSCOC_T00031492001 transcript:CDP10696 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQLFTKTLNPGDVFVFPEGLIHFQFNFGKTNAVAFSAFGSQNPGVITIANAVFGSDPLISADVLAKAFQVDKKVIYLLEAQFS >CDP01064 pep chromosome:AUK_PRJEB4211_v1:10:6032951:6038894:1 gene:GSCOC_T00034563001 transcript:CDP01064 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEREKQVYLARLAEQAERYDEMVEAMKKVAKLDVELTVEERNLVSVGYKNVIGARRASWRILSSIEQKEEGKGHDQNVKRIKGYRQGVEDELMKICNDILAVIDEHLLPSSSTGESTVFYYKMKGDYYRYLAEFKAGENRKEAADQSLKAYEAATASANSDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEHSKGDEPHGES >CDP17254 pep chromosome:AUK_PRJEB4211_v1:10:18621220:18626397:-1 gene:GSCOC_T00013671001 transcript:CDP17254 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSNGACKFLLGFLLIFQVSFAKGEDIFLEWHVAIDTRIKPIVSVNQPVITINGMFPGPLINSTTNDNIHVNVFNDLDEPLLMTCFLGKNYMRSCLGTGLCHRRLFHNYGQIYICESVWGQVYVIPRWLIIGGFITFMSEPILKPKSQSDAGGGGGENSKIKKVGYDLVRVRFSIYSLELIIVLFGSYTTHFFFILIPLQLGKTYRFRISNVGTTWSFNFRIQNHKMLLVETEGSYTNQITLDTLDVHVGQSYSVLVTADQNAADYYIVASPKMIAETNQSSLIAVGVLHYENSSTPPNGPLPKGPDPFDINSSITQARSVRWNLTTGAARPNPQGTFNVSNVTLSQSFLLHGGVTANLDGFVHYTVNNVSYDTPNTALKLADYALNGSGVYLLDDFPVHHISPLAVKGTFVRSGNHKGWLEIVFFNHHQNIDSWHLDGFGFYTVGYGYGNWTPEFRSTYNLYDPVVRSTVQVYPKGWTAVYAYLDNPGMWNLRSQSLKHWYLGQELYVRVHDPDPNPAKEHPPPENLLYCG >CDP00886 pep chromosome:AUK_PRJEB4211_v1:10:8367846:8372727:-1 gene:GSCOC_T00034325001 transcript:CDP00886 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNDKAEKLKILMEKNTEGGEIVREAESGKNIESGESSSKAETPAERVTKEEAHKIMAGKNIEPPAGLVTDEEMRKIMKIRNDANLEALSPGGPEYPDQQITKADSANIAELYRAAMKGKWKDAKAIFRRNENAKTTKISNLGMTALHVAASCGRSEFVVELVKTLSEQQLEDRDTLGCTALHHVALAADVGAAKAMVEKNSDLPNLGDPYRLTPLYYAAKWRHPSDGKKMVDYLHQVTEDKEPCLPFTGYSSADLIVAIISSGSYDTALRTLKKYPGLVFERNHEKMSILRVLAMQPLAFRNGHKLSLWKSLIYTLVQVDAEETKHKNFHSPADVAKSTAVSFFLFLSKFSKGVRELSEIKKGHLHALRLVDFVCEEIEEKRYNSVQEYFFPNDNTPILHLATEHGVFRLVQECLNRFPDLIWYADQTTKRLLLHEAIEHRRVEIFNLMVTLIGKNTKAYAHLNDKGENNCLHLAAKLAPMPQLHSVPGPAFQMQRELQWFEAVEAIVYYELRTRKNDKGKTPRELFFDEHKDLLKDAKEWMKDTSNSCMVVTTLVATVAFAAMITVPGGNDSETGMPILARKRLFAAFSIANALSMICSAVSLLRFLSIQTSRNTVDDFLEALPKTLVRGLIFLCIAVVTMMISFGTAIGLSLESRLNWAYIPVTIVACFPAIIFIRLHLPLLLRTILFRYGPGIFGEQRNGRLWRLKKIGYRLLAEDMA >CDP01310 pep chromosome:AUK_PRJEB4211_v1:10:3627002:3629365:-1 gene:GSCOC_T00034909001 transcript:CDP01310 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNFALDPYLMVFEDLEIPSHKTKNVVSYYNLMVDTKKLLLVDGDAINEKLKLATQNIHYVNVLPSIGLNVYSILLHDTLVMSRDAVNRVVERMHTPINR >CDP01221 pep chromosome:AUK_PRJEB4211_v1:10:4398324:4400907:1 gene:GSCOC_T00034793001 transcript:CDP01221 gene_biotype:protein_coding transcript_biotype:protein_coding MENDELVCEAARNGDVGKLKTLIDSGADVSCFDKDGLSPLMHAAKHGHAEAVKSLLEAGAPWNALSPSNLSAGDFAMDAGHQDAFDLLLNAGIQSELVLGTIARKGSENGGSNVDYLEDRVSFSEDKLMDTEDKAVMMAWEKPLMEAHAKAVCSGGGHILNIGFGMGLVDTAIQQYNPMSHTIVEAHPGVYKRMIDSGWGDKENVKIIYGRWQDVLDQLGSYDGIFFDTYGEYYEDMREFHQHLPTLLKPGGIYSFFNGLCGSNPFFHVVYCQLVSLELESLGYSTQLIPLPVKDCLGEKIWEGVKHRYWQLDTYYLAVCQAVEDSE >CDP01286 pep chromosome:AUK_PRJEB4211_v1:10:3850325:3853214:1 gene:GSCOC_T00034879001 transcript:CDP01286 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWAIAVHGGAGVDPNLPPQRQDEAKQLLTRCLNLGISALRSSLPAIDVVELVVRALESDPLFNSGRGSALTENGTVEMEASIMDGPKRRCGAVSGVTTVKNPISLARLVMDKSPHSYLAFSGAEEFAKQQGVEMVDNEYFITEDNVGMLKLAKEANSILYDYRIPIVGLESCGAAAESPILMNGLPISVYAPETVGCVVVDGQGRCAAATSTGGLMNKMTGRIGDSPLIGAGTYACDLCGVSCTGEGEAIIRGTLARDVAALMEYKELSLQEAVDYVINKRLDEGKAGLIAVSKTGEVACGFNTNGMFRGCATEDGFTKVGIW >CDP10902 pep chromosome:AUK_PRJEB4211_v1:10:20489145:20496266:-1 gene:GSCOC_T00031857001 transcript:CDP10902 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVRRRLTRRGKEKVDYPDIEESFVLDDKGVVDWTKLPDDTVIQLFSCLNYRDRASLSSTCRTWRTLGSLPCLWQVLDLRPHKCDAAAATSLASRCRDLQKLRFRGAESADAIINLQARSLSEISGDYCRKISDATLSVIAARHEKLESLQLGPDFCERISSDAVKAVALCCSHLRKLRLSGIREVEGDAINALARNCPNLIDVGFIDCLSIDEIALGNVLSVRFLSVAGTTSVKWSMVLQHWNKLPNLGGLDVSRTDIIPNAVSRLFSSSQSLKVLCALNCPALEEDASFVSNTNHRGKLLLALFTDIFKGVASLFADTTKQERNTFLNWQNTTTKDKKLDEIMNWLEWILSHSLLRIAESNPQGLDNFWLSQGAYLLLSLMQSTQEEVQERAATGLATFVVIDDENASIDGGRAETVMRDGGIRLLLNLAKSWRGGLQSEAAKAIANLSVNTNVAKAVAEEGGIDILANLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAQAGGVKALVDLIFKWSNGGEGVLERAAGALANLAADDKCSMEVASVGGVHALVTLARTCKVDGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTQSPHDGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALANSCSNSSPGLQERAAGALWGLSVSEANSIAIGREGGVAPLIAFARSDAEVNLHLQQFDFLKLLYSHYFLL >CDP00933 pep chromosome:AUK_PRJEB4211_v1:10:7719468:7720566:-1 gene:GSCOC_T00034390001 transcript:CDP00933 gene_biotype:protein_coding transcript_biotype:protein_coding MWSDLLVQAGMILFTIFMFLYMQNIPQKFFSKLRLRRQANVQAKRHFIKGAQLYSQAKLAKDRSSTSSLAKSAEEEADVAIALDSKDAAAHILKALVLELRGLKAAAIDTLDTALSPGLARSLSGEERGDALFKRAELRLAVSRRGQVDSAVEDLVESVKLRHDNARAYCLLGDSYKKKGMVEEAKKAYEDALKVQPTNSEAREELARLAS >CDP01043 pep chromosome:AUK_PRJEB4211_v1:10:6218689:6223028:1 gene:GSCOC_T00034536001 transcript:CDP01043 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVSMGNLSSLLLPSRLSHNNSQDRFLLLKTTASRFIPQTIKNAFAATRIRATSTLLVETKPDTILDEKKLDSCKNTLACPICYTPLIYTADSSFPADSAARPNLRCRTCRKAYSGNDTHLDLTITSGSKGYGEAMPASTELFRFPFVSFLYERGWRQSFSIWGGFPGPEKEFELIKDYLNPVLGGTIVDASCGSGMFSRLFAKSGLFSLVVALDFSEAMLRQCSEFINQEENFPKEKIILVRADISRLPFASGTVDAVHAGAALHCWPSPSVAVAEISRVLRPGGMFVATTYILDGLFSYIPLVGPLRQNVAQVSGSHVFLSNKELEDLCTACGLVDAKVTRNRMFVMICATKPK >CDP11523 pep chromosome:AUK_PRJEB4211_v1:10:27039857:27045707:-1 gene:GSCOC_T00033817001 transcript:CDP11523 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLQYMRTLRTQMTEVEDQAAKQSAQQQIHLTTIRNLERDLDFVKNETKRVKEEKDRIMKEKGLICSQILEKQRKITSLELDSSTLNQTLDLMQHERVTQSTKLLEKSSYYTKVADDIITELKDQQGWIIANKCSFLDTENGLVGNNITREICETEESQGNIMKSLLMKFDAAKAELDQMVQMKYNLVLENSKVNICNFGYK >CDP00906 pep chromosome:AUK_PRJEB4211_v1:10:8056715:8057050:1 gene:GSCOC_T00034354001 transcript:CDP00906 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFRNNGVIQLVENPAAEQGDARNGTRRKALVHLPSGQVISSYSYLENILNGLGWERYYDGDPDLFQFHKHSSIDLISLPRDFSKFNSVYMYDIVVKNPNIFHVRDI >CDP11472 pep chromosome:AUK_PRJEB4211_v1:10:26503710:26508266:-1 gene:GSCOC_T00033742001 transcript:CDP11472 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLVWYMGIICSIFITDRLITYADMCLGKHKEALDFAFAAQTLAPSDSEVLEKVENIKNLIAAAEAEKNKTTNGGSNSEPRSARLLSLSDILYRSEANSDASQDGPRSEREDSDYDEELELDFETALPEDEGRDIESNVLHGSLNLRIHRRDDANMETGRANGSCESPASSCQNDKAPYEPEAVTDMKQRYVGHCNVGTDIKQASFLGQRGEYIASGSDDGRWFIWEKRTGRLVKILHGDDAVVNCIQCHPFDCVVATSGIDNTIKIWTPSASVPSSVAGGAAGPETSDVLDAMENNQRRLSQSREAILPFEILERFRMHEFAEGSMHPFECTQS >CDP07468 pep chromosome:AUK_PRJEB4211_v1:10:1790055:1796655:-1 gene:GSCOC_T00024739001 transcript:CDP07468 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLLMLAICRWIVTVGLMLDPTELLLLGVEERLSVDPLDLESASLDFGGLHRAEPWAVLHPASAQDVAWLVKAAYESSHGFTVSARGHGHSINGQAMTTNGVVIQMSSGSGERRRLGIGRPVAPRVYEKFMYVDVWGGELWIDVLRSTLEYGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVYELDVVTGKGELLTCSEEENSDLFHAVLGGLGQFGIITRARIALEPAPQMVRWIRVLYSNFSTFTHDQEYLISLHGQSDSQKFDYVEGFAIVDEGLINNWRSSFFSPRNPVKISSIDAKGNGGVLYCLEVTRNYGGLDADTIDEEVDALLTKLNFIPNTVFTTDLPYVDFLDRVHKAELTLRSKGLWDVPHPWLNLFVPKSRIADFDRGVFKGILGSKNRTSGPILIYPMNKNKWDEKTSAVTPEEDVFYLVALLRSALDNGDETQTLDYLSDQNRRILRFCDDAGINVKQYLPYYTTQQQWRDHFGHKWAQFYQRKLEFDPRHILATGQRIFKPSFNPTSASW >CDP01169 pep chromosome:AUK_PRJEB4211_v1:10:4960191:4964382:-1 gene:GSCOC_T00034715001 transcript:CDP01169 gene_biotype:protein_coding transcript_biotype:protein_coding MHENGRVTRVVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFLKAGYSVIFLYRRGSCQPYCSSLPDDPLLECFDITDDSKIQVHPSHAEVVKRAISEHRAAVVGGNLLKLPFTTIFEYLQILQLIADSVSKLGRSAMFYFAAAVSDFYVPWELLTEHKLQSASGPLDIRLAQVPKMISVLRKAWAPMAFCISFKLETDSNILLAKAAMALEKNKVHMVVANELSTRKDEVIVVAKSGNIVVRRDKAQPGAEVESPLVELLVDRHSAYIKEAGV >CDP11504 pep chromosome:AUK_PRJEB4211_v1:10:26832973:26843938:1 gene:GSCOC_T00033790001 transcript:CDP11504 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEVKDTSQCVRVAVNVRPLVTHELVAGCTDCITAVPGEPQVQIGSHAFTFDYVFGSAGLSSSRIFDECVAPLVDALFHGYNGTVLAYGQTGSGKTYTMGTNYNGEDHKGGVIPKVMETIFSRVEAMKASTEFLIRVSFIEIFKEEVFDLLDPNPPVIAKGDGASIAKPGPARAPIQIRETVNGGITLAGVTEAEVRTKEEMASYLLRGSVSRATGSTNMNSQSSRSHAIFTISMEQKRTSSCSSGDIHDEFSDEILGAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDDKKRKEGGHVPYRDSKLTRLLQARHDSLGGNSKTVMIACISPADTNAEETLNTLKYANRARNIQNKAIINRDPMATQMQRMKNQIEQLQAELLFFRGDCTVPFEELQILKHKISLLEASNAQLQQELQERRITCDHLTQRALDAQVERDRLVMKIESARSQKSWNEIDSDSNQDFDLLKGYVSKIQELEGELLRLQSANHLRQNDFVDYVSLDDSGLHSKDNCFAESETKADNLSGVFEDEQKEREHSSLQEKLDMELKELDKRLEQKEAEMKRFANPDTSTLKQHYDKKIQELELEKRFLQKEIEELRHNLANISSTTDDSAQKLKEDYLQKLNVLEAQVVELKKKQDAQAQLLRQKQKSDEAAKRLQDEIQRIKTQKVQLQQKIKQESEQFRLWKASREKEVLQLKKEGRRNEYELHKLLALNQRQKMVLQRKTEEAALATKRLKELLESRKASRELSGVGNSSGPGIQALMQAIEHELEVTVRVHEVRAEYERQIEERTRMAREVAELKEEAQITKQGNLRHTMSPGARDSRIFALENMLATSSSSLVSMASHLSEAEERERAFSGRGRWKQVRSLAEAKDVMNFLFNLASSSRCQLMDREVYCREKDAEIRDLKEKVVKLVRQIELQKADLLRQEKFMKLAAKKPKGEAKNGEDASKGGAEGHIYDLRPKGIRQSVILNGGWNNLQSLEDMDTSDSEYSDNGQGDDDHELGHTDLDDPEWSLTNGRRRRAKKRNSKNSSHSGTASHPISASDSEGLNTKTSGGEDSTGSQKYESAICCSCSKKSLCKTMKCECRLADGICGTSCGCDPVKCSNRESALTQEDNGLPPSEIVGLTRTASETDEADGSHVLASHGAMLLQSALSEKPMISNDEGGPRRKPLSDIGNTLAKSNAPKPNQRKKWRKSTIQLVPAPPPAAEPENVEGSVKPEISSSSESDISLKLPRFMRSAFVHNNPLRERNSDAHSDSTVMKEIGVTASRSPQEQSRTTDEKENNYKP >CDP10913 pep chromosome:AUK_PRJEB4211_v1:10:20790685:20796596:1 gene:GSCOC_T00031871001 transcript:CDP10913 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPVRIPSKMKAWVYGQYGKPEDVLRLESEVDVPEVNDEQVLIKVAAASLNPVDIKIMAGLFKATDSPVPTVAGYDVAGVVVKVGSKVKEFNVGDEVYGDIHEHALNNPKGSGSLSEYAAVEEKVVALKPKNLSFAEAASLPLAVETAYGGLERAGFSAGQSILVLGGAGGVGSLVVQLAKHVFGASKVAATSSAGKLELLQVLGADLAIDYKKDKYEDMPKKFDVVYDTVGESGRGNKAVKEGGSVVTIVGGTPVVPPAFVFEVTSTGTVLNRLKPFIEEEKLKPVIDPKSPFPFSHTIEAFSHLQTGRATGKVVIYPIP >CDP07458 pep chromosome:AUK_PRJEB4211_v1:10:1733040:1738930:-1 gene:GSCOC_T00024728001 transcript:CDP07458 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLVSPPQRSLNLNSRSNEAWLSISAFFSQRPLPYCLYNRSRFRNARGRGLKCAVAIKKELALPSEETLIREPHKYFDQVIITVRAGDGGHGAILSMPNQKPPPKSKGKFDKDKNRKKSSYKRDFDGSLILPVGGRGGDVVIYVDESKDTLLEFHRKSSFKAKRGGNVDAMSVLTSLLHDGLNAPDLRIPVPPGTVVKHKKGKFLADLANPGDQVLVARGGQGGISLLEMPEHRKKKMMFLTTNVMRDESDKVLVFGQPGEEVSLQLILRVVADVGLVGLPNAGKSTLLASITLAKPDIADYPFTTLKPNLGRLDGDPSLGAQQYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVLVHVVDAASEDPVADYRTVKEELRMYNPEYLERPYIVVLNKIDIPEAMERLPSLAEEIKSIGSDTGTAVQSVCDDGEKADGLSLEIPDKEQKIKGVEDYPPPLAVVGVSVLKGFKVNELLTEIRAALRNCQVKNNAASELSVVQ >CDP10914 pep chromosome:AUK_PRJEB4211_v1:10:20801355:20807665:1 gene:GSCOC_T00031872001 transcript:CDP10914 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKWLWKKKPSERSPGETDSSGSSLSERYSDEQQIEPEEVKDSPNDRTQSPEVTSKVVLADEEVTDTVKNLTAKLSSALVNVSVKEDLVKQHAKVAEEAVAGWEKAENEVTVLKQQLEAAVQQNLALEVRASHLDSALKECVRELRQARDEQEARINDAVAQKTSELESVKIELENQLHQLKTNVESTLTRYPASADPNIQLKLESLEKENSILKFELLSRSEELEIRTIERDLSTQAAETASKQQLESIKKVVKLEAECRRLQTVARKSSSSYDHRSTASSVCAESVTDSQPDSGDRVNSIDNTHKKLEPNEWERNHSDSWASALIAELDQFKNEKGLAKDSLASSVEIDMMDDFLEMERLVALPDAQSKFPSLESEPMACKSSAMENSVKPELETMVNRVAELEERLKKIEEEKTELQHALTESEDSLMASRAELHETESRLEDLQKELCVVNEAKELLEFQLIGMEVEARTLSANVDSLKAEVQKERSSSAKMAMEYQELENELIKKSQEIELQQAANSNSELKIKQEDLAVAADKLAECQQTIASLGKQLQSLATLEDFLTDTSNLPGFSGNKAMVPASAAEWKARANDAFVPKYESDPSGTPAYTSSLSTNGIEGESPASSSSSTSSANSTSARNRNGFVRLFSRSKSGIQLENHQG >CDP18280 pep chromosome:AUK_PRJEB4211_v1:10:24727381:24728070:-1 gene:GSCOC_T00012008001 transcript:CDP18280 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSDYSSGKSPRRELQGPRPTPLKVRKDSHKIRKPPIPPQPSQPQAPPRPPVIIYTVSPKVIHANPNEFMTLVQRLTGPDSTCSSSTVVNSEVSSSTSSFQDFGGAISPAARFAAIEKAKAPLGKNKAQVSENDISVVEGMELISGELERSSGLFPGILSPNPASLQPIPSTFFSPPSDPNPLGFFHDLSPVLHSNKNYAENSFLFSPSTFISPNTPNLDLFNNLFDL >CDP00956 pep chromosome:AUK_PRJEB4211_v1:10:7385313:7387263:-1 gene:GSCOC_T00034425001 transcript:CDP00956 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYSEHNFLEELLALRSEPWEGSVPMGLMNHDFYSNVFGSDLAADELSPPELTDSSCNKLDTPQFPSQEDLSIMDDDDQGLFAGNNNSVQSLELVRPPLCKVEELQEPFQSAEALVPLFNMGMVCPERKNNKSKKLNGQPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERINNIQEEKDVVSNQASLMSIFKDVKPNEVLVRNSPKFDVERRNSDTRIEICCSGKPGLLLSTLTTLEALGLEIQQCVISCFNDFTVQASCSEELEQRGILSSEDIKQALFRNAGYGGRCL >CDP00955 pep chromosome:AUK_PRJEB4211_v1:10:7423768:7428637:1 gene:GSCOC_T00034422001 transcript:CDP00955 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSVGQGLYPLHRCKTIHLVRHAQGFHNVAGEKDHSAYLSPELFDAQLTPLGWKQVDNLRKHVHSTGLSKRIELVICSPLLRTMQTAVGVFGAGSYKDGIDVPPLMTENAGNSSRPAISSLNCPPFIAVELCREHLGVHWCDKRRSVSEYTTLFPAIDFSLASSFLQIESDADVLWEADVREPNDKVAARGMEFLKWLWTRKEKEIAIVTHSGFLVHTLSAYGNDCHPSVKSEICTPFSNCELRSMVIVDRSMIGSDSSTTNYPGKIPSGTDVPSDDASEEHPAGSAK >CDP01245 pep chromosome:AUK_PRJEB4211_v1:10:4236479:4238137:-1 gene:GSCOC_T00034825001 transcript:CDP01245 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRSKEDKPTERAFQVLNLARNKLFGLFPSSIANITILSVINLGTNSLDGKMHSNLSRLRNLKFLDLRINNFSGTVPASIYNVLTLPNLLGFNFSFNRFTGTVPGSLHSLTRIRMIRLAHNLSHGSIPPGLENLPELEMFLKKFYMGGNPIFGGITRSIGQLRCLELLDMSYAEISGEIPPEIGQFQLRGLGLAGNPLYVKIPNSLGNLQKLNKIDLSKNELLNGSIPPKILHLPSLSTFLNLSNNYLTGSLPEEVGFLMLRDWKLLIFPQTNSVTQFPLIFKTCGHFRSSVAHSKIWKEKSLLVECLHILRRFIWKATKTSA >CDP11437 pep chromosome:AUK_PRJEB4211_v1:10:26211118:26215383:1 gene:GSCOC_T00033690001 transcript:CDP11437 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKADKKAAVDAAAWLFNVVTSVGIIIVNKALMATYGFSFATTLTGMHFATTTLMTAVLRWLGYIQSSHLPFPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVFDKIRYSRDTKLSIALVLLGVGVCTVTDVSVNTKGFVAAFIAVWSTSLQQYYVHFLQRKYSLGSFNLLGHTAPAQAASLLLVGPFLDYWLTNKRIDAFNFNVPSLVFIVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFLFFGKEGLNLHVVIGMIIAVVGMVWYGNASSKPGGKERHSHSSRSSQQKHGSESSEIDEKV >CDP07376 pep chromosome:AUK_PRJEB4211_v1:10:1076182:1083147:1 gene:GSCOC_T00024617001 transcript:CDP07376 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISTIMGVFGFGIGITIGLVIGYFLFIYVQPTDVKDPDIRPLVEQETQNLQKMLPEIPLWVKNPDYDRLDWLNKFIETMWPYLDKAICKTAKKTAEPIIAEQIPKYKIESVQFEALTLGCLPPTFQGMKVYSTEEKELIMEPALKWAGNPNITVVVKAFGLRATVQVLDLQVFASPRITLKPLVPSFPCFAKILVSLMEKPHVDFGLKLLGADAMSIPGLYRFVQELIKDQVANMYLWPKTLEVQVLDPAKAMKKPVGILAVKVLRAMKLKKKDLLGASDPYVKLKLSEDKLPAKKTTVKQKNLNPEWNEEYNFVVKDPQSQVLDVSVYDWEQVGVHDKMGINVVPLKELAPDEPKVVTLDLLKNLNPNDPQNEKSRGQLVLEVLYKPFKDDEMPDDIEDSNSIQKAPEGTPESGGLLVVIVHEAQDLEGKHHTNPSVRLLFRGEERRTKPVKKNRDPRWEEEFQFMLDEPPTDDRIHVEVVSTSSRMGLLHPKEILGYVDIHLADVVSNKRMNERYHLIDSKNGRIQIELQWRTSG >CDP07441 pep chromosome:AUK_PRJEB4211_v1:10:1576175:1580260:-1 gene:GSCOC_T00024708001 transcript:CDP07441 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKFIDKKKSATFQLLARDTSDANYSSGLSSDRVFVRVDNNQYKVDGFSEDEEPANDGVPFDDPNSVFDDAPEDYYECGYPGNGARTGSSLPDHVRREILELGFPDDGYNYLMHLREIKNSGGGSVYYQNPKAQLGHLPPDVKAYNASRLEISRVEDESNEKSMYAVASKTLNAKVEKVADDDIAALLYDSDLSQFGSDTEDLEEDFVVKANLLEGPSDLELGKKLRLLENAQVNRVERNDLVVSGAEETVAGSVTVENEKPRVRRPLDEQFDLLELQEYGADSDGDYGVYDDAEEDACEESLAEKLNHALKGRHLDGLNSKYAVPSDLLEEKKELEDLESPESAADVIQRCREYAEKYENESEDEKEVVFQESSDESEVWDCETIVSTYSNLDNHPGKIGAPETRKKKLAETFAATLSSPGHIIALKGKQKLPVDFLPTRKSSTDMVKDMNSLRPEQPQRKQRGQETKEEKKERKAAVKEERREARRVKKELKGVYKYEANRAQKVAAVAGPSLVHLM >CDP01266 pep chromosome:AUK_PRJEB4211_v1:10:4029584:4035102:-1 gene:GSCOC_T00034854001 transcript:CDP01266 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKWKGRPFFMFFHQPLYFRLFFILMLLQGCSKFAVAGSAVKFLPGFEGPLPFELETGYIGVGESEDVQLFYYFVKSESNPEVDPLVLWLTGGPGCSTLTALLYEFGPIKFEEVEYNGSLPRLILNTNSWTEVASFIFLDFPVGTGFSYARTPDALQSNTLQACNQALEFLRKFLIDHPEFISNPFYVGGDSFSGLIVPIITELISEGKNFKRLFSQIVRPKSGYLIGNPVTTPTDDNYRVPFAHGMGLISDELYESLKRNCKGKYQDIDPSNFPCSQDLQLLDNIQVANILEPTCDFWAPNPRNLFLEGSLDKTFLGLNRFERFLAFRCRADGYKLSYAWANDDNVHEALQIHKESIGYWRRCNYGLPYTTTVQDCVPYHANLSSKGYRSLMYSGDHDFVVPFFATQAWIRSLNYPIIDDWRQWVVEGQVAGYTRSYANRMTFATGGGHTAPEYRPAECKAMFKRWISHEPL >CDP10656 pep chromosome:AUK_PRJEB4211_v1:10:11199182:11201226:-1 gene:GSCOC_T00031437001 transcript:CDP10656 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKVGIITLLFCATILLGWKPEHASAKVCPLVCFKAAYMICPHPPHKKLRPVCNCCLAKPHCKLYRHDGTVICTAAG >CDP15793 pep chromosome:AUK_PRJEB4211_v1:10:15499836:15500387:-1 gene:GSCOC_T00016626001 transcript:CDP15793 gene_biotype:protein_coding transcript_biotype:protein_coding MECRQFEVTLISAVNLPNVRELGQMKVYAKVLIKGYSNSEWITSVDRERETNPYWNCRIKYTLPEKAVEKDGVLLVIKLYCERSLLPDKYVGEVNLSLKKLFDYGFPQEKLEYYVNRNDVDGKFGKLKLSYDFGKTTVTISEKEPSFGEAVVEGAMNGVLHAAIHEVLSEILFFEVEICVMRD >CDP10997 pep chromosome:AUK_PRJEB4211_v1:10:22389662:22392365:-1 gene:GSCOC_T00031995001 transcript:CDP10997 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor, Vegetative developmen [Source: Projected from Oryza sativa (Os06g0667200)] MGRGKIELKRIENNTSRQVTFSKRRSGLLKKTHELSVLCDAQIGLIIFSNKGKLFEYCSHPLSIDQIIERYLKTKGTSIPDHEDLAKSHNERVFGELKRMKSETLNLQLSLQRYKGDDLSSAHYDELNQLEQQLELSVTKVRARKFELLDQQLENLKRTEKLLEKENQEMCTWLMSNYYQKQRVELEHSHQQAMTELKLVGQHSILDQFPFSGEEQPSEVLQLANLPLNIHQYRLQPIQPNLQDYGQPGCSYGTHRRHHPYTKVHVLTSDCV >CDP01038 pep chromosome:AUK_PRJEB4211_v1:10:6258143:6259738:-1 gene:GSCOC_T00034531001 transcript:CDP01038 gene_biotype:protein_coding transcript_biotype:protein_coding MKSREGKGPPSTDLLVCFPSRAHLTLMPKPICSPARPSEPSKRQHNQHQHHHPHSHHHHNHRHHLIKKSSTRNGVAGQASPILWAKNKPMSKEIDEPTSPKVTCAGQIKIKPKASSCKNWQSVMEEIERLHKKSKHKKRPGWVEALGFKKDVMQFLTCLRSIRFDFRCFGAFPSTSITSDDEDDVNEDDDECHQDHHQNNHLGKDASDDHDSESSRTVFSKWFMVLQENNSTNKTELVKTDNKLIKEEATEAPCAPPPNALLLMRCRSAPAKTRLEEKEEDNDDKEEERHDDDDDHDDEKQTKEQEDGKRAEVLAMEEENKRKDNLVVMRCGTADFYKFSSDIAKETWVVGGIKDSLSRSRSWKR >CDP01083 pep chromosome:AUK_PRJEB4211_v1:10:5823736:5827160:-1 gene:GSCOC_T00034594001 transcript:CDP01083 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIALLEKKPHAACITAPAQGHIKPMLKLAKLLHQNGFHITFVNTEFNRRRLLKSRGRDALNGLPDFQFKAIPDGLPPSDVDATQDIPTFCESINRNCLVSCIPEVLLWTARASSYLAYFQFAKFIEKGIIPVKDASYLTNGYLDIVLDWIPRLEGTSLKDLLSFLRATNPDEFMLKYIMQETGRAREACAIIINTLQQLEQHLLHALSSYLPPIYPIRPLNILDNQVKDKNLKEIGSNLWKEEPECLIRKIQTRWFIRICMGLANSKQNFLWISRPDLVSGDLAILPKSIMLNILFVPHLNPNMFASWCSREKVLKHPFVGGFLTHIGWNSTIENISYGLPMICWPFFADQQTYC >CDP01314 pep chromosome:AUK_PRJEB4211_v1:10:3605499:3609865:-1 gene:GSCOC_T00034914001 transcript:CDP01314 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNKINTTNRAAFLNDELSKRTSIFGLRLWVVLGVCVGAAIVLFLFIISIWFTSRRHSKKSRLASQKNPTIPNVSKEIQEIRIDPNRPRPEQDTKLLLLPAPGPDPLLPEPDHVVEDENANGHRRIHVEIGKGHRIAYPETVGPGSGGSGQGSGEARSGDQVGIAVPEVSHLGWGHWYTLRELEESTNGFADENVIGEGGYGIVYKGVLEDNTKVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCVEGAHRMLVYEYVDNGNLEQWLHGDVGPYSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNAKVSDFGLAKLLGSERSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILLMEIITGRSPVDYSRPQGEVNLVDWLKTMVSNRNAEGVLDPKLPEQPSSRALKRALLVGLRCVDPNSQKRPKMGHVIHMLEADDFPFRDERRAGKEHARTNRDSLKERMMEKRMIESGDSSGYESSVQTNRMLLRKQETDEEQ >CDP16850 pep chromosome:AUK_PRJEB4211_v1:10:14754547:14758104:1 gene:GSCOC_T00019402001 transcript:CDP16850 gene_biotype:protein_coding transcript_biotype:protein_coding MGFADTIPARLGNLSFRISLDMSNNSFHGYLPKEMSHLRQLKLDLSRNLLIGQILSALSNCSRLESLDLSYNQFSGYIPKQGIGNLTMLKKLYHIYLTWNNFSGAILASISNCSKLAAISLGHNKFSGQIPNSIISLCHNKFSGQISNSIGNLRCLAVIDLSANNLTSEFSSSELGLFTSPNRLHIFKNCGLKGNIPDSIGNLSNLVILGLGDNSWTGSIPTTIAEINLSSSFLRGPLAPEMGELKDLESLDLSNNQFSGKILSSIWRLESLDHLSLANNSLQESISDNL >CDP00926 pep chromosome:AUK_PRJEB4211_v1:10:7816705:7822019:1 gene:GSCOC_T00034381001 transcript:CDP00926 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPKAMKARHYDDGGDDRVDDDEDEEEEEEDEDEDEDEDEDEDGGGDDVMGDVNEVHLNSVGNHNHRHKQQHHSHRAGGGGQVVATRTSELTLAFEGEVYVFPAVTPQKVQAVLLLLGGRDVPTSVPANDVIFDSNRKGVDDTLKRSNVSKRIASLVRFREKRKERCFDKKIRYSIRKEVAQRMHRKNGQFASVKDGSGSSNWVSSKNNLQGDDKSHPETVLRRCHHCGVGENSTPAMRRGPTGPRTLCNACGLMWANKGTLRDLSKGGRTSSLHPVELGTPCDIKPLVLKGENSSGNQYEHATPSKALTDGTDKYSANPDEGHLGGSGEDLTNHVPVGIPASSGDLNEQEGLLDFTSTSETEVDIPTNFGE >CDP01321 pep chromosome:AUK_PRJEB4211_v1:10:3562315:3562749:1 gene:GSCOC_T00034921001 transcript:CDP01321 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKPALVLSSLFLLLIFFQTINPNVSAQNDHSSLQHMSATETIGWHLNNSTFFDPGEDGLEDEEEEEDGSDEVGDHGRTLLYYYYRSSRPRRYYISYGALAANRIPCPPRSGRSYYTHHCYRTRRPVNPYSRGCSAITRCRR >CDP07347 pep chromosome:AUK_PRJEB4211_v1:10:889494:893552:-1 gene:GSCOC_T00024583001 transcript:CDP07347 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSLNTISWTSLPSTKALKNIIPKPLSVISLSRLVNVKACTTSAGGNPQPISDRRTINFSGVKLQETVDVKSEKLRLDSWVSSRIHGISRARVQSSIRSGLVSVNGRIINKVSHMVRGGDKVNCTISELQQLRAEPEDIPLDIVYEDDHLLVVNKPAHMVVHPAPGNTSGTLVNGILHHCSLPMLSLASEEVHLEPEDASDDEFIAFPRAPKDNGGASSRTSEASIRPGIVHRLDKGTSGLLVVAKDEHSHSHLAEQFKNRTIKRVYVSLTCGVPSPVSGRVDIPVGRDSNNRIRMAVFDGSTHSQKTRNAASRYSVVEVLAGGGSALVEWKLETGRTHQIRVHAKYMGVPLMGDELYGGTKGMALSLLQPRTPSSFRENLQQLICKLERPCLHALSLGFTHPYTLENMHFSQMPPADFAEILTQLRDIRAEKVSRQVHR >CDP07532 pep chromosome:AUK_PRJEB4211_v1:10:2257511:2260333:-1 gene:GSCOC_T00024817001 transcript:CDP07532 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEEAQTTTTSAAASTTTNAAANKKEGSSEGGFLGRSKYKFWALAAILLLAFWSMFTGSVTLKWSSVHLNHVSDDLFDSPLHHDLDILEVEEREKMVRRLWDVYTQSKTVKLPIFWQEAFEAAYEDLISEVPRVRHAAISEIAKMSLVRSTLIHQLEPSSHNSIERASTESKLATQPGGEKVSTDRA >CDP01217 pep chromosome:AUK_PRJEB4211_v1:10:4429942:4437682:-1 gene:GSCOC_T00034789001 transcript:CDP01217 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDETMHSGADVEAFTAALNRDIEGDISTSQPSDSDSNALSQGSSHASSQFLPQWQTSNHDDNANSLSQQDPLGSQKSEPLSAEMDVKQPGLVHENQKQQDNTMQEEINMQDAYTNVVHNTGPERTQNPDHDAQLVILQKANNQQASFSAMSNQQAVVSTMTNQQPKAPGTSNQQASNALNRGKQVPFALLLPVIQPQLDKDRAMQLNTLYLKLRKNEISKDGFVRHMRSIVGDQMLKMAVYKLQSQAARNTQNVPSQVPSQSQVSTQQQNLPTSSTVAMTTDSTNKVSDSNAQKPREVERLPDSHGVPLSQMSTASLAANQDKERSAFPPQGINKQHPQHMHFSHPSFPTYGNTGSIHRPYSTMNTNTSTPLKQLPHDSQMRPIPAHQTMNATQLGTTTQGMNMVSVTKFDGQNSFNDPKRLQSGSLTPATNSPVLPQNSVQWQSLSAKEQKSGIPSSTTFVKPEPLDQSNEQYKSQFSATQGLSSFPSTNVEQGNTLPGTLKDESFEMQSSRLGFSAPTSTVPTNPMLSSMPSQMEPNNTLNSRMHSSTSASMGIGTSSKAPAKKPSIGQKKPQEALGSSPPPSSKKQKVSGAFLDQSIEQLNDVTAVSGVNLREEEEQLFSGPKEDSRVSEASRRVVQEEEDRLILEKIPLKRKLAEIMAKCGLKGISNDVERCLSLCVEERMRGLVGNLIRLSKQRLDIEKSRHKTVVTSDVRQQIMLINRKAREEWEKKQAEVEKQQKLNEPESNPGVDGEKEKDEGRVKPVKANKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGIDAASGSQPGKDTGRKLSSGRSSRDNQEVEKRGQSAANSTPGAARKVGRNQVVVTQPKVARTISVKDVIAVLEREPQMSKSTLMYRLYERIRSDANDK >CDP07323 pep chromosome:AUK_PRJEB4211_v1:10:751810:754555:-1 gene:GSCOC_T00024553001 transcript:CDP07323 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNPGAKTIEGDLEEALFHAGAVPEQDRGCPKRFDWARSARTDKGVSAVGQVVSGRFYVDPPGLVQRLNSILPPQIRIFGYKRVTASFNAKKFCDRRRYVYLIPVFALDPSCHRDRESVLASLGSENELVKCLECSERGRKVEGIMGKRNFDSKIGLDVGKLESGISSNNQDAGTTEEKIKDFSLGANNGGFDIEKTEEDEVLVQDVNQEEVKAIKKSDFRYGEEERERFNRVLQYYQGTHNFHNFTTRTKAEDPAARRYIISFDAKTTVNVEGIEFVKCEVIGQSFMLHQIRKMIGLAVAIMRNIAPESLIETAFRQDVDINVPMAPEVGLYLDECFFSSYNQKWKDSHEELSMQTYAEEAEDFKMQYIYSHIASTEHKEGVVALWLHSLNYRNYPDLRFINNNVNNDVESLGIEAKDGEK >CDP07388 pep chromosome:AUK_PRJEB4211_v1:10:1159647:1160117:-1 gene:GSCOC_T00024638001 transcript:CDP07388 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIGSTFAGAAPSCARFKHHLHQPSRISAAHAAAGRTSTSTSHIAPQTSLYEVLGIPMGATCQEIKVAYRRLARVLHPDVASSHNTTRGSQDTSAAADEFMRVHKAYTTLSDPEKRADYDRTLFRLRRPAYVLSATNRGSGYYARRTWETDQCW >CDP11969 pep chromosome:AUK_PRJEB4211_v1:10:23246171:23246851:-1 gene:GSCOC_T00035301001 transcript:CDP11969 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVQIVYCPNIIKDKIQLTWFFFPFVAVVLQRDGVPKGFASVIFCLVLHIIMVMHTLYQKCKLVHGNLSEYHILYFEGHLHVIDVSQSVDLDHPHALDFLREDCVHVSDFFRKHGAAIMTIRELFDFIVHLSINDDSVDSYLEDVGHECIYDLLLHLVVGSLIQCWTYM >CDP07483 pep chromosome:AUK_PRJEB4211_v1:10:1929197:1934638:1 gene:GSCOC_T00024757001 transcript:CDP07483 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHMCRSDGDARIKPLSLRSYQVVVAATRDLGIGKDGKLPWRLPSDLKFFKEITVTTTDPVKRNAVVMGRKTWESIPPQFRPLPGRLNIVLTRSGSLGPAIDDNVVCCGSISSALELLAESPYCSSVEKVFVIGGGQILREALNAPECEAIHMTVIEADIECDAFIPPVDGSLFQPWYSSPPTVENNIRHCFVTYVRVMSSAVEPVDLHQQAKSNRSSDSKRFNVSSFSFLPKTVFDKHDEFLYLRLVQDIIATGNHKDDRTGTGTLSKFGCQMRFNLRRSFPLLTTKRIFWQGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDSIDLEDREVGDLGPIYGFQWRHFGARYTGMHADYKGQGFDQLLDVIDRIKRNPNDRRIVLSAWNPSDLKLTALPPCHTFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCGLVPGDFIHILGDAHVYKTHVVPLQEQLQKFPKPFPILKINPEKKDIDSFVASDFELIGYDPHQKIEMRMAV >CDP16491 pep chromosome:AUK_PRJEB4211_v1:10:17135800:17147692:-1 gene:GSCOC_T00018443001 transcript:CDP16491 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAGSSTSLFLVTVVKSLEAVGLVGRSSGRWSQSPSSHHTLHRHFFISRIPGRHSGEGQKMDNGSDQVTRVLFCGPHFPASHDYTREYLQDYPFVKVDDVPFDSVPDVIGNYHLCVVKSMRLNSELISHAKRMKLIMQYGVGLEGVDIGAASKHGIKIARIPSGATGNAVSCAEMAIYLILGLLRKQNEMQIAVKQRKLGVPVGDTLLGKTVFIMGFGNIGIKLAQRLRPFGVKIIATKRSWASYLDKSCKTEAFCTENGTEDDLVDEKGTHEHIIDFASKADIVVCCLVMNAETAGIVNEGFISSMKKGALLVNIARGGLLDYNAVLHHLESGHLGGLGIDVAWTEPFDPDDAILNFPNVIITPHVAGVTEYSYRYMAKVVGDVALQVHAGKPLTGIEIVN >CDP07430 pep chromosome:AUK_PRJEB4211_v1:10:1498085:1499770:-1 gene:GSCOC_T00024695001 transcript:CDP07430 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTHLMIHSSPPCHRLVLLLVLLLHPCHRKLAEAAATTGGQWALLQSSIGIASMHMQLLNNDKVVIYDRTDFGPSNISLASGKCRYDPQEQVLKVDCTAHSVEYDVATNSFRPLTVLTDVWCSSGSLMPDGTFVQTGGFNDGDHVVRLYKPCSSGSNCDWQEINNGLIQRRWYATNHILPDSSQIIIGGRRQFNYEFYPKTAATNQVFNLRFLVQTNDPVVENNLYPFVFLNVDGNLFIFANNRAILLNYKKNIVVKNYPQLPDGNPRCYPSTGSAVLLPLRNLQGPAIQAEVLVCGGAPKGAYASAQKGDFMGALNTCGRISINDPNPQWVMETMPLARVMGDMLLLPNGHVLMINGASAGTAGWEYGRNPVLSPVIYQPNKTIGSRFEVQNPSTIPRMYHSSAILLRDGRVLVGGSNPHMLYNFTGVLYPTELSLEAFSPSYLDPGVAGLRPRIISPVSQSQIGYGRQLVIRFSVSGQLKGNLVTVTMAAPSFNTHSFSMNQRLLVLGGDNVKIAGNSTYQTAVLTPSTSSLAPPGFYLLFVVVHQDIPSQGIWVHLQ >CDP00982 pep chromosome:AUK_PRJEB4211_v1:10:6970938:6975892:-1 gene:GSCOC_T00034459001 transcript:CDP00982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase 3 [Source:Projected from Arabidopsis thaliana (AT4G08170) UniProtKB/Swiss-Prot;Acc:Q9SUG3] MKIVVVGYALTSKKIKSFLQPKLEGIARNKGILFVAIDQTKPLSDQGPFDIVLHKLSGKEWQHVLEDYRQTHPDVTVLDPPDAIQHVYNRQSMLEDVADLNLSDAYGKVGVPRQLVIKKDPSSIPDAVNSAGLRLPLVAKPLVAKSHELSLAYDQYSLKKLEPPLVLQEFINHGGVLFKVYIVGEAIKVVRRFSLPDVSKPELLKNAGVFRFPRVSCAAATADEADLDPSVAELPPRPLLEKLARELRRRLGLRLFNLDIIREHGSADKYYVIDINYFPGYGKMPEYEHIFTDFLLSLARSK >CDP12444 pep chromosome:AUK_PRJEB4211_v1:10:14075857:14104737:-1 gene:GSCOC_T00036011001 transcript:CDP12444 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAADMQRFSRPERRINGPTITHLWSMLKLLDVLVQIDHLKNAKASIPNDFSWYKRTFTQVSVQWQETDTMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVFAVESLELDFALLFPERHILLRVLPVLVVLAASSEKDSESLYKRVKINRLINIFKNDPVIPAFPDLHLSPAAILKELSVYFPKFSSQTRLLTLPATHELPPREAQEYPFQCLNILIFSLQIDLRHYLIVNHIGAIRAEHDDFSIRFASSMNQLVLLRSIDSADIEWVKEVKGNVYDMVVEGFQLVSRWTSRIWEQCAWKFSRPCKDPVLTESNGTPTSFSDYEKVVRYNYSAEERKALVELVSYIKSIGSMLQKVDTLVADALWETIHAEVQDFVQNTLATMLRTTFRKKKDLSRILSDMRTLSADWMANTSKPESDLQSFPHAGEESKVNFFYPRPVAPTAAQVHCLQFLIYEVVSGGNMRKPGGLFGNTGSEIPVNDLKQLETFFYKLGFFLHVIDYTATVATITDLGFLWFREFYVESSRVIQFPIECSLPWMLVDHVMESQTMGLLESILMPFDIYNDAAHQALVVLKQRFLYDEIEAEVDNCFDIFVSKLCDSIFTYYKSWAASELLDPSFLFALDIGEKFSLQPMRYTALLKMTRVKLLGRTIDLRSLIAARMNKVFRDNIEFLFDRFESQDLCAIVELEKLLSALQLAHELLSKDLTIDSFNLMLNEMQENVSLVSYSSRLASQIWTEMQNDFLPNFILCNTTQRFVRSSRVPLVPVQKPSVPYAKPNFYCGTQDLNSAYQSFARLHSGFFGIPHMYSIVRLLGSRSLPWLIRALLDHLSNKITSLEPMVTGLQEALPKSIGLLSFDGGVTGCMRIIKEHLNCWQSKTEIKAEVFHGIKEVGSVLYWMGLLDIVLREVDTINFMQTAPWLGLIPGTDGQILQSEEAGESPIVTLFKSTSAAVLSDPGCLNPKSFQTISRQAEAADLLYKANINTGSVLEYALAFTSAALDKYCSKWSAAPKTGFVDITTSKDFYRLFSGLQIEYLEDSVQMQPNNQEMLGDSVAWGGCTIIYLLGQQLHFELFDFSHQVLNVAEVEAVTPTHKNAHSVQALEGLLEAMKKARRLNSHVFSMLKARCPLEDKQACAIKQSGAPLHRIKFENTVSAFETLPQRSS >CDP17694 pep chromosome:AUK_PRJEB4211_v1:10:19228496:19234715:1 gene:GSCOC_T00001424001 transcript:CDP17694 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKDLNQVNEEFSDFSLTSPACKICHLDAELAPITEEELEIPLVFERPENEGSFGGGNGRVGGGGVVVEELLNGVENEERAIVVFNPVNTPLLQSPLNYSISVSPRLISGLKNQLSWSNYSSQWRALDSEERTDDNKSDSQNECLVVVPWVPPPQLYSTSGDEAIPQIDVSDMMEADDAEVSTMDVEDNTVGSEQKVGMNVNEGLQQWQ >CDP01345 pep chromosome:AUK_PRJEB4211_v1:10:3327461:3330333:-1 gene:GSCOC_T00034951001 transcript:CDP01345 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHDIEEDEFGFSRNYFLAKELGNSGKKSGHKLADIDVVDEEELREALANIEQKHEKEIDELIKTYKSSYQEWVCELRCGFGLLMYGFGSKRTLLEDFASTALTEYSVVVINGYLQSINLKQVVITLAELLCDQLKAQQKFTSRSLHKHQQPFDSRSMDDLIAFLDQHHLEDSDSFICVLVHNIDGPGLRDPDTQQYLARIAACSNIRVVASIDHVNALLLWDKKMVHTQFNWYWHHVPTFAPYKVEGMFLPLILAQGGSSQNQNISWPILMKKARMPVNNLYATCRERFLVSSQITLNSHLTEFKDHDLVKTRRDTEGQDCLYIPIPNEALEKIIKDTSQ >CDP07560 pep chromosome:AUK_PRJEB4211_v1:10:2440742:2444660:-1 gene:GSCOC_T00024853001 transcript:CDP07560 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKVKEKQKELAENANGKPPVKKQSAGELRLHKDISELNLPKTCTIAFPNGKDDLMNFEVTIRPDEGYYLGGTFVFSFQISPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNHEDPLNPDAAAVLRDNPKLFESNVRRAMAGGYVGQMFFPRCV >CDP01258 pep chromosome:AUK_PRJEB4211_v1:10:4136894:4138309:-1 gene:GSCOC_T00034845001 transcript:CDP01258 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSENVAAEDSTTISDDGGATTVTYNDIEDSKEDEKAVDLPPNPAQHPPTQPQASPYQEGELVLAYHNQRVYPAKIMKVDLVLNEWRYSVHYPVSSTFLTPCSIYCQVTSLLLLHLFTWFINNFL >CDP07249 pep chromosome:AUK_PRJEB4211_v1:10:201164:204768:-1 gene:GSCOC_T00024463001 transcript:CDP07249 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLFSAASIPVLKVLLVTALGSYLALDRVNILGEDARKHLNSIVFYVFNPAIVSSNLAKTITYDSMVKLWFMPFNILITFLVGSVLGWLVIQMTRAPRHLHGLVIGCCAAGNLGNMLLIIIPAVCKEKGSPFGAPDVCHSYGMAYASLSMAVCLYQLFQFKGSRWEHDW >CDP10741 pep chromosome:AUK_PRJEB4211_v1:10:9566093:9576252:1 gene:GSCOC_T00031550001 transcript:CDP10741 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G09960) TAIR;Acc:AT4G09960] MGRGKIEIKRIENNTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRVYEYANNNSIKSTIDRYRKATTDASNSCSTQEINAQFYQQESKKLRQQIQMIQNSNRHLMGEGLSSLNVKELKQLENRLERGISRIRSKKHEMILAETDTLQKREIQLEQENACLRAKIAENERLQQLSIVPPGQEYNEMQAYLARNLLQLNMMEGLPVFQVPDKKSLQLGLDLVG >CDP11427 pep chromosome:AUK_PRJEB4211_v1:10:26112580:26120365:-1 gene:GSCOC_T00033678001 transcript:CDP11427 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVYEVWKGSNKFFLGGRLMFGPDARSLLITLLLIIVPVVIFCVFVARHLRHEFSPYNVGYAIFAVAVAFTVYVLVLLLLTSARDPGIVPRNAHPPEEEFRYDTSASVEIGGRQTPSLQFPRTKEVMVNGIPVRVKYCDTCMLYRPPRCSHCSTCDNCVERFDHHCPWVGQCIGLRNYRYFFCFVSSATLLCIYVFALSALYIKILMDDHHGTVWKAMKESPASVILMAYCFISLWFVGGLTGFHLYLISTNQTTYENFRYRAESRANVYDRGCLNNFLEVFCTKVKPSRNNFRAFAQEAPRATLPPTREVEIEDVGEGRRVKVEDDLDIGGDLLKISQRHNIEDIEADIRSRGSDVIHHNSSEADSVLGSDRRAPTVQAETRHSSRGRRSESWEIAPEVLGMNSNIVESRGHATSKEAYQ >CDP12440 pep chromosome:AUK_PRJEB4211_v1:10:13712839:13724741:1 gene:GSCOC_T00036003001 transcript:CDP12440 gene_biotype:protein_coding transcript_biotype:protein_coding MFYYLLISLLLISAAVLIFLNNMEAESASVLVLCGKSNAENEFALSLKSNNVLRFLDSNPVSICLHSEIRNLHCDSEGFQVDHYMDVLSTSQFGRFLMYSPRLGSTHDVVSHNFGELPIGAVCVADVQTKGRGRSKNVWESPKGCLLFSFTIQMEDGRVVPLVQYVVSLAVTEAVKDICQKKGIQHLDVKIKWPNDLYLDGLKVGGILCTSTYKSNKFNVSAGIGLNVSNEKPTTCLNATLQKWTPVAHELQREDIMAAFFNKFEDLYTVFMGQGFQSLEPLYYKTWLHSGQRVIVQDKNDNQDQVVENVVTIQGLTSSGYLLAISEDGQTCELHPDGNSLDFFKGLIRRKLA >CDP01042 pep chromosome:AUK_PRJEB4211_v1:10:6223659:6226284:-1 gene:GSCOC_T00034535001 transcript:CDP01042 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g78130 [Source:Projected from Arabidopsis thaliana (AT1G78130) UniProtKB/TrEMBL;Acc:Q9C9R9] MKAETLTLILVNLAGIMERADESLLPGVYKEVGEALHTGPTGLGTLTLFRSMVQSLCYPLATYLAVRHNRAHVIAYGAFLWAAATFLVAFSSTYFEVAVSRALNGIGLAIVAPAVQSLVADSTDDSHRGTAFGWLQLTSNVGSILGGLFSLLIAPITLLGIPGWRISFHLVGFISVVVGLLVRLFARDPHFPDGSARAVSKVPKKPFMSEVKDLVQEAKNVIGIQSFQIIVAQGVTGSFPWSALSFAAMWLELTGFSHAKTAFLMGLFVVANSLGGLFGGMMGDVLSKRLPNSGRIILSQISSASAIPLAAILLLGLPDNPSTIFLHALVLSITGFCISWNASATNNPIFAEIVPEKSRTSIYALDRSFESILSSFAPPVVGLLAQYIYGFKPAPEGSLQIATDRENATSLAKALYTAIGIPMALCCFIYSFLYRTYPRDRERAQMQALIESEMQLMELDTSRPRGVYGQVQSSDPKEHFLDGRTIIDMDNGEEEFDFDDSNEKTAIYRPSKASSSGE >CDP17691 pep chromosome:AUK_PRJEB4211_v1:10:19113123:19114712:-1 gene:GSCOC_T00001417001 transcript:CDP17691 gene_biotype:protein_coding transcript_biotype:protein_coding MISFATSARCIKLSLNSSKTKLTCLYNSFLHLLHALILRVNPFWIELSYYLIFSLVGFLALKHLNHVVSVSAQTSNFLQCPTCPFNHSHVCWWGNFHILSGLYLMKCKSSQKQETGRRTLDCQEKLIKNCIQLLAYVVLCYLLVVQLFGFTLISIYIRTVPSAGEVLKKKGINLPTFSAFTTVSPFANFGFLPTNENTMVFKKNSGLLLILIPQILVGNTLYPPCLRLIIWILQKITKGAEFTFMLKNSRTLGYNHLLSDVHSIFLAMTVLGFMILQLLLFCILEWNSDSTAGLSAFQKLIGSLFQLANSRHAGESVFDLSLISPAMLVLFALMM >CDP11943 pep chromosome:AUK_PRJEB4211_v1:10:24027052:24030093:-1 gene:GSCOC_T00035258001 transcript:CDP11943 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSANDLQKIFQELDNNGAGLISIDQLKWLLEKIGFQTSIDELQVLMGSRKCLDSIDFFFFYDIVIEKQKKGGDEASDDLEDSDLIKAFKVFDLNDDGFISCEELQSVLSRLGLWDEQNCQDYCKRMISMYDMNSDGLLDFEEFKFMMLSDFS >CDP00857 pep chromosome:AUK_PRJEB4211_v1:10:8976781:8986540:1 gene:GSCOC_T00034281001 transcript:CDP00857 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAACSSASILNSSARIQVPISNFSFYFQSSLDYNPNSRFKKYKNCAFSRNFRDRYHPRKCLHQEDKSSQLLSPQEKTPNVVEISSDDKNVGIGSSPSTSFLSFLCPLLKLFSGGDPSKERNYFLEEAMSSLSTLARFPWGSRSLIEDSQTINSVDPPIRLQLFEFEACPFCRRVREAITELDLSVEIYPCPKGSVRHREAVRRLGGKEQFPFLIDPNSEIQLYESSDIVKYLFQKYGKGRSPSTGLLESTIVTGWMPTLLRAGRGMTLWEKSRKEAPIKMLELFSYENNPYARIVREALCELELPYILQNVAKGSKRAPLLVELSGSKEVPYLVDHNTGQQIGDYKRIIPYLFETYSAVNV >CDP10909 pep chromosome:AUK_PRJEB4211_v1:10:20691759:20698814:-1 gene:GSCOC_T00031866001 transcript:CDP10909 gene_biotype:protein_coding transcript_biotype:protein_coding METTKRYLNYHQSPSFGSSMRDVTYSCGSCGYELNLSSSSRNTSKIGSKYDKSIKKGIISFFYIDESRFTQVEVLKCVPNFIFKHSWGLLHRKTKLLCRNCGNHIGDAYEDNAALVTNESDFSSNSESSSQRKYDIRIRCLQPSSAQAVTPVL >CDP10974 pep chromosome:AUK_PRJEB4211_v1:10:22099879:22102349:-1 gene:GSCOC_T00031968001 transcript:CDP10974 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAVVFQGESVAEKDHPELWQQENCEQWLADSLDCHIKRWSAGKEGNLRALLSSLQDVLWPECKWDPVSLAGSIIPASVKKVYARARIRKVCDMLKEAWKKYTSEELY >CDP07454 pep chromosome:AUK_PRJEB4211_v1:10:1700960:1704347:1 gene:GSCOC_T00024723001 transcript:CDP07454 gene_biotype:protein_coding transcript_biotype:protein_coding MADTASSVATKRMWCSIPEKFQLHIAMLALQFGYAGFHVVSRVALNSGISKIVFPVYRNIIALLLLLPFAYFLEKKERPPLTWSFLLQFFLMAIVGITANQGFYLIEKVRITRKDGIAKVLGTAFCVAGASVITLFKGPTIYSPSTTLQEISPRIRMLQLGDAAGKSWSLGCVYLIGHCLSWSAWLVLQKPVLKSYPARLSFTSYQCFFGIIQFLIIAAFMERDAQAWLIHSGSELFSVFYAGMVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAITASIALGEEFYLGGIIGAVLIIIGLYLVLWGKNEEQKFAKLEAAAIQAPPADHGSNISSRATTHIKSSLAQPLLSQSTENV >CDP15810 pep chromosome:AUK_PRJEB4211_v1:10:16302250:16307445:-1 gene:GSCOC_T00016665001 transcript:CDP15810 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFGWYGPLIDLSKAPSHVGDYVQLLVFVHKSTPVQYKLSKKGGSGSGEMVRMDVQVGDDTRRYFPVSIWQKQLGSKIVAGDIIFLQNVKVARFRDVIEVRTDHCSLIQSLVRSDELLVSKGIDEAMKDCRIGITAKNKLHKVIEWLQRAGLASHDVELNSDQVFLQFFYCTIAFGLWWK >CDP10711 pep chromosome:AUK_PRJEB4211_v1:10:9993491:9996501:-1 gene:GSCOC_T00031512001 transcript:CDP10711 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKTTAAEAPAEVVVSDVQAVVEKESMVGPQPEPDPVPKSEAAMEKPAEEAAVETLEAEAEKAEEKIAESASFKEETNVVEELPDPQKKAIDEFKKLIREALDKHEFTAPPPPPPAAPAKEEEKKPEPEEEKKPEPEAEAAASAAATEEVKPTEEVEKKEGVEETKTEEKKEAEAEAPAAQVTPPVSEEPPKPEAEPVVEEKKEVITPPSAAPQPAPVVAAKVEEKAETEEIKETIVEETTPPAAPAPEAAAQVEEQPKEEPKEEVVEEPKGPEEVSIWGIPLLADERSDVILLKFLRARDFKVKDAFSMLKSVVAWRKEFGIEGLLEEEGVGSGLEKVVYMHGVDKEGHPVCYNAFGEFQDKELYQNAFADADKRSKFLRWRIQFLEKSIRKLDFHPDGINTIVQVNDLKNSPGLFLFKKELRQATNQALQLLQDNYPEFVAKQVFINVPWWYVAYNRMISPFLTTRTKSKFVFAGPSKTAETLFKYIAPEQVPVQYGGLSRGGEQEFTIAEAATEEIIKPSSKQTVEFPATEAGRTLVWEVRVVGWEVTHGAEFVPSAEGGYTVIVQKSRKSGPTDEPVISGSYKIGEPGKIVLTFDNQTSRKKKLLYRSKIKSE >CDP07533 pep chromosome:AUK_PRJEB4211_v1:10:2267310:2269733:-1 gene:GSCOC_T00024821001 transcript:CDP07533 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDKEDGLDTVLEVPIPEEMFTKMGSTAAHRWQNMRNLMKAQRLDKQSAYTTAASRNDHFTLLLKLVGSAFIPFQAQLDHAIILPIKDGSIEASTAKYIVQQYLAATGGHAVLNSINSMYAVGQVSMVTSDEPENCQNANAKPKRGCEVGGFVLWQKNPDLWYLELVVSGCNVSAGSDGKVAWSQSSSTSTASKGPPRPLRRFFQGLDPRSTANLFLKAVCIGEKRINDEDCFALKLETNLDILKAQSTPNTEIVHHTIWGYFNQRTGLLVKFEDTKLVRMKAAKGDDNVFYETSMESFLEDYRYVEGINIAHNGRTNATIYRYGSKLNRKWKIEETWRIEEIDFNICGLSLDCFLPPAEVKKEMEQEEQGMG >CDP01383 pep chromosome:AUK_PRJEB4211_v1:10:3011625:3013996:1 gene:GSCOC_T00034998001 transcript:CDP01383 gene_biotype:protein_coding transcript_biotype:protein_coding NWIVGELNILKFHVSVFYHSSHLLILLGAFSSILNHLQNNAVLAGRLLKGELEPSQILSMTPNELKEGLTAEEIASRKPEESEHRQMTDARCKRCKEKKVVLIDIIQTGHGDRYQLECNACGNNWYASSDEVSTLTIDEPSSARTVGTVPLATAKFEDVEKKLVSPRRHDKSADDGFKKTSEAFVAVLDSQK >CDP16854 pep chromosome:AUK_PRJEB4211_v1:10:14990771:14991013:-1 gene:GSCOC_T00019412001 transcript:CDP16854 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLIPNLFLSLIFSISTTTHSYILVPQPQSQPCKELIFFFHNILYKGNNKNNATSAIVGVSDWGTRETGSFSMIPSL >CDP01322 pep chromosome:AUK_PRJEB4211_v1:10:3556830:3559237:-1 gene:GSCOC_T00034922001 transcript:CDP01322 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBP1 [Source:Projected from Arabidopsis thaliana (AT1G45976) UniProtKB/TrEMBL;Acc:A0A178WK23] MAFPHHHFQQHHHHLQQPPPKQQQSLRDIYSNMEAAQISPPVAFFNGMNLPDQSNHPPYVPPFQVVGLAPATVEEQGGGLELQWNYGFEPKKKRPKEQDFLDNNHHNNNNNNSQMSSVDFLQARSVSTGLGLSLDNTNNNNTNNKTWLASSGDSAFLGIVGDELDREFHRQDAEIERFLKIQGDRLRQAILEKVQTNQLQTISYVEEKVLQKLREKEAEVEDINKKNIELELRMEQLSLEANAWQQRAQYNENMVKTLTLNIQQVFAQSRDSKEGCGDSEVDDTASCCNGRTLDFHLLRKDGNEMKDLMNCKVCRVNEVCMLLLPCKHLCLCKDCESKVSLCPLCRCAKQVGMQVFM >CDP00913 pep chromosome:AUK_PRJEB4211_v1:10:7980792:7991883:1 gene:GSCOC_T00034364001 transcript:CDP00913 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRANNNYRSKNSSLLPKIYPCSPLLRQHPNAFFSSDFNLRWGRRDSSTSNILVSVASAADVLLDEAVEQAQLPKGDTWSIHKFGGTCVGSSDRIKNVAEIIVKDESERKLVVVSAMSKVTDMMYDLINKAQSRDDSYITALDAVLEKHKLTALDLLEGDDLAGFLSSLHDDVNNLKAMLRAIHIAGHATESFSDFVVGHGELWSAQMLAAVVRKNGVDANWMDTRKVLIVTPTSSNQVDPDYLESGKRLEKWYSENPSKTIIATGFIASTPQDIPTTLKRDGSDFSAAIMGALFRAGQVTIWTDVDGVYSADPRKVSEAVILEKLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIVIRNIFNLSAPGTMICRPLVSETENGQKLESPVKGFATIDNLALVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVKAVAEALEARFRQALAAGRLSQVAVIPNCSILAAVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVVRREDCIRALRAVHSRFYLSQTTIAMGIIGPGLIGGTLLDQLRDQAAVLKEKFNIDLRVMGITGSRKMLLSDKGIDLSRWRELQSELGEKADLETFVHHVHGKHFIPNTVLVDCTADSVVASHYYEWLRRGIHVVTPNKKANSGPLEQYLKLRALQRRSYTHYFYEATVGAGLPIISTLQGLNVTGDKILRIEGIFSGTLSYIFNNFAGARAFSEVVKAAKEAGYTEPDPRDDLSGTDVARKVIILAREAGLKLELSDIPIENLVPEPLRAVTSPEEFLQQLPQFDQDLAKRRQEAEDSGEVLRYVGVVDVENGKGTVELRRYKKEDPFAQLSGSDNIIAFTTERYKKQPLIVRGPGAGAEVTAAGVFSDILRLASYLGAPS >CDP16846 pep chromosome:AUK_PRJEB4211_v1:10:14588316:14590648:1 gene:GSCOC_T00019393001 transcript:CDP16846 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIYVLSLQFRITFNWLLFTDHARATLLERSIYIIHIDNSLMPKAFATHHYWYLTTVDSLQSASPSTNMLYTYNHVLQGFSALFSNDELDHLKKSPGFVLAYKDKNPIAIASFVAIEKGVFVATSAGNNGLESATLHNDIPWALTVAARTVDRSFVGTLTLVALYSSRGPSKNCPGILKPNIMAPGSLVLAASIPSQTTAMTGSGTTLSSEYIMMSGTSMACPHIVGVAALLKCAHPEWSPAAIRSAMMTIANPLDNTNNPIKDKGQKLKMASPLDIGAGQIDPNRALDLGLIYDDTTEAYPSLDLNYPTFVALYNNRSKSMIQNFKWTVTNVGDGAVTYKSKVTTPKNCAVKVSPDTFVFERMLERQNFSLTMHYIGRNSGIWLASLGGLY >CDP07329 pep chromosome:AUK_PRJEB4211_v1:10:801226:806636:-1 gene:GSCOC_T00024562001 transcript:CDP07329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MAAAAAATTSNFDPQLIISHKFPEKTFTYTERDVALYALGVGACLKDALDDKELKYVYHPDHAHQQSIQVLPTFAALFCHGVSSEIGQLPGFSFDPRLLLHGQQYIEIYKPLPASACIQNVASISGLHDKGKATVLEIEILSYERESGELICMNRMAVFLRGLGGFSNSAQPYSYSKHPVNQTSSTRLPKSPPFAVFEECTQPSQACLKSALLYRLSGDYNPLHSDPRIAEIAGFSRPILHGLCTLGFAVRAVIKCICGGDQNRIKSISARFLLHVYPGETLITEMWLDGQRVLYQVKVKERSRAVLSGFVDLNLLNSSL >CDP01014 pep chromosome:AUK_PRJEB4211_v1:10:6628670:6631643:-1 gene:GSCOC_T00034499001 transcript:CDP01014 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPPQSSGAQPLRPPAVGSVAPPNYGVPFPMQFRPAMPGQQGQLFPPMSTAQQFRPVGQVQNVGLPHGQTQPLQYSQPMQQFPSQLGTAAPSSQPIHNPLIQQNMPITSGAQQPQQTGSTLNNHPHGVGGPAVQFSSSYTFAPSSFGQTQNGMNIPSQHQTHVPAVSGQLWLQPGSQGAPPGTHLQQAAAISTPSNWQEFEAANGRRYYYNKVTQQSSWEKPLELMTPIEMSWQAKGIKRLLLVLL >CDP11372 pep chromosome:AUK_PRJEB4211_v1:10:25265663:25270142:1 gene:GSCOC_T00033595001 transcript:CDP11372 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLARYRKTLIERTKHHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKSEYWGYITNCGTEGNLHGILVGREVLPDGILYASRESHYSVFKAARMYRMECVKVDTLVTGEIDCADFKTKLLVNKEKPAIINVNIGTTVKGAVDDLDLVIQTLEECGFSHDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHVNALSRNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYKGFQKEVQKCLRNAHYLKDRLRQAGISAMLNELSSTVVFERPRDEEFVRQWQLACQGNMAHVVVMPNVTIEKLEGFLDALIEGRSIWYKDDKGKPPCLAAEIGSGNCSCPLHK >CDP07233 pep chromosome:AUK_PRJEB4211_v1:10:22453:33189:1 gene:GSCOC_T00024438001 transcript:CDP07233 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPAATEAEIKKAYYIKARQVHPDKNPNDPQAAQNFQVLGEAYQVLSDPAQRQAYDNYGKSGISTEAIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFTEGEDFDAKKLQEKMRVVQKEREEKLAEILKDRLNLYVQGNKEDFVCHAEGEVSRLSNAAYGVDMLNTIGYIYARQSAKELGKKAIYLGVPFVAEWFRNKGHFIKSQVTAATGAIALIQLQEDMKRQLSAEGNYTEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLQDNNVKKEELRARAKGLKTLGKIFQRAKSANENETEMATKSPLHKLNGGDPVYDAFSVNTSARSSNTDDLPATPFVQQSPYVEAPQFSGGQYTYNFPMPTAPPGAQRHV >CDP12017 pep chromosome:AUK_PRJEB4211_v1:10:22626981:22630079:1 gene:GSCOC_T00035370001 transcript:CDP12017 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTTRFKLPQLRRSSSSLHNHRNFSANPLRNLPQTQPSEPILLQSQEIYKLVLEKCLRECKKVQSRRLFDEMPQKLICSLKAGKTIHSYSLKLGFASKGSLGGAIVDLYSKCGDMEFAEKAFFLLEKKDNLAWNSILSLYSRKGLLKNVVERFSSMRKSGKAPPNQFTYAIVLSVCARLMHVDLGKQVHCSIVKTGYEFDSFCGGALVDMFSKTGNLDDARRIFDDLIEPDTVSWTAMISGYVHAGFPEEALELFEEMRSSGQVPDQVAFGTVINACVRLGRLDDAWRLFSDMPNKNVVTWNVMISGHCKVGYEMDAVKFFLDMIKAGIKPTRSTLGSVLSAIAGVASLELGVQVHAKAMKQGLDANVYVGSSLINMYAKCKRMEAAKQVFNGVSEKNDVIWNALLGGYAQNGHACEVVELFTSMTIAGFQHDEFTYTSILSACSSLENVEMGCQLHSALIKRKYALNLFVGNALVDMYAKCGALKDARKQFELMTTRDNVSWNAIIVGYVQEEEEGEAFDMFHAMKLGGIAPDEVSLASILSACANVQALDKGKQVHCLLIKYGLETSLYTGSSLIDMYSKCGVIGAATEVFSCMPERSVVSTNALIAGYALSNIDCAGSIFKYMLAEGLKPSEVTFASLLDACSDPSKMCLGKQIHCFILKLGISINDEFLAISLLQMYLNSQIETEAIVLFSELPVPKSTVLWTALISGLAQNSYSDEALKFYQEMRLCNAMPDQATFASVLKACSVLASLQNGRKIHSLVVQTGLNEDELTGSALLDMYAKCGDVRSSECIFDEMVTRNDVITWNSMIVGFAKNGYAKNAFKIFEQMKQTNVKPDEVTFLGILTACSHAGMVSEGQRIFHDMVAVYGVQPRLDHYACMIDIFGRWGFLMEAEDFIEKLSFEPDSMIWAPFLSACRLHGDDTRGKHAAEKLIELEPQNSSPYVLLSNIYAALSNWDEVNSLRRDMNEKGVRKSPGCSWITVGEETNYFIAGDKLHRSAGKIYAILTDLMEVMGDESCFSEIQFFMVEG >CDP11411 pep chromosome:AUK_PRJEB4211_v1:10:25872344:25876113:1 gene:GSCOC_T00033653001 transcript:CDP11411 gene_biotype:protein_coding transcript_biotype:protein_coding MENYKKLCIELVLSTCLVVSTLAMSTTNFTTDQLALLSLKQHITSDPGGSILANNWSTAVTVCGWIGVTCSPRHPGRVTQVNIPNMGLAGTIPPDIGNLSFLVSLDMRNNNFHGVLPERMVNLRRLRFIDLRFNNFVGEVPSWFGLLDKLQSLLLSRNQFSGVIPKQIGNLYKLEHLIMSYNNLEGGIPKEICNLTMLKSLVLCSNHLTGSIPQEIGNLIKLENLCLQFNSLTGSIPIGIFNISALQIFSLVRNHIIGNLPPNFGYALHNLEEFVIGGNNLSGVIPRSISNCSKLARLSLGQNKFTGKVPNSIGDLRLLEVLDLSENDLTSDQSESPELSLITSLTYCKSLTRLALAGNPLNGMLPVTIGNLSNSLEKIYARSCRIRGSIPESIGNLSNLIVLSIFDNALTGSIPSTLKGLQKLQGLLLYDNNIEGTIPTNLCNLPSLSTVDVSHNQISGTIPECIGNLTSLRNLHLGFNRLASSVPRSLWNLKDLLELNLTSNVLIGYLPPEIGKLEVVMLLDLSVNRFSDSIPSTIGALENVIRLSLARNAIQGSIPESLSYLLSLEFLDLSHNNLSGSIPKSLEALIFLKYFNVSFNDLRGEIPSNGPFQNFNPESFISNAALCGASRFHVKSCETVAEHRLKHKRNIRIIFVVLGTATVLSAMAFGFLFFRYRKKDIVSSRRNFSSLMSKPRFSYNELFQATDGWNNRNLLGLGSFGSVYKGTFRDGIVLAIKVFNLELEVAFESFDAECKMLSNFRHRNLTKVISCCSNFDFKAIVLEYMPNGSLENWLYSQNHFLDATQRLTIMIDVAYALQYLHHGHSTPMVHCDLKPSNILLSQDMVAHLCDFGISKLLSQESSFTYTQTLATCGYVAPEYGSEGLVSTRCDVYSYGIVLMEVFTRKKPNDEMFGENLSLKSWILDSLPNAIVQVIDANLIRPDKSSFSQELNCISAIMEVAMKCSRDSPRDRSTMGDVLEELKKIKLLLSALDRED >CDP15799 pep chromosome:AUK_PRJEB4211_v1:10:15721744:15722701:-1 gene:GSCOC_T00016638001 transcript:CDP15799 gene_biotype:protein_coding transcript_biotype:protein_coding MRISVANILILFDMYIHYCRTHCQPRLSESAAFVLQENYVKIRQDMRRQANETEEAATIPITVRQLEAVVRLSEALARMRL >CDP15820 pep chromosome:AUK_PRJEB4211_v1:10:16588630:16592701:-1 gene:GSCOC_T00016685001 transcript:CDP15820 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGIIFQLFYGLMGSWTAYLICVLYIEYRTRKEREKVDFRSHVIQWFEVLDGLLGKHWRNIGLFFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIASFIHGQVEGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLGATIYVLTLTLPSASAVYWAFGDMLLNHSNALSLLPRTGFRDAAVVLMLIHQFITFGFACTPLYFVWEKFIGVHETKSLFKRALARLPVVVPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMVTFASASARENAVERPPKFLGGWAGLYSMNIFVVVWVFVVGFGFGGWASMVNFVRQIDTFGLFTKCFQCPPRKA >CDP01097 pep chromosome:AUK_PRJEB4211_v1:10:5605986:5610769:1 gene:GSCOC_T00034610001 transcript:CDP01097 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEIVKDIGSGNFGVAKLVRERWTKELFAVKFIERGQKIDEHVQREIMNHRSLKHPNIIRFKEVLLTPTHLAIVMEYAAGGELFARICNAGRFNEDEGRFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRVKICDFGYSKSAVFHSQPKSTVGTPAYIAPEVLSKKEYDGKLADVWSCGVTLYVMLVGAYPFEDPTDPKNFRKTIMRILTVHYSIPDYVRISVECRHLLSRIFVANPEKRINIAEIKRHPWFLQNLPVEVMEAGSLQSNDINTPAQSIEEVLAITQEARKLEVAKAGLLSLGSMDLDDLDDADLEEDTEASGDFVCSI >CDP07619 pep chromosome:AUK_PRJEB4211_v1:10:2973074:2981074:1 gene:GSCOC_T00024930001 transcript:CDP07619 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTAENTKITAPYGSWKSPITSDLVSGSDKRLGGTAVDALGHLFWVETRPNESGRLVLVKQGEKEGDDPIDITPKEFSVRAVAQEYGGGAFRIFGDTVIFSNYKDQRLYRQSLSSKDSAPLPFTPDYGRPLVSYADGVFDARFSRFVTVQEDCRESAMNSITTIVSFDIRDESVQEPKVLVSGSDFYAFPRLDPKGERIAWIQWSHPNMPWDRSELWVGYISDSGDVHTRVCVAGGDPTLVESPTEPKWSPQGELFFITDRKSGFWNLYKWIETSNEVLPVYTLDAEFARPLWIFGMNSYDFIFDKDQKNLIACSYRKNGKSYLGILDAAQNTSLSVEIPFTDINNITSGLDCLYIEVASAVHPLSIAKLILDDHKSKAVDFKIMWCSSSISSIDKSYFSMPEVIEFPTDVTGLNAYAYFYPPTNPIYQGYPEEKPPLLLKSHGGPTAETRAVLNLSVQYWTSRGWAFVDVNYGGSAGYGRGYRDRLLGQWGIVDVNDCCSCAKFLVDSGKVDGERLCITGGSAGGYTTLAALAFKDTFKAGASLYGVADLKLLRLETHKFESHYIDNLVGSERAYFERSPINFVEKFSCPIILFQGLEDKVVPPEQARKIYHALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGNFNVADEITPIKIDNFD >CDP10720 pep chromosome:AUK_PRJEB4211_v1:10:9847286:9851357:-1 gene:GSCOC_T00031521001 transcript:CDP10720 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSGSIPLSWALPTTLCSSSFLFKQSCLPVPAFASHELHFPINEEANSDYEVSVIVQRSSINCSRPFWLSRTNKNSRICSSQVKVEDITEDETCELVNGVELSIGEEDDAINAYLCTAVKNNNGTGILLLSDVFGFEDSATRDFAYLVACNGYNVLVPDLFRGDPWTKERPKDLFEEWRARQNPEHIAKDIFISADWMVNEFVAAGITKKLGIIGFCFGGGQVIDILAHNQGGCFGVGVSFYGTGISASAAANIRVPVLFIAGDNDPLCPVNNLKDIGKEIEHQKMVVFQGRGHGFVHRPESPEEDEDAEKAFVIMRNWLHDGLAIET >CDP12431 pep chromosome:AUK_PRJEB4211_v1:10:12610287:12611775:1 gene:GSCOC_T00035973001 transcript:CDP12431 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKPLVSFKLENSRFNKQYLKNHISLSTSPKLENLVLLESSFKVLKVLRRHFSTNVSERHSNFGSKLVT >CDP11464 pep chromosome:AUK_PRJEB4211_v1:10:26414505:26418505:1 gene:GSCOC_T00033730001 transcript:CDP11464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PEPKR2 [Source:Projected from Arabidopsis thaliana (AT1G12680) UniProtKB/Swiss-Prot;Acc:Q8W490] MRKKRKGSEILGPKQIGAFEGSHDLNSSVSNLRFHYSLEDYSRLKKRCKEEGDGGVGGCEIVKSCKSTRLAGIAATAPPCGASSIGLSGRGLKRKIGCIDTATQTGRKNKLEDDYELVDKIGRGKFGSVWLCRSKVTGVQFACKTLKKGEETVHREVEIMQHLSGHPGVVTLEAVYEDDESFHLVMELCSGGRLIDQMAREGLYSEHQAANIFKDLMLVIKYCHEMGVVHRDIKPENILLTTFGKIKLADFGLAVRIANGQRLTGLAGSPAYVAPEVIVGDYSEKVDIWSAGVLLHALLVGVLPFQGTSLDAVFEAIKNGELDFHSETWKSVSKLARDLLERILTRDAAARITADEVLCHPWISFYTERMLKTLPIKSKVRLHFGGASTPMSATAGRLQLDGNRRGDTPTEDASPVSSLESLPKDLGEQDDSGLVDALAVAISHVRISEPKRSRLCGHGPSSPIREQHSSNMKAGSLCKAF >CDP11397 pep chromosome:AUK_PRJEB4211_v1:10:25627466:25630427:1 gene:GSCOC_T00033629001 transcript:CDP11397 gene_biotype:protein_coding transcript_biotype:protein_coding MESSIGCSKGIEKQKPYFVMFFVHIVYAGMALFSKAAISKGMNPHVFVAYRQGFATIALVPFAILLDRNKPAFLSYVTVCKIFFISLFGITLSLNLYAYAMNYTSATFAAAATNTIPATTFVMAILLRMESLSIKKRHGMAKVLGSLIGLSGAMVFAFVKGPQLHFMDWSNSHHQDTPSSNIKTASQGQFVKGSLIMLLANTAWSAWLIMLGLIVKEYPAKMRLTALQCLFSCLQSSVFALAMERDISSWKLGWDINLFSVAYCGVIVTGITYWMQLWAVDKKGPVFIAMFTPLGLIITAIVSVLAWKEMLHLGSVCGAILLVGGLYSVLWGKNQEAKCEGAAAKDQISEPKQEESGVVQVVVVKCNVNK >CDP01239 pep chromosome:AUK_PRJEB4211_v1:10:4269973:4272061:1 gene:GSCOC_T00034816001 transcript:CDP01239 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLQQTESEYYTDEIVKGMIVFHYPPLRFLKYQKPPLLGHWGPFQMLSAGTDTSSGTMEWALSLLLNNPQVLKKAQQEIDAYGGQSRLINDSDLGMLPYLHAIINETVRICPVTPILVPVAHYC >CDP07523 pep chromosome:AUK_PRJEB4211_v1:10:2210419:2211916:-1 gene:GSCOC_T00024807001 transcript:CDP07523 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNFNHKSREDLALCSPKIYGVDYPLLNPLIEPNFLRKCFVIVAKVGYFHQQECCIDASLNR >CDP01205 pep chromosome:AUK_PRJEB4211_v1:10:4537012:4541739:-1 gene:GSCOC_T00034776001 transcript:CDP01205 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAT32 [Source:Projected from Arabidopsis thaliana (AT1G27760) UniProtKB/TrEMBL;Acc:A0A178WAC4] MGRRSSQKKNAAMLDSDDTDSVSSSSTVRSDSMVVSGSEDVQFDRETFLDQCLDDLYEKRGSTREKALAAIIESFNSNLQHEFVEKKFATLLHQCIGSIKRGSAKEVALAAHTIGLLALTAGPGDKSQEILEDSISPISEALRSRSEVSKMSSLLECLAIITFVGAEEPEQTEKSMQIMWQVAHPKLGPNVKAGRPSPAMITTVVSAWSFLLTTVDGWTLNQKSWQESIYYFSTLLEKDERSVRIAAGEALALIFEVGRLEKFAGESKGSSDGSNSEADTSRELVHIQGLRGKILNQVRNLSTEAGGKGSAKKDLNSQRNTFRDILEFLEDGYSPETSMKVAGESLNTTSWAQLIQLNFLKRFLGGGFVKHMQENEFLHDVIGFNPKKKFMSAAEHRLSASEKRLYRSPNSGLNKARTQLLNKQRMISQDKNTGHYAVCFNEMA >CDP07558 pep chromosome:AUK_PRJEB4211_v1:10:2431298:2434578:1 gene:GSCOC_T00024851001 transcript:CDP07558 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAELHSPEKPASETRKILGPGGNRVRVLEEEKGKKERLKKKHSNNNTNNNTAAVDAKKLVSESPKAAVLKNRVGDRYSETSFCNGSVMKSVSSKRKVKNSSGVIAAKVVPHGRETLAVTRVAPGLVKRCDWITPNSETIYASFHDEEWGVPVKDETKLFELLVLSQALAELPWPTILHKRETFRRIFNNFDLLSVASIDEKKLLALSTNGNSLLSEQKLRAIVENAKLVLKIQQEFGSFSDYCWRFVSHKPIRNGFRYARQVPAKTPKSELMSRGLMQRGFRCVGPTVVYSFMQVAGMVNGHLVSCFRYNECNSNFNEDLDAEAKKETDV >CDP10907 pep chromosome:AUK_PRJEB4211_v1:10:20636149:20637800:1 gene:GSCOC_T00031863001 transcript:CDP10907 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYRDNKTCYLHPREVVIGICALCLNERLLVLASQQEQLHQANNSNNHRTHSFTHRRNPMNLPRIFALSSLLNRLDLRHRKSDDQYHCESSSSQEDSFISIKFEDNGAASWEKSKELPKMPMQHSTMSLQQSLSKGGGDLGVKAVIEHPNPRGSMRWRKRIGHLFQLIRWKKSSKGNVCHVGTKIDGVKVRHGWIRTLTKRRTKE >CDP07295 pep chromosome:AUK_PRJEB4211_v1:10:573502:574855:-1 gene:GSCOC_T00024522001 transcript:CDP07295 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSRKPPLARSPIHLRLRPRRPLQSNTNTIQTPPGSLTKSQLPKGACEIEELELGVRPEYRTISCELRALAEMVHQEFSSADWADAGLVGTSMSVNRSTLFERGRFYEEYSARRNERLKRKKVRETGDGKKAGHGYDLGVRVESAKRRGEAKRFESLRKTVPSTPTVDRSQASSSRYLLRSTTSKENKKPPLAFANVERSVGAGQQKIGVRRGRKI >CDP18279 pep chromosome:AUK_PRJEB4211_v1:10:24718860:24721889:1 gene:GSCOC_T00012006001 transcript:CDP18279 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSCYLAVYMMLQSVCLVLQVRVCLQCGDKGFSSALNECVKCQKYFIHRYCLDKLPEKLDEFVHWVCDICEVDLSKELPSTKFNPVQDEERVHTSFKDVDGQLTVVDGERRRPRLRPKKKSVALLGQENDERLESSPSSLLEDVELAMSSPLLTGLEKKEGSCSATKVKDHRFQLVDASTDLELTEKSNGLDTSSKDIEGEEMVVASKGRKRKILQLRVSPSEQVQDTVLATSSLSTDLKEKEACCSVAEVEDHRLQTGDTDRKLELTERSNIEHELSSLHDELTSNSPLSAECKENVGFCSVAEVDHRFHLVEESTELELAEKSNSAREGRNNTTLKGLEGQKMVITSEERKRRGPQHGHTEQDQHAASATNTPLSTELEMNETSCPAAKVDDHELSLLEMLKKERMEVKVSSANLPQKGAEECLEGSQLASGHLENNLTVDNREQAVPRIEPIWRGNFVILNKDYQEFDDGLVAHLSSKACEKVYEEAILLPSALELEMLAKMDCWPKSFQKSQPSDDNIALYFFPLDKRSEGLFDCLVEEMMDQELAMKAIVKNAELLIFASNELPLSYWRFQGKYYLWGVFRGQQGPASKAEGIHEGIEEGTKNTCGGQK >CDP07407 pep chromosome:AUK_PRJEB4211_v1:10:1273107:1277527:1 gene:GSCOC_T00024664001 transcript:CDP07407 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGDGRGRGRHIDIDLNVSLNSSFGNLSLAASAVPPPPRLEPQHRFSVPVVPSSVCERLDPFASIHSPPAAADPSAIINYCIAPNFLDIQPKDSFTSWNSPSLLGLPHTNEHGIFEGNKGTSKTSSVHDASLKLPVSLLEAKQCSKARGSNHVKPGTLEPNAEVLDNVGVVGSCRYDSSLGLLTKKFIKLIREAEDGCLDLNRAADLLEVQKRRIYDITNVLEGVGLIEKTTKSHIRWKGYEMFGPEELNNQAIILKAEIECLFAEDCRLDDCIRFDNHHMEECLLTLYLTEEDIMSQPCFRNKTVIAVKAPHASTLEVPDPDEDIRFSERQYKLIVRSTIGPIDLYLLSNHGGHHEDVKVKRRKLLDSSVVNGCRQGADDAYYSDASGLQSSDVSGINKIIPSDGAVNDDYWLRSDNAVTATDLWGTECS >CDP01278 pep chromosome:AUK_PRJEB4211_v1:10:3926520:3928919:-1 gene:GSCOC_T00034866001 transcript:CDP01278 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFFNAILISVLALSLAFVSSSKSTDILTTGSSLSSKDVLISKPHGNFTAGFFSVGENAYCFSIWFTELYDHGNYTIVWMANRDRPVNGKNSRLSLLKSGSLVLTDAGQYTVWTSSTQSNSSLQLQLHDNGNLVLSNIEGGNLWQSFNSPTNTLLPGQSLTQNSVLVSSRSLTNYSSGFYKLYFGDDNVLSLLYQGPQTAGISWPDPWKHSWENGRYPYNNSKVATLDSWGRFQSSDQFDIITVDYGPGIQRRLTVDFDGNVRAYSLDMASRNWKTGRKCTCAPGYKIKSQKDWAYGCEPDFQLPYNDSNASGFLLLQNVEFYGYDIGFFSNSTLDNCQNLCLNYSSCKGFQFKFDKDKGYYNCYPKASLFNGYRSSGFDFPIYLRLPQSIITSFDQKPLQQTNIKIQGYTQVATGFRKFTFAGLKKASRNFSAEIGRGGGGIVYKGVLTDNRVAAIKCLNEANQGEAEFLAELSTIGKLNHMNLIKIWGYCVEGKHRLLVYEYMEHGSLAKNLHSNRLDWKKRYDIALGTAKGLAYLHEECLEWVLHCDVKPQNILLDSNYQPKVADFGLSKLLNRGGTDKSTFSRIRGTRGYMAPEWVFNLPITSKVDVYSYGIVVLELLTGRSPVEGHSMEESTNPVINAEFDMARVEILVQVALQCVEEDKDARPTMSQVLDTLLHQESDEY >CDP10976 pep chromosome:AUK_PRJEB4211_v1:10:22138314:22143259:-1 gene:GSCOC_T00031971001 transcript:CDP10976 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSSMLYSFLLFVAVLSLQEMYRGKLASSELFTILGGFSSSLIFLLLLTFIGNYQETSGVKSGWGAVIFAEAVALIAASTVHRVCITTCFLFSAGLLYEVNKLSVMMLSKSESKSKRF >CDP11367 pep chromosome:AUK_PRJEB4211_v1:10:25154506:25155039:-1 gene:GSCOC_T00033584001 transcript:CDP11367 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLIYWFSIITSKTWIQLLSSDEKEEEENCSSNGRVEVETCRLVVVGNCKLEEVVEETCIYKLVVVEICKLEEVVETCTYTLVEVGTYILEEVVVVTCKLREEVVVTYTCRLEVAETCKLVAMGVNALEVVVRNNGKVVEVTCKLEEVVEVTCKLVVVTKLLSFL >CDP01188 pep chromosome:AUK_PRJEB4211_v1:10:4753952:4756743:1 gene:GSCOC_T00034747001 transcript:CDP01188 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEEREAPNRNPVNTDPKPNRITQAQFLSWKSQKDAIASARKAEAERKRCEDITAGLVQMNGRELFQNEPWVFDNSRY >CDP10648 pep chromosome:AUK_PRJEB4211_v1:10:11412949:11415552:1 gene:GSCOC_T00031428001 transcript:CDP10648 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSASNSTHSFSNMEDFFSISAKTTSIPKEYIWPKKDLVLADQELQEPVVDLQGFLRGDWEATRHAARLVRAACLKHGFFQVINHGVDSHLIRMAHHQAKAFFKLPFSEKRKGQQQLGSKWGFSVAHAHRFSTKLPWKEMLTFGFPHEGSNAVVVDFFESFFGEGFEETGLVFQRYCEAVKKLSLAIMEILGISLGIDQYYFREYFEDGNAIVRANFYPTCPEPGLTLGIGPHSDPTSVTILHQDEVGGLEVFVDNKWRSIRPRHDAFVINLGDTFMALTNGMYKSCLHRAVVKKHQERISLTYFLCPREDKMIKPPQDLISSIEDEPRKYPDFTWFDFLRYTSEHYRADETTLQNFTKWFTSFNP >CDP10905 pep chromosome:AUK_PRJEB4211_v1:10:20605444:20618877:1 gene:GSCOC_T00031861001 transcript:CDP10905 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKTDSPLARRIAQSFISFLDSVEPASGVDAEGIEVAKECLSEAFKIELSSENQLNSESLVDIFSSWETVGRSNSNADLPHEVSPGDCASTSSAGNASDAHHLKPSYSQVDGWSKEDQTHGTSKDELFGQYFGALEKIRYFKSTADGNDDQAQLDRATHIFHKALEEMQRSGCQTFDGRNLAETLKLQGNKAMQLKLYLDAIELYTFAIALCEDNAVYYCNRAAAYTQINHFEEAINDCLKSIEIDPNYSKAYSRLGFAYYAQGKYRDAIDKGFIKALQLDPNNSSVKENIRVAEQKLREEQQRAGQSQNSSSNHHPAAGSRSHGVPPPFTSMPFDATGLPVDIASMFMNMAPNLSPGQNFHSGHEGTSSTDAHDEPEIRMGGNINVDLGEHMPEEFSGALRSMMQMFSGAAPHGNPEENVNGRSAPS >CDP07363 pep chromosome:AUK_PRJEB4211_v1:10:979355:981099:1 gene:GSCOC_T00024604001 transcript:CDP07363 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWKDICPSALLHQPTSTSSSAAALEGIVFQEFLSRPSRAQYDSTSRHRHHHHHHHHPGRQSSLESIDRGSFGSHSQGQPAPAATASITLSLNSTRPPPSSSFSLPLDHDLDADANLNPPTVEFSILPEKRASQDEDTCHRNKRMIKNRESAARSRARKQQAYTSELEIKVARLMEENARLRRQQQEKVKHI >CDP07620 pep chromosome:AUK_PRJEB4211_v1:10:2989441:2996824:1 gene:GSCOC_T00024932001 transcript:CDP07620 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTPENSNDAKIIAPYGSWKSPITSDLVSGSDKFLFGISVDCFGHLFWVESRPNESGRLVLVKQGEKEGDKPIDITPKEFCVRSVTHQLQGGAFCISGDTLFFSNYKDQRLYRQSISSKDSTPVPITPDHGTPLVSYADGVFDSRFNRYIAVQEDCRESSMNSITTIVSINVDNESIQEPEALVAGSDFYAFPRMDPKGERMAWIQWNHPDMPWDRSELWVGYIGDEGFPSSTGVVLLCFKIVVLIAVFAVTGELFFISDRETGFWNLYKWAESTNLVVPVFQLDAEFARPMWNFGMNSYDFIEDKDQKSLIACSYSQNGKSYLGILDPVQCTLSPIDLPFTEISTITSGIHCLYIEGASAVDPLSISKVTLDYQKSKAVDFRITWSSSRICSAYKSYFSMPELIEFPTSVPGLSAYAYFYPPSNPIYQANPEEKPPLLLKSHGGPTLETRAILNLGVQYWTSRGWAIVDVNYGGSSGYGRRYRERILGQWGIVDVNDCCSCAKFLVDSGKVDGERLCITGESAGGYTTLAALAFTETFKAGASLFGIADLNLLKEEMHKFESHYTDNLVGSGSAYFERSPINFVDQFSCPVILFQGLEDEVVPPDQARRIYTALTEKGLPVALVEYQGEGHGFRKAETIKFTLEQQMVFLARMVGHFDVADEITPIRIDNFD >CDP01270 pep chromosome:AUK_PRJEB4211_v1:10:3974745:3981496:-1 gene:GSCOC_T00034858001 transcript:CDP01270 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVYRCSSVEWRPSPVVALATSADDSQVAAAREDGTVEIWLVSPGSVGWHCQLTIHGSPNLRVSSLVWCRPGSEGAQSGRLFSSSIDGSVSEWDLYDLRQKTVLDSIGVSIWQMAAEPCNNLKLQLKQDSGSHENGHVNHMTNGVDDDRSSDSEEDDDDDSVNLHEQPVSDNARLAVACDDGCVRIYTVSSADRLTYSRSLPRVSGRVLSVTWSPDASRIYSGSSDGYIRCWDAKLYHEMYRITVGLGGLGSGPELCILSLLALRCGTLVSADSTGSVQFWDIKHGTLLQAHSYHKGDVNALAAAPSHNRVFSAGSDGQVILYKLSGEVMKKWVYVGYVRAHTHDVRALTIAVPISREDASPDEKSKRPRGKEKPLEFSYHKWAHLGVPMLISAADDTKLFAYSVKEFTKFSPHDICPAPQRVQIQLVQDTVFNQTSFLLVQASEWLDILSVRPKNGTVSDIGFGPSGGPATTDLVARVKCRASRKIICSAIAFSGSLFAYSDHVKPSLFELKRTKSGNQAWTVIKRQLPLDLPYAHSLAFSSDSSRLMIAGNDRKIYVADIESSKLVHTFVPCRKECGDGLPPSEPPITRMFTSCDGQWLAAINCFGDVYIFNLELQRQHWFIARLDGASVTAGGFTPRSSNVLIISTSSNQVYAFDVEAKQLGEWSMRHTFSLPRRYQEFPGEVIGLSFPPSRSSSSVVVYSPRAMCLIDFGMPIDGDDDTDLANGQDIALRKLQIGKLKRKLKGHESETKSNGRKNFEFYAFRDPVLCVGHLSRNSLLIIDKPWMQVVKTLEAPPVHRHIYGT >CDP10647 pep chromosome:AUK_PRJEB4211_v1:10:11483303:11489065:1 gene:GSCOC_T00031427001 transcript:CDP10647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MYAFFFQICSNSIKIGLRMSGTALSPFPLIPFPADIGNKSYPLTKYPTRKLKSLAKISCSRSDNSSHSTSQQQQLNLSVLRFTFGIPGLDESYLPRWIGYAFGSLLLLNHFVGSDFSAITPAQLRTEVLGLSLAAFSIVLPYLGKFLKGATPNNEKSIPEGAVPIFLMSQNIPDILKEDLAWGTYVLLCNTNTISVLIFIQVALCVRGYWNTPKDIPKDQVHDWFEKEINKTGLFDLRETLYFPQAEELWEILPRGTRSFLIQPVLHTQDSCSDKTEMSDGFVLLASSSTYAYSSKDRAWIRAVANKFRVDATA >CDP11939 pep chromosome:AUK_PRJEB4211_v1:10:24117163:24118905:1 gene:GSCOC_T00035252001 transcript:CDP11939 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLNNLLKFPPITEKRLALQSHTPFFLSLRRIQFKCFHSSGPVRCPKVALVESPLPISSSVIKISRVARSDAQAALFEYLHYTRGFTYMDAEHISKNSPHFVENLVMKVENEQDVSRALSKLLRYYPINEFEPFLESLGLRPTELSLFLPANLIFLADDHMLLDNFHVLCDYGIPRVEIGKIYKEAAEIFGYEFGRLNTKLRAYEDLGLSKPTVIKLVTSCPSILVGDVNKELVDVLNKLTELGFQRDWIGGYLSSRHSYSWNRMLDTMTFLVEVGFGDMQIGDLIRKNPAFLLEGSGKQIYVLFGRLLKLGLKMNEVYLLLLENPEILSPKCAKNVWKALYFLFEIGMETDHIAKFLRTHIQLLASHSLKGPKTVLRSLNGDRQKLLQVMSENPSKFFALAFKSNISSIEQIRAKNPGKMLQKTAFLLKLGYIENSDEMGKALKKFRGRGDQLQERFDCLVQAGLDSNVVVDMIRQAPTALNQSKDVLEKKIAYLISLGYPIESVAAFPTYLCYDIGRINRRFNMYLWLKERGAVKSMISPSTLLACSEARFTKYFVDVHPEGPLKWESLKKSSLSS >CDP17703 pep chromosome:AUK_PRJEB4211_v1:10:19628388:19632212:-1 gene:GSCOC_T00001439001 transcript:CDP17703 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSINSVLDNIKPLLDNIDDLANIDPELVKKEKYNEGLKIVKLESKLVRTFLLCARRWSLDGWKDARLEAFLSSLQDAVLYHAQAFCALTEDGISFSDHLDQAILDFRAKFSSFDIEISTMYCSLRAFVVPSNLSALIDEVLVEFVDSLLENLVDVVSPIEACDRGLHKIKFEALQEKLKFLKDFVLFATWQGVESSLLKDPTAGLQAVAVQAADLSYSCWFHRLFDKKECNEMHSMISELLQDIKHLHSQFHESCIQGLRSSKLSGSLQTLNLEKDMCIVGDFADSLTGILWEVLNHNTSFVVAKQDQMQMLYDGLRFLRTILKKQQEKFSGLHQKMMDLVGAAVNEAGILILSLSANETGGGLPKDVDLGFSDLLQKIKLIRLVTSTLKSPGTNGLGLIKFLLKNLTELAELDFLAHSKDKIWTVQKDFVFLGSVFEKIAEQHNKHEKLKAFWSHVIEVANKVMFVINSFDLSPLSFDSILEELLLIKTESLEIDETYGSEAQKVVKTSNYVTSQGIIPVLNNGAVGFKDETERIINQVRHGSEKLDIVSIVGMPGLGKTTLAQRVYRDPSIIRDFHIRAWCNVSQVYCMKDLLLELLRGINPDVSKKYCDMDEQDLAHKLLNSLQRYRYFIVLDDIWDIDAWNILKRSFPDDKKGSRVLITSRQYEVASQVEPDREPHRLRQFTDDESWDLLQKMLFPTEPYPPELCTIGKQIAENCGGLPLTVVIVAGILATIEQDGWKQFAERMSARNVSLTEQSMNMLELSYRHVPDYLKPCLLYAGAFPQGQEIPIQRLLRLWIAEGFVKEVEQKKVEEVAEDYMMDLIGRSLIIVSKQRSFGGVKTCRVHDLVREFCATKAKEENFFQLLHGYEGLFTFSESFNTRRLCIYSKQEHFEKSRLFCPQIRCLMFFSHGDGYPRKYSDSLFIFQICKLLRVLDLGQVFLGENFPTEIEVLVELRYLAIRGMMQSIPSSIANLSNMETFILDVYLGDVMLPETIWNMTNLRHLCISGSSGDISLAKDMHENSSILYNLSTFSNLLLFIDPSMEKILRKFPNIRRLKCELSEPEDPVPDCSRIVTMNFLSQLESLSLSLYFTEQRAIQFHFPVNLKKLTLSDFSWSMISVIEELSNLEVLKLLDDRGAEEEIRWDITEEVEFPKLRILKLSRLNIVRWTGSGDHFPRLQKLILEQCWKLKEIPSCLGSNSPLEMIEIRWCPPSVVSLAEEVKEGQEEMGYEDLQILISAKAE >CDP18281 pep chromosome:AUK_PRJEB4211_v1:10:24787234:24788088:1 gene:GSCOC_T00012009001 transcript:CDP18281 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCPSRPPVMGRRWKSSTESAPNCPRCASSNTKFCYYNNYSMSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKTRRSKSARQADRLGLIYQMPSPGRASDESNNSSNGADIDLAAVFAKFLNQDDSSNDLDKIHVSTDQESSLSGLSRTSLDLPLGYLDRENQIEDMIFQCQKPTDFIDGDQMHEGHPSLSMDVDNIEELLDQNCNAFELQAILGEEIGENASLSDGRSLPNFEWQSTLQFQDFGSFSTDDAMKIPSNLADDNWNTFDVSAGYEFFSRPWNCI >CDP07536 pep chromosome:AUK_PRJEB4211_v1:10:2285321:2289597:1 gene:GSCOC_T00024825001 transcript:CDP07536 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDDYQSFPPLQPSPQPQNRRLKRLKKKATEVSSKPPSPQQPDSLDPLLGIPRVDFARLEALEASATKTLDSFFDDSNESPLPSHVGTEMESEGNIRMDSEELDSELAFEKDNRTYSELASENNNQMDAEMALEKDSREAKRALEFDDVADVEQDRDSKSEKFEKKRDTTELDVDNKTDEMEEDSSKKKKSKRTKNDTTTVDDDMKSKVSASDKRRQEKERKTYLKQLHAESQRLLRETTEAAFKPIPVVNKPISSVLEKIRQRKRELSKKNLILNNNAFAAANGGVRRDDIGYQPTSRLLEEREDKLAKAVEDIVACPVLPRRDALDVDKSDEPPVPSSREISPPDEASSEESSPMFRAPIDDTQDLFGDSETKECNDELPGGLQDSPLEEVMAPSLLAMNLKFDSVPSNDSSSEEDNDKENTDPLPHGGEDDSISPRGAPFKAFLDEEAEEEDDSDNELLQSKETEEDEDMEDSEELNDIIATEYEERPIDCDRRNELHQKWLEQQDEAGTDNLLQRLKVGLEPKETALVGEEQEEIEDGEDFNDEDVIPRNYARMNTKKAKQIITQMFLDKDDSFISDEDEEVERRRVKQHLLVRAEEQATLVSPMEDESSREVFGLIKKLNIVPENKKKAKASSYFDSELKGGQSRSFAKSSFIGRSSYHSLPSSHKQGSGTVRSFIFGRDDSNSRSSISKSDDSLDTISKEKPMRTVTATVTSSQAKFSSQYRSASGVRATGASLFETLKRSSIRSMSYNRDDAVDLSHVLATFRVPKKPIKIEGRN >CDP00983 pep chromosome:AUK_PRJEB4211_v1:10:6959363:6961923:-1 gene:GSCOC_T00034461001 transcript:CDP00983 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLTVGNLGLKIPVAAKPARSVVHPSSSPCYCKIKLKNFPLQTAVVPYITPEPQSPDGAAQVQASAAAATFNLGKSDLEKLAGKSLFGGSSKRLHLTVSIYTGGRGTTCGVNSGRLLGKIRVPLDLAGTETRSVIFHNGWINVGKESKNSSAQFHLNVKSEPDPRFVFQFDGEPECSPQVFQIQGSIRQPVFTCKFSFRSAPDRNQRSWSLPSELSCSRSWLSSFGSERERPGKERKGWSITVHDLSGSPVAAASMVTPFVASPGSDRVSRSNPGSWLILRPGDGTWKPWGRLEAWRERGSADGLGYRFELIPDSAAAAGIVLAESTLSSSKGGKFVIDLGSGSPAGGAGGTANGRATPGSVASPACSPRSSGDFGYGLWPYCVYRGFVMSARVAGEGDGRKSKCNRPPTVEVSVQHVNCTEDAAAYVALSAAVDLSMDACRLFSQKLRKELCPPQDLPC >CDP10728 pep chromosome:AUK_PRJEB4211_v1:10:9764737:9770871:-1 gene:GSCOC_T00031532001 transcript:CDP10728 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPPENDFCSICHGNFHLPSQANCSHWFCTNCILQVWNHGPALQPCKCPLCRRQITLLIPSEASSRLRHNAEVSEILQKVETYNRLFSERSNDLVQRMQDLPFLLRRLLRDIMDPQRSLPLVIRARVYLAAVLSTIYVLSPVDIIPEGVLGIIGLLDDLIILFICFLHVAALYRSVLVNRHGGS >CDP01122 pep chromosome:AUK_PRJEB4211_v1:10:5384689:5389550:1 gene:GSCOC_T00034640001 transcript:CDP01122 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVTQAFAGHLGDVELASISIANNVIVAFNFGLLLGMATALETLCGQAFGAKRYNLLGIYLQRSWIVLLLASILLLPMYIYATPILKLFGQPEDVAELSGLISVWFIPQHLGIAFQCSMQRFLQSQLRSDVVAYITTFTLVFHVFISWLMVHHFQLGVIGAAVALDISWWVSVFGLLIYIVGGWCPLTWTGFSVEAFSGLWEFFKVSSASGIMLCLEFWYYRILLLMTGNLKNATIAVDALSICMSINGWEMMIPLAFLAATGVRVANELGAGNGKGAKFAAKVSLVQSNIMGIIFCVLILIFHQQLALVFSYSKAVIKATDKFSLLMALTILLNSTQPVLSGIAIGLGWQSLVAYVNLGSYYVVGVPLGVVMGWVFNFGVEGIWGAMIFGGTALQTGILAVIALRRDWDNEVFPWNKSPPNC >CDP01371 pep chromosome:AUK_PRJEB4211_v1:10:3113303:3116564:1 gene:GSCOC_T00034984001 transcript:CDP01371 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIDVLITKLNNYIGFTGFMGIGIAFLLCFAVFLLDYLSFAAKKKIKDETRLKEESEARINNSVTDEGPISQGRAAGDPEIVIVGAGVAGAALACSLGKDGRRVLVIERDLSEPDRIVGELLQPGGYLKLVELGLEDCVEGIDAQRVYGYGLFKDDKRTKVSYPLENYRSDVSGRSFHNGRFIQRMRVKAAKTPNVRLEQGTVTSLIEENGTVKGVNYKTKVGKQITAYAPLTIVCDGCCSNPRRSLCNPKVDIPSSFVALVLKNCQLPYPNHGHVILANPSPILMYPISSFETRCLVDIPGRQKVPSIPTGEMAQYLKTVVLPQLPSEVHGAFISAIEEGDIKVATNRSMPATPCSTPGAILLGDAFNMRHPLTGGGMTVALSDIVVLRKLLKPLDDLNDAAGLNEYLEVFYSLRKPVASTINTLAGALYKVFTASDDQARAELRQACFDYLSLGGVFSNGPVALLSGLNPKPLTLVMHFFAVAIYGVGRLLLPFPSLKRALIGGKLIMDATGIIFPIVKAEGIKQMVFPVSIDSIL >CDP07530 pep chromosome:AUK_PRJEB4211_v1:10:2240767:2241937:-1 gene:GSCOC_T00024815001 transcript:CDP07530 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDMSHQNHSPEVKEPTPKIQKLDRENCSIQQAAPFFRVKRLSDNAILPSRGSPLSAGYDLSSAAETKVPARGKALIPTDLSIAVPQGTYARIAPRSGLTWKNSIDVGAGVIDADYRGPVGVILFNHSDVDFEVKPGDRIAQLIVQKIVTPEVEEVDDLDATVRGSGGFGSTGV >CDP00952 pep chromosome:AUK_PRJEB4211_v1:10:7464541:7466310:-1 gene:GSCOC_T00034417001 transcript:CDP00952 gene_biotype:protein_coding transcript_biotype:protein_coding MESYPLNNSSYPDSVNSSPRSLENSSWDEAISSSSAYFKVKFLCSYGGKILPRPHDNQLTYVGGDTKILAVDRNTKYSMLISKLSSLSDSSEVSFKYQLPGEDLDALISVTNDEDLEHMMLEYDRLHRSSAKPARLRLFIFPLNANPPPSVSSFESDDSKADRQWFVDALNAAQINQNLEGSSPQSVAVSGVEEPARSPDFLFGLEKGHPTPAKLQDPPPVAPTVMVRQVIGEPVVPPAAEIQRQMHELQKMQISGHDQDLYRRKVDEFYQQKPPPQAPQAEQVPPVTTPATYWQERQGPFPAGVGVGVGGTEPPPMYLIQTPAGVYQAPAMRQVPGQVGQQYFGVQRMVPEAYREQPVYNPMGPPASSSMIQQQKFGGAYTSDGIGIGLVRPPIASEPGYAQVSYDSAGRQVFCAAPGGVNVMHPPPPYQAVPTMAPTLDVRQAGGVLNADGKFVVKSSQPS >CDP01257 pep chromosome:AUK_PRJEB4211_v1:10:4147367:4148283:-1 gene:GSCOC_T00034843001 transcript:CDP01257 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLY1 [Source:Projected from Arabidopsis thaliana (AT4G24210) UniProtKB/TrEMBL;Acc:A0A178V5B2] MKRPVIAGEEDQIIKKKTRAEEDCGAGEAVLSDDNLLYEVLKHVDARTLAAAACVSQQWSRAAQDERLWELICTEHYAKNPLQLRSVVLALGGFRRLYSLYLWPLLKPSSSSSSSRPVSSAWPCLPPAPPVPAKSSGTGVKTRWGKDEVNLSLSLLSIRYYEKMNFNNRGK >CDP10953 pep chromosome:AUK_PRJEB4211_v1:10:21708529:21712087:-1 gene:GSCOC_T00031933001 transcript:CDP10953 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLNPLFTTHRSGVIAQQFFASSAAASINSVSSLKIAACSKTKLVDQSPLRQSGNHQLLSSDFNHLQSLKNDYAEEKYISRCEVLKEQAKMMLDQEMEVVNQLELIDDLQRLGLSYHFGDEITSVLSGIYNRKSMNKMRNQWGLYATCLEFRLLRQHGFDVSQEIFDCFKDEKGDFRPSLCEDSKGMLYLYEASYLESENEESNLEMARRFAAKTLKKNLDEKRVDQDLVALVQHALELPLHWRMMRLEARWFIDIYEERSNRNPILLELAKLDFNIVQAAHQNDLTYTLRQEWWRSTCLAEKLTFARDMMVENFFWTVGIISDPQRGNGRRILTKVVALITAIDDIYDCYGTLDELEVFTTAVERWDVNSIDQLPDCMKICFLALYNFVNEMAYDALKEQGVNIIPYLRKSWADLCKAYLQEAKWFFSGEVPTLQQYLNNAWISISAPAFLVHAYFCVDYPINKDHLQYLDNYHKIIRCSAMILRLTNDLGTSPESEVLNVGDVPKSIRCYMKETGACEEKAREHLRFLITEAWKQMEEAQTLDSPFSSTFNGIAVNLARMGLCMYQHGDGHGHQNSEPRDRILSLLFEPICCLA >CDP12435 pep chromosome:AUK_PRJEB4211_v1:10:12995453:13000115:-1 gene:GSCOC_T00035985001 transcript:CDP12435 gene_biotype:protein_coding transcript_biotype:protein_coding MPESLLCTKPTTLDGIPTNKKKKSEEIFQQGEEERFVESTTTAKSVILIPRSKSQATTRRVTPTTTSIAGEKHLPNGDLYIGSFSGNSPHGSGKYLWTDGCMYEGEWKRGKASGKGKFSWPSGATFEGEFKSGRMEGTGTFIGPDGDMYRGSWSADRKHGYGVKHYCNGDYYEGYWKKNLQDGQGRYVWRNGNEYIGEWKNGVINGRGVLVWANNGNRYDGNWENGVPKGHGVFTWPDGSCYIGCWTKDSKNQGNQNFGGMVVAMTGKKRSSVDGGRGSLTERNFPRICIWESDGEAGDITCDIIDNVEASMIYGDGMVLDHRDGLKQFRRNPCCFSGEVKKPGLTISKGHKNYDLMLNLQLGIRHSVGKDALRIRELKQSDFDPNEKFWTRFPPEGSKNTPPHQSVEFRWKDYCPMVFRHLRQLFQVDPADYMLAICGNHALRELSSPGKSGSFFYLTQDDRFMIKTVKKCEVKVLIRMLPSYYKHVCRYENSLVAKFYGVHCVKPVGGVKTRFIVMGNLVCSEYRIHRRFDLKGSSHGRITDKPEGEIDETTTLKDLDLNFVFRLQQNWYHELIKQIERDCEFLEAERIMDYSLLVGIHFRDDNTGDKMGLSPFVLRTGNSDSYQTEKFMRGCRFLEAELQDMDRVLAGRKPLIRLGANMPARAERVNRRSDFDQYTPGSRSSEIYEIVLYFGVIDILQDYDISKKLEHAYKSLQVDPTSISAVDPKLYSKRFRDFIGRIFVEDR >CDP01082 pep chromosome:AUK_PRJEB4211_v1:10:5833694:5854784:-1 gene:GSCOC_T00034593001 transcript:CDP01082 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIALLEKKPHAVCIPAAAQGHIGPMLNLAKLLHHNGFHITFVNTEFNHRRLLKSRGPDALNGLPDFQFKAIPDGLPPSDVDATQDIPTLFESMDRTCLGPFRELLAELNDTSSSKVPPVSCIVSDAATGFTLAAAEELSIPEVLFWTSSACSYLGYFQYAKLMEKGIIPLKDASYLTNGYLDTVLDWIPELEGIRLKDLPSFLRTTNPDDFMVKFVLQETQRARKASAIIINTYQQLEHGVLDALSSYLPPIYTIGPLHFLDNHVHYESLTDIQSNLWKEEPECLEWLDSKDPNSVVYVNFGSIAVLTPEQLVEFAWGLANSKQNFLWILRPDLVSGSSAILPSEFLEETKERSMFAGWCPQEKVLSHPAVGGFLTHSGWNSTIESISYGVPLFCWPFFADQQTNCWFCCTKWGIGMEIDNNVKRDEVEGLVSELMAGEKGKEMKKKAMDWKKLAETAVTDSNLNLENLIHQVLLNPKREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREEKGGGSGWDEEQERSSDGPLLSCSWLRFGPKKLYGPDHFLNLVFNVCGIHKNLF >CDP10910 pep chromosome:AUK_PRJEB4211_v1:10:20705269:20708088:-1 gene:GSCOC_T00031867001 transcript:CDP10910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II 22 kDa protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44575) UniProtKB/Swiss-Prot;Acc:Q9XF91] MAQAMLLTASVPSSHAGDLKRSEPLLQRIRPKPISHLFFSPLPTSSSSSYCPLTTVAVFKSKTKAPAKKPAAATKPKEKVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASILGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFNLLGAIGALGDRGRFVDDAPGIEGAVVPPGKGLRGALGLREGGPLFGFTKANELFVGRLAQLGIAFSIIGEIITGKGALAQLNIETGVPITEIEPLIIFNVVFFFVAAINPGTGKFVTDEEDA >CDP07572 pep chromosome:AUK_PRJEB4211_v1:10:2520360:2521267:-1 gene:GSCOC_T00024866001 transcript:CDP07572 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFETAGLPLPYILVKSYALLSDPTCNLPSEVISYLWKDVWWQLTITKKRIERKISAVK >CDP15816 pep chromosome:AUK_PRJEB4211_v1:10:16430529:16432135:1 gene:GSCOC_T00016676001 transcript:CDP15816 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSKSKQKFVNMNQDRISNLPDSILCKILSFLDLKESIQTIELSKRWIPLWTYLPDLNFDFDRYRFQSPSPVWQSQQILLPYTHFVNQVLSHRDNSSSIHKFRLAFGVSVNVDPSFVYNCVDYAINHQVQELDIAAYHYPKPFQFPQCLFTCRSLQKLRLKSYSQSMIIPKPFCLPTLKSLHLERFEFMDGEPFCFPKEPFSSFENLQELTLNCCIVDGLAISASKLKVLELLFYGSLPQFSSESKMGTISAPNLTSFKFEGQVSLVCAMEDLPCLETVYVDLYPLLEHPYTDQIDEKMPMNLIKFLEQLKNAKYVTLSLSTVEVMYYFRPPFPISNFPFESQRFSSIHISLYSRVLIQIALFLTILIKWKNECHTIYFSCYTGKKGVGCNYN >CDP01220 pep chromosome:AUK_PRJEB4211_v1:10:4402892:4406748:-1 gene:GSCOC_T00034792001 transcript:CDP01220 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFLELLLTAFVAFVFSFVIAKLVSVAVPSSSEEKNLDRKVGAEEVKVDKGLKVGRAKSKKRRVKFVDDVVVGNVVHLESSEEPVKNFHTSESISEKKLVEERAHENMTRDAKDGDVEGKKGSEDVQLLDEAIDGEAVEGKKGVATFGELCSEKNEEVVGSGFRDVSVGEEMKTEGIGGEDGLKLMSDGSDQNDVVEGKKASKDVELLDEAFHREAVGEKNEHAKFGDLCSVKNEVVVGSGLGGVVIGEEIKTESTGDENELKLMTYESNQNDVAAGEKLAANAEYLNKELDREAVYERGKHTAIDADKVYDSNTQMVSGNLDDLVVSVKVKSEVKLAYDDISLPQNEEGRVVSTKEGNAIGIVEDLSKENEGVEDKYILRDEKIDHSAASDDDDWEGIERSELEKVFAEAVNYVEYGAKRKDEQLVSLGSDVLVQLYALHKLALEGPCHDPQPMALMFSARAKWNAWQQLGNMRPEVAMEEYIKILSDNDPGWMQHSSAEDEQHGSLKSETGVCGNPDTESSSVQDPQISFEVGKTKNEKVD >CDP07555 pep chromosome:AUK_PRJEB4211_v1:10:2412547:2413305:-1 gene:GSCOC_T00024848001 transcript:CDP07555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MEAKASPPSSSQNPISKNRDFLLRLEAYLARRDGVDKLLKISRYATKIILASSFLSDGQTITPRLKSFESSVGLSRKAFRLGKFVQDVNALRSSCFSSHQDLIFSIIAYGGEGLYYFIEQFVWLAKAGLIDKKNLSRLQKMSAWLEFIGYIGSVSLKVRELKRINEDEACLISIVKISGLRGIGCAEELEKLRKLKEKKLLKRLSVVQDLADSLMALADVRDGKGRLSAPLLISSAGLLSAIISTHKNWISC >CDP19136 pep chromosome:AUK_PRJEB4211_v1:10:20097255:20097905:1 gene:GSCOC_T00007293001 transcript:CDP19136 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLLFLSFLLFNSFLSFATEKPNPVVDFNGEEIRPGVDYYVVSVFPGGRGSGVTYGKGPGNEICPLAVVQARPDFNRGHPVTFTPVNPEESVVRVSTDLNIKFSEPPFINFCRGSNVWKVHFNEALKQHFVLTDGVEGNSGCETEANWFKIELTGNVGYKFVFCPAVCDSSSEAICKDVGIYYDDDGTRRLALSDQPFVVFFIKKMDLKSVTST >CDP11513 pep chromosome:AUK_PRJEB4211_v1:10:26913426:26917772:1 gene:GSCOC_T00033802001 transcript:CDP11513 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRKAPTEEEQEASVVKGAKLRSIQAKFFQFHHTNTYTKEALEVNAKLLEMNPEFITGWNYRKLAVQYHLEAAQSDEDSIKSILDEELKVVESALMTNFKSYGAWHHRKWVLNMGHSSTDRELFLLKKFQKLDARNFHAWNYRRFVTALKNIPDEEELQYTTDMIYENFSNYSAWHNRSVLLSRLLKEEVEGYYPKEKVLTEELEFVRNALFTDPDDQSGWFYHLWLLDQTVKLEHLLVSSWPPNGSNVNVSDYCALSPSLSSISRTLPLILCFSEAVGGINSSTVSVVCESNMDSNLIWTPISVDKSGLARTWLTYLTFSSEPMHPSRNYLVKVSIANSEGIISSAGFCCSNPSHMAFTVCLPSSNTEFDEGPDIERIDWSEENFSSNQLHSENLGLINSFNELTISGDNKKTYETNAKIIADEIDYCRELLSEMDCKIGKLTLARLLLAYDALSSASRGVQDQNMFQYKEILELYHDLMKLDPPHFQYYKDQYSLVFLKQELANEESLLKYCYQYRDSTSLSNNSLCLCLRGLSLSQIGCIERLLWVQMLDLSNNGIRSIEGLESLQLLCCLNLSNNKICSFSALEPLRLLKSLKVLDISHNEIGAHPIDTRRYLCASPLSHTSDWKREEFVVSGPEMTIYWDAFSIFSGLNLRQLSVTGNPIADKKFKLFLCKLLPAMKWLDDEKLR >CDP00941 pep chromosome:AUK_PRJEB4211_v1:10:7655421:7660132:1 gene:GSCOC_T00034398001 transcript:CDP00941 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVQLRIQDDQVVLTNGILQLTLTNPGGNLIEIQYCGIDNLLELSNPNLKGGFWDLNWSEAGSAGTRGKFDTIEGTTFRVVVETDEQVELSFTRMWDPSLQGDHAPLSIDKRFMLFRGCSGFYSYAIYERNEDMPAFNLNETRIAFMLNIQKFRYMAISDDRQRYMPLPDDRLPPRGEELAFPEAVRLVDPIEPEFKGEVDDKYEYSCENKDNQVHGFICLEPPVGFWQITPSNEFRTGGPTKQDLTSHVNPTTLAMFVSTHYGGTDLVVKFGEGEPWKKVFGPVFIYLNSASDENEAFKLWNDAKRQMNQEVESWPYGFPASEDFPKADQRGIVSGNLLVQDRYTSEELIVADGAYVGLAPPGEIGSFQKECKGYQFWTRADSQGYFSINNVSPATYNLYAWVPGFIGDYRSESTITITAGCEVELGDLVFEPPRDGPTLWEIGIPDRSAAEFYFPDPNPLYINKLYINLPADRFRQYGLWERYADLYPDGDLVYTVGTSDYRKDWFYAHVNRKIGENIFQSTTWQVNFEVDNVVQTGTYKLRIALCSATYSELQVRVNDPDANPPHFTSGLIGSDNAIARHGVHGLYWLFNIDIPESHLRQGYNVIYLTQAKNDTALHGIMYDYIRMECPEPDTIPMK >CDP11920 pep chromosome:AUK_PRJEB4211_v1:10:24387584:24388680:1 gene:GSCOC_T00035227001 transcript:CDP11920 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLFRKRQKEDETSPFFFENGGALLEELMASFGGRYENPIRTFSLKELLGATTDFTERVVLRDSGCMFRGFLGEKQILVKRFCAYEDISPHVFRGPIRDIAVSSQMSHVKNILKLRGCCLELKFPALVYECSATKLLADLLYHPDVEKLLSWKSRMQIAKGIANAIAYLHNAFATPIVYRNLKPSTVILDKDGTPKLFDFSLSVKLPPGKSQVEDVVMGTWGFVDPEHLESGIVTEKTDVYSIGVLLLVLLTGKEAMCKNHVGKKNLDGRKCNARQAIASFLGPCNDVYSDEGTQ >CDP07315 pep chromosome:AUK_PRJEB4211_v1:10:698986:701751:1 gene:GSCOC_T00024545001 transcript:CDP07315 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLASPMKKAIESMQGCLEEIGHFTKLDPQEAWLPITESRNGNAYYAAFHTLSSGIGVQALVLPLAFTALGWTWGIICLSLAFMWQLYTLWLLIQLHESVAGMRYSRYLWLSMAVFGNKVGKLLALFPTMYLSGGTCVALIMIGGGTMKMFYQTVCGGATDHITPLSMTEWYLVFTFSAVILAQLPNLNSIAGVSLIGAVTAVSYCTIIWVVSVVKDRPGKVSYEPIVFKSEIGRICSILNALGIVAFAFRGHNLVLEIQGTMPSSLKQPSRLPMWKGVKFSYLIIALCLFPLAIGGYWAYGDLIPSNGGIMSALDKYHRNDTSKVILGATSLFIVLNSLTSFQIYAMPVFDNLEFRYTSNNNRPCPWWLRAALRMFFGCLAFFIAVALPFLPSLAGLIGGITLPVTFAYPCIMWIMTNKPVKHSAMWYLNWSLGSLGIVLSILLVFGAIWTIVTQGIEVHFFKPQ >CDP11958 pep chromosome:AUK_PRJEB4211_v1:10:23522455:23524473:-1 gene:GSCOC_T00035285001 transcript:CDP11958 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKKKMIGGLRSNMTEFTSLVSPIPQHTRLSFAANFLLHGSHAINEYSGTCSFPQTSCFHSTAHCRSSK >CDP20309 pep chromosome:AUK_PRJEB4211_v1:10:24521776:24522684:1 gene:GSCOC_T00002164001 transcript:CDP20309 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKVEEMHLMGLADIYTEAYRIISRSRKTFRDITFILILPLSCFILIASKDLSALTFTSSGDLPDFPSFGWDFFWFFMVVYLSCLIIYYNMSTSTVVFTASSVYGSGDNQPSFKKVRNVVPQAWERLTVTFLCNFFSFLVYSVTIAMITTFLSAITIGKYQFTQKLLLVVLPVYAVGFVYMTVICQLASVVSVLEDSYGFEAMIKSKQLIKGRLVMTMFIFCQLNCSFALLHILFAKTVVYGHSLLMLQRVAFGLICLWSLCTLILFGLVVQTIVYFVCKSYHLETHRQVKPLESCDDTAV >CDP17701 pep chromosome:AUK_PRJEB4211_v1:10:19552666:19556549:1 gene:GSCOC_T00001434001 transcript:CDP17701 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEMTNLTYCSIASVLDNLEKLFCRLTKFEDLYADFAETYDEELKVVMIVKLELKLVRTFLLCARKWSFDGWKHGSLEAFLSSLERELIVLNNYEAFYALTDDDLPYEYDMIEDLEVLSKFRAILRTFEVEFIELYMSLLDFVLLSNSSMVDDVLLEFIDSLLENLGDVLGPKEPLKEKLNFLKDLILFVTWEGVEHSLWKDLLARFQFVAVQAAHLSYMCWFDSYDEVFIEIQFLISELLQMIMPVNPEFSKTCARVLRAAKLTGLLHSLDFETDMGIVGNFADSLLDMLWEVLNCGTSFAVAKRDQMQMLNDGLRFLRAILKMQQEKFNLLHQKMKDLVGAGVNDAGILIYSLFTKRIEGDLLKDLDLAFFDFLEKIKLIKIVTATFDPPGTNGLGFIKFLLDNLTELARLDLVAHSRDQIQIVQKDFVILGSVLEKIDEQRNKNEKLTALWSHAIEVANKVMLLISSFDLSPLSFDSISEELLQIKTQAKEIDDMYGSEAKKVAKTSNYGSLQGRIPRLNPVVVGFDDETKRIINQVKHGSQKLDVVSIVGMAGLGKTTLAKRVYNNRSIRNNFHIWAWCNVSQVYRKKDLLLEILGCIHPENSKQYSEMEADDLMLKLYQSLKLNKYFIVLDDVWDIKAWHGLKESFPDDSNKSRILITSRLYEVASQVKQHSEPNHLRHFTDDESWELLQKMLFPNESCPLTVATVGMQIAKSCCGLPLAVVIIAGILATTEQDGWKEYAERLSTRILSASDQCKHMLELSYRHIPDYLKPCLLYFVTFLQGLEIPMQKLIWLWIAEGLVQQVEHKELEEVAEGYMNDLIGRSLVMVAKKTSIGGIKTCRVHDLIHEFLATKGREENFLQLLHGYDGLYTFCESYSTRRLCIYSKREYFEKSRLFCPQIRVLMFFPHGEGYPIQPCDSFFIFRICKLLKVLDLGEVVFGEHFPAEIEMLVELRFLAIRGKMQSIPSSIAKLYNLKIFLVKGFKVTIMLPDTIWNMTNLRHISVNWSMGKVSLVNGILENNSVLYNLSSFSNILLYHGQSMEKILMKFPNIRKLKFHLFVSKDCVPNCSRIVKMDHLSRLESLSVTLMWAQKCRLQFHFPSSLRKLHLFFFSWSMISRIWELPNLEVLTLSSDSADKERTWEIPVEVEFPNLRVLHLRSLGITRWTGSGDHFPRLQKLILQSCGMKEIPSCLGSTSTLEKIEVRWCSPSVESLVREIQEEQDTEDLQIDILTS >CDP01234 pep chromosome:AUK_PRJEB4211_v1:10:4324522:4326300:1 gene:GSCOC_T00034807001 transcript:CDP01234 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNSCIAFLAISIIFILNLFIRKRALQKKQPPSPPSLPLIGHLHLLKEPLNQTLQSLCSKYGDILLLRLGVRKVLIVTSPSAAEECFTKNDIIFANRPKTMSSKHFSYNYTTISVAPYGDHWRNLRRLAALEIFSPARMASFASTRRKELMLLLSELMQKCSVGGGSAKVDLTSMSAEITFNILSMTLAGKRYYGENAADDEEARKTKLLIKEMLVNSVRSNLGDYLPILRWINYKGVEQKFSTLMKRVDKFIQDLVDERRLRLSSTSSINVSRGQAEKTTLIDHLLSLQKEEPEYYTDQLIKGIVMVLLIAATDTVSITMEWAMALLLNHPEAIKKIKAEIDDHVPDNRLLEEQDLPKLAYLQNVVKETLRLYPPIPFMVPHEASQDCTVAGYYVSKGTMLLVNLWAIHRNPKQWENPTKFIPERHEGRRVDEYSLMPFGAGRRGCPGAGLGTRILELVLGTLVQVFEWERTSGELVDMTEGKGFSLPKFKPLEAICRPRQAVVQHSLVRF >CDP10992 pep chromosome:AUK_PRJEB4211_v1:10:22354243:22355562:1 gene:GSCOC_T00031990001 transcript:CDP10992 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLSGEERAKTMAQIANGCEEWGFFQLVNHGISEELLEKVKKVASECFKLEREEGFKNSTNVKLLNELMEKKSSDRLENVDWEDVFLLSDNNENEWPSKTAEFKETMKEYRAELKKLAENLMEIMDENLGLPKGHIRKAFNGGEEANAFFGTKVSHYPPCPNPEKVIGLRAHTDAGGVILLFQDDKVGGLQILKDGEWIDVQPLPNSIVINTGDQIEVLSNGRYKSVWHRVLATQDGNRRSIASFYNPSLNATISPAPELIEKAQGKEVNQEGAYPKFVFGDYMSVYVQQKFLPKEPRFQAVKAK >CDP07313 pep chromosome:AUK_PRJEB4211_v1:10:681851:685426:-1 gene:GSCOC_T00024542001 transcript:CDP07313 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGHGHGTTFKGITVHHPKRWHSVTGKGMCALMWFWILYRAKQDGPVVLGWRHPWEGHGDHSHDHGHEH >CDP07232 pep chromosome:AUK_PRJEB4211_v1:10:7564:17105:-1 gene:GSCOC_T00024437001 transcript:CDP07232 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAVVNGLAGAGGGIIAQIITYPLQTVNTRQQTERVAKKDANLLVPHQPPPAAGGTLLHMFEVIRSEGLGGLYSGLMPSLLGTAASQGVYYYFYQVFKNKAEAIAAKNMRKGQGDGSVGMFSWLVVAALAGSLNVLLTNPIWVLVTRMQTHTQAARKIMEAKREELLREDYENTFMSALQEKLVELESIKPQPYGAFDAACEVYGESGLRGFWKGIIPTLIMVCNPSIQFMIYETSLKHLRATRGTNKKAVKSVTALEAFLLGALAKLGATVSTYPLLVVKSRLQAKQEIGGNMSLRYTGTVDAILKMIRHEGACSFYKGMSTKIVQSVIAASVLFMVKEELVKACTVLISRRHKIMANMVQ >CDP10719 pep chromosome:AUK_PRJEB4211_v1:10:9851591:9854460:-1 gene:GSCOC_T00031520001 transcript:CDP10719 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQKKVSRNPDLIRGVGKYSRSKMYHKRGLWAIKAKHGGAFPTHPKKAPEEVKPAVEKPAKFYPADDVKKPLANKRKPKPTKLRASITPGTVLIILAGRFKGKRVVFLKQLTSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDISGVSLDKFDDKYFAKQVEKKKKKGEGEFFEAEKEVKNVLPQDKKDDQKALDAQLIKAIEGVPDLKSYLGARFSLKSGDKPHELVF >CDP07595 pep chromosome:AUK_PRJEB4211_v1:10:2700985:2708820:-1 gene:GSCOC_T00024897001 transcript:CDP07595 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTGSSFPDLSLQISPPSLRNYDIKEVGYEIGLMVKSSYPSDRSSTTDSGSCGSDLSHENGGFDPERSLSYPSVDPTLSLGFDMAVSNPLPLQTPRNFQAYHHYQPQIYGRDFKRNSRMINGLKRSVRAPRMRWTSTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKGAAGPIGQTDVVLSQRTGMAEVESLEAGVELSCEKAGSNPAANSINISLPSSSPSLPTTLHNAQRPSCELPPRTNIWCHPSQENSLRCYQHGDKDTKGAGIDSLHHMSGKMEKKLDSNSTNRSMLASSDMLLNLEFTLGRSSWQLDHDANNTTEKLFLNLVLGGMMEWSFQHLNAAAVGLLAFSFFLVYFFFNKDAKKHGSVPPEAGGAWPIIGHLHLLGRGPERLPHITLAALAEKYGPAFTIRLGVHKNLVVSSWELAKEIFTTHDLAAASRPNSLASELLSYGYASFGFAPYGDYWREMRKVISIELLSTKRLELLKHVRISETDLSTKDLYNLWNSSSGPVLVEMKQWFADLTLNVILRMVVGKRFFGAADVSEEKEAKVCQKVMREFFYLTGLFVLADSVPYLRWLDWGGYERKMKVNAQEVDRLADGWLKEHRRKKHSGEATSDHQDFMDVMLSLTEGADFSAGYDADTITKATCVGLISGGSDTTVVMLTWTLSLIMNNPRVLKKAQEELDLHVGKERRVTESDISNLVYLQAIIKETLRLYPPGPLGGTRELSEDCIIGGYHIPKGTRVILNMWKIQRDPNVWHDGPLEFRPERFLTTHKHIDVKGYHFELIPFGAGRRICPGINLGLQMLHLVLANLLHAFDLSTPSNEPVDMTESAGLTNIKATPLQLLVAPRLPPHLYS >CDP00931 pep chromosome:AUK_PRJEB4211_v1:10:7745913:7754491:1 gene:GSCOC_T00034388001 transcript:CDP00931 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVKGVADLIRRTSAGQSGDFASASRSERFSLPSPKIRFSEVGDEAILNTLWDRYDNSFDKVEKRKLFYVFLKQFLIVYRNWEPPNVGQSPDHASSALPVEYSAHPSDVVIGCSNGHPSEIIHVLAEEVTQITAMVTESNSGSHMSTITYEGLPVLDALAVITRSMHNCRVFGFYGGVQKLTALLKGTSIGLCDFSFRFINIYLTLRDVYISATVVQLKTISSALSADESLPTSLVDKSVILQKILIHVVLVLCSFIDLHSGEYENTQLNNSSWVFYEPKTGATSPQSSTSVKAPYSETLLQWHHRAIVLLMEAGGLNWLVELLRVLRRLIMKEQWIDLSLQYLTLRTLKMSLADNPRGQNHFRSIGGLEVLLDGLGVSSNNAIRPGNSSFPGVVR >CDP12441 pep chromosome:AUK_PRJEB4211_v1:10:13769297:13786770:1 gene:GSCOC_T00036007001 transcript:CDP12441 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVSSTIPDPMTPPPALPSPPPPPASSSSDHNNNNNHNNHYRIKQSKVNNSNINGDNRNNNDQTTTKINDIVGNGISGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVSQESEKGARLIGEESFKRISRRNGLSSSASSQQKTRKPVGEVHLKVSSIRESRSDDRRFSIFTGTKRLHLRAETREDRMAWMEALQAVKDMFPRMSNSELMASIDNVAVSTEKLRQRLLEAGVSKDTIEESEQIMKDEFASLQNQLMLLKQKHWLLIDTLRQLETEKVDLENTVVDESQRQLKGAFSRSRQDKYSEASASESEDDTERVDAAEEDTDEEDNTFFDTRDFLSSSSFKSNGSDFRTSSFSSGEDELYAFESDDGVDPAIKSAGANFPYIKRRKKLPDPVETEKSVSLWSMIKDNIGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGNSLMRILNVAAFAVSGYACTEGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGTGWKFYGDSNLKSKFWGRSIQLEPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNRDYSCKLKFKEQSIIDRNPHQVQGIVQDRSGKTVATLFGKWDESMHYVNGECSSRGKGAESLSEAHLLWKRSKPPKFQTRYNFTRFAMTLNELTPGLKERLPPTDSRLRPDQRCLENGLYEQANAEKLRLEQRQRQARKMQERGWKPRWFAYDKGCDTYRYIGGYWEAREEAKWDSCRDIFGHIASDHILD >CDP18865 pep chromosome:AUK_PRJEB4211_v1:10:27460796:27461110:1 gene:GSCOC_T00013407001 transcript:CDP18865 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAEGFNRGKKCGSLGVVNGFTCMLSVAVNIVIIDVSVVKTFL >CDP07449 pep chromosome:AUK_PRJEB4211_v1:10:1642623:1649362:1 gene:GSCOC_T00024718001 transcript:CDP07449 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLSQMNTDFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVLFHARQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDSLLYVKDRFLRIFEYSTQKDTQLIPIRRPGSNSLNQGPRTLSYSPTENAALICSDMDGGSYELYIVPKDNYGRGETVQEAKRGIGGSAVFVARNRFAVLEKSSNQVAVKNLKNDTVKKSPLPIATDAIFYAGTGNLLCRAEDRVVIFDLQQRLVLGELQTSFIRYVVWSQDMESVALLSKHSIVIADKKLVHRCTLHETIRVKSGAWDDNGVFIYTTLTHIKYCLPNGDSGIIKTLDVPIYITKIYGNTIFCLDREGKNRPIIIDSTEYIFKLSLLRKRYDQVMSMIRNSELCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNLEKLSKMMKIAEVKNDVMGQFHDALYLGDIQERVKILENAGHLPLAYITASVHGLHDVAERLAADLGDNVPTLPKGKSASLLIPPKPVLCGGDWPLLMVTRGIFEGGLDNAGKGAQEDYEDAADADWGEALDIGEVENIQNGDISMVLDEDEVQEDNEEGGWDLEDLDLPPDADTPKTASNARSSVFITPTNGMPVSQIWVQKSSIAAEHAAAGNFDTAMRLLSRQLGIRNFAPLKPLFIDLQSGSHTYLRAFSSAPVITVAVERGWSEAASPNVRGPPALVFNFSQLDEKLKAGYKATTSGKFTEALKLFRSILHTIPLIVVETRREVDEVKELIVIAKEYVLGLQMELKRRELKEDPVRQQELAAYFTHCNLQLPHSRLALLNAMLVCYKAGNLSTAANFARRLLDTNPTNENQARNARQVLQAAERNMKDVSQLNYDFRNPFVTCGATYVPIYRGQKDVICPYCGTHFVPSQQGQLCTVCDLAVFIPTGCVVRNIKINIFSHCCCEGRVSSRDFVGKAGRELFRTSVLFC >CDP00863 pep chromosome:AUK_PRJEB4211_v1:10:8823671:8828071:-1 gene:GSCOC_T00034292001 transcript:CDP00863 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-beta-hydroxysteroid dehydrogenase-like 5 [Source:Projected from Arabidopsis thaliana (AT4G10020) UniProtKB/Swiss-Prot;Acc:Q9T0G0] MDLINSVLNLVVPPASLLMLAFAWPTLSFINACEWLYNTYFSEEMDNKVVVITGASSGIGEQIAYEYAKRGANLVLVARRDNRLHGIADNAQRLGSRHVLIMAADVVKEEDCRRFINETINYFGCVDHLVNTASLGHTFFFEEATDASVFPILLDINFWGNVYPTYVALPYLRQTNGRIVVNASIENWLPLPRMSLYSAAKAALINFYETLRFEVKDEVGITIATHGWIGTEMTRGRFMVEEGAEMQWKEEREVQVTGGPAEDFAKLIVAGACRQAAYVKYPSWYDIFFVFRVFSPNVLYWTFHLLSTSHVSRRTSFIGTGRPLLEGSPPRKLLTGASPGTSSQSQAPVYQQQKVE >CDP10742 pep chromosome:AUK_PRJEB4211_v1:10:9544820:9546411:1 gene:GSCOC_T00031552001 transcript:CDP10742 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSFLQFFFILVFCHLLHLLPAVADHSSSLTLNSDTNAANHKSSKHSGGLIVLLLILGLLSVAGFSVFLFKIWQKKKRDAQQARLLKLFENDEDLEVELGIRD >CDP11946 pep chromosome:AUK_PRJEB4211_v1:10:23979276:23980678:-1 gene:GSCOC_T00035263001 transcript:CDP11946 gene_biotype:protein_coding transcript_biotype:protein_coding MCPINASNLHRIFDKLDRDGDGFVGVDELMWLLETIGVQTSLDELELLVGKKGFLDSIDFLLFHDIVISGENMDEKKFRTKEQEEEDKHMEKDLVQAFEVFDLNGDGFISSEELQSALCKLGLLDEQCGKDCKSMINVYDTNSDGKLDFEEFKNMMLHSDS >CDP01139 pep chromosome:AUK_PRJEB4211_v1:10:5182987:5191644:-1 gene:GSCOC_T00034670001 transcript:CDP01139 gene_biotype:protein_coding transcript_biotype:protein_coding MDLANSDSDVDLISSLQAQLHSLQKRVKELESEKAKLSLLLSNCHCQKGKENVNASAVAEELEELKIEGDLATYKGRTKKDSGPQTSGVRQFPRRYVALKVMYLGQRFYGFASEAHMDPTVESEIFRALKKTNLIDRVDKKELQYSRCGRTDKGVSSTGQVIALFLRSNLKQTRGNTEYAGDIWPEESCGEMDYVGIINKVLPKDIQVMGWSPAPVDFSARFSCLSRQYKYFFWRDNLNIMAMETAGKKFLGEHDFRNFCKMDAINVHNFRRCITLFEISRCSESFEDNELWAIKIKGSAFLWHQIRCMAALLFLIGEGLETPNVIDLLLDTEKTTRKPQYTMAAEIPLVLQSCEFEGLRFICSSGAKQALAEHLKKECLNYKLQAAIYDEALQSCSLIETDDSLLNTVRLKHRKAVYVPIMSRPTEPSYEERRAKFSTAGKT >CDP01253 pep chromosome:AUK_PRJEB4211_v1:10:4175225:4178177:-1 gene:GSCOC_T00034837001 transcript:CDP01253 gene_biotype:protein_coding transcript_biotype:protein_coding MENLCYYLVTILLCSLPLLLIFKNLLFNHVKNKKLPPSPLALPIIGHLYLIKNSLYEDLTSLSSRYGPIFFLQFGCCSFVVVSSPSAIEECFTRNDIILANRPRSMGGDRLSYNYTAVGVVPYGHMWRDLRRLFVVESFSFNSLQRTSVIREEEIKMILRSIYRVSKNGSQVRVELNRWISVFTLNVIMRMLVGRRCIREEDAGEELGMQIIKEFGEMFASGAGIALNLCDFVPILRWIGYKGLEKEMISLHKKRDKFLQGFIDEFQCSDTLLDKEEKAVIANLLSRKEKESDFLSDDIIKSIALIMLTAGRETSTLTTEWAMLLLLNHPKALQKLRTEIDNSVGHGRLVDESDIPKLPYLRCVVNETLRLYPAAPLLLPHQASEDCRVGGYDIKKGTIVLANAWAVHRDPKLWEEPEKFMPERFEGKGLMDKEEFNSKFLPFGIGRRACPGANLGIRSVSLAVGTFIQCFDWDKVEQDGELDIDFSNRITMKKSNHLEALCAPRQESIQLLSQL >CDP00940 pep chromosome:AUK_PRJEB4211_v1:10:7660245:7660717:-1 gene:GSCOC_T00034397001 transcript:CDP00940 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVESAASQQEVTPKRKHHEGSVASNIRRECLSFTTSLQEGFRYIKGIVVGQAKKMTAKDEHEATEADLLASKMQVDAADEAESTKKKLDKSY >CDP18870 pep chromosome:AUK_PRJEB4211_v1:10:27570566:27574010:-1 gene:GSCOC_T00013413001 transcript:CDP18870 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVDDRPEEQTIPLLTPYQMGPFQLSQVIFLIVLAPLTRQRSYNDIPQPHAILYYSQRTTKGGLLIAEATVVSDTGRGYPMTPGIWTKEQIEAWKPIVDAVHAKGGVFFCQLWHGGRVSNNDFQPNGQAPISSTDKLLAPQVRTNGIDVAEFSPPRRLRTEEIPQVVNDFRLAALSAIEAGFDGVEIHGAHGYLIDQFLKDRLNDRTDEYGGSLENRCRFALEIVEAVSVAIGADRVGIRLSPFANYNGASDSNPKALALHMAEALNKYGILYLHMVEPRMIKVGEKFECADSLLPMRKAFKGTFIAAGGYEREDGNKAVVENRADLIAYGRIFLANPDLPKRFELNAPLNTYNRATFYIPDPVVGYTGYPFLKTNA >CDP19182 pep chromosome:AUK_PRJEB4211_v1:10:9306008:9310325:-1 gene:GSCOC_T00004653001 transcript:CDP19182 gene_biotype:protein_coding transcript_biotype:protein_coding MCFNPSAVVNGSSSGGGCCQTSIPQGMTNFELKAGSYYNHSFVKEFSPCSFAFVVEEGKFNFTFDNLTNLRGVDKLPMVLDWGIGDENQTCETARKDSATYACQQNTYCVNGTGNYRGYRCFCNEGYEGNPYLPNIGCQDIDECQKEETNNCTFKKLCKNVEGGYNCSCPKGYLQKDDGKGSEGCIPQPKKSYQALVAGAFAGAGVLFLVIATYTLYKVVKRRRNKKRQEKFFKRNGGLLLQHQLSADEGGVEKTMLFSAKELNKASDGFNENRILGQGGQGTVYKGMLTDGRIVAIKKSKKVDENQLGQFINEVVLLSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTLFTLIHNGNNEELPLTWNLRLRIAIEVAGALAYLHSAVSIPIYHGDIKSSNILLDEKYIAKVSDFGTSRSVTIDKTHLTTVVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLAELLTRQKPISSAATDEHCNLSLATRFLISMEQDSLRNILDPELINQGNELEITAVAKLAQRCLNLNGKKRPTMKEVAIGLESMKLSSVQSTTPENFQSSSHIEGESVVFSDNNYTWTTEGDSSRSVSDVDPLMNKH >CDP01236 pep chromosome:AUK_PRJEB4211_v1:10:4307363:4309269:1 gene:GSCOC_T00034809001 transcript:CDP01236 gene_biotype:protein_coding transcript_biotype:protein_coding METLYLYLPVLILALQILTNRILHKIQNLPPCPFPALPFLGHLYLLKNPFHKSLSALSSRYGPVLSLRLGCIPVVLVSSPLAAEECLAKNDIIFANRPNFLNGKYFGYNYTSLPWSSYGEHWRNLRKISSLEVLSSHKLQLLSDIRADEVLSLIRKLFRVSVEDPDQFADMRSACFDLTFNVMTRMITGNRYYGEDIENSEEARIFHEITSEAAKVNPKANVLDFLPFMRWFGLRDVVEKMSELQERRDKFMQNVIDEHRARAGASGEKRTMIGALLDLHEKDPEYYSEETIRNLMLVLLQAGSDTTASTMEWAMAYLLDNPEVLKKAQAEIDQEVGHGRFIGECDLPQLPYLHCIINETLRLQPVTPLLMPHKASQDCIVGGYRVPRGTVLFVNAWDIQHNSRYWDDPDKFMPQRFEALVGGKEEFKFIPFGSGRRGCPGNNLAIHVLGLALGSLLQSFNWETKDGKKMDMSEGIGVPVHRVQPLVAKCCPRPNMLKLLSQISTKSACLH >CDP11981 pep chromosome:AUK_PRJEB4211_v1:10:23043646:23051514:-1 gene:GSCOC_T00035321001 transcript:CDP11981 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGWPYFDPEYETLSIRINPPSVAVDNTSCKDCTLVKVDSLNKPGILLEVVQILSDLDLIITKAYISSDGGWFMDVFHVTDQHGNKITESNTIEHIEKALGPKGHTADVLKTWQGKQVGVHSSGDHTAIELTGRDRPGLLSEIFAVLANLHFNVIAAEVWTHNRRIACLLYVNDDSTCRAVDDPSRLSTMEVQLNNILRGCEDDRNVARTNFSASVTHVDRRLHQMLFADRDYEGGCLSTEAGYPPSFTPKITIDRCVEKGYSVVTVNCKDRSKLMFDIVCTLTDMQYVVFHATITSDGPYASQEYYIRHMDGCTLDTEGEKQRVIKCLEAAIRRRVSEDLILELCAKDRVGLLSEVTRVLRENGLSVTRAGVTTVGEQGIHVFYVRDASGDPVDMKTIEGLRKEIGQTMMLNVKKVQTCVKAPESSSWSKTSFSFGSLLGKFRN >CDP01324 pep chromosome:AUK_PRJEB4211_v1:10:3529069:3534350:-1 gene:GSCOC_T00034924001 transcript:CDP01324 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELVLASSPTDAGISCWDLRSGTEHLRFRSCSSPAHGLTSVAGRFLASSQLRDSKSSSGSIFYWSWNKPQPEVKSFPAEPINALASNCEGTYIVGGSVSGEIYLWEVATGRLLKRWHAHYRAVTCLVFSDDQFLLISGAEDGSVRVWSLLMIFDDQRREEAKYLYEYSFSEHTLKVTDVAAGYGGCNAIIVSSSEDRTCKVWSLSRGKLLRNIVFPSIIDAVVLDPGEHVFYAGGRDGNIYIAALNAQVNSSSNYGFHIIGSLSEHNKAITCLGLSMDGYLLVSGSEDGMVRVWDTRTRNIFRVFRHAKGPVNNVLVIRQPSHCNPRTSMNFQAFSSRRHVLPSPLEKYSYSHDDNIDTKACINCQTYNNIADVPNISFQTMQNQIKELQQQGSSAATEMEMERLKLECKRSMQMVQQWKKMYENLHQFCVSELLDGNEHESASRHNM >CDP10662 pep chromosome:AUK_PRJEB4211_v1:10:11034255:11034900:-1 gene:GSCOC_T00031444001 transcript:CDP10662 gene_biotype:protein_coding transcript_biotype:protein_coding MGISLSSFKSKQGFGRQGIMAINRMNGVMAILLCGMILLGGANVEVEACPQYCLDVEYMTCGNSGTKQYPSCINCCLAPKGCTLHLADGTTQYCG >CDP07290 pep chromosome:AUK_PRJEB4211_v1:10:547909:548720:1 gene:GSCOC_T00024517001 transcript:CDP07290 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKSPTVALFSSSIDKLNNAAAEFSLAPTVPLCKISIIAGIGFSLDMSFLFDSSIDKFNVAVTACSRILESG >CDP00923 pep chromosome:AUK_PRJEB4211_v1:10:7869219:7875598:-1 gene:GSCOC_T00034376001 transcript:CDP00923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MELLFPAVSSSSSQIFATPKFKLPIVSLFHRFHPSNSLLLNGSIPSPSSLAFTSVRPLRNPTFSASQHLKTHRRKPPKLIQDSAQRLNYKFRIRALGKDSDGPSRNIDNNVTLVILSSVVTVVLAIANRVFYKLALVPMKEYPFFLAQLTTFGYVAIYFFILYTRYKAGIVTDEMIGLPKSRFMIIGFLEALGVVSGMYSGAMLPGPAIPVLSQTFLVWQLAFSTLLLGRSYSLNKIIGCLLVAAGVIFAVMSGSDNGQMLSGIGLIWPSLMIVSSAFQAGASIIKESVFLDARSHLKGRSLDIFVVNSFGSGFQALFVFLLLPFLSNLKGIPFSQLPSYLKSGAGCLLNAGSTGSGCEGAPLLPLLYVFVNICFNISVLHLVKISSAVVSSLAAMSSVPISICILSLPLPYLPEGVSLSPFFHVGSIILVMGLILYNIPQPLNERSNIE >CDP11535 pep chromosome:AUK_PRJEB4211_v1:10:27154991:27157138:1 gene:GSCOC_T00033833001 transcript:CDP11535 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGGVPPGFRFHPTDEELLHYYLRKKLSFQKFDMEVVREVDLNKIEPWELQERCKIGSTPQNEWYFFSHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNSFQKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDGDDPEANPNEDGWVVCRVFKKKNLFKVGNGGGTGGGSGAAASDHLNATASANINQPRGFMHRENQYLFHQQHHPHVLAGYSHMIPLPSMPHQQQYSAQQIQAQNFIPTHKPQLDAVGYDLSAFSSAASESINDTSLRTYQQACESGLEVGTNSCEPSQHQSMITTGDDQNLNEWGMLDPRLGNAHDSSKGARFEVGEPNNPSNSMNHVSQLSPRGEMDFWGYGK >CDP00939 pep chromosome:AUK_PRJEB4211_v1:10:7662024:7666243:1 gene:GSCOC_T00034396001 transcript:CDP00939 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G24440 protein (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G24440) UniProtKB/TrEMBL;Acc:Q53YU1] MATFELYRRSTIGMCLTETLDEMVSNGILSPELAIQVLVQFDKSMTEALESQVKSKVSIKGHLHTYRFCDNVWTFILQDAHFKSDECQETVSRVKIVACDSKLLTQ >CDP07507 pep chromosome:AUK_PRJEB4211_v1:10:2087237:2093947:1 gene:GSCOC_T00024785001 transcript:CDP07507 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREESDDGRGDLRKPFLHTGSWYRMGSRQSSMMGSSQAIRDSSISVVACVLIVALGPIQFGFTAGYSSPTQNAISKDLGLTVSEFSLFGSLSNVGAMVGAICSGQIADYIGRKGSLMIAAIPNIIGWLAISFARDASFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGALGSVNQLSVTIGIMLAYLLGLFCSWRVLAVLGILPCLILIPGLFFIPESPRWLAKMGMTDDFEVSLQVLRGFDADISLEVNEIKRSVASTSRRTTIRFGDFKQKRYWFPLMVGIGLLMLQQLTGVNGVLFYTTSIFESAGISSSNIATFAVGAIQVVATAVATWLVDKTGRRLLLIISSSGMTISLLLVAVSFFIKDHASDDSSIYSIFSILPVVGVVLMIISFSLGLGPIPWLIMSEILPVKIKGLAGSVATLGNWLVGWAITMSTPLLLSWSDGGTFTFFTIMCAFTLVFVALWVPETKGKTLEEIQFSFR >CDP01303 pep chromosome:AUK_PRJEB4211_v1:10:3675431:3679359:-1 gene:GSCOC_T00034901001 transcript:CDP01303 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKEGIYTAKPKKVVVLWDLDNKPPRGPPYQAAMALKKVAQHFGDLVDISAYANRHAFVHLPQWVVEERRERRRMDILERKGVSTPSEPYICSVCGRKCKTHLDLKKHFRQLHERERQKKLNRMRSLKGKKRQRFKERFVDGNEKYNEAARTLTSPKVGYGLASELGRAGVFVKTVEDKPQAADWALKRQMQHSMSRGIDWLLLVSDDSDFSEMLRRARAANLGTVVIGDGYRALGRQADLWVPWNDVENGEIKEDDLVSQIKRRRESGGRRTGRFSVSEFEGGLNGEGSVDSLMDQLVGTTGFDGMRISAFSEGEEEEDEDREEEQDWLRENQRGYVQIGLKNSIDDEDDPDEYTEEEDYVLDSEEEGVEEDGLQFW >CDP07518 pep chromosome:AUK_PRJEB4211_v1:10:2176739:2179154:1 gene:GSCOC_T00024797001 transcript:CDP07518 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLSPTRLTLLTLLSATTLYLFYKSHRRLLHRLKTFKTLNPDPRGKIFFISQTSTSKGLAQRLHNLLTLNNLPFDLIDPKDYEPEDLCKETLVLVIASTWENGEPPQNGAFLVNWVNESAEDFRVGAALLVKCKFAVFGVGSRAYGETFNAVGKGLSGNLRKLGAKELLEICEGDVDGGDLDKVFDGWSQKVVEALTDNLKENGVYFGNLNGVDGEASDEEEYDDEDDEEGENGGESELVDLEDIAGKGPSRRKEMVEAKANGELNGVTGKKAMVTPVIRANLEKQGYKVIGSHSGVKLCRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWQWKMDDPLEIVNTAIELHTKMIRQMKGVPGKY >CDP07395 pep chromosome:AUK_PRJEB4211_v1:10:1205937:1208104:-1 gene:GSCOC_T00024648001 transcript:CDP07395 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSIAEAEGIRSLFNEDEIEVCEILLNLGNLVVESELRLRTTTASPLKPPFLVRWGTQKRLICRRGGTRRGSSTTSLSPPPNNPATTLSKSSSPLQSLQNNTIGQPEVKVVGSTSPDTPLSFPANASSESDDKSSRRKKSKKRALLTEQLRKSMEGLAESREVLIKEIQNVKSYYNELLAYNKKLKAKKQQVLSTSTHPTREEPNLELGKSFNPGFNCVRSYHVLANPDQNQFNGEQMAAESIIRPALFHPYGNGQVQVRPSSFSPSTGLGEVNAVGPPDISNLRAAAAAAPEGTYGVASSQPLNYCRAFADDNRTKAAEARRNRKMINQQKTVLRLKLKGICTTVMMKPPLSRR >CDP07546 pep chromosome:AUK_PRJEB4211_v1:10:2362626:2367803:1 gene:GSCOC_T00024838001 transcript:CDP07546 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNSFSRLIVACRQGVQRSISSQGGGLQDLVELPISQAKLLFGGASGTISDLHGLVRPGTLAAAQANLHVANRRKNLSFAGAFSRAISLPSVSGPSIQVCSYHIDQLLTEPVEVSLAHKSQKGPMALSCCRASLVNSALSDVTLRLVQPAVSANNSFASCNSRNMEICRNTRMSLRNKEQPNSFLVYGYFIYNVAKRRGRLSPYQEFGLRFFHTSAPACSSAGTAPDVSFDSSLRDEQLSSSADSYTEKIPTDRSLKLKSGSCYLPHPDKEETGGEDAHFICTDEQAIGVADGVGGWAELGVDAGLYARELMSNSVTAIEDEPKGSVDPARVLEKAYMSTKARGSSTACIVALTDQGLHAINLGDSGFMVVRDGCTVFRSPVQQHDFNFTYQLESGNAGDLPSSGQLFTIPVAPGDVIVAGTDGLFDNLYNNDITAVVVHAVRAGLGPQVTAQKIAALARQRAQDKNRQTPFSSAAQDAGFRYYGGKLDDITVVVSYITSDNNEKTSACGSS >CDP01203 pep chromosome:AUK_PRJEB4211_v1:10:4572933:4579317:-1 gene:GSCOC_T00034773001 transcript:CDP01203 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLKENFGEVKAKNSSEEALQRWRKLCWLVKNRKRRFRFTANLSKRFEVRAIQRSNQEKLRIAVLVSQAALSFIQGISYTIPEEVKAAGFQVCADELGSIVEGRNLRKLKVHEGVEGIVRKLRTSVPDGISTSDDLVDRRKQIYGINKFTEIPQKGFWIFVWEALQDTTLMILAVCALISLIVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFKDLDKEKKKITVHVTRNGYRQKISIYDLLPGDIVHLSIGDQVPADGLFLSGYSLLIDESSLTGESEPINVTAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATVIGKIGLFFAVITFSVLVQGLFSRKLREGSCWSWAGDDAVEMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKTCICGTVFSSLEPSNICSGIPDSVVRILLQSIFFNTGGDVVKCEGGKIEILGTPTETALLEFGLSLGGDFQAERQASKLVKVEPFNSVKKRMGVVLELQEGDFRAHCKGASEIIVAACDKVLNKEGEIVPLDEASISHLKDTIEQFASEALRTLCLAYKEIGSEVSAENPIPFEGYTCIGIIGIKDPVRPGVKESVATCRSAGITVRMVTGDNINTAKAIARECGILTDEGIAIEGPDFRLKSEEELQEIIPKLQVMARSSPMDKHTLVRHLRTTFQDVVAVTGDGTNDAPALHEADIGLAMGISGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALIVNFSSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNGDLMKRPPVGRKGNFISNVMWRNILGQSIYQFVIIWYLQTSGKSVFHLDGSDSDLILNTLIFNSFVFCQVFNEISSREMENINVFKGILKNYVFVAVISCTVLFQIIIVEFLGTFANTSPLTWQQWFASVCLGFLGMPIAAAIKMIPVGSN >CDP18291 pep chromosome:AUK_PRJEB4211_v1:10:24894148:24906322:1 gene:GSCOC_T00012021001 transcript:CDP18291 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEVSLSGWFRNSIRNVLSGISCCLAKLEFLSLYASKLAISESQEEGILDYLPQPTNLKQFVLVACASKDDSLIGFTSFIRASPNLEKFVLKVGYYFRNIYTKFWCCPDSSYSSCLSFVHLLYAMPLSIISHASNFLYFLKSKKALSFLLQHLRVVESLGYYVGIKSEVELINYFLENAIALENIIVDPREELTYRSRPCMKRIKKEKVARSFAKEQLEAKKPFTY >CDP11415 pep chromosome:AUK_PRJEB4211_v1:10:25986807:25990458:1 gene:GSCOC_T00033660001 transcript:CDP11415 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLSTSPTNAASEILSNGDEGKALLTWKASLDNYSQSQLSSWSSSANPCSNWIGIRCNKAGRISIINITRSGIKGTLDHLNFSSLPYLTRVDLYYNAFYGTIPSHIGNLSRLIYLGLDSNHFNGAIPIEISQLTNLRFLYLSNNSFYGPIPKELMFLTSLVELSLVVNQFTGSIPASIGNLTNLSYLNLRANRLSGRIPSGIGNLTKLQELSIATNQLSGPFPEEIVELRSLTDLSLADNMFTGPIPQSIGNLGNLASLYLFTNFLSGPIPEEIGKLTSLEHLELSNNNLSNKIPNSVAFLNLTSLRISNNNLSGEIPTGLGEVSRLQRLHLSSNRLHGEIPRNLGKLTLLLDLKLDSNNLSGNIPSEIGQMSRLLNLSLSANNLIGSIPDQIGDCTQLVELNLSQNALIESIPSQIGNLHSLETLDLSQNMLESKLPPELGELKSIEEMNLSHNRITGTIPSSFDHCLSLISIDISFNELEAAVFLLIIVVGIFFRARRSHTEYAENEPRESNENLFAISSFDGKTAFENIIDATENFNPKHCIGVGGFASVFRAELLNGQVVAVKKLHATDSGLLSSPKDFANEIRALTNIRHRNIVKLYGFCSHTKYTFLVYEFMKGGNLMHLLSNDETAAKFDWIKRVNVVKDVANALSYMHHGCSHSIVHRDISSKNILLDSEYQAHISDFGTARLMRPDSSNWTSFAGTYGYAAPELAYTLEVNEKCDVYSFGVLALEVIMGKHPGDLVLSMLSASSSIHGILLKDIVDPRPRSLTKQMAEKVVLGTKLALLCVDPNPQLRPDMQQVCVQQLKQRPYLESMFPVITIGQLLALELSGF >CDP07272 pep chromosome:AUK_PRJEB4211_v1:10:343893:349002:1 gene:GSCOC_T00024492001 transcript:CDP07272 gene_biotype:protein_coding transcript_biotype:protein_coding MRGISVRLVFLVILLVLVVVSSLVVVVASGPPSSSRSSDLDDDSDIPASHLPLPLTSKHDTAVVAAPDGTIYLVEINSGKVLWSFASGFPIYSSYQAVHHNEGQRNNATTGADDFFIDIGEDWQLYVNGNGLKNVKLPVSVEEFLKSTPFISASGGIMLGSKKSTIFIVDAKTGKVIHTLCSDTVRAVEHEHSDESTLVARTDFGGWVPHSATNLDGIEEPLYVTRKDYVLKFTNMKTGKILWYLMFADIEASYQCNAIESFLGSVFYTEDEVSLRKNLDAKLQLHCPPKPVVYRIRDRSSFKSLFKTNSLPDAFAGDKVLLLAAPDLDPMLQLVEKILGLHQSNGGDIGLALPTPESEDFGVVALPEGDIDQIHEIGGFANLIGSHFWFVALFGGLMLLIVTFFFIHLVVKEQGKLNKGVEMPNIQALTTRKKKPRKPRTNSKTAERKKKNVSHDQMAKDINVLPDYERAKKFLQLGLPNNSDGFMDGRNIGRLFVSTTEIAMGSNGTVVLEGIYDGRPVAVKRLVQTHHNVAFKEIQNLIASDHHPNIVRWFGVEFDQDFVYLALERCACSLYDLILPCSSSQNQETYQDGDFNCAGNEFVRLGLLGDNHALQLSKLNGYPSHHLLKLMRDVVRGLAHLHELGIIHRDLKPQNVLVTKERVLCAKLSDMGISKRLSGDTSSLTKHATGYGSSGWQAPEQLRHERQTRAVDLFSLGCLLFFCITGGKHPFGEILERDVNIVNNQKDLFLIENLPEATDLIASLLHCNPELRPKATQVACHPLFWDSEMRLSFLRDASDRVELEDREKESELLKALESIGNVALGGKWDEKMDTAFINDIGRYRRYKFDSVRDLLRVIRNKLNHYRELPKDIQGILGQVPEGFDNYFSTRFPKLVIEVFKVFHLYCAEEEEAFIKYFKCDYM >CDP10977 pep chromosome:AUK_PRJEB4211_v1:10:22159128:22161722:1 gene:GSCOC_T00031972001 transcript:CDP10977 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSLEKSDTEAKNEEIEYKGVRALPYIIGNEAFEKLGTIGTLWNLLVYLTTVFNMNTIAATNMVNIFSGTSNFGTLVGAFLCDTYFGRYNMLAFSSIASVLGMICLSLSAAIPQLHPPSCEAKSSTCKGPTAGQYTFLVTGFGFLVLGAAGIRPCNLAFGADQFNPNTESGRRGINNFFNWYYFTFTFAVMVSLTVIVYVQSNINWAIGLGIPAFFMFLSCVFFFVGKRMYVVIMPQGSPLTSIAQVMLAASKKRQLHFPDQPSESLFDYISPNSTNSKLPYTDQFKFLNRAAIITSEDRINSDGSASNPWKLCSIQQVEEMKCVVRVLPIWIAGIIYYVVLNQLQTFVVFQATQADRRVGHANFKIPAASYQIFQMLSLTIWIPIYDRIMIPCLRKITKEENGITVLQRMGFGIIVGIATMILSGAVEEWRRRVALTEPTIGVAPRKGKISSLSASWFIPQLALLGISEGFTLIAQIEFFYKQFPENMRSFAGSCLFCGFALSNYLCSLIITVVHKVTKDASGGNWLDQDLNKARLDYFYYLIAALEVINFGYFMICATWYKYKGSQAKSSHEIAMEKTNTKSSPTCTHASSQV >CDP11444 pep chromosome:AUK_PRJEB4211_v1:10:26277689:26285799:1 gene:GSCOC_T00033703001 transcript:CDP11444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MKLIVLNLSLLFLSLHLSSVFHFAIAKGGLISSNFSGLGDSSYVGSARHLFEEKKFSMQEHIKRLNKLAEGYMSNAELEKAIKEFNRRCSSISRVYSIGESVLGVPLWVIEISDKPGKEEPEPAFKFIGNVHGDEPVGRELLLLLANWLCDNYMQHPLATLIVNNVHLHILPSLNPDGFQSRLRGNAKNVDLNRDFPDQFFHMNDDLGMRQPETKAIMSWLEKIHFTASATLHGGALVANYPWDGTTDEKKYYYACPDDKTFRFMASLYSNSHHNMSLSKEFPGGITNGAKWYPIYGGMQDWNYIYAGCFELTLEISDDKWPNASEIPVLWEFNRMSMLNLVASLVKTGIQGRIFSSDYGSPLAASISIKGINSTIKAGKVFADYHRLLTPGEKYEVVASMPGYKSKSTRIMLGEEASAVDFILDPVVAARDDLLPSRCDCSSSFEKREQKLIELLPGQHSEILIIVILILLFLCFLMKRRVILNYLRPKQMAGQKRPLVV >CDP11463 pep chromosome:AUK_PRJEB4211_v1:10:26406968:26411356:-1 gene:GSCOC_T00033728001 transcript:CDP11463 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNCIGGALNPPKILTPKCIPISHPNPSSLSSSSLRKFILTKSKKYLPPSICISASMSSSQQHQPPLSLEALKTSERRNEVLNAIQSSLSNCLSVTHLDLTVPGLKSKTRGKVRDIYDGGNYLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNKTQHIVPNAVVSAPDKNVTIAKKCSVFPVEFVVRGYVTGSTDTSLWTVYKKGVRNYCGNVLPDGMVKNQKLPENILTPTTKAADHDVPVTPDEIIQRGLMSRADYEEASKRALQLFQYGQQVAMKHGLVLVDTKYEFGKAPDGTVLLVDEVHTPDSSRYWIGHSYQERFWNGLEPENVDKEFLRLWFNDHCNPYEDKVLPDAPEELVSELAWRYIFLFETITNSSFEIPTTKEPIHDRITQNVSQALKSLS >CDP01090 pep chromosome:AUK_PRJEB4211_v1:10:5691622:5693206:-1 gene:GSCOC_T00034603001 transcript:CDP01090 gene_biotype:protein_coding transcript_biotype:protein_coding MNAINVVLTSSDVVVDGFCSSKCGTHSSLRSRAAIKGKYPRFAYIWVGNSETQCPGQCAWPFHQPVYGPQSPPLIAPNNDVGVDGMIINLASLLAGAVTNPFGNGYFQGPAVAPLEAAAACPGIYGKGAYPGYAGDLLVDATTGASYNAHGSNGRKYLLPALYDPSTSTCSTLV >CDP11546 pep chromosome:AUK_PRJEB4211_v1:10:27256923:27258603:-1 gene:GSCOC_T00033849001 transcript:CDP11546 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNPQVLPSGMLGPFVNEIFVLARDGRKFKVEKISRTVLQKLLR >CDP17705 pep chromosome:AUK_PRJEB4211_v1:10:19753713:19754409:1 gene:GSCOC_T00001442001 transcript:CDP17705 gene_biotype:protein_coding transcript_biotype:protein_coding MYQIGTDYKTEDGTCIRDYIDVTDLVDVHVKALEKAEPGKVGIYNVGIGRGKTFLVLIDHISRLQEATGVPLKVDDYLPRWPSDYAEVYNDPTKIRTELKWTTKYTDLQESLRVAWRWQKAHINGYGTPQSM >CDP11941 pep chromosome:AUK_PRJEB4211_v1:10:24045179:24052116:-1 gene:GSCOC_T00035255001 transcript:CDP11941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 1 [Source:Projected from Arabidopsis thaliana (AT5G08470) UniProtKB/Swiss-Prot;Acc:Q9FNP1] MEFEVRVVGGIESCFASLPLSLIHTLQSTSISGSLPPLLPLELRSIRNHDKLWHVSWSGCASSSSAIEIAQLYAECIELLDHTVVQVKVTRNVPKATLVTIEPHTEDDWEILELNSEHAEEAILKQVGIVHEAMRFPLWLHGQTSVTFLVVSTLPKNPVVQLVPKTEVAVAPKRRKRNSDSHKDSSIPTSESRHPETKALLRVQDLDKKYVSRHNVHGVEMEVSLTSAVFLHPETASRYSFNSLQLLLMVRRPLSKENKSNDGKDNLRMRGSRMEKEANNGNSIDKQEHSQAVVRLLISESVAKGHVMLSQPLRFYLRAGLHSWVYVKTWSGSLKQDIPFIKLSPCQLEKLHEDEAFENDGTDVLVGQKNFKAKQMLFRTNSGAEMGMIDWSIHERIIAALFNKSPGDEDQKDGTESGIKKGLLTFLQAWCQAQCDAIISNSGLQVSSLMLGSKTLVHFTVEGKFFDQPGKLQGPKDGLFKRQHKAGERSADILFILSITDESMHAKKMDAYEISFDHRKENGEDKSLESLLPKLHLSDGVCIYAVNEQVSDKNSGLAISSLNWMGTAASDVINRLTALLSRNSVLMLSNYDLPLPGHVLIYGPPGSGKTLLATVAAKSVQDNVEVLAHVVNVCCSRLTSEKHSNIRQALSGYISEALDHAPSVVIFDDLDSLISSSSNPEVQQQSLYSVGLTQFLLDIMDEYEEKQGRMCGIGPIAFIATAQSLTNVPQTLSSSGRFDCHVKLPAPAAAERAALLKHEFQKRHLECHDDVISDIASKCDGYDAYDIEILVDRSVHTAVGRFLSSDLGSKEQVKPTLVRDDFLHAMHEFLPVAMRDLTKPPSEGRHSGWEDIGGLDDIRNSIKEMIELPSEFPNIFAQAPLRMRTNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSEHERLDILRVLSRKLPLAGDVDLGFVARMTEGFSGADLQALLSDAQLEAVHDLLGNEDDKRSKKMPIISDTLLKSIASKAKPSVSESEKRRLYDIYRQFLDSKRSIAAQSRDAKGKRATLA >CDP11539 pep chromosome:AUK_PRJEB4211_v1:10:27194905:27203458:1 gene:GSCOC_T00033840001 transcript:CDP11539 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTEGREMEIMGMGVGVGAASSLKNKNHPTTHALPLAPLQLKLLNGGYAAGTPTGKKHYTSPTNNFPRLTTLLQHHHLYQPFTSTSSASTNLNQVLVRDDDYDDIHALFQILPCDLRNILMHDSKQSQLLEVILDLGKLPQACYAGDCGRQVLRDTEVTIEELEYAQNAIGQFGDDNRAGIVGTLHRISAIRSRKGQVIGLTCRVGRAIQGHVSMVRDLLDFSESILFVGRPGVGKTTVMRELSRVLSHDLQKRVVVVDTSNEIGGDGDVPHPAIGSARRLQVPEPSMQHLVMIEAVENHMPEVIIIDEIGTESEVNACRTIAERGVMLVGTAHGEGLENIVKNPILVDLIGGVKSVTLGDEEARVRNSKKIIFERVASPTFPFLVEVRERDYWAIHRTGRSVDALLRGRKSFVEIRKRDKDMKIMIERWKMEA >CDP01096 pep chromosome:AUK_PRJEB4211_v1:10:5613256:5615453:-1 gene:GSCOC_T00034609001 transcript:CDP01096 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEAAIQGGKHNMLNKFKICISMKTLMVLFNCILLAIGQVGGPLLVRIYFLHGGKRKWLTAWLLTAGFPLLILPISISYFKNRSKASSPKFLVPPRLVAASAFLGFLLGIDSYLYTFGMSYLPVSVSSLLGSSQLAFTAIFAYFVVKHKFTHYSINAVVLMTFGSAILGLHMNGDRPSGESNANYTLGFFMTLGAAALHGFIMPAFEYAQKKAEVTVTFDLFLQVQFLVSMFATLFCTIPMIINKDFQAIPQEAAEFELGPMKYYTILVMAGIALQCMMIGSTGVIFSSSSLFGGIVTSLLVPVQQIFAVFCLAESFSGEKGMALAMCLWGFASYFYGEYKVNQKQPDVKHEPEHEEV >CDP19920 pep chromosome:AUK_PRJEB4211_v1:10:15347234:15360580:1 gene:GSCOC_T00002512001 transcript:CDP19920 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORC3 [Source:Projected from Arabidopsis thaliana (AT5G16690) UniProtKB/TrEMBL;Acc:A0A178UNG9] MGTSNPVVVDENSLQPYFVLHKASQPPRKTSGKTKRRIDLSPLDPHKSDNGSVEDLDCFGMCLQTFHFFWSKIEVVIKDVMREINGDVFDKIGCWIRESFDAMSSCKVSDLSQATNSYPLLLPASHLALSKQLFTGLVCTKNMEFVDDSPTFTELAQHLKSRGCHVANLSSLDFYEKNGTSNCLRNLLRQFLMGSTDAADISSLASWYHEQDRYKNPIIVIIEGIERCRESVLSDFITLLSEWTVKLPIILIMGVTTIVDALRDILPSSTLQYLSPSKFVLGSPTERMDAVIESVLVKYGTCFCLGHEVATFLRNYFLREDGTLTSFIRAFKMACVQHFILYPSGLAISGLLENKYSHDLSYGTIASLQGSIVKQAFGLPSQLRNNQVEPDGDIFHDLTEIQRHWHLWSSLVMCIYEAAKYQKTTLLDLYCEVLDPQLYKAEASGDQVASRYSGSLCSKSHCLLGKHPSLEMCGSICQAARGLRDLPAKELWQLLMKWSELTNGTSEVHEKIKELQSLARSEDRMHLSMQQMDMSNIHTIRGNVISGKDMAKLGEQAARLAGCMVWEYMQPIECIPLHEVICFKNVDKLQSALIGDPRTRIQIDLLESQKFLKCSCCRENACISLPSIHDTSIIYSLAQEHGDLINIHDWFQSFKVTISKSGMRTKSRLKQSQSPSPKKRKPSNEPQKISEASIQARFCRAVCELQIAGLIRMPSKRRQDYVQRVAFGL >CDP11980 pep chromosome:AUK_PRJEB4211_v1:10:23060462:23067369:-1 gene:GSCOC_T00035320001 transcript:CDP11980 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKDHPLMPARVVVAYDATKDRTEIEFSHTIHSIRSRGDILHGGDTLMFLGVLSKVPHPSKHLDISSMLGITHVRLVEEEVSNKVDFCVRLLQKSAEEYDSEGVDIEVKITAGTPTRKVVLQEVITSNATWVILDRHLRRDLRFYLKQIPCKVAIIEDNLSMVVVRPSTISDTDKVEPNLFISLAKNVPLLAAPNEENNEQFIMSCKSYSPSMGSLENSDRIKSNLLPALKYNPQDHNISSHHEFGPNSEDKSGRHAKGGSKPPISPQVIEKLRRKPSGQRSNDTPVLCIGCGMKTKPDIKDSMKFSFSEIQLATDDFSKDNLLGEGGYGHVYKGRLKDGQFIAAKLRKEASTQGFAEFLSEIYVLSFARHKNIVMLLGYCCKENLNILVYEYICNKSLEWHLFDNEGDVLEWHRRHSIAIGTAKGLRFLHEECRGSPIVHCDMRPSNILLTHDFVPMLGDFGLAKRRTDEGNMHKRILGTLGYLAPEYAENGIVSVKTDVYSFGIILIQLMSGRKVVDSNRLDQQHSLRQWAIPLIQRLALHELVDPRIQDFYDTYELYLMVRAAYLCVQTNPEMRPTMGEVLRLLEGESDHLNQLADQFVPHFSK >CDP01262 pep chromosome:AUK_PRJEB4211_v1:10:4117095:4118855:1 gene:GSCOC_T00034850001 transcript:CDP01262 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCLCQAKTHLHPLILVPGTGGNQLEARLTAEYKPPSLFCNRWYPLKKDKNGWFRLWFDLSIILSPFTRCFADRMMLYYDPYLDDYYNAPGVETRVPNFGSIQSLLYLNPHLKHITAYMEPLARSLEQIGYVDGENLFGAPYDFRYGLAAEGHPSAVGSKFLSDLKHLIENASSSNGGKPVILLSHSLGGLFVLQLLNRNSPSWRQKYVKHFIALSAPWGGTVQEMITFASGYTLGIPFADPLIVRNEQRSSESNLWLLPSPKVFSQKKPLVIAQNASYSAHDIEQFLEDIGAPERVKPYKSRILPLVGKFVAPEVPITCIVGTGVETPETLLYGECGFDEQPEIIYGDGDGTANIISLLSVELEWADNRNQTLKVIKLPGVSHTDILRDDAALGEILGQINSINSV >CDP00920 pep chromosome:AUK_PRJEB4211_v1:10:7889783:7894152:1 gene:GSCOC_T00034373001 transcript:CDP00920 gene_biotype:protein_coding transcript_biotype:protein_coding MERPRSSPEQVNILSDDSSSDDGAGPESKRLRTAAEIPQRALQIIPEDVMLGKAQTYQEYMRQIPIPLLRGSVVPFTSWTGLGTSLKQLYGQPLHYLTNVHLRQLDILRIGAEDEDRPLDTIIPPPKAEASIWLIEEVHRLSSSHHHLAKLWHNDPLHHIFIDPIFIELQKPSR >CDP12438 pep chromosome:AUK_PRJEB4211_v1:10:13249955:13251391:-1 gene:GSCOC_T00035994001 transcript:CDP12438 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVSLILQWPEAEINIKDIAVNFSKLACNAHTICDAELRPLATGLYPVISLINHSCLPNSVLVFEGRLAVVRAVEHIPKGTEVICVSLVSSF >CDP07496 pep chromosome:AUK_PRJEB4211_v1:10:2025397:2027566:-1 gene:GSCOC_T00024772001 transcript:CDP07496 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSKILVIGGTGYIGKFIVEASIKAGHPTFVLVRESTVSDPVKGKLAQGFKNSGATLVHGDLYDHESLVKAIKQVDVVISTVGFGQLNDQGKIIDAIKEAGNVKKFYPSEFGIDVDRQNAVEPGKSVFAVKSRIRRATEAAGIPYTPLVSNAFAGYFLRTLVQPGATAPPRDKVAIWGDGNAKAVYNEEHDIGTFTIKTVDDPRTLNKVVYIMPPKNIVSFNELVAIWEKKIGKTLEKEYIPEEQVLKNIQEAPTPLNVALSIRHSILVNGDSTNFTIEPSFGVEASELYSDVKYTTVEEYLVRFV >CDP17696 pep chromosome:AUK_PRJEB4211_v1:10:19458356:19462969:1 gene:GSCOC_T00001428001 transcript:CDP17696 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVIQKFVIASMFMWAAPVAILYGFNHNLFPGSTQLSPYSLTLLSGFLAVVSVNVIIAFYIYMAMKEPSHKHEPDPKFLAEAKASIRHSESTEPEDSSNTRTKQE >CDP07561 pep chromosome:AUK_PRJEB4211_v1:10:2446288:2449400:1 gene:GSCOC_T00024854001 transcript:CDP07561 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMTLVDSWVQTCSVFNQPKTHYRSFNGLIHKPMNNPQFKPNFLKQTKPVSSFTISAIITREEETIDAEKDRESKDSSTLFNFKSYMVEKANIVNKALDDSVSVKNPPKIHEAMRYSLLAGGKRVRPMLCIAACELVGGHQSQAMPAACAVEMIHTMSLIHDDLPCMDNDDFRRGKPTNHKVFGEDVAVLAGDSLLAFAFEYIVTATTGVAPARVLAAVGELAKSIGTEGLVAGQVVDLASTGKADVGLDQLEFIHLHKTAALLEASVVLGAILGGGTPEGVEKLRRFARCIGLLFQVVDDILDVTKSSEELGKTAGKDLVTDKVTYPKMMGLDKAREFAEKLNEDAKQQLSEFDPDKAAPLIALADYIAHRDN >CDP11000 pep chromosome:AUK_PRJEB4211_v1:10:22450651:22453050:1 gene:GSCOC_T00032007001 transcript:CDP11000 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAADDGLTKPDPAKKPVFLTKAQREQLALQKREEEVAEQKRRAEQLLLQTHRPSSADGSKPSSDRDRDRDRDKDRERERDREREHRERRERDRERERERERERERERDYRDSERRNREREREEEQKAREQKTRDREKEKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNILYQNPHEARLLFGRGFRAGMDRREQKKLAAKNEKELREEIRKKEGVEETPGEAAAQKLKEQAADLYDTFDMRVDRHWSEKKLEEMSERDWRIFREDFNISYKGSRIPRPMRSWTESKLSSELLKAVERAGYKTPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLTYITRLPPMSEENEAEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFKVRQGCEVVIATPGRLLDCLERRYVVLNQCNYVVLDEADRMIDMGFEPQVVGVLEAMPSSNMKPENEDEELDDKRIYRTTYMFSATMPPAVERLARKYLRNPVVVNIGTAGKATDLITQNVMMVKESEKPGRLQRLLDELGDKTAIVFVNTKKNADTVAKNLDRAGYRVTTLHGGKSQDQREISLEGFRTKRYNVLVATDVVGRGIDIPDVAHVINYEMPSNIESYTHRIGRTGRAGKTGVATTFLTLSDSDVFYDLKQMLTQSNSPVPPELARHEASKFKPGSIPDRPPRRNDTVFAH >CDP07266 pep chromosome:AUK_PRJEB4211_v1:10:305084:309162:-1 gene:GSCOC_T00024486001 transcript:CDP07266 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHSLEKCFITSRISSAWHGSVEATGRTKQLVVLPVPMIISRRSAILISLLPPLNLTLPIHPLLARERRTKKAIPLEDYLTSYDGLKYYDIVEGRGPVAEKGSIVQVHFDCLYRGITAVSSRESKLLAGNRIIAQPYEFQVGALPGKERKREFVDNPNGLFSAQAAPKPPKAMYTITEGMKVGGKRTVVVPPEAGYGPKGMNEIPPGATFDLNIELLEVKPPEGQ >CDP12443 pep chromosome:AUK_PRJEB4211_v1:10:14025642:14045227:-1 gene:GSCOC_T00036010001 transcript:CDP12443 gene_biotype:protein_coding transcript_biotype:protein_coding MASDANNASTTNANPSTSSPHPTPTTTSSGCHGHQHCRHKAAHFHRRRGKSATVSLAGVFRRRSLLLRCLLVLPLTLYFSGVITFTVGPLLAILRPAPPPGSVYRSHEVFEKLWPDIHSDNSSSIGLSSIWRYRRKLKEQKSCPNGTARQGTESLTLDRYLIIEANGGLNQQRSSICSAVALAGLLGATLVIPRLEFHSVWQDSSEFADIYDEEHFIFTLKDFVTVVRELPEEIMKTYDFNISSIPNIRVQAWAPASYYLGEVYPVLLNQRVVRISPFANRLSMNVPPHIQFLRCLTNYKALRFSSAISNLAQKLVNRVTEKSSSYGGKYVSIHLRFEEDMVAFSCCLYDGGRSEKFDMDAIREKGWGKKFKSSGHVISPGLNRINGRCPMTPLEVGMMLRGMGFANDTPIYLASGKIHQADRNLMPLLKMFPLLQTKNLLATEDELAEFQGYSSRLAALDYAVCLFSEVFVSTQGGNFPHFLMGHRRFLYNGHAKTIKPNKPKLALLLHNTSIRWDAFKNEMQSMLAEIDKKGTAVPIVKKSRRKASIYSNPLPECRCLLESKNISSAFMLKAAE >CDP10924 pep chromosome:AUK_PRJEB4211_v1:10:21055317:21061098:1 gene:GSCOC_T00031886001 transcript:CDP10924 gene_biotype:protein_coding transcript_biotype:protein_coding MEITYRFPLATTGIRAMIGTGGPPFVAIRADMDALPIQEAVEWEYKSKNAGKMHACGHDAHVAMLIGAAKILKAREKYLKGTVILIFQPAEEAGNGAKRMIGDGAIEDVEAIFGLHVSHLHRSGIIGSRPGPLLAGCGFFRATISGKEGQAGNPHHSVDPVLAASAIVISLQNIVSREANPLDCQVVSVTSFNAGEDLDSIPDTVILRGTFRAFSNTSFYQILARINQVITEQARVFRCSATVELFENEDRIYPPTVNEEQMHEHVKKVSIDLLGPQNFMLVEPVMGAEDFSFYNQVIPSAFFFIGIKNETLGSIHTGHSPHFMIDEDILPIGAATHAAIAERYLYDRKL >CDP01162 pep chromosome:AUK_PRJEB4211_v1:10:5005458:5007134:-1 gene:GSCOC_T00034697001 transcript:CDP01162 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKLLLQINGVGRILSCKPLWHLHYYSSNAAASSRNQPQNPDSMVGHLMDSLGFSQTQALSVRKRLSAYKSFKQDPDLVVSFFQGLGLDKTQIKRFISTEPRLLFCSVDKALKPRVQIFQDLGLSGPDLTTFLAHHAYILRRTDCYIRRPIECLRGILGSDEIVVEVVKRCGRLLDPYAPLAIEPNVALLRKFGWSGDKISKFIVNKPWIILRETKWLEGLLQGAETDFGIPRECKMFYHGVLVYASLKKSTFDEKVEIFRSCGWTDTSIRSLVRNLPFTFTLSEANVRKSAGFFMGELGYTDEYLASHPILLSLSLERRVKPRYEILKILIEKKLISERVNHYNAFCFNDLKFANLYLHPYKDEIPEKYESYMSNCRRGMVRRAEN >CDP07575 pep chromosome:AUK_PRJEB4211_v1:10:2544610:2545275:1 gene:GSCOC_T00024870001 transcript:CDP07575 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTIAAKPSKWFANKTLKLSLPRFRSKSRSSTTSSSSANSSPKTSTSTKENELRQVFSYFDDNKDGKISVEELKAYFSSVGDSMSRDEAQRVILEFDKDGDNLLEFGDFVQLIEMDNKGDEANDYVKKAFEMFEDDKGSGCITPKGLQLVLNRLGDPKSLEECEAMIRAYDLDGNGVLDFNEFHTMMS >CDP11924 pep chromosome:AUK_PRJEB4211_v1:10:24353498:24353844:-1 gene:GSCOC_T00035232001 transcript:CDP11924 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNMALFFSGGDRKELKQQVTGRIRKEMQNPDNGARIPDLCS >CDP11382 pep chromosome:AUK_PRJEB4211_v1:10:25483835:25485934:1 gene:GSCOC_T00033611001 transcript:CDP11382 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKGSDKRWIMTGVYGRKNPKERRRLWRRELERMASNFYEPWIVAGDSNAVSSSEDKLGGLRPPRVPTLKFRTMISDFHFMELHKGKRNLPTLLLSWYNPLLAEPLCLHLREIDQMRKTF >CDP11398 pep chromosome:AUK_PRJEB4211_v1:10:25663939:25667015:1 gene:GSCOC_T00033633001 transcript:CDP11398 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYILAAMEKHKPCIVMVIIQFIYTGMSLFSKAAIAEGMKPSIFVAYRQALATLALAPFAIFFESQKSHPLSLNVLCKMFLVSLCGVTLSLNLYYAGMNYTSATFATAMINNLPIIVFIMAVCLRIESLSITQWHGMAKVLGAVTCLSGAMVITFYKGPALYSEYGKEASHNSSRTYTKEEWIKGSLLMLGANLTWAIWLIMQAPILKQYPAKLRLTTLQCCFSCVISTVYSAAVERNISSWKLGWDVNLFSVAYCGIVVTGLSYWLQVWVVEKRGPVFTSIFSPIALLLTAIFSAMIFKETLHCGSVLGAVLLVAGLYSFLWGKNKETEIHGSEEKSNQTKEEVALECITCTPTCNEDGEEKR >CDP18861 pep chromosome:AUK_PRJEB4211_v1:10:27329619:27335901:1 gene:GSCOC_T00013397001 transcript:CDP18861 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELRYSFQRNFQFLQRVFSMDTLVKPFPPAMGDYASHNLNFFTRIFSQFFDNEDIAAAQKSLGIGQEEKIRKVR >CDP01348 pep chromosome:AUK_PRJEB4211_v1:10:3315126:3318727:-1 gene:GSCOC_T00034954001 transcript:CDP01348 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKVKYEQLRQQRMEENKKKLEKLHLPLLSQALQKAALSPKTSPMKRVKPRTIRTELVPVRRSGRFTNKPAPQYKEVVFYERVQLPRSTVHPKRDLSNRVYASDEARAWAIEKAEKLESTLESGYPTLVKPMLQSHVTGGFWLGLPSHFSKRHLPKRDDTVTLVDEQGDKWRTIYLARKTGLSGGWKKFSVDHDLVDGDALVFQLIQPTVFKVHIIRENGFTEENSHEAICDS >CDP01275 pep chromosome:AUK_PRJEB4211_v1:10:3949647:3951536:1 gene:GSCOC_T00034863001 transcript:CDP01275 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCMACDKTVYLVDKLTADNRIYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKRTGSLEKSFEGTPKIAKPEKPVDFEKPLANKVSSMFVGTRDKCVGCSKTVYPTEKVSVNGTAYHKSCFKCTHGGCVISPSNYIAHEGRLYCKHHHIQLIKEKGNLSQLEGDHEKNSARGTEVAAES >CDP01081 pep chromosome:AUK_PRJEB4211_v1:10:5857205:5860385:-1 gene:GSCOC_T00034592001 transcript:CDP01081 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYIMQETGRAREAFAIIINTFQQLEQHALHALSSYLPPIYPIGPLNILDNQIKDKNLKEIGSNLWKEKTECLEWLNSKDPNSMVHVNFGSIAIMAPEQLVEFALGLANTKQDLLWILRPCDSAILSSEFLEETKERCLFVRVWIPTTLVVNDEKLKSLKILDGEFRQLFVLHSNPNMFASSCSQAKVLKHPFVRGFLIHSEWNSTIESISYGLPMICWPFFADQQTNC >CDP01151 pep chromosome:AUK_PRJEB4211_v1:10:5087644:5089522:1 gene:GSCOC_T00034685001 transcript:CDP01151 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEETPYTRLCSTKNILTVNGQFPGPSVHIRQGDSAIVHVHNKGNQNITIHWYAAEFFFFALLQENSSKASRVTDEIGTLWWHAHSDWSTTKVHGAFIVYPKRGENYPFPQPHAEFPIILAEWWKSDVQTVLSEFLSNGGGPNVSDAFLINGQPGDLYPCSKPDTFKLAVEYGKTYLLRMINTAMNNILFFSIAKLQVTVVGSGGSYTKPFKSDYIAIPPGQTIDFLLEANQVPDHCYMAARVYNSASLASKVDVPLDVDTKLFLTISVNLRLCEANNTCAGPAGLRFAATVSYILYILKCNVSHIQDLKQFSIHFAYL >CDP12446 pep chromosome:AUK_PRJEB4211_v1:10:14182552:14184816:1 gene:GSCOC_T00036013001 transcript:CDP12446 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYQSNGGDGGADDFNDSRSQHSHDGDNRERGSSRSRERERERERERERRRDRDREGERSRDRDRDRDREHDRDKDRHHRDRDRHRDRSDRRERERTRDRDDDDYHRSRDYDRRRDYDRAREERHRHRSRSRGGRSEHRSRSRSRSRSKSKRISGFDMAPPPDTLMHGATSLPLPEGNVGLVAPLC >CDP10926 pep chromosome:AUK_PRJEB4211_v1:10:21127201:21128636:1 gene:GSCOC_T00031888001 transcript:CDP10926 gene_biotype:protein_coding transcript_biotype:protein_coding MHTISMATGNGNHEAQQTEENFEQFYETWKKQQNQHLQELITASEQVSSPTPPTEGITACSSSSPPPPTDGITATNLSNLVKRVVEHYEEYYKTKSEWADKNTLQILSPPWTSSLEDAFLWIGGWRPTMAIHLLYSKSSLQFESKFNDWMQGKLTKHDLGDLTESQISLIDELQKNTIIEEKEITGKMAKQQEKAAGADMVELSHLVSEALREEEKGTKARDHEAEQSQAESSLSPKEKGFKKILEKADNLRLRTLKSIIEALSATQAVHFLIAAAELHLRLHEWGKARDEGQLSGGAGHPIFRQEA >CDP11945 pep chromosome:AUK_PRJEB4211_v1:10:23980840:23981719:-1 gene:GSCOC_T00035262001 transcript:CDP11945 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKLFDLQRIFDKLDKNGDGLVSLEELMWLLDSIGVRANQDELELLIGKKSLDPIDFVFFHDLVIKGYNLDQSKKLKEKEEDSLLEKDLAKAFEVFDSNGDGFISSEELESALCRLGLWDGGKDCRSMINAYDTNSDGQLDFEEFKNMMLVSNF >CDP07387 pep chromosome:AUK_PRJEB4211_v1:10:1153233:1157208:-1 gene:GSCOC_T00024636001 transcript:CDP07387 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLRPLARLPRLHHQYHCSAGAFYYFLTSCSLPSAETTAKFPHQLLLINSSPVVSARNLHFRTRALKLRQLPLPSDTTESDSDSSDDGSAKKSRNEKKREAKRAVRWGMELANFSPPQIKRILRVASLEREVYDALMLVKRLGRDVREGKRRQFNYIGRLLREVEPELMDGLIQATKDGDNGKFQTLCGPEAQAIEDSDDTAEETEDQCEDDPEYCIEITTRWFEGLIKKDIDVMNEIYSLDSVDFDRQELRRLVRKVHVMQEHQVSSESEEKGEEVEAKVVGAKRRLTRFLGSLVKQLPIE >CDP11995 pep chromosome:AUK_PRJEB4211_v1:10:22872609:22882401:1 gene:GSCOC_T00035338001 transcript:CDP11995 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPGPPTPLGGSPSVPPSLLRSNSGLLGGQGGAMPSQGAFSSMVSPRTQFGNMNMLGNMPNVSSLLQQSFANGGPNPGLSGPVSAQRGLVDNGAESDPLSAVGNGMAFNTPSSSFMSSMAANPNSSAHVQGQQFSNPSGSQMLTDPQQNQQLDPQGFQHNQQPMQQFSASNNPQQQQQQQQQFQTMRAGLGGVGPVKLEPQVTNDQTQQQLQALRSLGSVKMEPQQLPSMRSLGPVKMEPQHSDSSLFLHQQQQQQQQQQQQQQQQQHQHQHQQQQFLHMSRQSPQAAAAAQLLHQQRIMQLQHQQQQQQILKSIPQQRSPLQPQYQAQKLPIRPPVNPVYEPGTCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSQDLKICSWEFCARRHEELIPRRLLIPQVNQLGAAAQKYQAATQNASSSVSVPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGIGPMESLAKFPRGTNTSPGFHGQPQQSEDQIQQHQQTMGQSSNNDTPVQAASMQLPSSNGLANVNNPLNSASATSSTSAIAGLLHQNSMNSRHQNPMSSANSPYGGNGVQMPSPSSSSTLPQPQLNPSPFQSPTPSSSNNPPQTSLGGLPTGTHMNSTSSPNIAMQQPALSSDTDANDSQSSVQKIIHEMMMSNQLGGGMMGVNNMGNDMKNVNGIMPTSNNMGLTGNNCIVGNGVTHTNTGIGGSGYGSMTNGLSQAAMVNGIRAALGGNSVTMNGRVGMTMVRDQSMNQQQELGNQLLSGLGAVNGFNNLQFDWKPSP >CDP10900 pep chromosome:AUK_PRJEB4211_v1:10:20471180:20474580:-1 gene:GSCOC_T00031855001 transcript:CDP10900 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVTVIRSEKVKDELVLDGNNIELVSRSAALINQVGLSHHVKNKDIRKFLDGIYVSEKGQIAEEE >CDP07613 pep chromosome:AUK_PRJEB4211_v1:10:2874573:2878353:1 gene:GSCOC_T00024923001 transcript:CDP07613 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPASLISKVHSSFSDGLHFAAPLPSLSTSEIELVKGALHICQGFSSDLFYWNHIGQSFRVKTGIYVSHLTRTSLYGILDQFTYAATCLKLVEILVNKIHKSARSPPPTLRAFACSVSAWLKKLRDVALREEENISTSDGKMIATLLGLSSSLSSLCSGAEYLLQIVHEAVPGAYFEPNSYIAAADVAVYILNQLYRKLDEVCLVQGGEEDAYRMLLYIFTGSLLPYVEGLDSWLFEGTLDDPFEEMFFYANKGIAIDETEFWEKSYLLRTAKIKNLEIGSSSDVLLKDKKDITGRESISTREKEGGEIEHHVCPLFIKDMGKAIVSAGKSLQLIRHAPLPSLSDVPADNHEIRRCIAGLTLSEVFCVSLAALIGHSDHISEYVWRDNLIVSSFESPVGKEKEERNGEILPFISSDKVWYKILANVLGQKREIVSGSTHIDTDVFSLQKEKLGPDGVDDVPCVGTYCPQNPAITVCQRFLYGNKDAQSALSLSRNLYLPPLDDKELRKAVFYKNSGSCSEEKSTDFTFGFWFDESEYVRRQEETKMLEMLFPFMTLLPSFQEDLHMSEFLPFEKNSTLPSRILSWMQSAEPKVTPLPVVILQECLIAYIKKQADYIGWIILSKLLYDWRLLDELEVLRAIYLLGSGDLLQHFLVVIFNKLDKGESLDDDFELNTILQVHMLNL >CDP01147 pep chromosome:AUK_PRJEB4211_v1:10:5130974:5133688:-1 gene:GSCOC_T00034680001 transcript:CDP01147 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDAVKFGILGCAEIARKVSRAIRLSPNSTLYAVGSRSVEKAAKFAKDNGFPDSAKVFGGYDAVLDDPDVDAVYIPLPTSMHVKWAVLAAQKKKHVLLEKPVALNVKELDVILEACESHGVQFMDGTMWMHHPRTAKMKEFLNDPQRFGQLKTVQTVFTFAADPEFLENDVRVKPELDALGVLGDAGWYNVRACLWAFDYELPKKVTALPGPVFNKSGVLVSCGASLYWEDGKVATFHCSFLSTLTTDVTAVGTKGYLRLHDFVIPDEEDKASFFTRENPGFAELQRGVVADRNEHVVATDLPQEVKMVMEFSRLVGIIKAEGGKPEKIWPTFSRKTQLVLDAVKTSIEKGFESVELIS >CDP11395 pep chromosome:AUK_PRJEB4211_v1:10:25597454:25599838:1 gene:GSCOC_T00033625001 transcript:CDP11395 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCLAVLSSPNTLSCPKLHETSNGTTVWTSYDKSSLLYNFNSPSELKQVQALLIKTSTPLSVLPLSRVASVCAFSSSFPHAQKIFHCVNKPEVTVWNGCLRSFAESSSPFDAILLFCQLRKYDVLPDSFTCSYVLKACVQLLDLLHGRNVHDYMEKLGFQSNLFLQNMIVHLYASCGKIGDAKLLFDKMHQRDVVTWNIMITQFAKRGDINAASQLFKQMPERSVRSWTAMVAGFVQCGKPKEAIELFMEMEGAGLRANQVTVVAVLAACADIGALDLGRRIHEYSNRSGYQRNVRICNTLIDMYIKCGCLEAARVVFENMDERTIVSWSAMIQGLAMHGQAMEALELFSKMVQTGTKPNGVTFIGLLHACSHMGLVEKGREFFVSMSRDYGIAPRIEHYGCMIDLLSRAGLLEEARQFIKNMPLKPNAVVWGALLGGCRVHNNIELAEEAIVHLNELDPHNDGYYVVLSNIYANAKKWENAAKVRKLMRDQGVKKTPGQSSITVAGLVHEFVACDESHPQVKEIYASWENLLQQLRLKGYVPNTSVVLLDIEEAEKEKILYHHSEKLALVFGIINTPPGETIRIMKNLRVCEDCHAAFKLISDVVNREIVVRDRNRFHCFKNGTCSCKDYW >CDP18868 pep chromosome:AUK_PRJEB4211_v1:10:27514663:27520252:1 gene:GSCOC_T00013410001 transcript:CDP18868 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIVSSACIIISIELVLASFCDVGCRVSMAAATEQDFVSSYISALGDPGMKNPNARFAFEAWNFCNEVGSEAPNMGSPRLADCADLQCTQFPVPADMPSSLLLDKRSKCQVIQKVNESDNKLGTGDQFPDTEFKAYSDPDLYAVEKELYLGSLCEVHDTAEPWYFWMIMLKNGNFDKNTTLCPENGRKVSKIVTGRTFPCFGKGCMNQPLVYHNSSRVDFSGDQASLIGGFYGTYDLDTHLSSEVGSKSFFAVSWQKNLISGSWIVSNKLTTSTKYPWLMLYLRADATEGFNGGYHYNGRGIMRKLPESPHFKVKLTLEVKQGGGPNSQFYLLDIGSCWKNSGAPCNGDVITDVTRYSEMIINPATTSWCRPNNLVSCPPYHISPTGEKVYRNDTSRFPYSAYHLYCAPGNAEHLEEPYDICDPYSNPQAQELVQILPHPEWAVHGYPAKQGEGWIGDPRTWELDVGSLSSRLYFYQDPGTKPAKRVWTSLNVGTEIYVSNTAMTAEWTVSDFDLWIPNDSEFRGRQLL >CDP11384 pep chromosome:AUK_PRJEB4211_v1:10:25493107:25500514:1 gene:GSCOC_T00033613001 transcript:CDP11384 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPIRQFEDKIVETVDKNPVAVIIGETGSGKSTQLSQILRKRGYTKSGAIAVTQPRRVAAVSVARRVAQELGVQLGEEVGYAIRFEDRTSEKTCIKYLTDGVLLRESLSDPELNQYSVIILDEAHERSLNTDILLGLMKRLVKLRASNLKVLITSATLDGEKVSRFFSNCPILNVPGKLFPVEILHSSERPKNYIDASLKAAVDIHVKEPEGDVLIFMTGQDDIEKLVMKLEERIQSLEEGSCMDAIVLPLHGSLPPELQANSTFILFLQVRVFSRPPPNCRRLIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSTGMYSLDVVQISKVQANQRAGRAGRTRAGKCYRLYPSIVYHDDFLDATIPEIQRSSLAGSVLYLKSLDLPDIDILSFDLLDPPSSESLQDALKQLYLIDAIDEDGSITSLGRTMAELPLEPSLSRTLLEANECGCLSQALTVAAMLSAETTLISGRSKISEKKRKHIPSNLPDGSGWGDHIQLLQIYEQWHQTDYNVDWCKDNNLQVRGMMFVKDVRKQLAQIMQKSAKESLDVRTRKGRRESQQDYKNLRKALCAGYASQLAERMIRHNGYRTIGFKSQLVQVHPSSVLRTDEEGMLPNYVVYHELIATTRPFMRNVCAVEMPWVMPITRKLEKLNVEKLSGGFSEPEKSVRVENSDLRKENSSLPKLPDDHDSKIQAARERFLARKGIK >CDP00853 pep chromosome:AUK_PRJEB4211_v1:10:9047085:9048017:1 gene:GSCOC_T00034272001 transcript:CDP00853 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKTQYPTGLKLILICLLGLLLLFLVLRSSFSSSQLQNSSLISAIYPSNIIASSSSPETSQEQSQSSTATTSNDCPPTETLVPTCTKISPSLANAIVHYATLNITPQQTFKEISVSLRVLEKKSPCNFLVFGLGHDSLMWTALNHGGRTVFLEEDKSWIEQVQRQLPNLESYHVTYDTKVTQADEMMEVGMREDCKVVGDPRFSKCGLALKGFPSEVYDIEWDLIMVDAPTGWHDEAPGRMTAIYTAGLMARNRESGETDVFVHDVDRAVEDKFSKTFLCEGYLREQEGRIRHFTIPSHRARLGRPFCP >CDP07504 pep chromosome:AUK_PRJEB4211_v1:10:2072374:2073234:-1 gene:GSCOC_T00024781001 transcript:CDP07504 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGEDKEMRIPPGSLGYDPLENLDHSTATHHHHHIQHPEDQLIHQEKSSRGPGATAAALSSGGSKSKTPTPTAGRSHSTSSTRYRECLKNHAASIGGNVTDGCGEFMPSGEEGTLEAFKCAACHCHRNFHRKESSGDGAIVVHQLPLPPPLPSPSMNQHRGESMNVHANHWTTMVQPVKMAFGGGSASAATDSSSEELNFNAYQSTSVPPPPQFVLAKKRFRTKFSQEQKDKMLAFAEKLGWRIPREDDTEVQRFCTEVGVKRQVFKVWMHNNKNSAKKNPQES >CDP07251 pep chromosome:AUK_PRJEB4211_v1:10:219422:220294:-1 gene:GSCOC_T00024468001 transcript:CDP07251 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRGGGRGWGRRVRSLVGNSMGGLSGRVNLASWAVAGTLAYFLWIKPSQQLKKDQEERAALAAASDPYRYVEKRKPIPDPQDTGLVYGNKNRSNKSPE >CDP01186 pep chromosome:AUK_PRJEB4211_v1:10:4768472:4769300:-1 gene:GSCOC_T00034745001 transcript:CDP01186 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTLEYLKSFSAFLYFQEQLESNLVRSYHPKILEGTILSSPKEGQSSQRSTEALNWLPDGCYNGLTLDSPIVNVDTRDDISTPCVPLEESSCNLNLGNMKDGKFQTSVNQNYT >CDP07400 pep chromosome:AUK_PRJEB4211_v1:10:1230910:1236270:1 gene:GSCOC_T00024656001 transcript:CDP07400 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGSDGEAELRLSSRNSTSNKGFKFPKKFMKGDCTTVCNLSVPRKLRSAMKKRSRESVSPPLTVCKKLTRVAGGVELLTKDGSTKYKLKMKQSLAHGCVADGTQGPVTKDEEEVAEALYALAGVFSNTVKTDKAGSAVQELGINSSNLTKAESLLTPIDVGKVEEEVKAVSYGDEAPNHSSNPEHSAGESIILHWQNGTSQPDILVGKQTTIEVGSDIPEVNLPLTTFESDKQQTIQKTCDSVVSEDWSELSKTRSKLPNLNKSLASVKCPTTVLAPIAAACGQAEVQHTIKETRNNGSSLRPDLPSITSCDTQELGIPLQPHIANHPAWFESTSCSAQSPKLSSSVLAKKDAQVSIHSKNPWKRCIAHVYISRFIKVLQITERKNRSIMLSSELRTNEAPKQVARIPVANLNEGKNGMTGVVSSDSFRRAATEKYATEVRNAVHLHKRLIQDQQQAPYEVYNAQKQASFSLDCLSLLPGSGQLEISNGINRAGNGREISTQLPFPGLLSQNQPSIPFPVPQNCYSSPSFSSHPSVAMGQKFQVPPNVGSTSYGATLMDATLSSIRQLEEQQQKRASLLMTRYKSGVAPPVIPNKQTEGPDIPPVFQHVQTLFSPSLSSVEVLGARYAPVLQRQLLSATSLLPSSTVKEQYHHLPSVHEANVGGVRSDNVPLRIICNQYI >CDP00921 pep chromosome:AUK_PRJEB4211_v1:10:7885440:7886324:-1 gene:GSCOC_T00034374001 transcript:CDP00921 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGMETLDGIIDTVSAVHDLGPLIGLLKSQGVLVLLGIPNKPLEIPASLLLSSRKVVGGSAIGGIAETQEMIDFAANNKITADVEIIPMEYVNTAMERLAKGDVKFRFVIDIANTLKAT >CDP07240 pep chromosome:AUK_PRJEB4211_v1:10:102888:104198:1 gene:GSCOC_T00024446001 transcript:CDP07240 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFVLVSYQKQRRNSRWVALVLVVAGASCSWRRRRIISRFKEDTSGGSLKGEGSLDGESSHVGGR >CDP10659 pep chromosome:AUK_PRJEB4211_v1:10:11134445:11135136:-1 gene:GSCOC_T00031440001 transcript:CDP10659 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKVGVITLLLCAATILLGLKPELASAKVCPRFCYAAVAYMTCPPAPYKKLGPVCNCCMAKPGCKLFRADGTVICTAS >CDP10751 pep chromosome:AUK_PRJEB4211_v1:10:9366491:9372903:-1 gene:GSCOC_T00031565001 transcript:CDP10751 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKAENGEQEQQQRQSILPLLTPYKMGNFQLSHRIVLAPLTRQRSYGNVPQPHAILYYSQRTTKGGLLIAEATGVSDTAQGYPDTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNYSYQPNGQAPISSTDKLLTPQLRANGVDVAEFAPPRRLRTEEIPEIVNDFKLAAINAIEAGFDGVEIHGAHGYLIEQFLKDQVNDRTDEYGGSLENRCRFALEIVEAVSNAIGADRVGIRLSPFASYSQAGDSNPNVLGLYMAAALNKYGLAYCHMVEPRMKTVGEKSETPDNLPKRFELNASLNKYNRATFYLPDPVVGYTDYPFLETTANEKLIATPPISTFTSCFKCPATPGIWTKEQVETWKPIVDAVHAKGCIFFCQIWHAGRISNYSYQPNGQSPISSTDKQLTFKVQKNGVDDYKYPPPRRLRTEEIPAIVNEFRVAAINAIEAGMAIQKTN >CDP07390 pep chromosome:AUK_PRJEB4211_v1:10:1176912:1177430:-1 gene:GSCOC_T00024643001 transcript:CDP07390 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKVFFDMSIGGSPAGRICMELYADTTPRTAENFRALCTGEKGVGRSGKPLHFKGSTFHRVIPGFMCQGGDFTAGNGTGGESIYGSKFEDENFIKKHTGPGILSMANAGPNTNGSQFFICTDKTAWLDGKHVVFGRITEGMDVVKAVEKVGSSSGRTSKPVVISNCGQLS >CDP11462 pep chromosome:AUK_PRJEB4211_v1:10:26402609:26404444:-1 gene:GSCOC_T00033726001 transcript:CDP11462 gene_biotype:protein_coding transcript_biotype:protein_coding MMIWLLPIRLLEVIPSTSFGYITRSFWLIFSYLVREWQERVSNLLGYWGSPFALRVRSALKLKGIEYEYQEEDLGNKSPLLLQSNPVYKKIPVLLHNGKSISESLVILEYIDEVWKHNPLLPEDPYERARSRFWAKFVDEKCVPALVGTISKVGEELEKGVREAREHLKTLESGLDGKRCFGGAKIGFADVAIGWIAYLARIEQEALKIQLIDQQSMPVLAAWIDYVLEDPVMKELMPPHDKVFKHMIDMREKLIAVVSN >CDP00986 pep chromosome:AUK_PRJEB4211_v1:10:6921421:6926013:1 gene:GSCOC_T00034468001 transcript:CDP00986 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEEEMKEDSKAVEDSNLEMGSEKKENRAEMQKKGNKDKRKKKLKQRRNFILRRFSCIRMDDEYPTVDEPTGEGSFNMQAVTDRTPTHLIVMVNGIIGSAQNWRYVAKQFVKAYPKDVLVHCSESNSAMLTFDGVDVMGKRLADEVLSVVQKHPNLQKISFLGHSLGGLVTRYAIAVLYGKDFTRKSHEENGDCKLDESKESLSEDKYKGKIAGLDPVNFITSATPHLGSRGHKQVPLFCGLYTLEKVASHTAGILGRTGKHLFLTDGHDGKPPLLFQMVNDSEDLQFISALQSFKQRVAYANVRYDHLVGWSTSSLRRRNELPKRRNLLKNDKYPHIVNVETAKTAISQEETDVRVEGFRIADMEEVMLRSLTKLSWERVDVSFKGSKQRYFAHTTIQAYLRLPFLQVQSHCMNSDGADVIQHMIDNFQL >CDP12018 pep chromosome:AUK_PRJEB4211_v1:10:22598553:22599299:1 gene:GSCOC_T00035372001 transcript:CDP12018 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRTCHSRAAAIATVAETTLAAAQAAAEVVRLELFPLLLRATVAETGGKKWRLLGFSRLSELTWDSVKVFIFQHNQC >CDP01177 pep chromosome:AUK_PRJEB4211_v1:10:4899878:4901560:-1 gene:GSCOC_T00034728001 transcript:CDP01177 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQFPLLAWVCSVFSSSSVKEDPLKHTPTRQQRIGTVFTFVKLTFITTLIFSLNFSLFSISSALFSSYPFSDLLLFVATFVLLASEPLDK >CDP07609 pep chromosome:AUK_PRJEB4211_v1:10:2834797:2837623:1 gene:GSCOC_T00024917001 transcript:CDP07609 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRESISKQNDVSLALAKHVISSEAKDTNSVFSPLSLHVVLGLIAAGSKGPTQDQLLSFLKSTSTDHLSSLTSQLVTIVLADGAPSGGPRLSFANGVWIDQSLPFKPSFKKIVDEVYKAASNQVDFQNKPAEVTNEVNSWAEKGTSGLIKEILPPGSVDNTTRLIFANALYFKGEWDDKFDASKTKEHDFHLLNGSTIKVPFMTSKKKQYISAYDGFKVLCLPYKQGEDKRRFSMYFFLPDAKDGLPALLDRAGSEPGFLEHHLPRSKVEVGDFLVPKFKISFGFEASKTLKGLGLVLPFSGEGGLTEMVDSPVSRNLHVSSIFHKSFIEVNEEGTEAAAATAATIRLRSAQFFNKLDFVADHPLLYFVREDMTGVVQFIGSVVNPLDS >CDP07252 pep chromosome:AUK_PRJEB4211_v1:10:220738:221510:1 gene:GSCOC_T00024469001 transcript:CDP07252 gene_biotype:protein_coding transcript_biotype:protein_coding MKCENREAVLIKQTPPPHLPLHHLPYNCNSNFQYSSSSSSSSSSVITGLFKLNHHLLVKEQQTITPTAEHATKKQIILLCFKTRAKKISLC >CDP10941 pep chromosome:AUK_PRJEB4211_v1:10:21488496:21490449:-1 gene:GSCOC_T00031913001 transcript:CDP10941 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLQLPPGFRFHPTDEELVMHYLCRKCASQVIAVPIIAEIDLYKYDPWDLPGLALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGNPKPVGIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNNNNLRLDDWVLCRIYNKKGSIDKQQVSSVSRKMMMMSNSRQVEETEEDKKPVIMTSLAETSPVVYSDFMYLDPADSVPKLHTDSSCSEQVVSPEFSSHNTCEVQSERKLSDWEKAALDFPFNYVDAPAMDSTGNALMNPPPPPPPHFQGTYQMSPLQDMFMYLQKPY >CDP01023 pep chromosome:AUK_PRJEB4211_v1:10:6469624:6470076:-1 gene:GSCOC_T00034510001 transcript:CDP01023 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFPLQSMLLNTFSSESSCCSMDWKETPEADVFKFDLPGLTKEDVKLQIHDNQVVHLSADRKDEDDQETGESDDRKRGGGGGDEYKWHCKERICGGSFQREFRLPEDALVDQIKASMSDGVLVVTVPKDHHLKKKKLKHRAVEISGVDG >CDP11402 pep chromosome:AUK_PRJEB4211_v1:10:25797662:25799356:1 gene:GSCOC_T00033640001 transcript:CDP11402 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPHVLAIPYTAQGHVLLLMELALCLVKSGIKVTFVNTEFDHKRVIESLSGEGNVPDMMHLVSIPDGLESWDDRNDLGKLTKTIFRVMPAKLEALMEKINESETDKITCLITDESMGWALEIAKKMGVRAVAFWPAAAAVSALELNIPKLIHDGIIDSSGKTPFSIMLLQKQNGEIWLLQNRLKLSPTILAMDSAHFVWACIGDPTTQGIIFYVILKNIRTLKLADWIICNSSNELETSVFNSYPEMLPIGPLLSSNRLGKLVGSYGPKDLDCLVWLDKQPVQSVIYVAFGSITVFDQTQFQELALGLERTNMPFLWVVRRNLTAETDNAYPKGFKERIQGRGRLSSWAPQQPVLSHPSVACFLGHCGWNSTVEGVSNGVPFLCWPYFADQFTNRSYICDDWKVGLGLEKDGNGIIAQGEVKNKIEQLVTVKGYKERALDLKAKVMNSLREDGCSGKNFNNFVKWIKDD >CDP11481 pep chromosome:AUK_PRJEB4211_v1:10:26609976:26613230:1 gene:GSCOC_T00033756001 transcript:CDP11481 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVQKGRSFSSTSESLSSPIRWISPLQSVKNTTEKLDSPVEASDTVVGVSRKSKFISHASTVDLIKRQKDPEHALEIFNRAAEQKGFSHNNSTYAVILHKLAQCKRFRAVDRVLHQMTYETCKFNEGIFINLMKHFSKSSMPDKVLHMFYAIEPIAREKPSLKAISTCLNLLVDENQIDLARTFLLDAQKNLHLEPNSCIFNILVKYHCKKGDIESAFEVVKQMRSAEISYPDLITYSTLMDGLCSCGRLEEAVQLFEEMVSKDQILPDALTYNVLINGFSRAGKADRAKKIMDFMKKNGCNPNVFNYSSLMNGFCKEGRLDDAKEVFNEMKAAELKPDTVGYTTLIDCLCRADRVDEAVELLKEMKGTESKADAVTYNIILGGLCRGNRFDEALDLIERLPYQGVSLNKASYRIVLNSLCKESELDRATELVGLMLVRGFLPHFATSNEFLVSLCEAGKAANAVVVLFGLVEMGFKPEPHTWALLVDVFCRERKLLPTFQLLDELVSKVGH >CDP18285 pep chromosome:AUK_PRJEB4211_v1:10:24850291:24852713:-1 gene:GSCOC_T00012014001 transcript:CDP18285 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKNSIGYSELDRIPTPVRFVHTVPGAGRLLPSSSRWNSIELDFNVLPQSSNAWDSIPPRYSRSISFNLTIGDKKHFYRFLVFAASITITFLILILLLSILPRRKHHHDPSKDLTLALNQALLFFDAQKSGHYPNDSAITFRGDSGLGDGNMATTQADLVGGFYDSGNNMKFSFPTAYTVTLLSWSVLEYHDKYADIKELDHVKDIIKWGSDYLLKLFIPKNTTNSAILYSQVGSPGNDPSGENSRENDISCWQRPEDMSYPRPVSVCDATALDLAGETVAGMSAAALVFKDQKDYSGKLVQAAEELFNLARNVDPSLKPGTYTSMDDCGGQAKNFYNSTSYRDEMVWGATWLFFATGNSSYLDYAHDNFATAEEEELPSDKEVFSWNSKLAANAILLTRLRFFRDLGYPYETTFASTTNRTDLLMCSYTSTLKFPKTEGGLILLKPETSGPLQHAATASFLTKLYGDYLSLLHRTRASCGAAVFSLETLRDFSWSQVNYILGDNPMKISYMVGYGDHYPTQVHHRGASIPWDKNRHTCRDGEYWLNRKEANPNILHGAMVAGPDINDIFEDKRSNNWFTEPSISGNAGLVAALIALHDPPSDSSHPNGVNSGIDKTGLFDNIKVVPSAS >CDP20127 pep chromosome:AUK_PRJEB4211_v1:10:27302930:27304607:1 gene:GSCOC_T00011267001 transcript:CDP20127 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLFFSHLLCAFLLLFTLLNLRYLTAEAAKCHPDDEAGLLAFKSGITADPSGMLQSWKPGTDCCSWNGITCLQGTRVTALDLSGQPQNSTQVLSGTISPSLSKLQFLDGVYFLNLRKLSGPFPNFLFSLPRLIFIYIENNRLSGPIPENVGSLAKLQAFSLQGNRFSGHIPTSISQLTQLSQLRLGGNLLTGTIPLGIQNLKNLTSLTLDGNQLTGTIPDIFASFPTLYNLNLANNKLTGNIPTSILSLAPNLRYLELGHNSLSGQIPDFLGKFRALDTLDLSWNQFSGLVPKTFSNLTKIFNLDLSHNYLVDPFPAMQVKGIESLDLSYNQFHLVNIPAWVTSSPIIYSLKLAKCGINLKLDDWNPAQTYFYDYIDLSENQITGSPFKLLNRTEYLKGFYASGNKLNFKLETLKLPKTLKDLDLSRNLISGKVPNAVAGLQKLNVSHNHLCGQLPKTRFPASAFSGNDCLCGSPLPACK >CDP07484 pep chromosome:AUK_PRJEB4211_v1:10:1935395:1935670:-1 gene:GSCOC_T00024758001 transcript:CDP07484 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISKIEGINSIVLDPSKSRVTVIGEADPVCIIRQVRKFRRTAQITSIGPPKEEKKDDKKDAIPSLPKTCQRCDVWYVISDDYDGHCNIL >CDP11431 pep chromosome:AUK_PRJEB4211_v1:10:26150594:26152989:1 gene:GSCOC_T00033683001 transcript:CDP11431 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIAPCLVALFLTISFISVSSSSSYGTSAPITEGLSASFYHSSCPQVTTIIRHHLKKIFEDDIGQAAGLLRLHFHDCFVQGCDASVLLDGSHSGPSEKDAPPNLSLRAEAFKIIDSLREHVHKECGRVVSCADIVALAARDSVFLSGGPDYEIPLGRKDGLNFATRNATLANLPPPTSNASALLASLATKNFDATDVVALSGGHTIGISHCTSFTPRLYPTQDPTMEETFANDLKQTCPESNSTSTTVLDIRTPNLFDNKYYVNLVNRQGLFTSDQDLYTDSRTSDIVTSFASDEKLFFEKFVLAMQKMGQLSVLTGGQGEIRANCSVRNSDNKSYVSYVVEVDDESKAEL >CDP07380 pep chromosome:AUK_PRJEB4211_v1:10:1105097:1110613:1 gene:GSCOC_T00024622001 transcript:CDP07380 gene_biotype:protein_coding transcript_biotype:protein_coding METHACCPESSSPIQICSSTVVKQDTPIEQISGSRLEYTSDAEQHQKIGSAPKKLDVDKDSGVSEDAANAVGGASESGLQGNTDTEIQDPCRKTSSLGDVSAVKSGGKSGINDCTAANDHRSTVVRGKCGTDECGTFLSRIEGVREIDRVEEHISDESEDHPAEFSESGRDPKVVPNRSDTDLDYDNFDAIEVARLVAIEVKREVDCRENSGSSSDRVPGGEIQQPDSPDSACGPQIHAQEDSAGEVPTAPDLAAEASSLREEERATSAGSLDAERINGAPEMECSQVTDVAQELPANPERGLCNFDLNQEVCSDDVDRPENPSSMPISIVSASRAAAAPGMPVAPLQFEGALGWKGSAATSAFRPASPRRIPEADKAHSTGGSNSSSKQRDGCLDIDLNADESLYEKPTDPLLQKQIPVSSGLPSGESSVEASPRRLERIELDLNRVSDDGVAPSDWRTEGLVLHQRNGYQSQSPSSSSSSRQPSLRNIDLNLSDQPSSMNDSSDHPFLSKLSQSFNAPGAKRSDDSVISIMGMRVEVNRKDPQSLPSLNGRRLEPALDANVGRTGGLLGPTVPYTHSPVYGYSGPTVAFTSAMYGPGGPIPYMLDSRGTPIPQVMGSAPALPPVFSHQPILLGMTGTTPALNGAGPPRNSFDLNSGLILEGGNRDTGSLRQYLSPGQPRLMDDPFNSTNSQASTSSGAGVKRKEPDSGWEIYPSIRHNPPPWK >CDP11922 pep chromosome:AUK_PRJEB4211_v1:10:24356600:24359425:-1 gene:GSCOC_T00035230001 transcript:CDP11922 gene_biotype:protein_coding transcript_biotype:protein_coding MVILKRPLTFQPFPKTENWDVIPGKFMRTILVLSKMKTSKMEEVAREEGEGGREGKVVVVGLIEKATNSTRPEVDPRLLKAIKSAVRYSDSELRLAAQTLTSLMKRDHSQVRYLALLIIDELFMRSKLFRTLLVENLDQLLTLSVGFRRNLPLPAPAAVASVLRSKAIEFLEKWNTSFGIHYRQLRLGYDYLKNTLRYQFPNLQANAARIQQERREKEIRTKEILLKKFESLKENLPSIRDDIQSTVDEISECLDIIQNKDDNVPLVPVDEEEIEEFHNAELRQIRLDSLREGEKLQENSENKVIFDALRELYKVLVTKHLAAVQEWTSVLIRVEGADSRFRDNTLKELIDIRNHLQSVKTKCEVSGCALPKSTTSEEEDIWEEGTLVSHANGESFIEEKKSELCVASTSSKFEGKASEGSKSNGVLSWITGAQTKMYWLIRGV >CDP11529 pep chromosome:AUK_PRJEB4211_v1:10:27089613:27091416:-1 gene:GSCOC_T00033825001 transcript:CDP11529 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKINSSSFIHYFRNLLFQKRFSPNDGTSLWSCSFATQSGTSTARQSFVADGSMTDKIFASYSVYKGKAALSTSPMLPTFRKLDSGGVKLDRKGSIMLTFWPAVGERKYDWEKKQASWPCFLRWRFIYVLF >CDP01301 pep chromosome:AUK_PRJEB4211_v1:10:3691046:3692506:1 gene:GSCOC_T00034899001 transcript:CDP01301 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDAAKLHALFLPYFAPSHMIPLVNVARLFAAQGVKVTILTTKYNAVLCQSSIAHATGLGHAISVHNLKFPSAELGIPEGIENFSAATTTEILWKVYMGVMHLQPAMEEFIEELSPHCIISDMFYPWTVDLAEKLKIPRILFYPNNFISHCLPHNLREYEPHKSVKSDSERFLIPGLPDRIEMKRSQLEDHMKTETPLAEVLRKVRASALRSFGSAFDTVYEFEPQYADYFGKVKGTKYWTIGPLFYFSNKEKTDNSADGKDGCLNWLDTQAPKRVLYVSFGSIAKFGDAQLHEIAIALEALNQPFIWVVRKRESNPDGQQESWLPDGFEERITKGNKGLIIRGWAPQLKILNHPAIGGFMTHCGWNSTMEAMTAGVPLISWPLFAEQFYNEKLLLEVLKVGVSVGADHYHASPIIEDPLVESKQIQAAINRLISSSEESQEIRDRAKEMAALAKRAVEEGGSSYQNLLDLIDALKSCAFSVNS >CDP00907 pep chromosome:AUK_PRJEB4211_v1:10:8026939:8027229:1 gene:GSCOC_T00034358001 transcript:CDP00907 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNGPRLSGMQKQVLALYRGFLRAAHSKSPEERHKIESFVSSEFRRNAKQIDRKNFQYIEYLLRLGKKQLEQLKNPDTIGLSSLTVNSSQTRRP >CDP11407 pep chromosome:AUK_PRJEB4211_v1:10:25837232:25841728:1 gene:GSCOC_T00033646001 transcript:CDP11407 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVKKYQQKFRKVKEEMGRWEELQSRLISQFTSASAIIQRLQLLQDSKKYGALQCVQGIQDAVLAKQLESLQTILLSMNKTLEDFRGVSSSLEKVVRDGRQLVKGGSAVATAKQLQQRVGVKPSLADCLEGLRLLSEMHHSEYLLKSSVISALAKVSLTPSASNDLNALQQLLVDQPNIPREEVHGIFDIIFAEEIS >CDP11485 pep chromosome:AUK_PRJEB4211_v1:10:26649644:26653256:-1 gene:GSCOC_T00033761001 transcript:CDP11485 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQVVTAAGEKAILQLERMNSDRDRVRVKRKTLEAVLGECQRALQLLSTAGCIDEEDDDDVDHDEPASHHYEIDDDGPAGGSSSPSPSRDKETSEWCDLIKSRVECTDFLEKLENAQASVPQNIAEEGTSWDMVNENDLWEGGNSELDQEDYVLVRQEDIVDGIACFMAAYLLSLKQTKELTPNQLQDALCKTFSVKKKKGKLRKAWDGSKVIYNVASWGATAIGIYQNPAILKAASAAFWTSCRVISKLF >CDP01254 pep chromosome:AUK_PRJEB4211_v1:10:4168835:4170322:-1 gene:GSCOC_T00034838001 transcript:CDP01254 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHLLSLQENEHEYYSDELIKGIIMVLLIAGTDTLSISLEWAMALLLNQPEAIKKIKAEIDAHVPEDRLLEEQDLPNLTYLQNVIKETLRLYPPVPLLIPHEASEDCTVAGYHVSKGTMLLVNLWAIHRDPKLWEDPTKFIPERHQERRDDGFTMLPFGAGRRGCPGAGIGTRVLGFVLGTLVQVFEWERTSEEMVDMTEGRGFSIPKVEPLEAICRPRRAILQQHSLVPP >CDP00917 pep chromosome:AUK_PRJEB4211_v1:10:7925952:7928255:-1 gene:GSCOC_T00034368001 transcript:CDP00917 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSAAASKQSSSGTPPATWLSSFFVEKGASFKQFGGSKRQKIIGNKIKDQELDRKKKSSDHGPHQLAVPEINKPIHEACEEYLSPSENHGSVTLEDPAVVKSSAIKNPFEKKQSTILKKRPAQLIVPAYCPASDFGQVGKILERKEFQTEGKGFAMASKKGRREIMEDGHGVMLDISGNPKQAFFVVIDGHGGRAAADFVAENLGRNIMNEIQLLGNDGNRIEAAVRKGYSVTDEQFLNRKVNGGACAASVLVKDGELHVANVGDCKVILSRKGVATALTKDHRLTREDERIRIEKSGGLLHCRNGVWRVNGTLAVSRAFGDLYLKDHVISEPDILQLPLTYDCDFLIVASDGLWDKVGDQEAVDVVSSKKDSLESCKELIAMSTSRGGVDDITVMVINLQKFVN >CDP01215 pep chromosome:AUK_PRJEB4211_v1:10:4443379:4445475:1 gene:GSCOC_T00034787001 transcript:CDP01215 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWDKMIVPIRKAWVNVAQHLGIRKTGLVKLRHDVRTCEYEDVHILWELLKKNDAPLQTSTAAGSTKRRFCRVVEWANIAPILLCHHGV >CDP12447 pep chromosome:AUK_PRJEB4211_v1:10:14184898:14187549:1 gene:GSCOC_T00036014001 transcript:CDP12447 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQVPAAAASAVPGVLPNMFSLPTGQLGALPVMPVHAMTQQATRHARRIYVGGLPPTANEQSIATFFSHVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVKRPTDYNPSLAATLGPSQPNPNLNLHAVGLTTGSAGGLDGPDRIFVGGVPYYFTEGQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVKRANQSTAQPKPEQESVLLQVQQHIALQKLMLQPGTAATKVLCLTQVVTADELRDDEDYADILEDMRLECGKFGTLLNLVIPRPNPNGDLTPGVGKVFLEYADVESAAKARQGLHGRKYDGNQAVAVFYPENRFSQGDYDG >CDP11369 pep chromosome:AUK_PRJEB4211_v1:10:25209931:25211756:1 gene:GSCOC_T00033590001 transcript:CDP11369 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSKAKAMGSGLTPLLATVLVVFVALGLPSQTAADDHYKYSSPPPPYHYPSPPPPVHIPPPHPVYKYKSPPPPPPHPVYKYKSPPPPPHPVPHPVYKYKSPPPPPHPVPHPVYKYKSPPPPPHPVPHPVYKYKSPPPPPHPVPHPFTTTSLHHLLPQFTNTSLHHHQFTTTSLHHLLPQFTNTSLHHHQFTTTSLHHLLPQFTNTSLHHLLTTTKQLLLRQRRRKPCLRPS >CDP00962 pep chromosome:AUK_PRJEB4211_v1:10:7270555:7277919:-1 gene:GSCOC_T00034432001 transcript:CDP00962 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPREQAMALLAAANNHGDLAVKISSLKQAKDILLAAEPFQAAELFPFLVELQSSPESLVRKTLVDVIEDIGLKALEHFSVLMPVLMTFLKDENAMVVKQSIITGTGIFCSVLEELSLQFLRRGTVEMWLEDLWTSMVKFKEAVLDILFEAAPLGIKILTIKFLEVQILLFTPDRNDSERSAGEGIGREGQGFNISWLAGHHPVLDTAMLTSDANRSLGILLDLLQSASNLPGLLTISVVNSNLSSFLTRRYWHVAWDC >CDP07535 pep chromosome:AUK_PRJEB4211_v1:10:2281766:2284454:1 gene:GSCOC_T00024824001 transcript:CDP07535 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFKAKYETDKAAAAATVAVNAGDIKLRASMTDATIVSGPNLNGLALAVEKPGFFIVDYNVPKKDFRFQFMNTIRVSEKPLNLTYMHSRGDNRTSLDGTLVFDSANKVSANHVLGSGNCKLKYTYVHGGLTTFEPSYDFSKNSWDFAISRRVYGDDVFRAAYQTSSKNLGLEWSRNSKLNGSFKISASLNLADERKMPKLTAESTWDFEM >CDP11003 pep chromosome:AUK_PRJEB4211_v1:10:22489802:22496113:1 gene:GSCOC_T00032011001 transcript:CDP11003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKAEKTQKDTQSPEFFKPVLNPIVVERKVAVSPDGRQGHVSDRQSGNISVRSAPGNHLLSDDEDIFSKMGASRISRKYHLGLSSSDAEVEYRRGRSLRQGKGDFAWRD >CDP01267 pep chromosome:AUK_PRJEB4211_v1:10:4020730:4026260:-1 gene:GSCOC_T00034855001 transcript:CDP01267 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKRKWKGEFVDFILLLLSLVLQVCFNSNSKLQVWAGSELEFLPGFDGKLPFHLETGYIGVDESEDVQLFYYFIKSQSNPEMDPLVLWMTGGPGCSSISGLVYEIGPFTFEAVEYSGSLPRLVLKPYSWTEVASIIFLDWPVGTGFSYARNPEALESTTLQASDQAYQFLRKFLIDHPEHLSNSFYIGGDSYSGLPVPIIAQLISDGNENGNQPLIDLKGYLLGNPGATPLDHNYRVQFAHGMGLIPDDLHESLIRNCKGMYITIDPENFLCMKDMQNFNQLVESIKFSNILENYCGLRAPKPQKSYAQRRDLDETSQELSGHDLLLAFKCREQAFVLAEIWANDQSVREALDIPKKHVGYWHRCNDNLLYTTTIHSSVPYHANLSTLGYRSLVYSGDHDMVLPHFGTQDWIRSLNYSIVDEWRPWFVEGQVAGYTRTYANRMTFATGAGHTAPEYKPVECRAMFQRWISYEPL >CDP07431 pep chromosome:AUK_PRJEB4211_v1:10:1504631:1511938:-1 gene:GSCOC_T00024696001 transcript:CDP07431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DECREASED SIZE EXCLUSION LIMIT 1 [Source:Projected from Arabidopsis thaliana (AT4G29860) UniProtKB/Swiss-Prot;Acc:Q3MV14] MSKRPPPDPVSVLRGHRASVMDVCFHPSKNILFSGAADGELRIWDSVQRRTISSALVHNAAHGIIGLAASPLIGDDKVVSQGRDGTVKCWDIGDGDLSRNPLVTIKTNSYHFCKLSLVKKFAFGPRQTGVPENCQDAAGGETTFQGNQMESIEDSEQVNGPKYVAVSGEQSSVVELWDLASAEKFLQLPHLSSGSPNTTTKARGMCMALQAFLSPESQGYLNVLTGYEDGSMAWWDVRNPGVPMNSVKFHKEPVLSLCIDGACSGGISGAADEKLVFFSLDYQSGSALVKKEITLERPGIAGTSIRPDGKIAAFAGWDHRVRVYNYRKGIALAILKYHHATCNAVSFSADSKLLASSSEDTTVAMWELYPPQTVT >CDP07253 pep chromosome:AUK_PRJEB4211_v1:10:221651:225360:-1 gene:GSCOC_T00024470001 transcript:CDP07253 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRRPPKPSGPPTNSLDHQQNKGSSSASARVLDRSPSPTPKASDALPLPLYLTNCIFFTLFFSVAYYLLHRWRDKIRNSTPLHVLTLSDLAAILSLIASFIYLLGFFGIDFVQSFIARPSNDHWDVDGPPPTTTTPLGISHPPCPKEEEADEELVNSVVSGDIPSYSLESTLGDCLRAASIRREAVQRITGRSLSGLPLDGFDYDSILGQCCEMPVGYVQIPVGIAGPLLLNGCEYSVPMATTEGCLVASTNRGCKAIYASGGATGILLRDGMTRAPVVRFPTAKRATDLKFFLEDPLNFDTLALVFNKSSRFARLQGIQCSIAGKNLYIRFSCSTGDAMGMNMVSKGVQNVLDFLRSDFCDMDVIGISGKCSVRNFCSDKKPAAVNWIEGRGKSVVCEATISEEVVNKVLKTTVPALVELNMLKNLAGSAVAGALGGFNAHAANIVSAVFIATGQDPAQNIESSHCITMMEAVNNGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGSNARLLATIVAGAVLAGELSLMSAIAAGQLVKSHMKYNRSSRDVTKIAS >CDP07563 pep chromosome:AUK_PRJEB4211_v1:10:2455669:2460444:1 gene:GSCOC_T00024857001 transcript:CDP07563 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPAPDSPADMVHGADDEVIMHLDRIRQGKPLPNNVIDDTNPFQFNPSNLPTGGIWYLVGSTEKKENKFGFWREKGTALDIRTGSAISGRRTTLEFIAGEQKTNWIMHEYKITETGKGKESRVMCRVLQSGGRSSNIDLRPNSRQAVSFEQGSRSPSLKHSGVDGNKLLSEADRLLEPRTREPPYMIDGDYLELDDLADPQSSSTSADNSSCSSFTLDEYFDSHALLQELEDKNNQGLRETGTSSKFSVTASVPPSEVVMLPATLGSLVCASGRQPSVGCTAPSSVLKTQNVGERIPDHTAKKPRTEKKEEDSAGSLCPAPPLGNAGKSTSKGKEKAASVNTKRLKKYMCFMPF >CDP01140 pep chromosome:AUK_PRJEB4211_v1:10:5177357:5180822:-1 gene:GSCOC_T00034671001 transcript:CDP01140 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMGLMRRIPPKHSETALSALLSLLPHHSSDLLSQVDQPLQVLCDVDCGKEFILCEYNRDADSYRSPWSNKYHPPLEDGPHPSPELRKLEIEANEVFAIYREQYYEGGISSVYLWEDENEGFVACFLIKKDGSKTAHGKRGYLQEGAWDAIHVIEVGPEEEGKAHYCLTSTVMLSLTTNDESSGTFNLSGSIRRQMNMDLSVAEGHLCNMGKMIEEMEGKLRNSLDQVYFGKTKEMVCTLRPPAELVQMRLPDS >CDP07597 pep chromosome:AUK_PRJEB4211_v1:10:2724463:2726961:-1 gene:GSCOC_T00024900001 transcript:CDP07597 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGRACRKWEEEIYWSHFQAAQFFQTLSGRNYRNQLAIPQKFANNLKEKLVGAVSLKGPSGLEWKAGLTMIDDTLYLKEGWKKFVVDHSLKENDVLIFKYVGASRFDVLMFDYQSLCEKESSYFIKRCENGGTDGGCKRKDNPTETIEVTNEASHDVSESTPSKRPRKHGALPPRSRGRQRGTCSSINRSVFSGPASHRRQVTEEDKDEALKMACASASENSFIVVMRPTHVYRGFYLQIPSEWATKYMPNRSQDLTLQVKDKTWKVRFYKRDYRTGGLAGGWKRFVHENFLEEFDVCLFNLVTGAATDDIVMDVSIFKVVEGVIPVTRLPPINLKGRKPMKI >CDP01368 pep chromosome:AUK_PRJEB4211_v1:10:3135872:3140008:-1 gene:GSCOC_T00034980001 transcript:CDP01368 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSSKFMNSSPFHGSNSISSNLLLSPPSLSFQLYPYNRSQLRRYAAVRTTSSEGRGSDSFGAELLRKPVVSPAVVSEGEDSVVEEDDKYRGGGEEVEPWVDWEDQILQDTVPLVNFVRMILHSGKYESGDRLSPEHERTILERVLPYHPQCEKKIGSGVDYITIGYHPDFDRSRCLFIVRKDGELVDFSYWKCIKGLIRKNYPLYADTFIIRHFKKPRRSD >CDP11985 pep chromosome:AUK_PRJEB4211_v1:10:23002245:23002367:-1 gene:GSCOC_T00035326001 transcript:CDP11985 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVGPSASEQEIRKAYYLKVKGFCFILFPL >CDP07365 pep chromosome:AUK_PRJEB4211_v1:10:1000586:1004212:1 gene:GSCOC_T00024606001 transcript:CDP07365 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSILLCFSLFLVSSQTTTEAVRAKQDGVYIVYMGAAPSSTRARRNDHDQLLNSMLKRKKDVVYSYNNGFSGFAARLSEEEARSIAQRPGVVSVFPDPLLQLHTTRSWDFLKYQTDVEINLRPTSGSNSSSNGEDTIIGIMDTGIWPESKSFNDKDIGPIPPKWKGSCMQGSGFTSSNCNRKLIGARYYDDSESSTSSGSPRDQNGHGTHVAATAAGSPVEGASYRGLAEGIAKGGSPGSRIAMYRVCTLNGCRGSAILKAFDDAIADGVDILSLSLGASAGSELEFSVDPIAIGAFHAVEKGILVVCSAGNDGPARETVVNVAPWILTVAATTIDRQFESDVVLGGNKVIKGGGINFANIQKSPIYPLIYGPSAKDSAASDDSGRTCIPGALDKDKVKGKIIVCENSDGEYSPKEKLQTVISQGGIGVVLIDDDATTVASIYGSSPLATVTKKDGSEIISYINSTRNPTATILPTVTVTKYTPAPSIAYFSARGPAFNTRNLLKPDIAAPGVDILAAWPSNVTEDATEDAETSPPFNILSGTSMACPHASGIAATVKSQYPSWSASAIRSAIMTTAIQTNNLKAQITTNSGEPATPYDSGAGEVSTTGPFQPGLVYETEITEYLQFLCNNGYDISKIKLISPDLPKTFSCPSNLSGDLISNMNYPSIAVSGLKVNEWKKVLRTATSIGEDESVYTATVDTPTGLEVQVTPNKLQFTKNDKKLSYEVAFKPSGAVNGDLFGSISWTNGKYRVRSPFVVSQ >CDP10919 pep chromosome:AUK_PRJEB4211_v1:10:20886121:20891487:1 gene:GSCOC_T00031880001 transcript:CDP10919 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIATATALSLPIPLSRSSKFNSKRSVRGGFRVFAVFGEEGALVDKKSPWYPLFDVQDPRSKIPQCKGKFLDVNQALEVARYDIQYCDWRARQDVLTIMLLHEKVVEVLNPLARDFKSIGTMKKELAELQEELAQAHRQVHISEARVATALDKLAYMETLVNDKLLQDTTRSEASRVDSSPSTSQPSLETVKSKLPRSSLNVSGPVQPYNPRLKNFWYPVVFSADLKDDTMVPVDCFEEPWVIFRGQDGKPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTNGKCEKMPSTRLVNVKIRALPCFEHEGMIWIWPGNDPPTATLPSLQPPSGFQIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISEPGKLEGRSTDQCTTHLHQLHVCLPASRQKTRLLYRMSLDFAPVLKHIPFMQYLWRHFAEKVLNEDLRIVVGQQDRMINGANVWNLPVSYDKLGVRYRMWRDAVDRGVKQLPFSKSV >CDP18863 pep chromosome:AUK_PRJEB4211_v1:10:27453673:27456337:1 gene:GSCOC_T00013405001 transcript:CDP18863 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGLDFPQGASGRFTNGRTFVDILAQLLGFPNYIPAYARVRGRALLQGANYASGASGIRDETGNNLGDHTSMNQQVAKFATTVEQLRRYFRGDSMALGNYLSKCLIYSGLGSNDYLNNYFMTDFYSTRTAYTPITYAASLLQDYTRQLTGLYNLGARKVIVSAVGQIGCIPYELARYNGNGSRCNEEINNAIILFNSGLRKLVDRFNNGELQGAKFVYLDSFQSSQDLVLNAKTYGFEVVDKGCCGVGRNNGQITCLPLQLPCDDRRKYLFWDAFHPTEVANILLAKKAYSSKSKSYAYPINIQQLTML >CDP07393 pep chromosome:AUK_PRJEB4211_v1:10:1195464:1197346:1 gene:GSCOC_T00024646001 transcript:CDP07393 gene_biotype:protein_coding transcript_biotype:protein_coding MPASKNYLVKCLTSVVIYPVGRKYSTTPSAPRLKLVSSPELKSWFCVEESRLPAWLDGMCMAEYLPTLEEMLENQIREAVALVEVRRKFITALAPHFGRPIEADPVFCRKVSFLASSGTFAFLVHISIPLQFPKQQPVLVLQSSQHFHSHNVPIKSPIMNDYPWSPRWETSEMAERIFDFLVEECLNFKRYCNETMLQQR >CDP07564 pep chromosome:AUK_PRJEB4211_v1:10:2464938:2468480:1 gene:GSCOC_T00024858001 transcript:CDP07564 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVESSVNGFSHLQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDIEFENMQWNGSDMASDDTQKSHRSRPRMHKSSGSSHKTMSRSLSCDSHSKESISTPRGSTIDLSKLEMAALWRYWRHFNLVDAIPNPSKEQLIDVVQRHFVSQQLDELQVIAGFVQAAKRLKTVCK >CDP00910 pep chromosome:AUK_PRJEB4211_v1:10:7999385:8004862:1 gene:GSCOC_T00034361001 transcript:CDP00910 gene_biotype:protein_coding transcript_biotype:protein_coding MPTISRSPQLPPPPPTPLCLTLSSPLQQRPSFNFSLSNPSSSSSFSSSSSLFHFKFRPKKSHFLKPCSSLRETKKQQEQQMLVPKTAPPPQGLRRLLNLSPKEDNTDDGADDGAVESGGDAAVKGSILAGLLLVGVVGGFGTVGYLYKDQINAFLNQFSGFIDGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSLIGTIIVSISGTVAASVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGTWAYVTAGAFGRAIIQDESDAGLLGGNGQLLTLGLGLLFTALAATYVTRLAKDAVKDIE >CDP10966 pep chromosome:AUK_PRJEB4211_v1:10:21990986:21993735:-1 gene:GSCOC_T00031957001 transcript:CDP10966 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLQTSQPWVEKYRPKQVKDVAHQDEVVRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSGRQGGYPCPPYKIIILDEADSMTEDAQASMLAFVDYL >CDP11989 pep chromosome:AUK_PRJEB4211_v1:10:22967971:22968960:1 gene:GSCOC_T00035330001 transcript:CDP11989 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEEMQFLGLFGIYAEAYKVVFRWRKIFSKIALSLILPLSLIFLAHIELSHLLKIKVIHTENQLHRAQSEPQKSKKLTDLNWYNITYLTFLLIFSLLSTAAVVYTIACIYTGREITFKKVMSVVPKVWQRLVGTFLCASLAFFAYNLIALLVLMILTMTLGETLIGAVLLILLLIVYILVFVYMTIIWQLACVVSVLEDSYGIKAMMKSQELIKGKMPISIVIFFKLNLSLAAIQLLFYAHVVHGGWRFGVLHRLGLGMLCLLLLFKLILFGLVIQTIVYFVCKSYHHENIEKSALSDHLEAYLGEHVPLKSKDVQLEQQNMMLDG >CDP11413 pep chromosome:AUK_PRJEB4211_v1:10:25905726:25907273:1 gene:GSCOC_T00033656001 transcript:CDP11413 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHFFHHHKKDEDQDRPGYGGDAPYDSTTGGGYNHILVIRLTLDTTSTLVTPPLVVATTSTPPAVMPDMETSTLVIRPPRVPPMVVVLAMVVAMVVMNLKSLIMRKKRSTTKILSTLVKLVLLLLVPMPCMRSMRQRKTPSMLTGTR >CDP11915 pep chromosome:AUK_PRJEB4211_v1:10:24441734:24446008:-1 gene:GSCOC_T00035222001 transcript:CDP11915 gene_biotype:protein_coding transcript_biotype:protein_coding MANKDLSTSLSRKIESGNRRKLPFLDWITRLKIATEVAEGLSYLHECVPPLVHSDIQASSILLDDKFDVKLGSLYEVCAEEKDMRHQSFGTKKLVGMFLSQSGTSNDSYASCTYDVYSFGKVLLELVTGKLGLSATDDSALNGWMENVLSYILPHDVEVVVNIIDSSLLRAKHLLAQAWAVSFIAKACLSPESSERPRMAQIHLALEHIESASFTNKNLNFTGNHDSVRSAAMEIAKILWGCKIVGRTAQATASGTTLGGGTASRNHRISEAGDFEETYPNGGIFSQPNLTIFYYAELKAAIRHFGSDIGMRELEFGRVYQAWLQDKSTLMHGKGSIVAVRNLSSETKQLLKSRISSLGRLSHPNLVKFLGYCEDKELCVVHEFMQSGSLDNQLFGAGSEVQPLSWDTRLNILIGAARGLAFLHATQEQGFYEYFGTSDILLDGAYNTKISGFGTAKITPLSYLYDVHPNFFRNGRYVDAPPENVFPGANAGLMNVKSDVYGFGVVLVAMLTGLSTKGRQRLSWGEINPVLCFMDLKRDRLEKIMDPKLKGQYSFKVAQKLGSLASICLQYDPEFRPSMKVVVEVLEHVAAAKVETQKPWINQKAHQQPIIANCCFLS >CDP01189 pep chromosome:AUK_PRJEB4211_v1:10:4747245:4751734:1 gene:GSCOC_T00034748001 transcript:CDP01189 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEQQLEVVNNGRTERTGWLLNSPDPPGFFHEIFSSTRRTILPHGTRNHSSLPKKSSASKAFSFLQGLFPILEWGRNYRATKFKRDLMAGLTLASLCIPQSIGYANLAKLDPQYGLYTSVVPPFIYAFMGSSREIAIGPVAVVSLLLSSLIQKVVDPAVDPVGYRRLVFTATFFTGTFQAVFGLFRLGFLVDFLSHATVVGFMGGAAIVIGLQQLKGLLGISHFTTKTDVISVLKAWYPLNFVLGCSFLIFILITRFIGRRNRKLFWLPAIAPLVSVILSTLFVYLTKADKHGINIVKHFKGGLNPSSVHELQFNSTHVAEAAKIGIICAVIALTEAIAVGRSFASVKGYHLDGNKEMVAMGFMNIIGSLTSCYVATGSFSRTAVNFTAGCETVVSNIVMATTVLVSLQLFTRLLYYTPLAILASIILSALPGLIDINEAYNIWKVDKLDFIVCVGAFLGVLFHSVEIGLLVAVVISFVKVIVGSIRPSTEVLGRVPGTSTFSNVIQYPMATKVPGLLIIRINSGSLCFANANSIRERILLRILRLLGDENEENGKDNIRVLVLDISNVMGIDTSAIIALEELHRELVSRGIELAFASPKWQVMSKLKLAKFVDKVGRGRFFLNVEDAIDVFFDPNMSASALHNC >CDP07427 pep chromosome:AUK_PRJEB4211_v1:10:1476860:1480623:-1 gene:GSCOC_T00024690001 transcript:CDP07427 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLNCKQPMNQNYGAGSSHSRSTSQPAFFANHSLPPLSPLPHSESSLASNSSIKDVSMDEVDVSSRVPPVVGNFEGLPPRKGHRRSSSDVPLGFNVMIQTSPQLVPISGQGISGKTAFGSDKVGMDKAIHLQKQEMDAISEDSLNPSRTDEKDKRSMVSGMKKCGGENSNTEAQSISKANSTKFDGTGSAEGNKRSAIGDIAPAARHFRSLSVDSAFGSLHFNEVSPKLPSAAGIHVSQLSPSNLASENSAKFSLDFGNAEFSEAEVKKIMTDERLAEIALSDPKRAKRVLANRQSAARSKERKLRYISELEHKVLTLQTEATTLSAQLTVLQKDFSELTNQNNELKFRLQAMEQQARLRDALHEALTAEVQRLKLAAAELGEDDGSSTSMIQQLPMKHHVLQLQHHQSSQIQQLSVATSKTATTTSATPTSA >CDP11383 pep chromosome:AUK_PRJEB4211_v1:10:25487186:25488855:-1 gene:GSCOC_T00033612001 transcript:CDP11383 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEFHHLLLLLWFLIPLLYLFLRKLPPGRSGWPLAGETLDFFSKANQGCIHKFVADRRKKYSSNIFRTSLIGFPVAIFCDVEGNKFLFSNENKLFKHWLPSAFDKLFPKSNNKLNTEHSKSLRKLLAFVLKGDVLREYVGEMDEVMKHHLQTDWNSFRRAIKASKLMREEFEEMIRQRKIDRLDSSSGKDFISHALQATDDNGQLFNEADIASHLLGALQAAYGTLHHTITNIMMYLTEHPDVYNLVLREQKAIARLKKSKDRLSWEDLRKMKYSWNVACEALRLKSPALGGFKEAITDVDYGGYAIPRGWMMHWNAHATHMNPEYFPNPEKFDPSRFQGDGPSSYTFVPFGGGAHMCPGYEYARLAICIFLHNVVTKFRWEKLIPNEKILHYPIPRPAQGLPVLLYPL >CDP07517 pep chromosome:AUK_PRJEB4211_v1:10:2170472:2176295:1 gene:GSCOC_T00024796001 transcript:CDP07517 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKFGRLAKRSYKSYGFCVKLTAVVILGLCFVFVWSVFSPSKFSVTSQRETFDDFAEPVSANGRVTDSGVHFNKNELKNGKESSSEKKGQILEEKDKKRVKGSPPLKSGNMHKDQKNVPKGKKQGDKSTRHTKQAGEQKIPEKERSESDDLQEEKQDEDVNGSEEDTENGEANFNEGEGVQGDTDLANALDLDEEGVEKVEDDSGDSTNSKKNKKKKLGPLFDPKAHYSWKLCSTRSKHNYIPCIDIESSSGKLQSYRHHERSCPKTSVLCLVPLPLDGYGTPVKWPESKVKLQILYKNVAHPKLAAFVKSQNWVVEAGEYLALPENQSALKGGIQHYLESIEEMVPDIEWGNNIRVVLDVGCTDSSFVASLLEKNVLALTLGLKDDLVDLAQLALERGFPAVVSPLATRRLPFPSGVFDALHCSECSISWHSNGGRLLLEMNRILRPGGYFILSSKHDSIEIEEAMSKLTASICWNILADKSDEVSDIDVKIYQKPESNDIYKLRRKKVPPLCKENENPDAAWYASIKNCLHSIPSSVEERGTEWPEGWPKRLETFPDWINNREKLIAESEHWKAIVNNSYLTGMGIDWSSIRNVMDMKAINGGFAAALSQQKVWVMNVVPVHAPDTLPIIYERGLIGIYHDWCESFGSYPRSYDLLHADHLFSRLKNRCKHPIVIVVEMDRIVRPGGWAIIRDKVEILDPLEGILRSLHWEIRLTFGKDREGILCAQKTGWRP >CDP10666 pep chromosome:AUK_PRJEB4211_v1:10:10858160:10858622:1 gene:GSCOC_T00031451001 transcript:CDP10666 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSGANSVRQKMKPYHFLCFLAVAAILVSSGKITIGEKHGIRERCMEVMEVNGCNLSSCKQQCWEVKNGNGVCLAKVREGYQCVCFFDC >CDP11986 pep chromosome:AUK_PRJEB4211_v1:10:22994320:23001271:-1 gene:GSCOC_T00035327001 transcript:CDP11986 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVDNYKNEENDLSVEDQNHHGRKQQHSTASASSPPAAAGGGELPPAEQPQPAPAVRQFPNVTIPARDPNTVCSGGGISFLSGNRSLKFSYGYSSFKGKRASMEDFYETRISEVDGQMVAFFGVFDGHGGSRTAEYLKNNLFKNLSRHPDFIRDTKSAIVEAFRQTDADYLNEEKGQQKDAGSTASTAILLGDRLLVANVGDSRVVACRAGSAIALSDDHKPDRSDERERIEQAGGFVIWTGTWRVGGVLAVSRAFGDKLLKPYVVAEPEIQEQQIDGVDFIIIASDGLWNVLSNKDAVAIVQDTTDAEAASRKLTEEAYARGSSDNITCIVVRFERS >CDP07402 pep chromosome:AUK_PRJEB4211_v1:10:1241575:1245616:-1 gene:GSCOC_T00024658001 transcript:CDP07402 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVRSPGVRSIYGSARSAKALGSFSRPRANMAVQSNVISVAPILTKLKEDCATPLPVLRHLAAAMASDMRAGLAVDGGSDLPMILSYVDALPTGNEEGLFYALDLGGTNFRVLRVQLGGKEERVITTEFEQVSIPPELMFGTSEELFDFIASVLAKFAEKEGGEFNLPDCQTREIGFTFSFPVKQTSVDSGILIKWTKGFAVSGTAGKDVVACLNEAMQRQGLNLRVSALVNDTVGTLAGARYWDDDVMVAVILGTGTNACYLERKDAIPKLQSHNSTSGGMIINTEWGAFSNDLPLTEFDREMDADSINPGEQIFEKTISGMYLGEIVRRVLLKMARASELFGDSISEKLLTPFMLRTPDICAMQQDTSEDLEVVGTILLDVVGVSSNASGRQIVVEVCDTIAKRGGRLAGAGIVGILEKMEEDSEGLIFGKRTVVAMDGGLYEHYPQYRAHLQEAVQELLGPQTSTNIVIEHTKDGSGIGAALLAATNSKYGHNF >CDP07355 pep chromosome:AUK_PRJEB4211_v1:10:949947:951048:1 gene:GSCOC_T00024595001 transcript:CDP07355 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGCCATLALAFVLACLFANNANAFTASGWMSAHATFYGGSDASGTMGGACGYGNLYSAGYGTRTAALSTALFNDGASCGQCYKIICDYNADPRWCRKGVSITVTATNFCPPNYDLPSDNGGWCNPPRPHFDMAQPAWEKIGIYRGGIVPVLYQRVPCNKHGGVRFTINGRDYFELVLISNVGGPGSVASVQIKGTRTSWMAMSRNWGANWQSNVYLNGQALSFRVTTSDGQTKVFPNIVPSNWNFGQTFSSPLQFY >CDP11961 pep chromosome:AUK_PRJEB4211_v1:10:23454894:23457633:-1 gene:GSCOC_T00035291001 transcript:CDP11961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MQSMAYSHLAGSAFSSHSPRRDYCCSSYISNCKIKNPSPAVLVSSFSDRRPPYNTLVFHVLAVRLLGPPARFEASKLKVVFSRKEADTYDRIVPRTYTLSHCDFTANLTLTISNIINLDQLRGWYSKDDVVAEWTEVKGNLFLDVHCYVSGPNFLQELAAEFRYHIFSKELPLVLEAVLYGDSALFKENQELMDAIVRVYFHSSSKKYNRLEFWGPLREAIQGEREDQKHGFLPRGNKESRRPNLWGSPKSIFQALFAFLL >CDP07443 pep chromosome:AUK_PRJEB4211_v1:10:1583787:1587635:1 gene:GSCOC_T00024710001 transcript:CDP07443 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQATKLREQVAKQQQAVLKQFAGGLGGSETAVNDDTELQQHQKLEKLYISTRAGKHFQRDIIRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTCGSTLSRAALSYSRARALIEKEHGHLLKALGTQVAEPLRAMVVGAPLEDARQLAQRYDRVRQEVEAQAIEVSRRQARVRESNGHPDYVAKLEAAETKLEELKSNMAKLGKEAAAAMAAVEAQQQRLTLQRLITMVEAERYYHQRILQILDQLEAEMLSERQRNEDPPRPAAENAMQPPPSYGELNGMFASQSNDGSMDALGYFLGEVVHPYQAESDVELSLSVGDYVVVRKISNNGWAEGECKGKAGWFPFGYVERRERVLASKVAEVF >CDP01056 pep chromosome:AUK_PRJEB4211_v1:10:6103726:6109231:-1 gene:GSCOC_T00034553001 transcript:CDP01056 gene_biotype:protein_coding transcript_biotype:protein_coding MFELFPIFQLPIIFIFLLFVGVKRLPKVLNLHDYLMKHYILWLFRHLMATANWRALLAGAIAGPSILLTGYKTQHTSLAIYILMRAAVLASRCGIKSKRFGRICKPLTWAHGDIFLMCLSSSQILSAYILKQESLPSSYKSFLNKHGGKDAVILQGVRDIACGLPFSNLDAIEKHYKSTGIDITLDPKMKVPCSIVHGKQACEAHFVSFLLQAYKRALPVYLPVYLFPALIVHRQGLLKRPFSILARGLLGTFRSSLFLSTYCASAWMWTCLLFRLLKRCNVPMVAMGTFPTGMALAIEKKSRRIEIALYCMARAIESFFTCMADVGYLPQSKKLKRADVVVFSISTAIIMHCYAVERDVFRSKYLNVLDWVFGVPLPPYETTPRKKR >CDP10675 pep chromosome:AUK_PRJEB4211_v1:10:10706824:10711196:1 gene:GSCOC_T00031463001 transcript:CDP10675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor PHL7 [Source:Projected from Arabidopsis thaliana (AT2G01060) UniProtKB/Swiss-Prot;Acc:Q9SJW0] MDFMNGGNNNAANLASKQRLRWTNELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSVDGKKLDKKDAKDMLAGLDGSSGMEITEALKLQMEVQKRLHEQLEVQKQLQLRIEAQGKYLKKIIEEQQRLNGVLPEGPSAGVTDNCADVEMRTDPGTPPPTSEPPVIDKPTKDHPSTKSLSMDESFSPQEPQTPESGCHMNLFENIDADRSSKKQRVGLNAAFSKPDMMFTQQLSGLHSVFPTRD >CDP01059 pep chromosome:AUK_PRJEB4211_v1:10:6089368:6090655:-1 gene:GSCOC_T00034557001 transcript:CDP01059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB93 [Source:Projected from Arabidopsis thaliana (AT1G34670) UniProtKB/Swiss-Prot;Acc:Q9S9Z2] MGRSPCCDENGLKKGPWTPEEDEKLIDYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGYDPMTHQPRTDLFSSLPNLIALANILEHHQLEEHAARLQAEAVQLAKIQYLQCLLQTSSSMTTSSNSHFNPGDLGTVNSISSIPQIREIPSLNLSDFENQPPSVSIENIANSQLLHQPITSNAPNIPDPQVPFHFQTHLNNDEVVRIPNFTRVSQGDNTPNSSWILPSPDSSSTLPPLTETSISNIGDASSTSSNVEGSSSYWSELLFDDPFLHDIS >CDP01373 pep chromosome:AUK_PRJEB4211_v1:10:3100161:3101327:1 gene:GSCOC_T00034986001 transcript:CDP01373 gene_biotype:protein_coding transcript_biotype:protein_coding MENDQSNIDDKGTTFVVDASTSDSPTPLMTCPDGQEISPPTPTPPFLDSAPGTSGTKNVTFPSLKYHQKPRKKRTKLVNIAEHGVKSGGNISKPKCARKPDPNAPKITRPCTECGKKFWSWKALFGHMRCHPEREWRGINPPPNFSRHHHNDALTSGTKENSYWMSEEDHEVAVYLLMLANGRTSESDNAAALMGCGDVDENTAAAGPSSGLLNCTRFECSSCKKVFGSHQALGGHRASHKNVKGCFAITKNDGEEEDRTGEGEVVKDGTVEEDKMLMVFGHKCTICLRVFSSGQALGGHKRCHWEKGDEPSGFTQDLNPFSSGKEGSSGLDLNLPAPAEDDDSSSSYYSGLALDLRLGLKP >CDP10908 pep chromosome:AUK_PRJEB4211_v1:10:20685980:20687218:-1 gene:GSCOC_T00031865001 transcript:CDP10908 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSHCRSSHNKKKDYKMVQVNDEDNMLLNLPSHIIIDILSRLSTKTLSRCRCVCKVWQKLLSEPEFSSFRILRPPTTSLMIHKNSDSSFNLLEFEDEPNYHDFYCVPGTKFEQPPKGLRQNCMSIFGSIEGLISFHEFGFQNPDTVYIWNPATQESITIQSAGGVMEFPNVTSYGFGLSSKTGEYKVVRIYQEVLEENLLHVTRSDCHVYTLGSQGCWRYTGHAPFLYSCRANGVFLNGNLHWLIRDPDAKEFISRFDLDKESFQPFPAPPELDELNLASLELYQDCLGVCDNTSDCDIVIWVMKEYGVKKSWFKQFVINKHPIDLVGQYYEVVRILKVFMDGEILLMWRDDLLISFNSKTNSLQRIDMNRFICAPNESDEERFPCIEAVNYASSFLSLRRFEVEMVENTF >CDP01002 pep chromosome:AUK_PRJEB4211_v1:10:6762541:6764874:-1 gene:GSCOC_T00034484001 transcript:CDP01002 gene_biotype:protein_coding transcript_biotype:protein_coding MELKCSDISSWKAALSSYKSSIESLNKPNLISLDDFYRNELPVLVKQRNPTPYITTDELSKLMQWKLSRGKWRPRLLDFVSSLNDDVVKSASRKAFESLPDVSKAVKELTVLKGVGPATASAILAAYAPHITPFMSDEAMEAAIGNTKDYTLKSYLVFVEKLQAKAMELSSEEDLFTPSDVERALWSSSVAAKSRALSSKPAEVDLDKNSKRKRKR >CDP00943 pep chromosome:AUK_PRJEB4211_v1:10:7637196:7642551:1 gene:GSCOC_T00034401001 transcript:CDP00943 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSRIQLSVQDEHVVMDNGILQVTISKPDGIVTGICYNGIDNLLEVLNEEENRGYGKFLLNHPLLPQLKGKKIPFLIKATTFKVILEAKEQVELSFSRTWNTSLEGKLAPLNIDKRFVMLRGSSGFYSYAIYEHLKEWPGFNLDETRIAFKLRKDKFHYMAIADNRQRYMPLPDDRLPGRGQELAYPEAVLLVNPVEPEFKGEVDDKYLYSCDNKDLKVHGWICMDPLVGFWQITPSNEFRSGGPLKQNLSSHVGPTNLAVFLSAHYAGEDLVPKFQRGEPWKKVFGPVFLYLNNILEGEDPCLLWEDAKKQMMIEVNCWPYSFPSSEDFQKSTQRGNVSGRLLVQDRFASNDPLPASCAYVGLAPRGDVGSWQRECKKQWFIVIYTELSSSYKHCLLISGDYNLYAWVPGFIGDYKSDVPITITSGCKIEMGDLTYRPPRDGPTIWEIGIPNRSAREFYVPDPNPNYINKLYTIHLDRFRQYGLWERYAELYPQNDLVYTIGQSDYRKDWFFAQFNR >CDP00903 pep chromosome:AUK_PRJEB4211_v1:10:8128485:8135323:1 gene:GSCOC_T00034348001 transcript:CDP00903 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEVMVAAAGSGVQLSDHEKKRKLEDLETDVPEPLSGVDSHAKVDADKDGNAEEDDELADLKRQRVEDNAENNDQPAGLGPENGYDKPEQSEGGDADEQPTSVEDNAKLEDGDGQELALEASATVEELAHGDEQNGNGDQPSVENERPAAGNEEESKKEGEEPSAEGDVSIVQQQSSSDAETSKKIEVPNNKVGVLIGKAGDTIRFLQYNSGAKIQIMRDADADPRAATRPVELIGTLDSINKAEKMINDVIAEADAGGSPSLVARGFSTVQAVVGDQVEIQVPNEKVGLIIGKGGETIKNLQTKSGARIQLVPQHLPAGDQSKERTVRVTGVMKQIEMAREMIKEVMNQTVRPSPLSGGYSQQAIRPRGPVNPQWGNRGPYPGQFMGYDYQQRGPYPSQNPQYPAQPYGNYPPQGPRSSFGPSWEQRAPAAMHGQPPQANYNYGQPQGPEYGQPAPYSQTPAPGYGQGYSEVKYDSQLPAHHSYGGHGVPQSTGYPQGGGTHPGYGPQDQYGRSASYGMPPQAPHGQTYGQPRPNQPGEMPYPAPVSTQAYGSNVPPQQSYGYASSGPVQQSYPPYGSGHAADGYNHPAPAAAAGPGYAQPSAQPVSGYGQPGGQQPSAYATGGYGSYPAQPGYTEQPAAATAGYGYQGASDAAYPASGAYGAPSAVQPGYGQPPPTQPGYDQSNPQTSGAYGTTPAPAGYAKSLSPQPGYPQYDSSQMYAAPR >CDP12001 pep chromosome:AUK_PRJEB4211_v1:10:22732644:22733314:-1 gene:GSCOC_T00035349001 transcript:CDP12001 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLEAKSFCPRKMEEMAYGLELSNANFIWVVRFPVGHATALEEALPERFLERVKEIGVVVDG >CDP01182 pep chromosome:AUK_PRJEB4211_v1:10:4875944:4876510:-1 gene:GSCOC_T00034734001 transcript:CDP01182 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSGLDLSEVYVMRKLHKEKMKGREDEAEEAEAHCSCWSRKKVSHHSSTGCFSMLPFKKVRPSAKNPSTHSSQQSSGTKKS >CDP22017 pep chromosome:AUK_PRJEB4211_v1:10:19067181:19068409:1 gene:GSCOC_T00008276001 transcript:CDP22017 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNRLILPMLLHLVIALMLSSASTLTSPTFSIARPGCQDHCGNVSIPFPFGITEGCYLNEQFFINCTNSSTSVPQTVLQNAVDVTEISLEGQVHLMQDIASDCYNKNGSLLNKKSPWIELSDRFTFSSTANKFIAVGCDALAIVKGFGQNRSYATGCIPSCDYKEDVIDGSCSGIGCCRTDTPPGAWNINVSLTSLNNHTKVWDFNPCSYAFVVEEKAFNFSASNLTNLSDDLSLPVVVDWTIEEGSCEVAQRNTTSYACSGKNSHCYEPFKGLGYRCSCDQGYEGNPYLPDGCQDINECQDPTLHNCTKNSVCHNTLGNYTCPCLKGYHGDGRGGDGCSPDQINWSMIVSG >CDP01036 pep chromosome:AUK_PRJEB4211_v1:10:6278343:6279961:-1 gene:GSCOC_T00034527001 transcript:CDP01036 gene_biotype:protein_coding transcript_biotype:protein_coding MEINVKHPAYGFDQSDGFDSIPDPIVLHIFSKISDIKTLIRCRSVSKRFNSLVPQADSLLLRVDRVISTTDSDDDDGDSFFIAFLRSIVKSLHNFISPNKHTHFGSPTRTQNSPTQILRGFENIRSLQIELPSGDLRLEIGTTIKWKAHFGKTLKSCVILGFRGGSEADFSGGGLKMRVVWTISALIAASARHYMMLDVIREQTDLSNLVVKDKDNEGMVVMDKGGLKECRERGGEEEEMNNNNNNSNNNRRAGVWWKSNRTTVPAVRMRMRHEARMELSNGVKLEGATLVVVRPINASGCGGRGGGSEAEEQSGDVGLAMGAFGGDGVYGEAIERLLKCRSYILEMNSF >CDP07398 pep chromosome:AUK_PRJEB4211_v1:10:1220945:1224181:-1 gene:GSCOC_T00024652001 transcript:CDP07398 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKGSNDSWDREKCNKIFNAMVHLLQNQQTQIQYLAKDRKLLEDIVKLQHERWTSDVNLLKEHIFQMRRDLTMQEKERIVEATKADMVMGLKLRESFLYKQKFENADSELADFREWFEQLARKCSEKDTSADVIKKGEEHRYKALESNLKRLQCENEKLMLDKNSEISALLAEKNFVWNQYKLMETNLNEQLRQKRADVESANEKIWGLLSSMEDMQSSNSVKDRMIARLNDDIARLRSDLVKNDEEVSRLSRKLEALRRLRKDSMTPVLGRCTTESGNTNSKGKSGMTVTTKKELDSSLTLEKECKSSKRKAVDTIQVSNTPKLFTSSFKVPKLKNSSPAVH >CDP10946 pep chromosome:AUK_PRJEB4211_v1:10:21587697:21596948:1 gene:GSCOC_T00031923001 transcript:CDP10946 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFWLSCFGLLMVVWTTTRGGVEGGQVSYDGRSLIIEGQRKLLFSGSIHYPRSTPDMWPSLISKAKHGGLDVIETYVFWNLHEPRHGQYDFKGRHNIVRFIREIQAHGLYAFIRIGPFIEAEWTYGGLPFWLHDVPGIVYRSDNEPFKYHMQNFTTKIVNLFKSEGLYAPQGGPIILQQIENEYKNAERAFHEKGPPYVQWAAAMAVGLQTGVPWVMCKQDDAPDPVINTCNGRTCGETFVGPNSPNKPAIWTENWTSFYQVYGDKTPLRSAEDLAYNVALFIARKNGSFVNYYMYHGGTNFGRTGSAFVITSYYDEAPIDEYGLIRQPKWGHLKQLHSVIKSCSQTLLHGVISVSPLGQQQEAYVFRRDSGECAAFLVNYDRRTEVVVLFQNIHYKLPATSISILPDCATEAFNTAKARVTTQSYKRSTLPTVKFNSVDRWSEFREAIPVFGETSISSNSLLEHMNTTKDKSDYLWYMTSVNSSKAQSLLTVDSRGHALHAFVNGEHAGSAHGDHKNPAFALERTINLHHRRNNISLLSLTVGLPNSGAYLERRSLGLDGARLKNSQATNDLTNSAWGYQVGLLGERLRLHTEKGSSAALWSKLSASPKQLTWYKTYFDAPEGDDPLAINLGSMGKGEAWINGHSIGRYWVSFLKADGSPSQTWYHIPKSFLKPKGNRLVLFEEESKDPLNISIDVIYNQRRS >CDP10993 pep chromosome:AUK_PRJEB4211_v1:10:22364331:22365985:1 gene:GSCOC_T00031991001 transcript:CDP10993 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLSGEERAKIMAQIANGCEEWGFFQLVNHGISEELLEKVKRVASECYKLEREEGFRNSAKVKMLNELVEKKSSDRLENVDWEDVFLLSDDNENEWPSKTAQFKETMKEYRTELKKLAEKLMEIMAENLGLPKGYIKKAFRGGEEENAFFGTKVSHYPPCPNPEKVIGLRAHTDAGGVILLFQDDKVGGLQILKDGEWIDVQPLPNSIVINTGDQIEVLSNGRYKSVWHRVLAMPDGNRRSIASFYNPSLKATIYPAAELVEKAVDKEVNQESAYPKFVFGDYMSVYVQQKFLPKQPRFQAVKAL >CDP01130 pep chromosome:AUK_PRJEB4211_v1:10:5300326:5306297:1 gene:GSCOC_T00034653001 transcript:CDP01130 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEELSISVPTEIQCIGIPAVLAGKSVVLGSHTGSGKTLAYMLPLVQLLRRDEALNGILMRPRRPRAVVLCPTRELCEQVYRVAKSISHHARFRSTMVSGGGRLRPQEDSLNTPIDLIVGTPGRVLQHIEEGNMVYGDIRYLVLDEADTMFDRGFGPDIRKFLGPLKNRASKHDGLGFQTVLVSATMTKAVQKLVDEEFQGIVHLRTSTLHKKIASARHDFIKLSGSENKLEALLQVLEPSLSKGNRVMVFCNTLNSSRAVDHFLHENGISTVNYHGEVPAEERVENLEKFKSEDGDCPTLVCTDLAARGLDLDVDHVIMFDFPLTSIDYLHRTGRTARMGAKGKVTSLVAKKDQILATRIEEAILKNESLESLSVGSVQRDIARARINEQKGKSVKLLKASKHKKTATAASAKSSGADSKAKPKKGLSKNGAGGKVDLTKRTFRTSPGSKSVTATAKVSKNFSGANSSKAKVSHKEFQGRKPDVSSSRSKLNVVGFRGRSSSSSQPEGLKLS >CDP18293 pep chromosome:AUK_PRJEB4211_v1:10:24922328:24923286:-1 gene:GSCOC_T00012023001 transcript:CDP18293 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFGKGLLVLLLTLVATLAISQAETVVVGGSEGWRYGYNYTEWAFNHGAFFRGDILVFKYPPPSDTVKPHSVYLLPNLYSFLTCDFSHATLLAGPNQGGGDGFSYVLTKISPNYFASGEGNGDDCNKGLMKFDAIPLYRPPTSP >CDP07278 pep chromosome:AUK_PRJEB4211_v1:10:384056:386138:1 gene:GSCOC_T00024499001 transcript:CDP07278 gene_biotype:protein_coding transcript_biotype:protein_coding MSERLSGEESLCQDVESLSVSKRLVRSVSQKLKRKNHRGEGEEGDDTRAVSLSCLTLYGRGGGCKVGAETGEEFVDYGGRRRSTSEETKVYAAICGKEETAVDCFAYGMKEKFWRRNNRRILQLKAAPHDSMNVFLPDDILEMCLLRLPLTSLMNARLVCKKWRSLTSTIRFMHMRREGLYQSPWLFLFGVVKDGYCSGVIHALDVSLNQWHKIDAAILKGRFLFSVTSVQDDVFVVGGCSSLTNFGKVDRSSFKTHKGVLVFSPLTKSWRKAASMKYARSSPILGVFEVGPDCLIRTQQNRYERRFYRPRVGGVSDVYEDPHRLSVRRQFRHSVDGNDVLTTHPKQHKSSGQKGENTCKDHRRYVVVAVGGLGSWDEPLDSGELYDFVSNKWTEIPRLPADFGVACSGVVCSGIFYVYSETDKLVGYDVERGYWVRIQTTPSPPRVHEYYPKLISCGGRLFMLSVSWCEGEGQIGRRNKAVRKLWELDLRCFSWREVSVHPDAPMDWNAAFVADKSLIFGLEMFKIFGQVLDFVTVFDMSDARTSWSHISRNHVAHELDAASCMTKSMAVLHL >CDP18272 pep chromosome:AUK_PRJEB4211_v1:10:24675427:24678648:1 gene:GSCOC_T00011998001 transcript:CDP18272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MCFSLLQFPRYRIHALANSWWETFPILNRRAGITSSFKVLGSGNYGPEGRQYRRYSRQIITMKTEGRKKDSLSSKGNIKDEILEEALSTNLNTHKSSVTDVERTQVLDIRQMIAENKELAKLVSFIVFDIETTGFSRTEDRIIEIALQDLAGGKNSIFQTLVNPKCYVPNSHVHGISTHMVNKPDVPRMEELIPILLQYIKSRQKPGGFVVLIAHNARNFDVPFLAEEFSRCSYKIPPDWLFVDTLPLAREAMKSKGFKGALKLSLQALGETFKIPLKGSAHRALADVQMLSLIFQKITFELKLSGSSIIEKYSFSDLELRNSKKKKSSS >CDP10701 pep chromosome:AUK_PRJEB4211_v1:10:10167167:10168353:-1 gene:GSCOC_T00031499001 transcript:CDP10701 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPFLITIATMALFSSLATASDPSPLQDFCVAINDPKTAVFVNGKICKDPKVVKANDFFFQGLNKPRNTANRQGSTVTAVNVNNLAGLNTLGVSLARLDFAPHGLNPPHTHPRATEVLFVLEGTLHVGFVTSNPPNNMKNQLFTKTLNPGDVFVFPEGLIHFQFNFGKTKAVAFAGFGSQNPGVITIANAVFGSDPLISPAVLAKAFQVDKKVIDLLEGQFLETTRKDP >CDP01088 pep chromosome:AUK_PRJEB4211_v1:10:5703230:5704180:1 gene:GSCOC_T00034601001 transcript:CDP01088 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKISKSTSILLVLVLLSFANTSYGARKLFSLYKPPPMALKYHKGALLQGNINVSIVWYGTFTPAQKSIIVDFLYSLNPSVKNAISSANEPSVSNWWQKIGTYMKKAGKKPTNVVLSTQITDERCSLGKSLKNSHIARLARRVNPKPGELTLVLTSQDVAVEGFCMNNCGKHGSVRSLNSRSVYIWAGNSVTQCPGQCAWPFYKPIYGPQTEPLGAPNGDVGVDGMVVNIASLMAGAVTNPFGNGYFQGPAGAPLEAASACPGVYGKGAYPGYAGELLVDKTTGASYNAVGTNGRKYLLPALVDPNTSECSTIV >CDP01364 pep chromosome:AUK_PRJEB4211_v1:10:3178349:3179239:-1 gene:GSCOC_T00034974001 transcript:CDP01364 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCKDGLKSYGESSGACFDLWQCQNDPWPLHLLDIPLVPYAELRLSLMPYWFDNLPSLLSTGFEVYICSQTTN >CDP11517 pep chromosome:AUK_PRJEB4211_v1:10:26988407:26990404:-1 gene:GSCOC_T00033807001 transcript:CDP11517 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGYLYNDYFKEAADLFDKMRRRDSFTYSLMITCYARSGFVEKARRIFDSMPDKSCAACWNALITGYVKNGMLSDGRKLFNEMPVRNSVSWNTMLSGYTRSGQMCLAAKFFEEMEEKDWISWNLVLEGYMQAGDLNAAREFFERIPNPSVVSWATMLSGLARHGHLSEAEGFFNNMTERNVVAWNAMLAACIQNCKVDKAVELFNEMPEKDAISWTTIISGHVRIGQLEEAKKLFDTMPYENVGSQTAMMLGFIQNNRIDDARQIFDRMRKRDTVCWNTMIAGYAQHGRMDEAFDLFQSMAPKKIDTWNTMIAGYAQVGKMERALEMFEQIGEKNIISWNSVISGYAQNGLYMDALKNILLMIRDGKKPDQSTFASGLRVCASLAAEQFGRQLHHIVVKNGYMKDMVVSNALITMYAKCGSILSARDVFSDVDNLDVVSWNSLIAGYALNGYGIEACKLFQEMEGYAVIPDQVTFVGVLSACNHAGLVSAGLTLFNCMTQKYGIEPLAEHYTCMVDVLGRAGRLEEAFELIRKMKVQATAGIWGALLGACRLHKNVMLADFAARKLFEIEPHKTSSLVLLSNIYAQSGRWDEVDRVRNFLNQNGIEKEPGCSWIEDQRQILVFQSDNYSWPKTAEIYRALQILTTQIMELSCLNSIECALLDVG >CDP01370 pep chromosome:AUK_PRJEB4211_v1:10:3117518:3122929:1 gene:GSCOC_T00034983001 transcript:CDP01370 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAMGEAEAGEILPERTIDMSAAYELLHKSKASVEEIVAQMLSIKKDSQPKSQLRELVTRIFLNFVALRQANRSILIEEDRFKAETERAKAPVDFTTLQLHNLMYEKNHYVKAIKACKDFKSKYPDIELVPEEEFFRDAPEDIKSSVISNDTAHNLMLKRLNFELVQRKELCKLRERLEQQKKALQETISNRKKFLSSLPSHLKSLKKASLPVQHQLGILHTKKLKQQQSAELLPPPLYVVYSQLVAQKDAFGENIDLEIVGSLKDAQAFARQKATKDSGISTNLETSRLDDDVPDEEDDGQRRRKRPRKVVSKDNLDQAGLYHSHPLKLLLHIHDDDASDSNSPKLISLKFEYLMKLNIVCVGIEGSQEGPENSILCNLFPDDSGLELPHQSAKLRLGDSFKLDPNRTSRPYKWAQHLAGIDFLPELSPLLTSHEASNEETAKHAAVLSGLSLYRQQNRVQTVVQRLRARKKAQLALAEQLDSLVKSKWPALTCGSVPWASRSPQCSLHDWSLIGSSPNHTPSLPVSDVEQVQGPDAEIGGKSGVSNRVVENLQEDGELPSLMSITAVINDVKLTPSKGSDLNLPRRLPLISKSILSPANKGKSPSFKRHDEDIDLILDSESELDEPAVVEPETDNAPVSRAIDMVESSWADCGVQVYRLTLLRTLNNGEKNFKLEAKIKIGLEYPLRPPLFALKLYCKLHEANYCEVDLSEWFNELRAMETEVNVHIIKSIPLDQENLVLAHQVHCLAMLFDFYMEDGDSSVKKRESTSVIDVGLCKPVSGALVARSFRGRDRRKMISWKDNICTPGYPY >CDP00945 pep chromosome:AUK_PRJEB4211_v1:10:7622243:7626136:1 gene:GSCOC_T00034404001 transcript:CDP00945 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASDDATRNCSHCDRVIPSSNFDLHFAHCSRKLEKCKICGDMVPRVSAEEHFLSTHAPVSCSLCSETMEREVLAIHKGENCPQRIVTCEFCEFPLPAIDLLEHQEVCGNRTELCYLCNRYIRLRERIGHDSRCTGVADNIAESSRATRPVERDRVPQRRQPNEFSRKRLLFTIAITGIAVLLGSLLFQRKTEHSQVH >CDP11421 pep chromosome:AUK_PRJEB4211_v1:10:26044883:26046610:1 gene:GSCOC_T00033668001 transcript:CDP11421 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPSREAPQSPALSSTNTLTRKIGAIVEKLRESKCCHCHSVDKRKVSKIGNHTKVRQLANIELYT >CDP01069 pep chromosome:AUK_PRJEB4211_v1:10:5991234:5998468:1 gene:GSCOC_T00034570001 transcript:CDP01069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectin methyltransferase QUA2 [Source:Projected from Arabidopsis thaliana (AT1G78240) UniProtKB/Swiss-Prot;Acc:Q9C9Q8] MSRPLHRGGGRFSPNSHGIWDDDSQMKDKLEKEDVDRKSPNADQTYLSIRQPFRFLLTDNSSSKHGLMENGFVSDPFSPGVLRNRHKRTLLLLRLSLVVIVILALTGSFWWTISITTSSRGQVYRGYRRLQQQLVSDLVDVGKLSLGSTRLKDLEYCSPESENYVPCFNVSENLALGISTGDEYDRHCGPSSRQSCLVLPPVNYKIPLRWPWGRDLIWVANINITAQEVRSSGSLTKRMMMYDEDQISFRSASPGYDDMEDYAHQIAEMVGLRNESNFIQSGVRTILDIGCGYGNLGAHLFSYELLTMCIANYEASGSQVQLTLERGLPAMISSFTSKQLPYPSLSFDMIHCAGCGIDWDQKDGILLVEVDRVLRPGGYFVWTSQLTSSQQYLRKKENQKEWNFVHGFAKNLCWDMLSQQVDTVVWKKTSKKNCYSTRKSGSGPSLCNRGRDVESPYYRPLQGCIGGTQSRRWIPIAERTKWPARTRLNSNELAIHGLNSDDFAEDTLNWNSAVRDYWSLLSPLIFSDHPKRPGDEDPSPPFNMVRNVLDMNAHFGGFNAALLEAKKSVWVMNVIPTTGNNHLPLILDRGFVGVLHDWCEAFPTYPRTYDLVHGDGLLSLEFGEKRRCEMLDVFIEMDRILRPEGWVILRDTATLIEPARTLTTLLKWDARVVEVDNNSDERLLICQKAFVKRPAM >CDP12009 pep chromosome:AUK_PRJEB4211_v1:10:22682367:22694020:-1 gene:GSCOC_T00035359001 transcript:CDP12009 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRREEEYDDEMEPEEDEDVEEEYEDEEEEYDGGKAGKKRRRSDFIDDLAEEDDEEEEEDDDDEDYGGGGGKRKAKKRSGSHFFELEAQVDTDEEEEEEEGEDDFIVDTGAELPDEDDEGRRMHRRPLLHREEDQEDFEALERTIHERYGRREVEYDDEATEVEQQALLPSVRDPKLWMVKCAIGREREVAVCLMQKAIDKGPELQIRSVVALDHLKNYIYIEADKEAHVKEAIKGMRNIFASAKIMLVPIKEMTDVLSVESKAVDISRDTWVRMKTGTYKGDLAKVVDVDNVRQKVTLKLIPRIDLPALAAKLEGREVPKKKNKTFNPPPRFMNMDEARELHIRVERRRDPMTGDYFENIGGMMFKDGFLYKSFSMKSISTQNIQPTFDELEKFRQPSENGDGDVASLSTLFANRKKGHFMKGDRVIVVKGDLKNLKGWVEKVEEDTVHIKPNEKGLPATLAIGDRELCKYFEPGNHVKVVAGATEGATGMVVSVEGHVVNIVSDTTKELLRVFADNIVESSEVTTGVTRIGEYELHDLVQLDDMSFGVIIRVESEAFQVLKGVPEKPEVALVRLREIKFKLDRKNNGTQDRNGNRLSVKDVVKILEGPCKGKQGPVEHIYKGILFVYDRHHLEHAGFICAKAQSCVLVGGSRANVDRNGGSLHSKFTKFGAPPRAPLSPMRSPRGGPPVNSAGRFRGGRGHDNLVGAIIKIRMGPHKGCNGIVKEVKGNALRVELEAQMRIVTGKFNRDQITDNVNVSTPFREKSRFGMGSETPVHPSRTPLRPYMTPMRDPGATPVHDGMRTPMRDRAWNPYTPMSPPRDDWEDGNPASWGSSPQYQPGSPPSRTYEAPTPGYGDAGTPRDSNPAYANAPSPYLPSTPGGQPPMTPSSAYLPGTPGGQPMTPGSGGLDMMSPVVGGDNEGPWLLPDILVNVRRPGEDGDIGVVREVLPDGSCRVALGSSGSGETITVLTNEVEVVAPRKSDKIKIMGGTHRGATGKLIGIDGTDGIVKLDITFDVKILDLDILAKLPHVKE >CDP01228 pep chromosome:AUK_PRJEB4211_v1:10:4367001:4368891:1 gene:GSCOC_T00034801001 transcript:CDP01228 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREWENLNIDCLVEVFKWVGMESLLLSIPLVCKSWYKATLDPKCWQILVFPDIRCSEKLPRPMTMHFVHSYSLSWHHFPNASFAKFVVSRGQRSATSLVLPGICAYQALEYISDECPDLKFLGLSLDLLYHNGPVIANLISKWKNLQVLSIGHCYMLNEMFAQLRLHCKNFVGLIFCYSWIGHEEAFAIASMPKIKYLSFRKVHFHQNNLLMILQSCRELELLDLRDCSGFDYGNEIRKLASHIKTFRCERSSSSEEEDDYHYYAEEEQARLVYRKIM >CDP07317 pep chromosome:AUK_PRJEB4211_v1:10:710672:712078:1 gene:GSCOC_T00024547001 transcript:CDP07317 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDQSNIKVEEGSAVEATDRGLFNLGKKEEVKKCDQGQAISAEFDEKVRVSEPDKEEGKKHGGLLEKLHRSGSSSSSSSEEEVEEGGEKKKKKKEKKGLKDKIKEKISGDKKDEEKVEKCEEDTSIPVEKYAEPAHADAAHEPEEKKGFLDKIKEKLPGGGQKKTEEVAAAAPPPPPAECTATEGEAKDKKGFLDKIKEKLPGYHPKTEEEKEKEKEKEKEAGCH >CDP07432 pep chromosome:AUK_PRJEB4211_v1:10:1512753:1524322:1 gene:GSCOC_T00024697001 transcript:CDP07432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) UniProtKB/Swiss-Prot;Acc:F4JLS1] MEGEEKNKVGLKKRVKRIEIGIDSDDDEPIGSFLKLKSKRNPKKNKVVSDGEDKGKGVDKLSVEDQDLVGMDDTLASFRKKLRAPKKEGGPALVVGGGLGNCQPSGEGSCENEKDGDLGLGDCPSNCLEEGLEDPLSAFFRKAQSGFIRKSCNSLRLKSGNETQISRNGVSEDIMPESTSKSRSASTLANEIAESKQYSHLASGRGKIGLTVGADKDDEILQRKFSSRSSDDVDDTSSERISYNLVPMSKIQGSQSSLRGCSDTFMQVQGAGLTSHTQISCRSEHCSGERTSALLHAMEVPVAVSVSEGLENHHTDEGPLARVHNNEQNLRSDFTSSNDASNLEQRTCNSVGKQFLQVCLDHDSLNRSCEDKFPSSIRKVCGETLELSISQMGDETTFAGKMDTADDSNSGPPGQYSAQARVFSTADSPNKISNHDMEICTAEPDTASVSSEKEDVMEGSLSPASACGITQYEFAPRVKQQDGPLRHVGEADQLSQCRTPNDSLILNDKCSSGFYQNKPFDGVSKDASFPSLDYFSAEEEVKGASSPSDVPDSNDSYAEDAGLFPDPDNKTNSTEVGGRRKPRKRRLGDMAYEGDADWEILIHGQDFLIDRQVGDDFQSSTAREKLSSLSNTSETENGGAAAISAGLRAHAVGPVEKLKFKEVLKRKGGLQEYLDCRNNILSLWNKDVSRILPLSECGVSDTALVDESPRASLVRDIYAFLDQWGYINFGVALEKAENGSAHNLKLLKEEKFVERSGAPVADANDGVCFILGRIRDPESSKMEKNDTALGDEKQVMAKSQLDEGHINLQAAEISAQTDHEGFPAVNYEENGVFDAKIPGETVSSDYLGSNPSSEDEKSRILPVENPDSFPTSEAQVGRLLSCGLSQLEKDSNRQPSSCDDQSHFGICDLDTRKRIIVVGAGPAGLTAARHLKRQGFHVTVLEARSRIGGRVYTDRSSLSVPVDLGASIITGVEADVATERRADPSSLVCAQLGLELTVLNSDCPLYDTATGQKVPADVDEALEAEYNSLLDDMILLIAQKGENAMRMSLEEGLEYALKRRCMARFGRGKNLMNTELPKSLDAVMAFEKFSTDDEVPQGDSGETEILAPLERRVMDWHFANLEYGCAALLKEVSLPHWNQDDDYGGFGGAHCMIKGGYGTVVDSLGEGLSINLNHVVTDIIYGQTDGMSNDDKSKKVKVCTSNGSEFSGDAILITVPLGCLKAETIKFSPPLPQWKYLSIQRLGFGVLNKVVMEFSEVFWDDSIDYFGATAEETSQRGWCFMFWNVKKTVGAPVLIALVVGKAAMDGQKMSSSDHVNHALFVLRKLFGEMAVPDPVASVVTDWGQDPYSYGAYSYVAVGSSGEDYDMLGRPVENCLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILNTGTDYTAEAEAMEAAKRHSDIERSEIRDIMNRLEAYSLDQTQILTKKSLLQDLFYSAKTTAGRLHVAKQLLKLPVQVLKSFAGNKDGLSMLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVRVWIEIFRKKKASKLRQPTGVDSFKNRSSQALGKPPLRTNHIAPENRGSPKVSSSRNHLASSSNVIRPTVEAKPSSSEGSVERQNTTGEETKEKEEKAAFAAKEASLAAALAAAKAYASSGAKSGLSLHLPKIPSFHKFARREQYSRMDDADIRRNWSAGAFGKQDCLSEIDSRNCRVRDWSVDFSATGVNLDPSRMSVDNHSQHSQSNEIGCQLNFREHSGESVAVDNSLFTKAWVDSAGSVGTKDYNDIERWQCQAAAANSDFYHQTMHLTDEEDSTLKLPAKKPDGPANESSVSQVTVNKELVKNQLRGAERIKQAVVDYVASLLMPLYKARKLDKEGYKTIMKKTATKVMEQATDAEKAMAVSEFLDFKRKNKIRAFVDKLIERHMAMKPAVKS >CDP01087 pep chromosome:AUK_PRJEB4211_v1:10:5707950:5709976:-1 gene:GSCOC_T00034600001 transcript:CDP01087 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIALLEKKPHAVCIPAAAQGHINPMLKLAKLLHHNGFHITFVNTEFNHRRWLKSRGPDALNGLPDFQFKAIPDGLPPSDADATQDPAALCESVDRTCLGPFRELLAELNDTSSSKVPPVSCIVSDAITAFTLAAAEELCIPEVLFWTASACSYLGYFQYAKLMEKGIIPLKDASYLTNGYLDAVLDWIPGLEGIRLKDLPSFLRTTNPDDFMVKFGMQETQRARKASAIIINTYQQLEHGVLDALSSYLPPIYTIGPLHFLENHVHDNSLTDVQSNLWKEEPKCLEWLDSKDPNSVVYVNFGSIAVMTPEQLVEFAWGLANSKQNFLWILRPDLVSGSSAILPSEFLEETKERSMFAGWCAQEKVLSHPSVGGFLTHSGWNSTIESISYGVPMICWPCFADQQTNCWFCCTKWGIGMEIDNNVKRDEVERLVSELMAGEKGKEMKKKAMDWKKLAETAVTDSKLNLENLIHQVLLNPSI >CDP11940 pep chromosome:AUK_PRJEB4211_v1:10:24111764:24114185:1 gene:GSCOC_T00035253001 transcript:CDP11940 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRKPYASMEHKKLSQDILARKPMARNLDLRNGREQTILINKEGKSYLDHFYDLKRKLQAAKTAHEELNLLRGFFFWLQASGYWLIVHSFCTYS >CDP11524 pep chromosome:AUK_PRJEB4211_v1:10:27048745:27057283:1 gene:GSCOC_T00033819001 transcript:CDP11524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD4 [Source:Projected from Arabidopsis thaliana (AT1G71340) UniProtKB/Swiss-Prot;Acc:F4I8H8] MAISASSSRWNRQRPQLLLGVRRTHRRWPPFLPFPSTIRRNIVFRWLLIALAFIAILPPLFFHFKLRRFHQMQFRRCRWLRNPPLVCAHGGDSSKAFPNTMDAYQTALRSQVDCIEIDVSRSLDGGLFALHDRDLQRILGNSTSRVGYLSTKEIQELVPNQHLAMKFHDLSIPTIEDALKFVSGSVREVILDAKVGPPSYEKGLAQDIISLLEKTVCKSCLVWAKSDTLARNLIKLSSDVTVGYIVMINPSTGTRMNLLRMRGAEVVGVYHPLVDEKLVKILHGRKKKVYAWTVDDEISMQKMLFEHVDAVVTSNPTLFQRVMQNMRTECLEEGFSLSS >CDP11919 pep chromosome:AUK_PRJEB4211_v1:10:24391136:24395824:-1 gene:GSCOC_T00035226001 transcript:CDP11919 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSCDNWERLVTATLRREDLRLTALRTPSELSLASLSSFSSFNLASSSRRVSSFNFSSLLVGDSLSYNQILQATDYLSENKLIKHGDSGDLFFGVLEGGTPVIVKKIDLSSVAKESLFIEELEILAKVSHHHRFVPLIGHCLENEKEKFLVYKNMAKKDLLTFFSRKIDSGNLRKLRLVDWITRLKIATEVAEGLCYLHQCVPPLVHSNIQASSILLDDKFEVTLSLYEVFAEENHMRQKNGISRSAPLVRKVSFSTSNESYASGSYAVYSFGKVLLELVTGKLGISATDDSTTNGWMADVLSNILPDNAELIINIVDSSLAMAKHVLAHVWAVSFIAKACVSPESSKRPKMPQILLALEHIKSSCFTSKSPTTTGNHVSVGAAMEIAEILWGSKLVGRTGPATAYTETFGSGTASSNHGISQAGGSEETYPNGGIFAHPSLTIFSYSELRTATRHFGCDIAVREVEFGRVYQAWLRDKSSSKHGSGSVVAVRNMSSEYMQLFKSRIHSLGRLSHPNVVEFLGYCEDKDLLVVHEFMQRGCLDNHLFRAGSDVQPLSWDTRLNILIGAARGLAFLHATQKHGFYEYFGTSDILLDGAYNAKISGFGTAKITRLSYFYEVHPNFLRNRRYVDAPPENVIPGAGAGLINVKSDVYGFGVVLVAMLTGLSTKGRQRLSWGEIDPIPYFMNLERDKLKKIMDPKLEGKYPFKAAQILGSLASMCLQYEPQFRPSMEEVVEVLELLRRKDEP >CDP01105 pep chromosome:AUK_PRJEB4211_v1:10:5561193:5566194:1 gene:GSCOC_T00034620001 transcript:CDP01105 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRKWKTVVLVRKLLTLAIGAIALVALMSVHVHLGLSPSAVTKSLTTQHEIMSQNLQREERWNQDFSPPHLPKSPRFRTLDSASGTSDLDKLWKPPPNRDYEPCVAPSPYYASPPEPRGYLLVHANGGLNQMRAGICDMVAVARIINATLVIPELDKRSFWQDSSNFSDVFDEAHFINSLTNDIRVIKKLPKELVAATRAVKHFRSWSGVEYYEEEIASMWEEYQVIRAAKSDSRLANNNLPLDIQKLRCRACYQALRFAPTIEAMGKLLVERMRAFGPYIALHLRYEKDMLAFSGCTHDLSQEEAEELTAIRENTTWWKVKEIDPIEQRAKGYCPLTPKEVGMFLSLLGFSSNTPIYIAAGEIYGGDSRMADLRSRFPLLMNKETLATFEELEPFTNHASQMAALDYIVSVESDIFIPSYSGNMARAVEGHRRFLGHRKTILPDSKALVRLFDKIELGTMKEGKNVASRVIEIHKRRQGSPRKRKGPISGTKGTDRFRSEEAFYVNPLPDCLCQKESRYTNSSRIRR >CDP07396 pep chromosome:AUK_PRJEB4211_v1:10:1213265:1216466:-1 gene:GSCOC_T00024649001 transcript:CDP07396 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEDAKTVKKERVKDEDEETLDSLKKKKPNNATQKEAKARKEETKRVKKEEADEDFEQSPPKKSSNKSSDKVQKKRKKEEEIKKKGAKETEQTAKKREKKVYDLPGQRRDPPEERDPLRIFYETLYEQVPNSEMAAIWMMESGLLPKEAAKKIFEKKQKKAPQQKVKSPVKAVGTVKSKADSVTIKKRTSTSAVSTQKKRTPDSKVVSKQSKKCKIADSSSESGSDDDDFILKAKNSKKQKAG >CDP11436 pep chromosome:AUK_PRJEB4211_v1:10:26192218:26196407:-1 gene:GSCOC_T00033689001 transcript:CDP11436 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSVATSLDEVDRSMYSTFCTAANSLSQLYTQAMNHQKLSFQAGERHGLEKLYQWILRQQEGGSRVTTGDVLSYLQTELDYSGEEPPISPRAPGQHQHLQSAHFANSGMIVPTGSSGLPPGVQGFRSEPSDQQSKNYVFSNALSSPVRRSLQNYHISPGGYFQNNVQPSTNGNRSSESNFIHQQTRDPNPVNSNDSAMDMHADSPGHESTY >CDP07445 pep chromosome:AUK_PRJEB4211_v1:10:1603581:1609540:-1 gene:GSCOC_T00024713001 transcript:CDP07445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G54350) UniProtKB/TrEMBL;Acc:F4JCV6] MGALAPVATHWLPQDDLLLKNAVEAGASLESLAKGAVQFSHRFTVQELQDRWHTLLYDPVVSFEASVRMIEFEQSAPMAQSKLIRIDNNKEAKCISRKRKAETVRKCYYAMRKRICNESFDSIDLIFLDESSYGDVGNGNGPTSNGFLLGDQISKYFGNQELNFGAIDHSLGKLGVNEGGSCGDGLSAAACCTGLNDHHRFSPGQGNQPQNLCPPFDENLSLTGDHISINEYGPSQELQACNLLQSLNVVEKPQNMFGQSVDKEGNACSGSTCQHLLALVNILAPNAEEYFEQLSNSLLNFTSEDEQLFMDADADGKDIIDKSYIENLSSLLLDFPDGSDVPSLGLSEASVAPQECLNISNAVYHGQECLDIPNSGENEQYDCGDGRQVWSVEAQKLSSALTVNPIFPELRNGVICCTLNTEDTEIPNNDDVFLPIWMPCSSISLITNAKSDEAYYPMTSSVNDFSHGEKATAGVMVKCEPRSTSKVHVPPQESRFPSEMGHNHQFNDDGLRFELPCSDVPHVALKKTGGSECPNGANIKHLSNKDIINESLNNSLMKQQEGDCISPVQISDDIHAELYSIGQTIPNQAVNCTLSDEEEFSCESDDDVPYFSDVEAMILDMDLSPDDQDVYHSPRVLRYQHEDARKTIIRLEQAADACVQRCIAAQGALAVLYGHRSRHFIKKPEVLLGRGTKDFKVDIDLGREACANKISRRQATLKMEIDGSFLLKNHGKCPTFVNGKEVLPGHNVNLISGCLIEMGRITFVFETNRTLRKAYRDNICGMKPDLGSQDMD >CDP01201 pep chromosome:AUK_PRJEB4211_v1:10:4600945:4603571:-1 gene:GSCOC_T00034770001 transcript:CDP01201 gene_biotype:protein_coding transcript_biotype:protein_coding METGVQSNSFTYMGRSFCGLSIHDGSSSAFSDCNSDRSGEFPTASSQSRRLLIACASSENNNNSSSDELIRQLVSDLNSPSPEDQKQAALELRLLAKNKPENRIKIAEAGAIRPLIDIISSSTDSQLHEYGVTAILNLSLCDENKEVMAAAGAIKPLIRALKVGNPAAKENAACALLRLSQVDSNKAAIGRSGAIPPLVNLLENGNFRGKKDASTALYSLCSVKDNKVRAVQAGIMKPLVELMADFQSNMVDKSAFVLSLLVSVAEARAALVDEGGIPVLVEIVEVGTQRQKEIAVAILLQLCEDGLSYRTMVAREGAIPPLVALSQTGTSRAKQKAEMLIGLLRQPRSGNAAAAAATATARASSDVSVA >CDP11425 pep chromosome:AUK_PRJEB4211_v1:10:26064496:26066610:-1 gene:GSCOC_T00033673001 transcript:CDP11425 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQETVKQLEECSVSNALGTWVFSVAGALLAIPVGIKRKSLAPLVFFGTTGTMLDIIMGISACEREHAERQMKLLEAQNAASADAGAES >CDP11473 pep chromosome:AUK_PRJEB4211_v1:10:26508568:26516505:-1 gene:GSCOC_T00033743001 transcript:CDP11473 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRFHDGNITDLIDSRILGLRSDITHCLQVHSSMVRRLFLERELEGHRGCVNAVAWNSQGSLLISGSDDTQINIWSYSSRKLLHSIETGHSTNIFCTKFVPETSDELVVSGAGDAEVRLFNLSRLSGRGLDENMITPSAIFQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGASCPPAGSSHQECRNILLDLRWGAKKSLADPPKQSLALKSCDLSFTRPHLLLVGGSDAFARLYDRRMLPPLSSSEKKLPPPPCVGYFCPMHLSDRGRSSIHLTHVAFSPNSEEVLLSYSGEHVYLMDVNPATGSSMRYTVGDITKLTSFAPILNGVELQQSFPRVCSNGSSKGHVAARLDKCRKLIQFAGKSLKGETSSYDGIEACNEVLDGHGHEIGPSLMLECLCIRAALLLKRKWKNDVHMAIRDCYRARKINSSSLKALLCMSEALFQASEL >CDP07497 pep chromosome:AUK_PRJEB4211_v1:10:2029803:2031291:-1 gene:GSCOC_T00024773001 transcript:CDP07497 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVQDPKVEKLRRNSSLTREEIQAFWKSKKQKEEEHLRDISMLSPRSQENVFEDARMRNRRSDSLPAQDAKEEDLDPETASNLEKLILKHGWWISSNSAFLNEPPVIAGEGPRYKYASQFHVADMASSKTNNAAAPTGVGA >CDP17248 pep chromosome:AUK_PRJEB4211_v1:10:18325182:18325733:-1 gene:GSCOC_T00013660001 transcript:CDP17248 gene_biotype:protein_coding transcript_biotype:protein_coding MEILTRAESDKLETNFHLINSENHTAGSQVWDCVFASRAILASGMVDEYGDSLKKAHFYLKESQCKTNLKGDFKKMYRHFTKGSWTFSDQDQGLAVSDCTAEALKCLLRFSEMPQEIAGEKADVERLYDAVNICLYLQV >CDP01212 pep chromosome:AUK_PRJEB4211_v1:10:4472077:4473084:-1 gene:GSCOC_T00034784001 transcript:CDP01212 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRRGCSDKCALRPCLGRIPTPQAQANATLFVSKFFGRSDLMSFITAVPDSKKPALFQSLLYEACGRTVNPVSGAVGLLSTGNWPVCEAAVETVLAGGTLRPVSGVGVLSPDSNEASEAFCNSGGLWRVQPPFFMHGSDGDEMMVEFESDQNMSASASFRSSEASDVWGSFGSCGIEGVGGKEPKLLNLFV >CDP11400 pep chromosome:AUK_PRJEB4211_v1:10:25694626:25700858:1 gene:GSCOC_T00033635001 transcript:CDP11400 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIARDLRDSFGSLSRRSFEVRLPGHHRGKSHGAVHELQEPPLVIQSSCWAGLPPELLRDVIKRLEASESTWPARKHVVACSAVCRSWREMCKEIVQSPEYSGKLTFPVSLKQPGPRDGTIQCFIKRDKANLTYHLFLCLSPALLVENGKFLLSAKRNRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPCNGAQVVPPGRTSRRFYSKKVSPKVPAGNYNIAQIMYELNVLGTRGPRRMNCTMHSIPASALEPGGTVPGQPELLPRCLEDSFRSTTSLSKSIDNSTEFSSSRFSDLIGPREGEDDGKDRPLVLRNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPTPSAPAPTQPAQSGSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >CDP01126 pep chromosome:AUK_PRJEB4211_v1:10:5331127:5334792:-1 gene:GSCOC_T00034647001 transcript:CDP01126 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQVNSALSKALLVVNCLILAIGNCGGPIIMRLYFVKGGKRIWLSSWLQTVGFPIIAIPLLISYFHRRKTEGPNTKLVLMKYPLFIAAAAVGLVTGIDDYLYAYGMARLPVSTSSIIIASQLAFTAVFAFLLVKQKFTAYSVNAVVLLTVGAGVLAMHSSSDRPKGESTKMYVLGFLMTVAAAVLYGFILPVVELMYLKAKQAITYTLVLEIQLVMCLFATVFCTVGMIAKKDFQAMAREARAYALGEAEYYLVVTWSAVIWQFFFLGVIGVIFCASSLLSAIMIAVLLPVTEVIAVIVFQEKFQAEKGVALFLSLWGFVSYFYGEIKNKKSKEEIEGQKNDSQSTEMTPIQ >CDP11925 pep chromosome:AUK_PRJEB4211_v1:10:24348272:24349315:1 gene:GSCOC_T00035233001 transcript:CDP11925 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLRRVLPYYKRKEKKVESLSSHFCKNGSLLMEELIGCFGGRYQLPIRSFTARELIRATNYFSSQVNHIITYGGKSFKGNLQDRPVLIKFCEDYNHEGMADSIIRDIVMNSQMSHLENVLHLIGCCLEFKFPAMVYHYAPGMESLVRCLNYPPDGKLLSWKCRIKIASDIANVLLYLHTAFPSPIIFRDLTIHKVALDNCGVAKLFGFELSISLPRGEKKVEDLPKGTFGYIDPEYCCSSFVTEKSDVFSLGVTMLVLITGETRVVKDGEPTVTYFIHRFENDPFKHILDPKSFEEEGYNEHEIEQHLFPFTNLALRCIAEKGEDRPDMIEVAKQLLQIKKSVRNY >CDP07362 pep chromosome:AUK_PRJEB4211_v1:10:970518:975433:1 gene:GSCOC_T00024602001 transcript:CDP07362 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSDESSSTPRNLSGPPWALVVRRSEVESTSSAATNASCPSSSPLPIAPEQTPFSDCSGQKLPPESSATETQPESSSDSSNGNAARSKKPAWNRPVNGVVEGSSVMGGSVSWPALSESTRSTIKSSLDSPKPLSDGSASSSQGPIISQTPQKQANTNANANSVANHGMTRQKSMKHRGGGSTQGGFRPPPPPPPSMPPPFPAVIEMPAYGNYGNFVPPVLDSSIRGTRPMSGVAPQSGNDHSPHSQRNSSRRNNFGGRPRGDGQYHHGGRRDHDRRDVHLPNQFAPPPGGYMPPPPPPPPPGSASFIAPPPMRPFVGPMGFDMAPPFMYVPALPPESFRGMPFIAQAPPAPMFFPVMDPPLPALIVKQIDYYFSDANLVKDDYLRSNMDDEGWVPIKLIASFPRVQHLTTDISLILDSLRASVVVEVKEQGDKIRRRNEWKKWTQSSSHVSLDSTSQTPGISSDDTVTTSIEKVSLNEVSSSKRGGSDLTGSETGMTPDASLPDESTGSLKMANGEVTAEELHSC >CDP15818 pep chromosome:AUK_PRJEB4211_v1:10:16538607:16542419:-1 gene:GSCOC_T00016679001 transcript:CDP15818 gene_biotype:protein_coding transcript_biotype:protein_coding MILCIISSKTMNKMVAVVLIRKCLHIKHMFSSFHFDTNLYLYLITLQLHIPRTLHNRQNLHIHSGISTLEGEKWAKHRRLITPTFHHEKLSGMVPEFLASCCNLIDRWKMLVASDGWSEIDINPELQSLSTDVISRAAFGSSYKEGKKIFELQKDHRVLDTSAAAIFTAQCSVNGFKKWIKTCERHTNYTEDQLW >CDP10964 pep chromosome:AUK_PRJEB4211_v1:10:21983507:21984142:-1 gene:GSCOC_T00031954001 transcript:CDP10964 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDDSFKKPGAVPFKWEVRPGVPKAQLQQPEQHQQKRRSFHCSPSFVLRQQLQEQLKDAANKNSDCGALPDTPTKLAPPPAGFYFQAEPRTRSLPSAPRTRSERYHFDNSTVAQPENVLSSGCFPTSPLLISMKNDRKKTKKQHKLKVRPESKSDPDCASDLGKLSPWSVSSRASMSPFWDSPSSSSFSSRRSSPRPVNDAEWAGFGLF >CDP18294 pep chromosome:AUK_PRJEB4211_v1:10:24929213:24930871:-1 gene:GSCOC_T00012024001 transcript:CDP18294 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFGKGLLVLLLTLVATLAISQAETVVVGGSQGWRYGYNYNNWAKDHGAFFLGDTLVFKYRPPSKISRPHSVYLLPNLYSFLTCDFRGATRLAGLNQGSGNGFSYVLNQVRPNYFASGEGDDCEKGLMKFVAIPLYRLPFP >CDP17252 pep chromosome:AUK_PRJEB4211_v1:10:18449231:18458770:1 gene:GSCOC_T00013665001 transcript:CDP17252 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTSSSRRKRHTEEDEEKYDDASSSSPQSSSSEEDDDNKRSHKRRHGDDRGPRKSSSRKDRKSSSRRKRKEKERRSKHKSKKYERRKKDKYYSDESEVAESLPRSNGDDDDSGLENPEDVVKCILKEFPAVSGDLEQLLHMIDDGQAVDIRGLSEKTLVKHLRRLFLCLNLKETGDNIFLLKSEGHPTLEVLRPVIDGYGRSSKQQLDHCTLENELLSVSPDGRNGPDANMTKSSEDAIGPRRRVIGPEMPSAELLAAAAKLTEAEAELREAELVDDSELFIGPPPPAFVSEVESSNEAERFEEITRIMGAEVDSPYDILGVNKNMSAENMKKRYWKLSLMVHPDKCSHPQAHQAFVKLNKAFKDLLDPDKRKALDEKIKLKEEQEEFKAELKAMKEAAHWRRLQGISMEGDDILLADMEAKVEPKRDEWMTTLPPERKPGTTMQSTKFSRSSKEGRGDTSVWTDTPSDRAQKAKMNYLEAYNEASTLASNEQERKRSNSDAHLVDEYNKSKRSKSLVDKHQELAQNRSKKKSKQEPVKEEWEGKHPWRPWDREKDLTAGRQSVKLDAENMAQGLSSRFSSGSFQRNFL >CDP07593 pep chromosome:AUK_PRJEB4211_v1:10:2688309:2691159:-1 gene:GSCOC_T00024894001 transcript:CDP07593 gene_biotype:protein_coding transcript_biotype:protein_coding MADKYGPSFTLRLGVHRAVVVSSLEMAKELFTSQDTVVSQRPTSLAAKLLGYNYAMFGFAPYGPFWNEIRKIISQKLLSARRLELLKHVRVSETGISVKELYRESSKGRALVDMKRWFGDLTLNVIIRMIAGKRYFGGAIDVSEEKEARQCQKAARDFFHLVGIYVVADFVPFLGWLDLEGHEKKLKETAKEMDQIVEGWLKEHKRRKESGKGDGQQDFMDVMLSVTQGGQGEIMNLAGGYDADTIVKATCLNLMSGGSDTTAVMLTWALSLLLNNPQVLRKAREELDLHVGKDRRVTESDINNLVYLQAIVKETFRLYPSSPLGVTRDFNEDCTFGGGNYHVPKGTRLIFNLWKLQRDPNIWPDDPSEYRPERFLSTHKDVEINGKQFELVPFGAGRRICPGLHFGIQMIHLVLADLLHAFDISKPSDEPVDMTESAGLTNAKATPLDVLIAPRLSPNLY >CDP07291 pep chromosome:AUK_PRJEB4211_v1:10:549140:550572:-1 gene:GSCOC_T00024518001 transcript:CDP07291 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGRHVVEGEIGEEDKKPLSPVVKKTEDQMDVVEELIGMIENVKSIGEFRKTQRKECQSLVRRLKLLLPFLEEFRDLDKPIPEAALECLNKLKKAFISAKKLLTTCHCGSKIYLAFETEAIMVRFHSVYAKISRALDGMPYEELGISDEQKEQVELMRAQIRRSRSRADTQDMEFTMDLMVALSTENDRNADIASVERLANKLALRTVEELKAETLAVRRLVKERRGHNAEGTQQITLLLKKFQKFAAVPETGALDDPLMPKTSKKCASAVEIPHEFLCPITLEIMIDPVIVATGQVITYFSGFFNPTSTTMHPFPYLLIFSHQETLLPLHSTTWYKTEV >CDP10947 pep chromosome:AUK_PRJEB4211_v1:10:21613042:21622888:1 gene:GSCOC_T00031924001 transcript:CDP10947 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFCRLSCFCLVVILVTRAGHGAEVTYDGRSLIIDGQRKLLFSGSIHYPRSTPDMWPSLISKAKFGGLDVIESYVFWNLHEPQPGQYDFSGRLDIVRFIKEIQAQGLYACIRIGPFIESEWTGLPFWLHDVPGIVFRSDNEPFKFYMQNFTTKIVSLFKSEGLYAPQGGPIILQQIENEYQNVEKGFGDKGPPYVRWAAAMAVGLQTGVPWVMCKQDDAPDPVINACNGRKCGVTFVGPNSPNKPALWTENWTSFYQVYGDKTPLRSAEDIAFNVALFIARKNGSFINYYMYHGGTNFGRTASSYIITSYYDQAPLDEYGFLSQPKWGHLKDLHAAIKLCSHTILSGVATIATLGQQQEAYIYNGESGECAALLLNNDNATTVVVQFQNSSYELPSTSISILPDCKNVAFNTAKAILLKKFEQVTAQCNERLILPKLKFDSADQWSEFKEVVPTFDETTLRSNSLLEHMNTTKDRSDYLWYTVSVDSSETQPVLSVDSLGHVLHAFVNGAPAGSAHGTHNNPSFTLERTINLSQGLNNISLLSATVGLPDSGAYLERRALGLQRVTIEDKQGTKDLSNSSWGYQVGLLEESSAAQWSKFGASPQPLTWYKVLFDAPEGDDPLSLNLGSMGKGEAWINGESIGRYWVSFLTADGSPSQTWYHIPRSFLQPKGNQLVLFEEESGNPLQITIGSVSRNKECALVSNSNLLHVNSSKNHKRNS >CDP12450 pep chromosome:AUK_PRJEB4211_v1:10:14201895:14202933:1 gene:GSCOC_T00036018001 transcript:CDP12450 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFFGLAIFLFLTLDSDFTFSPVFVASEGNGVVCVELITYGSVIKLMHERTKFRLHSHDVPYGSGSGQQSVTGFSDVDDSNSYWIVRPVSDTNAQQGDTIKGGTIIRLQHMRTKKWLHSHLLNVSLTMMPIAVMPYAINLLISK >CDP01071 pep chromosome:AUK_PRJEB4211_v1:10:5955924:5956914:-1 gene:GSCOC_T00034575001 transcript:CDP01071 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNSSMSFTSSLSLFASSSSTADHKIIQHFNFFPERKSQSSQESQKSSDLEKNPSSFEDEKEDVTISLHIGPPSTCTRIASLSLNDYEKGVENLATGFSPAPYWIPTRAQILVGFTHFSCHICSKTFNRYNNLQQMHMWGHGSQYRKGPESLKGTQPKAMLGIPCYCCEEGCKNNINHPRARPLKDFRTLQTHYKRKHGTKRFSCRKCGKCLAVKGDWRTHEKNCGKRWHCMCGSDFKHKRSLKDHITSFGSGHGPSPSPPPPPPSIDAIDLHESTRTRSLFV >CDP01145 pep chromosome:AUK_PRJEB4211_v1:10:5142377:5153681:1 gene:GSCOC_T00034677001 transcript:CDP01145 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLSPPQQPPPVSYSVRLKNAANLNSLRRVLPLSTPPHSSISSSSSSSSSSSASRAVENVDTFTKYSGYLFRLSPSEADSLTEYNVSKIAGIYQKKPLIVLRRLFQLASTLGKWFALRYVDKLTERADKMFEVRAEGLRRILVELGPAYIKIAQAVSTRPDLIPPSYLDELSLLQDRIAPFSSDVAFDMIERELELPIDAIFSEISPEPVAAASLGQVYQARLRSNGQLVAVKVQRPGVQAAISLDILILRYLAGLIKRAGKFNSDLQGIVDEWASSLFREMDYIQEASNGVKFRQLYGGIKDVVVPEIFMNQTTRKILVMKWVEGQKLAEVKDLYLVEVGVYCSFNQLLERGFYHADPHPGNLLRTYDGKLAYLDFGMMGEFKQELRDGFIEACLHLVNRDYDALAKDFVTLGLLPPTADKEAVTVALTGVFRDAVAKGVRNISFGDLLGDLGVTMYKFKFQIPSYFSLVIRSLAVLEGIAISFDPNYKVLGSTYPWIARKVLTDSSLKLQLSLQAILYKDGVFRIDRLESLVTESLRARTERSIRKQIEDGDSHIIVKEVLSFTLTEKGAFVREIILEEFAKGLDAFGLATLDSVASSLTARLPFSGSPSFSLMTDEDSANLRTLQRLVSLLSGLQEDRKTGMVSAYNSRKISSNGNSLVPYQSVSIEELGRFLAVVPELPPDVQQQLLRLPADLFAKLVSRVAARALQRVFL >CDP10972 pep chromosome:AUK_PRJEB4211_v1:10:22053180:22053621:-1 gene:GSCOC_T00031966001 transcript:CDP10972 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFWRRGGETPSAVKWEDRIIEIREGSWSYVSSSIGKAPEKVVGTATPQEPPEEWQASWNISTGNELLIRWASPTSSSGLNFVIRNKEPTDRMVQPISGITDCQNH >CDP11533 pep chromosome:AUK_PRJEB4211_v1:10:27127719:27145316:-1 gene:GSCOC_T00033831001 transcript:CDP11533 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASAAAAEVDSNKLQLAKGNNNDDDEHENGGQKMIHPVVSDLESYVGDLTGGGGDDDDASEDISLEGLEQELQECKHDQVVANILSKGTKLREYTKGVESNVRQVELESIEDYIKESDNLVSLHDQIRDCDIILSQMETLLSGFQAEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLAKFVEDIIVPPRMIDIIVDGEVNDEYLRSLEILSKKLDFVKVDPMVKTSKALKDVQPELERLRQKAVSKVFDFMVQKLYALRKPKTNIQILQQSVLLKYKYIISFLKEHGKEIYVEIRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSSDLIGVEARSSSLFSRGREPLKNRSAVFALGERINILKEIDEPALIPHIAEASSKKYPYEVLFRSLHKLLMDTATSEYLFCDDFFGEESIFNDIFAGPFTVIDEHFNSILPNCFDAIGLMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNIALWPRFKMVFDMHLNSLRNANVRSLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNLERLRMAVDDLLIKLSKTFSKAKLQTVFLINNYDMTISVLKEAGPEGGKIQLYFEDLLKNNTAVFVEELLLEHFSDLIKFVKTRASEDSKAVSEKPISVAEIEPLVKDFASRWKAAIELMHTDVIKSFSNFLCGMEILRAALTQLLLYYTRLLDCIKEIPGGSALNKDLVSIPSIMYEIKKYSRTF >CDP11912 pep chromosome:AUK_PRJEB4211_v1:10:24463229:24465942:-1 gene:GSCOC_T00035218001 transcript:CDP11912 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAIFQYIFLLILVYQFDQSYGQQSYLNNKQFDCNVNWSTAMGFKCNGAAKSCRSYLTFRATSTYNSPVTIAYLLDTDATEIARINNVSDVGRIPSGTLIIVPVNCSCSGEFYQHNASYVLKGTVETYYAVANETYQGLTTCQSLQAQNSYNFRNLKVNMKLNIPVRCACPTKNQTASGFKYLLAYLITWGDSFEAIASMFNADVQSIYAANELSPNHLIHPFNPLLIPYKSEPTYINTSLLSFHLPPSPPQLPVVPAPPTSNNSSRKWVFIGVGIGVGVLLLLLVGFLVWFLRYRHRKGTSLAETKDHGDNNFASESVDVYRALPESESKSWSEGVKFAIESLTMYKYEELQHATGSFAEANRIRGSVYRGNFKGDYAAVKMIKGEALEEINVLKQISHSNIIRLSGFCLHDGNTYLVYEYAEKGGLSDLLHSQNKESYSSSCTLNWKQRVQIAYDVADAVNYLHNYANPPYIHKNLKSSNILLDGNMRAKVSNFSLARPLNADNQNEGSLNVQMTRHVIGTHGYMPPEYIENGLVTPKLDVFAIGVVILELLSGREAIRHQGEVGKLGEEELLYATINKVLDGENVREKLQDFMDPQFRNEYPLDLAHSVAQLARNCVAYDLNTRPPMAEVFVTLSKILSSSLDWDPSDELERSRSLDHAR >CDP19181 pep chromosome:AUK_PRJEB4211_v1:10:9127901:9135732:1 gene:GSCOC_T00004650001 transcript:CDP19181 gene_biotype:protein_coding transcript_biotype:protein_coding MRENLTDQISKLLELLSSKKQILQLIEEEAIELKNKFVTTRRLTLEDSESNQVEDIDVIPNEECYWYEKGYVKRMKSDTFNLQNRGTIGKSVGKLRDNDTMSDFLVCHAHDLVLYFSDEGIVYSARANKIPECTRAAAASDYNLHISVNLFLLEILILSSSDGERITSIIPVSEFSGDQFLLMLTVNGYVKKVSLNYFSSIRSTQIIAIQLVPGDKLK >CDP01155 pep chromosome:AUK_PRJEB4211_v1:10:5054189:5059572:1 gene:GSCOC_T00034690001 transcript:CDP01155 gene_biotype:protein_coding transcript_biotype:protein_coding MMFELLVLNFQCCVREARYERLCSSKTILTVNGKFPGPTLYAYKGDEVIVDVFNKGNENITLHWHGVKQPQYPWSDGPEYVTQCPIKPGNKFSQKVIFSEEEGTLWWHAHSDWSRATVHGAIVVYPYRGTSYPFPKPHAEVPIILGKWWKEDIRYVFQEFVQSGGQPINSDAYTINGQPGDLYPCSRSDTFQLNVLSGKTYLFRLVSAVMNEILFFGVAKHKLTVIATDASYSKPLARDYVLISPGQTLDCLFVADQKPDRYYMAAAPYVTGVNVHFDNTSTTGIVKYEEFPNQAPFSSPPLFPYLPSYNDTSAAFNFSGSLRSLGTKDHPVDAPLVVDQKFMVTLSINAMPFPKTSCEGPNGTQLAASMNNRTFVNPSLDILQAYYYKIPGVYREIFPKHPPFVFNYTADYLPLELEISKIATELRTLPYNSAVEIVFQGTNLVAGIDHPMHLHGYSFYSVGWGLGNFDQTEDPQKYNLVDPPKRNTVTVPKNGWTTVRFWASNAYYILLLMERYSNLILINWWLPSN >CDP07520 pep chromosome:AUK_PRJEB4211_v1:10:2183460:2183858:-1 gene:GSCOC_T00024799001 transcript:CDP07520 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKAVKKTKELSVAIAESSSLDAEGQQQPLTPRKRGRPRKIIAEKTEVEEVKEEEKTAPEEEAHDVEGGDSKKVKTSDEKEQGQPSKMKEDATTTTTTAAAAAAAVSSSKKQLSAPRSRARRKSKPRKSS >CDP10911 pep chromosome:AUK_PRJEB4211_v1:10:20717279:20717887:-1 gene:GSCOC_T00031869001 transcript:CDP10911 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAIAAPQIRLIKPRCGQKPRLAGEFTKFKGVVPYLSAKNLTFSIAKFIVKRAFVISYYIFLFLWQYHGGTNFAGLLVDHLLPLAVIMMLLLMNMVCRLLQLQNSCSKLVVFTSFHLDANVFYISLNFSKHVFGLAFCFLVAILVSP >CDP07293 pep chromosome:AUK_PRJEB4211_v1:10:558195:565139:1 gene:GSCOC_T00024520001 transcript:CDP07293 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKKQKKFLTVAPFECAWCDDLKFREAGRGCVAFDAFAHNDVTIVFREHVGSQQCHYKRDNNPHYTVIIGSHKNKRLKIEVDGETVVDVAGIGLCCSSAFQSYWISVYDGLISIGKGRYPFQNICFQWLDSKPNCAVQYVGLSSWDKHVGYRNVNVLPLTQNHLTLWRHVESDERDKCDNSEEELENEIADYEKWGLENFLESWELSDVFFIVGKEERAVPAHRIILAASGNFEFESSGSDVVHLPDIDYEILHALLQYIYTGRAQISESHLSSLSALSLQFEVMSLTKQCEEIIERFKFNKKLFDSSKDVELSHPNTRKCSAGFPSGLPINAERLKQFRMGGQYCDIDICIDGHGLVARAHRIILGLWSTPFTKMFTNGMSESVAPVVCLKDVSFDAFRVMLEFMYNGDFAKGDTLDIGTLLLQLLLLADQFGVTLLHQECCKTFLECLSEDSVCPVLQVIPSVPSCKLIEETCKRKFSMHFDYCTTASIDFVMLDEETFGNILQHPDLTITSEERVLNAVLLWCLRAQELFGWEKIDEMLLISAPESLSGERFESLNVLLPHVRFPLLPCSLLKKLERSNLSRHIPAFDYLVKEAIAFLELGHMKEPKVRFQHRQTSFKELQYICDGDSNGVLYFAGTSYGKHQWVNPVLSKKVTVTASSPTSRFTDPKVLASRTYQGTSFAGSRMGDGRNTSWWMVDIGPGHQLMCNYYTLRQDGSKAFMRCWNFQGSSDGRNWTNLRIHEKDETLCKPGQFASWPINDPNALLPFRFFRVTMTAPTTDDTNPWNCCICFLELYGYFH >CDP01274 pep chromosome:AUK_PRJEB4211_v1:10:3952325:3955055:-1 gene:GSCOC_T00034862001 transcript:CDP01274 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCHVVLEESVISIGNSLNSSSSPNGRFIKVHSSGNVRGEEYEDVSGSPGSLPDRLMSEIYQHFANRTSPGGNGSSRRQRRREKEKQGRRKLEPEHFVKIVNCSPTPARPLQGLWKGIGDDMSLEFYLTSYDDIGGIACRRIEDSSRPFNGYNPVFWTSSTTLIEPPFSAEEEYEYHSRVHLRPPAEADDCHDHLQRSDHGAVSRMLHMTSSYDLVIPGTTINSRQVEGRIWQYRNGTYGFGFLRNNYVIDLKHIAQDGHLLDTMEFSND >CDP10949 pep chromosome:AUK_PRJEB4211_v1:10:21639611:21641170:1 gene:GSCOC_T00031927001 transcript:CDP10949 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHSCRTSNWLAWETCKQDDAPDPDIKQDKGRKCRVTLIGSNSPNTPSIWTDLDKSVRNQTIRVSV >CDP01340 pep chromosome:AUK_PRJEB4211_v1:10:3350759:3356474:1 gene:GSCOC_T00034944001 transcript:CDP01340 gene_biotype:protein_coding transcript_biotype:protein_coding MILWWSHYGGTFEFLPLLRVYKNGYVQRLLGTDPQTGRRQPKTPNNNTTNKLPLLFHVHGGAFCLSTPSTLNCHIYLNTMVSEAQVVAVSVHWSTLSPIPIGQEDSWASLHWVWIFLDLLWHPFSGGFGPIGSESIDPDRKVNPVVDGGASLVGLGCGRMPCLLVWCG >CDP01044 pep chromosome:AUK_PRJEB4211_v1:10:6207489:6210645:-1 gene:GSCOC_T00034538001 transcript:CDP01044 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTPVRKPHTSTADLLTWSENPPENSAALGSASSAARSSARSHQPSDGIRKVVFGGQVTDEEVESLNKRKPCSDYKLKEITGSGIFKPGGENDILESDSADPSAANKTGLRMYQQAVAGISQISFGDEETVTPKKPTTLPEVAKQRELSGNLESESEAKLKKQISNAKSKELSGHDIFAPPPEIQPRPLAARAPALRESITIGETAARNATGNAGDEVSNEETMLKTAKKIPEKKFVELSGNNIFKGDAPPSSAEKPLSSAKLQEMSGSNIFADGKVESRDYFGGVRKPPGGESSIALV >CDP01146 pep chromosome:AUK_PRJEB4211_v1:10:5135719:5137705:1 gene:GSCOC_T00034679001 transcript:CDP01146 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFGVKFSVNLTYHAKWHWGSCVDIEAWNGLKVIFPDDGNGSRILFTTRSHAVALEAKSLTYALRLLSNEESYELLSMKLFNGDPCPKELSSISCRIASCCKGLPLALVLIAGTLKSTKRKKDSWKHVAKTLFRSLSIQEQILEILEVSYKRLPDHLKPCFCYLGTFPEGTTISVSKLMRLWNSEGFVQQSNWGRKNLKQEAESYLNELIDRSLVMIARKSSKGGVKACLFRLHGLNFLHISTNISSLLYFHKPDGTELVSSGSLPPHARGFHSECAFRDFLSKGRHNLSEQIGLGYKKGLIAKQVHLKYLAVRIRTNNIPSDIGNVRNLETFLISGAIGNVMLPETIWKSAMERELILDHSFFSCEYYSQEFLENCSDLNNLKSISTISIQHGSAEKFLRRLPNIEKLGCIFSRKRKDYFKACNPFRILELLCELQSLKMSFHAWAPCPFIFILPLKLKKLTMSNARLPWHEISVIGQLPNLEVLKLHDR >CDP01246 pep chromosome:AUK_PRJEB4211_v1:10:4231947:4235924:-1 gene:GSCOC_T00034827001 transcript:CDP01246 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLHHECEVPALTCYLKPSNVLLDSDMTAKVRDFGLARLLINNTYKLVHYASVSHIVGFRGNPLPAFPDFYHPRRAGDVYSFGILLLELFTGKSPRHESFIEGLSLKKWVEMNFRPPTLRTCWTGMTCETNSVIQICRSFAVKDDIFSLFEGALDNLGSLKQQYRLPKSSNEVVLMIEAYKAFRDKARYPPNHVVGHLEGNFAFIVFGKAISTLFVATDEKAKVPLYCGITADGWVAFADDANLLKGACGKSLASFPQGSFFSTALGELRSYENPKNKITAIPAKEEEIWGAKFMVNR >CDP01163 pep chromosome:AUK_PRJEB4211_v1:10:5004020:5005406:-1 gene:GSCOC_T00034698001 transcript:CDP01163 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLEMRVSIVSKLDADSKQQQTTRFDCRANPPNSPTFLTNSCLVLHFKNKTYALFGYFIFSNK >CDP11440 pep chromosome:AUK_PRJEB4211_v1:10:26236699:26238757:1 gene:GSCOC_T00033697001 transcript:CDP11440 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVSCLLEVVFDKIRYSRDTKLSIALVLLGVGVCTVTNVSVNTKGFVAAFIAVWSTSLQQYYVHFLQRKYSLSSFNLLGHTAPAQAASLLLVGPFLDYWLTNKRIDAFNFNVPSLVFIVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFLFFGKEGLNLHVVIGMIIAVVGMVWYGNASSKPGGKERHSHSSRSSQQKHGSESSITKVRRFC >CDP07361 pep chromosome:AUK_PRJEB4211_v1:10:963779:966538:-1 gene:GSCOC_T00024601001 transcript:CDP07361 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTPNHTQTVSGWAAHDSSGKITPYVFKRRENGADDVTIQILYCGMCHTDIHHVKNDWGITMYPVVPGHEITGIITKVGSNVRKFKTGDKVGVGCLAATCLQCEFCKDSQENYCDEVQFTYNGIFWDGSITYGGYSKMLVADQRYVVRVPDSLPMDAAAPLLCAGITVYCPMKDHNLLEPDSAARKIGVVGLGGLGHVAVKFAKAFGHHVTVISTSPSKEKEAKVRLGADDFLLSTDAKLMQARKRTLDFIVDTVSAKHSIGPLLELLKVKGTLVIVGAPEKPMELPSFPLIFGKRVVKGGIIGSIKETQEMMEVCGKRNIVCDIEVVRTDQINAALERLANNDVKYRFVIDIAGKQSRST >CDP07308 pep chromosome:AUK_PRJEB4211_v1:10:662145:665833:-1 gene:GSCOC_T00024537001 transcript:CDP07308 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTASNFLGTQIFLSPPTPKTTKSLPRKFLVPQSILGGKKSNSISQSLKDIPSKATLAALLFSSINPRALAVDNTAPPTLPPVIQAEAPQPSPSNPSPFSQNLILNAPKPQAQPSTDLPEGSQWRYSEFLNAVKKGKVERVRFGKDGSALQLTAVDGRRATVIVPNDPDLIDILAMNGVDISVSEGDSGNGLFNFIGNLLFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKISRRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGTENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDSEVRELVEKAYSRAKQIMTTHIDILHKLAQLLIEKETVDGEEFLSLFIDGKAELYVA >CDP11506 pep chromosome:AUK_PRJEB4211_v1:10:26860855:26865293:1 gene:GSCOC_T00033794001 transcript:CDP11506 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFTNVSWWLWSGKQHEHEDPKVPNGTSVNPSPDPGLWEADTVKFPLVHRGGLGPSPRRVKRKWHSREARKIDKEYDVVLVPSDGGCLSGSDSDDSDWSIGWLEPHGPAFRTDDDSDDSFAVLVPCYGRGRGELEENVKDKILDTIGNIPDLYATESKNYMEQWLSSLQNN >CDP10897 pep chromosome:AUK_PRJEB4211_v1:10:20336862:20340353:1 gene:GSCOC_T00031847001 transcript:CDP10897 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHLFPWLFFTALLQILSVRHVVLASWQCLEDQRSLLLEFKNSPTFNSTSSTKLVRWNNSNDCCLWDGVGCDSLGHVIRLELENQTISGQLESSSSLFNLQYLERLNLAVNRFSSTIPTGLSKLTKLTYLNFSDAGFVGQIPGDLASMSTLVTLDLSTRFPGFQPLEMENPNLQTLIQNLTELRELCLDGVKISAQGGEWGNALSSLLNLREISLSNCHLSGPISSSLSELHSLSVINLNDNNLSTAVPEFFANFANLTSLSLSSCNLLGEFPEKILQLPMLQNIDLSNNNFITGTLPPFPENGSFKTIAISYTNFLGSLPDSIGFLGALSRIDLSHCNFTGPLPSTMANLTGLVYVDFSVNKFNGSIPSFGMSKNLIYLDLSHNNLTGNIPSTHFEGFAHLSSINLGCNSLRGKIPLSLFALPSLQKLQLPNNSFIGQVDEFPNASASFLDTLDLSGNKLNGSIPRSIFELKRLNVLSLSSNSFSGSLQLQIINGLQNLTRLELSYNKLSIDASSGNSTTSAFPQLSVLKLASCNLQKFPELRNQSNMIHLDLSDNQIAGEIPRWIWEVGDGTLQHLNLSCNRLVDLPMNATMRSLSVLDLHSNQLQGEFPKPPTTAIYVDYSSNKFRNSIPQDIGNSLPFAVFFSVSNNSLSGVIPQSICNASYLQVLDLSNNAFRGSIPDCLVYNMENLWVLHLGRNNLGGTIPDKFPISCVLKSLDLSKNRLTRRVPRSLVNCTSLEVLNIGGNEVEDTFPCMLKNLSSLRVLVLRSNRFYGYLSCSLANDSWQNLQIIDLAFNNFTGALSPKCFSNWKGMISHGENGQSDQDHLHFLVLSLSNLYYQDTLIVTSKGLELEFVKILKVFTSIDFSWNSFEGSIPETIGELNALYLLNLSHNAFTGTIPKSIGNLTQLESLDLSKNRLSGMIPPQLANLTFLSFLNLSFNQLLGSIPRGNQLETFTESSYEGNKGLCGLPLNISCKGNNDDAQVPSSVDANSVAETGVDWQFIFTGLGFGVGAAVIVATLFVCKEGRDWSDKHLERIVLLIFPGYRFSYTRYDQGTVEAVENSEDEFLDDTEDSEFEVEHEAFGRKYCVFCSKLDVHRTRAVHNPKCTCHTSAPVYFTSPTSSSSLLILYHQHF >CDP10682 pep chromosome:AUK_PRJEB4211_v1:10:10587958:10589745:-1 gene:GSCOC_T00031475001 transcript:CDP10682 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEVKLHGFWSSPYVYWVIWALKLKGIEYDYIEEDLPNKSELLLRYNPVYKKVPVLLHAGKPISESPVILEYIEEVWPHNPLLPKDPYERSVARFWINFATDKVRSALLSFFWEGEDGKKEAAEQMFDLLKISEEQALGDKKFFGGNSINMVDLIFALFTCWIQTTEQVVGIKVLEPSRLPRLHAWVNNFKEEPIIKENLPDSERMVEHYTRIRSNPN >CDP20128 pep chromosome:AUK_PRJEB4211_v1:10:27304755:27306545:-1 gene:GSCOC_T00011268001 transcript:CDP20128 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPLRAKSVHNLEDLRSCCFGNTQSLMPNKGFVSFYSRSRNHQNQICQAPRLSISSAAASASASASASVVNDNTNDYSCSSSTHLSVFNPHSASIYNNACISSKNKKRVFDKEEGDFSGNLDRWVKESVVEILNNLDEAPFLVHIYSDGEEASVSMSNTRLVKEKADAQSWPRIKGRWGGGSPSPSGIILVEEMSTEDALSSDGENLGSLGMDYDSRSSSTKVWGILIQGKGSTCPACYILKTCRVRSIAGFCTHFCLVRVKCFFESVDIQLKKLWLV >CDP10952 pep chromosome:AUK_PRJEB4211_v1:10:21701855:21705459:1 gene:GSCOC_T00031932001 transcript:CDP10952 gene_biotype:protein_coding transcript_biotype:protein_coding MMISSLNPLFTTHRSGVIAQQFFASSAAASINSVSSLKIAACSKTKLVDQSPLRQSGNHQLLSSDFNHLQSLKNDYAEEKYISRCEVLKEQVKMMLDQEMEVVNQLELIDDLQRLGLSYHFGDEITSVLSGIYNRKSMNKMRNQWGLYATCLEFRLLRQHGFDVSQEIFDCFKDEKGDFRPSLCEDSKGMLYLYEASYLESENEESNLEMARRFAAKTLKKNLDEKRVDQDLVALVQHALELPLHWRMMRLEARWFIDIYEERSNRNPILLELAKLDFNIVQAAHQNDLTYTLRQEWWRSTCLAEKLTFARDMMVENFFWTVGIISDPQRGNGRRILTKVVALITAIDDIYDCYGTLDELEVFTTAVERWDVNSIDQLPDCMKICFLALYNFVNEMAYDALKEQGVNIIPYLRKSWADLCKAYLQEAKWFFSGEDHLQYLDNYHKIIRCSAMILRLTNDLGTSPESEVLNVGDVPKSIRCYMKETGACEEKAREHLRFLITEAWKQMEEAQTLDSPFSSTFNGIAVNLARMGLCMYQHGDGHGHQNSEPRDRILSLLFEPICCLA >CDP11992 pep chromosome:AUK_PRJEB4211_v1:10:22898634:22907014:1 gene:GSCOC_T00035335001 transcript:CDP11992 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSFPSPILLYKNSRLPFVYFFLSPSSRQTSTMNSQNHHSQHQKPTNNCQYNRGKNASDNSNSSKPNKSFFDIYGPEGRSDVVFKQPDANSTLNLQDVQGLVTWVLGDGFMPSWIFIKNKPLIPKVVMVYLPGLDAAMYLSQSKLLKGFKQCCGIPRAVLALSCVSEGMQTIDALLTCKTKRKREATQSSVKKTCQTTEQGTYPSNSSGDPSPTDALNNLPFPMTYYTLTAKELEDNGYCNRPDFCSTLPAPATPPYEILALDCEMCITREGFELTRVTLVDVKGQVVLDKLIKPSNPIIDYNTRYSGITDEMLNGVTTTLKDIQEEFLQLVYKETILVGHSLENDLLALKIFHDLVIDTAVLYKHPRGKSFKTALRVLSRRFLSREIQDSGNGHDSIEDARATMELALLKIRHGPDFGSPPSFVRKKLLTVLGDYGKTSSIIDGISIVRRYSSELSRSIVVSSDDDALSKARKEVRNDMVHFIWTQFAELNCYFMKQAEDTENVNKKLAEMISLLTCNQKPSGRKSIKCSITSELKDILCRMDERIRSLHSDLPLNSMLIICTGHGDTAIVHRIRKTLSEQTETTLCRDKLVKVLEELQAQAEVGLCFVGVKH >CDP11418 pep chromosome:AUK_PRJEB4211_v1:10:26034505:26037663:-1 gene:GSCOC_T00033664001 transcript:CDP11418 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGCVSLFCLLALLHLTGNICLLLNLKSICKVYKKNWLSCTKEDSHSRSCNIRLKNSDTSQCSCDSGDIACGKGETNEESDPIEACHMIQMASTSKDYNSEGCDKSMDGSLDGVIDALNVELGSKTDVELGLVTESMGANGNYILCFEPDTGGEMLESCTGEESGPFNLEATNEPESVHSLDTALEVATTVTLDSCPSHSAQTPSKSCNKNEHGQKLELTDEPVSLSTTEEEKNNLSSEEEVKHDFLSLKKISSSGRDGCSGQHDVVHGREEQEKDISSYEELVDSETAVEYETQRTNQQNIFPTAAEGNPDGCNFSNSWHGDELKMLFGNSETSHKVLCLQERDDGAYAKSVSEFASAEFKDNLVLSNSTPAQHDDQKIGDSEIQKENEVGKGNTSETSAKFLEDNQNDKHAESGTEVPTSSSLEMNIHPQESKGDQSFSFDQSKDVKVEIETSEATFVGEEVTTLGHDAEDKVGELVIQDSFASESFISKSHELSKECDDDTMIDYINSESTENVPSMINSPEKNLIIDALAAVAELANLVEPEAKNVEKTGIADIKNVIVPAEEQKHGEAREESNHQYLEDAGGVLEDTIAMHSETHSSSNKNSDSAADESIESPSKPSMSTFKGKNRRTILIHGTPNKLQTAVDMKENAPNSKLEKVGTWTTVRPAAKRPALKDLLHK >CDP01198 pep chromosome:AUK_PRJEB4211_v1:10:4616284:4622484:-1 gene:GSCOC_T00034767001 transcript:CDP01198 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEIGDREFGKLRPNLFSSRIKSGRNSSIQLSNHKEIVSAHRGSVNSLQVDLTEGRYLLAGAADSSVAVYDVQCASREGNGLIARHKSLFVVDKQHEHGHKYAISSVTWYPIDTGLFITGSYDHHINVWDTNTTQVVMDFKMPGKVYRTAMSSLATSHMLIAAGTEDVQVRLCDIASGAFAHTLSGHRDGVMSVEWSSSSEWILITGGCDGAIRFWDIRRAGCFHVLDQSRSQLGRRPPLLVRSTANKVITSKSSSINPSSSVKSRPPQRKTNNGNSSKHGGLNKHSSQLKGSVKQRLHPGMLSTQDRATAHYGAVFGLRATGDGMYLLSAGSDSRLRLWDIESGCNTLVNFEITRLQTSKPLQLATTQDSALVFVPCMTTAKAFDMWSGKTLMNFRGHYENVNCCWYSAQDQELYTGGNDRQILVWSPSNFTTGEVDEWRKGQGAALDQDNWSD >CDP01252 pep chromosome:AUK_PRJEB4211_v1:10:4179315:4182247:-1 gene:GSCOC_T00034836001 transcript:CDP01252 gene_biotype:protein_coding transcript_biotype:protein_coding MENLCYYLVTISLCSLPLLLISKNLLFNHVKNKKLPPSPLALPIIGHLYLIKNSLYEDLTSLSSRYGPIFFLQFGCRSFVVVSSPSAIEECFTRNDIILANRPRSMGGDRLSYNYRAVGVVPYGHMWRDLRRLLVVESFSFNSLQRTSVIREEEIKLILRSIYRVSKHGSLIRVDLNRWISVFTLNVIMRMLVGRRCIREEDAGEELGMQIIKEFRENFASGGGIALNLCDFVPILRWIGYKGLEKEMISLHKKRDKFLQGFIDEFQCSDTLLDKGEKAVIANLLSRKEKESDFLSDDIIKSIALIIFTAGRETSTLTTEWAMLLLLNHPKALQKLRTGG >CDP18289 pep chromosome:AUK_PRJEB4211_v1:10:24867564:24873707:1 gene:GSCOC_T00012018001 transcript:CDP18289 gene_biotype:protein_coding transcript_biotype:protein_coding MERKAVIVLLLFLTSLVESTERSGITQSNNVGAGSSLVYSWTICSAGVFVLAALVLSMYLIFEHLAAYNQPEEQKFLIGLILMVPVYASESFLSLLDSNAAFYCEIIRDCYEAFALYCFERYLIACLGGEESTIEFMENQSMFSSSIPLIDEAYAYGVVEHPFPLNCCLRQWSLGPDFYQAVKIGIVQYMILKMICALLAMLFQLFGIYGEGKFEWGYAYPYLAVILNFSQTWALYCLVQFYSVTKNKLAPIKPLAKFLTFKSIVFLTWWQGVAVAFLLSLGAFKGSLAQVLQSRIQDYIICIEMGVAAVIHLYVFPAAPYKRGERCVRNVAVMADYASLGSPYDPEEVRDCERSTKVRIGRQEESEKRLKFHQSVCDVVLGSGEIIVDDMKFTVSHVVEPVERGIASINRTFHQISENMKRHQQRQKKSKDDSYIVPLNSWTKEFSDLHEDIPEGSFSDSGLPNGKRNHHQSKGRSSRFRNR >CDP01103 pep chromosome:AUK_PRJEB4211_v1:10:5570883:5571744:1 gene:GSCOC_T00034618001 transcript:CDP01103 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKLIIYNLTGSRSRTKSVVFLQANLLVVDLITTLTKLSSLGQQINYEAYTYPVQKIELSKLKL >CDP07495 pep chromosome:AUK_PRJEB4211_v1:10:2022685:2023559:1 gene:GSCOC_T00024771001 transcript:CDP07495 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRTFVLILFFWAVLTIVTPMLVRLSASAKPHVEFKAKGETREEMYTRRVLGMLPRRALVPTMMHKAPLAPEPPASAPAPEPEPEPEPEPSLVVNGLELFVDGDKLITHKAHELLLR >CDP00997 pep chromosome:AUK_PRJEB4211_v1:10:6812769:6822910:1 gene:GSCOC_T00034479001 transcript:CDP00997 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAALLTSAGINIAVCVVIFSLYSILRKQPRFMNVYFGQKLAHAKSRRQDPFCFERLVPSASWIVKAWEASEDQICAAGGLDALVFIRLIVFSIRIFSIAATICISLVLPLNYYGHDMEHKVIPSESLEVFSIANVQKGSKRLWAHCLALYIISCCTCALLYHEYKSITKLRLLHITEALSNPSHFTVLVRGIPSSQTESYSETVAKFFSTYYASSYLSHKMVYQSGTVQKLMSDAGKMYKMLKTCTREQQCGPNLMRCGLCGGTTSSFKMLAIESQNDKGRSDFDAADLRRKECGAAFVFFRTRYAALVAAQSLQSQNPMKWVTERAPDPKDVYWTNLGLPYRILWIRRIAIFVVSILFVAFFLVPVTLTQSLVNLDKLQNTFPFLKGILKRKFMSQLATGYLPSVILMLFLYMAPPLMLFFSTMEGAVSRSGRKLSACIKLLYFMIWNVFFANILTGTIIKNLVGEVTRRLQDPKNIPNELATAIPTTATFFMTYILTSGWASLSFEILQPLALICNLFYRYALRNKDESTYGTWTFPYHTEIPRVILFGVMGFTCSIMAPLILPFLLVYFFLAYLVYRNQILNVYVTKYQTGGLYWPTVHNATIFSLVLTQIIASGVFGIKKSTVASSFTFPLIILTLLFNEYCRQRFLPVFKRNAAKVLIEMDWQDEQSGIMEETHQKLQSAYCQLTLTTLHQDATLHEHPGETVASGLQDLENLDSGKTQTSGLWAGHSSPEIKELHAM >CDP10750 pep chromosome:AUK_PRJEB4211_v1:10:9396433:9396866:-1 gene:GSCOC_T00031563001 transcript:CDP10750 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYDNILRPHAILYYSQRATKGGLLIAEATIVSETGRVWTKEQVEAWKPIVDAVHAKCGIFFCQIWHAGRISNYSEFLSCYNFCNFLYSICRVFLGPMPYT >CDP07367 pep chromosome:AUK_PRJEB4211_v1:10:1011426:1013923:-1 gene:GSCOC_T00024608001 transcript:CDP07367 gene_biotype:protein_coding transcript_biotype:protein_coding MWTYRISTILNYLGPGPTCYQFLSYRLSYNSFFYPFFFRLSTLANPELPYNSSIPAVIFFGDSIVDTGNNNNLVTIAKANYPPYGQDFMGGRPTGRFSNGKVPSDLIAEELGIKEALPAYLDPNLQTEDLRTGVNFASGGAGYDPLTSELASVLSLTDQLELFREYLTKLHEIFGEDNKNKILSNGLFAVVIGSNDITNTYFGLGGQKSHYDVPSYTDLMLNSASSFIQELYKVGALKIAVFGLPPIGCMPSQRTLRGGVLRQCADDYNQMAQLFNSKLRAEINSLDSRYPKARMVYVDIYNRPLDLINKPQKYGMLLPSLSTFAFLCQYTCSNVLDYVFWDSFHLTERAYRVVVQQFLKQNLISFL >CDP11519 pep chromosome:AUK_PRJEB4211_v1:10:27008911:27013596:1 gene:GSCOC_T00033812001 transcript:CDP11519 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLQRRALERAICGRNRLCKVSVSVSVSVSAVVVLWGLVFFLNIWFGQSDNYKDGSTDFPVSVRIWDGDKREPDVGQSSASPDGRSSLTETPSVDSTEASCSEAGGTENVNGKLEDLSNDASPDSRFQEQGLGGKSDIATTSVREDSISDRFSLAVPLGLDEFKNRAISSRSRYMSSHAGSIKHRVEPGGAEHNYASSSKGAKVLASNKDAYGASNILSKDKDKYLRNPCSAEEKFVVIELSEETLVDTVEIANFEHHSSNLKEFELLGSQVYPTDTWTKLGNFTAGNTKHAHSFVLPEPKWVRYLKLNLLSHYGSEFYCTLSVFEVYGMDAVERMLEDLISVQDKVIVSDESLSRETHMPHRPVPAEGDSYHNIDSEVEPELAVGHSDTKRVVTTIDVPDPVEEIRQHQVNRMPGDSVLKILMQKVRTLDLNLSVLERYLEELNFRYNKIFREFDREMGEKNVLLENIKSDIRSLQDSKEAMSKEVNDLVAWKSFVSMQLDDIVRSNAVLRWLSFLQSGYFVCTYMVLWLVVFVMQTESERFEWFSRKHWELNHLYAFFTLCQWCCAQLLRLLLEVEKVRRNQVHMENKGIVIFLVCLTFGFFALVRLFVDMALSMYRSQNSGKFWSLGSSWFLLLLSCSITIIILSL >CDP11443 pep chromosome:AUK_PRJEB4211_v1:10:26253276:26266057:-1 gene:GSCOC_T00033702001 transcript:CDP11443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GIGANTEA [Source:Projected from Arabidopsis thaliana (AT1G22770) UniProtKB/Swiss-Prot;Acc:Q9SQI2] MAASCERWIDGLQFSSLFWPPPQDAEQRKAQITAYVEYFGQFTSEAFPEDIAELIRNRYPSEENRLFDDVLATFVLHHPEHGHAVILPIISCIIDGLLEYDKNCPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPVYKVENNDSDADRNNSGKHVSTSKYADGEPSLSSSQHDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGELKPPSTVSSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTAMDEHLVAGLPALEPYARLFHRYYAIASPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEEYASGMRLPRNWMHLHFLRAIGIAMSMRAGIAADAAAALLFRVLSQPALLFPPLRQVEGIEVQHGPTVGYISRERKQKEIPGAEATVEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIVVATPLQPPILSWNLYIPLLKVLEYLPRGSPSETCLMKIFVATVEAILQRTFPPESSREQIRKTRYVFGSASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQPKGNKRAKGEDYVPSEEVGEDLQVANGKHIEVRTKKMKKQGPVAAFDSYVLAAVCALSCELQLFPLLSRGTNHSDPKNIQDVAKPAKISELSSELKGSVDSAVCHTRRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHISDLFRRSKACMHGLSILMRCKWDNEIHSRASSLFNLIDIHSKAVASIVNKAEPLEAHLIHVPLWKETSSCFHGKEYSKCSSCSCSKPGEASTQQCMELPHSKVSLKLKDTQCKDVAKCMAGKGIGSFPTDASDLANFLTMDRHIGFSCSAQVLLRSVLAEKQELCFSVVSLLWHKLIASPEIQPSAESTSAQQGWRQVVDALCNVVAASPAKAATAVVLQAERELQPWIAKDDDLGQKMWRINQRIVKVIVELMRNHDTPESLVILSSASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLKWGESGLAVADGLLNLLKCRLPATVRCLSHPSAHVRALSTSVLRAILYAGSLKASGKKVDKNGIHGPAYQYLSVGNVNWQTDIEKCLTWEAHSLLATGMPTQFLSTAAKELGCTITI >CDP17243 pep chromosome:AUK_PRJEB4211_v1:10:18237963:18238787:1 gene:GSCOC_T00013654001 transcript:CDP17243 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEFLLLLQSLQVPTSTWDGISKLVDEYMNKSLRILELCNLLTSAINEMNRNLLKIDVASRSMSQGESLANIQVLAVRDTKLYGSLKWKNEDSTEIPMNKINSKNSTTNIICAITGAMTIISSILFCIIFCPIPVELEKEIHCELPQMKLFVDSVQELAKCFNNKSNIHVDNLFVAFLGHEMIEQEITETKSQTEKQIATDIDKLKRTKASIQEKSAALKVSLEVFELEVTKVFEEVLRGRNKLLQQITPMQQNLN >CDP18288 pep chromosome:AUK_PRJEB4211_v1:10:24861845:24863003:-1 gene:GSCOC_T00012017001 transcript:CDP18288 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEGDKYRSYLTEEDVKNTTWRFGPPNYDAVNKLFEEGRTKIWPVGSLEEKVQRLVKTWEMEIVHKVNPDEYKTLDAKKFTLGINGRKALTLEEAGKLGGSYNVFLQTGLPENFRVYNPANETAESSQKVFTTTFARGFAVEILQVYSGPPLIVYKFRHWGFMEGPFKGHAPTGEMVEFFGLAIFELDEHSKAVKAEFFYDPGQLLGGLVKGKMSEEHKAETSSSCPFFTTA >CDP11381 pep chromosome:AUK_PRJEB4211_v1:10:25480798:25481411:1 gene:GSCOC_T00033609001 transcript:CDP11381 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKENSEIMEPQIRIILKSPKKPVEPPKKPEKPPVVVIEKPKEPVHRPIFPGKDDWKGN >CDP07342 pep chromosome:AUK_PRJEB4211_v1:10:870109:871384:1 gene:GSCOC_T00024578001 transcript:CDP07342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain 2 containing protein [Source: Projected from Oryza sativa (Os07g0476900)] MATVANFLAKPPTDQLAAGTKTSPYFYRGSPHLPFSLKPRQYSFPGIHKRQDLFIVKAAASAAGVKKEKASDERVKKVHSIEEFDEALRSAKNRLVVVEYAASHSLESSDIYPFMVDLSRTCNDVDFLLVLGDESEKTRELCKREKIDNVPHFSFYKGMEKIHEEEAIGPDRLVGDVLYYGDNHSAVVQLHSREDVEKLIGEHKVDHKLIVLDVGLKHCGPCVKVYPTVLKLSRQMADTVVFARMNGDENDSCMRFLRDMDVVEVPTFLFIRDGEICGRYVGSGKGELIGELLRYQGVRVT >CDP01375 pep chromosome:AUK_PRJEB4211_v1:10:3080383:3081146:-1 gene:GSCOC_T00034989001 transcript:CDP01375 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKARVLLSSAFLLALILSYEIVSSGARPLLKPEKNTADGKRSLHEKDFSSGHARILQSQVSFPQRDNHVTSPTVANEALDEADGGDDVRSTTPGRSPGVGHKV >CDP11997 pep chromosome:AUK_PRJEB4211_v1:10:22839122:22842440:1 gene:GSCOC_T00035340001 transcript:CDP11997 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIAHFVFGVFGNASALFLFLAPVITFRRIIIKRSTEQFSGVPYVMTLLNCLLSAWYGLPFVSPHNLPVSTINGTGAAIEFIYVLIFLIFAPKKEKTKIFSILVLVITAFAAVALVSLFVLHGQSRKLFCGLAATIFSITMYASPLTIIRLVIKTKSVEFMPFFLSLFVFLCGTSWFIFGLLGRDPFVAIPNGFGSGLGTVQLILYAIYHDNKGPGKREVSDASSQLKDLENGKPQEEKQTPGDEQV >CDP11487 pep chromosome:AUK_PRJEB4211_v1:10:26656566:26657269:1 gene:GSCOC_T00033763001 transcript:CDP11487 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVLCNQKGAGFNSFDSWFGKESVWVCCNRIAYGFVLTAHHMRIYISMDSFSGGNLLSP >CDP07581 pep chromosome:AUK_PRJEB4211_v1:10:2586824:2588949:1 gene:GSCOC_T00024877001 transcript:CDP07581 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSSLASSLASLSFSTQISQKPHASALSFTSAKSLSLSKTSTPVIAASAAAVPSPVDLETADLKQLVKSRLPGGFAAQTIIGTGRRKSAIARVVLQEGSGKIIINYRDAKEYLQGNPLWLQYVKTPLATLGYESSYDVFVKAQGGGLSGQAQAISLGIARALLKVSESHRTPLRQEGLLTRDARVVERKKVGLKKARKAPQYSKR >CDP01358 pep chromosome:AUK_PRJEB4211_v1:10:3218017:3221778:1 gene:GSCOC_T00034967001 transcript:CDP01358 gene_biotype:protein_coding transcript_biotype:protein_coding MGANHSREDLDLSDSDSDSESQTPEAHREEDEDNFEDAKTPSSFEPKTPSSLDHVEAKLKALKLKYNNNYSKESDPTRKNAVKLFIHVGGNTSNSKWAVAEKSATYCFVKTHSENGSDEEEDEECEENSWWILKIGSKIRVKIDQNLQLKAIKDQRRVDFVANGVWAVKFYSEEDYNSFFDKFQDYLFENTYGYEANDENKVKVYGKDFIGWAKPEKADDSMWDDAEDSFFKTPQSALKTPLRDGNDLTEEFEEAAPNCGAIQSLALGALDNSFLVSDTGVQVVRNYSHGIQGKGVYVNFDNGRSNGSFSNGIAHSTPRKAVLMRAETNMLLMSPMTDGKPHTRGLHQLDIETGKIVTEWKFGKDGTDITMRDITNDSKGAQMDPTGSTFLGLDDNRLCRWDMRDRNGMVQNLANESTPVLNWAQGHQFSRGTNFNCFASTGDGSIVVGSLDGKIRLYSINSMRQAKTAFPGLGSPITHVDVTFDGKWILGTTDTYLILICSLFTDKDGKTKTGFSGRMGNRISAPRLLKLTPLDSHMAGVNNKFRNAQFSWVTENGKQERHLVATVGKFSVIWNFQQVKDGSHECYQNQVGLKSCYCYKIVLKNDSIVDSRFMHEKFAVSDSPEAPLVVATPLKVSSFSISSRRLNL >CDP07267 pep chromosome:AUK_PRJEB4211_v1:10:309941:316125:-1 gene:GSCOC_T00024487001 transcript:CDP07267 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRAGRTLEKTPTWAVALVSAVIVVVSILLEKALHGIGKTFQRKRKEALNEALEKIKAELMVLGFISLILTFGQNYISKICIPLKVAETMLPCSHKDPEVEGEHHRRLLWNEHRFLAADSPATACKSEYVPVISVNGLHQLHIFIFFLAVFHVIFGAMTMTLGRLQTRGWKEWEREAVRETEASNDTSRFRLTHETSFVRDNTGSMARNPILFYPVCFYRQFFRSVRKADYMTMRHGFISVHLAPGSKFDFQKYIRRSLEDDFKIVVGISPTLWASAVIYLLLNVGGSQIMIWLSLMPLVTILAVGTKLQAIITQMAVEIQERHAVVQGIPLVQVSDRHFWFSRPHLILHLIHFTLFQNAFEITFLIWTTYEFGIHSCFYEGLELSILRVVMGVAVQVLCSYITLPLYALVTQMGSHIKKSIFDEQTKKALTKWTLNAKKKNNVKPGTPQKQRLGGSPVESPEDSPKLAAGPSSTSGLEMSGLELRKPHGQHSSAANITASVDIPDPPHTPPPNDVGDLLSAP >CDP18300 pep chromosome:AUK_PRJEB4211_v1:10:25059574:25066903:-1 gene:GSCOC_T00012037001 transcript:CDP18300 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVKNWQFHHLEPALPRFGAGPNHRSDSPVGTFDFHPNKPTVNTVTSNVHVDYPSSDDEEENDENGYEDAIKKGKAELENSVLDARDEGTADNWIVRNPSMVRLTGKHPFNAEPPLTRLMHHGFITPVPLHYVRNHGPVPTATWDGWTVEVCGLVKRPMKFSMEQLVNEFPHREFPATLVCAGNRRKEQNMTKQTIGFNWGAAAVSTSVWRGVPLRAILKRCGILSRKNGALNVCFEGAENLPGGGGSKYGTCVKKGVAMDASRDIILAYMQNGELLTPDHGFPVRMIIPGFIGGRMVKWLSRIIVTTQESDSYYHYKDNRVLPSHVDAELANSEAWWYKPEFIINELNINSVITTPCQDEILPINSWTTQRPYTLRGYAYSGGGKKVTRVEVTMDGGDTWHVCAVDHPEKPTKYGKYWCWCFWSLDVEVLDLLGAKEIAVRAWDESTNTQPEKLIWNVMGMMNNCWFRVKTNVCKPHKGEIGIVFEHPTQPGNQSGGWMAKEKHLGKSSDSNQTLKKSVSSPFMNTSTKMFSMSEVKKHNSADSAWIIVHGHVFDCTRFLKDHPGGSDSILINAGTDCTEEFEAIHSDKAKKLLEDFRIGELITSGYTSESSTSSPNNTVHGASNASHLAPITEIAPARSIALISGQRIPCKLVSKTSISHDVRKFRFALPSEEQVLGLPIGKHIFVCATVDEKLCMRAYTPASGAEEVGYFELVVKIYFKGVHPRFPNGGVMSQFLDSLSLGSFLEIKGPLGHIEYKGKGNFLVHGKHKFAKKLAMLAGGTGITPIYQVMQAILKDSEDDTEMFVVYANRTEDDILLRDELDAWAEKYPERVKVWYVVEKSVKEGWNYGLGFVTESILREHIPLASETTLALACGPPPMIQFAINPNLEKMGYDIKDSLLIF >CDP01219 pep chromosome:AUK_PRJEB4211_v1:10:4413650:4416522:1 gene:GSCOC_T00034791001 transcript:CDP01219 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNLEKMKNAGKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCKEHAEAKHPKSDLNACFPHLKK >CDP17692 pep chromosome:AUK_PRJEB4211_v1:10:19139861:19144244:-1 gene:GSCOC_T00001419001 transcript:CDP17692 gene_biotype:protein_coding transcript_biotype:protein_coding MANYSMISCLGDCLWEEDENKQYKMVDFCSVLNSPAAKAEDNLKVHNHSSSSEPPSQQPLLFFEVLCKRSGKIRRFSNGTEAGFAVNLINKKLEAEGGLEISLASHIEAVKEGEEPVSFGPNSLLVDYGPGWKLQTVNYIQGVEKGINIATRKVKRPDDKVIDDSSTSQKKSQASLSLVYIGKILLAFILILVFGAIFTLLLENLPELILYVNSLV >CDP07482 pep chromosome:AUK_PRJEB4211_v1:10:1924970:1926825:1 gene:GSCOC_T00024756001 transcript:CDP07482 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKECSLQQAESTISGIRVADSEDVRDIEEPMAVHTFRQALILDNLLPSRHDDNHMMLRFLNARKFNIEKAKCMWSDMLRWRKDFGADTILEDFHFNELDEVLLYYPQCYHGVDKEGRPIYIERLGKVDMYKLMQVTTSDRYVKYHVQEFEKTLSVRLPACSIAANKYIDASMTILDVQGVGLKNLIKPAREVIMRLQKIDNDNYPETLHRLFIINAGPGFRLVWSAIRPFLDPNTASKINVLGTNYKSALLEFVDQSELPDFLGGSCTCANEGGCLRSDKGPWKDQNIVKVRNKPRSMSAIKLLLILTSLQHFRKVLRLQSM >CDP11954 pep chromosome:AUK_PRJEB4211_v1:10:23920269:23921362:1 gene:GSCOC_T00035276001 transcript:CDP11954 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWCCDVSRDSSLLLTGSADQTAKLWNVKTGTWGFGKATSRTKASNSTTISTWNHI >CDP19630 pep chromosome:AUK_PRJEB4211_v1:10:25130910:25132014:-1 gene:GSCOC_T00006182001 transcript:CDP19630 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVKNVVCFVGFLVINSFLQSSADPTDGFTNVPLTEANFEIQRPYNVPLDERYSFENGIRKMWVYANDKPHSPNSETQPRTEVRIHGLDYTSGVWQFEGYGFVPNGTSGATVAQIHGAAHDSSTIILRIYNGDMRYYSGEVIATGMYDRWFKLNLIHAVEGGTVTVYIDDQQKFQTQDRGRSQFYFKCGVYAAPRDISYYMESRWRDIKIYKK >CDP10660 pep chromosome:AUK_PRJEB4211_v1:10:11127743:11128316:-1 gene:GSCOC_T00031441001 transcript:CDP10660 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRINGVMAILLCGMILLSSNVEVKVVEACPQYCLDVEYMTCGNSETKLPPRCNCCLAPKGCTLHLADGTSQYCS >CDP01173 pep chromosome:AUK_PRJEB4211_v1:10:4927974:4934584:1 gene:GSCOC_T00034722001 transcript:CDP01173 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRTNTSCAICDSSNLASICPVCVNYRLNEYSTNLKSLKSKKDALYSKLGEALLAKRKADDQKSWLLLQKEKLIKMKEKLHLRQQEVLQGKSMIEAMSHDLDAKYELLESAMSVLQKNQVEQLEKFYPNLICTQSLGHMAITSELLHRQSVIVKQICKLFPLRRLNVDGDRKDGFTGQYDTICNARLPRGLDPHSVPRDELAASLGYMVQLLNLVVHHVCAPALHNSGFAGSCSRIWQRDSYWDARPSSRSSEYPLFIPRQNFCSTSGETSWSDRSSSNFGVASMESDRKPHLDSSRSSSLNYSSASPHSIETHNDLQKGISLLKKSVACATAYCYNSLCLEVPAEASTFEAFSRLLSILSSSKQVRSFVSSKMASSRSSKQAQQLNKSVWKVDSAISSSTLVESAHAFPMKRNVFDSHVPSSASSFLFPTEFSDIGKNENFIEGWDLVEHPTYPPPPSQTEDVEHWTRAMFIDATKK >CDP07583 pep chromosome:AUK_PRJEB4211_v1:10:2598222:2602658:1 gene:GSCOC_T00024880001 transcript:CDP07583 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNSFSITVSDQSSDEHADKKLRARVRRKSKKFGFRGKTEFTRQVVRQVLKWWPFLLFLSAAALLIFEVSRIYGKSTSPPPPQTAQAQKKPDHPHFEKKEQGNLNRLDPTTRVVGGVRERCLKLLAPEELERLVFPQQRVSNSPIKKVVYITETDKTYGEGNFTKSQHGLDGTRFNLFTGYQTLDQRDQSFKVNKTATVHCGFYSEKGGFKISEEDELYMHECEVVVSTCAFGGGDDLYQPIGMSEQSLHKVCYVAFWDEITLAAQEAQGQKVGENHFIGKWRIIVVKDLPFRDQRLNGKIPKMLAHRLFPNSRYSIWVDSKSQFRRDPLGVLEALLWRSKSVLAISEHGARSSVYDEAKAVVKKNKATPEEVEVQLTQYRKDALPEDKRFNGKKALAEASIIVREHTTTTNLFMCLWFNEVVRFTSRDQLSFPYVLWRFKALKDINLFPVCTRKDLVNSMGHVRKAKLLTTLHIIMLPRVIFCIAVSLAVIAVALLALFSPLPHTKPAKDSRPRLALSLYIQQPKIGGSNLHSVAPSDAGALVFHRTLTEGPENTSRVVGNAQGFIIPIEHFAHSAFNIIYLTFNSHKHSGSLSIQAKELANKEKKELMVVGGTGSFAFARGLAVFAQTDMQGSSHSDATYHVKLHLKFPNRSQTIPG >CDP01067 pep chromosome:AUK_PRJEB4211_v1:10:6002273:6006281:-1 gene:GSCOC_T00034567001 transcript:CDP01067 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRIFFCGLVGSSKKVKGGNKSPETADNGKGLKTLHVKIEAPSKSSENDQVRSTSFNLPVPFAVPGAARCKVKVMNHESPVGRETEDVAYEGEDEDDENLSMRRENSDLDLQAHAGCSNEEADRLVKEMNLYNTFGTDLSNQCERTEKDIEAREISKSGHLSDPGIGKAAFWASPKLVRSCSDLGTRGMLNKVAAQLPPTKAQSYEELQKLAEKLNEEVLQVNPASPLSVRTRCSADRVILKKHSSSKILPSRSRKLWWKLFLWSHRNLHRVEVSRPPPLLLNPALNQQGGYCSDTLEPTRAVDLSKVESPNLFTEERLKNVICDNDDQSWDGFHGVSGLLPQNQWVAFPGESSTMARADEWPLNQWVDFPGASSRMSRVDEWVKGLLVQTPVPVEKDDQTEDKTDLVGTPEAGRSPRRSSSPVLPHPTFNVPEEIAHANSVIQSLNSSSKVAHIVGIGLKVVPHLSSFNSLRSVNLSGNLIVHVAPGSLPKGLHTLNLSKNKISTIDGLRELTRLRVLDLSYNRISRIGQGKYLFFQS >CDP17242 pep chromosome:AUK_PRJEB4211_v1:10:18173489:18188843:1 gene:GSCOC_T00013651001 transcript:CDP17242 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGFARKRGLKRVNSSKPFKKFKNLKLNLGAEKLVPKQPLENDSEDWSDENSEAELETKEEEVEEDIVFNRKPTMYDNLLKRLGSSSQLIANAIKQRKRGEEGKSDTEDDESESEVEDDNEGSIDESPRSIEMINGAKESGVPGYTEKSEDSGSDDGEIGASDSDKEHDSRTNVESITSRSTFSSHLEYKLSQGEVDNLFKRKWNYKWEVPALRAPNCKWRGTGDCFLKVDLFNYNCWLICQFCPYLFHNHFALSFTEEFGSGGVESEEEDEDVENSKRKKSSKPSDFQSLFGGNNNDHFMIGIKYMGRKIKLYADFYSSDIIVASPLGLITKIGAVEVEKEKDIDYLSSIEVLIIDHADVILMQNWSHVNTVVEQLNRLPVKQHGTDIMRIQPWYLDGQAQFYRQTIILGSHLNPDINSLFNRHCLNYEGKVKLVCLYKGVLPKVLIPVRQIYERFEADSIADVDDARFQYFCKKVFPKIKDSIQGGIMLCISSYFEFVRLRNFLKSQEALFCLLGEYTKQSDISRGRVWFFQGQRKIMLYTERAHFYHRYKVLSFFFVFFLVFPTTTFIVLLSQLRLVGCKTS >CDP07419 pep chromosome:AUK_PRJEB4211_v1:10:1399526:1403768:-1 gene:GSCOC_T00024681001 transcript:CDP07419 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKLAAAKLVVYLHPSKAKNVADAIHGEISSLLFKFNETFDGVLLAYDTDFPSNLAKILPGVHPYFGVRLETKLLLFHPKPDMVLEGEVVRLSEQSIHVVILGFSAAAIMAEDIREEFKFKAKPGKEVFRSTIHKKHRIKIGTIIRFVVKSFDEEILHVSGSLLPAHTGCVKWLERHLEEWSQSDSTIKKRRANEQNREISEPDKAANDGETFAVNTESPNKKSKRRKKENS >CDP10930 pep chromosome:AUK_PRJEB4211_v1:10:21190460:21191861:-1 gene:GSCOC_T00031895001 transcript:CDP10930 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMHDCRYNEEGSPRGIPKRGSYEKAYFTRSPLSSPPASRNTSPSPLSRSTSKRSLTPIRSAAANLLRSMSRRKSAEATTLPSTLSRSVSRKASITIMYSNSNGLMKPPAMEMTLECTLEELCFGCIKKMKITRDSVTDDGYTLQLIQEDEVLTIKVKPGWRKGTKITFEGMGNEMPGADPADVIFTVLEKRHHMYRREGDDLELAVEIPLVKALTGCIFAIPLLGGEKMILTIDDIIYPGYQKIIPGHGMPKPHEQGERGNLIITMLVKFPTELTDEQRSEIVSILRDSC >CDP07374 pep chromosome:AUK_PRJEB4211_v1:10:1058001:1064099:-1 gene:GSCOC_T00024615001 transcript:CDP07374 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVELFTEQNFEQNAQAGKVQTVMDSSSQKKKVVSVLGLFAAADKIDYILMFFGSAGACLHGAALPAFFVLFGRMIDSLGHLSSNPHQMASVVSKYAVYLVYLGLVILMSAWIGVACWAQTGERQTTRLRLKYLQSILKKNISFFDTEAMDKNLTFHISSDAILVQDAIGDKIGHSLRYLSQFFVGFAIGFISVWQLTLLTLAVVPLIAIAGGAYTLIMSTISQKGEAAYAEAGKIAEEVISQVRTVYSFVGEEKAAKAYSISLNKALRLGKKIGIAKGVGVGFTYGLLLCAWALLLWYASILVRHRDTNGGKAFTTILNVLFSGFALGQAAPNLASIAKGRAAVTNILSMIEDDIDQHNRSDEGKALPGVNGEIEFTEVYFSYPARPTMIFENLSFLVSAGQTIAVVGPSGSGKSTIISLVQRFYEPISGRILLDGHDLKDLKLNWLREQMGLVSQEPALFGTTIAENILFGKEGANMDQVIEAAVAANAHSFVQGLPDGYQSQVGEGGTQLSGGQKQRIAIARAVLRNPKILLLDEATSALDAESEMIVQQALDTVMFGRTTIIVAHRLSTIRDADKIIVLRHGQVAEMGSHEELMSSGGDYASLVSLQVSEHSKDLSAEDPVKTSASSSFREDPKVTNHQEESKDITAGDLHSNREGRKLQDLNSSPSIWQLIKLNAPEWPYAVFGSIGAALAGMEAPLFALGITYILTAFYAQDDTRITQEVHRVSLIFLGIALLNIPIYLLQHYFYTLMGERLTTRVRLRMFSAILSNEIGWFDMDENSTGSLMSKLAADATLVRSALADRLSTVVQNVALTVTSFVIAFTLSWRIAAVIIATFPLLIGASIAEQQFLKGFGGNYAAAYYRTTALAREAIVNIRTVAAFGAEERISIQFISELSGPNRQALLRGHISGLGYGLTQLFAFCSYALGLWYASVLIEQKSSNFGDIIKSFMVLLVTAFAVAETLALAPDIVKGSQALGSVFNILHRKTAINSDDPTARIASKIRGDIEFRNINFQYPARPRITIFENLNLKITAGRSLAVVGQSGSGKSTVISLVMRFYDPTSGTVLIDGFDIKSYNLKSLRLSIGLVQQEPVLFSTTIYENIRYGNEMASEIEIMKAAKAANAHGFVSRMPNGYHTHVGEKGVQLSGGQKQRVAIARAILKDPSILLLDEATSALDAAAEMQVQEALDKLMEGRTTILVAHRLSTIHEADNIAVLQHGKVVEIGSHKQLISRPEGIYSQLVSLQQEKGAQIPAAQ >CDP07326 pep chromosome:AUK_PRJEB4211_v1:10:790264:790488:-1 gene:GSCOC_T00024558001 transcript:CDP07326 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCVSKSPRFGYVNYRDLDLGLNREDTSSYAEASSWGIKYFGNNFRRLARVKNVADPSNFFRNEQSIPPLSSA >CDP07607 pep chromosome:AUK_PRJEB4211_v1:10:2822087:2825384:-1 gene:GSCOC_T00024914001 transcript:CDP07607 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAILATGFGPRKCAKIGQPLKDQISRLPDEILVYILSCLTLKEAARTSVLSKRWIDLWRSMACLDFDASKVLNKMFSISSWGRYADFVRKERRKYVEWVDKVLLQSDKSLALDDLRVAFCLDNFYGDKIHKWLQHAFARRVQRLELNVFADDDPASSQESYTFHYELFCLSSGQSQPGYSEIHHHAQIGFKSLRALSLKSLNVTGEVLEFFLINCPFLERLVVEASSVLINLRVCGPSIALKYLENVPMLVNVWVSGNSRNLIRDVTSWLSCCLSKLEVLTLRANKFQVSQEKGIVHELPQLTNLKEFVLIASASKDRSLIGFTSLIRASPNLEKFVLKLESWWGDMVRGDRKLKKAASFPLQHLKVVELLGYYGRRSELELVEYFLENAIVLEKLIIDPRDPRNVTWPKTRKERKQEKKQEKLARICAKQQIEGLIPSHIEFSIL >CDP10658 pep chromosome:AUK_PRJEB4211_v1:10:11183515:11186076:1 gene:GSCOC_T00031439001 transcript:CDP10658 gene_biotype:protein_coding transcript_biotype:protein_coding MMDCAHCFCNICWTKHFIMKIHEGESRRITCGANGCKAICDEENVRNLVNRRDPHIAKKFSKILLESYVEDNDKVKWCPSVPHCGNAIRVECDEYCEVECACGVQFFFSCSSEAHSPCSCLMWNLWKKKRQDESGTVNSTNTKYCPKCHKPVEKKGGCNRVRCLCGQQFCLICPVTALFILHVLVHLYFCNLIITSTVRACSCYPQVHWQTDFACFLVLHVFSAILPDISVHEGQLLFVSLLHVVNIFWLCGGKTSTSIADHHPCGQYKDDRLEKDGLAQRQSLGYCHNYNQFKANTDSLKLEAKLQSRLNPKIEILEENNHELRDFSWVTVGYNRLFRSRRIPSYSYPFAYYMFCDNQFKNAMEHNDREIKQNLFKDQQQQLQSKVEELSEQLFADWKEGEVLYTRQQIFYLSANIDSRCEKLYDFIEELLPPDHIIVPYRSMGVEKASEFH >CDP07346 pep chromosome:AUK_PRJEB4211_v1:10:883044:889216:1 gene:GSCOC_T00024582001 transcript:CDP07346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 3 [Source:Projected from Arabidopsis thaliana (AT1G20270) UniProtKB/Swiss-Prot;Acc:Q9LN20] MAKGRFSRQQHPGKRTSTVALVLSMLLMLTIVLLMLLALGIFSLPLGSDDGPYPVYDRIKFKRVALDIGEGEGLGKRGEQWTEVLSWEPRAFIYHNFLSKEECEYLIDLARPHMVKSTVVDSKTGQSKDSRVRTSSGMFMRRGGDKVIRNIEKRIADYTFIPVDHGEGLQVLHYEVGQKYEPHYDYFLDKYNTKNGGQRIATLLMYLSDVEEGGETVFPASTGNFSTAPGWNEMSECAKRGLSVKPKMGDALLFWSMRPDATLDPSSLHGGCPVIRGNKWSSTKWMHVEEYKV >CDP07494 pep chromosome:AUK_PRJEB4211_v1:10:2015308:2020720:-1 gene:GSCOC_T00024769001 transcript:CDP07494 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAMMA CA1 [Source:Projected from Arabidopsis thaliana (AT1G19580) UniProtKB/TrEMBL;Acc:A0A178WHZ1] MGTLGRAVYTVGFWIRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNIFDKAPLVDKEAFVAPSASIIGDVQVGRGSSIWYGCVLRGDVNSITVGSGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTIGHSAVLHGCTVEDEAFVGMGAALLDGAVLEKHAMVAAGALVRQNMRIPSGQAIILIFRVWGGNPAKFLRKLSDEEIAFISQSATNYCNLAQVHAAENAKSFDEIEFEKVLRKKYSRRDEEYDSMLGVIRETPPELVLPDNILPDKPQKGTQ >CDP01052 pep chromosome:AUK_PRJEB4211_v1:10:6136348:6144576:1 gene:GSCOC_T00034548001 transcript:CDP01052 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEWEVQVRFPSDTPVAGIELNPWVRVNYKGSYVSPPNYALQFAWYREFSTLPKCVEDYVAFQDHASRDSSMTIYGGGLNQEKHGLLKSEGLKIVDGEGKTWIQVGSSRTYTPSEDDINSSLRLVSVAIDDMGIKMSINVFVTTPVIRLPHPYPRHMIVFCSLRKLRNPKCIATPFKEESFRVLSYNILADLYTVSGAYTHCPNWALTWEYRRRNLLNEILSYDADILCLQEVQSDHFKNFFESEFAKVGYSAIYKRKTKEVYSANEYVIDGCATFFRHDRFKIVIKYEVEYDKMALPVIEVLEPDKRNDGLFRLMKDNVALVVILEEKDNGRSQDAARSTICVANTHIHRGSDASDVRLFQVVNLIRGLEKIDSSGIPILICGDMNSLPGSDPHRFLINGEVGCFSEKFRDPLGIHKHLKLSHSMHLACAYAHLLDSNEVGQHQKEKMGYQAMEPLFTCFKPSLTGTLDYILYSKNRLKVDGLLKLLDYDSLEKRLLPSPLWSSDHVALMANFRVKRASRGVQYLSPPPDPWEQQNKQTDV >CDP01114 pep chromosome:AUK_PRJEB4211_v1:10:5455330:5455787:-1 gene:GSCOC_T00034631001 transcript:CDP01114 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSGIYGDTVTLETLKDFHRRRVQVLADSGADLLAFETISNKLDAMEYTEILEKENIKVPTWFSFNSKDGINVVSGGPISNCTAIADLCDRVVAVGINCTAPRYIDGLAQSIKMV >CDP12020 pep chromosome:AUK_PRJEB4211_v1:10:22548901:22552919:1 gene:GSCOC_T00035376001 transcript:CDP12020 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRTIHPAMKWCFCSAIFPISQQRVMNYGGNCNYMHRHVFCFPFSSYPFPCLLRKSHVSTAKKKSSESEPILKPSIVEEVSAKADDEEDGLYFDDLDDDGLDEDDDYLDDEYIEEEARVGDGSGGGGVSLAGTWWDKTALAIAEEVALSFDGELGIYAFRTLLNSIIQIRIERLTNKSGSPSMLDIEDFTSAYRERLDEAEVAGSIPDNITLEVSSPGVERVVRVPEDLERFKERPMFVKYLSGVTESGSTSEHDGIFRLISYDLETKSCTWGIADVRVNREKAGKGRPLSKKQREWRLETTFDSLLLVRFYSEI >CDP11424 pep chromosome:AUK_PRJEB4211_v1:10:26056821:26062827:-1 gene:GSCOC_T00033671001 transcript:CDP11424 gene_biotype:protein_coding transcript_biotype:protein_coding description:LCV2 [Source:Projected from Arabidopsis thaliana (AT1G43130) UniProtKB/TrEMBL;Acc:A0A178WDP4] MAEDKRESTSATSPLHPNDAVEDPEDPAKPHSPKSPNGSSTRKACYAVFQSWVSKKFMTGCVVLFPVAVTFYITWWFIQFVDGFFSPIYERLGIDIFGIGFITSVIFIFFVGVFASSWFGATVFWIGEWFIKKMPFVRHLYSASKQISSAISPDKNTTAFKEVAILRHPRVGEYVFGFITSSVILQRDSGDEELCSVYVPTNHLYIGDIYLLNSKEIIRPNLSVREGIEIIVSVGMSMPQVISSIERIPHLNDRIPIGRMM >CDP01093 pep chromosome:AUK_PRJEB4211_v1:10:5642732:5645333:-1 gene:GSCOC_T00034606001 transcript:CDP01093 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBW2 [Source:Projected from Arabidopsis thaliana (AT4G08980) UniProtKB/TrEMBL;Acc:A0A178UUC5] MEIRSSLQSTPMEGTDSRHWEDLLPDALGLIFHNLSLQEKLTVIPRVCKPWSKAVMGPYCWQEIDIEEWSNRSESGDVDRMLRMLITRSSGSLRKLCVSGLQNENVFDFIAEHARSLQTLRLPRSEMCDSTMEKIAGRLSILTFLDLSYCSKIGPRALEAIGKNCKFLERLRRNMHPLDVEGKLLQNNEAYAIAATMPKLKHLEIAYHVVDTIGVLEIITSCRELEFLDLRGCWDVKFDEKYLKDKFPKLNVLGPHVVDQYEKNAWEDCSDYSDSLYDDYESSDGMWDDEESLELRFYGGYDEASVYGWPPSP >CDP11951 pep chromosome:AUK_PRJEB4211_v1:10:23944997:23945580:-1 gene:GSCOC_T00035270001 transcript:CDP11951 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >CDP11991 pep chromosome:AUK_PRJEB4211_v1:10:22908382:22912524:-1 gene:GSCOC_T00035333001 transcript:CDP11991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G21450) UniProtKB/Swiss-Prot;Acc:Q9SDQ3] MSLVKSASSFRNRKLYSLKDSRNNSGFSKAILEKQQGITYSSESYSDGSYDPKYFLESPSEEFAHSSISDVLGIRFDSPHPSSNQLLAGQHSFSNSFMPAKQCDTYEFSFESEYLGAEDPDATDYDEDEVRLKLQELERALFDDNNIVDNDLLETEHGWADSTENVLLHDSPKESSSSDSNLSSISSNKEISVTTPPGPKQMLFDCAAAIQDGNFDEASTIINELRQRVSIQGEPPERIAAYMVEALVARMATSGKGLYKALKCKEPPSSDRLSAMQVLFEVCPCFRFGFMTANGAILEACKDEKRVHIIDFDINQGSQYYTLLQTLAQLPYKPSHLRLTGVDDPESVQRAVGGLNIIGQMLETFAEDLKIPLTFHSVAAKTADIDPSMLDCKPGEALIVNFAFQLHHMPDESVSTVNQRDQLLRMVKGLNPKLVTLVEQDVNTNTAPFLQRFAEAYSYYSAVFDSLEATLPRDGQERMNVERQCLARDIINIVACEGEERIERYEVAGKWRARMMMAGFTSSPIGQNVKDEIRKLIKQYSERYKVKEETGAVHFGWEDKILIAASAWR >CDP07531 pep chromosome:AUK_PRJEB4211_v1:10:2245729:2256150:1 gene:GSCOC_T00024816001 transcript:CDP07531 gene_biotype:protein_coding transcript_biotype:protein_coding MADKTDFDSRPSQISKDAPASENPIPLSPQWLLSKPGEIKSGITGENHFVPHPGYSSRSDIMKSPGIGEDTREINKKKDVFRPSVLDMESGRRDRWRDEERDTNSAVRRDRWRDGEKEPVDNRKTDRWTDSSGRQYADARRGPTERWTDLGNRDGNHDQRRESKWNTRWGPDDKETDNVREKWAESSKDSDLLLDKGPSSLAYHGKEEKEGDHYRPWRMNSHSRGRVDPPPHQTLTPSRQAPVFTHGRGRGETSGLTFSVGRGRVSSVSNASTQSHPVGYVSEKGETAHGESLPWRYSRTKLLDVYRTTDTRSCEKISNVVQQVPPLTQEEPIEPLALCTLTNEELMVLKGIDRGDIVSSGAPQITREGSIGRNSTDFLQSRRNKLGSKEDLPHDINDSKEENMENAGGGSNYSESMSQEKQVYSYGGGTRVESVQDYQKFSDYKFNSEGEDNTPSRKNDDVPINREPNMQGPPSILHGGTWRSSSIGERSPSVSHDWREVPAAVNSRAPDVGWSESQKDVNAECEKRVADQSFARLSRIADDSTIRKQPTAIFNKEQEVQKVLQSSPEDLVLYYKDPQGEIQGPFSGSDIIGWFEAGYFGIDLLVRLAGAPPESSFCPLGDVMPHLRAKARPPPGFGAAKPNEITDASSRLNFSNFGTLQSGLNEIDMVKNEPRYQHHSTTEAENRFLESLMTGNLSGVQLEKAVPSEGIRGYIGNNTSAAPPLAAENADNVYLLAKKMTLERQRSLPNPYSYWPGRDAASPLPNSEILQDPSVPHSRLLSSLAENAHPQQTSPNVDLMAILQGLPERSNTVLNNGASGWPNFSTQGGLESLQDKLDVHQAQNYPPQAAYGIQQQRLQPQINLLSQVMENSSSMFSAEKLLSSGLSQDPQLLSLLQQQQLLQAQSPAALQQLSIVDKILLLKQQQKQEEQQQFLRQHQQFLSQVLPDHNSHQRLGESSYGLLQTAGYSAGIAPSDHSRFQPSHELFHIGSQVHAPNLKDERVSNFLLSQSVSEVANQNVGAETHLPHQMFGTAAHQNSWNYPLSEQVDNLELKSSLTTTSMTDSLSHIGIRNGYQLDPLQSNEPIVVATSKAAVSFCEGEHFEESVALEPPAALESDEKDFFIGEQVEEVVKPAAEANEGLQAEGKQNTEESSVVKEVKNVEARDMKKSSDKKSRKQKSSKAQSSDLAKGVLKTQELRSGEVEGTNSKIAKSDTQTLPDDLFVSSAAEEKKHKSDKVTADIVHVQQGQKSSISKDDSETLDENVELGQAGSISQFNNTQLQAGQRAWKPAPGFKPKSLLEIQQEEERRARTEIAVSETATAFSSSSVSTHWVGVVASSDSKSIKESKLDPVSATLNIGKSDSSRNQKNRKSQLHDLFEDTIVAKSSERDPEISDNLSSLPSASAMSSRSDPVDDSNFIEAKDTKKSRKKSAKSKGAGSKVSIPTAVSDVSVGSSPIEKSKSSRHQEKELLPAIPSGPSLGDFVVWKGESTSSSAGPAWSTDSGKLPKPASLRDIQKEQGKKVPSPQIPVPTSQKSAPSQLARGGGSSRSASASSPAKAASPVQINAQGPLSKHKAEDDLFWGPVEQPKQESKLSDFPQLGTSWGSKNTPVKASSGVALNRQKSTSGRLVEHPSISNASANSSLKGKKDSSTKYSEAMDFREWCESECARLIGTRDTSFLEYCVKQSRSEAEILLIENLGSFDPAHEFIDKFLNYKDLLSGEVLEIAFQSQNDRRVTGSGSGQMISDDGGFGGMDQSNATASDASTKGGGKKKAKKGKKVSPSVLGFNVVSNRIMMGEIQSVED >CDP01202 pep chromosome:AUK_PRJEB4211_v1:10:4590432:4591769:1 gene:GSCOC_T00034772001 transcript:CDP01202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase, Strigolactone receptor, Strigolactone perception, Reguration of shoot branchin [Source: Projected from Oryza sativa (Os03g0203200)] MVPSLLEALNVQVVGSGEKILVLAHGFGTDQSAWQRILPFFLPNYRVILYDLVCAGSVNPDYFDFRRYTTLDAYVDDLLHILDALRVDRCAYVGHSVSAMIGILASIRRPELFSKLILIGASPRFLNDNDYHGGFELGEIEKLFSAMEANYEAWVNGFAPLAVGADVPAAVREFSRTLFNMRPDITLFVSRQVFNSDLRGVLGFVKVPCSIIQTAKDCSVPTSVATYLKNHLGGRSTVHMLNLEGHLPHLSAPALLAQELLRALPRC >CDP07521 pep chromosome:AUK_PRJEB4211_v1:10:2189037:2193693:1 gene:GSCOC_T00024801001 transcript:CDP07521 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHESLNNGKPADPAMQIIRHPAYQSHSKLVWLDIRVFYVRISSFMVDDSTPECLTLNHIPLSPDTLLEVNGARCSIYSEGSSCILRRDRLDKKSEEATFVSTDSIRLSGSVKFEVFHKEDLVLSGVLEMSCSNGFTSKYNGNPRKWSMNCETAMSAGAGFLKGKHIISSESSSPTIEVYVAGCFLGAPVILTKTLQFNHRKKPNRKVVLDSIPEYDTAATNKDDGSRADLQVTEDRSYKPESEEELNNMYWRRMEYIEGEDGEISWFNAGVRVGVGIGLGICLGVGIGVGLLVRTYQATTGSFRRRLM >CDP19137 pep chromosome:AUK_PRJEB4211_v1:10:20232377:20235243:1 gene:GSCOC_T00007298001 transcript:CDP19137 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTGKTITLEAESYDTIDNLEDGCTLAGYNIQKESTLYLRGGTKKRKKKTYTKPKKIKYKKKKVKLVVLQFYKVNAQYSILMDELFLNCKRTGNDSDRLSSIESKSALVGNEPKNEGLLSATSSSHSKLLRIVIQKYTRSYPNE >CDP17693 pep chromosome:AUK_PRJEB4211_v1:10:19204444:19221369:1 gene:GSCOC_T00001423001 transcript:CDP17693 gene_biotype:protein_coding transcript_biotype:protein_coding MELLHCPSAIPVRNCTPKFTNASKNCANLFGKRLNSVNLGSILVKILDKNAGRCSSGVVVNARSGDGGGLETGADTAIVVEKPPAKPSLFEVFDGYPLPFGATSRDGGVNFAVASGSATSATLCLIRLSDLPEKRVTEQIFLSPITNRTGDVWHVFLKGDFQDMLYGYRFDGKFSPQEGHYFDSSQILVDPYAKAVVSRGDYGALGLEDECWPPMACMVPSLTDKFDWEGDLPLKFPQRDLVIYEMHVRGFTRHESSGTEFPGTYLGVIEKLDYLKFDWEGDLPLKFPQRDLVIYEMHVRGFTRHESSGTEFPGTYLGVIGKLDYLKELGINCIELMPCHEFNELEYYSHNSILGDYKMNFWGYSTVNFFSPMTRYSSAGALNCGLGAIDEFKCLVKEAHKRGIEVIMDVVFNHSAEGNENGPILSFRGVDNSVFYMLAPKGEFYNYSGCGNTFNCNHPLVRQFILDCLRYWVTEMHVDGFRFDLASILTRSSSLWDAANVYGKSVEGDTLTTGSPLSSPPLIDMISNDPILRGVKLIAEAWDCGGLYQVGKFPHWGIWSEWNGKYRDTVRQFIKGTGGFAGAFAECLCGSPNLYQEGGRKPWNSINFVCAHDGFTLADLVTYNNKHNTANGEDNKDGENHNNSWNCGQEGEFASISVKKLRKRQMRNFFLCLMVSQGVPMIYMGDEYGHTKGGNNNTYCHDNYINYFRWDKKEESSSDFFRFCCLVTKFRHECESLGLNNFPTAERLQWHGHAPGLPDWSETSRFVAFTLTDSVKGELYVAFNASHLPVTIALPERPGYRWEPLVDAAKPAPFDFLSNDLPERETAIQQYAHFLDSNLYPMLSYSSVILLLSSDDSA >CDP10736 pep chromosome:AUK_PRJEB4211_v1:10:9633210:9634489:1 gene:GSCOC_T00031543001 transcript:CDP10736 gene_biotype:protein_coding transcript_biotype:protein_coding MATEFNSFAFSIPCFRLLCLANAISFQITRFSPDLSTILYRRDAIASVGAIEFNNVDCLYRVGRTIYSQPVPIWDSHSGKTSGRKAKEIGLIVGLTAAGAILLAGGVIAIVVLRRKIQSAKGNPEMAASLTSGVKITSSLRHRNLVQLIGWCHDQNEFLLVYEFMPNGSLDTRLFGRKNLLSWEREIQNRNWVGICFAVSS >CDP01327 pep chromosome:AUK_PRJEB4211_v1:10:3452629:3457194:1 gene:GSCOC_T00034928001 transcript:CDP01327 gene_biotype:protein_coding transcript_biotype:protein_coding MHLELFPLPEDLELARQMKDLGLPFSFHTNKEKRTIIGGKRKDPRKKNLYNCKATNLKLLNSFKVSGGVNASPTIDDDTKNISLCSISILDQSELSCSAIAVNTDRFNHISDGEQDSMAFATSSTYVINPSALSDEISDVGADSSLSCEYGITKHLAREVKKVEASHGLDDDVCSGNSLVIGSEPELRQPNDEHVESSLVLDDAVGGEILTGDASALIMDDSEVASYSPFSELVDSNTADSNCKGAFGDWRAYWDEDFLRYYFYNIITEECTWDPPDGVSSDIAADPTKLIRNTEVLLADIPNSGESDKLGISYGQSANYAQTGGLENDSGSLDQALDESGEIGIYDESLSSNMTKKKTKIRRLKSSGESPLASEERQFQGVSEEFDPVIGKYWCQRYLLFSRFGAGIQMDVEGWFSVTPEAIAKHHAFRCGDGTTVDCFTGVGGNAIQFALRSQHVIAIDIDPRKIEYAQHNAAIYGVDDHIDFIVGDSILLAPKLKGDSAFLSPPWGGPDYAKEKTFDIQTMLQPCNGHFLFNLAKKVAPRIVMFLPKTVDIDQLAELALSASPSWSLEVEKNFLNNKLKAITAYFTEPSA >CDP11368 pep chromosome:AUK_PRJEB4211_v1:10:25182469:25185161:1 gene:GSCOC_T00033588001 transcript:CDP11368 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAKAMGSRLTPLFTTVLVVFVALGLPSETAADYDKYSPPPPPYHYYSPPPLVHSPPPPPVYKSPPPPYHYFSPPPPVYSSPPPSVYKSPPPPSPVYKSPPPPYQYSSPPPPVHSPPPPPIYKSPPPPPPVYKSPPPPYHYSAPSPPVHSPPPPPIYKSPPPPPPVYKSPSPPYHYSSPPPPVLLPPPPPIYKSPPPPPPVYKSPSPPYHYSSPPPPVHSPPPPPIYKSPPPPPPVYKSPSPPYHYSSPPPPVHSPPPPPIYKSPPPPPPVYKSPSPPYHYSSPPPPVHSPPPPPIYKSPPPPPPVYKSPPPPYHYSSPPPPVHSPPPPPIYKSPPPPPPVYKSPPPPYHYSSPPPPVHSPPPPPIYKSPPPPPPVYKSPPPPYHYSSPPPPVHSPPPPPIYKSPPPPPPVYKSPPPPYHYSSPPPPVHSPPPPPIYKSPPPPPPVYKSPPPPYHYSSPPPPVHSPPPPPIYKSPPPPPPVYKSPPPPYHYSSPPPPVHSPPPPPIYKSPPPPPPVYKSPPPPYHYSSPPPPVHSPPPPPIYKSPPPPPPVYKSPPPPYHYSSPPPPTHSLPPPVYKSPPPPPPVYKSPPPPHHYSSPPPPVHSPPPPPIYKPPPPPPPIYKSPPLPPPVYKSPPPLVYKYKSPPPPSPIYKSPPPPPSPIYKSPPPPPPVYKSPPPPPPVYKSPPPPPPVYKSPPPPIYKYKSPPLPPLVYNSPPPPIYKSPPPPYHYYYNSPPPPRHY >CDP07420 pep chromosome:AUK_PRJEB4211_v1:10:1416369:1423440:1 gene:GSCOC_T00024683001 transcript:CDP07420 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEEKIKGGALVPGVQNSLLEEMKLLKEMQDQSGIRKPINSELWHACAGPLVMLPQVGSLVYYFPQGHSEQVSVSTNRTATSQIPNYPNLPSQLLCQVHNVTHHADKDTDEIYAQMSLQPVNSDKDIFPMPDFGIKPSKHPTEFFCKTLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPTQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSMFVGTKRLRAGDSVLFIRDEKSQLLLGVRRANRQQAALPSSVLSADSMHIGVLAAAAHAAANRSPFTIFYNPRACPSEFVIPLARYRKSVYGTQLSAGMRFGMMFETEESSKRRYMGTIVGISDLDPLRWPGSKWRSLQVEWDEPGCGDKQNRVSPWEIETPESLFIFPSLTAGLKRPFQSAFLGAQTEWESLVNKPFLRVPGNLSGDIVCPSVSNPWSEQLMKMLIRPNCVDNLPSTASSILEANAKVSPVLEAEKITQAVIKQKAEIIPQEHVSSQSESIQQSHLDQANNSKLNFSSQSVLPGKPQHLDKLGNQTPVIHTEATKLEPELQSDQLSQYSSLGHCNDDRLVVKPANSHNLVNDIVLLNQNNNMLAVQASPKMMQAQLDSHLLQSLPSDASQVENSIADGLFVYPDHNEWNLYPSSCQSLAGLLKPPGSSSAAIRKHDQPVILSGGLGPELSTIEELWNNQFNNARFLSQTNPLVSLPQQDLPNLHLLASSFGLKDLSNESSNQSDMYSCLNFDGNNSGSTVVDPSVSSTALDEFCTLKNSNFQSPSDYLMGNFNSCQDVQSQITSTSLADSQAFSVQEFVDNSGGTSSSDVDFDESNALPNSSWQQTTPRVRTYTKIQKAGSVGRSIDVSSFKNYDELCSAIERMFGLEGLLNDSKGSGWKLVYVDFENDVLLVGDDPWEEFVGCVKCIRILSPSEVQQMGEEGMQLLNSVSEAGRSCDGLG >CDP11408 pep chromosome:AUK_PRJEB4211_v1:10:25843204:25847431:1 gene:GSCOC_T00033647001 transcript:CDP11408 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLSSAAKVCSLNPDPPFAASSNSAAKLSSLSSLQFPRELRRFHVGNRVVQSRQPTRTLHVVKAKKQTFSSFDDLLKTADKPVLVDFYATWCGPCQFMVPVLNEVSTRMKDKIQVVKIDTEKYPSIADKYRIQALPTFIIFKDGEPHDRFEGALTADQLIQQIESSLKVKQ >CDP11458 pep chromosome:AUK_PRJEB4211_v1:10:26386656:26389531:1 gene:GSCOC_T00033721001 transcript:CDP11458 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFWWGGNVIFVSVANEVIWRLWRHFSSETISRLSTESFNKAHKIDKDDGGGVGGLKTRILKLVYPRRSATSVLQNWVEEGRGRVSVSELRCISRLLLKRQRFKHALEIFTWMEAKERSRMSAVDHAMRLELTIKVHTVGEAEEYFENLPDTVSKKAACLPLLHSYVKERSTEKAEAFMQKMNSLGLIVNPQPFNEMMKLYIATSQHKKVLAVIVQMKQNRIPRNVLSYNLWMNACAELSGVGSAEDVYKEMIHDKNVVIGWSSLSTLANIYQKSGAVNKAFWALREAENKLSSCNRLGYLFLSTIYASLNRKDEVVRLWKASKGVKGRITCANYMCILSCLVKLGDIKEAENIFLEWESQCRTYDIRVPNILLGAYMRNDMMKKAESLFIRSLNRGGCPNYKTWEIFTEGWVRSNEMDKAIDTMRRCLSMLEDCDWRPSASIVVAIAEYFEKKGKIEDAKQYLGIIRSLGLASLPVYKSLLRMHAYSQRPIEYILELMHKDGIELDYEASALVHEFNI >CDP16855 pep chromosome:AUK_PRJEB4211_v1:10:15125366:15125620:-1 gene:GSCOC_T00019414001 transcript:CDP16855 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSHIPTLFLSLLFSISTTTHSYILVPQPQSQPCKEPVFFFHNILYKGNNRNNATSAIVGAPDWGTRTALAPPFNFGDGRFR >CDP07358 pep chromosome:AUK_PRJEB4211_v1:10:956642:957577:1 gene:GSCOC_T00024598001 transcript:CDP07358 gene_biotype:protein_coding transcript_biotype:protein_coding MTELNADDNLQSRDHNINTSILQSPSHPNTFQSSGIEDSTDGDIEDEELRRLLVPKIEDLPLTPPTAIQSNFITYYAPDFMKPGHDQYVHRHANGLCIVGLASTHVAFKDEGGITGVDFNVGKADRSGIKVTGKRKKLMK >CDP07349 pep chromosome:AUK_PRJEB4211_v1:10:900476:903350:-1 gene:GSCOC_T00024586001 transcript:CDP07349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g20230 [Source:Projected from Arabidopsis thaliana (AT1G20230) UniProtKB/Swiss-Prot;Acc:Q9LNU6] MTRNVQALHLFNNFNRKIAYLSCLNSATGTLSEAKQAHAGALKAGLSTQPHVATKLLALYASHQCFTEADILLYSHPQPDLFSFTTLINASSKFKNFHRTLTLLVKMLSGRLFPDTHILPSTIKACAGLSALKLGHQLHGFGLATGLASDSLVESSLVHMYIKCSALKYAHNVFNRMAEPDVICGSALASGYAKKGDVSNATMVFDEMGKLGIEPNLVSWNGMIAGFNQSGHFLEAVLMFQRMHSDGIGCDGVSVSSVLAAVGDLEDVIIGVQVHGYAIRLGLRSDKYVVSSLVDMYGKCGCALETLRVFEEMEKKDVGACNALISSLSRNNMVDDALKTFRKYKGQGMELNVVSWTSMIACCSQHGKHPVALELFREMQIAGVKPNSVTIPSLLPACASIAALAHGKAAHCFSLKRGFTDDVYVCSALIDMYSSCGRIKAARQCFDRMPRRNLVCWNAMLGGYAMHGMIKEAINIFQLMQSSQQLPDLVSFTSLLSVCSQCGLVEVGQSYFNSMSKDYGIEARMEHYACMVSLLGRAGKLEEAYSLMNEMPFEPDACVWGALLSSCRVHHNMQLGEVAANRLFALEPKNPGNYILLSNIYAARGKWTEMDKVRDMMKRAGLKKNPGCSWIEVKNRVHMLLAGDKSHPQMAQILEKLNKLSMEMKKSGYLPDTDFVLQDVEEQEKEHILCGHSEKLAVVFGILNTSPGTALTVMKNLRICGDCHTAIKFISRIERREILVRDTNRFHHFKDGVCSCGDLW >CDP11966 pep chromosome:AUK_PRJEB4211_v1:10:23341318:23350973:-1 gene:GSCOC_T00035298001 transcript:CDP11966 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVEKVEAVNESEDQEDDEEELSWSSDSEIGEALDYLDSKDDSEIMDGAVTLHTRRPNAHGGLHSRPNASSLQPLSNRNQKYTSHIRASPLEEWEGRVNVGMSNSVTTAIRESVRDMAIGKTKSTEKADRATVEQAIDPRTRMVLFKMLNRGIFNDINGCISTGKEANVYHATKPDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLMRLKAAGIRCPTPILLRLHVLVMEFIGEGGWAAPRLKDAALSLDKLRECYVEIIMVMRTLYQKCKLVHGDLSEYNILYFEGHLHIIDVSQSVDLDHPHALDFLREDCVHVSDFFRKHDVGVMTIRELFDFIVDPSINDDSVDSYLEEVQQKILARGHVISAEEEIADSVFMQSYIPKTLDHVKNAEEDVQRLISGADTGDMYYQTITGLKQALSISDSSLAERQSHEQVGQPMEDVSLQDENSESASSGSRSGTDEDESDSTDTEELDSSCGGKQPTAADRKAARKENKKKVKEEKREARKHKVPKAVKKKKKKLAKAKKYR >CDP01361 pep chromosome:AUK_PRJEB4211_v1:10:3204811:3207776:-1 gene:GSCOC_T00034970001 transcript:CDP01361 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGVFCQAVSSSSGGQPHRHLIRAIQIVSNPLLERKIAELCAMNPRFSCRHTDLVLSTPNSTIRSIKTKAATSENDEELSDEDDELCPVDCVKEFQTNEEFFQILEKAEKANSLVVVDFYRTSCGSCKYIEQGFAKLCKGSGDQEAPVIFLKHNVINEYDEESEVAERLRIRSVPLFHFYKNGTLLEAFATRDKERILAAILKYTSAPSEDALANLGRL >CDP15795 pep chromosome:AUK_PRJEB4211_v1:10:15532343:15532864:-1 gene:GSCOC_T00016629001 transcript:CDP15795 gene_biotype:protein_coding transcript_biotype:protein_coding MECRQFEVTLICANNLPDVRELGKMKVYAQVSVKGHSNSVWVTPVDRERETNPYWNCKIKYTLPEIAVQKDGVILVIELYCERSLLPDKYVGEVNLSLKKLFDCGFSQENLEYDVDRNDADGIFGKLKLSYDFAKTKITVSKSESSLRGQVLEAVGHGVLHAVIHGAIHIILH >CDP11389 pep chromosome:AUK_PRJEB4211_v1:10:25519065:25527086:-1 gene:GSCOC_T00033619001 transcript:CDP11389 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQEEEDLKMALRMSMQTEPPEPKRSKPGENTSAGGGEESVESQEMRNRRMKRELMAGAAEKRMMAAKNAANAAAPMPATVPVAKVEKSGGEERRCGGGVAAEGVSKEKNIALLKCENRMGNLGKELSLMEAQQLFLMIFGGGVTKDILAQWSNQGIRFSPDPETSMGLVQHEGGPCGVLATIQAFVLKYLLFHLEDSGDSAPGMARITDPRSSKSECIAADIFSSLSEDRKSRALVRSMCEILFLCGNNETAVIASIGVLHGDEDSSKDEVILKVLEGFAIESGLDLQKALRVETYTSRTSAVQRLEAMLPIFRSRMGAILFLISALLSRGLDSVQADRDDPSQPLVTAPFGHASQEIVNLLLSGRAVANVFDGRVDLGSGMLVKGISSTVDVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYTVLFALDTKVQEENEFESRETRIRRAFDAQDQSGGGGFISVEGFHQVLRDTGINLPAEKVEHLCNTGFIVWSEFWQVLLDLDKSLGGLKDSTGMMGKKVFDLYHFNGIAKSVPNGSQAASGNEIPIQRPRLTKLRVSVPPRWTPEEFMADVAVSSSSGINEPTRKEAAAEASKPEPSQHAPLVDCIRTRWPRATCNWDGDAPSIV >CDP07439 pep chromosome:AUK_PRJEB4211_v1:10:1558623:1564540:-1 gene:GSCOC_T00024706001 transcript:CDP07439 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVNNNVDESAKSDNQLSNAHEEKIFVSIRLRPLNDRELANEDAAVWECINSTTLLYKNSLPERSALPTAYNFDRVFAGGCSTMQVYEESAKRVALCVVGGINSSIFAYGQTSSGKTYTMCGITEYAIADIYDYIQKHPNRQFVLKFSAMEIYNEAVRDLLSSDATPLRLLDDPDRGTVVEKITEVALRDWSHLKELLSLCAAQRKIGETSLNEMSSRSHQILRLTVDSSAREFRRTENSSTLSATVNFVDLAGSERASQTLSAGTRLKEGSHINRSLLTLGTVIRKLSKGRNAHIPYRDSKLTRILQNSLGGNARTAIICTLSPAHSHVEQSRNTLLFASCAKQVSTNAHVNVVMSEKALVKQLQRELARLESQLKNLGSLSNSCDSLALKEKELLIEKMDKEIRALTDQRDEAHFRLQNLMQSAGESQGSRPWDALSSMSEPQEKGTWLDEYPASEASEIIDPFRLDVGSSISHFYDKGEGLSFNKSEEQFAESSEEQFLSDDTSPRMFIEKYFGPDPTQGWEKIAERIDLNNEDNCKEVQCIEIDTSIRKINFDSVSSPEKENQGLENVDMRKINFDSLSSPENEMEQYSSSSDSELNDSRSIESSRSRSCSEVLTTMPFSPRSKMEKEHETPSIEVEEDSIRSLEHNNLKFSESESVADGKDLCIEQSEDGKFSELESVADGKDLHRQHSEEYCESDSTDAKEESMKALGLADEVVKSKNQFVNEQVLDAEPTSNKFETDSNLVLGGVHDSQKSPSDWSIQFEKQRAEIIQLWDACDIPLVHRTYFFLVFKGDPSDSIYMEVELRRLSFLKASQQTNTVKNGQSSSTRALKREREMLSSLMLKKLSNTERMALFQKWGIGLKTKRRRLQLCQRLWTDTKDMDHIKGSAALVAKLVGIVELSHVQKEFVGLSFSTPQVNVRSFSWKHSMPSLV >CDP07512 pep chromosome:AUK_PRJEB4211_v1:10:2131524:2132863:-1 gene:GSCOC_T00024791001 transcript:CDP07512 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLQDEQAITGLHELSAGFPADWRSIGIILFELLIGFPPFTTELPEALPIFLSLLNKKRELLNYMLMSNQSCRFLDHGPDLRLGAKGASEVKAHPLFTAADWDNLALQKVSSGNIFFALVPLCVEFSCLEWLIQL >CDP01072 pep chromosome:AUK_PRJEB4211_v1:10:5949249:5951155:-1 gene:GSCOC_T00034576001 transcript:CDP01072 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLETANKPKPHAIFIPFPAQGRINPMFKLAKILHHKGFHITFVHSEFNQRRLLKSRGKNALDGLPAFQFETIPDGLPFSDADATQDVPSLCDSTSKNCLAPFRQLVTRLNSSPGVPPVSCIVSDGIMSFTLEVARELGIPDVFFWTNGAGGVMAYLNYRNLVEKGYTPLKDWSYLTDGYLDTVIDWIPGMKGIRLKDLPSFIRTTDRNDIMLNFLINQAEKIHDASALILNTFDAMEPDVLDAFASILPAVYTLGPLHLIENQLPDNELKSLGSNLWKEELGCIEWLDSKEPNSVVYVNFGSVTVMTPQQLVEFAWGLAKSGRTFLWIIRPDLVIGETAILPPEFVLDTKERVEKLVNELMVGDRGQGMKKKAAELKKLAEEAITAPSESSYKNLESLVHDVLMSSPAPN >CDP12442 pep chromosome:AUK_PRJEB4211_v1:10:13790854:14010816:1 gene:GSCOC_T00036008001 transcript:CDP12442 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDSSGPYRNLYTSFSIMAKSRNTRKQTKENKKEINQKRSPEQKEKPHSWAVVRSLFTCKHLQAQMQQQQLQKAEQQQQKKNSEEKKQQKEEQGKQEKQVPDMEESHKKCKKIKCSGSLCSNTKVMHRPEPVSPEDLKKRASSSMGGSFNTENVSCRSIKVTLNDLNGAVVSASSSSSSMFAASTTNSPLITGSFRGMPFRKFSSCYECRMVVDPVLGMTRDPSLRSTICSCPECGEIFMKAENLELHQAVRHAVSELGPEDTSKNIVEIIFQSSWLKKQTPVCKIDRILKVHNTSKTVSRFEEYRDSIKDKATKHTKKHPRCIADGNELLRFHCTTFMCSLGLNGSSNLCNSIPNCNVCSIIKNGFKVTGEFSLAGEVTGKAILTTATSGKAHDNARVVLEDDKRAMLVCRVIAGRVKRNLEGSLEEYDSVAGAAGVYSNLDELYVFNPKAILPCFVEATRIHPTDGELVTSNTSSGDVVARRPRGRPPSSKNKPKLPVIITQESTNTLRAHILEVSSGCDVFELVATYVRKRQRGICILSSSGTVNNVSLRQPAAAGSIMTLHGWFEILSLSGSFLPPSAPPGATNLTIYLAGGYGQVVGGNVVGALITFGPVIVIAASFSNVAYERLSLDEDDHSLQAVAVVVLLLVAQIINFLIHL >CDP11467 pep chromosome:AUK_PRJEB4211_v1:10:26425719:26428282:1 gene:GSCOC_T00033733001 transcript:CDP11467 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGCSPRKPRFLCLHGFRTSGEILRKQVTTKWPQSVLDKLDLVYVDAPFPCQGKSEVEGIFDPPYYEWFQFNKEFTEYQNFDECLAYIEDCMVKHGPFDGLLGFSQGAILSAALPGLQEKGVALTKVPKIKFLIIVGGAKFRNQSVAEKAYASPIQCTSVHFLGEQDFLKPYGTKLIESCVDPLVIHHPKGHTMPRFDDKTLEEMHRFLDRLHKEVNTSHTEQEVSSQKAS >CDP11971 pep chromosome:AUK_PRJEB4211_v1:10:23151397:23153718:1 gene:GSCOC_T00035308001 transcript:CDP11971 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRTESDDNPHQAFDPFRSINDRNGSWRSGSFGARARKTGGNYQNYWNLNGDGVQFRPQNSEDESGVQSPPLWKSSPPTSPLNSRCNSNTYWSLSPTSRTQAIARGQRELMEMVKNMPESCYELSLKDLVEHPRIESPKEESYLLGQKNYDDRLQVLNQKVNSIRRQESKNSEKKAQILRSKSMENRDLFLKMVFPLSFKSRKKKNLMTNTLAKVSPKPEVPDKTSKSVDKDWWKKKFSSSSGSESGRTSSNGGSTGSSGSSSSSSRSNSGRKRSGFLTSCFSCFYSTKGKSPE >CDP00991 pep chromosome:AUK_PRJEB4211_v1:10:6864197:6871522:-1 gene:GSCOC_T00034473001 transcript:CDP00991 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYNHHLGENTGPRGSFLYGGPVLAAPSSSQSEGQDHPIVKTEAGASSHHQHGQHKFQYPSIIRSHQTVQDHHHQPHHQESEISGEVEAIKAKIIAHPQYSNLLEAYMDCQKVGAPPEVVARLTAARQEFEARQRASLVTGRDVNKDPELDQFMEAYYDMLVKYREELTRPLQEAMEFMRRVETQLNMLGNGPVRIFNSDEKCEGVGSSEEDQDNSGGETELPEIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKDARQKLLSWWELHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHAQNTALYMEGHYMGEGPYRLGP >CDP07404 pep chromosome:AUK_PRJEB4211_v1:10:1252919:1253188:-1 gene:GSCOC_T00024660001 transcript:CDP07404 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDRFRKSLMRFILSIPSSSSSKGSQTTSSSAPRRKSCDTRPDPPKTSCSSYYSSNSHHNEAIADCIEFLNKSHQGLFSGRNSDFMV >CDP18282 pep chromosome:AUK_PRJEB4211_v1:10:24826567:24831136:1 gene:GSCOC_T00012011001 transcript:CDP18282 gene_biotype:protein_coding transcript_biotype:protein_coding MPNREITILLYLSLSLLSWLATFNSSSSANFFSSWDVTHQNPCKWDYIKCTSGGFVSEITITSINLPTSLPSQVLSFNFLTVLVLTNGNLTGEIPPAIGNMSSLATVDLSFNALTGMIPPEIGKLPNLRVLSLNSNSLHGGIPEEIGNCSQLRQLELFDNQFSGKLPVEISHLNALEIFRIGGNIGIHGEIPVEISKCVNLTILGLADTGISGQIPHSIGELKNLKTLSVYTANLTGEIPPEIGNCSALENLFIYENQISGGLPVGMGMLKNLKRLLVWQNNLRGSIPEDLGNCSSLTVIDLSLNFLSGEVPSSLENLITLEELLLSDNSISGGIPEYIGNFSSLTQLEVDNNNFSGEIPRTIGNLMELNLFFAWQNQLNGSIPAELANCQKLQSLDLSHNYLTGSVPKNLYNLRNLSKLLLLSNLLSGGLPPDIGNCTSLNRLRLGSNMFDSQIPSQIGLLQNLGFLELAENQFTGAIPFEIGNCMQLEMIDLHENKLEGTVPLSFVSLSQLNVLDLSMNRISGNIPESIGKLTSLNKLLLNGNNINGLIPKSLGLCKDLQLLDVSRNSISGLIPDEIGQLQGLDILLNLSWNFLIGPIPESFSNFSKLANMDLSHNMLTGSLRALGNLDNLVSLNVSYNNFSGVLPNTKLFHDLPNASFAGNQELCIDRDRCHFSGNQNRKSIRNLTILVVLSVVLTIIIFTVGAIFYIRGHDEICRKSDEETGLQWDFTPFQKLNFSVNDILGKLTESNIVGKGGSGVVYRVEIPVRQFIAVKKLWPKKIGEIPQRDLFSAEVRALGSIRHKNIVRLLGCCNNGKTRLLLFDYISNGSLAKVLHGKMIYLDWDARYKIILGAADGLAYLHHDCIPPIVHRDIKANNILVGPQYEAFLADFGLAKLVDSADYSRASNIVAGSYGYIAPEYGYSMRITGKSDVYSYGIVLLEVLTGMEPNDPRIPNGAHIVTWVHREMRIKHREFTSILDQQLLLLSATQTQEMLQVLGVALLCVNPSPDDRPTMKDVTAMLREIRHENEDFEKPNSLQKAMVSNTKAAVHCSSFSRSSEPLIRSPSQQSE >CDP00908 pep chromosome:AUK_PRJEB4211_v1:10:8009900:8015032:1 gene:GSCOC_T00034359001 transcript:CDP00908 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPKSSKGGGWKAAIFMICLEVAERFSYYGVAGNLINYLTDVLHQPTAVAAKNVNVWIGVSSIFPLLGALVADSYMGRCKTIYVSSIVYLIGLVALTITVSTVPSSAREAIFLTSLYIMSVGEGGHKPCVQTFAADQFDDEVAEEKEAKSSFFNWWYLGIVSGATAAILVVIYVQEYVGWGIGYGMLAGALAIALGMFSIGSWTGNYRLQAPIGSPFTRVAQVFVAAARKRRLCDQRVDDGGHSGGFFGHEKKVRCRNNGTDEVDGFRVRALARTPQYRFLDKAAIIDSIDASSEKRNPWRLCSMNQVEEVKLLLRLIPIWAQCFIFTMVTAQLSTYFTKQGSTMVRAFGPTSKFHIPAASLQVVTGLTILISVPIYERAFIPLARKFTGQASGITMLQRIGTGLFLSSIAMVVAGLVEAKRVETARENGLTDSPKSIVPMKIWWLIPQYMLFGVCDMFTIVGMQELFYDQMPEEMRSMGAAAYVSSIGVGNFMSSLAITAVQGISGKKWLVDNLNRANLHYFYWILAGLSAVNFCVYLFVAKGFIYKRFEYSESGNEKEMGLIPSCSFEI >CDP07422 pep chromosome:AUK_PRJEB4211_v1:10:1436607:1441073:1 gene:GSCOC_T00024685001 transcript:CDP07422 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSTEQISGVQREAESLAASTGALPTLQKAFSRLSDPQTELIPLDSLQAGAYYSKCFGLIIEDQSSTTEGSMVPKEFPVLLSHVGSAIVDQFFLTEKGGVSWVEFLRGYVNCCGRTVTSASFNNLFKVFVIAHNKAGLPVGLQFDSAEDDGKMSGSLLPTDLVMLLWMCWIMSWDSRKLQSSTSPGSSGLPDIHHLVLSAVESCAESSNKFDLWNSRISGLDVQLLAAKFHMWGVKTVPYLADCLAHFVYTRLGYFTTHEANRSSILSSLEIGSSKPCVSLMMTCSGNRFVLSIPLNSSLSQPMPVNVVLGSHKGKSEDLCFPVHANSAAEVCNTHLLTCGRAWAISLTLSGTLNEEILKACFPSETDGICVNLLYKSSLHGKGLNRFWSHVEGYNGPVLVLIAACKNDNNERRSIFGALTHQGFENREAFYGSSGSLYAIDPVFHVYLSSGREKNCVYSHLHPTMRAYDPHPKPAGIAFGGSIGNERILMDEDFVRVTFRHHAVDKTYQHGPLIPDQGFLPLEASVLEVEVWGLGGRTAKEVQNSYKKREELFTEQRRKVDLKTFGNWEDSPEKMMMDMVSDPNIVRREDR >CDP10690 pep chromosome:AUK_PRJEB4211_v1:10:10429398:10431090:-1 gene:GSCOC_T00031486001 transcript:CDP10690 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAPSELKEVFSCLDTSDTDYKEAPSLEDWKQVETLCTYLKPLFETANLLTAPTVLTTNTFFHEAWKIQLELGRAVGSEDPFISSLTKSMQEKFDKYWKICCFILAIAVVMDPRFKMKLVEFSFSKIYNEEATTYVKISLPCTFRPT >CDP07327 pep chromosome:AUK_PRJEB4211_v1:10:790624:791475:-1 gene:GSCOC_T00024559001 transcript:CDP07327 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSSISVDTETKRAWIGGGVLLGGLYHAIAEKSPVLAFPAGTCPMVGAGGHVSGGGEGTLTRKYGLAADNVIDAKIVNADGAILDRESMGEDLFWAIRGGGGASFGIILAYKIKLVSVPSIVTVFAPNRTLEQNATKIVYLWQHIAYKLDRDLFIRILITQEHRNGKLTVQAQFQSLFLGKADKLLPLMQESFPELGLRREDCTELKWIEAALFFSDLPDGSTVNDLVTRNPNPRTYYKAKSDYVTEPISEVALEGLWKRFYEAEAEKAQLIFSPSGGRMY >CDP07408 pep chromosome:AUK_PRJEB4211_v1:10:1277850:1282231:-1 gene:GSCOC_T00024665001 transcript:CDP07408 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein i [Source:Projected from Arabidopsis thaliana (AT5G42560) UniProtKB/Swiss-Prot;Acc:Q8LE10] MIGSFLTRGLVMVFGYAYPAYECFKTVEMNKPDIEQLRFWCQYWILVAVLTVCERVGDAFISWVPMYSEAKLAFFIYLWFPKTKGTTYVYDSFFRPIVSEHETEIDRNLLELRIRAGDMAALYWQKAVSYGQTRIFDVLQYIASQSAQPRPAQTQQEGARVRQNNAPQVRKGAATSVPPAEETPSPASSTSSSENQDDVSEDVAHSQAPLADSSGAALNALNTTPTEPLTETTKPTTSKETEVMEIDSASASGAENAKPRSQETVIEESVRVTRARSRKPRATSNR >CDP00900 pep chromosome:AUK_PRJEB4211_v1:10:8166782:8168623:1 gene:GSCOC_T00034343001 transcript:CDP00900 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSDENHFHFSEDEIVYNSRSPVRRTPIQAQYHVQAERKRREKLSDLFIALSKLVPGLKKLDKASVLEDANKHIKELQERLKTLEEEKKNNSKAPEIMVERCIISTCSGNTSSFKGATLELLPEIKVKIQGKNVLVKILCEKKYQGSISSMSSELEKLHLTILDSRILRFGCCTLDITLKAQVDNEFSVTMKDIIEHLQQGIFQLHS >CDP10726 pep chromosome:AUK_PRJEB4211_v1:10:9785450:9786022:-1 gene:GSCOC_T00031530001 transcript:CDP10726 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTSRPGKLYKLVIISECQSAHACEAGKARQSYEPFRVRTWKNLSKSTIIRRITCLNSN >CDP07264 pep chromosome:AUK_PRJEB4211_v1:10:294466:297117:-1 gene:GSCOC_T00024484001 transcript:CDP07264 gene_biotype:protein_coding transcript_biotype:protein_coding METSIRYGGDSKVLRIHAKEKLPIDSNTHLQLHGELDTRLGAPTCLSALIRHFYPSLSADLGVGLKYNRQDKLHYTVRAKKAFPVTTNGFFNFHVKGHCDVDQEFRQKRSRGAAEFSWIIFNLKTDQDVKLKVGYEVFDKVPYMQIRENNWTINADMNGRWNLRYDL >CDP01073 pep chromosome:AUK_PRJEB4211_v1:10:5925742:5927737:-1 gene:GSCOC_T00034580001 transcript:CDP01073 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTETATKPKPHAIFIPFPAQGRINPMFKLAKILHHKGFHITFVHSEFNQRRLLKSRGKNALDGLPSFQFETIPDGLPFSDADATQDVPSLCDSTSKNCLAPFRQLVTRLNSSPGVPPVSCIVSDGIMSFTLEVARELGIPDVFFWTNGAGGVMAYLNYRNLVEKGYTPLKDWSYLTDGYLDTVIDWIPGMKGIRLKDLPSFIRTRDRNDIMLNFAINQAEKIHDASALILNTFDAMEPDVLDAFSSILPAVYTLGPLHLIEDQLPDNELKSLGSNLWKEELGCIEWLDSKEPNSVVYVNFGSVTVMTPQQLVEFAWGLAKSGRTFLWIIRPDLVIGETAILPPEFVLDTKERGLLASWCPQVDVLKHPSIGGFLTHCGWNSTLESICAGVAMVCWPFFAEQQTNCWYCCNHLGTAMEIDNNVKSDEVEKLVNELMFGDRGQEMKKKAAELKKLAEEAITAPSGSSIKNLESLVHNVLISCPGPT >CDP01194 pep chromosome:AUK_PRJEB4211_v1:10:4658220:4659768:1 gene:GSCOC_T00034758001 transcript:CDP01194 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCLIILSIFTLFTLCSVAPVAATARMLYVNDTGIPVTQVYKYACHPQRFKDLGLNIEHFTYCDKSLSYEVRAKDIIDRLSLEEKVKQLGNRASGVPRIGLPAYDWWSEALHGVSDVGTNTTFFNDNIPGATSFPTPITLAASFNKSLWKAVGQAASTEARAMNNFGQAGKSFWSPNINVVRDPRWGRALETPGEDPFVVGTYASNYVRGLQDVEGTENTTDLNSRPLKVASCCKHYAAYDVDNWYGIVRETFDAEVREQDMIETFVRPFEMCVKDGDVSSVMCSYNRINGIPACADHRLLHDTIRGEWDLHGYIVSDCDSIDVMVNRHHFLNDAPEDAMAQVLKAGWSSVALLLCSFITIYSIILPSCLIISHLIILSSHFS >CDP07465 pep chromosome:AUK_PRJEB4211_v1:10:1773191:1776127:1 gene:GSCOC_T00024736001 transcript:CDP07465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin-related lectin 3 [Source:Projected from Arabidopsis thaliana (AT1G19715) UniProtKB/Swiss-Prot;Acc:F4HQX1] MMEYGKHSISVGPWGGQDGYHWDDGVYSTVRQLVIAHGAGIDCIQIEYDRNGASMWSEKHGGNGGAKIDKVRLDYPNEFLTSIHGFYGSLQEWGPIFIRSLTFESNKRSYGPYGIEQGTYFTFPMTQGKIVGFHGNCGWFLDAIGVHLEPLTNLIPSNSIMHSQNYVVQGAETYEYSMLQGNLGNSYDLILAVRQKGQYRSNSTPENWSRQTSNSSEFSRVESQNKDVDVVPSKISRVPSEKVQGVMTYGPWGGNGGTLFDDGVYDGIREIHLSRNIGIVSIRVCYDLNGQPQWGSKNGGSGGYKSDKIVFNYPSEILTHITGHYGPAMGMGPNIIKSLTFHTTNWKHGPFGDEQGQSFSTKLRGGVIVGIHGRKGLFLDAIGVHVLEGKATPVSSSPSGSIAQRGPSINEADNTPQWSFKLGRHGLMQEAVQRVVKDPAPYGPGPWGGVGGKPWDDGVFTGIKQIILTVREAICSMEIEYDRNGQSVWSVRHGGNGGVTATRVKLEYPHEVLTRVSGYYGPVNKDQRTQVIKSLTFHTSRRICGPFGEELGNYFSSTTTEGKVVGFHGKSGMYLDAIGVHMQHWLGNQRSSRPSYLTKIFS >CDP00978 pep chromosome:AUK_PRJEB4211_v1:10:7020998:7024282:-1 gene:GSCOC_T00034455001 transcript:CDP00978 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEFQNSGMYLEQNPEAPENGDLKNFDDDGRPKRTGTVITASARIITAVIGSGVLSLAWAVAQLGWVAGPAVLMAFSLITFFTSTMLADCYRSPGPITGRRNNTYMDAVRSHLGGCKVQLCGIAQYGKLIGVTIGYTIRASISMVAVKRSNCFRRNGHHVKCHISNNPFMIIFAAIQIFLSQIPNFHKLSWLSILAAVMSFAYSSIGLGLSIAKVAGDGIAKTTLTGVTVGVDVSGSEKVWRSFQAIGDIAFAYAYSTVLIEIQDTLRSHPPESKVMKRASGVGVSTITLFYVLCGCIGYAAFGNNAPGNFLTGFGFYEPFWLIDFANVCIAIHLIGAYQVFAQPIFGFVENRCSSKWPENKFINTEHAVNVPLYGTYYINLFRLAWRTVYVILTAVIAVIFPFFNDFLGLIGAGSFYPLTVYFPIEMHIAQAKIPKYSVRWIWLKVLSWACLVVSLVAAAGSIQKLSQDVKTYKPFKTQQ >CDP07477 pep chromosome:AUK_PRJEB4211_v1:10:1858299:1858424:-1 gene:GSCOC_T00024750001 transcript:CDP07477 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVVSEILRSGLMINSSLRRRTHLVQSFSVVFLYWFYVFS >CDP00974 pep chromosome:AUK_PRJEB4211_v1:10:7043007:7045501:-1 gene:GSCOC_T00034451001 transcript:CDP00974 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISVHIGQAGIQVGNACWELYCLEHGIQADGQMPSDRTVGTADDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLINGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLFFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPKYTNLNRLISQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSFAPVISAEKAYHEQLTVAEITNSAFEPSSMMAKCDPRHGKYMACCLMFRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPCGDLAPVQRAVCMISNSTSVAEVFSRIDHKFDLMYIKRAFVHWFVGEGMEEGEFSEAREDLAALEKDYEEVGAESPEGDEGDGGDY >CDP01029 pep chromosome:AUK_PRJEB4211_v1:10:6372939:6379963:-1 gene:GSCOC_T00034517001 transcript:CDP01029 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFQNHELEYIVDDYADFEDNPFEDVTVSSADVDDDNLDSDFEEDFELSKPKTDTSAVEARNGKDIQGIPWERMNFTRDKYRETRLKQYKNYENLSLSREDLEKECKEVEKGHKFYDFQFNSRLVKSTIVHFQFCRYSYTNIVLLLMHALINLVLTLFTPNCILLSNKFICNHLYVMWLLYLSSSWRLKETFFIWYGREIFEQLKGAVLVGRFEIFSILCVTRTVVVVASIDVTPSKVFCVLRNLLSATSKHDVYLMQSYSVMHWSSLLRRGKEVLNVAKPIVPSLKCPGSMSQTVSRVQISTMAVKDNLMAAGGFRGELICKYLNQPDVAFCTKITTDEDAITNAVDIGQSPTGLVRVMTANNDAQVRVFDASSFACISKFSFPWSVNNTSVSPDGRLLAVLGDNPESLIADAHSGKVVGSLKGHLDYGFASAWHPDGRMLATGNQDTTCRLWDIRNLSKSVCVLKGRMGAIRAIRFTSDGRFLAMAEPADFVHVFDTKSEYAEGQEIDLFGEIAGVSFSPDTEALFIGVADRTYGSLLEFNRRRYNQYLECIF >CDP12002 pep chromosome:AUK_PRJEB4211_v1:10:22729219:22730649:-1 gene:GSCOC_T00035350001 transcript:CDP12002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSNDESNTRRFRVLMFPWLAHGHMSPFLELSKRLAQNNFQIYFCSTEVNLSFIKKDRNLDEYFSDHSIELVQLDLPHFPELPPHYHTTKNLPPHLNPTLHVAFYMGKTNFQNILNILQPDLLIYDVFQAWASELASLIHIPSVLFLCAGLVCRAWSYFYDVNNKGLSGVDGTYPFPAIVLKDYEIKKLAAFLQEFKKNIPEEVMLSLTKCLEVSSDIVLLKTCREIEGKYIDHLSSCCGKKIVAVGPLIELKHDDTKTEEETENSSHIIEFLNGREESSVVYVSFGSECFLSEEEREEMAYGLELSNANFIWVVRFPVGHAIALEEALPEGFLERVKTRGVVVDGWAPQAKILEHPSTGGFVSHCGWGSFLESIYYGVPLLALPMLYDQPHHARLAVEIGVGIEILRDEDGRIKRENVAKVIKEVVVEKTELGESVKQKAKELSHKLREEGEEQLHEAVEKLKSLCSKIQSQE >CDP07412 pep chromosome:AUK_PRJEB4211_v1:10:1299485:1304118:1 gene:GSCOC_T00024670001 transcript:CDP07412 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKSRSKGGWCGWLLVLIVAAAIAFGIFVTIKKKHHASKGEAAPVPGPPGAVTKKYADALHAAMQFLDVQKSGKLVHNKIPWRGDSALDDGSPAKVDLSKGMYDAGDHMKFGFPMAYTATVLSWSILEYGDQMKVVNQLEPAQDSLRWITDYLVNAHLSDNVLIIQVGDPDADHKCWDRPEDMTEKRPLIQVNTSFPGSDVAAETAAAMASASLVFKPIDSTYSDLLLKHAKQLFTFADKYRGSYSMSIPEVQTYYNSTGYGDELLWAASWLYHATKDKSYFDYVTGKNGEEYANWGSPTWFSWDNKLAGTQVLFSRISFFGSKDTSNSATLQKYRDSAEAVMCGLLPKSPTATSSRTDSGLIWISEWNALQHPVASAFLAVVYSDYMLSSRTAEISCDSDSFTPADLRKFAMSQADYVLGNNPAKLSYLVGYGDNYPKFVHHRGASIPMDAKTGCKDGFQWLDSDKPNPNVAVGALVGGPFMNETYIDSRNNSMQAEPSTYNSAVIVALLSGLVTTSSVVQSFT >CDP10932 pep chromosome:AUK_PRJEB4211_v1:10:21313928:21316232:1 gene:GSCOC_T00031898001 transcript:CDP10932 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGFIVTLAICLALSSSFSHAFDDNPLQDFCVAVSDTSAAVFVNGKICKNPKQVTSDDFLGTGFNIPVDTNNSLGSGFKLVDVNVIPGLNTLGLSLLRVDFAPGGVIPPHTHPRATEAVVVIEGTIYIGFVTSNPADNTKNRLYAKILKPGDIFVLPIGLVHFLRNVGKTKAMGIVAFNSQNPGTITIANAVFGTEPLISPEVLTKSFQLDKKVIEYLQSKF >CDP10984 pep chromosome:AUK_PRJEB4211_v1:10:22243121:22251010:1 gene:GSCOC_T00031982001 transcript:CDP10984 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYCKCLFSAVNDQKGCNYVDLYMGWKEKIAGLLEGGLRLGFASLKDMLCKCLEFNPGSRPVLIEVWKCIRKLTILPGLDISFQPEIDAIRRIPSHCILFGNLCDSSMKSKKGRSRHVAGGSLRRDENEVEGLTVDGDVNGLSNGHAQCINLKGHLDCISGLAVGGGFLFSSAHDKIVNVWSLQDFSHVHSFRSHEHRVTAVVFVDEGEPLCISADNGGVICIWSAKFPLDTAPIRKLFEEKDWRFSGIQALAVSGNGCLYTGSGSRNYIYLTSSNFLQDYSLMCTMTGHKSIVSSLAVSNGILYSGSWDGTVRLWSLNDHTPLAVLEDERPNALSPVRCLAVDGNLLLVAQENGYVMMWYNDVLVKSSQVQNGVLFSINKSGRWLFTGGWDKTVCVLELSGDGTQTEATLTGSVSVDSIVTALVYWEGKLFVGQANGALKVCYSGL >CDP01161 pep chromosome:AUK_PRJEB4211_v1:10:5008017:5012084:1 gene:GSCOC_T00034696001 transcript:CDP01161 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFSKGGFKASKCKTLLKLSIPRIKLLRNRREIQIKQMRRDIANLLETGQEATARIRVEHIIREEKMMAAQEIIELFCELIVVRLPIIEAQRECPIDLKEAISSVCFAAPRCADLQELLQVQMLFAAKYGKEFVAAATELRPECGVNRQLIELLSVRAPAPDVKLKLLKEIAEEHELDWDPSASENELLKPHEDLLNGPTQFVSGSKVPLPKENIEEPLYAAGSGQSSGEQSDSDAGLDSLDFPEVPKQPLRSNTGDASAPQMLPFPASALSELEHESSRPTGTDEGLPEEFHLKPEKVIEQRSVTKEFESSPSHSSHSPEKQFVPFITPPSQTSTPFPVKKDEPSPSVSRPKSETNIDLQDVLAAAQAAAETAERAATAARAAASLAQVRINELVKQKSGVPDGNHENPFHAESEKSNFSEKPHLDHQSSLDDSDGVLRSPSPHHIDENHIGPRITPVPSSDDYKVDYDPFPSNVHVPNLGEANHQFQRLPSMDDDPSYPNLFTSQTSHFGSRANSFADHSRSTHEP >CDP01381 pep chromosome:AUK_PRJEB4211_v1:10:3028129:3033079:-1 gene:GSCOC_T00034996001 transcript:CDP01381 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRARTAVQSMRAPPVKQEKEKVEIQGKRIMNAQKATTRRVSIRERKKALQQDVDKLKRKLRQEENVHRALERAFTRPLGALPRLPPYLPPHTLELLAEVAVLEEEVVRLEEQVVQFRQGLYQEAVYISSSKRNTDNSHDACDQYQTNDWKQKQSKLSIQAEENSIVSRGRHLTFLTDDARGKENDFCANSTKNKQKPPSIKAPTVRTPVKRPPFESRSADKRLEPKKLQLDMDHECKGRTPVPGDEKSSATDNPNKISESILKCLLSIFLRMNSKRTGSIADSLPSLSTLSSCEGFEDADFKDPYGICSDFGKRDIGPYKHLFAIEASSINPNRTTVSVFLLHRLKVLLEKLSSVDLKGLTHQEKLAFWINIYNSCMMNAYLEHGIPESPEMVVALMQKATINVGGHFLNAITIEHFILRLPYHSKYTFAKGAKNEEMSARSIFGLELSEPLVTFALSCGSWSSPAVRMYTAAEVENELEVAKKEYLQATVGISTTKKVVAIPKLLDWYMLDFAKDLDSLVDWICLQLPIELGKEAISCLDRERNQPLSQFLEIVPYEFSFRYLLQT >CDP10988 pep chromosome:AUK_PRJEB4211_v1:10:22273123:22274513:1 gene:GSCOC_T00031986001 transcript:CDP10988 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGPSSLALLAKTALMAKYVGKSQGRCTYQEAKSMISDSIELSQCLDSRELQRLVDEQSEMYPLGPSIALTYQSVLRDCEIHCLSEGLEVKRLGGLHVVGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVR >CDP01009 pep chromosome:AUK_PRJEB4211_v1:10:6681258:6685025:1 gene:GSCOC_T00034493001 transcript:CDP01009 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLSSQAAGGAAVAAVSCTHRCSLQFTNPVPPLFSNRRPPKFPPANTHNFPRRRTVANAESTTSRPSATPTGPSTPPNSAKEDVPITLVGEQDVPLEGVIQFEKPTSSPSTLISKWGWVALLAGGDALALLLFSAIGRFSHGFDVFDLETLKTADPLMAGWFLSAYFLGGYGEDGRGMNGRSQAITVAAKSWALGIPLGLIIRAATVRHIPPINFILVTMGSTAVLLIGWRALLFSTFYPDKSKKNDVYRRGSPFELFELLTSLVRRW >CDP07478 pep chromosome:AUK_PRJEB4211_v1:10:1872463:1875944:1 gene:GSCOC_T00024752001 transcript:CDP07478 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPELPDCFYSCKPVLITPSSRTPQHSLYLSNLDDQNFLRFSIKYLYLFRKSVNTDCLKVALSRLLVYYYPLAGRLKKSPENDHKLEVECNGEGAVFAEGFMDLTADEFAEIFQKPNRTFRKLLYKVEAPSFLDIPPLVVQVTNLRRGGMILCTAINHGLCDGVGTSQFLHAWAHLVTRPNSDLPLTPSHFRHVLKPRNPAQVTFPHPTFTESKPDNPISRLDPNIYQYLQSQPLVPASITFNPSEILNLRRQCMPSLKCTAFEVLASHTWRCWVKALGLPSSNKVKLLFSVNIRKTLNYEIPQGFYGNAFVLGCAEATAQELVDDNLHGGVKLVQHAKSILTGEYVKSMIDHLEDKTLKTDLSCSLVISQWSKLGLEDLDFGEGMALQMGPLTSDIYCLFLPVIGDCDAIRVLVSMPESVVDKFEHYMTKFSSHDTN >CDP00897 pep chromosome:AUK_PRJEB4211_v1:10:8211564:8213995:-1 gene:GSCOC_T00034340001 transcript:CDP00897 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGVRPDRHTLPRVLAASRLLGNLSLGKQLHCHVIKFGFVSDAYVTSAIVDLYGQLEGVHAAKWYFKTANFDRNNAVAWTLLAGMYVKRNKPELAIDLFNEMIDHGGKIVDAVALVTVITACGMLKSLRDGRRIHQIAKDFGLDIDILVGNALVKMYIECGSIRDARAVFDGLRCKDAISWTAMINGYVKKGGFNEGLKLFRLMIGDGIKADAFAISSVLPGCARVAANKNGKEIHGHLIRNGIDMNVTVLNALMDMYVKSGSIEYASRVFAAMKDRDVISWTIMILGHSLHGQGKVGMDLYHEMVENSRLEADQMTLAAVLYACYSARMVEEGRYYFNCIRSPKVAHCALIVALLARAGLFDDVKAFIEERKISRQGEVLRALLDGCRVQRNPIRGKAVIEQLCDLEPLNAENYVLLSNWYTHHKNWDMADKYTETIKDMDLRPRKAYSWIEFKNKIHVFRTGDASHPRSESVYSELQNLQKRVKAEGFQSADFSLHDVYGERECDPIGHSELLAVSFGLINRVAGQTIRVNKNGRVCQNCHSFVKAISKETGVEIVLRDPGCFHHFKDGCCSCMDCW >CDP01276 pep chromosome:AUK_PRJEB4211_v1:10:3940612:3944713:-1 gene:GSCOC_T00034864001 transcript:CDP01276 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLNLIGRKVAVVNLDPANDALPYECAVNIEDLVKLEDVMAEHSLGPNGGLVYCMDYLEKNIDWLESKLKPLIKDHYLLFDFPGQVELFFLHANAKRVIMKLIKRLNLRLTAVHLVDAHLCSDPGKYISALLLCLSTMVHLELPHVNVLSKIDLIESYGRLAFNLDFYTDVQDLSYLQHHLDQDPRSAKYRKLTKELCEVIESYGLVNFTTLDIQDKESVGNLVKLIDKSNGYIFAGIEESAVEFSKIAVGPVDWDYYRYPFS >CDP11543 pep chromosome:AUK_PRJEB4211_v1:10:27231033:27246097:-1 gene:GSCOC_T00033845001 transcript:CDP11543 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFFLGSKKVFCCNLNVINLAGYVGEDVESILHKLLTVAEFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDHIQIDTKNILFICGGAFIDLEKTISERRQDSSIGFGAPVRANLRTGGITNATVTSSLLESVESSDLIAYGLIPEFVGRFPILVNLSALTEDQLVQVLAEPKNALGKQYQKLFNMNNVKLHFTEKALGLIAKKAMAKNTGARGLRAILEGILTDAMYEIPDIRGGMDRVDAVVVDEESVGTIDAAGCGGKILRGDGALECYLAKTKLKDQVENAAASEADLQGVESEVSSRAISM >CDP07426 pep chromosome:AUK_PRJEB4211_v1:10:1467756:1473617:-1 gene:GSCOC_T00024689001 transcript:CDP07426 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEPEKKVEEEEQAVKGGELLFCGATAWDAVGRRKGLEGNLVSPTRLRPLIGINISFVASGCTSCHCVALDVDGRCYTWGRNEKGQLGHGDKIQRDRPTVVSGLSKHKVITAGAGRSHTVVVTEDGDSLAFGWNKHGQLGTGSAKNEVELSPVRCLVSDVSNTACGADFTVWLTSVEGASIVTAGLPQYGQLGHGTDNEYNTKDSSVRLAYEAQPRPRAVASLAGETIVKVACGTNHTVAVDKNGYVYTWGFGGYGRLGHREQKDEWVPRRVDVFTRHNVLPPDAVVSAGSVNSACTAGGGQLYMWGKIKNTGDDWMYPKPLMDLSGWHLRCMDSGGMHHFVGADRSCISWGHAQSGELGYGPEGQKSSAVPKKVDILEGMHVISVACGFAHSMVVVDRTNVDDRLEQLDVYDGKASFEANEEPQNNTLALKKAKKGAENSKKRKNSKDLPEFEEDGESSDDASDEDEGKLNGQAENKRKQNARGRGKSIGISSGDKKGSVRGRGRPSSAKKSSESTPAKSGKRGRPRKS >CDP00927 pep chromosome:AUK_PRJEB4211_v1:10:7811096:7815345:1 gene:GSCOC_T00034382001 transcript:CDP00927 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA-type zinc finger protein with TIFY domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G24470) UniProtKB/TrEMBL;Acc:F4JQX4] MYTPVQLVGPTNGHGQYSSHGGGDDVKAAVFGGGGGGRESIEDAEIVGFEDGGTIGGLDGIEVSLPQNTTLYGGGGGGEGVAVAMPHGGDVANQLTLSFRGQVYVFDAVTAEKVQAVLSLLGGCEYSPGTQAVDLPYQTSKSLMDYSGRCNDPKRVESLNRFRQKRKERCFEKKIRYNVRQEVAMKMQRKKGQFAPRSSEDSVACDVAEESGQIDNPPETSCTHCGTSSKSTPMMRRGPAGPRTLCNACGLFWANKGALRDLSKKTHAVTEEDEADSDSDYGTPISARGNLVSFSTSNSSAVAAEQ >CDP00856 pep chromosome:AUK_PRJEB4211_v1:10:9011216:9025977:1 gene:GSCOC_T00034278001 transcript:CDP00856 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIEELSQLSDSMKQASALLADEDVDETTSSSSSKRPSTFLNVVALGNTGSGKSAVLNSLIGHPALPTGEGGATRAPICIDLKRDGSLNSKSIILQIDSKSQPVSASALRHSLQDRLSKISSKSRDEIYLKLRTSTAPSLKLIDLPGVDKGNLDDSLGEYAERNDAILLVVIPAAQAPEVASTKAVRLAKEFDGECTRTVGVISKIDQAASDPKILAAVQALLLGQGPRTTADIPWVALIGQSVSIASAQSGSAGVDNSLETAWRAESESLKSILTGAPQSKLGRLALVETLAHQIRSRMRIRLPNLLQGLQGKSQVVQDELVRLGEQMVDSAEGTRALALELCREFEDKFLLHITSGEGDGWKVVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKIVLEMAKEPSRLCVDEVHRVLVDIVSSSANATPGLGRYPPFKREVVAIATDALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMDRQRREEELKGRSSKKAADAEQSILNRATSPQTGGQQAGGSLKSMKDKSGQQDKDAQEGPALKTAGAEGEITAGFLLKRSAKTNGWSRRWFVLNEKTGKLGYTKKQEERHFRGVITLEECNLEEVDDDEAPPPPKSSKDKKANGPDAAKGPSLVFKITSRVPYKTVLKAHSAVVLKAESTADKTEWLNKLRNVISSKGGQVKGESGPPIRQSLSDGSLETMTRRPADPEEELRWMAQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLTKLYSSISSQSTAKIEELLQEDQNVKRRRERFQKQSSLLSKLTRQLSIHDNRAAAAASYANGSGAESSPTTSGPSPGDEWRTAFDAAANGPTDSYGDSRSGSNGHSRRYSDSAQNGDVSSSSGSGRRTTPNRLPPAPPSSGSGYRF >CDP11435 pep chromosome:AUK_PRJEB4211_v1:10:26174887:26178732:-1 gene:GSCOC_T00033687001 transcript:CDP11435 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSKGLTLLFLGQKNEEKPMRVTPWNQYQLVDQDTDSDLQLASGKNRFVRGCASFVCFGRAAAGLESPSPLKVGPAQQQEVLPQPPVTEKCRDQIQYANDHNSDPKVALKSSLKKPSTSVPVAEDGSDESVALCGKDTDAVVHTESRKVQWTDTSGGELVEIREFELSDDGGSDDEFDNGSERNCSCMIM >CDP07239 pep chromosome:AUK_PRJEB4211_v1:10:82645:99606:-1 gene:GSCOC_T00024445001 transcript:CDP07239 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAPLLDFSSPSITTHSSPIGTRDSTTLQFYCLPPPHLLTTLLQKEDLSLLDATPPQPTSQSHLISPSPSSMELMKEITRLEVEILQLERYLLSLYRKAFQQHLPVLIRDRGTCSNDKMGPHLQATDNHPCYKLDWALSQSDSNHRHQILPSNTLTCSSYHINAAPRASSRKEKPQVDSVHCSLADHLGTSLMDDALDYPNRLSEEIVRCICCIYCKFSDPALPQKGLSVSSSSSLSSSSTFSPRNISGGWSPQFDEEPKGCIEGLTDEAGPYAATIEVLKICLDDQTFQYVARVLEKFRSLVKSLETIDPRNMKREEKLSFWINIHNALVMHGYLAYGTHNFARSSSILKAAYNVGGHCINAHTIQSSILGIRSHYSAPWLQTLLSPKKKLMTGSARHTYSLEYAEPLVHFALCSGSCSDPPVRAYTAKNVFQDLKVARDEFIQASVYIQKETKVYLPKLACHFAREMSLSTSGLLEMVCACLPELQQKAIRTCMKGRADKYIYWVPRSSAFRYLIRKEVVQGGYPTNSQHLRYIPEDMDGGKDCEAVARRRHLEELLNSEPDDSSLHFKLGILLWEEGEESKAAEHFVTCAKLNPQEAAAFRYLGDYYSRLDYDQSQNQRALKCYQRALLLDPDDSLSGEAICDLLDQQGKISLQFAICTQASDKSPRAFWAFRRLGFLQVHQKRWSEAVQKLQHAIRGYPTCADLWEALGLAYQRLGMFTAAIKSYGRAIELEESRIFALIESGNISLMLGSFRKGVEHFRQALLISPENLAAHYGLASSLLGLAKECINSGAFRWGASLLEEASEVIVSIMTLAGNISCIWKLHGDIKLFYAKCFPWVDDGWGLKADQKSFSDSIISWKRICHLAAVSSSRSYQRALHLAPWQSNLYTDIAIASDITFFSKENHEEDLNSWSQAEKMCLGGLLLEGENNEFWVTLGCLSDHNALRQHAFIRGLQLDVSLAVAWAYLGKLYRLEGERKLAQQAFDRARSIDPSLALPWAGMSADADIRNLKPDEAYDCCLQAVQILPLAEFQIGLAKLGLYSGQMPSSEVFRAIRQALQRAPHYPESHNLNGLICEARSLYQSASASFRLARHAVSSFSGKVSKLYHKDISMNLVRSLCKAGSPNEAVEECELLKKEGLLDLEGLQIYALCLWQLGKNDLALLTARTLAANILSMDSRKAAATISFISRLMYYISGQDSVISSILKMPKDLFQSSKVSFIVSAIDALDCSDQLGPIVSHSHRSLMSSEEITSMHSLIALGKLVKYVSDDSLGIQNGVDHLRKALHMYPHSGLIRNLLSYLLLFSEEWKDVHLATRCFIVDSYDHQKEKVLKSSFEILGAGAVACYTKGRCSDEFSFSTSKEQCLFGTGKIQQLQKYLHREPWNDRARYLLILTYVQKARKEGYPQHLCTIIERLICVALSDEFCSRQESSYEYQRFQLLLCAAEVCLQFGNHIGCVRHAKSASELLLPDDSLFFAHILLCRAYAAQDNFVDMRKEYTRCLELKTDYPIGWVCLKIIDCQYKLQTDGTFLAVGFEECSRDVKKSWNMWMAVGDLVHGLVAIQTKDLLAAEKFLAQACSLAGDESCLFLCHGTVCMQLAKQQCDARFLSVAVRSLQKARETSVMLPIVSLLLAQAEASLGSKMKWEKNLRDEWFSWPPGMRPAELYFQMHLLAKQERESSRSSSLIESSQSALRWVLQAIHLNPSCLRYWKVLQTFTG >CDP10655 pep chromosome:AUK_PRJEB4211_v1:10:11218638:11219319:1 gene:GSCOC_T00031436001 transcript:CDP10655 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G3 [Source:Projected from Arabidopsis thaliana (AT4G25950) UniProtKB/Swiss-Prot;Acc:Q9SZH0] MDAMRGQGGIQMLLTAEQEAQQIVSAAKNSKMAKLRLAKEEAEGEIGQYRANLEAEYQRNISEKSGNSDFTLKRLETETETKIRDLKDSASKVSADVVAMLIKYITTVKN >CDP11979 pep chromosome:AUK_PRJEB4211_v1:10:23068554:23075056:-1 gene:GSCOC_T00035319001 transcript:CDP11979 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLSKSRSISSLLGKRIGLLTILHERKNGSFLQSRRDGVFGYKNSPEFSIKSATFSATGRRFKSSKTGEQVELPSSDEDDDENHAVDFPGGRVAFTSRMNFTSESSGKRIPCFRVLDDNGYPIAGSIFEQVNKEVAVRMYSGMVTLQIMDTILYETQRQGRISFYLTSTGEEAINLASAAALSPDDVVLPQYREPGVLLWRGFTLQEFANQCFGNEADYGKGRQMPIHYGSQKHNYFTVSSPIATQLPQAAGVAYSLKMDKKNACVVTYMGDGGTSEGDFHAALNFAAVTEVPVIFICRNNGWAISTPITEQFRSDGVVVRGQAYGIRSIRVDGNDALAVYNSVRTARQTAINEQRPILIEALTYRVGHHSTSDDSTKYRALDEIEHWKTAQNPVARFRKWVQGNGWWTDENESEFRSNIRKQLMEAIQLAARKEKPPIANLFTDVYEENPPNLVEQERSLGETIKKHPQDYPSDVPL >CDP01168 pep chromosome:AUK_PRJEB4211_v1:10:4972074:4973116:-1 gene:GSCOC_T00034712001 transcript:CDP01168 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE332 [Source:Projected from Arabidopsis thaliana (AT4G09650) UniProtKB/TrEMBL;Acc:A0A178V1L3] MAASLQQTPITFRCRSPPSTQIPTAPTAKLSLTSTFTTGLKIPKLTIKPRPSSNTNRSHGAAGARMADTAAGSYATALAEVAQSNGTLEQTAADLEKIEQLFSDPEVSDFFANPTVDEEKKRNVIDEIVKSSELQPHVANFLNILVDMKRTDIVNDIVKEFELVYNSLTDTEMAVVTSVVQLDSQHLAQIAKGVQRLTGSKNVRIKTVIDPSLVAGFTIRYGLSGSKLIDMSVKKQLEDIAAQLDLGDIQLAV >CDP10688 pep chromosome:AUK_PRJEB4211_v1:10:10547665:10551503:-1 gene:GSCOC_T00031483001 transcript:CDP10688 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPATEANISDAIETTLLDDVVDGNVDYKGRPVKRSKSGRWKSASFIICVEMAERFVYYGISVNLISYLTGPLGQSTATAAENVNAWSGTAQMLPLLGAFVADSFLGRYRTILISSLLYILGLGFLTLSTFFTSVKSSECQNAAKVTTCSPSEFQVIFFIFALYLIAVAQGGHKPCVQAFGADQFDGRDPKESKAKSSFFNWLFSASCGAITVALVILTYIQDNLSWGLGFGIPCFIMCFALILFLLGTTTYRFQVNSAEMSPFVSIGRVFLKAARNWITTSSASSMQEKSQGFLPYQGSQEFKFLNKALLVPDGPEEERNLCSIGEVEEAKAILRLFPIWATCLVYGIVYAQSSTLFTKQGVTMDRSIGPSFEVPAASLESFISLSIVVFIAIYDRILVPIARSITTRPSGITLLQRIGTGIFLSFLSMVIAALVETKRLQTAQEYGLDDKPKATIPMSVFWLTPQYLVLGVSEVFAIVGMQEFFYDQVPSGLKSTGFALYLSILGIGSFLSSILISVLQKATSRHGHESWFSDNLNKAHLDYFYFLLAGLSAIALAAFAYFAKSYVYNQASNT >CDP11923 pep chromosome:AUK_PRJEB4211_v1:10:24353994:24356531:-1 gene:GSCOC_T00035231001 transcript:CDP11923 gene_biotype:protein_coding transcript_biotype:protein_coding MVDENTSLIMWHNGHTICSACKVRAHNRYPTCIGDIRCFSLEKVTESLELPPKYCSLGCPEIFLIT >CDP00919 pep chromosome:AUK_PRJEB4211_v1:10:7898920:7904154:1 gene:GSCOC_T00034371001 transcript:CDP00919 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQLLNNDKVVIFDRTDFGPSNISLANGKCSNDLYDLVSRFIDCTTHSVEYDVATNSVCPLTVLTDVLCSSGSVMPDGTLVQTGGFNVGDRNVRVYKPCSSGSIDCDWQEVINRLLQRRWYATNHILPDSRQIIIGGRRQFNYEFYPKTAATNRVFKLPFLAQTNDPNIENNV >CDP10707 pep chromosome:AUK_PRJEB4211_v1:10:10044677:10045180:-1 gene:GSCOC_T00031507001 transcript:CDP10707 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRKGIGMATFLLNMVSVPTELRCLGLSFYHGATGIGSFFSSFLASAIDKATSQNGRESWFSDNLNRAHLDYFYSLLAGLGVVGLISFFHLAKSYTYSQQNGMEKGSEV >CDP18275 pep chromosome:AUK_PRJEB4211_v1:10:24701766:24703886:1 gene:GSCOC_T00012001001 transcript:CDP18275 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase S.6 [Source:Projected from Arabidopsis thaliana (AT5G42120) UniProtKB/Swiss-Prot;Acc:Q9FHX3] MRSSSTILIRAYLLFLYAYTSSSFCSSAPLYFPANNVTLFGDASVENDSIRLTQDHQCTSPSQSTLESASSTSLSGIGRAFYINPIRFLDSSSKGTAASFSCSFSFNISPTPSCPFGDGLAFLVTSNMDSLSITDGWMGLPEEVSNIQDSFIAVEFDTSYNPFFDDINGNHVGVDVRQIKSLASVDVVSRGIDLKAGKEMTAWIEYRNSEKMIRVWVGYSQVRPLSPILVAQADFTGDFKEFMHVGFTASNGGGSAIHSISRWRFKTFWFRSSSLSMDTVEEGDCLACFPGDLDLNFDRSRKWKFGLILVIGGSAVITVILVAVALFVILCCIKRNRSKAKRERHIEYHVGRFQSYKVPKYLSLREIKEATKGFNEDRIIGEGASAVVYEGQIPSCGNVAIKRFTHGKSIGPSHNSFDEAWRIPFDNEFATMIGCLRHKNLVQLKGWCCERNELVLVYEYMPNGSLDKILHGRSSVTKFLTWERRSNIVLGVASALVYLHEECINQIIHRDVKACNIMLDAEFNAKLGDFGLAAVYQRSLEMRDATVPAGTMGYLAPEYVFSGVPTVKSDVYSFGVVVLEVASGRRPVDDNRIVITDWVWELWGKGKLIEAADPKLKRCFNRKVMGRMLMVGLCCTHPDHQKRPTMREAARMLQGEAPIPSLPPRKPTVRIQSVLPGGILEDIMSIGEEADDNTPWSTPRTQFSRN >CDP01216 pep chromosome:AUK_PRJEB4211_v1:10:4438721:4441044:1 gene:GSCOC_T00034788001 transcript:CDP01216 gene_biotype:protein_coding transcript_biotype:protein_coding MRLANSVVNHFTKRTFIQHSQQQRQRQRCCFSKFLPAKKIPQCRSTPQPSTTIRVPSQTPCRNSVIFFSFLHKSYHSSSSSSGNRKMGFLSWYLSMLDSRPILTKSISCSLIYAAADITSQMIAMPPSGSLDLVRTSRMAGYGLVILGPAQHIWFNFIAWCLPKRDLITTLKKLVIGQLVFGPFVTSVFYSFNAALQGETASEITARLKRDVLPTLLNGLMFWPLCDFFTYKIIPVHLQPLMNSSFSYIWTIYLTYMASLQKAVAN >CDP11430 pep chromosome:AUK_PRJEB4211_v1:10:26144950:26148085:1 gene:GSCOC_T00033682001 transcript:CDP11430 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTTALLCLVFSSYLLHSSIYVTKALSQSYPPLAEGLSWDFYDSSCPKLESTIRRHLRKAFKGDIGLAPALLRIHFHDCFVQGCDASVLLAGSASGPSEQDAAPNLTLRREAIQLIDELRMHVHRKCGTVVSCADITALAARDSVYLSGGPEYAVPLGRRDGQHFATRNETLAFLPPSTGNTTSLVASFGKLNLDTLDLVALSGGHTIGIGHCGSFSNRLYPTRDPVMENSFARKLYRTCPTSDSNNTVVLDLRSPSRFDNKYYVNLMNRQGLFTSDQDLYTDRRTRGIVTSFALDQELFFESFAYSMVKMGQLSVLIGNQGEIRANCSARNLDLSLSSVVEDGGKGLSQF >CDP10715 pep chromosome:AUK_PRJEB4211_v1:10:9941712:9944515:1 gene:GSCOC_T00031516001 transcript:CDP10715 gene_biotype:protein_coding transcript_biotype:protein_coding MILVKDEDGKLMNEMEICNNIVGLLVASFDTTSCAATFVLKYLSELPHIYDKVYQEIMEIAESKGPDDLLSWEDIQKMTYSWNVGRESLRLTPPAQGAFREAKSDFDYAGFTIPKGWKTFWSVYSTHQNPEYFVNPDVFDPSRFEGSGPAPFTFIPFGGGPRMCPGKEYARLEILVFMYNVVTKFKMEKLIPDEKIINLASPTPVDGLPVRLQRHNV >CDP10721 pep chromosome:AUK_PRJEB4211_v1:10:9840591:9842333:1 gene:GSCOC_T00031523001 transcript:CDP10721 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGASDNINISEITANMKAEGVQSPEMEAIVKALSDDTIWKTIEGFKGKDMSTQEKMINNMMASGGLAELGIPVPEPVNPDDAHVITIAKFVVEKQNENAGTSLVFIQVNGGLQWKIVIGTLYILFLTTQDSKGTYTDHAVVFETFLGQKYLFWYKH >CDP01374 pep chromosome:AUK_PRJEB4211_v1:10:3086543:3095718:1 gene:GSCOC_T00034987001 transcript:CDP01374 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNRQSVGFLDTLNMETVRTILTHTSPYPHEHSRHAVIAVVVGCLFFISSDNMHTLIQKLDTNIKWWSMYACLFGFFYFFSSPFIGKTIKPSYSNFSRWYIAWILVAAVYHLPSFQSMGVDMRMNLSLFLTIFISSILFLLVFHIVFLGLWYIGLVARVAGKRPEILAILQNCAVLSIACCVFYSHCGNNTVLTKKSFERRSSGWFTLWNKEERNSWLAKFVRMNEFKNEICSSWFAPVGSASDYPLLSKWVIYGESNCSNGSCSGSSGDISPIYSLWATFIGLYIANYVVERSTGWALSHPMSLKEFEKLKEKQMKPEFLDMVPWYSGTSADLFKTAFDLLVSVTVFVGRFDMRMLQAAMSRVQDGAKQEDLLYDQFTEKDELWFDFMADTGDGGNSSYSIARLLAQPLLRVRENGSVVTLPRGNLLLIGGDLAYPNPSEFSYEKRLFRPFEYALQPPAWYKEEHIAVNKPELPCGETQLKQYNGPQCFLIPGNHDWFDGLQTFMRYICHKSWLGGWFMPQKKSYFALQLPKGWWIFGLDLALLCDIDVYQFKFFSELIKEKVGENDSVIIMTHEPNWLLDWYWNDVTGKNVSHLIRDHLNGRCRLRMAGDLHHYMRHSYVPSDKPVHVQHLLVNGCGGAFLHPTHVFNNFNELYGTSFECKSAYPSLEDSSRIALGNILKFRKKNWQFDFIGGIIYFILAFSMFPQCKLDHILQDDTFSGHLRSFFITVWDAFMYLLGRSYVSSVSAFLLLVASVIFVPSKVCRKRRVLIGILHASAHLASALILMLLLELGVETCIRHNLLATAGYHTLYEWYRSVESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVSRNYICKKGIESLSRQGAAIYYASVFLYFWVFSTPVVSLVFGSYLYICINWLHLHFDEAFSSLRIANYKSFTRFHIDRKGDLEVFTLAVDKVPKEWKLDPNWDAEPKQHLSHQRKFPSKWRATSSQQDPVSAVRIIDHFVIQQTQKEN >CDP01297 pep chromosome:AUK_PRJEB4211_v1:10:3725909:3732693:-1 gene:GSCOC_T00034892001 transcript:CDP01297 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTIAEKQSSSQGSGYYPLFCCTLRTAAFACFCHLLPHSVPVRQIRLLPALQISQRLTLRSHATEKTVGQCTATIRLRPQNQQQSAQEQSREKQRALLKKFSFHHHLYNRLVFACTMRAHLLLRDNVISLLIFCSSFLATLVHSSRYSIDVLPLSDASALLAFESKADLRSKLGFSPETSSSFCKWAGVQCSQARVVRFVVEGMDLGGVFAPSTLTRLDQLRVLSLQNNSLAGPIPDLSSLVNLKVLFLSHNSFTGSIPPSLSTLHRLKTLDLSHNNLTGPVPISFNNLDRLYTLRLDSNQFNGSIPALNQSTLQIFNISSNNLTGPIPVTPTLLRFKASLFSWNPGLCGEIIHKECREMQHFFGPVASPPPPKSVSADQSSQIERGEVGVSSQPSRKAHGKAALIIGLSGSGLFFICSFICFAFATRTVKKKKKESSAEKVLVGEATANANAEALMRIEEDNYELEEKVRRVQEGVQIAGMGKSGNLVFCAGEAQVYTLEQLMRASAELLGRGTMGTTYKAVLDSRLIVCVKRLDGSRLAGTSKEVFEGHMESVGSLRHPNLVPLRAYFQAKEERLLVYDYQPNGSLFSLIHGSKSARAKPLHWTSCLKIAEDVAQGLSYIHQAWRLVHGNLKSSNVLLGSDFEACLTDYCLSALATTIAATATSSDEEDPDFKAYKAPEALKFNNDQTQANTTTSKSDVYSFGVLLLELLSGKHPSQLPNLMPGDMMNWVKLSRDEENRGEDNKLEMLLEVAIACSVASPEQRPTMWQVLKMIQEIKEAVIMEEN >CDP17878 pep chromosome:AUK_PRJEB4211_v1:10:12304036:12311840:-1 gene:GSCOC_T00010113001 transcript:CDP17878 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLSKQLLPLPQPHKKPMSRKDLTKKSRVQPIALLAGIHFSSLILRFLDQIFLLVWPDFSFSTINSIRIILKKNTDSAGGLLFRSLSAASSSMAAPRSVVVDAGSVGVGVGGGRARDEEKSFGDYNAAVAGDSPTGKKGKGGEGVIFPLSRWEVMAGLGVFLLFSVGLGCIYLTMPAADYSKLKLPRTLSDLRMLKDHLGTYASDYPARFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVIRGLFLVVFNATAGASSCYFLSKLVGRPIVSWFWPEKLRFFQAEIAKRRDKLLNYMLFLRVTPTLPNLFINLASPIVDIPFHIFFLATMLGLIPASYITVRAGLALGDLKSVKDLYDFKTLSILFLIGLVAIIPTLLKRKRVYE >CDP01101 pep chromosome:AUK_PRJEB4211_v1:10:5576777:5580741:-1 gene:GSCOC_T00034616001 transcript:CDP01101 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGTAKPPSLSEQYLLKGKEETFEVITKPVEEAEVKTSENITVAEEVVEKAEDTPVVENSEVPPTGADGSSEASDTAAGESTEATPAAEAGSGDDTPASESAESEVAGDQESIEDAPHFTLETAPADFRFPTTNQTRHCFTRYIEYHRCIAAKGEGASECQKFAKYYRSLCPGEWIDRWNEQRENGTFPGPL >CDP10982 pep chromosome:AUK_PRJEB4211_v1:10:22229603:22230889:1 gene:GSCOC_T00031979001 transcript:CDP10982 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEQEEIQFLGFFGIIKESINIVPAWRKIFSQISLALIFPLSFIYLAHIQISQSLFTNIVRDESILDRIPRGTPTYDKISDILSSEWTVFMLFKIGYFIFFLVLALLSTSAVVYTIACIYTAKDIAFKKIMSVVPKVWKRLIVTFLWNFVIIFGYHIAAILVFFVFMTLIPPGVFSGAVLFILFMVYLVGFVYISVIWHLASVVSVLEESYGLNAMIKSQDLIKGKAGVSMVIFVIHNFCFFGIQMAFEWFVVLGNGGGLVLRIGYGMLCLALLSMLILFGLIVQTIIYFICKSYHHENIDKSSLADHLEVYLGEYVPLKSKDVQLEHFDV >CDP10687 pep chromosome:AUK_PRJEB4211_v1:10:10556451:10562814:-1 gene:GSCOC_T00031482001 transcript:CDP10687 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTATAANISDAIEAPTLLDDGVQGNVDYKGRPVNRSKSGRWKSASFIIGVEMAERFAYYGINSNLISYLTGPLGQSTATAAENVNALSGTSQLLPLLGAFVADSFLGRYRTIILSSLLYIMGLGFLTVSTVFIASKTSDCQHAANAMNCSPSEFQVIFFFFALYIIAVAEGGHKPCVQAFGADQFDGQDPEECKAKSSFFNWWYFGMCGAITVTLVVLTYIQENLSWGLGFGIPCLVMVFALILFLLGTFTYRFCVTREEISPFVRIGRVFLKAARNWRITSFSLSMEGESQGFLPCQGSQEFKFLNKALLAPEGSKEGGNGCSIREVEEAKAVLRLFPIWTTCLVYGIVFAQSSTVFTKQGATMDRSISPSFELPAASLQSFITLSIVVFIPIYDRILVPVARAITSRPSGITMLQRIGIGILLSTVSMCIAAAVEMKRLETAQEYGLVDKPEATIPMSVIWMIPQYLILGVSESLAMVGLQEFFYDQVPNQLKSTGLALYLSIFGIGSFLSSFLISVIDKATSRHGHQSWFSDNLNKGHLDYFYWLLAGLSAIALTAYLYVAKSYVYNRGGSNI >CDP07589 pep chromosome:AUK_PRJEB4211_v1:10:2657897:2661993:-1 gene:GSCOC_T00024889001 transcript:CDP07589 gene_biotype:protein_coding transcript_biotype:protein_coding MVILPFLSIISYVLYRLSSLGNASAICQKSCGAVSYNHIPFPFGFSSGCQIQLNCTSNGTMAIHDFPILDISQESIMVNLQAQCGRSMSAIDDLFGPNYAPTSHNGILMENCTAPVPTCVIPTTMVLTHFELLDCGAQNSGNLSCYSEPSKQSLFMNYNSLVKNRCSSLFSAISIESYGTNSSSVSLTVQLVQLGWWLQGHCHCSMHANCTKIWSPTDEKPGYRCQCLDGYVGDGYIAGVGCRRDSTRCNPAKYLSGQCGGTTRVGVLVGGVIAGAALMVSIGLVCCFVRRRSRLKTRNKTKRELCRATGITIPVYHYKEVEKATESFSEKRRLGTGAYGTVYSGKLHNDEWVAIKRIRHRDTESTEQVLNEIKLISTVSHPNLVRLLGCSIEKGEQILVYEFMPNGTLSQHLQREKGNGLPWAVRITIAAETAQAIAYLHNAVHPPIYHRDIKSSNILLDYNYKSKVADFGLSRLGMVESSHISTAPQGTPGYLDPQYHQHFHLSDKSDVYSFGVVLLEIITGLKAVDFNRPQNEINLANLAVDRIGKGCLHEIIDPFLEPHKDPWTISSTHKVAELAFRCLAFHRDMRPSMMEVAIELEQIRLSKWANFEENTTGLPASAEVSSCSSSSSACEKPLNLTVQRAEITRTIVSVTGKLNSMERDYSPVSVQHPWPSEQSSPSSNSLLSHVIP >CDP11918 pep chromosome:AUK_PRJEB4211_v1:10:24400251:24401244:1 gene:GSCOC_T00035225001 transcript:CDP11918 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLFRKRQKEDETSPFFLENGGALLEELMASFGGRYGNPIRTFSLKELIGATKDFTDGILLRDSGSMFRGFLGEQQIFVKRFCEYEDISPHVFRGPIRDIAVSSQMSHVKNILKLRGCCLELKFPALVYECSATQLLADLLYHPDDEKLLSWKSRMQIAKGIANAIAYLHNAFATPIVYRNLKPSKVILDKDGTPKLFDFSFSVRLPPGKSQVEDVVMGTWGFVDPEHLESGIVTEKTDVYSMGVLLLVLLTGKEAMCKNHGGEKNLDGRKCNARQAISSFLGPCNDVYSDEGTQ >CDP11996 pep chromosome:AUK_PRJEB4211_v1:10:22846518:22846843:-1 gene:GSCOC_T00035339001 transcript:CDP11996 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSTSTKSVLLVFVFAMAIALSSSKMEAAETDMLVSATARKILQINLPECYFKPCKNVTECKSPVCAGG >CDP01338 pep chromosome:AUK_PRJEB4211_v1:10:3360136:3363690:-1 gene:GSCOC_T00034942001 transcript:CDP01338 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMERLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGDFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLQRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIIQTLGTMLDTVGF >CDP10933 pep chromosome:AUK_PRJEB4211_v1:10:21343905:21346115:-1 gene:GSCOC_T00031899001 transcript:CDP10933 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGFVAILAICLALSPSFSYAYDDAPLQDFCVAVPDASAAVFVNGKICKNPKQVTSDDFVGTGFNIPVDTNNSLGSGFKLVDVNVVPGLNTLGLSLLRVDFAPGGVIPPHTHPRATEAVVVIEGTIYAGFVTSNPADNTKNRLYAKILKPGDIFVFPIGLVHFLRNVGKTKAMGIVAFNSQNPGTITIANAVFGTEPLISPEVLTKSFQLDKKVIESLQSKF >CDP11534 pep chromosome:AUK_PRJEB4211_v1:10:27146328:27149442:-1 gene:GSCOC_T00033832001 transcript:CDP11534 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGKSSVLSGKAILLLCVASFLAGSLVFSSRGTKSIGRRAISSIPTTHHHVEKLSTQQLVTNGCDHQRRKKLTEMGSGDVMEEVRKTHQAIQSLDKTISTLEMELSAARTRISRKTQQQQYYSSLDHDLRKNSSNSTSSTSSLLQKKAFVVIGINTAFSSKRRRESLRETWLLKGNMLKKLEKEKGIVIRFVIGHSATPGGVLDRAIDAENAEYGDFLRLDHVEGYHQLSSKTRLYFSTAVSIWDAHFYVKVDDDVHVNLGMLVNTLARYKSQPRTYIGCMKSGPVLSQKGVKYYEPEFWKFGEEGNKYFRHATGQIYAISKDLATYISINSGMLHRYANEDVSLGSWFIGLEVQHVDDRSMCCGTPPDCEWKREGGKTCIAAFDWSCSGICNSVQRMKAVHSACGEGDGAVWSNIVNADF >CDP10920 pep chromosome:AUK_PRJEB4211_v1:10:20893153:20893497:-1 gene:GSCOC_T00031881001 transcript:CDP10920 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFIGGVNQQVRQVLKSGVGRCIACGSRSDLVEYEKVLNLFFIPVKRWPGKEPVMYCDDCKLFFPQSISPPPPPPSVEEARLPSVTDVLKCHYCSREVDADFRFCPFCGSAL >CDP11476 pep chromosome:AUK_PRJEB4211_v1:10:26559904:26559981:-1 gene:GSCOC_T00033749001 transcript:CDP11476 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYSLSIDVFRKVISLNLYCRVIL >CDP01004 pep chromosome:AUK_PRJEB4211_v1:10:6730649:6734505:-1 gene:GSCOC_T00034486001 transcript:CDP01004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDWWGKESHRGTPVVVKMENPNNWSMVELEGPSEDDFLYPEDDDLSSMRQQRRLEKGGRNKNAKQLTWVLLLKAHKAAGCLTSIASALVSLASVVRRRVASGRTDTTDTIASENPRVKSRFYTCIKVFLWLSILLLGFEMAAYAKGWHFGAPDLQLQYLYTLTNPLSVKDLFDSIYSKWVLIRVDYLAPPLQLLTNACILLFLIQSVDRLVLCLGCLWIRLKGIKPTAKEDSVDLESGDGGGYFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSKILIQILDDSDDPMTVSLIKEEVQKWQQEGANIVYRHRVIREGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPYPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNVNLAFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDVIKAKISFWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEATLPSWVVCYVPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEKESKHQRGASVPDLDEMREEIKQQEQKARKKKKHNRIYTKELALAFLLLTASVRSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQVD >CDP11391 pep chromosome:AUK_PRJEB4211_v1:10:25544011:25560323:1 gene:GSCOC_T00033621001 transcript:CDP11391 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSDDEDELLQIALREQAERDLNYRKPSSQQQPAKPVRNYVQPAPQRAPVPAAVAAGRNSSLNSNVATGRMQQKSGSSNSNRSGSNQVLQQQQQQQRKVVEDDDDSEVEMLSISSGDEDSSSKDRARFVGRGRAGSGGGRGGRDDDGWDGGEPDCWKHVDESELGRRVREMRETRAVPAVPKIEAAALAKKGLNSLQSLPRGVEWIDPLGLGIINHKTFRLMSDSGPPSSMADKEPLDANARDRLNYYSEKFEARLFLSRVHCDTSAADLEAGALALKNDLQGRTQAKKQLVKENFDCFVSCKTTIDDIESKLKRIEEDPEGSGTSHLFNCIHGVTSIANRAFESLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNISKGEYDLAVREYRKAKSIVLPSHVGILKRVLEEVEKVMQEFKGMLYKSMEDPHIELTNLENIVRLLLELEPESDPVWHYLSIQNQRIRGLLEKCTVDHEIRMEAVQNAMHEKALSDAKWRQIQEDQSLDADDTHVGDQQALGMISEEVDALRGRYIRRLTAVLIHHVPAFWKVALAVSTGKFAKEKVADGKYSSHSLDEVAGMIRNTLSAYESKVLNTFRDLEDSNVLRPSLIASLKEISKACQAFEAKESAPSIAVTVLRALQCEITKIYILRLCSWMRTSTEEISKDESWVPVSILERNKSPYTISSLPLAFRAFIVSAMDQINEMIQSLKNEATRSEDIFLHLQEIQESVRIAFLNCLLDFNVHLEQIGSELAENRTSKGSPGFVNGYSSEFQEKSFDPLPGSVTDAHQQLLMVLSNIGYCKDELARELHVKYKQIWLPPRVKDEEDTDIQELIMSFSGLEEKVLAQYTLAKTNLIRTAAVNYLLDAGIQWGGAPTVKGVRDVTVELLHTLVAVHAEVFACCKPLLDKTLGILVEGLIDTFLSIFHENKMKDFRALDANGFCQLMLELEYFETILNPYFTSDARESLKSLQGDLLEKATESVSETVETPSHQRRPTRGSDDAMADERQQGMTVSPDDLIALAQQYSAELLQAELERTRINTACFVESIPLDSVPESAKAAYASFRGPMDSFKGGMDSPSRNFRSSQSFGSPSFSRHRRR >CDP01006 pep chromosome:AUK_PRJEB4211_v1:10:6713743:6714679:1 gene:GSCOC_T00034489001 transcript:CDP01006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTENTTGDMGFQESGGGGDSILNCPSSGMDTNSMSDKSSTGVDPFYGGTGWDPLVSLNHAENFGGSPVVPHHNEFGNSHYPVGLENQAISNTSHLVHYASDSGLGDMVPKLSCFGSGAFSEMVNSFGLPDCGQVTETSFHQKYAKKKGVGAQEDCQISEERALGGNGKKKRKASDFQSPLNPKKNIEGEQQKDLSANSSECSKEQDEMKQKMEQSNSTNLRGKQASGKQIKDNSDSGEPPKDTYIHVRAKRGQATNSHSLAERVRLLI >CDP11974 pep chromosome:AUK_PRJEB4211_v1:10:23116228:23120673:1 gene:GSCOC_T00035313001 transcript:CDP11974 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLFTCVETPLMSVAWALKHRSLPSLLRDQVLALEKELRFLRTFLICIGTSKDIMAESSSIIGRFEDAFGKANEDLISACRTMQEKFSGKELYQAACAMLEMAKNFQPEIREAYGSLLKLSRQQHNIPLDPKLLKGLIDSLAENLTDLVDSEDLIASLKKQIPVLKEKLRFLRNFLDITAKKSIPDQIMQSFLSYVHFSGSTAASLSYCCWTDEMDEIVAGGMNIKLSELVWKIMPDTLELMEMYVGLLKASKLATSDDAKTGEVASFAKFLLENFVSFTDNKASMIQEELIFLLSFMIGLQEECTGDLGQISANIKTIAREAGYLEYKHLLNEGTEDQIKEMKHVADKLLEKMKLVKAEILLIELLHSEDSLFVLMRDANAAIHEELKFFKSFLLNPKQDGESFLKQAETVASEVTSLIHSIHGKKFNEEMVTKINLDLFWLLEKIKLFRSEIHLNELKNKEANSLFPMRGDTEILYKGLRSLRAFLMDIPDNDENREDRKLYLTYLEAAISELQPLDLPLRVNKMTEDLVDVYLAKGNAVANDSGSQNCSVGESMMQKGMQIHLFASEVLQLFGLFKAHMGQIYLQDVKSLQSNLPRTDDLGFINSLLQNLRELLNHDANHAASFAKQHLQMVCEELEFLQSFLVKSVKQKNDRAEVRDLWTCITKVAYEAEWVIDSFVLRDGPFTYHMLWLSAVMEYIKLIKAKAICVQEKYYNEVQDIAKPSDRAPSSVSTPELDEVVVGFNNEEKEIKGVLTRGEMKMDIISIVGMPGLGKTTLAKKVYNSPSVASHFHVRAWCCISQKYQRRQLLLDILCQIIEITDQIRRLDDDDIATKLYQSLKRKRYLIVMDDLWEIGAWSDMRQSFPDDKNGSRVLFTSRQQDLGLQAKEDGKTFPLCPLSQDESWQLLQKRVFCEVDCPNTLLEVGERIAENCKGIPLSLVVIAGLLARTEKTPDRWKQVLEKLSSYIFADPEGGCMHALGLSYEHLPDNLKSCFLYFGAFPEDAEIPAWKLSCLWIAEGFVQNTDSKSLEDAAEYCLSELVSRSLVIVAKKRSNGKVKSFRVHDLLRELCLKKAKEENFFQLIHGYKQLFSPSREAEGLDYDADSNNSFSSTPLEYQDRRLCICSKRKLFSLLKPCGRQVRSLLFFASNEIYPRTPYDISFICQNFKLVRVLDVESINMGDSFPIGLELLVQLKYLAVRGDVKSVPSSICKLWNLETFLVKALRGEVLVPSSFLNMKRLRRVHINDRVAFSSLNDKSDCSSLVENMETFSTPALSYGSVTEKMLRRFPSLRKLRCIFVESSDYSKKFTKFPVLDFLTHLESLKILYHGMVSQPCEFNLPLNLKKLTLSKFRLPWSQISTIGKLPHLEVLKLLSRAFDGQIWEMTEGEFQSLKYLKLDNLWIAQWKASNDHLPCLRHLVLQRCKKLEEVPSSLGDIRTLERIEVHWCSQSAAVSVKEIEDEQRDIGNEELMVLISGMEQ >CDP11378 pep chromosome:AUK_PRJEB4211_v1:10:25377383:25381824:-1 gene:GSCOC_T00033603001 transcript:CDP11378 gene_biotype:protein_coding transcript_biotype:protein_coding MDREKSKNKELRAVELAAEIVILGCILLLGPSFTSADTDPNDVAALLDLYRNLSCPPQLTNWKPNGGDACEENWKGITCSGSRVTAINLAGNGFSGCLPYSISQMTSLKYINVSHNKFQGQLRDMFASLTSLFILDISFNAMSGDLPKSFNLCSSLTLMYLQNNQFTGTIDVLANLPLKYLNIENNHFSGKIPDRLKGINLQIGGTSGPAPGASRPSGFGIGGILATVIFLSVVGAMIAFFIMKRRSRKPSTEIENYPVFPLASHEEQEMKSIQSSSTTRLLPPPIHDQKSVDEDDASGII >CDP11502 pep chromosome:AUK_PRJEB4211_v1:10:26814273:26819231:1 gene:GSCOC_T00033787001 transcript:CDP11502 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 31 [Source:Projected from Arabidopsis thaliana (AT4G24530) UniProtKB/Swiss-Prot;Acc:Q7Y030] MNNRNQQQLQSQTRRWALGGAFVLLLPNLFPGLLTPLGRAYPSLFSEWNAPRPMHLELMNGALQQQTSGEQESELWSPLPDQGWKRCADSHAKSSLPKKSQGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVLPHFEVNPVWQDSSSFTDIFDADHFIDALKNEVSVVKDLPSQHSWSTREYYATGIRATRIKTAPMHAPATWYLENVLPVMQSYGIAAIAPFSHRLAFDNLPSEIQQLRCKVNFEALVFVPHIRMLAETLINRLRYAPSGDRAVGVSNWEERLDDKRRAGKYVVLHLRFDKDMAAHSACDFGGGKAEKLALGKYRQVLWQGRVLNSQFTDEELRIQGRCPLTPEEIGLLLAALGFNNSTTLYLASHKVYGGEARISTLKKLFPYMEDKKSLSSAEERAKVDGKSSLLAAVDYYVGMQSDIFVSASPGNMHNALVGHRTYNNLKTIRPNMVLLGKLFLNKTLEWSDFQQAVKNGHKNRQGQLRLRKEKQSIYTYPIPDCMCQA >CDP00889 pep chromosome:AUK_PRJEB4211_v1:10:8349865:8351496:-1 gene:GSCOC_T00034329001 transcript:CDP00889 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKTLDRAFKVDRAINNASKNFVIIRFFDRGYPDSGKVTDWVAYYSRILSFFSDIYEVEKKDVPEHVARYSLTDQDTILFFYKGSAVYRLSEADISATMSSKDFFDTTFEIMSKVLQPRR >CDP01141 pep chromosome:AUK_PRJEB4211_v1:10:5174804:5177084:-1 gene:GSCOC_T00034672001 transcript:CDP01141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g34160 [Source:Projected from Arabidopsis thaliana (AT1G34160) UniProtKB/Swiss-Prot;Acc:Q9FX24] MAYAEKLLTKCNSLPHIKQLQAHLITTGLFKSYFSRSKLLDFCATSSAGSLSYATFIFNHIPHPATNDWNAIIRGLAQSRQPLDAVTCYVSMRRARCTPDALTCSFTLKACARALARIEALQFHAEIVKLGVGADVLLQTTLLDAYAKCGDLNCASVLFEEMTRRDIASWNAMIAGMAQGNRPNEALEFFKRMRENGLSPNEVTVLGALSACSQLGAFKEGEKIYDYIRDQKLDDNVNVCNAVIDMFGKCGFVNKAFEVFSGMKCRKTLITWNTMVMAYAMHGDGVKALELFKLLERDGLGPDRVSYLAALCACNHAGLVDEGLKLFESMEESGVDKNVKHYGSVVDLLGRAGRLEQAYKIIASMPTYPDVVLWQTLLGACKTYGNVEMAEKASGKLVEMGSRSCGDFVLLSNLYASHGRWNDVGRVREAMKNRAVKKIPGFSYTEVGGVIYKFINGDQSHPNWRDIYQKLDEIRFRISECGYVPETNNVLHDIGHEDKENVLGYHSEKLAVAFALIGTSAEVPISVNKNLRICGDCHTAIKLISKIYKREIIVRDRTRFHRFKNGSCSCRDYW >CDP00932 pep chromosome:AUK_PRJEB4211_v1:10:7722022:7730542:-1 gene:GSCOC_T00034389001 transcript:CDP00932 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTTYFFPREFPDNREFDPSSSKFFLDHEKKISATASVHESAHSKATGTGTLSTGRDRYGEKVTRVSYTAVSEEEKEKQHLHGFTGDKIHRKQVAAFVNWLAEKKKKDSHVRIKLEGCDDESEEHEQLLPPAPEAVSPEIVQVEVGHHLPAQEKDQHVFDRQLCLTGGSNFDYSVGKESGFVRPSSLQRLSSLGSTSYAGSLFSGGTTTIFDANWTSSTGVKDSTTRTREIEEVEEDEERGNKNSTVQKSKESYYLQLTLAKRLTDHATLASEPMLLKECTSAVTSDAQTVSYALWVNGCLSYTDRISDGFYNILGMNPYLWVMCNDVEEGTSLPSLMALKAVDPIDTSMEVVLVDRRGDSRLRELEDKAQELYFAAENTLMLVGELGKLVAVHMGGSFPMEQGDLHMRWTFVSKRLKDMQKCTVLPIGNLSMGLCRHRAILFKKLADYVGLPCRIARGCRYCVADHRSSCLVKVEDDMRSSREFVVDLVGEPGNVHGPDFSISEGVLSSVPSPFQISHLKEHQDPYLDREVCSQMQSSKKFCTPKNILYSGNEEGGHAVQGIGLHHNLRDTMYCLTNQASGSAIRAPIMAGIAVDECSLSRDENAVVSQGYKNEIVMSGNSVVCAAGMQIAKLTLPVTTSLMDVESRPKKCGRYPAGGHSRYLNLEPSLAMDWLEISWDELHIKERVGAGSFGTVYRAEWHGSDVAVKVLTIQDFHDDQFKEFLREVAIMKRVRHPNVVLFMGAVMKRPHLSIVTEYLPRGSLYRLIHRPAAGEILDQRRRLRMALDVAKGINYLHCLTPSIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKASTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWSGLSPAQVVGAVAFQNRRLVIPPNTSPILTSLMESCWADDPAQRPSVKSIVETLKKLLKSPMQLIQMGGP >CDP01210 pep chromosome:AUK_PRJEB4211_v1:10:4489256:4491402:1 gene:GSCOC_T00034782001 transcript:CDP01210 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHAQQPNDPRLPSAARPYKAPVVAPQDLPIDYSGFIAVIFGVFGAMFRYKLCSWLAIIFSAQALANMRNFENDFKQISMAMMFGIMGLVTNYLGMGPKASTKR >CDP01200 pep chromosome:AUK_PRJEB4211_v1:10:4609335:4612223:1 gene:GSCOC_T00034769001 transcript:CDP01200 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGPGLFSDFGKKASDVLTKDYSTEQKFSLSSESDAGVAIASSLAKKGGFSAGVVAAQYKYKKSTVDVKVDTESSIATSITVTDILPSMKTIATCRFPDYNSGKIGVQYFHEHASFTTAVDLNKSPNVDISATIGTPFIAFGTEASYKVDSRSFTTYNAGVSLTKPNYGASVILADKGDAVKATYFHQWDQEKRGVAVAEIARKFSTNVNILTVGASYAIDPHTLVKAKLNNHGNLDTLVQHEMASKSFLILSGSFDTLAMDKQPRFGLALSLKP >CDP00895 pep chromosome:AUK_PRJEB4211_v1:10:8318087:8320306:1 gene:GSCOC_T00034335001 transcript:CDP00895 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAGEEKKVHSEVLLFNRWAYDDVQVGDISVDDYITATAAKHPVYLPHTAGRYQVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDQNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >CDP07340 pep chromosome:AUK_PRJEB4211_v1:10:856593:859036:-1 gene:GSCOC_T00024576001 transcript:CDP07340 gene_biotype:protein_coding transcript_biotype:protein_coding MADGESQENAANVIYLHGDLDLNILEARFLPNMDLVSEHLRRCFTAFDVCRRPFAARRKTHRHRRIITSDPYVTVCLAGATVARTRVIPNSQDPIWNEHFKIPLAHPVSQVEFQVKDNDVFGADFIGVATVPAQRLVSGEIIDDWFPIIGPYGKPPKPDTAVRLKMKFTKCEDSPLHSRGVAENHGLKESYFPVRPGGGVTLYQDAHVPDGMLPEIKLDEKRIFEHENCWEDICHAILEAHHLVYIVGWSIYHKVKLVREPSKPLPSGGNLSLGELLKYKSQEGVRVLLLVWDDKTSHSKFFINTGGVMQTHDEETRKFFKHSSVTCVLSPRYASSKLSIFKQQACFISLPNIHYPLLHENVALKQQYL >CDP01063 pep chromosome:AUK_PRJEB4211_v1:10:6039025:6041523:-1 gene:GSCOC_T00034562001 transcript:CDP01063 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCFNLTASAGGCFRSAFSCSGLRSTITDLGDGTTIHCWVPKSPKPTKPNVVLIHGFGADAVWQWFEAVRILTPHFNVYVPDLVFFGKSHTTRPDRSEAFQAQCLKRVMEAKSVEKMRLVGMSYGGFVAYSMALQFEDCVEKVVIICSPVCLEEKDIRDGMFPVNNVDDAASILLPQTPEAFRKLLRYTFVKPPKPLPSCLINDFLQEMCLDFVEEKRDLLRAIPLDKRLADLPKIPQPTLILWGDKDQVFPVELARRLKRHLGENAELIILEGTGHACAFEKSKQLGKHLKKFLLG >CDP01175 pep chromosome:AUK_PRJEB4211_v1:10:4915945:4922994:1 gene:GSCOC_T00034725001 transcript:CDP01175 gene_biotype:protein_coding transcript_biotype:protein_coding MELASTSASLGTYIVFPKPQLSFTSRTLYPSLFSVRNSFSKSLYLASKSQVRLHEFFHAAAVGNTSRVPYDSVEEHMTTAKHSGLKASNGITTSKEEETDKKDAAKSLDDQKMTRVCDKLIEVFMVDKPTPTDWRRLLAFSREWDSIRPHFYQRCQDRADTENDPGMKHKLLRLARKLKEVDEDVQRHEELLKVIRKSPSEISEIVAKRRKDFTQEFFVHLHAVAESYYDNPTEQNAVAKLGNMCLAAVQTYDTATESIEALNAAELKFQDIINSPSVDAACRKIDSLAEKNQLDSALVLMITKAWSAAKESTMTKDEVKDVLYHLYMTARGNLQRLVPKEIRILKYLLTIEDPEERMSALKDAFTPGEELEGKDVDCLYTTPEQLHTWIRTVVDAYHFSREGTLIREARDLMSPKIIQKLDELKKLVQDKFL >CDP11542 pep chromosome:AUK_PRJEB4211_v1:10:27224745:27226977:1 gene:GSCOC_T00033843001 transcript:CDP11542 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDFVPDTSGVSLAESKGFLKAPGGAPANVACAITKLGGVSAFIGKVGDDEFGRMLVDILAKNGVKSDGVCLDKHARTALAFVTLKRNGEREFMFYRNPSADMLLKESELNMGLIKQAKIFHYGSISLISEPCRSAHMAAMKAAKEAGVLLSYDPNVRLPLWPSADAARQGIKSIWSEADFIKVSDDEVEFLTQKDPQKEDVNFKGKVAGFPVNAIDTTGAGDSFVGALLCSVANDPSIFQDEGKLKEALTFANACGAICTTQKGAIPALPTPSDAQALIAQSKAK >CDP01379 pep chromosome:AUK_PRJEB4211_v1:10:3055827:3058129:1 gene:GSCOC_T00034993001 transcript:CDP01379 gene_biotype:protein_coding transcript_biotype:protein_coding MANILLILSILFVVISHQCYGSQPLSEFLTEKSFKGAFHADSFNALSLRSESDASAKAFAVHVSPQDGLKEKDKIDRLPGQPDGVDFNQFSGYVKVDCGRVLYYYFVESPQDSSSKPLLMWFAGVPGCSSFGVGAWTEIGPFRVNKDGKTLYRNPYAWNSEANLIFVEAPAGVGFSYSNTSAYYERIGDTLTAYDTYTFLVNWLERFPEYKNRGLFLAGEGYAGHYATQLAQLILRQNKYSNQTTAINLKGIALGNSYIDYESSFYGSLDYAWRHALISDEIYNGVNSNCNLSLVIQSDSCSEYLNLSNNVTEGINPYDIYASLCNSTPGAAPYSYVGPCSTDYVSDYMENPEVQIALHANISTVPYPWKICNDLKNWTDAPRTVLPIITELMEGGIQSFQMMFSGDTDSAIPVTSAKYAVNKLKLSAKTAWYPWYLQNEVGGYVVEYQNLTFVTVRGAGLFVPDHQPARALALFTSFINAQLPPST >CDP07301 pep chromosome:AUK_PRJEB4211_v1:10:616676:622358:1 gene:GSCOC_T00024528001 transcript:CDP07301 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHRRRLQYQYKNRGRLLIPAISVISAALLVLFVLISLLAPSPTDGNGPLRLPRHRSSTVTETRNKDRTKDEGKGVPKFRVPPGRGAYHRDLWTSTNAKFYHGCSDASSKFPKAEAITRPNRYLLVATSGGLNQQRTGITDAVVAARILNATLVVPKLDKKSFWKDSSNFSEIFNVDWFISYLAKDVRIIKELPLRRGHQWIPHSMRVPRKCNERCYITRVLPVLSKKQAIQLNKFDYRLSNRLETDFQKLRCRVNYHALRFTNPIITMGEKLVQRMRMRSKHFIALHLRFEPDMLAFSGCYYGGGEKERTELGKIRKRWKTLHTSNPDKVRRQGRCPLTPEEVGLMLKALGYGSDVHIYVASGEVYGGEETLAPLKALFPNFHSKESLASKEELESFSAFSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLYRLFLDRDNMTWEEFESKFRKFQRGFIGEPKEVRPGRGEFHENPASCICEDSDAKAKVDSLSRKFGKENGVRKEDVDTAADDENMDDEPEVSDGDGDEDPDDLPTNILLNGTASDYEASLLDNPELDELLSD >CDP07587 pep chromosome:AUK_PRJEB4211_v1:10:2641136:2643159:1 gene:GSCOC_T00024886001 transcript:CDP07587 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPLLAKYILHYSLHTHLPQKKLHFWLAFQGKQNMAALSSTGLLQPPSETTSPQQQEATPVSPQPKTTAPLPLREIPGSYGLPFIGPIKDRLDYFYNQGEVQFFSTRAQKYKSTVFRSNMPPGPFISSNSKVIVMLDGNSFPVLFDISKVEKKNLFTGTYMPSTKLTGGYRILSYLDPSEPKHTKLKNLLFFLLSNRKDHVIPEFHKSYTELFDSIDKEIAAKGRVTFDQDGAAFRFLGRAYYGADPADSSLGQDGPTLINKWVLFSLHPIASLGLPKFIEDPLLHTFPFPPCFVQKDYRKLYDFINANSTFVLDEAERNGIPRDEAAHNLVFATCFNTFGGIKVLFPNLMKWIGQAGVELHKKLVQEIRSAIESAGGTLTTQALENMPLTKSVVYETLRIEPPVPYQYGKAKIDLTIESHDARFEVKKGEMLFGYQPFATRDPEIFERPEEFVPDRFVGGGEELLKYVLWSNGPETESPTVNNKQCPGKDFIGFISRLFVVEIFRRYDTFEIEVVKVTALGSTIDITSLTEASS >CDP10921 pep chromosome:AUK_PRJEB4211_v1:10:20904275:20905512:-1 gene:GSCOC_T00031882001 transcript:CDP10921 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHERLLRTSDDFVWPIDTTFQGRFVIDVEFLDLKICSLNDGEANPIWPSDCVMQSLAMQSTLRCLSRLLFEGIDADVIINTADGTVRSHKAILSASSPVFHRMFLHNLKEKESSTIDIEDMSTDSCTALLSYLYGTIKQEDFWKHRLALLGVANKYDIADLKDACQESLLEDINTGNVLERLQEAWLYQLNKLKKGCLMYLFDFGKIYDIKDETSNFFRQADRELMVEMFQEVLTAWKPA >CDP11396 pep chromosome:AUK_PRJEB4211_v1:10:25605742:25612058:-1 gene:GSCOC_T00033628001 transcript:CDP11396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G63540) TAIR;Acc:AT5G63540] MPRRRLRVVSSSSSDEDDDVVSIQPPLPPPPPSHQIPHILPEEASEEEDQIINDDVGTRLQTVTLSSSNSTSNTNSNSHNPTRITEPIPFHISDDDVDDAIMNDVPDPDSINPSTVIGNTNFVSSNPNCDGIDGILRRKGLDLKREWFDGCIGALEREVPGFSGNSDDLVKAKICFQQFLNVDMNFCGAGVLPSNVASLHLVDLKGPFVLQVDEIVNISCPLKDRYKEMAAGVKRCLKLSMTDGVQRISGMEYRPIKALEVLSPAGMKVAICNVNVRRGILMLVPEVIEVLGGKVEELDAARQRLVQEVNKPPRGKRTRTGVVPPLATRATRAAWPAETVNVQEHICNSRTTMPLQVEEPGNYFPPDLFTTKLRSLGSNHFKFITWKMKRARASISPLEKLGSWGGISLRLNRTKDFDKDTLLSSASSYSFIVHISFFFQYKSDGTEDRHRTDAQAISPSATETNEVHMATESEQDVDRINREQNAVSSFMEEAGPNLYSSATTDVQEAHMLDELEHPFLLTGDNESPFTYLASLLAMWAAKQGDVAKVEGKIKCFLTGVKGFQYKRRTTYELLVYIDDGSLISEILIDHNVVQKGIGYSPEEVCAALASSDARRVSEMKETLKQFQSFLVNFEGTMLVEITAASSIPVATEMNQGCPASDAWLLLERLGRCGSAQHQHQHQQNSHLNAIVLSP >CDP07567 pep chromosome:AUK_PRJEB4211_v1:10:2495077:2496537:-1 gene:GSCOC_T00024861001 transcript:CDP07567 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYLNLALLLLHLLALGTVTSIVSATVFTLQNSCSHTVWPGTLSGNGAAILGDGGFALPPGATIQLSAPAGWSGRFWARTGCNFDDSGHGSCSTGDCGGLKCTGGGVPPVSLAEFTIANGDNAKDFYDVSLVDGYNVGLGIRPSGGLGDCNYSGCVADLNQNCPEELQVTDSDKVVVACKSACDAFNTPQYCCTGDHSTPETCPPTNYSRKFKEACPAAYSYAYDDASSTFTCAGSDYLITFCPTR >CDP07469 pep chromosome:AUK_PRJEB4211_v1:10:1807626:1811294:1 gene:GSCOC_T00024740001 transcript:CDP07469 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSSSISATIRWRGSFCSVYTSALRSASVPYRILYAPHPKLAFSSCSIDHSPSESSKQGTLKPGLYLVATPIGNLEDITLRALRVLKSADVILSEDTRHSGKLLQHYSISTPLLSYHKFNEAQREQQVLKRLKDGEIVALISDAGTPGISDPGTELAKLCVSKGIPVIPIPGPSALVAALSASGLSTNEFTFVGFLPKHAGSRKERLLISANEAATQIFFIPPHKLSQFLEEINCLFGASRQCVMAREMTKVHEEFWRGTVKEAQEAFLTNQPKGEITFIIEGKTTCVDEIPSESELENDLREMISKGNSLSEAVKLVAAGTSVRRKTIYSIALRKFGNQFESEDN >CDP10668 pep chromosome:AUK_PRJEB4211_v1:10:10794860:10800991:-1 gene:GSCOC_T00031454001 transcript:CDP10668 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDLLAGGGGAGVPPGFRLPVAGAVGVNPKQKKEKIIHTIPKASLSIPGTQTIYMKTFGCSHNQSDSEYMGGQLSAFGYVLSDDPDEADLWLINTCTVKSPSQSAMNTLITKCRSAKKPLVVAGCVPQGSRDLKELEGVSIVGVQQIDRVVEVVEETLKGHEVRLLTRRTLPALDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTVESLMGRVRAVIADGVKEIWLSSEDTGAYGRDIGVNLPILLNAIVAVLPSDGSTMLRIGMTNPPYILEHLKEIAHVLCHPCVYSFLHVPVQSGSDSILTAMKREYTVSEFRTVVDTLIELVPGMQIATDIICGFPGETDEDFARTVDLIREYKFAQVHISQFYPRPGTLAARMKKVPSTVVKKRSRELTTVFESFVPYVGMEGKVERIWITEFATDGVHLVGHTKGYIQVLVIGPETMLGLSAMAKITSVGRWSVFGEVIEVLNQANAVERSVDQFSHCSSLDSSCSKEQRACEMTSCCGQVQEVEKKVSTPKYEAKLNLVGWVLRKRKSNSRIMEKGSAMEFEGNQNKAQNCLLEWSTVDKGLLGGVLVSLLTILALSLYLGTRSF >CDP07322 pep chromosome:AUK_PRJEB4211_v1:10:748599:749973:-1 gene:GSCOC_T00024552001 transcript:CDP07322 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVDWSSLPPELIQKISIDLKIYADYIRFRAVCTTWRTSTPTTPTHLPCQLPWLMLPQSRSSSHRRAFFNLADNKCHVLGLPEASHRRRHSGSSHGWLVMLDEYPPIFLVNPLTRAKIDLPPLSTFPCVVGFDVFNVGREYVLQSSDDESHVNYVSRFYTCGLKEMRDSFVKKIVLSNSPSGGSKFLALAIINKTGDLAYWKDGLNSWGLIEGARSFCEDVIYFNGLFYAADKNGSIAVCDVGGDSPRVEFIRTPGLSDGDMQYLVIANGELLLVTRYLELASDLGQLQIGIGTYETREFRVFKLDLSGSKWERVMSLGDTMLFLGENSSLALVASDFPECRGNRIYFTDDFSELDYSGFPGNHDLGIFDLEDGTIEQLPCHPRTSHSHLQWPPPIWITPNPC >CDP19626 pep chromosome:AUK_PRJEB4211_v1:10:25095218:25097958:-1 gene:GSCOC_T00006176001 transcript:CDP19626 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFFFSLVFVILHFQIAQQATVVVDGVSEWRNPTVQIGDSVIFQHKYHYNLYIFQNHEAFSLCNFKQATLLTKSNSTSYTWHPSRKGFFYFSFNNGSNKACQEGQKFAVQVTLSAPPVNQASSPPDLSPMAAPPRSSGGFVASSPAFPWPFRPRDVTSPSPAPSEMFPATSPMVPDKSGIPFINSNPAVPLPTGEVDTATIRPFPVSGSYERKVVRVLAVPRALCCIILLMLL >CDP01268 pep chromosome:AUK_PRJEB4211_v1:10:4018098:4020464:-1 gene:GSCOC_T00034856001 transcript:CDP01268 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAFYNFENYCAITVNLLVARYNYNQFAPIPGAVVPHQNTHREREREGKRKERKTKTSHTQEAGSGYLWRVTPRLMLSLPEQSTSSVTGQN >CDP00854 pep chromosome:AUK_PRJEB4211_v1:10:9036688:9037515:1 gene:GSCOC_T00034274001 transcript:CDP00854 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKAQNSINLKPLIILFSCFLFLLVLKLGSNYSSNIQNLQSKYINFTAPCHKIPPSLAEALVHYASTNITPQQTYQELQITLRVLEQKSPTNFLIFGLGYDSLMWSMLNYGGKTIFLEESDAWMQDVKKQIPSIEAFHVTYNTKVTEAVQLLHLGKEENCKVVTDPRSSKCHLAIKTFPDEVYNTEWDVIMIDAPTGYKAELPGRMTAIYTAGLLARNKRFGDTDIFVHDIDRWVENKFSMDFLCEGYWKEEVGRIRHFTIPSHRGGVERPFCP >CDP11916 pep chromosome:AUK_PRJEB4211_v1:10:24416813:24421746:-1 gene:GSCOC_T00035223001 transcript:CDP11916 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDLSTSLPRKIDSGNLRQLPLMDWITRLKMATEVAEGLCYLHQCVPPLVHSNIQASSIHLDDKFEVRLSLFEVFAEENNMRQNGISRSVKGVISNSVKSQGDHAASFSFQYIKQLFGTSKESHTSCSNDVYNFGKVLLELVTGKLGLSATDDSTTNGWMANVLSYILPDNAELIMDIIDSSLVMAKHVLAQVWAVSFIAKACLSPESSKRPQMAQILLALEHIKSSGFTSRTPKTTGNNDSVGVAMEIAETLWGSKLEGRTGPATAYTETLGSGTASSNHGISQAGGSEETCPNGGIFAHPSLTTFSYSELLEATRHFGSDLAVREVEFGRVYQAWLPDKSSSKHGNGSLVAVRNMSSEYMQLLKSRIRSLAVRNMSSEYMKQLVKSRIHSLGKLSHPNLVKFLGYCEEKELFVVHEFMQNGSLDNHLFAGGSDVQPLSWDTRLNILIGAARGLAFLHATEKQGFREYFGTSDILLDVDYNAKILGVGPAKIAPYEVHPNFFRNRRDVDPPPGNVFPGADAGLMNVKSDVYDFGVVLVAMLTGLSTKNRHRLSWVEIHPITYFMSFERNKLKKIMDPKLEGKYPFKPAQKLAFLASMCLQHEPQFRPSMKEVVEELECVAAAREEGNRRALIKQKAHQHIQQL >CDP11999 pep chromosome:AUK_PRJEB4211_v1:10:22771387:22772827:-1 gene:GSCOC_T00035347001 transcript:CDP11999 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWLAHGHMSPFLELSKRLAQNNFQVYFCSTEVNLSFIKKDRNLDEYFSDHSIELVQLDLPHFPELPPHYHTTKNLPPHLNPTLHVAFYMGKTNFQSILDTLKPDLLIYDIFQPWASKLASLIHIPYVLFMAVGAVYWSWSYFYDAINKGYSGIDGTYPFPAIVLKDYEIKNSAAILQEFKKNASEEVLLSTTKSFEVSSDIVLLKTWREIEGKYIDHLSSCCGKKIVAVGPLAELKHDDTKKEEEEENSSHLIKFLNDRSESSVVYVSFGSECFLSEEESEEMAYGLELSNADFIWVVRFPVGHAIALEEALPEGFLERVKTRGVVVDGWAPQAKILEHPSTGGFVSHCGWGSFMESIYYGVPLLALPMLYDQPHHARLAVEIGVGIEILRDEDGRIKRENVAKVIKEVVVEKIELGESVKQKAKELSHKLREEGEDELHEAVEKLKSLCSKN >CDP16493 pep chromosome:AUK_PRJEB4211_v1:10:17295533:17296523:1 gene:GSCOC_T00018463001 transcript:CDP16493 gene_biotype:protein_coding transcript_biotype:protein_coding MEIENGKMKIEEYNTTCLGTIRAAGGGLIVLDDKMKNGGLIVLNPVTKELTALPSGRDAIC >CDP01317 pep chromosome:AUK_PRJEB4211_v1:10:3586518:3589180:-1 gene:GSCOC_T00034917001 transcript:CDP01317 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAIADGVDVISISMGFDLVPLYEDPIAIASFGAMEKGVTVSSSAGNAGTDLGELHNGIPWVLTVAAGSMDRWFAGILTLGNGLTITGWSMFPARAVVRESRLIYNKTISACNSTKLLSEVSSGTVICDSSKSFNIQMYYVSRSTLDAAIFISSDYAYDDSLFPYPGVVISPGEGAEVINYAVNGVNPTASMEFQRTLLGTKPAPIVAEYTSRGPSPSYPEILKPDIMAPGTLVLAAWRPNDAVSIIGPNIGLSNDFNLISGTSMACPHAAGIAALLKGAHPEWTPAAIRSALVTTANPLDNTGTPIRDAGFSYATATPVSMGAGQVNPNSALDPGLIYDATPQDYVSILCSMNFTQKQIQTITRSSYNCSKTSSDLNYPSFIALYPNKTKNALAKKFERIVTNVGDGAATYKVQVTAPKGSGVTVYPEALVFGQKYDKQSYSLKLKYKANQNKTVTFGSVIWVEVNGKHIVRSPIVVAPIIPVW >CDP07237 pep chromosome:AUK_PRJEB4211_v1:10:68100:76160:-1 gene:GSCOC_T00024443001 transcript:CDP07237 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGGAAAAATATSPKWAQKSITIPPQRRGCHLITSKILKEIGQDLSGFKCGLAHLFLQHTSASLTINENYDSDVRDDTETFLNRVVPEGTSAPWKHTLEGPDDMPAHIKSSMFGCTLTIPITNGKLNMGTWQGIWLCEHRDFGTARQIVVTLNGL >CDP11409 pep chromosome:AUK_PRJEB4211_v1:10:25847667:25851091:1 gene:GSCOC_T00033648001 transcript:CDP11409 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRAGEDIGMGEDLTPPLPPMAFSLHDSFLHSHCSACSFPLPNAHFTPISPSNSPPLHYYCSPRCSSLDSPPFMCPPPPLEDSSHLRLSLRLLHKLFHSQNDTVGVKLDRIGGLMTNYEKFLNLSENEEEDEVLEMIKEGARAMKLLAGKLEGVVGVEEAVMCLVLTNAVEVQDKDGRNVGIAVYDWRFSWINHSCSPNACFRFVTTKTIPPQQVVEGESQLPRLRIYPAAMHGGAGDGLAGDCKSSEGCGPSVIVRSIKGIKKNERITITYTDLLQPKEMRQAELFTNYRFNCCCKRCTATPATYIDRALQETFVSGVDGLNLTSNED >CDP10708 pep chromosome:AUK_PRJEB4211_v1:10:10036626:10038734:-1 gene:GSCOC_T00031508001 transcript:CDP10708 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRVLETQTPPLETENEAGPGGWRSASFMLAGGSLERFAYYGVESNRISYLTGPIGESVATAAANVNTWIGVVSLVPVLGAYLADSFLGRHRSIIFTSLLYILGLGLLSLSAIIIPTSTSSSTYQGMNKKSGPKTGLQVLFFVSLYLVALAQGYKPWVQAFGADQFDGKHPEQSKAKSSFFNWWLCGICIGSTAAHLILHYIQDNINWALGFGIPCLAMILGLTLFLLGSRIYFFPVKRASSSQEEYQEDLLLNARQRSQDYRFLENVSPAADDYSTVSDKTEVPKNVLRLFPIWITCLTYTVAYAQAATLFTKQATALDKSIGLSFNIPAATLRTFVPLTIMLCIPIYDRIFIPVARTITKNPQV >CDP01292 pep chromosome:AUK_PRJEB4211_v1:10:3775039:3778718:-1 gene:GSCOC_T00034887001 transcript:CDP01292 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGGGGKDGAAGESSSAASSAISKWVFSMSRGYQHMLDKSTPQVLNRWIFLVVIAFIYAVDPEFQELTADDGPSLPTRGSDEFRPFVRRLPEFKFWYSLTKAFCVAFMLTFFSAFDVPVFWPILLFYWLVLFISTMKRQIMHMIKYRYVPFTFGKQRYTGKKVVPSDDASISRP >CDP01343 pep chromosome:AUK_PRJEB4211_v1:10:3341444:3342142:1 gene:GSCOC_T00034948001 transcript:CDP01343 gene_biotype:protein_coding transcript_biotype:protein_coding MIISGKLIQRTYYEVLGLKEDATYEQIRSSYRSSILSSHPDKLLKECEKLNPEHKSGDRFMELQRAWETLSNPRSRAIYDSELHTLRQDAVTSEDVSLEDLTVEEADDSLELSYSCRCGDYFVIDSSELTEIGYPLSRNGNTITLHTTKSSQASIILPCGSCSLKIRLLINSDVKLHIDGHS >CDP10652 pep chromosome:AUK_PRJEB4211_v1:10:11344589:11346347:1 gene:GSCOC_T00031433001 transcript:CDP10652 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNCLPNSKTSTYTGRRTIAGSSVVFPQILPSCSESSLVGLKASAESTEVSGHQPPRWASDFFIEEQPFWLDDLLNEPDGLMQRGHRRSTSDTFAYLGEAVEDLDLREEPSHRNLTSKALSIRQNIGRNKDSKLFESKPSSLVEKFKQDPHIAVSRSAEKQEEEISTQTSEGSNEGISSLSPKPSVSKTEAKRAKQQSAHRSRVRKLQYISQLERTVQLLQAEGSEISAELEFLDHQNLILTMENKALKQRLDSLSQEQLIKQMEQEMLERELGRLQNLYHMQRQQQMQMQQQQQQHHPKHRRNKSKDLESQLPSVSRKNVRGTT >CDP07519 pep chromosome:AUK_PRJEB4211_v1:10:2179234:2181273:1 gene:GSCOC_T00024798001 transcript:CDP07519 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVKEGRLSEGLAPRHCALSLVGEPIMYPEINTLVDELHRRRISTFLVTNAQFPEKIKLLKPVTQLYVSVDAATKDSLKAIDRPLFGDFWERFVESLKALKDKQQRTVYRLTLVKGWNTEDVDAYSSLFGTGNPDFIEIKGVTYCGSSATSKLTMENVPWHYDVKEFSEALCQRSNGEYEVACEHVHSCCVLLAKVEKFKVDGQWYTWIDYEKFHDLVASGKSFTSNDYMAPTPSWAVYGAEEGGFDPEQTRYKKERHHKNSR >CDP07442 pep chromosome:AUK_PRJEB4211_v1:10:1581883:1582575:1 gene:GSCOC_T00024709001 transcript:CDP07442 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTYNDVVVKYNEKRNYGGGGGGGGGGGGATAGGSGSGGGGAGAMGGGGGWGWGGGGGGWWGWGCRRSQKKKNVPANQYYKIGEFAQCTTEGRCQGMRLDCPLHCGGPCFYDCKNMCKAHCRR >CDP11926 pep chromosome:AUK_PRJEB4211_v1:10:24343366:24344752:-1 gene:GSCOC_T00035234001 transcript:CDP11926 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVELIIAHRLLDLPELFCNLDHIWPIFSFYFCTSQSKISKRQQMLLYLVFVVAFLFKTLGIQNMFEWIIV >CDP07389 pep chromosome:AUK_PRJEB4211_v1:10:1162683:1169172:-1 gene:GSCOC_T00024640001 transcript:CDP07389 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSNGINKRSINKSELEERNHEHHDKSSGFSGKLKSGKSSGGKQQNKIDDSSHAYPDFDAFEKTSNLYDSGELQLSFSRELKPSTPARTPAAAKGPQVSSFLGKAGIAGLEKAVEVLDTLGSSMSNLNNSGFIGGMASRGNRIAILAFEVANTIAKGANLLQSLSEDNIRFLKKEILHSEAVQQLVSTDMKELLSIAATDKREEFDVFSREVVRFGDLCKDPQWHNLGRFFSKLDSDPVNPKLPREEAEMTMQELTSLAQHTSELYHEFHALDRFEQDYRRKLEEVDSLHLPRKGESLMILQSELKHQRKLVRTLRKKSLWSKPLEEVVEKLVDVVTFIHQEISDAFGDNGLVASGREPTKPERLGVAGLALHYANLVTQIDNIASRPTSLPPNMRDTLYNGLPPSIKTALRSRLPPTDAKEELTVPQIKAEMEKTLHWLVPVAADTTKAHQGFGWVGEWANSAGTEFGKNTNKSTSLIRLQTLYHADKKKMDTYILELVTWLHRLISLVKYRDAGGKVLPSRSPTRKGLNMQGEVLTNNSNVHGAQLSLEDRNLLEEVMKGRKVVPGRSKSQEFVEAKKGSKKVWALSRSTGSSPRIELGHPKANVLDILDGLDNSFGALP >CDP01089 pep chromosome:AUK_PRJEB4211_v1:10:5695834:5697697:-1 gene:GSCOC_T00034602001 transcript:CDP01089 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASSLRILKLFVLISLFSSCFGSRIIGRTLGQDNQFQLLHYHKGALLGGRISVNLIWYGKFKPSQRAIIADFITSLSSSSAPPQTQPSVASWWKTTEKYYHLANSKKPSSLSLYLGKQILDENYSLGKSLTRKQIVELASKGEQRNAINVVLTAADVIVDGFCQNTCGSHGSSKGAAIQGKTYKFAYIWVGNSETQCPGYCAWPFHQPTYGPQNPPLVAPNNDVGMDGMVINLGSLMAGTATNPFGNGYFQGPAEAPLEAASACPGVYAKGAYPGYPGNLLVDRTTGASYNAHGTNGRKYVLPALYDPSTTSCSTLV >CDP07375 pep chromosome:AUK_PRJEB4211_v1:10:1066410:1069265:1 gene:GSCOC_T00024616001 transcript:CDP07375 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRQRDFMFCEMCGTMLRFNSPKYAHCPVCKFKKRVKDIAKREIRYTLTAEDIRRELGVSSLDEVEEEKELKQMDYNAKCKACQHLGMSYVARQIRSADEGQTIFYTCPVCASRQTENS >CDP10894 pep chromosome:AUK_PRJEB4211_v1:10:20310736:20325283:1 gene:GSCOC_T00031844001 transcript:CDP10894 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLIELNSLQEAVIALGKFKQLKSLNSVIQPLSDSLVKHGMLQHKDKDIRILVATCFCEIIRVLAPDPGFSDDVFRDIFKLLQSIFAETGDYKSPYFSRRVKLLETVAKLNFCVLMLDVGCEDLILKMFKIFFSAVREQHPQSVINAMSSIMARILEDKLEEKDLEPLIFQEKFSEPLLDVILQNLLKESKASGGSGGFARLAVSVIQNCNEKLERCICTFLRSCILNRESIGSELKEWYHDILYEIFQSAPQMLVSVIPSLSHELLTDQVDVRIKALNLLRKCFSLPGHKIAEEYHNLFMDFLNRFTDKSAEVRLCALSCGKALYANNPSGTGSSQILTELESRLLDFDDRVRMQAVSIVCDVSRLQIGSVTNELISQVADRLRDKKVSVRKKALQKLLELYQDYCTKCVGDIKMHFEQIPCKILMLCYDKDCKEFRPQSLEYVLAEDLFPVSLSVEERTNHWIFLSSLFTPLHVKALNAILSQKRRLRNALQAYLHLRVNEEEIGSDEMERNTKALFKKMSALFPDPAEAEECFYELNQVNDDDIFKMLADLINVGDALSTRDNLLRKIGDQSPLFEFLRLLSSKCLLNIFSSRHVSYILDHLTFDTSVRHTKLDYSPLLLLSAIISAFPSLLRSSEEKFRQLLADKDIPFNEQLIQFLAKEGSNISIRLSDCYNFLERVRLEGTQAEAKLAVSAIAALSDSSEQVIVPNLCKVCLSHFLYVDAVLSHVISIGTKLR >CDP00872 pep chromosome:AUK_PRJEB4211_v1:10:8727314:8727926:-1 gene:GSCOC_T00034306001 transcript:CDP00872 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWTWHQFTCVVEPDYSSAKSGHFTGPHLHTIYPHGSKDTLPFATMGNGSLVAMAVFESNYREGLTRDEGVQVVAEANPSYNSQVSTPSENGLLRKKATVYRLMAFQFCAFSRTRAI >CDP01337 pep chromosome:AUK_PRJEB4211_v1:10:3374917:3377421:1 gene:GSCOC_T00034941001 transcript:CDP01337 gene_biotype:protein_coding transcript_biotype:protein_coding MESYRSFLQPPTYGNSITILSIDGGGIRGIIPGVILGFLESELQKLDGEDARLADYFDVISGTSTGGLVTAMLAAPNDKNRPLFAAKEIKDFYLDNCPQIFPQETHLMLGQAEKLIKAVTGPKYDGKYLHNLLKQKLGETKLHQTLTNVVIPTFDIKLLQPTIFSSYALKHHPSLDALLRDICIGTSAAPTYLPAHKFETEDSDGSVKEFNLIDGGVAANNPALVAMNHVTKEVSQGNPDFFSIRSQEYSRFLVLSLGTGTAKEEGKYDAEQAAKWGILGWLTSGGSTPLVDVFSQASSDMVDFHLSTVFQTLQSEENYLRIQDDTLTGDLASVDVATEENLQNLVKVGENLLKKPVSRINLETGVFEPLNKGTNEEALKRLAETLSKEKRLRDLRSPTSHVPKSQK >CDP07493 pep chromosome:AUK_PRJEB4211_v1:10:2009909:2013386:-1 gene:GSCOC_T00024768001 transcript:CDP07493 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEFQPRDYNKTGKETSATWPPPLVLGLQPAALVDHVAKVDLSLLSQIPGKPGGSFPVTAAELKCILSEVNTHILSTPDNSSSSKTMAGGSVANTIRGLAAGFGVNCGIIGACGDDEEGRLFRTNMSSYKVDLSRLRLKSGPTAQCVCLVDERGNRTMRPCLSDAVKVKANELKKEDFRGSKWLVVRYAIFNLDIIQAAIQMAKEEGLSVSLDLASFEMVRQFRLPLLQLLESRNIDLCFANEDEAIELLRGEEDAKEDANYEAALEFLSKYCKWAVVTLGSSGCIAKNGKEVVRLPATGKKEAIDATGAGDLFAGGFLYGLMKGLSLEECCKIGSCSGGSVIRSLGGEVTRENWQWMYTQMRNKGLPVPDSANSDS >CDP18269 pep chromosome:AUK_PRJEB4211_v1:10:24633435:24634556:-1 gene:GSCOC_T00011994001 transcript:CDP18269 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPIASPQQTHHSIKTVNLSYNLSPSKFDQLLNLDAWQETANEKTRYILKNVNCEAKAGELTAIAGSSGAGKTSLLEILAGVIVRSRVIGHVLVNGQPLNVAHFRRASGYVTQDEALFPLLTVEETLMYSARLRLHGGSEAARERVRDLLQELGPDHVAAVRIGSESRRGISGGSTNTYPEILQNIFRTKDLYGARTIETLLAGILLGTIFMDTTPHSLN >CDP10673 pep chromosome:AUK_PRJEB4211_v1:10:10755377:10757732:1 gene:GSCOC_T00031460001 transcript:CDP10673 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFILLNEEVLHFHPLFPPLFSLPISQLFFSSLIFCKTKKKNEGQDSAWHAVASWTWDAQDETCGICRMAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTPQAHCPMCRREWQFKG >CDP00893 pep chromosome:AUK_PRJEB4211_v1:10:8327715:8331195:1 gene:GSCOC_T00034333001 transcript:CDP00893 gene_biotype:protein_coding transcript_biotype:protein_coding MWFRGRKPLEYLDIVGRFSRSSSILQMRFSSDIPCKKSKLAPLQERKMIDRFRLWAKGGDGGNGCTSFRRSRHDRRGKPDGGNGGRGGDVILECSPSFWDFSCLQHHVNARRGGHGASKNMIGSRGSDKVVQVPVGTVIHLMEGEIPSAVEKSFSEALDPWEIPGSLDVESIESISKSDVKASSCVGTDIGVSAAEEQAEIIYASSPPQVTPSSNKEATKSWREESCRAGEGQDNDDAMSEVESEEELKAAADIQYNVAELTEPGERIIVARGGDGGLGNVSSSRVPRKMPKHEAYNDEEHASASVGLPGSEVVLLLELKSIADVGFVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGNLNYEDLSITVADIPGIIRGAHENRGLGHAFLRHIERTKVLAYVLDLSAALDGRKGIPPWEQLKDLILELEFYRQGLSNRPSLIVANKTDEAGAEEVYEELKLRVPGVPIFPVCAVLEEGVPELKDGLRMLVNGGESCKLKLDDIVID >CDP11508 pep chromosome:AUK_PRJEB4211_v1:10:26871662:26878004:-1 gene:GSCOC_T00033796001 transcript:CDP11508 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKSKKPRKNFVTEEDFSTLLQRYTATTVLTLLQEVAQVQDSKIDWNVLVKKSKTGISNPREYQMLWRHLAYRDALLDRLDDAAAQPLDDDSDLECDLEAFPAVSNEALAEAAACVKVLIASGPSDPNGLTVEAPLTINIPKGQPSRAPENSHCNVFAQGTNITVPVSVQKPSLPSVASAEALDSNGAANANLPRRKRKAWTDVEDQELMAAVRKFGEGNWANILKGDFKSDRTASQLSQRWAIIKKRKGNVTLGTGSQLSEVQLAARRAVSLALNMPMGDTLKSSPSISSGTNTNVAHSKLGPPHSNETPSIVAPLQHDSVSTAGHQIGSSKSRVTTKKPSTKSTISPDSMVKAAAVAAGARIATPSDAATLLKAAQSKNAVHIMPGGSVLKSSMAGNANSLPSNVHFIRTGLASKPLSTYSTAAVSSSQNGGTQQVLGTSSKPAIPLNQPNSAGTAQELSAPSEVTSVAVPGPVSRLESKIVEDFGTFMCNSEKDLLKENQAGFKCDGSNEQMKGDLTAVSVDTKPNQVQEDQGASENLCSDQAEGGQASVMGEILKEHASGDEVSASANALNKKAGDLIDSQSSSMAASNGHLSPTDNQASTTFDSISKDDVMGMPVKCISDKPVKEMFENNMAKREEGLIMDLDGNDGQANLKQREAFRMGRSEK >CDP01329 pep chromosome:AUK_PRJEB4211_v1:10:3444599:3448018:1 gene:GSCOC_T00034930001 transcript:CDP01329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MTYFKLSILPLQENSRLLFVNKFSNFPTAEKRNSTNSDKTKRPTNFYCSHSNTIAVVSPSEAEEDGSDDNEEGGQMSSPAMMGSAPPVRRRRRRYRKLCPGENQGITEEMRFVAMKLRNSGKPKKIKKGRGKAESIKEVETGNSADSGEDQKDVSISEEKVEKDDDGSDANEDIWQPSLEGFLKYLVDSKLVFSTIERIVDESSDVSYVYFRKTGLERSECIAKDLEWFSRKGNAIPAPSNPGVTYVQYLKELAETSPPLFLSHFYNIYFSHIAGGQVIAKKVSEKLLEGRKLEFYAWEGEEQELLKGVRDKLNMIGEHWSRDEKNRCLSEATKAFRYLGQIVRLIILQRKQI >CDP10994 pep chromosome:AUK_PRJEB4211_v1:10:22367803:22373946:-1 gene:GSCOC_T00031992001 transcript:CDP10994 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) UniProtKB/TrEMBL;Acc:F4HY34] MYNLNKTSWRNENNENYAGYSGIRLSEAQTSNGSFRSNNSSAEIAASAGSDGTYLNGNNSSSSSFMNELSNDNGSSASQKNGFVNSRYELDKKVPSANVTEQRSNPVASVSVGDDGLEVIEGNMCASATGVVRVQSRKKAEMFLVRTDGFSCAREKVTESSLAFTHPSTQQQMLLWKSPPKTVLLLKKLGQELMEEAKEVARFLYYQEEMNVLVEPEVHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHASNIFRDAVPPVVSFNLGSLGFLTSHTFEDCKKDLRQVINGNNTVDGVYITLRMRLRCEIFRNGKAMPGKLFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKCDQTGDWFHSLIRCLNWNERLDQKAL >CDP10968 pep chromosome:AUK_PRJEB4211_v1:10:21998587:21999333:1 gene:GSCOC_T00031959001 transcript:CDP10968 gene_biotype:protein_coding transcript_biotype:protein_coding MTALVCRKRSFLEDIQSPSPLPITKKLRCFSLPHPVQVSPQQQRPTSPTLLDRLIIAFPDMDRELLEKAVEECGSSDLDSTIKKLHELRLGCQATMSRDVCTASNILPVDGAGWVELLVQEMMRATSIEDARSRATKVLENLEKSISARVRADAAEVFDKEQISMKEKIEWIVKQNGILKRAVAIQHERQKDYDGRNQEVQQLKPLVAQYQEQLRSLEAKNYALRMHLSQADHQGNSMIPDHFNPDVF >CDP01116 pep chromosome:AUK_PRJEB4211_v1:10:5441788:5442509:1 gene:GSCOC_T00034634001 transcript:CDP01116 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSILLCCSTPTGLQKVPLFCGLPELDSSEPWSANNEQEQQEIRSIQRCSTGCSSTFRAPSFRFLEWMDSGGSINIRFALPG >CDP11512 pep chromosome:AUK_PRJEB4211_v1:10:26908253:26912108:1 gene:GSCOC_T00033801001 transcript:CDP11512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G09700) UniProtKB/Swiss-Prot;Acc:O04492] MPTTDAFQGVSNCYVFKSRLQEFAQKVGLPTPVYDTIKEGPSHEPTFRSTVIVNNVRYDSLPGFYNRKAAEQSAAEVALLQLSSSEARVDCISQPVHETGLCKNLLQEYAQKMNYAIPMYECSKDETPGRAPLFSCTVDIGGIKYIGAAARTKKEAEIKAARTALLAIQANASGSDFNGSSIHTVVPHKKKMADLGINSQETPAALKPKKRRFKKKSKKKKHATGEGNPVPNQSIASLVVHTDDQLGQGRADSSVSKVADFGVSTDEACYSEVVGTVSVPHANGDSKPEFNLLNDGDGLNGLVKEANETPEIVQLNSTSK >CDP07436 pep chromosome:AUK_PRJEB4211_v1:10:1544474:1547875:-1 gene:GSCOC_T00024702001 transcript:CDP07436 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTATFLHLTAVVVLMCAATLSFTCADNATEIQALFIFKQNLDDPLGAMDGWDSSTPSAPCDWPGIACFEGHVRELRLPRFQLSGRLTDQLRNLRQLRRLSLHSNNLNGSIPPALSQCAFLRAVYLQYNSLSGALPPALSKLTNLQVLNVAHNFISGQVSGDVPPGLRVLDLSSNSFSGNIPANFSAFTQLQLINLSYNQFSGEIPATLGDLSNLQYLWLDSNRLYGTIPSAISNCSSLIHLSAGDNNLQGLVPATIGILPSLQVISLQHNQLSGVVPTSIICSISNVSAHLVRIIDLSFNGFTGIQGLADNAICSSIMEVLDLHGNQIKDVFPGWLINVSTLKILDISGNSISGVIPNTIGNLTSLEELRLANNSLTGGIPDGIRQCGSLRVLDLGGNRLSGLIPDFLGEMRSLELLSLGENYFSGSIPGSLGGLIRLESLDLSNNNLNGTLPQELIALSNLSSLNLSRNDFSGGFPGNIGDMKGLMVLNVSGCRFLGPIPESIGNLLRLRILDLSQQNFSGELPLGIFGLPSLQVVAVEENLLSGDVPEGFSSLTSLQYLNLSSNAFSGEIPDTYGFLTSLTVLSLAENHVSGSIPAELSNCSNLEVLELRGNRLTGRILNDFSQLSHLEKLDLGQNSLNGAIPPSISNCFSLRMLLLDSNHVFGRIPQSLSNLSNLTALDLSSNDLSGDIPESLSSISGLKHLNLSHNNLQGEIPASLASRFNDSSIFAMNDNLCGMPLSECRKPKRRRVKRLILMIFVAAAAGLILFCCCGAYLYGLIWWRKKLRAKAAGEKKRSPSPRDVGGRGSGENGGPKLVLFNNKITYAETLEATRQFDEENVLSRGKYGLLYKATYADGMVLAIRRLPDTSIDVNTFRKEAESLGKVKHRNLTVLRGYYAGPPSDIRLLVYDYMPNGNLATLLQEALHQDGHVLNWPMRHLIALGIARGLAFLHSASVVHGDIKPQNILFDADFEAHLSDFGLDKLTIATPAEASTSSTPVGTLGYVAPEVTLTGLPTKEADVYSYGIVLLEILTGKKPVMFNHDEDIVKWVKRQLQTGQISELLEPGLLELDPESAEWEEFLLGVKVGLLCTMPDPLERPSMTDVVFMLEGCRVGPDMPSSADPTTIPSPI >CDP10915 pep chromosome:AUK_PRJEB4211_v1:10:20812622:20817346:-1 gene:GSCOC_T00031873001 transcript:CDP10915 gene_biotype:protein_coding transcript_biotype:protein_coding MARDQESKAGVFFMATLVMWAVSVLFEIGFNKRSELLPIIGGFLFYQSANWVIRNYRSRDPLFVNTCVSLLHSSITSATVVVIIINRWMTSSAKDMFEHSQLVETTWPGAYAALCISSGYFAYDQGDMLLYCLYSGPIPSILSHHLILLICFILALYRNVAINYLILTLICELHSVILHMRKVRRMAGVRDARIKVVKIEWVVNLITFVLARFSSHVLITVKLIRDASKFGKGVELPLALFGMAGMNLLNVFLGIDLFKAYQRERRTRNVSSKSS >CDP07591 pep chromosome:AUK_PRJEB4211_v1:10:2675614:2677333:1 gene:GSCOC_T00024892001 transcript:CDP07591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein JINGUBANG [Source:Projected from Arabidopsis thaliana (AT2G26490) UniProtKB/Swiss-Prot;Acc:O48716] MFSDTSSLPPKIGNMTHSDPNICSAATDDEMAARHSSFSGYDVSRLSGEMSPMTMSPWNQNSPFTQSPWSKFDENVPQNVPQNGLIGSLVREEGHIYSLAAKEDLLYTGSDSKNIRVWKNMKEFTAFKSNSGLVKAIIISGDKIFTGHQDGKVRVWKINPKNPSVHKRSGTLPTFFDIFKASMKPSNYIEVKRKRTALWIKHVDAISCLSMNQEQGLLYSASWDRTFKVWRVENSKCLESVKAHDDAVNSVVASVEGLVYTGSADGTVKVWRRESSGKTVKHHFIQTLLTQECAVTALAVNKSGSVVYCGSSDGLVNFWEREKELTHGGVLKGHKLAVLCLTAAGNLVFSGSADKTICVWRREGNVHTCLSVLTGHTGPVKCLAAEEDKDSSTGDQRWVVYSGSLDKSVKVWSVSETAPDLRHMGNMQPGQGDSPWDSIPSAKY >CDP07411 pep chromosome:AUK_PRJEB4211_v1:10:1293034:1295726:-1 gene:GSCOC_T00024669001 transcript:CDP07411 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEANEQLPKQERLRTRWTASLDKIFADLVVQQIQLGNRPNNVFDKKTWNHIREEFNRQTNLNFNNNQLRKHLDVLRTRYCSLKSAFDQQDPIEDSCYIGFDLWEDIGAQHKPAESTKIKDCPIYDQLCAIFADSGADGKYAQSSHYEELDKSAGKYAQSSHYEELEKSAGTDPLCQERNPSPPQNMNTTTAERKRKRPAETSRGSGESNLDGNLRNIMAGALLDMIGASKLRSTDMSKTDDKFTITNCIKALDEIEGIEDWLYYAALDLFEDPGSREMFLSLKSNTVRLTWLQGKCGHFFS >CDP01179 pep chromosome:AUK_PRJEB4211_v1:10:4891180:4894058:-1 gene:GSCOC_T00034730001 transcript:CDP01179 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVSSDKGSSGSALAGGDSPALPPVKVGASLRSPSSVVQSTPEIEKKYVHRVYDAIAPHFSSTRFAKWPKVSAFLNSLAAGSLVLDAGCGNGKYLGLNPNCYFIGCDISAPLIKICADRGHEVLVADAVNLPYRTGYGDAAISIAVLHHLSTERRRRKAVDELIRVVKKGGFVLITVWAREQEDGALLNKWTPLTQKYLEEWVGPGSPRVRSPSSPLTLESIPEAEENGSREQLKEFTDKYTDLRSLQLRHLHMNSQAADLSLASLTKKGCEDQQEFFVPWHLPYHRAEVSGASANAVASGLAKKDDKKGAVVYNRYYHVFSEGELEKLVSGIGNAVVVDRFFDKSNWCIILEKIS >CDP01119 pep chromosome:AUK_PRJEB4211_v1:10:5411897:5413195:-1 gene:GSCOC_T00034637001 transcript:CDP01119 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHLKHRISFLCFLCQLSHAVIKLKLVLMVLALPLLLQNAAAQNETLPSSSFPLPPPPSKSESFSFDTKLRVNPSMAIIFVCLISAFFIMGCVSVSIRHCSEHSLDAITEDYNLGSRRRLRRAAARGLDSSVIDTFPMFLYSDVKGLKLGNGALECAVCLNEFEDDETLRLLPKCSHVFHPDCIGAWLASHFTCPVCRANLVVDPVELDDDDRGSDTHEPVNLSSNSISTQGFNNSTSQTGNVAIDIHIGSPEVINLSEPTIHTHTKKLRVNEKFPRSHSTGHSVIQPGEDCERFTLRLPEDIKNRLINSELSRAKSCVAFTRIRSSTKGYRRSESGGGGTRNNSIDYERLDQVGRFDRWGFTINPPFFSRTGSTNDVINHSGEESAGNPKSLFRSGKNFKTSFERLFSSAQKEIDGERSFNRLRPDNSPV >CDP11947 pep chromosome:AUK_PRJEB4211_v1:10:23976298:23979102:1 gene:GSCOC_T00035264001 transcript:CDP11947 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLSCKEEYGSRDAGTCRECYEEASETEEELKREIDDLKSKVNFLRFFWGPPLLSPPLFTDVVLVASDDHSTASCQPVPVPAHRAVLASRSPVFKAMLEIKMEESLSGTIKICDVSYDALRAFINYLYTAEACLDVNMACDLLVLAEKYQVKHLKTYCEKFLISNLSWENSIPIYAFAHQHNAKSLVDAALSLIMDNMEKLRKREEYAELVEKEPRMVVELYEAYLSKQVNTAANKGSTPKA >CDP15805 pep chromosome:AUK_PRJEB4211_v1:10:15902372:15903388:-1 gene:GSCOC_T00016652001 transcript:CDP15805 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPPGGTDNGRRNDQLYWCYQCHQGVRVASDNPSDIVCPQCFGQVLVEIDMARPVHDFTAFDPSPEARWVEVLSIMFHPLLRTRNVRFRERENRFPDGELVNIPRQRDRGSRGWFWQRRRGGVLRDNENDDWGRETGILARPRTLFIIRPVGPSPTRQDHGEEAGLFPTGIDARNYFLGPGLEQLIEQLTQNDRPGPPPLPDSVIDSIPTVKLTSSHLINESECPVCKEKFDIGDEARELPCNHIYHSDCIVPWLRLHNSCPVCRHGLPVPSDQSRLAENDQESEAHDSPNGRGTSRSQRCLRLRQQLASIWPFRSIHRNIHRQNDESSSSQGGQH >CDP07509 pep chromosome:AUK_PRJEB4211_v1:10:2103912:2108986:1 gene:GSCOC_T00024788001 transcript:CDP07509 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNPNSNFKVILGSSSVARKEILADMGYQFTTMSADIDEKAIRKEKPEELVMALAEAKADAIISKFQNIQNEGKDVKPTILIAADTAEAIIPKLQIEEYNMDAEPALLITCDQVVVYEGNIREKPSSKDEARHYIKGYSGGCAATVSSVVITNLKSGIRKGEWDKVEIRFQDIPDQVIDSLIEEGNVLNVAGALIIEHPLILPYVKEVVGATDSVMGLPKTLTERLIKEVL >CDP07297 pep chromosome:AUK_PRJEB4211_v1:10:587589:592425:1 gene:GSCOC_T00024524001 transcript:CDP07297 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRQNHFLDFNSSSPLYCSLWPFLLVLLFFASFYLVDGKTNATSSPVTPINHDLYHTSGALLEEIEALVHRHPDKLSIETIPSKNKGYNAEMTVVTYCRKRKDCDGKSKFRVLLSFGQHGRELITSELAFRILSILSEEEFLPYTDRGSVNDTLDNLVIKVVPMENLNGRKLVEAGDFCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTAPFSEPETQFMRKLSISFEPHVWVNVHSGMEALFMPYDHKNTTPDGSPSLRMRSMLEKLNHLHLKDRCLIGSGGGSVGYLAHGTATDYMYDVTKVPMAFTFEIYGDGTASSKDCFRMFNPIDISTFNKVLNDWSAAFLTLFTLGELQVDGLHSGDVASSSEKWISIDDYLNGYLFERRNRYGKKMEVLELGMQEIRTYFRLFLLSSVLLMFMFCSRISKSTRPIVSAMSL >CDP01095 pep chromosome:AUK_PRJEB4211_v1:10:5619416:5628793:-1 gene:GSCOC_T00034608001 transcript:CDP01095 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMDMDEARESQFQPAASVPAAAVPEDRRFQALGDLRFLPDETLSAILTYLSPRDIGRLSCVSSVMYIFCNEEPLWMTLCLKNVNRQLEYKGSWKRTTLHQLHLLTEYEKTPAKQLHFNGFYSLFLYRRLYRCYTTLDGFSFDNGNVDRKKDLSLQEFYDDYDGQKPVLISGLADTWPARNSWTVEQLLQNYGDLAFRLSQRSSRKVMMTFKDYVSYTQIQHDEDPLYVFDDKFGEVAPKLLKDYSVPHLFQEDFFDVLGRDERPPFRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGKVPLGVTVHVNEDDGDVNIDTPSSLQWWLDFYPLLSDEDKPIECTQLPGETIFVPSGWWHCVLNLETSVAVTQNFVNSKNFEFVCLDMAPGYRHKGLCRAGILALDEGSLVDVKNDSSCEENHVSCSDFMRKEKGMKTHQPPESDNLENVEFVYDIKFLSRFLDQERDHYNSEWSSSNVIGQRNMRGWLQKLWVKRPELRGLIWKGACIALNAGRWHDRLREICAFNDFPLPTDEEKLPVGTGSNPVYLVAENVIKILVERGLEASLYALGTELQFYNLLDQVNSPLKDHIPVVLASGILFLKDGSCQVIPWDGKGVPAELNENFNKVPENHNEVDYPFGIWNKKQFEYHKVRLSSLESGNPEGCSTVWPYIVLKRCRGKIFADLRESLSWNDMLNLATFLGEQLRNLHTLPYPSFNVSNLLVSEQRTELPLGNGFLDDTAEKTSFSPELSTYIKTLNKKKEDISSRLTKWGDPIPVTLIDKVGEYIPEDFEKFFNMFEDDKSVAKPYTWIHADVMDDNIQMKPCNLTSCLGESTHDPSPVNNGCANDYNGSTKSNSWRPCHIIDFSDLSLGHPICDLIPVHVDVFRGDSRLLKQFLESYKLPIVGRKSAENSRFGRASYLTMCLCILHDENVVGAIFSLWKELRQAKSWEEVEEKVWGDLNNYTGFDD >CDP01312 pep chromosome:AUK_PRJEB4211_v1:10:3617454:3620912:-1 gene:GSCOC_T00034912001 transcript:CDP01312 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTDTSVGFLNTVNQPGQNLSGWDFGESSSRGEQGEVRQQYGPSNILSLNNVGVNMHSNEGASGTFFMQNSGSESIRQDLNMNSDIIVDEDDDCQVLECQNAFKASESVNERMLSASNSSNPFGMSSGTGGFLVEEADGRPGCSLDGRRFSCKRKTLEGNAGQSSGSGSSNLVQHAESNLFHSVPARHNASSSTGIAAPSENNSTVNLPGQVIPRLGLSVGRASSDSHPPLTASGTAESSRRNFRLRINASHQQDSSRNNIVSTETDVGNPNSSSAQHSFRLLPRNNSLDLRPASMTDSGSSQGQSVVVHVSSVRRNPHSRWNGASSSRTGSSSSFAISGDIDAVPYEESSSSSVARHISEHPMFVPPTEIRNSSQNPPNWSLAGGSVGGNPGNVASTSRTGSSAVVHSSTPTWTPPRNPSQYPRRLSEFVRRSSSSSADSDSGGHSSNYPSLRTGPSSSQDMPLSSGSGSQGRHAASSRSAILLERHLDTAFGSYSLRNLAAASEGRNRLMSEQIRNVLDLMRRGGEGLRFEDVMVLDQSVFFGMADFHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILKQLKQRKYVSNKTVEVETEPCCVCQEEYSDGEDLGSLDCGHDFHAECIKQWLTHKNLCPICKTTGLAK >CDP10730 pep chromosome:AUK_PRJEB4211_v1:10:9736409:9740403:1 gene:GSCOC_T00031536001 transcript:CDP10730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23310) UniProtKB/Swiss-Prot;Acc:Q9FMX0] MSRSAFCSSGSNLVPKTTEALNPLNRPKKHHYLNGRQRTNLYQKNHRASKVLAYYGLRSPPYKLDALEPYMSQRTLEMHWGEHHGGYIDALNKQLAKNDLLYGCTLEELIKVTYNNGNPLPEFNNAAQVWNHDFFWESMQPGGGSSPILGLLQQIEKDFGSFTNFREKFIDAALKLFGSGWVWLVLKREEKHLAIVKTSNAVNPLVWNDIPILNLDMWEHAYYLDYKNDKAKYANVFMNHLVSWNAAMARMARAQAFVNLGEPKIPVA >CDP01060 pep chromosome:AUK_PRJEB4211_v1:10:6075009:6081665:-1 gene:GSCOC_T00034558001 transcript:CDP01060 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFIWSPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTALITKRSSDTISTLLEVIKSTGATQLFFNHLYDPLSLVRDHRAKEVLTAQGVAVRTFNADLFYEPWEIHDEEGRPFRTFASFWERCLSMPYDPDSPLLPPKRIISGDVTRCPSDILVFEDESEKGSNALLARAWSPGWSNADKALTTFINGALIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYMSFNHPCSHERPLLGHLKYFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGREFDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTDWIHHPWDAPESVLQAAGVELGSNYPLPIVEIDAAKVRLQEALSQMWQNAAVSRAALENGTEEGLGDSSESGPFAFPQDMQVEMDQEPARNNNAATTTIRRYEDQMVPSMTSSWVRTEYDETSFYMRNSVENRAEVPRTVNVTEEPAREVTTRPPRHTVQSNNVMPVYNFTIGRRNSEDSTAESSSSGRRERDGGIVPVWSPSSSNFSDPFAAEDNGIGTSASYLQRHPQSHQLMNWRGLPQTG >CDP11972 pep chromosome:AUK_PRJEB4211_v1:10:23136795:23138162:1 gene:GSCOC_T00035310001 transcript:CDP11972 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGIHHISQCFIKPKNTPEEAKQPIYLSQWDLAMVCVNYIQKGLLFAKPPAFDRENQMEDLLEKLKDSLALALDHFYPLAGRLATLKQENPAIYSIYVDCNNSPGASLVHASLNSTIDDILSPIDVPEIVQSFFDHDRAINHDGHTRPLLTIQVTELTDGIFIGCSINHMIVDGTAFWHFFNTWSEIFSAKGQKTAISRPPIHKHWFPEGHGPILSLPFTHHDQFVRRHEAPQMRERVFHFSSESLAKLKAKANAESSHTTKISSLQALSAHVWRCITRTRNFSPDQETSCRLAINNRTRLHPPVPQEYVGNCIQTVRAAAAAGELLDRGLGWSAWKLHLAVHNHTDEIVRDWVESWLQSRFIYQLAQFFDPCSIMMGSSPRFNMYGNEFGLGKAVAIRSGYANKFDGKVSLYPGIEGGGSMDLEICLLPHYMSLLESDEEFMETVTLSSSRD >CDP07262 pep chromosome:AUK_PRJEB4211_v1:10:278626:283053:-1 gene:GSCOC_T00024480001 transcript:CDP07262 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTIQLRLHTLPSFQRRDFPSFLEFRTELGMISSSSSSITATGCCARWNDSSTKTLKRKFAVRALSDPPPPVKGDQHNNRLQHQLFTLISHKPPIQPALNSPNPTLNLRHAVASLPTFILPKKKHFTPSFATGLCAAAAFVLFVLRSYTASKSRYSRPGSVADLVRRGQLRSDRRGISTPLKYEDPFNNPMVKIGKSNSTIEMCGKVFRLAPVTLTKDQQTIHQKRRSRAYQWKRPKVFLKEGDSIPPDVDPDTVRWIPANHPFATTASEINEDLAQHNVYQKHGVPFRIQAEHEALQRKLEALQNEQKLGKLVIDPIAAQDFERPFKSHLKPEEPAERSSSKHTNPDSARNPFLDGPASDEEEKP >CDP01231 pep chromosome:AUK_PRJEB4211_v1:10:4340050:4345719:1 gene:GSCOC_T00034804001 transcript:CDP01231 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRGLGNRRIPYFPASYSPESQAQNFFMYPFRRNSLPEAGFDHPPPQTPNVFPCDQTLESALSRLNLSSDIHHQTAPFQPPETDTEGSMGFFRSVGLNNVAFEQGGLIGFDDYHTGGGPGGHQREAFGFGGAHRNPFAGPGNWDCGPAISGVSGGICREPWCGDEAACLLNVDLKNDNSQRFCDHGYSFLQCKQSLSRGNQNGVSMENMDGLSNGFLSRNLSFLRPNSQNNRQLYARIKNQLDGLSLRDMRGRIVSFAKDQSGSKILQAKVDHANEGEIEMAISEILDHASDLMKNQSGSYFIQKLFVVCSEEQRTRIILAVTNNSFQLVDICLNPNGARTMQKLLENLSTPEQISFVISALSPEAVALANDPNGQHVIRYCLIHYPYEYHKHLLNEIVHNCYTIATDKSGCCVLQSCVENAYGEPKERLMNEIIRNALQLAEDPYGNYVVQHLLGLKIPEVTALLLEQLRGHFVALSSDKYASNVVEKILVDSGKGHSATVIMELLTSPNAGSLLVHPYGNFVIQKALSIAKGEVYVALHRLIQSNAQSMRSNLFGRKILAWFEKKKHQHV >CDP15809 pep chromosome:AUK_PRJEB4211_v1:10:16297724:16300127:-1 gene:GSCOC_T00016664001 transcript:CDP15809 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELVIQFLSSCPMLMLVMVISDYQSNKQMFINWKMHEEVQHKDCFSLMQLEHLPDASKATFHASVGEIFLPITWRHIPESEVEKMFISRRMYMLGDKDFIEDLICNGCQLCGTPLSSEFGPPMKQNSFPLYCRKSQNGLHALGMIYRPFLLYVWDDSQCLPLLVANKAAEMLFGKIPAEKVYCGYIREKHRRDISANFVYGQNLSCERTANNLNVAKSAIDNPSSSSIDGKRRLKMKQVFSNANQYLIWLLLLKLLFKQGKNSPLKFHVKVNSSRDPETERYEVLSISMPSRSQNLFPA >CDP11377 pep chromosome:AUK_PRJEB4211_v1:10:25374009:25374520:-1 gene:GSCOC_T00033602001 transcript:CDP11377 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTITAQKHPLRIRFASLISLLCFFPSSLYSTSIFYFLHQLKSNLSTKTSSLNFAFHHIRDRTPVNFYRPLYLLSDNILIGANFSRVSDSGF >CDP07452 pep chromosome:AUK_PRJEB4211_v1:10:1677640:1679750:-1 gene:GSCOC_T00024721001 transcript:CDP07452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD19C [Source:Projected from Arabidopsis thaliana (AT4G16190) UniProtKB/Swiss-Prot;Acc:Q9SUL1] MDRLFLFSLLLSFTLLSSAAASTAGDREAASIIQDDPLIRQVVTSDGDDADPLLNADHHFSLFKTKYGKTYSTQEEHDHRFSVFKANLRRAKRHQLLDPSAVHGVTKFSDLTPSEFRRNHLGLNKHKRRLRLPADANKAPILPTTDLPADFDWRDHGAVTPVKDQGSCGSCWSFSTTGALEGAHYLATRELVSLSEQQLVDCDHECDPAEYNSCDSGCNGGLMNNAFEYILKSGGVEREQDYPYTGRDEKCKFDKSKIAAKVSNFSVVSTDEDQIAANLVKHGPLAVAINAIWMQTYIGGVSCPYICSKSQDHGVLLVGYGAAGYAPIRLKDKPYWIIKNSWGENWGENGYYKFCRADRNLCGVDSMVSSVAAVHT >CDP07549 pep chromosome:AUK_PRJEB4211_v1:10:2373376:2375133:-1 gene:GSCOC_T00024841001 transcript:CDP07549 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRYLELWHHRKLILDSMIGCYRCYSSKAKVVDLKKLRPMILKRIENRAKDYPVKAMVPVANEVVKARTTLYRGVSILLQRVPVWACKYCQEVFIGESGHEIRTCGGFRRRPKVHVHEWTSGSLNNILVPVEAFHLKYMFQNVIEHNQRFDFDRIPAVLELCWQAGAYVNDKMLDLNSYSQDDILGAVAGAESLSDKEMMLVANATLKAWETVRSGVEKLLLVYPTKVCEHCSEVHVGPSGHKARLCGVFKYEGWRGKHFWRKARVDDLVRPNIVWYRRLQDPAVLKNEGRDYYGHAPAVVDLCTKAGAVAPSKYHCMMKMEGLTAPS >CDP00888 pep chromosome:AUK_PRJEB4211_v1:10:8353451:8354232:-1 gene:GSCOC_T00034328001 transcript:CDP00888 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWDLINSTTEALKRNAPAPTAVIDACKASYGYSSAVVGNIDKAVRVNRMRALNDYMPSEETRSSIALFASKFAQNAARHALRELIPGGKTVAKIISETMNDVKSENLSKQKKVEMQSRGLEMGSADKGNFVESSANQTPEDVLRIFMMKEFMGRRFADDLVLPEIKHGIKTK >CDP20307 pep chromosome:AUK_PRJEB4211_v1:10:24507341:24512023:1 gene:GSCOC_T00002162001 transcript:CDP20307 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTWKKQSKSTSKKRPLSQLHNLPFEQQEDSTNTDNLQEEEIGGNDVVEKNTSVSDENVDGKKLAQVYEQQGNKLAEDGKFSEALGKWEAALTLMPERAVLHEQKAQVLLELGEAWGALKAVTRATELEPTWAEAWITLGRAQLNFGEPDSAIESFDRALAIKPDSVDAHDDRKTALHLIKRRKQLQSTGLSANANRFAVGYTGQ >CDP19628 pep chromosome:AUK_PRJEB4211_v1:10:25115703:25127761:1 gene:GSCOC_T00006180001 transcript:CDP19628 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MDTNGSAKPSVYVENEGKRDQVVENKVDQTHDGSNLLVGLERKPKGERCNAGATNGRGREQKYTLEEAAANSAGKSSVRLFDGLDEQGTSRGDNSLLDDEQSEACSTPEVDSQRKPLQQHSSVLEISHSKDPPVIRSQRARRGFDRCVTDLMGSPRNVSLGSRRIGYSRSMVEKKGSPMYEPKLDRLSKSEKEWLIMDLVKFQNDGTVEVDLTRGSPGASELLELSSLEGPPPILDDIVTDFNKTIPKLKIAMLVVGTRGDVQPFLAMAKRLQARQEFGHRVRLATHANFDDFVKSAGIEFFPLGGDPRVLAGYMARNKGLIPYSPGEISIQRKQLKAIIESLLPACTEPDLESGEPFRAQAIIANPPAYGHVHVAEALGVPIHIFFTMPWTPTCEFPHPLARVPQSAGYWLSYIVVDLLVWWGIRGYINDFRKKKLKLSPIAYFSMYHGSISDLPTGYMWSPHIVPKPHDWGPLVDVVGYCFLNLGSKFEPSENFVNWIQSGLKPIYIGFGSMPLEDPKKTTEIILEALTNTGQRGIIDRGWGDLGSVETPENVFLLVDCPHDWLFPQCSAVVHHGGAGTTATGLRAGCPTTIVPFFGDQFFWGDRVHQRGLGPAPIPISELSAETLSDAIRFMLQPEVKSLAMELAKLLENEDGVATAVDAFHRHLPPELPLPTASTEDNDHPNFLQWLFIQIGRLCCLPCGS >CDP17244 pep chromosome:AUK_PRJEB4211_v1:10:18240197:18247340:-1 gene:GSCOC_T00013655001 transcript:CDP17244 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFTGFNGGGGLRNGGVSSDHNHHVSIGIRSGALHKQQSRYGHHLHHRRLMKGRKISIVGALILFLFVAFVASIFAFLFLSSKDKDTHSNLIQDDDFVNDTDFLTNVTRTKKHNTVKFGHGSVTHGKDSRYWDGDDRRRDEDYHEEARDHSVNKDHVPEKGKNSGRKSSSDESHKGLGHRGNGLYNEAGRNELKMYEAEYEASLKNIGQSKEHDGGNQLPHDRTSSGNETEMVDPDDEYDDGIDLQDGRKEDDGEMEQDDGDHSGATTSYDLDGGESANLHKAQTKHQNIVHEVDEASTDLSDKESASSSEHSGKVPGHSGHARPIDSQYSRRSATEKRSGSKKKSKRRKFSGSCEMKLLNSTALLVEPLESRKFARFSLQYTEAEEKPNDDEEWQPRFAGHQSLREREESFIAHDQKINCGFVKGSSGYPSTGFDLAEDDAKYISSCHIAVVSCIFGNSDRLRIPVGKMVSRLSRKNVCFVMFVDEATLQALSSEGHMLDRMGFIGLWKVVVVKNLPYTDMRRVGKVPKLLSHRLFPSARYSIWLDSKLRLQLDPLLILEYFLWRKGHEYAISNHYDRHCVWEEVAQNKKLNKYNHTVIDEQFQFYQNDGLKRFNASDPNKLLPSNVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYHKLRRTNPEKPFYLNMFKDCERRKMAKLFRHRSEERKTVQHEIE >CDP01357 pep chromosome:AUK_PRJEB4211_v1:10:3222142:3223955:1 gene:GSCOC_T00034966001 transcript:CDP01357 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALSRGSQILAFNASSSVSAKSSRRSSLAITDTSWPAKGVGPSLSHLQTVVVRAEKRPTWLPGLEPPPYLDGTLAGDFGFDPLGLGEDPESLKWYVQAELVHSRFAMAGVAGILVTDLLRVTGIRNLPVWYEAGATKFDFASTRSLLIVQLILMGFVETKRYMDFKNPGSQAKEGTFFGLETALEGLEPGYPGGPLLNPLGIAKDIKNAHDWKLKEIKNGRLAMVAMLGFFVQASVTHAGPIDNLVEHLSDPWHKTIIQTLAGSGS >CDP12021 pep chromosome:AUK_PRJEB4211_v1:10:22540892:22542865:-1 gene:GSCOC_T00035377001 transcript:CDP12021 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEKAEIFKSLEHWATQRLLPLIKPVNQCWQPIEFLPDPAKLSADEFSDRVGALQERTAGLPDEYFVVLVGDMITEDALPTYQSWMNTHEGVRDETGASSSPWAVWTRAWTAEEHRHGDLLKTYLYLSGRVDMLMIDRTVQYLIGAGADVGTDRNPYLGFVYTSFQERATFVTHGNTARLAKEGGDPVLARICGTIAADEKRHEIAYARIVEKLLEIDPNGAMLAISEMMKKKITMPAHLMHDGQDPDLFEHFAAVAQRIGVYTADDYANILEFFIGQWNLEKIQGLAGEARRAQDFVCGLPHRIRKFQERADERAKKLQPRGVNFSWIFNKELTL >CDP10695 pep chromosome:AUK_PRJEB4211_v1:10:10283016:10284150:-1 gene:GSCOC_T00031491001 transcript:CDP10695 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPFLITIATMALFSSLATASDPSPLQDFCVAINDPKTAVFVNGKICKDPKVVKANDFLFQGLNKPRNTANRQGSNVTAVNVNNLAGLNTLGVSLARLDFAPFGLNPPHTHPRATEVLFVLEGTLHVGFVTSNPPNNMKNQLFTKTLNPGDVFVFPEGLIHFQFNFGKTNAVAFSAFGSQNPGVITIANAVFGSDPLISADVLAKAFQVDKKVIYLLEAQFS >CDP01021 pep chromosome:AUK_PRJEB4211_v1:10:6573115:6573787:-1 gene:GSCOC_T00034507001 transcript:CDP01021 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQNAVFIVAILLLLTVTCTARGDPSLLKARKENPEAEKFVGVEGASCQGAEDEEECLMQKSSVAHLDYIYTNDSHGR >CDP00892 pep chromosome:AUK_PRJEB4211_v1:10:8334962:8336076:1 gene:GSCOC_T00034332001 transcript:CDP00892 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGNCNCGSSCTCGNGCGKMYADVEKVTSVTIIEGVAPKKTYSDENAEKSFGAEGGHGCKCGANCKCDPCTC >CDP11374 pep chromosome:AUK_PRJEB4211_v1:10:25274538:25283955:-1 gene:GSCOC_T00033597001 transcript:CDP11374 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPKFIKKSIGSSNSRGVSGSISSANSSSELRHRGSEPPPIITRRGLPPPAPTARNRPFSSSSSSFSSTSSSHLPRPTSVNIGTKVSMDSSSGSNSSTIKSSKKYEYIPDNFSSLDQVTEALRESGLESSNLILGVDFTKSNEWTGKLSFNNRSLHAIGNAPNPYEKAISIIGKTLAPFDEDNLIPCFGFGDVTTHDQEVFSFHSDHSPCHGFEEVLACYKRITPNLQLSGPTSYGPVVDAAVDIVERSGGQYHVLVIIADGQVTRSVNTSDVELSPQEAKTIKSIVNASLYPLSIILVGVGDGPWEDMKKFDDKLPAREFDNFQFVNFTAIMSKDQSASEKETAFALAALMEIPIQYKAARELGLLGHVTGRAKKIVPRPPPVPYARRTTFESREQSNLSASLPDERSQDCPVCLTNGKNLAFGCGHMTCRDCGSRVSNCPICRLPITSRLRLYT >CDP01223 pep chromosome:AUK_PRJEB4211_v1:10:4387536:4389285:-1 gene:GSCOC_T00034796001 transcript:CDP01223 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHQESSTQCLLMVLGLISLYGSAWCPICFTTIVLSSSITWEQVRQTPIIMILNTAPPSRVMHLTSGQSYRERERSRSGHIWRSKCVLVLFLLQKYHRWLHLLW >CDP01206 pep chromosome:AUK_PRJEB4211_v1:10:4526538:4533456:1 gene:GSCOC_T00034777001 transcript:CDP01206 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRFGNQNYRNESNKKGFHKTQKQFIPKKESSADQTFSNSLRQSFSRQSDATSSAAAAGRSGGGGATAASGSRKVKMGENGNWVSENSTTGIQTGNYVNYLPQDEAVASGLGADKGGVDPVESQRVVDLLNRELSRLLKLKPRDFWKEVASDTSLHAFLESFLKYKSRWYDFPYRGAKGIVAGVIVGEFELCRRVFMVLYRISSNRDPGAKTADSLSSKDHAVLLQDKKLLDLPKLLDICAIYGHENEDLTRLLVVNAIKAQWWMHDKFTAVLSHFLTLIQTMYQRCSSSLEVLFASGNLQDQPVSRLRADYLEVMDFINDAVVNMDAFVAAYKYAAVFFCCPVDMSHGNEELLTTLARLHDSLLPSLQRGFHIILASRDDAIQETSGDMLSDILISLKLLSTRIVKFGWKLLYFCYLSDEAFENSCPFPASMKMFPANVDDPIIRTDILVQTLRDIGQEFSSITEAEKRGTFLQNIEKEHKIMSRVVLLQNTGWMSLDDDQKQFLSGILKHPLETNANDASHTASSGTVGSVQTDEDNAILESKISQIKDLFPDYGKGFLVACLEVYNHNPEEVIQRILEGTLHEDLQSLDISLEQSPVRKSAVSASTMGKGKGKLVESATPTSQLVAYQPEGPSISSSSSSIGRYIRKTATDLPETETLDCRDEKYIEKTSALVSQLEYEDEYDDSFDDLGLSVGDSGLEETEILGDKLASDKGKARAADNDSSAPNTTSKWNSRKKPQFYVKDGKNYSYKVEGSIAVANYNEANLVNQVQKETILGLGRGGNIPLGAVRRLAESNEETDVGPDSNEGAGRGGRGNFRGRGRRGGGRSHYRKDQAMRKHFSGIMN >CDP12448 pep chromosome:AUK_PRJEB4211_v1:10:14190329:14193361:-1 gene:GSCOC_T00036015001 transcript:CDP12448 gene_biotype:protein_coding transcript_biotype:protein_coding MAARYQNRDLLVILMFLLSVGPKYSESARVFTIINNCKETIWPGVTPGENFNGGGFSLKPGESKIFTAPVSWSGRIWGRTGCNFDRNGNGTCQTGSCGTTLKCSASGKPPASLAEFTLTAQDFYDVSLVDGFNLPIVVTPINGSGNCSIAGCDNDLRPSCPQELSVKANGKTVACRSACDVFNTDEYCCRGVFGNPVTCQPTFYSKRFKQACPAAYSYAYDDPTSIFTCTGTDYVVAFCSSRRQPVCTYHNHKLVCSDSKGIKGLIGMQWMMIFGIAILTTNLWLYL >CDP10898 pep chromosome:AUK_PRJEB4211_v1:10:20346555:20349159:-1 gene:GSCOC_T00031850001 transcript:CDP10898 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRKLQAEELGTPPPKLKWPSQGFALEYIFPADAESDVIDKGELSGKSTAEESEQESGKDSNSVIADADSSISVSNEAEVGAGHGYFKEYSSDKPVNSSVDWGGDCSKHASNSSESSFITESSSGILESPSIGNKHDFPHHDIGLKSSLNYEEHLLEFGSHGDCSCPECRAGFEEYTDKELEEMLYSNGVNPNNYVLSSGSWTLNQDTQQSTKKLTIDKEFEQYFSMLML >CDP01306 pep chromosome:AUK_PRJEB4211_v1:10:3647543:3649927:-1 gene:GSCOC_T00034904001 transcript:CDP01306 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKVHQFARGFWEHEPSLTLGCKRLRPLAPKLSNTDNTPTTVPPFDLKSFIRPESGPRKLGSSDDKRETAHVDTHPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITAQLGKYGKIEGKNVFYWFQNHKARERQKQKRNNLGLNHSPRAPGVTTTTTISSSLTHESRGDFGREEDSPYKRKCRSWTFECLEEDKRYCRNEGDRTLELFPLHPENR >CDP16504 pep chromosome:AUK_PRJEB4211_v1:10:17823400:17825844:1 gene:GSCOC_T00018483001 transcript:CDP16504 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNSSSVSFLNSPSLPNKPLLTANSSLNQLSFPKSSSLINSNDSLTLFHVRSSGASSSRSPKVLVVAAAANKSEPLKIMISGAPASGKGTQCELIKKKYDLVHVAAGDLLRAEIASGSDNGKRAKEYMDIGQLVPDEIVVMMVKERLMQPDSQDKGWLLDGYPRSLSQATKLQGYGFRPDLFILLEVPEEILVERVVGRRLDPVTGKIYHLKYSPPETEEIAARLTQRFDDTEEKAC >CDP01273 pep chromosome:AUK_PRJEB4211_v1:10:3956762:3959612:-1 gene:GSCOC_T00034861001 transcript:CDP01273 gene_biotype:protein_coding transcript_biotype:protein_coding MELKENDPTLSKLRSACDDLKKLVHTSVSVDASLEEADKKFAVMHESLSMASKRVAPLQTLSIANKALDTRINRAISPALALLQSFKLSESLQRKLLELAAKLSNETAPKKRLKKLIKYVECVEKLNGSIDSISQECEPAIQKLQEVVEFLSRTKATDQYRTQQLKETLITLKALYETEVDAMKFDGLLDEALLNLQDEYESLLLQLRHEDIGQAEKDDVQEIVPTVLGSELEIEVLERISRTLASNDCMDICIDIYVKARYRRAAKALMRLNPDYLRTYTPEEIDEIEWENLETAISLWIQHFELAVKSVLVSEKNLCSQVLRSIMEGVIWPECFTKIADKIMAVFFRFGEGVARCNKEPQKLFKLLDMFHSLEKLKPEFSETFDGEAGADICDRFRELEKLLVHASTKVFWEFGLQIEGNQDGLPPPQDGSVPKLVRYAINYLKYLASDIYSKPMAQVFRIEQSWKAGILSKPETDENLLRDAIANVMEAIQRNIESKRLRYKDKSLSNVFVMNTYWYVYMRTRNTELGKLLGDQYMKKQYKIVAEESAYLYQKQTWGALVRLLDKEELNRVNKDGIGAVARGKMEAFMKGFDEMLQKHRSLYNIPDADLRDQMGDATIKLVVPVYEEFLSTYSSVLQAKSYLSPTTVKGLLGQIFEGGNQQMGNGRSSLRQRENSREMKGYSRSRSNSSDV >CDP01256 pep chromosome:AUK_PRJEB4211_v1:10:4163851:4164799:-1 gene:GSCOC_T00034841001 transcript:CDP01256 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEQPQVDQTASSDPSAKKSIGNVSFSIWPPTQRTRDAVINRLIETLSSPSVLSKRYGVIPTGEASEAAKRIEDEAFAAAGASASTDDDGIEILQIYSKEISRRMLDTVKSRSASAAADAPSSVSENKPQEDAADDGGISGEVEGLKIDS >CDP10723 pep chromosome:AUK_PRJEB4211_v1:10:9794083:9797841:-1 gene:GSCOC_T00031526001 transcript:CDP10723 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFVCNCRTLAYARNCSIDAISSLIRAHKQELHFLQTHQPFSSSSIVKTSEKRSTVVSYLINSCGLSPERAISASKYVNFKAPENADSVVAFFKKHHFTDAQISTLIYGRPQILSANPDKTILPKLEFLQSVGFSSFDIPKLVCVSPRILHRSLRNRLIPVYNFLKNLLHSSEDVVVAVKQYPEVLQLDIENTLMHKLILTKNAVMKSFLEYISSCSAVLCDHNRDSKKIVERIKRIGFNPQKRTFIEGVYLMASLATRTWKHKMEVYKRWGWSEEEGLAALMTYPLEIRNEDQSSYGSSGAKDVI >CDP01323 pep chromosome:AUK_PRJEB4211_v1:10:3538311:3540148:-1 gene:GSCOC_T00034923001 transcript:CDP01323 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLDNCEGILLSLDSHKSVPAPFLTKTYQLVDDPSTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHLLCEIHRRKTAQPQVAINHHHHHHPLNNPISSPTFFPNSISSSSVTALSEDNERLRRSNNMLMSELAHMRKLYNDIIYFVQNHVKPVAPSNTFPSSLLPSSATPIIHNTTNSSSSLMQKPLNQLIGFHHHHYPKQNEPMDSSNISRTKLFGVPLHSKKRLHPECSNSMVETNKARLVMEKDDLGLNLMPPSPC >CDP11937 pep chromosome:AUK_PRJEB4211_v1:10:24123640:24133850:-1 gene:GSCOC_T00035250001 transcript:CDP11937 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPFHHHRYVPPPSNFSESPNFYHHPQSHHRLPPPAQQQHHQHHQQPPPLPHLPPPSAPQHHRPHLRPQLPPPPPLPLPPPPQSQFSFRPRHHAIDPVPSPSPGPAPSGQFSNFIPPHLLNQPARPPLSPRRVPSKHQYDHRHRNPSFYNENSRFSDPWLDLPPPARAPVEPDFRYQPQQQRRPLSPLPPRTDSYVMTADSRDICISQSPSRNFSLNVGNYESYNDRADDLRWAYSRLENDHEYVEDDRVSLASYGVRRELFDSCYDDDDRLSSDRVEEELYSRSLRKKQVQKKSALLRIQLGKGNNRNNNRNKSHDNSRYSRAYYDDSKLSFGGSFKGKEKDDFVHPDWKTEGQRENSPVELDVSFKSNALVAKAIKATSSPVAEPDKSLAPRNRKLKKINPNDGQGIKMSENSVKAASFANEFDASSFSDKDHKESSDKIISFKPDTSTGGLDSRLSSDKCGKGSAEKVIVVKTDNSAPGLDFPSGSGKDLGVLTEETSVCDCRTDVVEENNAGNESLTLGCSDQGVKRVAPDGVLLQRARKRKTVRVSIQNETTNVDNSSTSSQSAASDLDEGVTLLRESISSAGMDAMNDVLSPSLTNDLAVEEVNNSSENVVSDIKEDDVGISSSQKIPSQFSALLSVSLNPGDTDLHGGSRNEDKVVDNELFGLNSDKDLVESQNTSENEVHDGQMDASTSTHNAFSASTLCKSPGSMPIAHKGYIDTGSEEFVPLQVNIEKPTTREVAENHDLYDNSLNCPASSKSFFSDPLRKSATSDTCLLEDSGKQSIAGSATALPLNAPRERSPRLTVSHSPKVGRKRKARDDQLGIHDKLTSEADGFIGSALDDGNRNSTLWVAKNLVSAEEEIGLGNGSNSVETGCPDEGPPEFNPSVQGGKKRRGFSLTLTSRVLSEISQDPKDATQPECLSDAEEHTIQPEDRVDLSSSCDTAFAGVTPYSEASVILLGEDVTAGESYQSPGGGTKGHSAILENEIPSPAKLEADKNDNAPSTLRASALQIADDTLSGSGEGNFIRSDMNKKQCFGDADHANHLILEETMGARGNTSLCSDLGGVSASSSTDRQMDSVPDTLSCMGSPEDVISSMSTGMLNDGMQLSNLSEIIEGKDSISNKNPISGGDMVPLSLKPPSHTSKTGTKLVDAVPLDLAVDIKAASLLSQKTFKVTQDSNPFPKKSSLTTNMPNSSFIGNFSGPASIKYPSAAKVSPFNHVARPRTWHRTFSSSPSVVGQKPRGNCIQPQTNNKKEVAKVQSSYVRRGNSLVRKPSPVVATPRVVKASTSSIEHLDSGIHDVWKGGGSENITRVVDPPGAASLDASNACPVRPKTPPLISSVKLLDCLTPNPGDLTFSLLANLPINKCPFETPCKSAEHMNTGRSSQDGVKSSFNGCHTGVGKDSDCQNNADESSNGKKILYVKRKSNQLVAASDSEDISLHSAEKTQVLSSGGYYKRRKNQLIRTSLEEGVRQRVVPDKILSLQQQDAQKNIQTRCSNKRLPGFMKKKFSLVWTLCGTMSSRKDGSSERWQRVLPSLFPWRRATYWTNFMHSLSSIPIDSAASTVGQKLLLSRKRDAIYKKSISGFSLRRSKVLSVGGRSLKWSKSIERNSRKANEDATLAVVAAEKRKRARNGAVLTLSKSRNYVSRERIFRVGSERYRMDPTRKTLQRISDERPSYSDDTTENKRKKFYVPRRLLIGSDEYIRIGNGNQLVRDPKRRIRILANEKVRWSLHTARLRLARKKKYCQFFTRFGKCNKDDKKCPYIHDPSKIAVCTKFLNGSCSNPDCKLTHQVIPERMQDCSYFLQGLCSNESCPYRHVNVNPNSPICEGFLRGYCADGNECQKKHTYVCPAFEATGDCPQGPKCKLHHPKKKRKGMKRKAAGVQKNARGRYFGAKPPDIAVSKAAVSEIISGKGDDIFAQDGKFSDYISLDVSIEEMERSFELRSELTYYNEEPSHIEEAEVDELIKPVRIMNKNLIMASSPAVNSSSDMTTSYVSEESLL >CDP11976 pep chromosome:AUK_PRJEB4211_v1:10:23084679:23088777:-1 gene:GSCOC_T00035316001 transcript:CDP11976 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLQSCARILNSFKAHHHILQGRQLHLLFLKRGVLNAAVNMTNRLLQMYTRCGQISDARKLFDEMGERNTFSWNTLLEGYVKHGRVKDSLDLFYLMPDKNDFSWNLMIPGLVKARELDVACGLLNVMPRKNGIVLNSLMHGYVRNGCPYSALMLFRDYLKLELGEGVCRDNFVLATAISACADLGSLDYGKQIHTHIIVSFVERDSVLGSSLVNMYAKCGQLDTASQLLHKMQHVDDFSLSALISGYANCGRIDDARKIFELKTDPCIVLWNSLIAGYIANDEETEAISLFLEMRKEGVSGDFSTFTSILSACSSTGIVKYCKQLHSDVCKLGFIDDLIVASALVDTYSKCQSPHDACALYDELKVHDTVLLNSMITIYSNCGRIEDAKRIFLTMPDKSLISWNSIIVGLSQNGCPIEALNLFSKMNRINLSMDKFSHASVISACASISSVEFGEQVFARATIVGLDLNQTVSSSLIDFYCKCGFVESGQKIFDQTKKDDVVLWNSLLMGYATNGYAIQTLNVFYQMTSADMVPTYITFIGVLSACNHCGLAEEAQKWFDAMKDTYHIDPGIEHYSCMIDLFARSGCLEEAVSIIEEMPFKADASMWSSILRGCLAHEDRSLGKKVVDRVMVLDPENSVGFVQLSNIFATSDDWERSALVRKLMKDNKIQKNPGLSWGGT >CDP00969 pep chromosome:AUK_PRJEB4211_v1:10:7147687:7149400:-1 gene:GSCOC_T00034441001 transcript:CDP00969 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNCLRAFRSAGVPKEVLGVGGCRTFSAGSGKAKKGSKGAADAPKESTLSKEVKSTTVVGANILKDGADPKILPDSEYPEWLSHLLDKRPALSELRRKDLETLPYEDLKRFVKLDNRARIKENNSIKAKN >CDP07369 pep chromosome:AUK_PRJEB4211_v1:10:1020313:1028071:-1 gene:GSCOC_T00024610001 transcript:CDP07369 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDFSSYYRYPQNPNPDPNPIPAPLPPSHQATPSPPPYASAPPFSANYGPASDYPSSYPGSSYPSYPQNPNPAPTAPSFNTPPPPAPPQQAQPSSPFPQFETHGPYPQAPPHQSKPPPPPQPYFSTYDHHQQPPPPQPSYSSAPSPNSISKSAYSSAYPSQLNQLSSSVPPVYDSPYDHNVKFDQPGSYFEGFSATYAGSGRERSDFGSDFYGKLGDGGGNGIDGYGDGVYAYQGSKVEPYGARGTAPKSSTWSGFDDFGRPIGYSSEKERPSVASSMKIVRAVPKADTQQDVKSGVQKFRVKLLAESGGQSTMDVLCQIGLDGIRMLDPSTSRTLRIYPLDTITRCEVTSPSTFSFWSKSSVDIEPRRIRLQSSSYTTNTLLDTVTAATVQFKEMGGRSRPAESSKISEQPTEKKKGLADWMAFMKPVNEEKDHWIPDEAASKCTACGTDFNAFVRKHHCRNCGDIFCDKCTHGRIALTADQNAPAVRVCDRCMVEVSHRLTNAKEASSRSTALHSHEDLAKKLQEEMERNRKASSGSRSDGSGKRMKEVACPTCTVHLQVQVPSSGSETIECGVCQHPFLVSAH >CDP01118 pep chromosome:AUK_PRJEB4211_v1:10:5425038:5427338:1 gene:GSCOC_T00034636001 transcript:CDP01118 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAAIHVQDSFGYFFKEFYTLGGGGANGGGANGGWGYGYGFGLQEEKGFVEMLDSGMDHNLHGNWESSSSSVVMQNVKEWDPNSSPEACTADQLLLEGGSPAMEEPPESASTPAAGKRKRRRARTCKNKEELENQRMTHIAVERNRRKQMNDYLAVIRSMMPPSYVQRGDQASIIGGAINFVKELEQHLQTLEAQRRRNSQQEQENGTSPPLFADFFTFPQYSTRSSSTTLHSNTINSPVTTTTSDHQPQPSRAATDQNPSALADIEVTMAESHANLKILSKRRPRQLLKLVAGLQLLWLTVLHLNVTTTLDQKVLYSISVKLEEGCQLTTIDEIADAVNHLLGRIEEGAV >CDP07444 pep chromosome:AUK_PRJEB4211_v1:10:1593640:1595068:-1 gene:GSCOC_T00024711001 transcript:CDP07444 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCDKGEASVFCVADEAALCNTCDHRVHHANKLASKHHRFSLLQPPPKQAPLCDICQERRAFLFCQQDRAILCRECDIPIHKANEHTEKHNRFLLTGVKLSANSALYAPSEAAAAISASTSLTNVSDAVPTFNPQSTVINKPKGTTTTTTTAMNGQTMNGLDTNNSSTPTSSIAEYLIEMLPGWHVEDFLDSSSPFGFCKSTGDGEMIPFWDEGLHSDLSSFLPGNTGLWVPQAAPVPLHAHPTTQPQSFSTSSASNVGFGFGFGGHIGSKDMISNIKSSRKRNDDNSFAVPQISPPSSASSKRLKTTTLW >CDP07601 pep chromosome:AUK_PRJEB4211_v1:10:2778338:2782055:1 gene:GSCOC_T00024907001 transcript:CDP07601 gene_biotype:protein_coding transcript_biotype:protein_coding MALMEGILDVQVVPLGTAVAVAVVLGGLSLLVLKAFVNAQRRKSSSNLPLVPEVPGLPLLGNLLQLKEKKPHRTFARFAETYGPIYSIKTGANKIVVLNSNDVAKEAMVTRYSSISSRKLSKALTILTAGKSIIAMSDYDEYYKMAKKHILNSTLGTNAQKRHRAHRDALTENICNKLHTSLNENPLEAVNFRNIYLFELFSLALKEVLGEDVESTFVEEFGTTLSKEELLKVLVHDPMEGALEVDWRDFFPFLRWIPNKSFEDKIHQMDLRRGAVTKALIKKQKKRFESGQEIYCYLDSILSDEKSFTEKQIMMLIWEAIIETSDTTLVTAEWAMYELAKDPVKQDRLFRDIKNVCGPNKVTEENLGQLPYLSAIFHETLRRHSPVPVVPLRYVHEDTELGGYHIPAGTEIAINLYGCNMDKKTWENPEQWIPERFVDGLHDYMELHKTIAFGGGKRVCAGALQAMLIACITIARLVQEFEWKVVDGEKDNVDTLGLTNQKLHPLRAIIKRRT >CDP01091 pep chromosome:AUK_PRJEB4211_v1:10:5685952:5687203:-1 gene:GSCOC_T00034604001 transcript:CDP01091 gene_biotype:protein_coding transcript_biotype:protein_coding MNAINVILTSSDVAVEGFCSSKCGTHGSFHSKTSTVKGKSPRFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPPLVAPNNDVGLDGMVINLASLLAGTITNPFGNGYYQGPADAPLEAASACTGIYGKGAYPGYAGDLLVDLTSGASYNAHGTNGRKYLLPALYDPSTSTCSTLV >CDP16503 pep chromosome:AUK_PRJEB4211_v1:10:17810581:17812133:1 gene:GSCOC_T00018482001 transcript:CDP16503 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYEHEKWEWFQDCLGALDGTYVKVHVLLRDQGRYRNRKNEIATNVLGVCSRDMRFTYVLPGWEGSAADSRVLRDALVRSDPLIVPKGKYFLVDAGYANSSGFLAPYRGVRYHLSEWSASGSKPQNFKELFNLRHSIARNVIERTFGLFKKRWAILRDASFFDVKTHVMIINACAILHNLIRVEQPNDPYLDEVDAEMRRVQHEVDDEDEMEDEDEENGMEDDGPNNDGGVNAVNENRIRTVQPTSEWTQFRNALARAMFIDYQIRQGHHGS >CDP07542 pep chromosome:AUK_PRJEB4211_v1:10:2337788:2341912:1 gene:GSCOC_T00024833001 transcript:CDP07542 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLLLILVLLISFILKFVYSIIWVPLKIQRHFRKQGIEGPDYHPIFGNTAEIRRRMIAEAEAEPISFVSHEIVHRVMPHYYNWSTLYGKTFLYWFGPKPRLAVADPDMIKEILLNNTGSYEKVKFNPLSKLLFGEGLVRLSGEKWAVHRRITSQAFNMERIKDWVPEMVDSTKMMLDRWEKERGERDEFEVDVFKELHNLSADILSRTAFGSSFEEGKRIFQLQDQQASLVLQAIRSVYIPGFRFLPTKKNRMRRRLEKETRDSIRKLIGNSTNIQENPKALLALLMSPYKNQNDEQERLNVEEITDECKTFYFAGKETTANLLTWIFILLALHQDWQNEAREEVLSTCGDNKLPSAGNLADLKIVNMIINETLRLYPPAVMLMRQTSKDVKLGSLDIPANTQFYLAMTSVHHDPQIWGEDANKFNPLRFANTRKHIASFFPFGLGPRICVGQNLALVEAKIVLAVIIRQYSFVLSPSYVHAPMQAMTLQPQYGAQIIFSRIQR >CDP10646 pep chromosome:AUK_PRJEB4211_v1:10:11489273:11491823:-1 gene:GSCOC_T00031426001 transcript:CDP10646 gene_biotype:protein_coding transcript_biotype:protein_coding MMPMKTKSMGMGLGLPPTPNTAAAAGRRGAGDVDGDWEMRPGGMLVQKRTSSDQNLPPPPTIRVRVKHGAVYHELNISSQATFGELKKMLTGPTGLHHEDQKLIFKKKERDSKAFLDTTGVKNKSKIVLMEDPISLEKRHIEMRKTAKVEKAAKSISEISLEVDRLAGQVSELESVISRGEKVEEKDVVNLIELLMNQLLKLDGIIEDVDVKVKMQRKMQVTRVQKCVETLDMLKIKISTPENNASANVAGPKIRSPIPSQQHQSSPIQQGHKILKENVPSPVGKQQARHPFGVQKQPQQHLQQLSRHPASGPVVITTQWETFDSMPAPVALPTVPPTSTTSTSTAMASAQPIFTWDLI >CDP11488 pep chromosome:AUK_PRJEB4211_v1:10:26662575:26678804:1 gene:GSCOC_T00033765001 transcript:CDP11488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein REN1 [Source:Projected from Arabidopsis thaliana (AT4G24580) UniProtKB/Swiss-Prot;Acc:F4JQZ3] MTNRNTEAPQGEGGNNAVAAAPPPPSPSPQGSNDSLISRGNSKVYKSGPLFLSSKGIGWTSWKKRWFILTRTSLVFYRSDPNAVPQKGAEVNLTLGGIDLNSSGSVVVKEDKKLLTVLFPDGRDGRDGRAFTLKAETLEDLFEWKAALEEALANAPNAALVMGQNGIFRNDQGNIADASSEQAKDRQPVKSLVIGRPILLALEDIDGTPSFLEKALRFLEDHGVRVEGILRQAADVDDVERRIHEYEHGKSEFSSEEDAHVIADCVKYILRELPSSPVPASCCNALLEAYRTERSMRVTAMRTAICETFPEPNRRLLQRILMMMQTVASHKAENRMSISAVAACMAPLLLRPLLAGDCELEHNFDMGGDGSVQLLQAAAAANHAQAIVIILLEEYNNLFGEGPVSPEPYTDSEESGTETDELTDDDETYEDEDDYTTEGSDAVADDDSDHASDTTSTEIDGTEENNKESECSNSGFNIPEVEDVHELNKTSPRLPKASGFQQESINVSQEIPGGSNDDSKVKDDDPDGGLLVGDPDETSNVNKSSLRSSTGQAQSVRRPANWGRTPGRKNLSMESIDLTLEDEPEIQRLEAAKAELQTRIAEEAKENAHLQASLEKRKNALHDRRLALERDVARLQEQLQKERELRTALEAGLRISQRQLAVSSTIDEKMTAEIQEIAQAEADVISLKQKADDLGLQLNQQREQNSRLQLDIGNQQPSSNNKAKWKDRQKKVETASTPRNNEKLTRSKHDNRPDKADSDKDKNEESPFYTNESSLQNQQTDQVLYQSAGGILANTPPSEQGVVRPASTNTRKSSSRSEGTNSTSSALSKLTTRLNFLKERRTQIANEIQNMDKSRSSGLPVQNPERGKGSEARQSLQNTEKLQVSEGQSSEKNEILDSYNQALPNFDGQSDQGKRPDAHPNLDRGKSESFPPVDKGRSKVTPRINSR >CDP11968 pep chromosome:AUK_PRJEB4211_v1:10:23250854:23251385:-1 gene:GSCOC_T00035300001 transcript:CDP11968 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAMGMLVENLHVEQVKKYVAVAGLSRMEDVVDTLPAESEALKESLKMQIAALKELLK >CDP11521 pep chromosome:AUK_PRJEB4211_v1:10:27020422:27028425:1 gene:GSCOC_T00033815001 transcript:CDP11521 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKAAEVKSQQRLSGADRKKLRRTVAARFPNASDADIDSLLPPKAEITVSKYPNRILVYCVEGAHPMFFDIDGRGTEILPTVYALWKVPELLPAFWLKGGEVSRYVLGGADLMFPGVYIPAEGLPNFLAGEPWAVKVPGNPAPIAVGLTMMSATEASKAGLRGKALKISHYYGDTLWESVENPYVPNAGFFEDVVFEDPAFVLACEASDSCEGDVSVDDGNAVNNEEIGDVVDVKDDQSAGAASTALTDEANEITEQLVLGLGDLKMNETDATDELNAVDQHSLSIEDVDALLDKCLLQALHTTVKENDLPMPGSSLWSGHVLPCRPSGVTLDIKKSSYKKLSKWLQAKASAGLISVKEDKHKKEVTLFSVNRSHPDYESFRPEKKKSVKGGQSTVTQGIHDGQAPKVFELSEVYKPSVHVNPIFISVGVELGKFFSAPEASDIVFQYVEKQSLVKPTDRSMVTLDATLCDALYKGAIKKGSTYPTEIHKKDLGSTFISRMQAHHRVTRGSETVIRKGGLKPIQIMTERRQGNKKVTKLSGLESFLIDAEALSSELQKKFACSTTVAELPGKKGLEVLVQGGVIDDLAKHLIEQYRIPKRYIEVLDKTKK >CDP01149 pep chromosome:AUK_PRJEB4211_v1:10:5121133:5123260:-1 gene:GSCOC_T00034682001 transcript:CDP01149 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPVRFGILGCAKIARKLSRAITLAPNSTIVAIGSRSLEKAISFARENGFPASAKVYGSYDGVLDDPDVEAVYIPLPTSLHLRWAVLAAQKKKHVLLDKPVALNVKELDIILEACESSGVQFMDATMWMHHPRTSQMREFLSDPQKFGQLKAVHSIFSYVEGPEFLKNDIRVKPDLDALGALGDTGWYCTRAILWAAEYELPKTVTALPDPEFNEAGVILSCGASLRWEDGKVATFYCSFITNLTMDITVLGTNGNLRVHDFVIPYQESAAPFYVTSNSKFVELAIGCDPSPNEHIVGTDLPQEALMVREFSSLVGGIKLNGSKPEKKWPNISRKTQAVIDAVKASIDKGFEPIEVVF >CDP16492 pep chromosome:AUK_PRJEB4211_v1:10:17278030:17281104:-1 gene:GSCOC_T00018460001 transcript:CDP16492 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIQTNQEDVEIVIRRVTIGEIVQIIGLDLIEFLEIGNSCQCTPVWRRGNQLYVRRCDRGFWEHTPIPDRVRRYIALVEFERVLESGYQMIDHSLITSLVERWRPETHTFHLPVGEATVTLQDVENWPFAALSKRHSDGHYFLMMVTRKIHFIEAI >CDP01003 pep chromosome:AUK_PRJEB4211_v1:10:6757395:6762362:-1 gene:GSCOC_T00034485001 transcript:CDP01003 gene_biotype:protein_coding transcript_biotype:protein_coding MALPETLKQLGMVPGLIFIVLAAMLTEKSVEIILRFSKASKATSYSGLACDAFGSAGRTLLQFCIVINNVGTLVVYMIIIGDVLSGSTSDGVHHSGVMEEWFGQYWWTRRSFVLLLTTLFVFAPLISFKRVDSLRYTSALSVALAVVFVVITAGIAIIKLINGSIGIPRLMPKLVDQASFWRLFTVVPVLVTAYICHHNVHPIENELKDSAQMKSIVRTSITLCTSVYLATSFFGFLLFGDQTMDDILSNFDGSLGIPYGLLLNDVVRVSYVVHLMLVFPIVFFSLRLNVDGLVFHHAIPIVYDNRRFFSITATLISFIFVGANCVPSIWDAFQFTGATATVSVGYIFPAAIALRDTHGIATKNDRLVSWVMILLAVSSSSVAICSDIYSSFISSRGVDT >CDP01376 pep chromosome:AUK_PRJEB4211_v1:10:3070474:3075033:-1 gene:GSCOC_T00034990001 transcript:CDP01376 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPAAAMVMPHHMMPAPHYPPHYMPYHHHHLHHPPPPQPQGPPQQQQQQQGGGGGGSNGENRTIWVGDLHNWMDEDYLRSCFASTGEVASIKVIRNKQTGFSEGYGFVEFFSHAAAEKVLQAYPCIIMPNTEQPFRLNWATFSTGDKRSNNGSDLSIFVGDLAADVTDSLLHDTFASRYPSVKAAKVVIDANTGRSKGYGFVRFGDDNERSQAMTEMNGIYCSSRPMRIGAATPRKSSGYQQQYSSQGGYINSSSGQGSQSDGDSPNTTIFVGGLDPNVSDEDLRQPFLQYGEIVSVKIPVGKGCGFVQFANRNDAEEALQKLNGTTIGKQTVRLSWGRNPANKQLRAEFGNQWTGAYYGGHFYDGYGYAMPPPHDPGMYAAAAAAYGAYPMYGTHQQQVS >CDP15811 pep chromosome:AUK_PRJEB4211_v1:10:16313894:16322719:-1 gene:GSCOC_T00016666001 transcript:CDP15811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive exonuclease DIS3L2 [Source:Projected from Arabidopsis thaliana (AT1G77680) UniProtKB/Swiss-Prot;Acc:Q0WPN0] MGGGAIAESSQSQSDRTAANYSSSNAVDVKDGKKKKRRSRRTKQNSSTPAVSSGSVNNEIHVGETELLDNVGSNENQVPRASDVAFSSLPVMHITDQKSECEKGSLQNQHSLEADDENGSVISNCCPEAIVGCQVLKDSDVDVDPMPSHRGNGRAQRKYFASHWPEEAVTKALENGEVFKALFRVNAHNRLEAYCKIDGLPTDVLINGFLAQNRAVEGDIVAIRVDPPSLWTKMKGFTATLENPAAVNDCNLHAEAATVMQDCLKGKNKVDMDSDCPDCGHFSTPKNRLCYKNGCCSEEIVCPEFVGASDKGYLNGPCSLMSDDLGAGCFIRSDEAVYSVAKLCGIVNSFPSKRPTGRVVSIIEWSPRRNTILGFLGVKQLICSREVSSKDSKKNKFSSFSVNHEFIMLTPTDPKFPKMMLSLKSLPPHIENRLMVGDATVEMDLVAASIVDWVEESNVPEARVTHSFGRGGEIEAHIAAILYENLIDASEFAPETLSCLPHGPWEVPQKEFESRRDLRKLCIFTIDPSTATDLDDALSVETLANGISRVGVHIADVSYFVLPDTALDIDAQIRSTSVYMLQQKLPMLPPLLSDNFGSLNPGVDRLAFSMFWDINPAGEVLDRWIGRTIICSCCKLSYEQAEDIIDDRFDVRSSNFLLNNWPDLHGCFEWSDVITSVKILNEISRILKKRRLNDGALSLESPKIIFLFDEDGIPYDSVIRRRKGSEFLVEEFMLLANKTAAEVITRAYPSSALLRRHPGPNLRKLREFEAFCNRNGLMLDTSSSAQLHRSFERIRGELKNDSVMFDILMSYASRPMQLAAYFCSGDTEDGESDKSHYALAVPLYTHFTSPLRRYPDIVVHRTLAATLEAEETCMKRRESLQKLDANELTGLKCFTGVQYLKDIVESVEAQESLSAAASKHGIPSADIIADVAAHCNDRKLATRHVSDATNKLYTWALLRKKEVLCLEARVLGLGPRFMSIYIHRLAVERRIYYDDVEGLAVEWLDETSTLVLSRYTYKHHNRRGSPGKCRRLEEVAWIVSPADIGSRQNLYGRNGSDKDKVDCQIDGDVILSATSENLVVEPAVFPLTVHLLSTIPVALHAVGGDDGPIDIGARLYVSSYFR >CDP01372 pep chromosome:AUK_PRJEB4211_v1:10:3102771:3104672:-1 gene:GSCOC_T00034985001 transcript:CDP01372 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLFSMVCGVYIFSICTTQMAYFRDSNLLKLKQIQKQCPASGIEISETAYLHFPEPKTFSRQECACNAVKFFAIISMQRSGSGWFETLLNSHENVSSNGEIFGARDRRRNLSVIYGIMDKVYNLDWYSSASKNECSAAVGFKWMLNQGLTEYHEGIAEYFKKRGVHAIYLFRRNHLRRMISLIANVYDKDAKLLNGTHKSHVHSPQEAQVLASYKPSINTTRLVPNLKKEEQTTARALEYFKTTRHIVLYYEDVVRNHTKLIDVQDFLKLPHRNLSSHQVKIHSGSLSTQIKNGEDVQRALKGTPYEHFLNSDY >CDP00870 pep chromosome:AUK_PRJEB4211_v1:10:8755213:8757362:-1 gene:GSCOC_T00034302001 transcript:CDP00870 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLLKTLKEFACQGHLSEAFRTFSSFRSHTVASFSFDLTIQALSSLLVSSTNLKLLPEGKQLHAQAISLGLEEHPALVPKLITLYTSFDLLVDAHTITEISNFLHPLPWNLLISSYVRNGLSKEAISTFQGMLNKGIRPDKFTYPSILKACGEQLDVNYGKVVHSYIDGSSSEHNIFVQNALVSMYGKFGEIDAARKIFDKMPDKDTVSWNTIISGYASKGRWIEAFELFEDMRKDGAQINLLTWNTIAGGCLRMGNFKGTLELLSQMRTGGIPLDPVAVLIGLGACSHLGILRVGRQFHGLAVRSCYGSLDNVRNALITMYARCNNLMHAHVLFRLLEAKSVITWNSIISGYAHWDRSDEASFLFREMLLSGVEPNYVTIASILPLCARVADLQHGREFHCYIIKHEGFEDHLLLWNALVDTYARSGKVSTAKTLFYLLEKKDVITYTSLIAGYGIQGEGKEAIELFEEMIRSDIEPDHVAMVAVLSACSHSGLVVQGQILFEKMQTIYGLAPHLEHYACMVDLYGRAGLLKKAQEIITKMPYAPTSAMWATLIGACRIHGNTYMGEWAAEKLLEMKPQNSGYYVLIANMYAAAGSWTKLAKVRTFMRDLGVRKDPGCAWVDVGGGFSPFLVEDSSSSQTNEIYVLLGGLIKQMKDAGYVACADSTMEEEFLVE >CDP01299 pep chromosome:AUK_PRJEB4211_v1:10:3709053:3719885:1 gene:GSCOC_T00034895001 transcript:CDP01299 gene_biotype:protein_coding transcript_biotype:protein_coding METAAPANHSGEDKDCCVKVAVHIRPLIGDERLQGCKDCVTVVPGKPQVQIGTHSFTFDQVYGSTGSPSSAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTSFKDGCQTGLIPQAMNALFSKIETLKHQTEFQLHVSFIEIHKEEVRDLLDPSSTNKSETTNGHIGKLTIPGKSPIQIRETSNGVITLAGSTERSVQTLKEMADCLEQGSLNRATGSTNMNNQSSRSHAIFTITMEQMHKLNPMTPSNGNANEYTNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTFMIACISPADINAEETLNTLKYANRARNIQNKPVINRDPITNEMLKMRQQLELLQAELCARGGGCSPDEIQVLRERVAWLEANNEELCRELHEYRSRCPVTEQCETNAKLASSFSLKSEGLKRGLQSMESSDYQMSESGDSGDIDEEAAKEWEHTLLQDSMDKELNELNKRLEQKESEMKLFGGLDAVALKQHFGKKIIELEEEKRTVQQERDRLLAEVENLAANSDGQAQKLQDMHSQKLKSLEAQIQDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQYIKAQKVQLQQRIKQESEQFRQWKASREKELLQLKKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSGRDNSVTSNGTGSNGQGNEKSLQRWLDHELEVMVNVHEVRYEYEKQCQVRAALAEELSVLRQADEFASKGMSPPRVKNGLSRAASMSPNARIARIASLENMLSISSNSLVAMASQLSEAEERERAFTSRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWDKEIEIKEMKEQLKELVVLLRQSEIRRKETEKELKVREQAVASGLATPPPANSNKHLADEMSSPLSPIPVPAQKQLKYTAGIANASVRESAAFMDQTRKMMPIGQLSMKKLAVVGQGGKLWRWKRSHHQWLLQFKWKWQKPWKLSEWIRHSDETIMRARPRQQALPDIMCRNRR >CDP01369 pep chromosome:AUK_PRJEB4211_v1:10:3123094:3128091:-1 gene:GSCOC_T00034982001 transcript:CDP01369 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDELLKNIWSAEEFQTMEFTVGAKDTTGVGITTIPQRQQTLGEMTLEDFLLRAGVVREDAQLAGKPNITGLLGDLSRTANNQASFGFGYQQPERNTGLVSGRVLESGNQMAMESANLPLNVNGVRSTQQQLGATQTQQTQLLPQQQHHPLFPKQPGLPYATPIAIPNSAQLGSPGVRGGIVGLSDPATSASLVQNGALQGVGVGMVNLGAGAVTIAAGSPAVSSDGLAKSNGDTSSVSPVPYVFNGGLRGRKCSALEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELQKKQAEIMEMQKNQVMEMMNQQRGGKRCCLRRTQTGPW >CDP07335 pep chromosome:AUK_PRJEB4211_v1:10:825272:830171:-1 gene:GSCOC_T00024569001 transcript:CDP07335 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFRKSHKDIHYPSAADQSRLPEDERLGLLDQTQNLNFSDSKNSNMTSAPVGADSSAQNDVVIRINPRGGGERDREPTTISKETELTSSSSSSTSSQAQVSGANANAAKSNVWRDSSYDFSNDAAMRAIANNAKDFDFVTESPLSQRSPLSRIPESPNNCDQITPREVRVSFNENVAEPVRRRSNASGGIGRNGEPEEVLVCSGNSSFRRKSSLLMTKTKSRLLDPPEQDQRSQRLMKSGVLGKAGEIDEDDPFLEDDLPEEFKKMKFNTLTVLQLLGLILIVAALVCSLTIEVLKKQTIFELHLWKWELMILVLICGRLFSGWAIRVVVFLIERNFLLRKRVLYFVYGLRNAVQNCVWLALVLIAWHLIFDKKVERVTNGKILPYVTKIWVCLLVGTFIWLLKTLLVKVLASSFHVSTFFDRIQESLFNQYVIETLSGPPLIEIQQEQEEEERVLAEVQKLQNAGAKLPADLKANVLKSGKVIGTPRKSPTSATAMSAKSPTFSVVMSKKEEEKGITIDHLHRLNQKNISAWNMKRLMNIVRQGVLSTLDEKLQDSRGEDDTSVQITSENQAKAGAKKIFCNVAKPGSKYIHLEDLMRFMREDEALKTMRLFEGTNEGKGISKRALKNWVVNAFRERRALALSLNDTKTAVNKLHHMLNVLVAIVIVVIWLLILKVATTHFFIFLSSQILLVVFMFGNTCKTTFEAIIFLFVMHPYDVGDRVDIDGVQMVVEEMNILSTVFLRYDNQKIIYPNSVLSTKPISNYYRSPDMGDAIDFCIHVSTPLEKIALMKERITRYIENKSDHWYPAPMIVMRDVEDLNRLKWSIWLSHTMNFQDMGERWVRRALLVEEMIKIFKDLDIEYRMLPLDVNLRTMPALTSSRAPSNWAVFST >CDP07287 pep chromosome:AUK_PRJEB4211_v1:10:525068:530553:-1 gene:GSCOC_T00024513001 transcript:CDP07287 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCKYRPSSAFNSPFWTTNSGASVWNNNSSLTVGTRGPVLLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISNLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFVRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDVGIPQDYRHMEGFGVNTYTLIDKAGKASYVKFHWKPSCGVKSLLEEEAIKVGGSNHSHATQDLYDSIAAGNYPEWKLFIQIMDPDHEDRFDFDPLDVSKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIIVPGIYYSDDKLLQTRIFSYADTQRHRLGPNYLQLPANAPKCAHHNNHHDGFMNFMHRDEEVNYFPSRYDPVRHAERHPIPPPVLSGKRDKCVIQKENNFKQPGERYRSWAPDRQERFICRWVDALSDARVTHEIRSIWISYWSQADKSLGQKIATRLNVRPTM >CDP07286 pep chromosome:AUK_PRJEB4211_v1:10:515929:521573:-1 gene:GSCOC_T00024511001 transcript:CDP07286 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYGYVSTQNTISGAQGSDSLIDLDCMDELLLEGCWLETTEGPELLSDGPSDFNALFDASFTWPILEAINGESNPLKSTEEERQSLSGNFSAESSALSRRLWIGPRASTSVMDRLIKALGYMKDWAGDKNALIQIWVPVNKGGRRVLTTNDQPFSLDLNCPRLASYRNVSVNYQFSTEEDLKESVGLPGRVFMGRVPEWTPDVQFFRTDEYPRVGHAQQYDVRGTLAVPIFEQGSRNCLGVIEVVLTTQKINYRPELESVCRALQAVNLRSSDVSGAQNSKACDFSYQSALPEIQEILRAACGTYSLPLAQTWVPCIKQGKGGCRHSDENLVRCVSTVDSACYIADSHVQGFSDACSEHHLLKGQGIVGRAFTTNQPCFSPDITTFTKTDYPLSHHARIFGLCAAVAIRLRSIYSGSTDFVLEFFLPVDCKDAEEHQKMLSSLSLIIQKFCRGLRVITDKELLEENSVPSGGVSIPSYIKFGEEMSKTERRHSAMASQRSFWTSRHAEVEETAVVASKIQNAKPVEMLSGKFSAVRQSLPDISSRKERMCGDSLTRGQCSLLDVSKTAEKRGAKAEKTITLQMLRQYFAGSLKDAARNIGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLQKIQRVIDSVQGASGSLQIESFYTNFPELASPNLTTAAPFSCFNSSDHPISLNTQPEASTLSPHASASKSPSSSCSHSSSSSQCCSTGTQPHPHTLKISGQEDLVKNNSTGFMLKRVRSDAQLDLSSDGPKFLPRSHSHVSFTEHPDPENLPPAPKETSWMSREGTTLRIKVTYGEEKIRFRMLNNWGYRDLLREVSGRFGVDDTTGFQLKYMDDDSEWILLTCDADLEECLDICRSSQSQTVKLSFLCASHPLHGSPSGSRSCDKS >CDP10987 pep chromosome:AUK_PRJEB4211_v1:10:22261823:22272930:1 gene:GSCOC_T00031985001 transcript:CDP10987 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTASSSATLIPLIQLHHHRSGGGGGSKIRTLFCSKPLAFPHLLIYSPSRLRLGRSNAFPSPVIYASFKENIGKIKKKWCDLTSLNYWVVGDYYRLVDSVNSLEPQIQALSDELVGFIFTLSAKTVEFRRRLEQGETLADIQAEAFAVVREAAKRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQRRMTAEERRSNYGCDITYTNNSELGFDYLRDNLASSSDQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEANKDAARYPVAAKVAELLIRGLHYNVELKDNSVELTEEGIVLAEMALETNDLWDENDPWARFVMNALKAKEFYKRDVQYIVRDGKALIINELTGRVEEKRRWSEGIHQAVEAKEGVKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQMPVIEVPTNLPNIRLDLPIQAFATARGKWEYVREEVEYMFGLGRPVLVGTTSVENSEYLSSLLKARKIPHNVLNARPKYAAREAQIVAQAGRKYAITISTNMAGRGTDIILGGNPKAGVIRLFDIVGA >CDP11403 pep chromosome:AUK_PRJEB4211_v1:10:25804984:25808402:1 gene:GSCOC_T00033641001 transcript:CDP11403 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLCVSTSAFAFAFDVLKEKWERCELFAGFYRRLAMKGDEDYEDSEIGDLNGDKDFEGDKGIGGEDLEDEDDKELLPFGFEFDKRACLFDEDILVGYVFGAESFMAAYQIVDGKAVRRQVLDNLNLNPFYRHYLLSLGNGLFCLTYFNDDKEDDLDDEETCVPFSLVLFKLSRATAVMANDDSGSKFVNCELLKKLTWKIDQKSAYSPIYSFVMRANLIFYLILKKGDSILSIF >CDP00865 pep chromosome:AUK_PRJEB4211_v1:10:8808278:8812894:1 gene:GSCOC_T00034295001 transcript:CDP00865 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTAASKLTTAFLTVPSASHHHRHHGQPPLTTIKPPSFFKPKRLLSISRKATDVSSSSVQAEESSSAAAPKDNTENWVPVVPLAALPKGERRVIMQDGDTILLLWYKDEVFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPTTDSTFDLQTGAIKEWYPNNPVLRVLTPALRNLFVYPVKVEGENIYISLKGGASDASAEIVFSGKAQPGVTATDVNVEEVRMVVDEELEGFGFTGTNELINGKAAIIGFLLLLDFELLTGKGLLKGTGFLDFIYSISNAFN >CDP00947 pep chromosome:AUK_PRJEB4211_v1:10:7583830:7585994:-1 gene:GSCOC_T00034409001 transcript:CDP00947 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQQLQNLQENHRQMRGEELSGLSVKDLQNLENQLEMSLRGVRMRKDQVLIDEIQELNRKGSLIHQENVELYKKVNLIREENQELYKKVYGTKEANGTNKTALLTNNLSIREDPDGPVHLQLSQPQQNYETATGASKLG >CDP07565 pep chromosome:AUK_PRJEB4211_v1:10:2468777:2469598:1 gene:GSCOC_T00024859001 transcript:CDP07565 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLHQSERKEHGRDESWLLPDIKQLISPHIWSNIDYFSGFVERLVKLDTRVKSKWSINDELKSWNRHSSSV >CDP00960 pep chromosome:AUK_PRJEB4211_v1:10:7294544:7297163:-1 gene:GSCOC_T00034430001 transcript:CDP00960 gene_biotype:protein_coding transcript_biotype:protein_coding MHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDMNLDRREMVILGTQYAGEMKKGLFSLMHYLMPKRGILSLHSGCNIGKGGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHYREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMKKHGATGWLVNTGWSGGSYGSGSRIKLAYTRKIIDAIHSGTLLKANYTTAEVFGLEIPTEIEGVPSEILNPENTWSDKKAYKDTLLKLGGLFKKNFEVFTNYKIGADNKLMEEIVAAGPNF >CDP01134 pep chromosome:AUK_PRJEB4211_v1:10:5255889:5262247:1 gene:GSCOC_T00034661001 transcript:CDP01134 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVATGLKSLFILLGCLMTATLIYTIATDGLPFRKELLTPWMTATLIDFYINILALGAWVIYKESNWISAILWIILLVCLGSITACAYIVLQLFKLPPQESSQDPVYYVLLRHKKKDGSEKKSLFSVVIARFMFGALGFLMLGMLIYTIATDGSPFRRDVLTPWLSATLVDFYINVVALSVWIAYKESSWIGASFWIILLICFGSISTCAYILLQLYQLSSEDLVYLILFNSSNRQV >CDP11410 pep chromosome:AUK_PRJEB4211_v1:10:25857396:25859077:1 gene:GSCOC_T00033650001 transcript:CDP11410 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAFHHHNPFHHHKEQTAAEAPAHDYEKERKHHKHLEQLGGLGAVAAGAYALHEKHKSKKDPEHAHKHKIEEEIAAVAAVGAGGFAFHEHHEKKDAKKEEKKAEGKHHHHLF >CDP10925 pep chromosome:AUK_PRJEB4211_v1:10:21064815:21066448:-1 gene:GSCOC_T00031887001 transcript:CDP10925 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAKSDVYSFGVLALEVMVGKHPAVLLFFMLFHFKVENFDLLNILIQRLSTPTTKVEDEVTGISKIALSCLQHRPQSRPTMQEISKELASKRENAFQVWIFERDTRPIA >CDP07280 pep chromosome:AUK_PRJEB4211_v1:10:393240:400911:-1 gene:GSCOC_T00024501001 transcript:CDP07280 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQNQGVSMDQFEAFFRRADLDQDGKISGAEAVGFFQGSNLPKQVLAQIWMHADQSHNGYLSRPEFYNALKLVTVAQSKRELTPDIVKAALYGPASAKIPAPQINLAATPTPQLNSVGAASAPHIGGVPPAASQSSSFRGQLPPNESMNPQYLQSQGMQSTRPPLLTPTATASRPPQGVSPLTFPSGGSSLGPTLPNSSDGWLAGGTVGASSGPAAHMPNRAASPSMLAASPKVQHPISTSSSSAVSDPKALHGPGNGFTTDSMFGGDTFSASRGLPKQPSLPPAYSASSTSVSSAIVPITSAPESSAKPDPFAALQSTYTVSSTGGLPQQAQPVARNQQNSPQVSQSFLSSGMAVGGGNSSSEQSQPWPKMTRPGIQKYAKVFMEVDTDRDGKISGEQARNLFLSWRLPREVLKQVWDLSDQDNDSMLSLREFCIALYLMERYREGRTLPPQLPSSIMLDEILLSLAGPPAASHGNVGWGQNHGLRPQHSSSGALPIMQAGVRPGMQAVSRADGRSVQFSQQNARGPLVGNSHVNELSNGQQNSLEMKGQIAAETENKVENKEKLLLDSKEKLEFYRTKMQDLVLYKSRCDNRLNEITERALSDKREAELLGKKYEEKYKQVAEIASKLTIEEASFRDIQERKTELHQAIIKMEQGGSADGILQVRADRIQSDLEELLKAITERCKKHGLKVKSTTLVELPPGWQPGIPEIAAVWDEEWDKFEDEGFSFDVAVSANTKPTSPQHENSSPTDSFSPDSMSNADKSERTFTKGVSAFETDSLYTHSEDESKSPRSSPARQTASESPLHDYSDNHFGKIFEADTESHRGYDESAWGTFDNNDDVDSVWGFSAKDSSHEKPAEKYFFGSSDFGGSPSRTESPQADSSFQKNSPFGFEDSVPGTPLSRAGNTPEGINVGAGDPFFDSFSRYDSFSMQDRGSPRRETLTRFDSINSTRSYDHGRGFSFDDSDPFGSNGPFKVSLDSQTPKKGSESWSSF >CDP18295 pep chromosome:AUK_PRJEB4211_v1:10:24937886:24938829:-1 gene:GSCOC_T00012025001 transcript:CDP18295 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFGKGLLVLLLTLVATLAISQAETVVVGGSQGWRYGYNYTNWALNHGAFFLGDTLVFKYRPPSKISRPHSVYLLPNLYSFLTCDFRGATRLAGLNQGRGNGFSYVLNQVRPNYFASGEGDDCKKGLMKFVAIPLYRPPFP >CDP01260 pep chromosome:AUK_PRJEB4211_v1:10:4124907:4127276:-1 gene:GSCOC_T00034847001 transcript:CDP01260 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLLLLLLFWSSAFSFPGAFAYSRLTKGSSLSVENQEDVLISPNGLFTAGFHSVGINAYSFAIWFTEKLSNRTIVWMANRDHPVNGKHSKLTLLKDGNLILTDASKFISWATNTASASFVQILLQNTGNLVLTEKDNVLWQSFDVPTDTLLPGQPLTRYTRLISSRSQNNYSSGFYKLFFDDDNVLRLVFDGPETSSIYWPDPWFANDFGPGIQRRLTLDFDGNFRLYSLDTTTRSWIASWEAMIGSCRIHGACGPNSLCSHYPSSGRKCSCLQGYSVKNYTDWAYGCEPEYQLPCNSREVTYIKLSHVNFYGYNIDILHNSTLEKCEKICNESRKCKGFQYRFNKENGVYDCYPKRAFRNGFISPTYSGMLHLKQPKAFALATDQPAKISSLICSIRILLWFVPALGLLEMICIVSVWFFLYRSRRTSDKQPYVHLSTGFRKYSFSELKKATKNFGEEIGRGGSGIVYKGVLLDHRVAAVKRLKEANQDGAEFLAELSIIGRLNHMHLIDIWGYCAEGKHRLLVYEYLEHGSLAENLHCTVIDSEMRFEIALGTAKGLAYLHEECLEWVLHCDIKPQNVLLDSNYHPKLADFGLSKLLRRAEVNDLSFSKIRGTRGYMAPEWIGNLPITSQVDVYSYGVVLLEMVTGKSPKMHVQTFDPLLDNTQCDKGKMALLVRVALHCVEEDRKVRPTMRQVVEMLLH >CDP01180 pep chromosome:AUK_PRJEB4211_v1:10:4887784:4890144:-1 gene:GSCOC_T00034731001 transcript:CDP01180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MFSPVFPSAQISVFELQCRTLFGAHPDQAPKYTKQFQRTQSHKTIPRFTGENSSCLSDYRTSCTLQTDPESPDDYNSTTCFNRSLVVPEFRLAAKWLQSSRNTKEIKKIHACILKCVNGLEVFCYNNLISQYIKHGRLVQARNVFDKMSQRNVVSWTAMLNGYIIFGLFSDAARHFIEFAENGIPWNSKTFVCVLNLCCKRLDFELGKQVHARMLKGCFSGLILDSAVVHFYVQGGELENAFRVFNRMNKRDVVCWTTIITACSQHGRGEEAFQMFSRMLADGFEPNEYTVCSVLDACGEEKGLKLGKQLHGAIVKRQYRMDIFVGTSLVDMYAKCGEMEDSRMVFDGMRKRNTVTWTSLIAGYARNGLGEEAIRHFRVMQRRRIAANNLTMVSILRACGLLGALQMGKEVHAQVFRNHVQGNIFIGSALVWLYCRCVNYSAASKVLQDMPLRDVVSWTSMISGCARLGHEQEALEYLKEMLGEGVDPNCFTYSSALKACAQLEDIRQGKLIHSSINKTPASSNVFVGSALIHMYAKCGHLAEAIRVFDSMPERNLVSWKAMIIAYARNGLCRDAFKLMYRMQAEGIQLDDYIFATVLTACGDVEWDVESGLKQGFDSSRSFV >CDP00879 pep chromosome:AUK_PRJEB4211_v1:10:8505130:8507801:-1 gene:GSCOC_T00034316001 transcript:CDP00879 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAMMDKSMMGMLGGESEFLEKMKQGEEQFKKFGGQQIVEAVTTKLPKLYRAAMEGDWEEARHQFRHNQDAKTAKISNLGMTALHVAASCGQSEFVQKLVKELAEEQLEARDQLGRTALHHVALAADVDAARAMVTKNPILPYLGDVNKHTPLFYAAKWRKPSESKKMVEYLYRVSRDENLLRDLERSDLSNAFTDDSAPDLIVAITASGSYDAALRILERYPELALKKNDKGTSILHILAMKPKAFRRGNELSPLRSWIYDLVPVDDEDSTNRCSAASFTKYMGKFIKGLEILCLLYLRLLI >CDP16507 pep chromosome:AUK_PRJEB4211_v1:10:17894407:17907509:-1 gene:GSCOC_T00018488001 transcript:CDP16507 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIC40 [Source:Projected from Arabidopsis thaliana (AT5G16620) UniProtKB/TrEMBL;Acc:A0A178UEV6] MENSSLVCSPKMVLGLSQNPKNSIFNKPFLGFPQKPSSISSNPRKPSTSLLPFPHLPVSRRVLVKLKGDCFASTTSSSNQQTSSVGVNPLPVQPPPSSVGSPLFWIGVGVGFSALFSWVATNLKKYAMQQAFKTMMGQMNTQSNQFGNDGFPFPFPYASSAASATASSPPTASATATSPSQVASQPVTVDVSASKVEEPPATDIKDDSEPRKEAKRYAFVDVFPEETFQKNAFESYKESAEADSFKEPVVIDYGTQNGAASKPEEGASQASSSNRQANPLLSVDALEQMMEDPTVQKMIFPYLPKEMREPATFKWMLQNPAYRQQLQDMLNKMGGSPEWDNQMVDTLKNFDLNSPEVKQQFDQIGLTPEEVISKIMANPDVAMAFQNPRIQAAIMDCSQNPLSIAKYQNDKEVMDVFNKISELFPGVTGSQ >CDP11387 pep chromosome:AUK_PRJEB4211_v1:10:25512513:25513001:1 gene:GSCOC_T00033616001 transcript:CDP11387 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CDP11450 pep chromosome:AUK_PRJEB4211_v1:10:26315723:26318389:-1 gene:GSCOC_T00033710001 transcript:CDP11450 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQYLSLIYKFFLVWSVSLNFLLFSLVISRNSELSWSKKAAAEAEAAASVSCSGHGRAYIDGFVLDGGKPVCECNGCYAGHDCSEVIPDCIADADSGNPTFLEPFWRKNAASSAIMVAGWHRMGYEFEDGSLTSKELEKQIRKLHATAGNAITDGKYIVFGGGSTQLLSAAVNALSSKVSSSPTKVVASVPYYPVYKSQTELFKSMNFNFSGDTMSWKRSSNSSMNFIEFVTSPNNPDGQLKTAILRGPNAKQIHDLAYYWPHYTSIPSPIDEDLMIFTLSKLTGHAGSRLGWAIIKDKNVYDRMVNYIDLNTYGIARETQLRALKLLNVALQGDGRNFFDFGHETMKLRWQKLRKILSASSKRFSIQELKPHYCTFSSEIRSPAPAFAWIKCNEEEEKDCHAVLRAAKILGRRGRVFGASSSYVRLSLVNSQDEFNQLMHKLEMLVFEEATNSVVEFTLGDSEMRNRYYVPRNGTSASGKDSCGSIEMAQINSQTCAAQ >CDP18286 pep chromosome:AUK_PRJEB4211_v1:10:24854525:24858370:1 gene:GSCOC_T00012015001 transcript:CDP18286 gene_biotype:protein_coding transcript_biotype:protein_coding MKINYSNVSNRTIKKSRKPRSSSLFHPKKMIKSVGNKIQYYYKLHPVLMFLISLSIGITILVLLSLYESKYRMTSNYNGMYKVDSGSSESYPFARLMNLVMVAGHSVYTSSSCEKVDKDDAWFLESYQKHKGQAATFVSHIEKGVEITGKDNAALLLFSGGETRKDAGPRSEAQSYWTVAESKGWFGKQDEVRWRALTEEHARDSFENLLFSVCRFRELTGTYPLNITVVGYDFKEERFMHLHRSAIRFPDTRFFYSGTPSSQTSREAALKGEALVRTQFQDDPYGCLGPLRRKKFGRDPFHRSIPYPNGCPEIEGLFRYCGRTPYSASLPWA >CDP11371 pep chromosome:AUK_PRJEB4211_v1:10:25254472:25255852:-1 gene:GSCOC_T00033594001 transcript:CDP11371 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-interacting partner 15 [Source:Projected from Arabidopsis thaliana (AT1G76920) UniProtKB/Swiss-Prot;Acc:O49279] MESSPLNRLPLDTLHQIFSSLPLRQMIICRAVCKSLYTALSSPSFLHLISSSQSRVLSLIALRPSHRSHSHSHLSSHPALHVFDPLSDRWFRFPLNFLPFPSLLPITSSHGLLYLWASSSSSSPNPGPISNKMLIVCNPLTRQFKALPQLGSAWSRHGSVLIGAGPSQVLVLTELATLYSTANSWLKYSSNLPSKPRSPVLIENFILALCDVGSPWRSQWKLFKSMVINRGDQLVQKWTRLEKHEWGDVFDIIKRPRLLVGGKNKVLMIGGLKSSYSLHSACSTILILRLDLESLEWDEAGRMPSEMYRLFQDSSKFKVFGGGNRVCFSAKRVGKLVVWECFEENGCEKTEWRWIDGVPGNGDGLCRGFLLEAQLSAVP >CDP07476 pep chromosome:AUK_PRJEB4211_v1:10:1857626:1858096:-1 gene:GSCOC_T00024749001 transcript:CDP07476 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 44 [Source:Projected from Arabidopsis thaliana (AT1G75390) UniProtKB/Swiss-Prot;Acc:C0Z2L5] MASSSGNSSGSTSSQIQNSGSEGDLQLLMDQRKRKRMQSNRESARRSRMRKQKHLDDLTAQVAQIKEENSQILSTMNITTQQFLNVEAENSVLRAQLTELTQRLQSLNEILSYMNTSNGMLDALELQPNSEIFMNNPWNLTYPNQPIMASADMFPY >CDP01242 pep chromosome:AUK_PRJEB4211_v1:10:4252719:4254519:1 gene:GSCOC_T00034821001 transcript:CDP01242 gene_biotype:protein_coding transcript_biotype:protein_coding MEISYYLLLIPLLYFLTNQIIKRFNNLPPSPFPSLPVIGHLHLIKNPVHRTLAQISSKYGRVLLLYFGSRPVLLISSPSAAVECFTKNDIVFANRPKFLAGKYLGFNYTTLVWASYGQHWRNIRKIATVYILSGRRVQMFKHIRSEEVHLLIRRLLKAAAASDDDHVMVDMKSAFFELTLNIMMRMIAGKRYSGDGSGKIEEVTSFQEMVKESLKVSGSTNAADFVPLLRWIGQNKLESHLKTLQMKREKFLQYLIEKHRSISSHRENKTLIDVLLSHQETEPEYYTDQIIRGLVQIMLSAGSDTTSGTMEWALSVLLNNPEALKKAQEEIDVQIGQSRLITDSDLGQLPYLQAIINETFRMYPVSPFISLHESSEECTVEGFGIPRGTLLLVNLWAINYDPEIWEEPTKFKPERFEFMPFGLGRRGCPGENFARRVVGLALGSLIQCFEWERPGEELVDMSEGAGHTMPRAQPLLAKYRPRPEMVKLL >CDP11948 pep chromosome:AUK_PRJEB4211_v1:10:23971015:23971672:1 gene:GSCOC_T00035265001 transcript:CDP11948 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDKIWYNQLHFLIELDNDVVVVYFGLYRKFHIPDGPESLHCLTFDYQEKFYFNLGDTGFKVI >CDP11457 pep chromosome:AUK_PRJEB4211_v1:10:26373593:26386426:-1 gene:GSCOC_T00033720001 transcript:CDP11457 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGRWKPLGSSAHSILKNFWFRSSNFLECSAGKRPLQVAFPALDHCRYLCSCRILLYPADFVPKGLLLSKRFIHATGPSNSTERDYYEILGVSRNATKEEIKKAFHALAKKYHPDANKKNPSAKRKFQEIRDAYEILQDPEKRAQYDRISEYGRTGEDMNYSSGDADGFRFTYGTQFSDSFYDVFAEIFKDQAKFHTKDIQVELSLSFSEAAKGCTKHLSFDADVPCDACNGHGYPPNAKRKICHNCQGSGMQTFLRFTETCSMCKGSGVIFKEFCRACQGSGAVEGVKHVKVSIPAGVDTGDTIHVENAGNAGRHGLEPGSLFIKLKVTEDPLFARDGADIYVDSNISFTQAILGGNVEVPTLSGKTKVQIPKGVQPGQLLRLRGKGLPKSGFFVDHGDQYVRFRVNFPVVLNERQRAILEEFANEEISSEHNTSGEGSWLYQQLSTG >CDP01068 pep chromosome:AUK_PRJEB4211_v1:10:5999878:6001119:1 gene:GSCOC_T00034568001 transcript:CDP01068 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWQHLLSTLTTATKITHSKLPLFKTLLNPYSKPHIFSFINFHHFSTSFLVTKTPKKFKKKRKKKESPRTKLVQTQPNLSPHLENILHRDAHFRFLTKTKEYLSKQPQQVLLLDEAGKLHQQLGFPRGRKVSKFIQNHPLLFDVYRHTDNKMWIGFSEFMEGLLLEERKIMDQMEVERVNVVRKLLMMSVNKKIPLSKIHHNRLLFGIPDDFRDRVVRFPEHFKVVVEEDGKRVLELVNWDPTLAVSALQKEFMIDEDKVKKAFKFAVKHGKALDLDEDDERKLNMLNTLPLVSPYSDGSKFDLWTLEAEKYRVGVIHEFLSLTLEKRAYIHNIVEFKEEFSLTKHTYQMLLKQPRTFYLAGTEMNWCVFLKDAYGEDGVLIKKDPQLVFNEKLYRCAEMKDLGSSDDGSKK >CDP00964 pep chromosome:AUK_PRJEB4211_v1:10:7241873:7245028:1 gene:GSCOC_T00034434001 transcript:CDP00964 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLRTLSLYHDRPYQNGGNQFSVNSPSHRALSNAKSSSPLSPFFPGVLSQKSSRKGMSSWKKWFFRCLIFFSLGFLLGMAPFGGYEDVKGRDFSFEENTMAKSAENDEGDVRNLLVVEAKGGEGLVVDKVELRVVEEKEVKERFDFVPRKQLIVVTPTYNRALQAYYLNRLGQVLRLVPPPLLWIVVEMNVASSETADILRKTGVMYRHLVTTKNLTDVKDKSVHQRNTALEHIENHKLDGVVYFADDDSIHSLELFESLREIRRFGTWPVAMLAQTWNKVELEGPVCNGSQVIGWHTNKSKGLRRFHIDMSGFAFNSTILWDPKRWQRPTSDPIRQLDTVKEGFQGTTFIEQVVEDESQMEGLPLGCSKILNWHLKLEARELFNPKASLLQKNLDA >CDP01040 pep chromosome:AUK_PRJEB4211_v1:10:6242039:6243960:-1 gene:GSCOC_T00034533001 transcript:CDP01040 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSMQSSSGGDEEYESRSESISSFLNPSAHFGSISDHPQASVPQLLPHQPTSTLFHPHSQNSLDAFPQSLLANNPSGGNTNTTSTQYGSNHDLVWPRGLRSEANYANFGNVTASSSTQSVLAGGVQGLNSQSPSMLPSLGIEATKNDAKASLLQSADQQQPANVVKNPKKRTRASRRAPTTVLTTDTTNFRQMVQEFTGIPTAPFSAAASPFSRRLDLFSAGAASNLRAAGHLADSLGSLYPLRPSAQKMVHLSPFGTSSHTMIDALVSSTTTNAAGNIPNIPSAGGGNSSNTVLPPDHLGLPKQPQNLLNMQNQMLSIQPLLLNKHQPSAALATKNQGNTSHVPSFDELGLGHHPDHHQNVNANLSGWKDGGGTLNASQENLGGSSQHISNYKFNCSASTSEFQHDKGLENVTSAGEGTVGSWICPSD >CDP11491 pep chromosome:AUK_PRJEB4211_v1:10:26684493:26685797:1 gene:GSCOC_T00033769001 transcript:CDP11491 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMTMDNVLHGTQPKRHNHKVKNLYTDALKFHGDLHFVVVRLPSSWVLKVITRALFLAFVIVSLPWLNTAIGDLTSKLNNGARVSSVADQIAVDDPNDAVGTLSVLFHDLANEGLLKMGDRALIISNGDDHEAAIFNTQAISDYNMDVVSCSDVERQSLIPNQTYDLVFVRDFHAASELIERIIKKDGTVTVQLSDNPAVSFSKPSNYKIVYLRRFNSTIIAMRKLIKIQVVPAGKHSAAARRRLLGLNTEVKKKALNNLEDVLLEPPRAASGKSNSYRKRTRFLPDLIGDSLESYSRRVFIDVGLPDKNDDSSGDVSWFKKNYPTKNTEFEVYKIETVNEGPSREEVAQIGMSDWLRKNLKGNEYVVMKAEAEVVEELVRSKAIKLVDELFLECKHRGIKKSVKRSRRAYWECLALYGSLRDEGIAVHQWWG >CDP07450 pep chromosome:AUK_PRJEB4211_v1:10:1659932:1661985:1 gene:GSCOC_T00024719001 transcript:CDP07450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHI RELATED SEQUENCE 5 [Source:Projected from Arabidopsis thaliana (AT1G75520) UniProtKB/Swiss-Prot;Acc:Q9LQZ5] MSGFFSLGGGKEQEQQEQQDTTNNSSFYLFKNEEIYNKGFELWQQYYQLHQQRIQHHHHHQVVGQDVDFSVGPSRRIISSGSGGSGSIGDDSSYRSAGFRVMRHGGGGGTGSGSSSGGGGGGTMNCQDCGNQAKKDCPHMRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLSALQTQQQQQQQNQQQLSLIRGDNPKRLRENPGGGGGGSSSLACTRLPTSTSGLDVGNFPAEVNYPATFRCVRVSAMDDAEEQYAYQTAVNIGGHVFKGILYDQGLESRYATSGGAGAGEASSGGGGGHGHHHDHDPQPPLNLIGAAAAATANAAVTSTNPALTMLDPSIYPTPLSAFMAGTQFFPPPRS >CDP11406 pep chromosome:AUK_PRJEB4211_v1:10:25833738:25837175:1 gene:GSCOC_T00033645001 transcript:CDP11406 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALLLEAISVRFVTVVLGSDWHNSAQALPDVGQWFLLALNEKLPQTMVNLLRARIIGLHHYLMLFVMLGFSVLFNSIEAPGLGLGARYMFTMGVGRLLRALAFVSTILPSPRPWCASTRYHIPAHAHRWAQKYYVPYATDSYAIRQVIHYDIAYADPGDYHVEFHPNWGLMSFLIDFLRPTPPDGSSPWYHLLKKAGGGCNDLIYSGHMLVSVLTAMAWTEAYGGLSSILIWLLVLHSAQREIRERNHYSVDCVLAIYMGIFLWKLIGIFWPTKDASKKRRLIKLERVRGRLTQAAKDSDIDRVREILKEVELSSQVRQNPKSRAMWLFSGATIFCTITVVLLAFMLTSDG >CDP01026 pep chromosome:AUK_PRJEB4211_v1:10:6419573:6428629:1 gene:GSCOC_T00034514001 transcript:CDP01026 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGKLPFDLNEPPAEEDEDNDGFCFQPQKAVPSSSHTSELFASSAGPQGIVNNHAFSHASSVSGFQPFVRPRSGLGSEHPARNKTSGNSTVDAASSKSSRVEKEKAGQQLDLSFADPEAVEKEEGEWSDAEGSGDAYRIPNTHEESATGNRVLQEKGADEMRNHNIDQVMASESVARNAGDVKDDNGDLGFSGQDQDTNDRRSSSSRTSEGSSMNAQEDSGLVPKQKESKGVEASYAQKCANNPGKRPRLDQQKEAMLGKKRSRQTMFLNLEDVKQAGALKSSTPRRQNFPAPITTRTVGRAPPTDRMADKQIQSTSKESTQLDLSNNEANGYVESQDSRDCNGEVHSGLLSRPRRPTSSTDLMAEAQSTSIARQSSWKQPIDSRPVKNSPLPVRRPATGSSATADLKSGAKKLPSKKQAAVSTTYQDTSVERLLREVTNEKFWHDPEETELQCVPGHFESVEEYVRVFEPLLFEECRAQLYSTWEELTETFSVHVKVHVKNIERRERGWYDAILIPFTEHKWTFKEGDVAVLSSPKPGSVRLKRSSNSVVEDDEEAEISGRVAGTVRRHIPIDTRDSHGAILHFYVGDSYDSNSKADDDHILSKLQPRGIWYLTVLGSLATTQREYIALHAFRRLNLQMQNAILQPSPDHFPKYEEQPPAMPECFTPNFVDYLHRTFNGPQLAAIQWAAMHTAAGTSNGMAKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQANESNLESVATGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDTQTRAAQAVSVERRTDQLLNKSRDEIYGWMHQLRTREAQLSQQIAALQRDLTVAAAAGRAQGSVGVDPDVLMARDQNRDTLLQSLAAVVESRDKTLVEMSRLLILEGKFRATSNFNLEEARANLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPRIRDFPSRYFYQGRLTDSESVVSLPDESYYKDPLLRPYLFYDITHGRESHRGGSVSYQNREEAQFCLRLYEHLQKTAKSLGVAKVTVGIITPYKLQLKCLQREFEDILNSEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVMGNANALVKSDDWAALIKDAKARNCYMDMDSLPKDFVLPKSSPYPSYQAKNPSNRGMRTGLRHRPYDVHMESRSGTPSEDDEKSNTSSILRNGSYRSLKLPVENSLDDFDQSTDKSRDAWQYGVQKKHHSAGAMGKREL >CDP01284 pep chromosome:AUK_PRJEB4211_v1:10:3869396:3871786:1 gene:GSCOC_T00034876001 transcript:CDP01284 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRFLLSSLLLSLPLPSFSRTYTSLTKGSSLSTRDFLVSTPDAIFAAGFFSVGENSYCFSVWFAERYGDNHTIVWMANRDQPVNGQHTKLILQNSGNLELTDAGQLLVWSSATESSSSVQLELHDNGNLILSTSDGQNLWQSFDSATDTLLPEQLFTRNSILVSSRSKTNYSSGFYKLYFGSDNVLHLRYEGPEITNVFWPDPTLVIWTAGRSTYNSSKVAMLNSSGYFLSSDTLQFNTSDCGVRLQRRLVMDVDGNLRVYSLDKATQSWQVTWQQSSEPCSIPGICGANSICSSAPDSERKCTCLPGYKMNNLTDWSNGCEPDFKLSCNDTVSSGFVQLLNVQYNGYDLGSFTNYTFESCKNLCLSYCECKGFQYTFDLVNGYYSCKPKTILFNGYRSGDFPDPMYIRVPTINLNTIKPSRDLNLQCTAQITPLDRTYERKNQDWVKSFLWCTLAIGAFEIICLFTYFFKTQRRSSAKIQGYLQVATGFRKFSYAELKKATRNFSEEIGQGGGGVVYKGMLSDNRVAAIKYLKEAIQGEAEFLAEISTIGRLNHMNLIEIWGYCAEGKHRLLVYEYMEHGTLANSLCSDKLDWKKRYEIALGTARGLAYLHEECLEWVLHCDVKPENILLNSGYQPKVADFGLSKLLNRSGIDNLQFSKIRGTRGYMAPEWAFNLPITSKVDVYSYGIVVLELITGRRPTGGNSNDDSSAVEPRRLVSWVKGKMQEADGRGSPTSVMGIVDPGLDGEFDMERMEILVKVALKCAEEDIDARPTMREVVDILLHQESEGAVMF >CDP10713 pep chromosome:AUK_PRJEB4211_v1:10:9977304:9978906:1 gene:GSCOC_T00031514001 transcript:CDP10713 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIATHLILPYIHDNINWGIGFGIPCLPMIVGLILFLLGNKTYRFPATIGDKEVEIHCGQNDNSRKASVLSQLSTNDCIIHLTEKTSLITLAHKTILDDSSSAGDDSTNINETSSKIKEVKEVLRLTLFIKQATTLDRSIGQSYSIPAATLWIIISLSIVFCSIIYDRIFIPIARRITRYPSGIKMLQRIGIGMAISILNMVIVAAIEKKRLKTARDFGLLDIPNAIVPISFWWLAPQYLLSGLANVLIIVGMQEFFYDQVPTELRCSGLSFSYRAIGIGDFLSSFLVSLIDKITSQGGRESWFSDNLNQAHVDYFYWLLAGIGVVGLIPFVCLAKSYIYREPNSIKNDSDV >CDP11373 pep chromosome:AUK_PRJEB4211_v1:10:25270751:25273169:-1 gene:GSCOC_T00033596001 transcript:CDP11373 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLLIMLSAFLILIVLVSALLQRWASPWEMAAIPGRLGWPIVGETFSFITEFSSAAGIHSFIRKRQQRYGKVFKTSVLGRLTVFMTGSEASKILLTGKDGMVSLNLSYAGRQVLGPTSLLHQNGEAHKRLRRLIAEPLSINGLKKYFQFIDNLAIDTLDKWHGREILVLEEASTFSLKVISNMIMSLEPAGEEQEKFRANFKVMSSSFSALPLKIPGTAFYRGIQARNRMYAMLDSIIAQRRTGDNFQQDFLESLVNKYNEHGYGGEDDDKLTDAQLKDNILTLLVAGHDTTTAALTWLVKFLEQNPAALERLREEHREIQASRSGLTWSDINNMPYTNKVISETLRIATILPWFSRTAAEDFTVDGCKISKGWSVNLDVVSIHHDPKLFPDPQKFDPSRFDEPLKPFSFLGFGSGPRMCPGINLAKIEICIFIHHLVCRFKWKPLEKDDSVMPTLVRMPRNKYPIMVEPL >CDP07529 pep chromosome:AUK_PRJEB4211_v1:10:2239782:2240532:-1 gene:GSCOC_T00024814001 transcript:CDP07529 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQIGLSEALKNYMPFLYVRRLSDRATLPKRMFPHSAGYDLFSATDTKVPARGKARISTDLSIDIPPGAYGRIAARSSLAWDHSIEVGGGVVDADKNPVFVILYNHSDVDFVVKVGDRIAQLVIELNATPEVVEVHQP >CDP10674 pep chromosome:AUK_PRJEB4211_v1:10:10751801:10754494:-1 gene:GSCOC_T00031461001 transcript:CDP10674 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFKAMFILTLVLMSSFDFLILVFSLRLNPNSSDLCENKVDPRTLQPDQMTVVISGYSEHRIPLLQSIAARSHAYDLSTKSWIYTISSDKYSIILTKAMIMKWEYLWEYSCGGGKVNEELRKIVDAERNCEDILMNFVVADKINAGPILAGAERVRDWGDARNEGRVGKEEREAGLSSRRGEHRKRRGDCIREFHRVLGRMPLRYSYGKVVKSVGEQGLCEKSGKLVFCDQQVFK >CDP01125 pep chromosome:AUK_PRJEB4211_v1:10:5365749:5369791:1 gene:GSCOC_T00034643001 transcript:CDP01125 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAEEPLLSSTSDDNQLRFHTSHQQQLQHQRDEESLDETQSLVQEIWLENKKIWQIAGPSSFARVAMFSLTVITQSFAGHLGNRDLAAISIVTTVLISITFGFLLGMASALETLCGKAYGARQYRMLGIYLQRSWVVLSISSIVLLPLFLFATPIIKFTGQSEAVSELTGEVALWLIPMHLSFPFQFTLMRFLQCQLKTGMIAWLSGGVLVVHVLLSWIFVGRMRVGITGAAVILDLSWWLSVVGLFSYSVFGGCPHSWTGFSKQAFHGLMEFFKLSFASGVMFSLENFFYRVLIIVSGNMEFAEVAVDALSICITMYAWESMIPLGFFAATGVQVAYELGAESNRGAKFAAKVSLLNAIALGVLFSLLVMIVPDKLAMIFTSSSSVTKMVHELSPFLAITILVNGIQSILSGVAVGYGWQALVAFVNVGSYYIVGMPLGIVLGWCLKFGIKGIWAGMIIGTIVQTFILVIITIRFQGENEAQHDSIQVKIRRASNYLNCKFICQIMD >CDP15819 pep chromosome:AUK_PRJEB4211_v1:10:16585708:16588515:1 gene:GSCOC_T00016684001 transcript:CDP15819 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSGARCLTRVEAISAFVWKCSMAASEANSGHRKSSMLTHVVNLRRRAAPTLSEHSIGNLIWISGARCLVNQDRGMPALADQIQYSISKINSDYVKKMHGNEGPALMRKSLKEIGEFGSKEAAVDYLGFSSWCGFGFYEIDFGWGKPIWVSSFAVNAPVFMNLIILMETRLGDGIEAWVTLDQQEMDIMEHDQEFMAFVSVDPSPL >CDP01269 pep chromosome:AUK_PRJEB4211_v1:10:3992495:4017957:1 gene:GSCOC_T00034857001 transcript:CDP01269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MEDAFARSVSEVLEFFAVDPSKGLTDSQVAEHARLYGRNVLPQEQSTPFWRLVLKQFDDLLVKILIASAVVSFLLALMNGETGLSAFVEPSVILMILAANAAVGVITETNAEKALEELRAYQADVATVLRNGCFSILPATDLVPGDIVEVCVGCKIPADMRMIKMLSDHLRVDQAILTGESCSVEKELDSTIATNAVYQDKTNILFSGTVVVAGRAKAVVVGVGSNTAMGSIRDSMLRTEDEVTPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGLLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVLQSVNHGPIAAQYSVSGTTYAPEGFIFDSNGIQLEIPAQYHSLLHIAMCSALCNESVIQYNPEKRIYEKIGESTEVALRVLAEKIGLPGFDSMPSALNMLSKHERASYCNRYWENQFKKISALEFSRDRKMMSVLCSRKQMDIMLTKGAPESILSRCTTILCNDDGSTVPLTAAIRAELESRFHSFAGKETLRCLALAWKKMPTGQQALSFHDEKELTFIGLVGMLDPPREEVRNAIASCMTAGIRVIVVTGDNKTTAESVCQKIGAFNHLEDFAGHSYTASEFEQLPALQKTMALQRMSLFTRVEPSHKRILVEALQHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIIAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFFAAVLGIPDTLMPVQLLWVNLVTDGLPATAIGFNKQDSDVMKAKPRKVNEAVVSGWLFFRYLVIGAYVGLATIAGFIWWFVYSDSGPKIPYTELMNFDSCSTRETAYPCSIFSDRHPSTVSMTVLVVVEMFNALNNLSENQSLIVIPPWSNLWLVASIVLTILLHMLILYVQPLAVLFSVTPLSWSEWTVVLYLSFPVIIIDEILKFFSRNSGLRFTFGFRRGDLLPKKELHDK >CDP10948 pep chromosome:AUK_PRJEB4211_v1:10:21628684:21630135:-1 gene:GSCOC_T00031925001 transcript:CDP10948 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPIAIIMPESKEQLVMTVLCCRKGSWEIRVRCGGHGYEGTSSIATDGSAFVIIDLMNLNRVSVDLESQVAWVEGGATLGQTYYAISEASKDHGFSAGSCPTVGIGGHIAGGGFGLLSRKYGLAADNVEDALLVDADGRVLDREAMGEEVFWAIRGGGGGIWGIICAWKIKLLKVPETVTGFILSRPGTKRYVERLVHKWQHVAPKLSDGYYLSVFVGYGLPETAPTIGLSATFKGFFLGPKREALSELNKAFPELHVREGDCKEMSWIESVVYFSGLKPAGSSSSMSISQLNDRYFIDKLFFKAKSDYVRTPISSRGIRAAIDILEKEPKGYVILDPYGGFMEKTDTESIAFPHRKGNLFSIQYMVEWNEKDEQQNRSNGYINWIRGFYGSMSTFVSSAPRAAYINYMDLDLGVMNTSNEMELLMLNGNAPSSDAVERARVWGEKYFLSNYDKLVRAKTLIDPLNVFRHQQGIPPLSTSTS >CDP00915 pep chromosome:AUK_PRJEB4211_v1:10:7969549:7972975:1 gene:GSCOC_T00034366001 transcript:CDP00915 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLVVFSLVLVLATKNANGGGGFSFDEYYYQTFGGNHLTILDQGNEVQLLLDQSTGAGFSSRRDFGSGYFGISMKIPDKNSTGVDTSFYLISVPVGQPVGGVKHYEVDIEFFGTNGNPHVLSTNVFMNDFGGKEQLFHLWFDPTVDFHKYEILWNQNQIVWFVDETPIRVWKNMSNRGVGFPAVPMHVEASIWNPNWLGTIDWRQGPFTAQYREFPINGCRYQGSNPRDCFSQNYYWNQPQYSQLSPDQQRKLQEARRNYMFDDYCNDPIKKGQECQFNQ >CDP10967 pep chromosome:AUK_PRJEB4211_v1:10:21994189:21996402:1 gene:GSCOC_T00031958001 transcript:CDP10967 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLQTSQPWVEKYRPKQVKDVAHQDEVVRVLTNTLETANLSPRRC >CDP07447 pep chromosome:AUK_PRJEB4211_v1:10:1615892:1617802:-1 gene:GSCOC_T00024715001 transcript:CDP07447 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQKSQSEIEHVMDGATISPSAMTQSFNLSAAIVSKSLILLLTRFHAGYFRISLSLGGQALLWKTLLQPANHVILTTIPIIVWSFALFILVLFSILYILRCLYRFNMVKAEFLHHIGVNYLFAPWISWLLLLQSSPFVAPKNVSYLILWWIFAVPVFVLDVKIYGQWFTKGKRYLTAVANPTSQISVIGNLVGARAAAQMGWQEIAVCLFSLGMVHYLVLFVTLYQRLPGCDRLPAMLRPVFFLFFAAPSMASLAWDTISGSFDIASKMLFFLSLFLFISLICRPFLFKKSMRRYDVAWWAYSFPVTSLALASAEYAQEVEGGVTHVIMLILSGLSVLVILSLLVFTALNTKMLLRDDDPILPTSLPDHPLSTA >CDP16847 pep chromosome:AUK_PRJEB4211_v1:10:14728981:14736060:1 gene:GSCOC_T00019397001 transcript:CDP16847 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIRVHKKRKVDKKMEPSNIASGSSEEASADWFDALAKKIASNLNSSPSKGLDSFESMFNMSRKTFEYVCSLAREHMMVKTHCAFSNGKPMSLYDQVALALRRLSSGSSLITIGDSFGTHHSTVSQVTWRFVEAIERKGIQHIRWPSTENELMEIKSKFEQIRGLPNCCGAIDTTHIVMLLSTSEPRTDVWLDSKENHSMPLQAIVGPNMKFLDVFSGLPGMFSESSLMRYSSFYNKCQNGERLGKKERLSEEAELQEYIIGDSAYPLLPWLLTPYQGKELSQTKADFNKRLFATHIVAQRALARLKDVWKIINGVMWRPDKHKLPRFILVCCILHNIIIDMEDEVLDDVPLSHHHDPGYGQVVCNSADETASVLRDKLALYLSERRHP >CDP00862 pep chromosome:AUK_PRJEB4211_v1:10:8833578:8838614:1 gene:GSCOC_T00034291001 transcript:CDP00862 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICSKESADEPVHEKEKQPEVSKSSVQLVAPSAREEVIVGVADPKNEDSVTLKQHLSSPPAEETKGSVQPGRLEDDGKSRIIERPKAGHHQRRFSTDMGMPQLQQPMSRLLSVPHGARGEQAAAGWPSWLTSVAGDAIQGWVPRSAETFEKLDKIGQGTYSSVYKARDLTNNKIVAMKKVRFVNLDPESVRFMAREICILRRLDHPNVMKLEALVTSRISGNLYLVFEYMDHDLSGLATAPGVKFTEAQIKCYMQQLLHGLEHCHSRGVLHRDIKGSNLLVDDNGVLKIGDFGLATFFQPNQKEPLTSRVVTLWYRAPELLLGATDYGIAIDMWSIGCILAELYAGKPIMPGRTEVEQMHKIFKLCGSPSEEYWRKSKLPHATSFKPQHPYRRCVADTFKDFPPPALALVETLLSIEPEKRGTAKYALNSEFFTKKPLPCDPSSLPKYPPSKEFDAKLRDEEERRRKAESIKGHGDVYARKVSREPTAVNTRDFNTQGQGHSNKCISVRLNTMEDSGAGFPIEPPRGIKNGFSHSTSMIHPNAIGAFKSTKQGNEGGTISGTYSHSQHDRSIKKQGSQKSQVVPGLTSNDILLRQATLVRFMSFQLTIFPNSGSQRTRIHCSGPLVPPGGNMEDMLKEHERQIREAVRKARLEKERTKKNLYAHEQSFHQGNFGYR >CDP10663 pep chromosome:AUK_PRJEB4211_v1:10:11026753:11028483:-1 gene:GSCOC_T00031445001 transcript:CDP10663 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSAFRERLEHMEVTRNQRLSLLQSEKELQTIKSRDLASKLSNIRSMEQRCLKLDCKIASQHLVISSLESELHRLDSVYAEILQKIRTLKLEVEEMEELEKEKESYYNSQSQELEEFKAEVDSHIGNCQLQIQELRTQANEVQRYLS >CDP01333 pep chromosome:AUK_PRJEB4211_v1:10:3411911:3414633:1 gene:GSCOC_T00034935001 transcript:CDP01333 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVSALKPQSYGNCVTILSIDGGGIRGIIPGVVLGYLETELQKLDGSDVRLADYFDVIAGTSTGGLVTAMLTAPNESKRPLYAAKDIKDFYLEECPKIFPQEKPHLFSGVESLVKSVTGPKYDGKYLHSMVQEKLGQTRLHDTLTNVVIPAFDVKLSQPTIFSSYAMKHFSSLDALLSDICISTSAAPTYLPAHKFETKEPDGSTREFHMIDGGMAANNPTLVAMGQVTREISRGNPDFASIGASEYSRFIVLSLGTGTTKGEGFDADNVAKWGLLSWLTSGNSTPIIDIYTQASGDIADLHLSTIFQTMQCEENYLRIQDDSLTGDLGSVDLATKENLENLVKVGENLLKKPVSRVNLKNGVFESVNRGTNEEALKRLAASLSQERGLRIASESKK >CDP11540 pep chromosome:AUK_PRJEB4211_v1:10:27205691:27209384:1 gene:GSCOC_T00033841001 transcript:CDP11540 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVIEKSGIKIIKSPPESRLSDLGVRTWPKWGCPPSKFPWTYSSKETCYLLKGKVKVYPDGSEEVVEIGAGDLVEFPKGMSCTWDVSEAVDKHYNFD >CDP01193 pep chromosome:AUK_PRJEB4211_v1:10:4663360:4667204:1 gene:GSCOC_T00034757001 transcript:CDP01193 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHSVLFLSVLCYVATAKKILLSTPRMDDSQSSHVTQTYTYVCHPSRFNGLGLNMEYFGYCNKSLPFEVRAKDLVDRLSLTEKVGQLGDQANGVPRIGLPKYYWWSEALHGVSDFGDTATFFNATIPGATSFPTPISMVSSFNVTLWKTIGQVVSTEARAMNNLGQAGLTYWSPNMNVVRDPRWGRALETPGEDPFVVGTYASTYVRGLQDVEGTENTTDLNSRPLKVAACCKHYAAYDVDNWFGIKREGIDVSVREQDMLETFVKPFEMCINDGDVSSVMCSYNRINGIPACADRRLLLDTIRKEWNLHGYIVSDCDSIEVMYKNHKWLNDTPEAAVAQALKAGLDLDCGAYYTKYGGNAVVQGKVREADVDKALKNLYVVLMRVGFFDGSPQFESLGTADICSEGHRNLAIEAARQGMVLLKNDDAVLPLNGQDIKSIALVGPHANVTTTMIGNYHGIPCGYTSPLDAFKQSIGNVLYAIDCADVACDNGSSFSPAIQAAKDADATVIVAGLDLTVEAEQLDREDLLLPGNQTQFINQVASASKGPVVLVIISAGGVDISFAKANPNIKAILWTGYPGEQGGHGIADVILGKYNPGGRLPLTWYEKDYVDLIPMTSLQLRPLDSLNYPGRTYKFFNGSTVYPFGYGLSYTTFGLSLTAPNIAFDIPLNNLTVGFDVEVANVGEKDGSEVVFVYWSPPRSIVDAPIKQIIAFSKVFVAAGESTSTHFDFNVCKSLELVDYKGYKLLASGAHQIIVGNNDGSFQVPINFQT >CDP01150 pep chromosome:AUK_PRJEB4211_v1:10:5111684:5113749:-1 gene:GSCOC_T00034683001 transcript:CDP01150 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSVSEDNWWVFFTLPAILESQNLCNIWILFSTAMFFLAAGLMTWAFSSGGPAWRAGRNELGQVPIPGPRGLPIIGSLFNLSHGLAHRTLACMASSHGASQLMAFSLGSTPIVVASEPRTAREILTSPHFSSRPIKQSAKQLMFSRAIGFAPNGAYWRLLRKIASSHLFAPKRIVAHEAGRQLECAAMLRAISKEQTMQGFVSLRKHLQVASLNNIMEIVFSKRYETTSLSEEAQELHEMVREGFELLGAFNWSDHLPWLKNFYDPFRIQQRCSALVIRVRKLVKKIIEEHRVGKSAEVSDDSDFVDVLLSLEGEEKLDEDDMVAVLWEMIFRGTDTTALLTEWIMAELVLHPQVQTYLYNEMKNVLGDKSVTDADVAKLPYLQAVIKETLRLHPPGPLMSWARLSTDDVHLSNGMVIPANTVAMVNMWAITHDPQVWDEPWMFKPERFIPWAGGVNLDVRGNDLRLAPFGAGRRVCPGKNLGLATVSLWVAKLVQNFMLAQNNEAQPVDLTEVLKLSSEMKKPLSAVVVPRNGALLT >CDP17257 pep chromosome:AUK_PRJEB4211_v1:10:18891070:18892416:1 gene:GSCOC_T00013677001 transcript:CDP17257 gene_biotype:protein_coding transcript_biotype:protein_coding MRENEVIEENQHKTSARDYQEEKAINQVFDRLTHNDCVISQGLSYELLIGELAWQQGSIDKEIFNSASPLHCPRNWSPVSCKDGAVNIVPSQQNRPLDDLIFDPGGSTKIAMSKDAEGEGGNEMQNQEKEARTDLETLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPVAVYTSGKGSSAAGLTASVIRDNSSPAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYSQDKSIVSHIIKVHASANATMSDSRSSKEDNWLKRYIHYYRTHCHPAASVLQENYVKIRQDMRRQANETGEAAAIPITVRQLEAVVRLSEALARMRLSYLANENHVSEALRLFNNSTMDAANCQISINPKTLFGSLELCSTSLRTRIV >CDP11537 pep chromosome:AUK_PRJEB4211_v1:10:27181855:27183899:-1 gene:GSCOC_T00033837001 transcript:CDP11537 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAASTAAASLGVSEMLGNPLNFTSSSRCAPSPSNTASFKTVALFSKKKAAAKPKPAADSSLDDELAKWYGPDRRIFLPEGLLDRSEIPEYLTGEVPGDYGYDPFGLSKKPEDFAKYQAYELIHGRWAMLGAAGFVIPEALNKFGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLAFAVIFEVVLVGGAEYYRITNALDLEDRLHPGGPFDPLGLAKDPDQFALLKVKEIKNGRLAMFAMLGFFIQAYVTGQGPVENLAAHLSDPFGNNLLTVIAGTAERAPTL >CDP01034 pep chromosome:AUK_PRJEB4211_v1:10:6318197:6323447:-1 gene:GSCOC_T00034524001 transcript:CDP01034 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEVFVREKLPVSFCRVLSQFSFFSSQLERLELRDSMAKDIVNLRDFPQLSQLKELVIYAGAWGDYSLMGVTSIIKECPNLRKFVLQLAWATPSKKNRDKVKGAKCALIFLEAIELRGYCGRTSDVELLMYFVENAAALEKIVIDPRSQLADHLKYNLHGHVRKAAITSALEEVKQLVPKHVELVIL >CDP01135 pep chromosome:AUK_PRJEB4211_v1:10:5250055:5254611:1 gene:GSCOC_T00034663001 transcript:CDP01135 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMRSFDTLDNWHEEFLRQANPPDPKTFPFILLGNKIDIDGGNSRVVSEKKAKEWCASKGNIPYFETSAKEDYNVDASFFCIAKTALANEHEQDIYFQNIPEAVSEAEQRGGCAC >CDP07622 pep chromosome:AUK_PRJEB4211_v1:10:3003507:3004080:1 gene:GSCOC_T00024936001 transcript:CDP07622 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTLSCSLSGFLSSSFYANKSGSCKPHCFQSKDYVSCAFALDLVLSSQSEPYNYSQVEE >CDP11432 pep chromosome:AUK_PRJEB4211_v1:10:26156116:26157715:1 gene:GSCOC_T00033684001 transcript:CDP11432 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKSFPQYSSSYSSEYGFQDQSNSYIFNGPSTRGEGFTASNDPELKRKKRIAAYNMFTTEGKLKATVRESFKWIKTKLSDVRYGF >CDP18297 pep chromosome:AUK_PRJEB4211_v1:10:24976713:24978001:-1 gene:GSCOC_T00012030001 transcript:CDP18297 gene_biotype:protein_coding transcript_biotype:protein_coding MATQNNIVLACMALHNFMREYVPNDAYFAEEEADIALADNINPFNPMPAAQAPDMSTVGIAEWNEICTGLQVKSNKSLSFLAERGVDLNRNWSVDWGKNSPSCFVLFQDYDPYEENLGTAPFSEPETQFMQKLSISFEPHV >CDP11911 pep chromosome:AUK_PRJEB4211_v1:10:24469871:24472936:1 gene:GSCOC_T00035217001 transcript:CDP11911 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWNDSHHFCSWKGVLCGRKHKRVTSIDLQSRGLVGFLSPFLGNLSFLRALMLRNNTFQGEIPPQFGNLFRLQGLYLSRNSLEGEIPSNLSRCSKLVHLYLSSNKLVGRIPPEFGSLRNLESLVIQNNSLTGAIPPSIGNLTSLSLISAAINHLEGKIPEVLGQLKTLKIIAFGGNRLNGNIPFSVYNLSQLEVLSLPSNQLHGTLPSALGLMLTKLEYLQLNDNQFWGILPASLSNASELGRIVVGDNGFSGRIAVDFGGLQNFISLFAANNNFGSGEVLDGLQFLSTMTNCSQLFGIDLGGNQLKGILPSSIGNLSSQYLSLGGNQIYGEIHSTVGNLISLTTLFLDSNQLTGTVPSTIGYLHKVQRLSLHSNKLSGEIPESVGNLSLLNELYLDDNNLEGSIPPALGNCQQLLLLGLSQNNLSGTIPKEIFGISSLSISLDLSQNHLSGTIPSEVGTLKNLAGLDFSENHLSGELPGTFGGCSSLEILSLAGNSFQGSFPEFISSLKGIQNLNLSSNNFSGPIPQFLVRMSIKSLNLSFNDFVGELPTQGIFGNASAISVVGNRRLCGGIPELQLPKCQPLRESKKNKKLLRFIIPVVITSPFLVIVVISISIFRLRSFKRRRTQRKLPNFSGRLFMRVSYRQLVQATNGFSAENLIGAGSSGSVYKGVLTEGRNLSVAIKVFNLQHHGAFKSFIAECDAMRNIRHRNLVKIISSSSGLDFQGNDFKALIYEFMPNGSLETWLHREDEHQQHIFPIPNLLQRINVAIDVACAVDYLHHHCHKQIVHCDLKPSNILLDSDLTAHVGDLGLAKYVHSAPNLQETSSAGIRGTIGYVAPEYGLGAEVSSDGDVYSFGILLLEMITGKKPTHPLFTGGLDLHTYVEMAIPERVMDIVDPVLLCEDHRRTTAANSRSSALGETKCNLLEQCLISLLKVGLACSMHLPEDRINMTQVLCRLKSIKDTFTVAEL >CDP07466 pep chromosome:AUK_PRJEB4211_v1:10:1776213:1778943:-1 gene:GSCOC_T00024737001 transcript:CDP07466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 17 [Source:Projected from Arabidopsis thaliana (AT4G36410) UniProtKB/Swiss-Prot;Acc:O23239] MSSSSPSSRKGLSKIACNRLQKELVEWQVNPPAGFKHKVTDNLQRWIIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLSPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTVKQRPADNDRYVKNCRNGRSPKETRWWFHDDKV >CDP01211 pep chromosome:AUK_PRJEB4211_v1:10:4482028:4483439:-1 gene:GSCOC_T00034783001 transcript:CDP01211 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALNSPTTPTPSFPFDNPSLRHLTEPWAKGKRSKRPRSLDHPQPTEEEYLALCLIMLARGGKVNFSLPSSFPSSSSSSTAAAAAPKPSLPPHVATSSDVPKLLYKCSVCNKAFGSYQALGGHKASHRKLPSGGGDDQPSTSTTTVTTTSTSGVGAGGSGRTHECSICHKCFPSGQALGGHKRCHYEGTIGGGHSGLTSSEGVGSTNTNSHRDFDLNLPALPEFWSTEDEVESPHPAKKSRFNLPIKVENIKY >CDP11370 pep chromosome:AUK_PRJEB4211_v1:10:25215978:25227404:-1 gene:GSCOC_T00033592001 transcript:CDP11370 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEGVGESSSPARSFGGFGTYDIRNDVYNRLVESGNDEAVSNPELRELLDVHFNSLPASYGLDINMDKVEDVLLHQKLLSMARDPENCPVFHIRFLENFWTKGDDIEDRQILSVYPNAKPPGSDDNDGKLQLHDRNSVNDFEPCCKLEDLNLDVRKYPNEMERGTPAEDFTRRQDVSHIPIHEVIFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPAEDTEGLCEEMRKAIARNLGSWSGSTHSKHAVEKALPVEAKPGDWEIDTRLLKMGEKIASGSCGDLYRGVYKGQDVAIKVLRSEHLNATLEDEFAQEVAILRQVQHKNVVRFIGACTKSPNFWIVTEFMPGGSLYEYLHKNHIVLKPPQLLKFAIDVCKGMEYLHLNNIIHRDLKTANLLMDSAKVVKVADFGVARFQSVGGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAIVLWELVTSKIPYNTMTPLQAALGVRQGLRPELPKDAHPKLLNMMQRCWEAIPENRPSFSNIRVQLEELLQQEVQELPEEPNGS >CDP11459 pep chromosome:AUK_PRJEB4211_v1:10:26390324:26391281:-1 gene:GSCOC_T00033723001 transcript:CDP11459 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGVKLLGYWASPFALRVRSALKLKGIEYEYQEEDLGNKSPLLLQGNPVYKKVPVLLHKGKSISESLVILEYIDEVWKHNPLLPEDPYERARSRFWAKFVDEKCVPALVGVITKVGEELEKSAREAREHLKTLESGLDGKRCFGGTKIGFADVAIAWIAYWVRMEQEAFKIQLIDQQNMPLLAAWIDYVLEDPVMKEFMPPYDKLVKHTRDLREKLTAVVSN >CDP07307 pep chromosome:AUK_PRJEB4211_v1:10:655965:662086:1 gene:GSCOC_T00024536001 transcript:CDP07307 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSFLPMGSRPRDKDQHHYGGAVGGQPHHPRSSNGTEGAGAQGFSEFSLAELKAATNNFSSDFIVSESGEKAPNVVYRGRLQNRRWIAVKKFTKMAWPDPKQFAEEAKGVGKLRHKRLANLIGYCSDGDERLLVAEFMPNDTLAKHLFHWENQTLEWAMRLRVALYIAEALDYCSTEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTQESVVFSFGTVLLDLLSGKHIPPSHALDMIRGKNILLLMDSHLEGNFSTEEATVVFDLASQCLQYEPRDRPNTKDLVSTLAPLQNKSDVPSHVMLGIPKHDETPATPQHPLSPMGDACSRMDLTAIHQILVATHYKDDEGTNELSFQEWTQQMRDMLEARKRGDLAFRDKDFRSAIDCYSQFVDVGTMVSPTVYSRRSLCYLMCDQPDAALRDAMQAQCVYPDWSTAFYMQAVALAKLDMHKDAADMLNEAAMLEEKKQRGGRG >CDP16497 pep chromosome:AUK_PRJEB4211_v1:10:17344016:17346237:1 gene:GSCOC_T00018468001 transcript:CDP16497 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIKPHAVCIAYPHQSHIKATMNLAKLLHHRGYFITFVNTDFNHKRLLRSKGPSFLECLPDFRFETIPDGLSPSDSDSSQDASALCESITHNFLAPFRNLLVKLNENPSTENPPVTCIVADGLMFFTFAAAEEFGIPVAAIFTLAACGVMGYYKFSSLFENGLAPLKDVRYLKNGYLENTSIQWIAEKSIRLKDLPSRLRTADPNDVLFHFLMESAQDASKASVVIIHTFDALEKEILEFLSSKYPLVHAIGPLSLLLNKIPKENPLNSLDCNMWKEEAECLQWLNSQKHSSVLYVNFGSVVAPTPEQLGEFCWGIVNSKHPFLWIIRPDLVDGKSSALPDEILAETKDKGFLAGWCPQEEVLNHPSVGGFLTHSGWNSTIESLSSGVPMICWPVNADQQINCRYACSEWEVGLEIDQEVKRDEVERVVRELMDGEQGKTLRNNAKYWKKVAEEATSEYGSSSLSMDQLVKILSASRN >CDP01117 pep chromosome:AUK_PRJEB4211_v1:10:5434164:5435982:-1 gene:GSCOC_T00034635001 transcript:CDP01117 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRKLHPFTKGNDTISCPEICGSTCQEGCYPYTTNSMPPPATVPPPIAHNSSAKQGHHVSPLVIVLGTSFASCFLLVCYYFIVVKYFLACNRSRPRQQQRGAADEEFLDENRGPGIDHPIWYINTIGLQPSVIEAITIFRYKKGDNFIEGTECSVCLNEFRDDETLRLLPKCSHAFHVSCIDAWLRSHTNCPVCRAGIVSSAAATPVVASVGIHSHNMRPGEASQVENPEDDEELSTNHTRENEFRENGGGPTGEITEFPEVHQDGRVVEAAKFWVTDDSHDKSMMEGGKFEMQPLRRSVSVDSLLAANINGAVANMRLAEFEDTPVDQDVEADHVSGENVVGENSRTPRISNGSSSITQFLHMGPVRMKRSFSYAGRSFLSRQSSRTNSSLPL >CDP11932 pep chromosome:AUK_PRJEB4211_v1:10:24225819:24243140:-1 gene:GSCOC_T00035243001 transcript:CDP11932 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone methyltransferases(H3-K4 specific);histone methyltransferases(H3-K36 specific) [Source:Projected from Arabidopsis thaliana (AT1G77300) TAIR;Acc:AT1G77300] MLAGMSAGENVHDCVEESSAVNSTFSLEELSTLQQSCGTFSMRDDDSSKRVDVEDLSGADEVVAAFQSFCIDNSSLMRDQEAKDTAKVDYIDDNSNTCPDITCSSPCRRSSRRNRLDQENKKKGPERDCRKTSSKSAFLDVSSVQLTRRRRSSLSKQARATVWGLLGNMSVLEQNGAVDISPQKAKKSRRLKGVGANGKREKNKKARDSTKLKGKRFNPTGPITLKVKFGSREAASLVNASTVMDDNKEQDSRQESFPEVSIDVQDLMKKEADGSMSFQSCDGTLDKASDIHVAIEDITENAGGNAYGDLCESPFHAEVGKVVATIDNRISDSGTSPDSEVINLTPDAQISEKDPDALHHTVSSINAYLASENMSNSTNLSPRLGTEIGPVESSKSKKLLPCTNGKKVARSSRARKETRTKREKTSKTKGSQEKSSAKQKGKDKGLKGDSAQTLHEVENHCETEAGETGIGNKSLTEDTPPKDMAPVMVAQECIPITQAWVCCDGCNKWRRIPAALADIIRENDCKWYCKDNMDKDFADCSIPQEKSNADINAELQISDASCEEDADSDARLDSIKSTQKQRKVTQQPSWTHIKSNSFLHRRRKTQAIDEIMVCHCKPPSEGRVGCGDGCLNRMLNIECIQGTCPSGEFCSNQQFQKRKYAKLKAIKCGKKGYGLQLLEEVSEGQFLIEYVGEVLDMHAYEARQREYAVKGHRHFYFMTLNGSEVIDACAKGNLGRFINHSCEPNCRTEKWMVNGEVCIGIFALRDIKKGEEVTFDYNYVRVFGAAAKKCVCGSSQCRGYIGGDPLNAEIVVQDDSDDEYPEPIMARGDGIALTSSHTEEEKQIREMSNQAKIDIDCSSTAAGCLENTKENGDTKLSASTALSVAISLEKESSVGQHSPVQLVGTSSESGGITGGNISAAPEECFARETSVGNPLCSNQGSDANSLSLASGKIDPVKKVKNDVGEVGVVLSKSRARIKTSKNLSSVKKRKPKAEALDGKKPMEFDTKVHLPPFRSKQLLEDLSNGRFEAVQEKLNELLDNDGGISKRKDASRGYLKLLLLTAASGDSGNGEAIQSNRDLSMILDALLKTKSRTVLVDIINKNGLQMLHNIMKRCRKQFIKIPILRKLLKVLEYLATREILTSEHINGGPRYPGVESFRDSILSLTEHTDKQVHQIARSFRDRWIPRSSRKFGCVHRDDCWIELHCSSTRDTISASHANSNDCAGVPLETFDGAAKPVLASSSVDAQVPDVSSGSRSTRKRKSRWDQPFKGSPDLGADTSMAGDGANNMEEDVPPGFSFPLNRPMLPSDAYLNDIDHKEGRPSYAKRSYGVAMGHSQPRFISRLSVAYGIPISIVQQFGSSEAETSENWIVAPGMPFQPFPPLPPYPRDKNKHSMSQASQKAGQDSHNRATHSSQNPPSTSGATETGMQTVTQTDFRRVRGPHNLSRTYFRQEKWNSSTPGGSQIQKRNVWGSMGNNSRNGTCQASPRSIHNEFNSSHCSEDISTGMQSGVKFHQHPW >CDP07473 pep chromosome:AUK_PRJEB4211_v1:10:1834025:1835762:1 gene:GSCOC_T00024745001 transcript:CDP07473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 9 [Source:Projected from Arabidopsis thaliana (AT1G44970) UniProtKB/Swiss-Prot;Acc:Q96512] MATRMVVLSLILAAFLFCNPSQAFPFGRGGAGYPGLFPEFYQFSCPQANEIVMSVLERAIAQDPRMPASLLRLHFHDCFVQGCDASILLDDSATIQSEKNSGPNKNSIRGFEVIDEIKARLEQVCPHTVSCADVLALAARDSTVLSGGPHWEVPLGRRDSRTASLSKSNSDIPAPNSTIQNLITFFQRQGLNEQDLVALSGGHTIGVARCVTFRQRLYNQNGNNQPDMTLERTYYNGLKAVCPNSGGDNNISPLDIASPVKFDNTYYKLILYGKGLLNSDEVLLTGKVARTMELVKSYAADESLFFHQFANSMIKMGNIKPLTGSKGEIRNNCRRIN >CDP01305 pep chromosome:AUK_PRJEB4211_v1:10:3668524:3670171:-1 gene:GSCOC_T00034903001 transcript:CDP01305 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGCCSSTEKRKERENHHQQEKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSSPVAAARAYDTAVFYLRGPSARLNFPECIVEDDELHDLSAAAIRKRATEVGARVDALQQTAVHASSTKSNSTPPRLEKPDLNEYPSPETSDDN >CDP07460 pep chromosome:AUK_PRJEB4211_v1:10:1746831:1750558:1 gene:GSCOC_T00024731001 transcript:CDP07460 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g09850 [Source:Projected from Arabidopsis thaliana (AT1G09850) UniProtKB/TrEMBL;Acc:Q0WVJ5] MSWFWSYLTVVLLVFHPPICKSSLTADLFENWCKQHGKTYPSEEEKQYRLRVFEDNYDYVTKHNSLANSTYTLSLNAFADLTHHEFKAKYLGFSASADGLIRLNRGSSSIGASGAVGKYDIPSSLDWRNKGAVTNVKDQGSCGACWAFSATGAIEGINEIVTGSLVSLSEQELIDCDRSYNNGCNGGLMDYTYEFVVKNGGIDTEQDYPFKGRDGTCNSNKLKRRVVSIDGYIDVPANNEQELLQAVAAQPVSVGICGSERGFQLYSGGIFTGPCSTSLDHAVLIVGYDSKNGADYWIVKNSWGTSWGINGYIHIIRNSGNSAGVCGINMMASYPTKSSLNPPPSPPPGPTKCSLFSSCPAGETCCCSMEFLGLCLSWKCCDLDSAVCCKDRLHCCPHDYPICDTKRNLCLRRMGNSTLVKQLKNGGRSGKFGDWSSLFAN >CDP20129 pep chromosome:AUK_PRJEB4211_v1:10:27310071:27311528:-1 gene:GSCOC_T00011269001 transcript:CDP20129 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFILTVAGVSAVILLLRSDVKQSATIFRRNVRQIRHWLEEESASAAKEMEKAKPKEIPGKDTPKEEKH >CDP07576 pep chromosome:AUK_PRJEB4211_v1:10:2546445:2554859:-1 gene:GSCOC_T00024871001 transcript:CDP07576 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFSKIFKGSNHNVSDGGYRSRYRADFPGNFPSTSLDTLSEAEDIDRAIALSLAEEDEKGKHVVDSDAQLKEDEELARALQESLTCESPPPYGNRGSVPFENGHGTGNFYQPIPYHYSTGFRICAGCNTEIGHGRLLNCMGAVWHPECFRCHACNHPIADYEFSTYDGYPYHKACYKEHYHPKCDVCKHFIPTNAAGLIEYRAHPFWSQKYCPSHEHDGTPRCCSCERMEPRDTRYVALDDGRKLCLECLDSAIMDTNECQQLYLDIQEFYEGLNMKVEQQVPLLLVERQALNEARDGEKYGHHHMPETRGLCLSEEQTVSTISRRPRIGDGNRVMDMRTEPFKLIRHCEVTAILILYGLPRLLTGSILAHEMMHAWLRLRGYRTLSQDIEEGICQVLARMWLESQLIHIARINNVASTSSSSASASSKQGTRSPFERKLGEFFKHQIESDTSPIYGNGFRAANLAVLKYGLGNTLDHVRMTGSLPY >CDP10691 pep chromosome:AUK_PRJEB4211_v1:10:10421821:10423182:-1 gene:GSCOC_T00031487001 transcript:CDP10691 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVEKLCRVISGALLYIRDDFKAVDGKTWLQETIVSMAVAGAILGAAFGEWINDKFGCKISILAVDILFFVGAIVMAVSQAPWMIIVRRIFVGLGIGMASMTEPLYISEASPHRIRGALVSTNGFLITGGQFLSNLINLAFTHVRIGHLLAPLFMCFVDRYGRRRLVITWCVVLFFLIPPACYIPSFFQFLFLISFLPRCSGQQNWNHLHVLVQ >CDP11955 pep chromosome:AUK_PRJEB4211_v1:10:23913011:23915945:-1 gene:GSCOC_T00035277001 transcript:CDP11955 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQIMDGMKVESNQDVAPVPPPSHTLPEEVERKARVDAVWQQMNKGPSGKALKSTLKNQSSSINKISPKPSSQNWMKVLGLAPKKTSSAVEGAPGKRPIVAQNGSSDDAKKLAAAALSAVKDAAAAAAAASALGRGKMEVTELRDFAGEEIEFKKLVDTSSKEAFDKGKASTGPASAVDAVLEQIKKKQKLSVLDKTKKDWGEFKEENKGLEEELETYKKSSNQYLDKVSFLQRTDYREFERERDARLAMQAKRKADMREDF >CDP07603 pep chromosome:AUK_PRJEB4211_v1:10:2793411:2797512:-1 gene:GSCOC_T00024910001 transcript:CDP07603 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPETELISIPATPRASTPEILTPSGQRSPRGLASTGPASKEAKSWTPTSFISPRFLSPIGTPMKRVLVNMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGFGFQALVLPVAFSFLGWSWGIISLTIAYFWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGDRLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAIIYSTMAWILSVSQQRPPLISYQPISLPSPSATLSSFLNALGIIAFAFRGHNLVLEIQATMPSTFKHPAHVPMWKGAKFAYCFIAMCLFPVAIGGFWAYGNLMPSGGILNALYAFHSHDIPRGLLAMTFLLVVFNCLSSFQIYSMPAFDSFEAGYTSRTNRPCSIWVRSGFRVFFGFVSFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKRPTKYSFNWYFHWTLGWLGVAFSLAFSIGGIWSIVNSGLKLKFFKPN >CDP07485 pep chromosome:AUK_PRJEB4211_v1:10:1937536:1942507:-1 gene:GSCOC_T00024759001 transcript:CDP07485 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALTAKDADDWTYRGEGAVNLVLAYAGNSAEFVGKVLRIPKVSTNGSHLENGHSALTPHECLLWKDTADLTSAPTREIAEQLYVQHVMCPLLGSEHVDAGMRILVSKEFLVAIEKKVLSQRPSWRVKAAKVNPLCDSVLLISDHSVFPHGTLKGEFSLCVEIKPKCGFLPTSKFIAEGNAIKRSVTRFKMHQALKLHDRMISEISEYDPLDMFSGSRERIHRAIKALYNTPQNNFRVFLNGSLIFGGLGGGTKSTNYMVGQDFEDALKHVIMAEDGMRTEKLLELITEALFRSGLLDRLLEVQKLDAIDIEGVIHAYHDIVSQPCLVCRKMDADEFTNRYATLHSMPMEESLKIVRDYLIAATAKDLSMMLSFQPQQRGDVDSPNGALFLKSTNQSFDLKVSFIDLDMKPFKKVVYYYELDQQIVHFYVQMVETEPWLEITASNQEMRDSNESILL >CDP11526 pep chromosome:AUK_PRJEB4211_v1:10:27077699:27081423:1 gene:GSCOC_T00033822001 transcript:CDP11526 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSGRGGGIGGGGGGKTSISRYSDPQETATSAAAAAVVGGGGNASSSSSAELRLYQAFIFSVPIFFTFVLLSLFYLFCLRRRRLDWPSLTMPASSSSSFRSSSSRAETGGLKKEVREMLPVIVFKESFSVKDTQCSVCLGDYQAEERLQQIPACGHTFHRDCIDSWLSTHTTCPLCRQSLLVSAKASIEAPDMQLASHDVSSNIAVGGELSPENGSRPCEDSGQENDSCNTGERILTRRSEEEERGVHNIDDDTEMMRSGSER >CDP00898 pep chromosome:AUK_PRJEB4211_v1:10:8182266:8192804:1 gene:GSCOC_T00034341001 transcript:CDP00898 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAPSNLAPLSEESPPAETSARDCSNNPPDHNNINDEVPSAFSSVPARPPIQTSSQKYAPLDWSGYFDREDDVSIPGSNDVFHVYLAGTQGPVIFCLHGGGYTGLSFALSASKIKEKVRVVAMDFRGHGKSSTENELDLSIETLCSDVLAVLMTMYGDSPPAIVLVGHSMGGSVAVHVAARKQLPTLCGLVVVDVVEGTAMASLIHMQKILTNRMQHFPTIEKAIEWSVKGGSLRNIESARVSIPSTLIYDDSKRCYTYRAKLEETEQHWRGWYEGLSEKFLSSPVPKLLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPDEFADFILNFVSRNRIGPRGIEIPGIKSWRS >CDP01030 pep chromosome:AUK_PRJEB4211_v1:10:6357618:6359847:-1 gene:GSCOC_T00034518001 transcript:CDP01030 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDFWNSTPLDLQLDPIKGAQYQAQFNMYPESCCSSQSTYGLVDQQGSLGLNQLTPSQIHDIQSRFSLQNENRHHMISGPFHQQWPPTRQSQSQQQALPFLAPKPVPMKQSASPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAFKLRGDFARLNFPHLRHNGSLIGGDFGEYKPLHSSVDAKLQAICQSLAEGKSIDGKKSKGTRRKAAEEEKAAAKTVDAGSESETGSGHLTDGSSPVSDLTVPDFTEEEGSWEAYPENLMLEKCPYIIVIISSVIMNHSLVFGSIIFSSIFFYFLSELATIEIF >CDP10955 pep chromosome:AUK_PRJEB4211_v1:10:21715009:21717669:1 gene:GSCOC_T00031935001 transcript:CDP10955 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSKLSNCRPKNFVKFFFISSLSQETLSPQQIILSKLSLAKTLGVFSAESPCKQTFLAFPLKQMRGKNAFVPALEYNPLTYMFVYMAANHYFAYELEKSYSTQELCHLLGTVIVKKAWVQSILGGSYYHSHMCTQCVNKTQYDVEVIDADPVKASCSNHHGTRGPWENHTFGLHQEKQASEAGGITQGMRAYKVQVPFDGKPQTCVFLDTPGHEAFGAMRPRGARVTDIAVIVVATDDGIRPQTEEAIAHATAAGMPIVIAINKVRLLTGAEPVQ >CDP11460 pep chromosome:AUK_PRJEB4211_v1:10:26391900:26395841:-1 gene:GSCOC_T00033724001 transcript:CDP11460 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNCIGGALNPSKTLTPKCIPINHPNPSSLSSSSLRKFILTKSKKCLPPSIFISASMSSSQQHQPPLSLEALKTSERRNEVLNAIQSSLSNCLSETHLDLTVPGLKSKTTGKVRDIYDEGNYLVLVTTDRQSAFDRVLASIPFKGLVLNETSLWWFNKTQHIVPNAVVSAPDKNVTIAKKCSVFPVEFVVRGYVTGSTDTSLWTVYKKGVRNYCGNVLPDGMVKNQKLPENILTPTTKAADHDVPVTPDEIIQRGLMSRADYEEASKRALQLFEYGQRVAMKHGLILVDTKYEFGKAPDGTVLLVDEVHTPDSSRYWIGHSYQERFWNGLEPENVDKEFLRLWFNDHCNPYEDEVLPDAPEELVSELAWRYIFLFETITNSSFEIPTTKEPIHDRITQNVSQALKSLL >CDP16848 pep chromosome:AUK_PRJEB4211_v1:10:14741205:14746119:1 gene:GSCOC_T00019399001 transcript:CDP16848 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIRGQKKRKVDKKMEPSNIASESSEMASADWFDALAKKIASNLNSTPSKGLDSFESMFNMSRRTFEYVCLLAREHMMVKTCTFRNGKPMSLYDRVALALRRLSSGSSLITIGNSFGTHHSTVSQVTWRFVEAIEKKGIQHIRWPSTENELMEIKSKFEQIRGLPNCCGAIDTTHIVLLLSTSEPRTDVWLDSKENHSMPLQAIVGPNMKFLDVFSGLPGMFSESSLIRFSSFYKKCQNGQRFGKKVRLSKEAELQEYVIGDSAYPLLPWLLTPYQGKELSQTKDDFNKHLFATHIVAQRALARLKDVWKIVNGVMWRPDKNKLPSFILVCCILHNIIIDMEDELLDELPLSHHHDPGYGQVVCNSADETASVLRDKLALYLSEKGHP >CDP01290 pep chromosome:AUK_PRJEB4211_v1:10:3787145:3791528:1 gene:GSCOC_T00034884001 transcript:CDP01290 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPKFLGLDFSCALSSLSNGQIPKKDCLLPLISKVLGYCIVAASTTVKLPQILKILKHQSIRGLSIVAFELEVIGYTIALAYCLHKGLPFSAYGELAFLLVQAIILVAIIYYFSQPLGMKTWIRALLYCAIAPTILAGRVDPILFEALYASQHAIFFFARVPQIVENFKNGSTGELSFLTSLMNFAGSMVRVFTSLQEKAPTSVVLGSAIGVVTNGTILSQIIIYQKPQPKKEKKTD >CDP01344 pep chromosome:AUK_PRJEB4211_v1:10:3332852:3339213:1 gene:GSCOC_T00034950001 transcript:CDP01344 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEWANYHNNSSSGIYNNGRGKLCSYKRSTLVVCSINIVVALFVLHSLYTSLYRYPYNDSTNAFRYTSDQISKMKESIRIRKESEPTELIKLVEELKKEFLREERVVEVPLSLKQKIADELLLRLRAVNASGNSTVQRAEAVEGWRKEKLREVRLLTSGKPANSTFLPEEAGVLVRALGSGWAEFSEEIGLWIPLQIIHEEHDDKPEGVDDLDREILPGRQLPPECHAELHTDYDGAAVKWGLTNPKESAYDCCMACLDQAKMAKPNEKKCNIWVYCPSETGCYSPDIYEHKNQECWLKYAEQPKLNFKDIYPDSYRSSHRNVPVTVAWVAGVVSV >CDP11455 pep chromosome:AUK_PRJEB4211_v1:10:26368831:26370602:1 gene:GSCOC_T00033718001 transcript:CDP11455 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLYFVKGGKSRWLSSRLETAAWPLIFIPLTISYLHRRKNQGHSTSLFFFINYLLCASGLAHLPVSASSIIVSTQLAFTAIFAYLLVKNKFTASSLVLFDRGVGLVVLALHTRIDRPEGESNSKKMHVLGFVLRLASSAVNGLISPLTELAYKKAKQAICYALVMEIQTILVLVCQVPSRLRFFLRGSFTSLLYMNHFNSLFVYGAQNNLKAICIQFLLFKLRSLGRIC >CDP01207 pep chromosome:AUK_PRJEB4211_v1:10:4513912:4524040:1 gene:GSCOC_T00034778001 transcript:CDP01207 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAEQPLKKRKIFEQFKQSSPSPPPPPPPPPAPPSLPAPPQQQHPPPPGTPPAQPLTQEEIFRRQGNLEEIRKVYNCLKQIKCCIAQEEQEPRHLPELEQAYLYLITASRGCTSVQRIVADLIPRYASYCPTALEAAVKVVINMHNCSLALISNGEDFDGVAFETAEACIFGLVDICGAAAKEAPTSSVIQGICSAVFLSVFTFFISSFEGKDIFQIIDKESLMIQDAKEFTSEFKEKFLDEGDSKLLKLLKFRAVSFLRLFFSCPKHSLSACFELFDSTATDATNMGGHYFLRQLTNRLDDAVSYNLTRESDDEKPSLSSLGKICQGNNVGPYRHLESNLVPRNVSPVSRNCILNLVLGKDSSLKSWIFSRYRKLRASVASEIVSKITSLLDGIFESFTEQVNREETQADDNESECSQSKYVSHYLVSREHIDYHSSASPSIDSGGSRSMDFDFGGPGDSSHSRSSVPRDLLNRHIPSPITRTPGGSRSSLHGVQREKSQNPLSLGNPSMSKVVWYSDGDPAAMDVFAASKQLWLGPLGPDASEGLVRSQFEKFGPIDQFIYTPFKGFALIEYRNILDALKARENMRGRSTWGASLQIKFSDTGSGTRGDINGVAVGSTCHVYVGHVSNRWVRDEVMNEVNKVLHKGPRMATDLIGEGALLMEFDTHDEATIAMAHLRKWRNESGITLLQSHGGPADVMHFEGNKYYVNPIFLTDDELLALCNVAINNVGSIVRLTRQNISTGSCWFVECNSIETARTLLSTLRDCPEIFFQIEFSHPGKLQTQLLVKPDGNALELTSPRLKPENHGIMRQGGHAFQSNWAHVGHSGRHEVRSITPEALFVDPSHGGGHVVSSSAEQMWMYRKPEAELHSGPRSIPHISAPTVGPSIAPPLPVQTPYFRPLNFPPNSSWDVRGLNNHMPINPISPRVMPNIHRNPIPPPFIPASVTPLAQFHGNSMPSFDQMFSVPAVTPPPLIAPLPPSQPDVQPPLPPSQPPPPPPPPYSQPPVAPPPPTSPPPLPPSVSSSSEYGMQSNVQHKWQGTLSKSGIHYCTIYAQRVDSDICKYSDGMVEPTEWPIKLDMTKRTDFRHVKTTFSNTPPHRREICWLLPSSQDDNKGFQDFVVYLQQRQCAGVIKIPAMKSMWARLLFILPYSPDVCSMLSIAPNPSLCLLGLVLPKETNFEWV >CDP07379 pep chromosome:AUK_PRJEB4211_v1:10:1094220:1100365:1 gene:GSCOC_T00024620001 transcript:CDP07379 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFPCPKTVTVRRNPHRKARPTPSSTVPLPLPLSSPPIPPHIPSFPTPDILSVELSETQRPREIDSKPESENLKVFLRIRPLTVSQNAHKQNKRGGEVVKNAWPKNPKAKHLPKNKVKNSNEICVTVNDPHSVTISPPSSLQESKRIKSVVYEGFSHVFSDESSQAEVYQKLVHPLVEDFLRGKSGMLAALGPTGSGKTHTVFGCIREPGMVPLALRRIFSEDKSNGNQVSRTFYLSMFEICSEKGKSERIFDLLQEEGEISIQQSAIKGLNEVTIRHAEQAESLIAHGLLRRATAATNSNSQSSRSQCIINICCTPNNNDGEFDDEPKSTSLTIVDLAGAEREKKTGNQGLRMLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTRYLREYLEGKKRMALVLTLKPGAEDYLETSNLLKQASPYAQIKFIGIEEPAKNKSTKRPTQVLHGAEHSKRMKFSSVEASSISKTAVFGDQPRIEETKDENGSLTEVLVESEEPVSCKVTEGIYIKQDRIELTKRERQYQIMVNFAKALWDVLKQYKQKLEMAENEICCIRDNLTCEKRRSSDLEKEVRHLRLISSFPEVPSAGVSSSCLVGLCTGTDSSQDQNYQHNDQKDEKLRTHISIAESSQDLKDLEAVDMHSEDEATSVCIASQDCVKERCEEAAETAGLGQHFQRLKYDEEDHSSVNNIRTLMDVNNVQRDDKTTETEGTDDSEYGSSCLQLFVKNKETCVCSVEEPAISASPVITSCDNSSAVEMDPLPHEEVTFSLFLGSFSF >CDP07610 pep chromosome:AUK_PRJEB4211_v1:10:2843567:2849906:1 gene:GSCOC_T00024918001 transcript:CDP07610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MGETGNHNHQPPPRQPPPGPVPPLGAARGPLYPPAEQLLQLHYCIHSNPSWHQTVLLAFQHYIVMLGTAVMIATVLVPRMGGGHGDKARVIQSMLFMSGINTLLQTLFGSRLPTVMGPSYAYFISVLLAINDFNDSNFTTEHERFTHIMRAVQGSLIVSSILNIIIGYGKAWGNLTRFFSPLVLVPVVCVVGLGLFARGFPQLGNCVEIGLPMLILLVISQQYLQHIHPKTRPILERFALLLCVGIIWAFAAILTVAGAYKNVRDQTKMSCRTDRSFLMSSAPWIKIPYPFQWGTPIFRASHVFGMMGAALVSSAESTGAFYAAARLAGATPPPSHVVSRSIGLQGIGQLLDGIFGSLVGSTVSVENVGLLGLTRVGSRRVVEISSGFMIFFSIFGKFGAFFASIPLPIFAAIYCVLYAIVAATGISFIQFANPNSMRNIYILGLSLFLGISIPQYFVMNTDMAGHGPAKTGAGWFNNILNTTFSSPPTVAIIVGTLLDNTLEAGRARDERGLPWLVPFQRRKGDSRNEEFYNYPLIINDYIPTRFL >CDP01331 pep chromosome:AUK_PRJEB4211_v1:10:3429974:3432454:-1 gene:GSCOC_T00034932001 transcript:CDP01331 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKPSHLQIQPPTYGSLATILSIDGGGVRGIIPATLLCFLEAQLQEVDGDDARIADYFDVIAGTGTGGLTTVLLTAPDENNRPLYTAKDINHFYKQQLPKIFPHIRGKIARYIRNTVRSVTGPKYSGKYLRRFTRDILGEKRMTDSLTNVIIPTFDIKQLQPTIFSSYEANSSPRLNARLADVCVSTFATPTYFPPHYFTTKDDAGIVREFNLIDGGVAASNPSQIATSRLAKHVFGRNPDFLPINPMDYCRFLVISLGTGSGKVQKKYNSKRAAKWGVLGWLFSGGSRPIVDAFTQASASMVDFHLSSHFQALHSEDNYLRIQDDALTGTERCFDGAGEKNLERLSKIGEDLLEKPVARVNLATGLSEPVNNGGTNAEALNRFAKLLSDERKRRQSKGAAITP >CDP07578 pep chromosome:AUK_PRJEB4211_v1:10:2568363:2571740:1 gene:GSCOC_T00024873001 transcript:CDP07578 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLNSPLVNYYHISQVSNNFIHQKVITVNHHAANELAKSVDSVVEEDHHNHNQNQNQNQNQNHNTVSAQTPMHTTNSKTTTATTILSSSTTTMTLVDGRRSEINAVEKDPSKNTINNGQYTLGNQAILQGTPSTTAPTSLISAPTFGHHHGQTLPAYGCFMAIPDEAHVGMHGNVASRATNNINTSGSLVGMGSTSQAGDVSLTLGLRHPSSNLPRKRTEFSLRDFGAF >CDP10960 pep chromosome:AUK_PRJEB4211_v1:10:21903419:21906449:-1 gene:GSCOC_T00031945001 transcript:CDP10960 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFLGELSYVKPPARDVLKGMFVPKLNGNSATGDAIALLGALVMPHNLFLHSALVLSRKIPKSERGINDACRCFLIESGFALFVAFLINVAVISVSGAVCSGEILSQERIESCNDLTLNSASFLLKNVLGRSSSTIYAIALLASGQSSTITGTYAGQFIMQGFLDLKMKKWLRNLMTRCIAITPSLIVSIIGGSSGAGRLIIIASMILSFEPPFALIPLLKFSSSTTKMGPYKNSINIIVISWILGLGIIGINIYYLSTDFVGWLIHNSLSKVGNVFIGILVFPLMAVYIVAVFYLMFRKDTIDTFKEPAKYDPNAQIHMEDGSLNRDHGDHIPYKKELVDIPLPE >CDP01350 pep chromosome:AUK_PRJEB4211_v1:10:3297718:3300496:-1 gene:GSCOC_T00034956001 transcript:CDP01350 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMDSQHQKRRDKDDEKQYHEGMLKQGSKEQVEASTTASTTSAASPPSPSSSPSHEFSFTISLQPSSATTSTATTAKSTASNSISADKTKSSPASFALDLSPADDIFFHGHLLPLHLLSHLPVSPRSSTNSLDSFTLPIKELYEADGQNLNSVNSTSSKSKSEIISRRIGDEDRNSKKNHVGYETGGRSNKSKSFSLFGLSSKWRKDQNHITNSGAGEKELEDKDRHKRKLKLVDFCHVLKRYIRMVRPVLSFGSSRRGNMQFHRQSYSYSGNLSLRRKPEFRGRRGEFSAPASMRTSPTNSGLLVATGTLSSCSPSDSTMEELQAAIQAAIAHCKNSIAMEEKIKCHD >CDP01318 pep chromosome:AUK_PRJEB4211_v1:10:3577398:3579707:1 gene:GSCOC_T00034918001 transcript:CDP01318 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIKVYLLQLFTHFLAVAVLSSATALESAERSSFIIHMDHSLMPLVFSNQEQWYLNAMSNLKSMNHPNPHRHQSQPKLLYTYRHAIHGFSAMLSTNELEYLKKLPGFLSAYKDKVGTLDTTYTYKFLQLNHADGLWPASDFGNDVIVGVIDTGVWPESPSYRDGGMPKVPSRWKGRCAGGEGFNSSLCNKKLIGVRYFNQGNLAANGGNGEQNKFTARDGKGHGTHTSSIIAGNHVKQASFFGYGTGTARGIAPKARLAIFKVSWEEQDPYESDVVAGIDQALADGVDIISLSLGFSGASLYEDPVAIASFSAMQQNVFVSCSAGNDGEFGIGSLHNGIPWSLTVAASSTDRRFCGTLCLGNGVNLNGWTLFPARAVIKDSPLIYNKSISACFPVELLDKLSGGIVICNVSKFSAFLAQMSSVSKSRVKAAIFVSSDPQIFAEASFEYPGVVISPSDAAQVIKYALTSYQPSASIQFQKTCLHSKRAPGVASYSSRGPAPSFPQILKPDLMAPGTLVLAAWNPTKPVASIGFNIQLSSDFNLASGTSMACPHVSGVAALLKGAHPEWSPAAIRSAMVTTANPLDNTGNPIQDIGLNNTIASPLSMGAGEVNPNGALNPGLIYNATTEDYINLLCSANYTWKQIKIITRSNYSCSNASSDMNYPSFIALYNNTTKNVLKQRFQRTVTNVGNGAATYKAQVTAPKGSVVTVYPEILVFGKKYEEQSYVLTIQYNANQNKTITFGSIVWVEVNGKHIVRSPIAVAPMIEVW >CDP11441 pep chromosome:AUK_PRJEB4211_v1:10:26239000:26242402:-1 gene:GSCOC_T00033698001 transcript:CDP11441 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLANHQKPSFELLLLLLISVLALQFASASVEEAEALLKWKASFSNLNNSLLTSWNLQNTRTHPKASVSPCTWFGVSCIDGSVSTLNLTNSSINGTLYSFPFSSLPNLEYADLSMNELSGSVPPQVTKLSKLSYLDLSNNTFSGTIPPEIGLLTNLQTLHLNENYLNGSIPHEIGQLRSLIELALCTNNITGPIPGSFGNLKNLNYLYLYENQLSGSIPHEIGNLNNLVEVHMYHNQLTGPIPASIGNLNNLVHLHLFENGLSGSIPPEIGKLKKLQSLSLWGNKLTGPIPTSLGNLRDLTLLHLYRNQLAGSIPEELGNLKSLVKLEVSENQLNGLIPTSLGNLIELETLFLRDNQLSGSIPPELGKLTRLVLLEMDENQFTGHLPEGLCSSQTLQQFTVNNNSLSGPIPESLKNCASLVRARFEGNQFTGNLSESFGIYPHLEFILLSNNNFSGELSNNWGRCKNLTTLLIANNSITGHIPPEFGNLPNLGFLDLSSNQIAGQIPKELGKLKSIQRLLLNDNQLSGGIPLELGSLTDLFSLGLSVNLLNGSIPGSIGECQQLTFLNLSSNSLSHSIPSQLGKLIHLSLLDLSHNFLIGEIPTEFGSLNSLETLNLSHNNLSGFIPKALAELPGIHYIDLSFNELQGPIPCGKAFANATIEQLKGNKGLCGNITGVRPCDSPQLFKKHENGQKLALIIALPLVGALVLLSAFAGILFFHEKRKRNPKVKDGDVKGGDVFSISLFDGKEMYENILKVTQDFDPTFCIGKGGHGSVYKANLPPANTVAVKRLHHLSESADQEGFLKEIRALTKIRHRNIVKLQGYCSSAKYSFLVYEYLERGSLAKLLSIEEEAKKYEAHVSDFGTAKLLKIDSSNWSAVAGTYGYVAPELAYTMRVTEKCDVYSFGVLTLEVIKGSHPGDFIPHLTSPTSVNIQLKDLLDRRLPYPGQEDEETLVLILKLARACLTVDPQSRPTMHMISGLLSVGAQALPMHLHGDFQLA >CDP10916 pep chromosome:AUK_PRJEB4211_v1:10:20832704:20837702:-1 gene:GSCOC_T00031874001 transcript:CDP10916 gene_biotype:protein_coding transcript_biotype:protein_coding MALDERKFDLHLKLWAIRVPREHCKLATRVLNGYMLDRPRVKPITEDPTSDKTRYLILSENIQNPDLSDIPDEKLAELKSLFEVEVVPYSVTLGYSYWTADHILKQILPSGVEAPSSFETIVKYLFGGHVAHLNISDELLPYKDVIAKVIYDKNYPRIQTVVNKVGTITNEFRVPKFEVLAGKDDMETEVKQYGAIFKLDYSLVYWNSRLEHEHLRLISLFQPGEIICDMFAGIGPFAIPAAQKGCLVYANDLNPDSIRFLKINARINKVDDLVHAYNMDARKFIAQLMTVPLSSLESDNHKFESSGEDMTSGEGQIKLEDRNVTDEVKDKFDDNSYKVETVENLCSQANANVITAKRRSEDNGTGDSADVAVIARTKHGSNKRIKSSESFTNKPWEHVDHVIMNLPASALQFLDAFRGLIQRRYWKASLPLIHCYCFMRSSETMEYIVSEAESALNASIQNPIFHKVRDVAPNKAMYCLSFRLPEEACVDIHVTG >CDP11510 pep chromosome:AUK_PRJEB4211_v1:10:26893067:26897634:-1 gene:GSCOC_T00033799001 transcript:CDP11510 gene_biotype:protein_coding transcript_biotype:protein_coding description:VC2 [Source:Projected from Arabidopsis thaliana (AT4G24510) UniProtKB/TrEMBL;Acc:A0A178V1H6] MVSTAGENAAVYDVRLSSVVPASITGEDKVHELSNMDLMLKLHYVKGIYFFRSGAARGLTINDLKKPMFECLALYSTLSGRIRKSEDGRPFIKCNDSGVRIAEAKCSKTLDEWLAFNDDSLNDQLIYSQFLAPDAEFTPLVFVQFTWFKCGGISIGLSWAHIVGDAFSASHFINMWGQILTGQVPPHHLDTHNPKNRKKFPSPLAKVPLSVQRVLLESDGGHWLIPNHRKMRAHSFHVTPKQLNRLTEEAKLAKPFEVISAVIWKSLAKTSGKAEEPKVVTLISSTWDKENGLPSNSRVIISTIEAAEAPPAQADLADLARLIARKQEDETHLVERVMYQKGSDDGESRDFILYGARLTFVNLEKMNIYGLKMSGHHQPVFASYSVSGVGDEGAVLVLPGPPPGTESGKDEGGRVINLILPENQLDELKYRLGSEWGIH >CDP07598 pep chromosome:AUK_PRJEB4211_v1:10:2727885:2733584:-1 gene:GSCOC_T00024901001 transcript:CDP07598 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDKKPHFLVGFNPSSSSEALKLPFKFKKHIDGTTPGTAFLLGPSGNTWYANLTQQNDDLFLQDGWVAFVRDHSLESGDSLVFRYDGNLHFTVQVFDKSSCEKESTFSCECSQSPSNYNCRLMKKRDRENSALLDCIIEGIPKRVRTSQVNTQCITTIHELNRDLTDKNECSEEEAGYFSERCQEPNFLNQIENGSCTLKNSVTIAVPSVRNSEATTGERTGKEDLLLSAAEAERVARAFTSSLPCFMKVMKRFNISGSYTLNVPYQFAMAHLPKCKVKIVLHNLKGESWTINSIPTTRVQTSHTFCGGWLGFVRDNNINEGDICIFELVHKCELRVHIVRVRREGVNDRSENEAHKMIVNSSCATPLKISRRKAMKISTKPCNLSLQQLKKFDKKGHDPIKVKCGNESISGELVIYETSSQGRSSSHTKGCISMKSAPEEKLAAESFLSSFPHFVRVMKKFNVSGSYTLKIPYQFSMQHLPSCRTEVILRNLKGKCWTVNSIPTIKVQTLHTFCGGWMAFVRDNDIQMGDICIFELIGKNELRVHISGVGQQGSDYQSGGVGSNAVVP >CDP01214 pep chromosome:AUK_PRJEB4211_v1:10:4447947:4450036:1 gene:GSCOC_T00034786001 transcript:CDP01214 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFGSWVLFLVTSTGFLCFAFSTESSITSSSPGLVLDQLGSDITVTIQSRKLKEYTASTYKDETGHAELDDYRPIDPAPNSKASIRPGPIQHGTPLMPYIPKPSPPPGHQKEGGFP >CDP07282 pep chromosome:AUK_PRJEB4211_v1:10:411482:415786:-1 gene:GSCOC_T00024506001 transcript:CDP07282 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNLPPKLSIYVIGSTYDKLVLWVLLFEDLVWIYEELYELNRVLQKAATMNRTVIMTTLNEAWAAKDSIFDLFIESFKIGNQTEGLLKHLLVIALDQKAYARCLKSKLHCYSLRTDGIDFSGEAYFMSADYLKMMWRRVQFLTKVLDMGYNFLFSDADIMWFRDPFPHFYPDADFQIACDYFRGNPSDIENAPNGGFTYVKSNNKTRQFYRFWHDSRILYPKYHDQDVLNKIKRDPCIQQMGLKIKFFDTAYIGGFCEPSRDLNKVCTMHANCCAGLENKVHDLKIMLEDWRKYMKLSESNRSDHSWTVPQLCGYALPNYSGIRLISLKGGVANFFFSFFVIICSLASFGRHRSTNKTSEGT >CDP10670 pep chromosome:AUK_PRJEB4211_v1:10:10774132:10774974:1 gene:GSCOC_T00031456001 transcript:CDP10670 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHGGMVSWSVVQLNEITPSFRAEECCLSYDAGFERSIFLQFSIFDHVFEKASGLPFQFAPLRNARSTSAALSLWLMRRQRRVSVFAA >CDP01031 pep chromosome:AUK_PRJEB4211_v1:10:6347139:6351760:1 gene:GSCOC_T00034519001 transcript:CDP01031 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCYLDYNSREVNSPENLLSKIYSFLFELYCSNYLVAIEKPLQRHGGRLEHNETYCGSCFGAETADDDCCNSCEEVREAYRKKGWALSNPDLIDQCKREGFLQRIKDEEGEGCNIYGFLDVNKVAGNFHFAPGKSFQQANVHIHDLLAFQKDSFNISHKINRLAFGDYFPGVVNPLDGVEWMQQTPNGMYQYFIKVVPTVYTDVNGHNIQSNQFSVTEHFKGAEIGRLQSIPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIIGGVFTVSGIIDSFVYHGQKAIKKKMEIGKFS >CDP11982 pep chromosome:AUK_PRJEB4211_v1:10:23038770:23042452:1 gene:GSCOC_T00035322001 transcript:CDP11982 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPVSDGSVSKRPKRTRTAVKNYDESLMDDLIEKHIGGESKKRKRTKEELEKETETEAMIALSLGFPIDDLLPQEIQAGVVSELGGKEQNDYIVVRNHILSRWRANVRTWLSKAQIRETVSSEYEHLMSSAYDFLLYNGYINFGVSESFSPVSEDATEGSVIIVGAGLAGLSAARQLMSFGFKVIVLEGRSRPGGRVYTQKMGNKSAYAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYKPDGAPVDKEADSSVELIFNKLLDKVTQLRKIMGGFANQISLGSVLEKLRQLYAVARSTEERQLLDWHFANLEYANAGCLSKLSAAYWDQDDPYEMGGDHCFLAGGNWRLIRALCKGVPIFYGKTVQTIRYRHDGVEVVAGDQVFQADMVLCTVPLGVLKKRTITFEPDLPQRKLAAIERLGFGLLNKVAILFPRVFWGEDVDTFGCLNESSQRRGEFFLFYSYHTVSGGPVLVALVAGEAAHSFEYADPSTMLHHVLNILRGIYGPKGIDVPNPIQSICTRWGSDPLSYGSYSHVRVESSGSDYDILAESVGGRLYFAGEATIRQHPATMHGAYLSGLREASSILIATKGRQSNPGRIHSSFGPNNETLSDLFKKPDLVSGEFLFVFDPFHEDPKSLGLLRVSFGKPSDNCNTEVSNENEADNQYQDLLNHQVQLYTVLSREQAQKLLQVTGGTRSKLLYLFKSLQLKLMGASSLGVLGNSVAANLSSSRRSKGRNRVSGGPQNAR >CDP11423 pep chromosome:AUK_PRJEB4211_v1:10:26051821:26055886:1 gene:GSCOC_T00033670001 transcript:CDP11423 gene_biotype:protein_coding transcript_biotype:protein_coding description:COG0212 [Source:Projected from Arabidopsis thaliana (AT1G76730) UniProtKB/TrEMBL;Acc:A0A178WEK7] MGTYVLQISSLLPFIPKHALISRRFLPFSLPENRHFSIKLESHNSKITHSARKNDVGFDTAAYEADRLSRDAEARKAMAETSQKETQDESDPKAWKWVIRNRVWDSMEAQNIAQFPRPVHHRIPNFVGANFAANKLSELEEFKMAKCVKVNPDTPQKQVRFLTLNAGKKLLTPQPRLRTGFFSILESQMLSSSTIKEACTSVGVAKYGRAIGLDEKIKVDLIVIGSVAVDPKTGARLGKGEGFAELEYGMLRYMGAIDDSTPIVTSVHDEQLVDDIPVEKLLIHDVPVDIICTPTKIICTNTPIPKPQGIYWDKLSPEKLGQIKILRELKSRIERETGQKLPCGPSEKLPPTAQRRC >CDP04883 pep chromosome:AUK_PRJEB4211_v1:11:2880459:2882729:1 gene:GSCOC_T00019680001 transcript:CDP04883 gene_biotype:protein_coding transcript_biotype:protein_coding MISMDWQGQKLAEQLMQIMLVSFAVVAFISGFVLGSFQIMLLIYASGVLLTSLITVPNWPFFNRHPLKWLDPSEAEKHPKPVSANTSSKKKTGKK >CDP05403 pep chromosome:AUK_PRJEB4211_v1:11:19856042:19860969:-1 gene:GSCOC_T00020437001 transcript:CDP05403 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPGSSKKMIATQEEMVEAKVPIPYRDQCAHLLIPLNKCRQAEFYLPWKCEDQRHSYEKCEYELAPQRSSLFLLYLKLPMLDLKVAEAAEIVS >CDP00206 pep chromosome:AUK_PRJEB4211_v1:11:30930584:30933936:-1 gene:GSCOC_T00032079001 transcript:CDP00206 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWLENKPRGFGEGKVYDTSIEDKLMEEIEQSRKAQLANINNLKNKPAMAISKKEQCQQEKVSEEGKHGFRVRLINLPKKKNIHRDLRSAFKGVPGILDIIPVVSGNKRTKDPICKGLAFIDFKTKNEADRFVQTFSGQSITFGKVQKQIKCDMDFSLLKPGNEDSVGGDSYTDQIVVEAEELYDEFASEDEVHKSAETEESEDSRSGVPIPEFRYGGVAEERERSVTKPNSSKQQGELQEKGKKVASKAKKQRPPKINIPGSANRLKIREKAVLTGVFSKYGGNTSVVTRGQS >CDP11640 pep chromosome:AUK_PRJEB4211_v1:11:16408313:16408870:-1 gene:GSCOC_T00034034001 transcript:CDP11640 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQIVCQLALLLQILTSLSLIQLEQKCPYASIGSPLSSGNLPLCR >CDP11652 pep chromosome:AUK_PRJEB4211_v1:11:16720117:16721178:-1 gene:GSCOC_T00034056001 transcript:CDP11652 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSLTQNSSSYIEGGDEQTLFNKEKEDDSDQILSVLPRERGWLSEHMYLYQGFWCPTRVLKGLLILQKHFRAQPSDILLATYPKSGTTWLKALLFTITNRTCISHPDQNPLLTANPHELVPMLESYAAANPVNPRPPNSLMHTHIPYNSLPESTKSSGCHIVYVYRDPKDVLVSCWHFVNKLKPEAVPRISLAEAFEKFSKGASPFGPYWNHVLGYWKASIEWPERVFFLRYEDLKKEPCFHTKRLAEFLGQPFTADKEGESLVSKVVEFCSFKNLSNLDVNKTGSHSAVGFQVIENKTFFREGQVGDSQNYLEREMMDHLDQVTEESFKKFHLKAFSSEDDEKSEVSTAM >CDP18010 pep chromosome:AUK_PRJEB4211_v1:11:17081204:17084659:1 gene:GSCOC_T00001353001 transcript:CDP18010 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTLGWLKVYHSFTSSFPLYVKRSRIAVELLLHMVRKSKETVEEKKKELQQERVLEKGPQENCNDFSSKYSYSNKSKFCEISETNGHQVFDKMSM >CDP00243 pep chromosome:AUK_PRJEB4211_v1:11:30666575:30669855:-1 gene:GSCOC_T00032125001 transcript:CDP00243 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRLAPTNWPFAAVLFFCSLISDLSAEVQRAGESGEVGSRYLNIGRRALMGFKETPNGGNITYECSPSGPCVPCAYSEKNDEKYRCSETGYRIPLKCVDTGSDSKEANGKKLQKGRSALESMKVMQPVNMNSGDKLTIMASQRHLLDESTTSDDGRQAYITYRSCIPAANEEKLSVLGFEGIMVGLLLISGSAIYFRRKRANAVPGGQARVPNNSRF >CDP00475 pep chromosome:AUK_PRJEB4211_v1:11:28848534:28849559:1 gene:GSCOC_T00032425001 transcript:CDP00475 gene_biotype:protein_coding transcript_biotype:protein_coding MPNISFWYYLLGSMSISQSSAAPKYLQEDEIVPECKDLLSTLPKEKGWAEPHLYKYQGFWYPAWQFQGVLECQQHFQAQDTDILLVSAPKCGTTWLKALAFTIINRNSHSIDDPGKHPLFYNNPHDLVHQIELESHIDNPIFNPSSPRLFATHLPYISLPKCAKNSECKLVYICRNPKDTFISLWHFMNELRLNHLASISLEVAFDKFCRGVSIFGPFWDHVSDYWEESRKRPNKVLFLKYEDMKEDPNLHVKRLAEFFGVPFSIEEATSGVVDGIIKLCSFENLSKLKVNKDGKLPSGVENKTFFRRGGVGDWKNYLWPVMVERLDYLTEEKFQGSGLHL >CDP13280 pep chromosome:AUK_PRJEB4211_v1:11:32521255:32527787:-1 gene:GSCOC_T00038167001 transcript:CDP13280 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASQFILFFVCLTPAIVCQVTEFISIDCGGNKSFTDPSTGLVWNSDTGIMAHGKSVEVKNTSGNPLQYSTRREFPIDNKKYCYTLKTEERRRYIVRATFLYGSSETEEPYPKFQLFLDATKWSTVSVSDDSRVYVKEMIVRAPSYSIDVCLCCATTGFPFISTIELRPLNLSMYATDYEDDFYLKVAARVNFGALSKEDIRYPDDPYDRIWESDLAKRQNYLVGVAPGTERISTAKYVDTNTREYPPVKVMQTAVVGTMGRLSYRLNLEDFPANARAYAYFAEIQDLAENETRKFRMEQPYTDYSNAIVNIAENANGSYTLYEPSYMNVTLDFVLSFSFVETRDSSRGPLLNAIEISRYVEIAAKTDDKDVSTLNVIRSMSTESDWTDEQGDPCIPMPWEWVNCSDTVPPRITIIFLSGKNVKGEIPSELKNMEGLTELWLDGNFFTGPIPDLSNLVNLKIVHLENNKLTGPVPSYIGSLPSLKEIYVQNNSLSGEIPASLLTGKQIFRYEGNAHLRRGEKSKRHYKLVLGISVGLLAILFVLFMGSLLLLRYIRVKRSYHKFDDKGNSLRNSTKPSTHSSITRGGSLMDERVACYFSSTEIEEATDRFSRKIGKGSFGPVYYGKLKDGKEVAVKIMADSSSHGTRQFVTEVALLSRIHHRNLVPLIGYCEEEHQRMLVYEYMHNGTLRDHINGSDHEKHLDWLARLQIAEDAAKGLEYLHTGCNPTIIHRDVKTSNILLDNNMRAKVSDFGLSRQAEEDLTHISSVARGTVGYLDPEYYANQQLTEKSDVYSFGVVLLELISGRKPVSFEEYGTEWSIVHWARSLVRKGDVISIVDSTLLGTVKMESVWRIAEVAIQCVEQHGSSRPRMQEIILAIQDAIKIEKGTDKLSSAGSSKGQSSRKTLLTSFLDIESPDLSKDSLVPSAR >CDP00212 pep chromosome:AUK_PRJEB4211_v1:11:30897791:30903205:-1 gene:GSCOC_T00032087001 transcript:CDP00212 gene_biotype:protein_coding transcript_biotype:protein_coding MILQHSISFPMLHICRFSSSSSSLSPNLILPLKHSFLRSRLSFSITPCSFKTRSLGTAAAGVTTATAAGNKSGSETFFAEENVSWASLGVSDLVARALSDIGLHRPSLVQAACIPRILSGADTVVAAETGSGKTHGYLVPLFDMLCRTSDDSVESLSDRELGKRYPQSLVLSPNVMLCGQIVQMANNLRKENGEPLISVAAVCGHQGWPVHEPDIIVSTPVALLNYLYAVDLERCRRSNFIRGVKYVVFDEADMLLCGGFQNQVIRLIHMLRFDEKQLSRMKSLESENTVGLSDKGHIEASINEHIDMDGDFILENDERSESDDAAETLETEDHNVQRKDWRRVRKTYERSKQYIFVAATLPMNGKKTAGEVLKRMYPEASWVTGNYIHRHNPRLERKWIEVTVDTQVDALISAVNHGYNSGLNSSSGIIRTIVFANTVEAVEAVSNILTGAGIECFRYHSDCSLDERTKNLLDFQQKGGVFVCTDAAARGLDVPNISHVIQAEFATSAVDFLHRVGRTARAGQPGLVTSLYTEANRDLVSAIRQAEKQGLPVEKAFSRKRSFRNKLKKSGLRKTSVHTVLEKS >CDP13326 pep chromosome:AUK_PRJEB4211_v1:11:32799532:32804634:1 gene:GSCOC_T00038224001 transcript:CDP13326 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSRALFRVGLIDGVRAWSPTLSPGPILLEPSFFKKNEKLEYIRSCTVNCQIFIPQLSALCNKEVTMMKIDFSGLEPNSLLRGNPNELLSGLSTAPEFQIPNTNDFDGFQKESVQMVKPAKGTTTLAFIFKEGVIVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDEKGPGLYYVDSEGGRLNGNRFSVGSGSPYAYGVLDSGYRFDMSVEEAAELARRAIYHATFRDGASGGVVSVYHVGPDGWKKLSGDDVGELHYAYYPVEPTVEHEMAEASSS >CDP00638 pep chromosome:AUK_PRJEB4211_v1:11:27361514:27363620:-1 gene:GSCOC_T00032646001 transcript:CDP00638 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVCSEVSSPVVSPRISFSHDLRETDPIPRERYQHRSDALLLESIDFNFSISQSFSQGLLSSADELFANGKIIPTEVKKITTIKPGEETASKEIYRSKPISSCYRKDTAFNFSAAATSSATDNADTALNLDTKKKSLKELLSINPETESDEETEEEKKPSSKPFWQFRRSSSLNLNSSRSSTLIRSLHFLSRSNSTGSAPNPTASGVPKVLKKQHSQKDRPPWNKRIDSSVPSSSGHYFYSHRAYRSPSRKNYESGVRVSPVLNISHACISKGSGSLFGLGSLLCSGKSKKKKKCNQWI >CDP11034 pep chromosome:AUK_PRJEB4211_v1:11:6587729:6589744:-1 gene:GSCOC_T00033017001 transcript:CDP11034 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPESTMDGESDNYLSKPRASQDGEIARIEEVLDEVIEVCELDDDDDTETWTTSGEILSSHRGVDFIRKGQGVVGRAFSSKSACFCRDIRQLSITEYPLVAQARSWKNYACFAVCLRSSCSNNCIYVLEFLLHKNQIDHGDPRTLLNSIMETLKERLGSSFKIASGQELGQKLTVEVIKVSPEDEFDSFEIYNTTGVECTPRLREVQGGEGMMQLDFSSKQVDAANGSMNGIHEQQNGSVGSIPRFAKAQGGEGMVQLDFDAANAYINGVHGQQSGIVGSPPRPERAQGFINISHQELNIAGVDVAHNSMNGIYEQNNGIVESPTRQQLPQNIENTAHDEGNTEINVANPKRGGASIDQSGNEVSNVKKQKSICTLKSELGITREVLEQNSSRNLEDAAKVLGVSRSTLKRICREYGINRWPPRKARKVNQAFAEQRVVRPSTENTEERHRPDTARLGDDSSIWVKAEYQGYTMKFRLPLSASKFNLEEKVAQRLNPMIGSFKIEYQDEENEWIWIACDEDLSTCMSTLSSLGRTTIKMLVR >CDP00221 pep chromosome:AUK_PRJEB4211_v1:11:30815317:30816444:1 gene:GSCOC_T00032101001 transcript:CDP00221 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIIPPSSIVIVIQSYFLLLLLLFPLTPTTSAHFFPNISSIPKSLIPNKTSWDAFNQFLGCHTGQKVDGLAKLKQYFQRFGYIDTSLLNFTDDFDDYLGSALKTYQRNFNLNETGELDAPTLQHITRPRCGNPDIVNGTTSMNSGKVANANSLRPHTVAHYSFFPGMPRWPAGKTDLTYAFLPENQVEDVVRRVFANAFDRWSEVTTLNFTETASFSLADIKIGIFSGDHGDGEPFDGVLGTLAHAFSPPSGRLHLDGDENWVIDGDFFSAPSLASAVDLESVAVHEIGHLLGLGHSSVEEAIMYPSIGSATRKVELTKDDIQGIQMLYGSNPNFNWSTTLTPSNERDSNGSWGLKSSRILLIAGLFLLPIILL >CDP05481 pep chromosome:AUK_PRJEB4211_v1:11:21380870:21384262:-1 gene:GSCOC_T00020548001 transcript:CDP05481 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRLIASKILSKRVGSNNFVADFPSGTETWLELPSLNKRYLSSGSILPDHEETETYKIFASTWNVGGVAPTNDLNMDDWLETCNTTCDVYVFGFQEVVPLRAANVFGCENKKITMQWNSIVREALNKKECKEKKGQNSSSSGDWNSFESEKSDSQKFRCIISKQMVGILISVWIRSDLLSFVRNPSVSCVGCGIMGCLGNKGSVSVRFRLHETSFCFVCSHLASGGRGGDEKYRNSNAAEILSRTIFPRGPSLDLPRKILDHDRVVWLGDLNYRISLPESDTRLLVDKEEWNALLENDQLRTKLKDGQVFEGWQEGTIEFAPTYKYCPNSDSYYGIFGSGKGDKKRSPAWCDRIIWFGEGMKQQLYARGESKLSDHRPVKAIFSTEMKISRKLKEFQSFFWSERFEQMTHSFDIHSADDFKCNARTSLQI >CDP13235 pep chromosome:AUK_PRJEB4211_v1:11:32227242:32230966:1 gene:GSCOC_T00038112001 transcript:CDP13235 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPAAPTSVSRSTGTIIDIASTKMQSCSDSSENREGTAKNTIPILGSSCSLKRKRPPKIEIPNVLREIVVGKELSLRECAPQENAVCSSGYGVGVYSLKGKKKFMEDAHKIVTCSFNKKGFFGVYDGHGGSKAAEFVAEHLHSNIYEMLKNSSGNAPKEEYIKAGYLKTDEDFLKQGLGSGVCCVTALIEDKDIVISNLGDCRAVLCRGGAAEALTKDHKAGLEDERRRIEDKGGFVQHHRGAWRVHGILAVSRSIGDAHLKDWVPAEPDTKIISLTKDMEYLVLASDGLWEEVGNQEAAEIVARSCSREEKAISKESTDEYGRINLSPSPKLRRVSLKSKRTGHPRSKAQRISLSSQANMKSTHPSHENSISGTKPACSRLVAACKELVNLAVKRGSLDDITVMVIDLNSFRN >CDP11012 pep chromosome:AUK_PRJEB4211_v1:11:7576344:7577120:-1 gene:GSCOC_T00032976001 transcript:CDP11012 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYGEMEDRSEVKERKPGETPGTLMGAKMAELQKRKEKLTKAKDEAVQSWSDSRPVIDELEKLQSELADAQSRTASSNVTISELDSQLERLSISIRAKKEEELKIRTMINRINQVLQQTQEEMEEFKMERDEKHRTGLKLKQVLRLRWQTLRTLQLTLRAIRLESEAYAASAHEAQRYISLFQTDDTMVKLTQEEYHALTKRTRDETSLAEWRIAVSMEQKQAAEESQETAARRLQNLYSKNGSGKRKHPANGVLKV >CDP00602 pep chromosome:AUK_PRJEB4211_v1:11:27750665:27752046:1 gene:GSCOC_T00032593001 transcript:CDP00602 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFFVLAIRALETVLGMLNAIVVMFDWKRARTWRFCQWSVFCFYWYHLCYEATVQCFRCLKVNLEKEYMLWRLLDAVPGGFF >CDP11612 pep chromosome:AUK_PRJEB4211_v1:11:15609815:15610581:1 gene:GSCOC_T00033977001 transcript:CDP11612 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKMRFHPTTRRNTRINIAENHQIWLHGLKSKNIVNYSCIYNRKISDLMNMFFKANPHPNQNERKELGNELGVDSREIKFWFQNKRNQVKAQIARAENQTLSEENQRIHSENMMLMERIRYPICLACATANGQDDDRKLILRQLLIENAQLKEEVYFTHSNFVRICVLTL >CDP13189 pep chromosome:AUK_PRJEB4211_v1:11:31862574:31867779:1 gene:GSCOC_T00038050001 transcript:CDP13189 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKWSVLGKVVLLVFILVHSSSASLSPTGINYEVVALMAIKNDLKDPYNVLENWDTNAVDPCSWTRVTCSPDGSVSALGLPSQSLFGTLSSAIGNLSNLESVLLQNNAIYGPIPSAVGKLVKLQTLDLSNNKFDGDIPSSLGDLKNLNYLRLNNNSLSGPIPETLSKLEGLTLLDLSFNNLSGSLPNQSARTFRIVGNPLICGQSSEYNCSVVYPEPLSFPPNSVTGQSGSKSHHMAIAFGVSFGAAFLVIIVIGLLVWRRYRHNQQIFFDVNDQYDPEVCLGHLRRYTFKELRAATDHFSSKNILGRGGFGIVYKGRLNDSSVVAVKRLKDYNALGGEIQFQTEVETISLAVHRNLLRLSGFCSTENERLLVYPYMPNGSVASRLKDHVHGRPVLDWSRRKKIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRGAKQKGIMLDWVKKLHQDAKLELMVDKDLKNDYDNVELEEMVQVALLCTVFNPSNRPKMSEVLRMLEGDGLAEKWEASQTIETPRFRTFEHIPQRYSDYIEESSLVVEAMELSGPR >CDP00231 pep chromosome:AUK_PRJEB4211_v1:11:30769698:30775547:1 gene:GSCOC_T00032112001 transcript:CDP00231 gene_biotype:protein_coding transcript_biotype:protein_coding MGESVIERPTFEKKMGESVSSSASLQVSVSFGRFESDSLSWEKWSSFSPNKYLEEVEKCSTPGSVAQKKAYFEAHYKKIAARKTEQLELEKLMESVPPSPREPSSKDPVKHTAVANGESGLSGGGTSAADVEPDVAGTDRSNSTTVEVEKEHVSVGVDCQGLVVEEAMEELNGTTENPETIDVETGNEELIGNQAKPEVTGGEAVLAEADISQNGSLEVVEEPFSKVDHKVKKAPLNKSKGPRLYSGNVSQKMTSSRKEQTSAGSKKKVVSPATRTSPVTKLPQLSTPKLSKSTMIPASISTTKKVSGSSLPRAKNTPGEKRGTMPASLHMSLSLDPRSSGPSLTTTRKSLIMESMGDKDIVRRAFKTFQNSYNELKSPNDGLSSWSKQVSYKGPVKEISTMTPQKENEGLRKSAEKTIQRGRPGITSNLVSSWSTRDSGVDKNGVTTVSSSTSVRHDARAEKWKEKPGEKSFVREAERPHSSSKTKEEARVKNTGQGLRSKASLAPVSYRGQGSARTPSVKEKSKTVHRPTSKR >CDP16259 pep chromosome:AUK_PRJEB4211_v1:11:24560455:24562343:1 gene:GSCOC_T00018024001 transcript:CDP16259 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGTVKKRWCNNFVIMSTIVAIKEEAVDIGAAAFTTSLNFLSNTLFSVDFADYESNASQEVIEIISGVVGIIAKPNLSDFFPMLRAIDPQGIRRKTNFYFGKFDEIIGLTRKREIPCLFEENDLLEVLQNSKKKRNLEYISDSILVQDFFLAAFEATSSTVEWAIADLLRNPEKREKARSEIREVVGQHKLVQESDILALPYLKAIVKETFRLHPPVTTVSRYYEADVEIDRDSSLWSNPESFVPERLLDSEIDVKGQHFELLPFGTGRRICPGIPLGDRMVHLMVASFLHTFDWKLDARMRPEDMDMKEKLGITMHKAHSSVIHPKPPLRVNFHYL >CDP07959 pep chromosome:AUK_PRJEB4211_v1:11:11157638:11157859:1 gene:GSCOC_T00025502001 transcript:CDP07959 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSGSKETGAVARAGGGGKETGAGGGTNKDGNNDPIMKAPGGDGAYISRTGFENDPKGYFSELHAKEKASK >CDP13290 pep chromosome:AUK_PRJEB4211_v1:11:32573981:32576636:-1 gene:GSCOC_T00038180001 transcript:CDP13290 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVKVKIYSVLSKFYLKRRLQTLLESSISDLNSYGVVSRPDETVAPAVPSFSDTDGVATKNLHIDPLTSLSLRIFLPDSAIVSPNSLPKLRVRVSSKSRVGVSNESENGVYGGYLPDKNRKNCKKLPVIVQFHGGGWVSGGIDTVANDKFCRRMAKLCDAVVVSVGYRLAPESKYPAAFEDGLKVLKWLAEQANLAECNQSFENGKFSGFHGEGGGRRRQIVDGFGSLIVEPWLAAHGDPSRCVLLGVSCGANIANYVAQKAAEAGKLLDPVKVVAQVLMYPFFTGNIPTKSEIKLANSYLYDKAMSMLAWKLFLPEEGFNLDHPAANPLSTWGLVPLKYMPPTLTVVAEHDLMRDRAIAYSQELRKVNVDAPLLDYKDAVHEFATLDLLLGTPEAQACSEDIAIWMKKYISLRGHEFSY >CDP00668 pep chromosome:AUK_PRJEB4211_v1:11:27091834:27094794:-1 gene:GSCOC_T00032691001 transcript:CDP00668 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNSTVILFILSLFSFHGFVGCLNNEGFALMSFKNAIQQDPEGSMNSWNHSDETPCSWNGITCKDQKVISVSIPKKKLTGFLSSSLGSLPELRHVNLRNNKLFGSLPGELFAAQGLQSLVLFGNFFSGPIPSEIGKLSYLLALDLSENFFNGSLPDSFVQCKRLRLLDLSHNNLTGPLPHGFGTNLVLLEKLDLAYNNFSGSIPSDLGNLTNLQGTVDLSHNMFDGSIPPSLGNLPEKVYIDLTYNKLSGPIPQNGALINRGPTAFIGNPGLCGPPLKDPCSDSGPSSPSSYPSRGRGLSKSAVIAIIVCDVIGICMVGLLFSYCYSKMCPCGKRRVDGYGFEKGGKRGKECLCFRKDESETLSENVEQYDLVPLDTQVAFDLDELLKASAFVLGKSGIGIVYKVVLEDGHVLAVRRLGEGGSQRFKEFQTEVEAIGKLKHPNIVTLRAYYWSVDEKLLIYDYIPNGNLTAAIHGKPGMVSFTPLLWSMRLKIMKGIAKGLVYLHEYSPKKYVHGDLKPSNILLGQNIEPKISDFGLGRLANIAGGSPTLQSSRMISEKPQQRQQGSAPSEVGTVASAASIGSFYQAPESLKVVKPSQKWDVYSYGVILLEMITGKSTLVQVGTSEIDIVHWMQLCIEEKKPLSDVLDPFLADDADKEEEMIAVLKIAMACIQTSPDRRPSMRHVLDTLERLPVSSE >CDP00834 pep chromosome:AUK_PRJEB4211_v1:11:25211216:25213366:1 gene:GSCOC_T00032935001 transcript:CDP00834 gene_biotype:protein_coding transcript_biotype:protein_coding MALSADISGVKLVFLGNVVGEYELEDLLHSDFSMLGKGTLGMSYKARLELEDEYTLAVKRVNVGRLSEMEFKEKIEELGKMDHENLLPIRAYCCIQEKRILVYDYIHMGSLAYLLHGNGDANKTPLNWDIRCRIAYGVTRGIAYIHTQGSNICHGNLRSSNVFFNSPFDVRVSEFGLAQLVPVESKLILNEGYRAPEVTSNHEVSQKSDVYSFGVLLLELLTGKAPLRAVRELEGIDLVKWVRQMFQEKPILDVFDDDLVLNYGSDEEQMVQLLQLAVCCTFQNPSKRPSMAAAANRIEEICRIK >CDP05441 pep chromosome:AUK_PRJEB4211_v1:11:20728371:20731275:-1 gene:GSCOC_T00020490001 transcript:CDP05441 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVPDIDMVLLIVDAMIRDASFHLCIPLFLPVDIVRNLVERIRSRRNLSGIDGNSIIDSEIVIGFEDEARELQDKLTRGPQRRQVIYIVGMPGMGKTTLARRLYNDLSVVYHFHTRAWVSTSQVFQMRNLLCRILRCIMSRNDSIFGMSDEDMGQKLYKCLKGHRYLIVIDDVWDIKVWNELKIYFPEDNNFSRILMTSRIKKAVRVRENGFIHCLRTRNEKESWDLFNCKLFGDSSCPEELMEIANRIVAKCNGLPLAIVVIAGILVREKNNEKYWRKVHENMGPFISSSSKEFMDTLELSYKHLPSELKSCFLYLGSLPEISDILVTRLFQSWIAEGFIQETEGKRLEDVAEDYLMDLVNRSLVTVAKRRSDGGIKSCNMHDVLRELCLKKTDEKKFVLPKCKCGRNAAPFNDYERFLMYQGHFPHFSHCQYFHTYKSHCVSRDEVFNRDTLAVYRYLNTLDLRHIILDHFPDRILNDLLHLRDLALHLRRLKVLPSTLFKLWNLETCILDGERGGKVILPCAIFKMEKLRHLKVSAELHLKDLRKYEIIGMGTMEDRDRPSSSRNSQMEIAASLPWRQDGILENLQSLSQIFPAGFVNRVLERTPNLRKLGLHMTFSKGNDNLSFPDLSHLNHLEALKFKYQTLGMVPWSFPHPHMFPPSLKKLTLIGSLVNWNEMSILELLPSLEVLKIKDNFSNGPQWETSDHGFPCLKFLKLSYTDLPEWISSSSHFPNLQKLVLNGCLKLKEIPYEIGEIPTLQTIQVYRSSESTMESARQIHESNIDIGNYDLKVFIFHHFEDC >CDP05449 pep chromosome:AUK_PRJEB4211_v1:11:20863339:20865447:1 gene:GSCOC_T00020504001 transcript:CDP05449 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFWEILVLVFAIFLMSVAGQVKSDASKHRYQQGDSVPLYGNKVAPFHNPSETHAYYSLPFCRPDPLIEKRESLIEVLNGYHLVSAPYKLDFLIKSGLQVLCRKNLTREEAFQFRTALVQDYFWEMYYDDLPLWGSIGKVDREGKASPEEYKYFIYTRIHFNIFFSGNHVIEILEFSYVAVWKEVNVSFEKRMDKYLKSAHLPRHYRIHEFAIGNALLALLFLIGCLVKICLPLFKKDFYRYTNEEDLDIEETGWKILHGDVFRYPNHKSLLAAAIGSGTQILAVQKLTGVEPVQ >CDP00222 pep chromosome:AUK_PRJEB4211_v1:11:30809541:30810616:-1 gene:GSCOC_T00032103001 transcript:CDP00222 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHAVFNTSETSWADQWDPEPAYNGNYNSNNKSSSTSKFSSKVGDTFGKTKDVASTGVKKVKEGTRAGFHWIKDKYQKRAQKNN >CDP13185 pep chromosome:AUK_PRJEB4211_v1:11:31832384:31836410:1 gene:GSCOC_T00038046001 transcript:CDP13185 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MVRPWTGKAPLKKAKRNIKLVEPSDGRVRRNGDHVAKHYEMLKAYELGKYKVRPREEVLGKPLTRDEIRELLKPCISSNRQVNLGRDGLTHNMLELIHTHWRRGPVCKVRCLGVPTVDMDNVCRCLEEKTGGRIIHRVGGVVYIFSGRHYNHSTRPQYPVMLWKPATPVYPKLIQEAPEGLTKEEADKLRMKGKNLLPICKLAKNGVYVSLVRDVRHAFEGCELVKIDCKGMHASDYKKIGAKLKELVPSILLSFDDEQILMWRGKDWKSMYRNDASGLRSAFHGIANSMTSSYSGSSDLHKSDGKMVNSSPKMMSLWQKAIGSGKALLLDEIELGPDELLEKVEEFASISQVKEHSYPALIATNGVAIDPDGDTEDEADGEDETGPDSFDDSFEAIESSVPLGSLPIDAITENFSDPDEYF >CDP18001 pep chromosome:AUK_PRJEB4211_v1:11:16899525:16905409:-1 gene:GSCOC_T00001337001 transcript:CDP18001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYGASKEILQCITTEVIPRRELFVKDSNVSMLKSSRLSQKFLVSSGVCTKFHCRSRKTTIVLSMPSSSRDEKAEDKADRLTYKDAGVDIDAGSDLVRRIAKMAPGIGGFGGLYPLAGDSYLVAGTDGVGTKLKLAFETGIHETIGIDLVAMSVNDIVTSGAKPLFFLDYFATSHLDVDLAEKVIKGIVDGCQQSDCTLLGGETAEMPDFYAHGEYDISGFAVGIVKKDSVIDGKNITVGDILLGLPSSGVHSNGFSLVRRVLNRSGLSLKDQLPGGLVTLGEALMVPTVIYVKQVLDLVSKGGIKGIAHITGGGFTDNIPRVFPRGLGAAIYKDSWVVPPVFNWIQEAGRIDDAEMRRTFNMGIGMVLVVDKEAATRILEDGQGTNSMYCIGEVVSGEGVSYH >CDP00257 pep chromosome:AUK_PRJEB4211_v1:11:30565022:30568135:1 gene:GSCOC_T00032139001 transcript:CDP00257 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAFPTVDKCASIGRERDTVVADLDGTLLRGRNSFAYLALIAFEAGGILRLLFLVLTAPIAGLLYYFVSESAGIQVLIFATFAGLRICDIESIARAVLPKFYSSDLHPESWRVFSSCRKRCVLTANPRIMVEAFLKDFLGADLVLGTEIGTYKGRATGFVKNPGVLIGKNKADSLKKAFGDEHPEIGLGDRQTDIPFMTLCKEGYIVPPKPDVKAVTHDKLPKALVFHDGRLVQKPTPLLAVLTILWIPIGFPLACLRIAAGSLLPMSMVYYALWALGVRVTVKGNPPPPVKSSSGRSGVLFICSHRTLLDPIFLSTALGRPIPAVTYSVSRLSEIISPIKTVRLSRDRATDASMIKKLLQQGDLAICPEGTTCREPFPLRFSALLAELTDELVVPVAMENRMSMFRGTTAGGWKGIDLFYFFMNPSPACEVTFLKKLPAELTCKSGKKDKFRALAGNDGTVVEKPRIKADKVLGC >CDP13353 pep chromosome:AUK_PRJEB4211_v1:11:33085941:33088899:1 gene:GSCOC_T00038259001 transcript:CDP13353 gene_biotype:protein_coding transcript_biotype:protein_coding MDQADQVAKAFVDHYYSTFDTNRSGLGNLYQDQSMLTFEGEKFQGSSNILAKLTGLPFQQCQHGITTVDCQPSGPAGGMLVFVSGLLVVDGGEHPLKFSQMFHLMPTPQGSFYVLNDIFRLNYA >CDP00832 pep chromosome:AUK_PRJEB4211_v1:11:25220946:25225044:1 gene:GSCOC_T00032933001 transcript:CDP00832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT2G01650) UniProtKB/Swiss-Prot;Acc:Q9ZU93] MGDMKDKVKGFMKKVNNPFTSSSSGKFKGQGRTLGSSAPSSSAPTSSIPSRIIPPPVIDSPQSKISSSSSNSRPNVNGSSNLSDKKIENKKSEIKTHTNENGKVRNGFDPFDSLITSTKRNPNGYELNVVECPVCGKGYGSEEEVAGHIENCLKASEVKSECLGKEFDDGDGREEAKGELEVCVGAYVSGKPSEGSMDVILKLLKNVVREPENGKFRKIRMGNPKIKEAIGDVVGAVELLECVGFKLNEDGEEMWAVMDVPSKEQLGLIQGAISLLEPQKVEESPSTAPAKVDEPDEPKEIDRQIRVFFSVPESTAAKIELPDSFYNLSREELKREADMRKKKIGESQLLIPRSYMEKQAKAARKRFTKTVIRVQFPDGVVLQGIFLPSEPTTALYEFVSSALKEQSLEFELLDPVLVKRRVIPRFPAAGQRAITLEDEELVPSALIKFRPIETDSVVFTGLRNELLEISEPLVPGSAVAS >CDP04835 pep chromosome:AUK_PRJEB4211_v1:11:4977938:4981255:-1 gene:GSCOC_T00019572001 transcript:CDP04835 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLDTNQPLCITCRVLSLLLSQFEAKFLKEIKKRIRKVVHDCGTLPFVGSLLLAFVCCTVA >CDP00764 pep chromosome:AUK_PRJEB4211_v1:11:26084063:26087280:1 gene:GSCOC_T00032838001 transcript:CDP00764 gene_biotype:protein_coding transcript_biotype:protein_coding MITRQIIKNMAGDIQEPFRLSFQADSLHSGSISFGRFETEALCWERRSSFSHNRYLEEVEKCSKPGSVTEKKAYFEAHFRRKALLSQNLSECQSGIDCQNSESAKLHNMVCEEDIENLNEHSTVTSYDDSHDHSFDSQGHSFDERELELRKYELDYSTSSFSKPESEHDNNANFEELHALSHGHSVLESDVEAAEHELDHSGTSHSKPEAGHTSDNSNVEDVVCEHVKIEETCQSGGRNSPLTTCEPETHVKQNHSGDSEATSSDMSSKTGTWLPGSPTAEQEVCSRHEVGCRSKAKLGSSQTKLAKSKLTTSQLSVVQAKKSMSSEASKCFTKRPSKSDTNASVRSRKEKQLCDSAASAMYSAPKASTCEVSQSFKTKLPENKSQSNAKESTTKKAVSSRPSTADKLAPRLSSRPSTADKIAPIISSRPSTADKAAPITHHNASRPMRSVNSSKQFIKSSASAFSFKSDERADKRKEARKILFCLF >CDP00795 pep chromosome:AUK_PRJEB4211_v1:11:25795069:25796810:-1 gene:GSCOC_T00032883001 transcript:CDP00795 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLCKLELQTEMKSSPDRVFDVYKNKTSLMPKISPDKLQSIQVLEGDGKSVGSIRLWTYFMGTPVVAKDKIDAIDMENKTITFELIGGEVTSYFKSFKATLQAIAQGDVNMVKWTLEYEKAREDIPTPHSHLDFLISCSRDVDAYLLKAQKN >CDP00630 pep chromosome:AUK_PRJEB4211_v1:11:27431355:27432398:1 gene:GSCOC_T00032634001 transcript:CDP00630 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTRCAACKYLRRRCSPDCIFSPYFPPNNPQRFLEVHRIYGASNVAKMLEKAPVNQRAEAANSIHYEAHCRIKDPVYGCAGIITLLNQQINDAQCELAKIQAEIAAHNGHHAGILPPYQQITDASSFPNYPVEGDGAFPATHDPSFPQFYLNS >CDP00546 pep chromosome:AUK_PRJEB4211_v1:11:28275458:28276379:1 gene:GSCOC_T00032517001 transcript:CDP00546 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRATIGLVLILVWIAILFHLFEQSSAARNHVRFLALSPSPSQVPVPASPQSPHFA >CDP04903 pep chromosome:AUK_PRJEB4211_v1:11:2177404:2178470:-1 gene:GSCOC_T00019768001 transcript:CDP04903 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVLFSTKKHPKTKDLMPEDFWLRAAKKGIVVFALPGEPGLTELAGDFKIHFQDRQEDFYCWLNTTMMENRVLSDSSDLDGFDKVNQMLLFVYKQTLNYKSFIFLIFSFHFFQDLGKKFGIFFKKKLAWLRELGSQLFQKAVSPAAIEFFKKKNFFVRQQCQR >CDP11042 pep chromosome:AUK_PRJEB4211_v1:11:6343174:6346233:1 gene:GSCOC_T00033029001 transcript:CDP11042 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSRHQILAPVVLSSGKGCELYDIDGRGYLHLSSGIAVNAFGHGDPDWLQALTHQANTHTHVSNIYCSVELAKRLVASSLADHVFFTNSGMEANESAIKLASKFQRSLHPSEKHPPEEWYIQCHKGVFGGIAHSLDSAGVLLVLDEVQCGLSRAGYLWAHDAYDIYPYMMILARPLAGGLPNGAVLTPDRVAGSINFGDHGSTFSSNSLVCSAAIAVLDKISKPRFLASVVKKGKYFKELLVKKLGGNPHAKEVRGLGLIIGIERDVSASPLLDACQQSGLLILTAGKGNVVRLALPLVNIRAGTRHCF >CDP05494 pep chromosome:AUK_PRJEB4211_v1:11:21610383:21612116:1 gene:GSCOC_T00020568001 transcript:CDP05494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 3 [Source:Projected from Arabidopsis thaliana (AT1G14730) UniProtKB/Swiss-Prot;Acc:Q67ZF6] MDVYVYRQSASRLTVLAHLFGIIAIVLLLVWLLHFREGMNLDSDNPFRIFNVHPFLMFFGFTFLAGQAMMAYKTVLAARNVQKVAHMFLHLVAITLGIVGLHAVFKFHDRANIRNLYSLHSWIGIGTFSLYILQWLFGFITFMIPQSSLDSRARALPWHISGGRALLYMAICAAETGLMEKVTFLELQHGRETLLVNFLGLAILLFGITVDVSVALARYV >CDP16963 pep chromosome:AUK_PRJEB4211_v1:11:17468416:17495919:-1 gene:GSCOC_T00004782001 transcript:CDP16963 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOT GROWTH DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/TrEMBL;Acc:F4JCU6] MAQQQSSRLHRLLTLLDTGSTQATRFTAAKQIGEIAKSHPQDLIPLLSKVSQYLCSKRWDTRVAAAHAIGAIAENVKHTSLTDINSCLEMKISKLGVSCPVGDVLAWAYCYPKFVANASFRSFDLNKVLEFGALLASGGQVLLKFAFKSMTSSKNFDLVTAVDKTSGDEEYDIGSESGKNPRERLARQKQNLRRRLGLDVCEQFMDVGDMIRDEDLLVQKFHSIGNGLAPQYRSMSNFQQFVANMVPGVKSRRPSARELNLLKRKAKISSKDQSKAWSKDGNAEVQPQELDMASPKGPYRDIVSLNKQFADAVSDDDNFDSDGDGSWPFQSFVEQLLVDMFDPVWEVRHGSVMALREILTHQGASAGVIMNDLNFDSALSSSFNDVGEENTTKRERQIDLNMQILFEESQPVLKRPKLEGASSLLTDTIVDSTKDDNLGIHVKVEAPGWDLPMGHANGEVVSSDKVEVGHQSHLSSASDPNSYMSSAKICSEDKGSMEKADLFKDLPNNPELLNVLKVARHSWVTNCEFLQHCTIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPSLVHETLNILLQMQRRPEWEIRHGSLLGIKYLVAVRQEMLSDLLGLVLPACTAGLEDPDDDVRAVAADALLPTAAAIVFLKGQTLHSILMLLWDILLDLDDLSPSTSSVMNLLAEIYTQEHMNPKSLGTVTSCEKQEFDLNKIGRLDDLGEEINYLENPYMLSTLAPRLWPFMRHSITSVRHSAIRTLERLLEAGFKKSIAESSCSFWPSFILGDTLRIVFQNLLLESNEEILLCTERVWKLLLQCPVEDLVGVVELYFSSWIELATTPYGSPLDATKMFWPVALPRKSHFRAAAKMRAVRLENDSYKNTRLDVPDSAISQDKVGDPSFSSPAKIVVGADEDISVTHTRVVTATALGILASKLHVTTLGFVIDPLWKALNSKSGVQRQVAAMVLISWFQELKMKDVSVSSEVGPSTLIGFRNWLLDLLACTNPAFPTKDTLLPYAELSRTYAKMRNEASQLHRITEASGMFHDLLASTKLDLENLTADDAINFASKLPSPSSAEAYTEMDAFDELESLKQRLLTTSGYLKCVQGNLHITVSALLAGAVVWMSELPGRLNPVILPLMASIKREQEEVLQSKAAEALAELISGCISRKPGPNDKLIKNLCGLACSDPCETPLAAVLSSIEIVEEQEFLSSGSTCSRQKSKVHILAPGEDRSKAEGFISRRGSELALKFLCQKFGGSLFDKLPKLWDCLVEVLKPGNLDGLTPEEEKFIDEAIDSVADSQVLINNIQVVRSLAPMLDWTLRPKLLTLLPCIFKCVRHSHVAVRLAASRCVMTMAKSMTSDVMGSVIEHVIPMLSDMSSVHARQGAGMLVSLLVQGLGVELVPYAPLLVVPLLRCMSDIDHSVRQSVTHSFAALVPLLPLARGVPLPSGLSERLSRSKEDAQFLEQLVDNSHIDDYKLSTELRVNLRRYQQEGINWLAFLKRFNLHGVLCDDMGLGKTLQASAIVASDIAEHSHANKTEQLPPSVIICPSTLVAHWVYEIEKFIDTSLLTTLQYIGSAQDRISLRSEFDNHNIIVTSYDVVRKDIDYLGQVFWNYCILDEGHIIKNSKSKVTAAVKQLKAQHRLILSGTPIQNNVLDLWSLFDFLMPGFLGTERQFQATYGKPLLAARDPKCSSKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPLQLRLYEQFSGSHVKQEISSIVKLNELDAGEGNPSTKTSSHVFQALQYLLKLCSHPLLVVGEKVPETVSTVLSDLFPGKADYISELHNLQHSPKLIALQEILEECGIGIEASSSEGSIAVGQHRVLIFAQHKAFLDLIERDLFHVHMKNVAYLRLDGSVEPEKRFEIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDHQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINADNASLKTMNTDQLLDLFTPAENGKGPRISRTSTENLDGETKLPGSSRGLKKILGGLEELWDQSQYTEEYDLSQFLAKLNG >CDP00328 pep chromosome:AUK_PRJEB4211_v1:11:30008045:30012240:-1 gene:GSCOC_T00032229001 transcript:CDP00328 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLTGLLTGLVLKKIDLICCLGFRHKHNMAMSPQDVSSQLVRSAGDSAGNNNEEELEYSIGDEELGSEEERLEGDTQAAAQTLNQEVGQGDDLDNFRRKKRAKKSAAWIDFKDVEVGFEKTLYSECLHCRVKFKKTKTGTTSSLLRHLKNCAERLKKVKRAEASQHKINFPAADSSSVAHSYLHTGKFDMAAMRESAAEWVLMHEHPFTIVEEDGFNIMMKRGMPEWQKITRTTNKNDCTSVYEREKTKLKNLLKKVKKISLTTDLWKSKNQKIEYMVITGHWIDTHWRLQKRVLNFVHVPPPRPGIAIADAIFKCLMDWGLETKVYTVSVDNASNNDTALRCLKDAFSRNKCLLAKGKLFHVRCCAHILNLLVQDGLSEIQDVVQAIRDSVEYINKTEGRRLIFAEIVKQLRLPGNALLYDCKTRWNSTYEMLAWALKFQEVFPRFKDREPSYDFCPTIEDWKNVEKVCNILQVFWTATHIISGSDYPTSNLYLNEVCRIKVLLDSKANDADYFVRTMVQRMKVKFDKYWGECNLLMSIAAILDPRCKMRVINYCFPLIYPPHEVQLNIDKVRQALYDLYAEYVEIHISGSSDAGASSQVVTNDSVNMTSSGSSSTITHVTGMSEFLSHIATVESVQPQKNELDTYFEDGLLTATEDSALDIVNLDALKWWKSTTKYKILPKMAADILAIPISTVASEATFSAGTRVIDSYRASLAPETVEMLMCAGDWCRKLHGIKKKEKVIM >CDP05464 pep chromosome:AUK_PRJEB4211_v1:11:21086551:21089937:1 gene:GSCOC_T00020525001 transcript:CDP05464 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTISIRLALPLAAILMLVSVLAADQGGFSSIKTDAEALLLFKKMIQKDPSGALSGWQLSKDPCKWNGVTCNLERVTQLDLAQSGLVGQITLAPFASLDMLISLNLSANSLAINSTSLVQLPYGLKQLELSFSKLVGQVPENFFSKHPNLEYVNFAFNNITGSLPENSLLYIDKLQYLDLSYNNLTGSIANIKIETCNSLWHLDLSGNQIQDSLPVSLSNCTALQELSLASNFFSGEIPRSFGELKSLQRLDISQNHLSGWIPPELGNSCASLFELKLSNNNITGSIPTTFGSCSSLQSFDLSNNNLTGPFPDSILQNLGSLETLLLSSNKISGPFPASISNCKKLRVVDFSSNMLSGIIPPDICPGAGALEELKAPDNSLIGGIPPQLSKCSQLKTIDFSINYLNGSIPAELGNLENLEQLIAWYNSLDGSIPAELGKCKKLKDLILNNNYLSGKIPTELFNCGNLEWISLTSNVLTGEIPREFGLLTRLAVLQLANNSLSGQIPMELANCSSLVWLDLNSNRLSGEIPPRLGRQLGAKALSGILSGNTMVFVRNVGNSCRGVGGLLEFAGIRPERLLQVPSLRSCDFTRMYSGPVLSMFTQYQTLEYLDISYNELQGKIPDEFGDMMALQVLVISHNQLSGEIPQTLGQLKNLGVFDASHNRLQGHIPDALENLSFLVQIDLSNNELTGQIPQRGQLSTLPASQYANNPGLCGVPLPVCQYQQPATNSAGDGQKEGRRASAASWANSIVMGVLISIASICILIVWAIAMRARQREADGLKMLSSLQATHAATTWKIDKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSAASLIGSGGFGEVFKATLKDGSNVAIKKLIRLSCQGDREFMAEMETLGKIKHKNLVPLLGYCKVGEERLLVYEFMEYGSLEEMLHGRARARDRRILTWEERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHEMEARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVLLELLTGKRPTDKEDFGDTNLVGWVKMKVREGKGMEVIDPELLSVTQGTDEAEAEEVKEMVRYLEITLQCVDDFPSKRPNMLQTVAMLRELMPGSANTSNSS >CDP04831 pep chromosome:AUK_PRJEB4211_v1:11:5050181:5059727:-1 gene:GSCOC_T00019567001 transcript:CDP04831 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIFLMALITMTVFLRTKMHKETTTDGQIFMGALFFTTVMIMFNGFAELAFTILKLPVFYKQRDLLFFPAWAYALPTWILKIPFTLLESGVWVAMTYYVTGYDSNVGRFFRQYLLLIFVGQMASGLFRLMAALGRNMVIANTFGSFVLVAFLALGGYVLSHDKIKKWWIWGYWISPMTYAENAISVNEFLGNSWRHAVLSEETLAERSSIKTGEIVDLSSGKRLSESEDDARRNVSSRSMSSRVASISEDEPERKPGMVLPFEPFAITFDDIRYSVDMPQEMKAQGLTEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGTITISGYPKKQDTFARIAGYCEQNDIHSPHVTVYESLQYSAWLRLPAEADAATRKMFVEEVMELVELTSLKEALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELVLLKRGGEEIFVGPLGRHCVDLIRYFEAVDGIDKIRDGYNPATWMLEVSSIAQEAALGVNFPQIYKGSELYRRNKALIKELSTPAPGSKELYFRTRYSQPFFIQFMACLWKQHLSYWRNPHYTAVRLLFTTIIALMFGTIYWKLGSKRERLQDLLNAMGSMYSAVLFMGIQNATSVQPVVAIERTVFYRERAAGMYAALAYAFGQVVVELPYLLVQTAIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLWYFTAYGMMTVAVTPNQNIAAIVSSSFYSIWNLFSGFIVPKPRIPVWWRWYYYISPVAWTLYGLVASQFGDIKEEMIDTNQTVKDFIRSYFGFKHDFLGFAALIIVAFATSFTFIFALSIKILFFIVSECGKDPLCTYQGNDRTKQMQVLNLRREFEFLRIKDIENIKEYSNRPLNVVHKIRLIRKQILDSRVVEKVLVNLPERFEAKISYLENLRDISQMTLAELANALKAPEQRRATRTEELLNSFITLYSLTANIDHIPFSTFFLCNDWVCMDGCQFLLILFFTYFTFLYFTVYGKMTVAITPNHNIAAIVSSSFYAIWSSFSGFIIPKPVVYYICPVAWTLYGLVASQFGDIKERHQSHYVIDMLKAFGVRLGSLIENFLLIF >CDP05593 pep chromosome:AUK_PRJEB4211_v1:11:23379883:23387821:-1 gene:GSCOC_T00020724001 transcript:CDP05593 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTCVDSAIDQLSRLLKRPSLTLLMKQEIRTLLLDLRFLKMFFSCLAKCKAAEEDATLHHLRSSLLMNAEAMMEETGQDLYDAGYFASIGIDVKDWNLVAAKLQEKVEHLKPEIRKTCILLVDCSLEPKTSNSDGILEFMDSILINLKDLVSAHDGIVVPVKVQTEALQEKLRFSRNFLDFMEKWCGRQQQDKLEAFSTVLRDWAKNAACLSLLYWMDDLDENMAAQINTMLHNMLKKIMPYSPKVMDMYLELLKACTSSKADESVMGGIVTSFLDILLENVLVAVKDHAEVLQEGLVLLITFIMDPPEEFGRKSRTVIFTKIYAVIREVTFFICSLCSDKMNDDTSRERNALLPDLLKKIKEVEAEVRELNGKKPSSWHFDFPVTDAIGFIDFLLGSLNKILKYKAKFIPFAKHKIVAIRHELLFLRPFLEDIMELQNGSVEVKDLWTRIINVAYLAEHVVNSCATIDTPIWSDIICLSDVTEEIKHIQAEVMKIKQNQISSKRNPSIQKSYSFGRPRASISSTDEVVGLVEDAKAVLDKLTRGSMKPNIVSIAGMPGVGKTTVAMKVCNDPSVQCYFIKRAWCFVSQVYRRRDLLLDILRSVIGIDQVAISKKDDDEIANQLRQSLKGRKYLIVMDDVWNLEAWDAVKYSLPDDSNGSRILFTSRNHKLAEMSGLPFHVHPLKELSSDKSWDLLQKKVFHSDGCPPKFSDIGEKIARNCKGLPLVIAVVAGLLEIKKNDIHWWKQIEKNSNSRISTEGCMDILELSYNYLPDTLKACFLYFAAFRQGEVIAVRKLMLLWIAEGLLQPEKRSLEAVAKESFLELIDRSLVIVTSRSSKGGIKACRVHDLLHYFCREKAKEESFLHVVERTEVSQCFSSPIRFDQYRLCLFSEWETLIKSKPSSPNVSSLLLFADTKESTHNSVASQIFQSFKLLKVLNLESINLDCPFPEEIVLIVHLRYLAIRGNIMTVPSSMSNLWNLETFVLQGSYDFVQLPDTICKMKSLKHVFVSERAVVSLGDMELEESSHINNVETFSSLSLDQRTDSWMLLRRFPKLRKLRCVFIESENYTGKGIQFPLLALLKDLE >CDP00421 pep chromosome:AUK_PRJEB4211_v1:11:29238870:29240408:1 gene:GSCOC_T00032357001 transcript:CDP00421 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPKTFREPLTTLLLSFHHHHHLRPLTTAATTSTATTLPHPTSPITPINEAHLLRVCTILYQQQNSPGSKLHNSLTSTPFHLTHEFFLQVCNKFPYSWRPVYKFHQFTQSQPHFHHTSITFNKMLDVVGKARNIDLLWNLLQEIGRLRLVTTKTYIIALKVLASARELKKCVELFHIMNGLGFVCSLDTLNKVVEALCKGKLVLEANHVVVKLKDIIRPNWITYRWLIYGFCDVGNLIEASKLWNLMVDEGFEPDIDTVEIMLETLFKNNKFGDGMKLLQSMRVKRMDDLGVSTYRLVIHWLCKKGKLAESYVVFEEMRERGIKPDNATLGSIVYGLMSRGRVREAYKVVDGIEEPDISVYHGMIKGLLRLKSASEATQVFREMIKKGCEPTMHTYVMLLQGHLGKRGRKGSDPLVNFDTIFVGGLVKAGKSLEATKYVERVMNRGLEVPRFDYNKFLHYYSNEEGVIMFEVMNKKLREVGLFDLADIFARYGEKMATRDRRRNRTVEPIE >CDP00739 pep chromosome:AUK_PRJEB4211_v1:11:26342620:26343447:1 gene:GSCOC_T00032807001 transcript:CDP00739 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAYPHYLSSKSARKSVEPPLVVSTQSSSSARSPVNNLTQDELKKIAAYKAVEFVQSGMVLGLGTGSTAKHAVDRIAELMKLGKLKNIVGIPTSNMTRDQAVSLGIPLSDLDTHPIIDMAIDGADEVDPDMNLVKGRGGSLLREKMVETASKKFIVIVDESKLVNHIGGSGLAMPVEIVPFCWKFTLKRLEMLFMEAGCIGKLRMFPGTENPFVTDNGNYIIDLYFKKDIGDLKAASDAILRLAGVVEHGMFLDMATTLIVAGELGVTVRNKLT >CDP00544 pep chromosome:AUK_PRJEB4211_v1:11:28300158:28303381:1 gene:GSCOC_T00032514001 transcript:CDP00544 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWREAFVDYWQLKKDLKRIHLLNPENTSVNKQPKQESCFSFSSLRKFSLLGHQQREHKVIQVHRKLASSASKGDMYETELLEQFADTDAAKEFFILLDLQLNKVNQFYEAKEVEFLERGDSLKKQMQILVELKTLLKDERAKGSSAQDSKEDVSISGTISCDEESTTDKAEQEKGQDNPTDESDKSDVQYEDCSKPDELSKSMSSKRVDGKFRSLSTRVINCQGKNLRIHIPLTNPTRTFSAITYLLWDDLVNQSSKKYGVEGSRLHINKTKLHHAEKMIRGAFIELYRGLGYLQTYRNLNLLAFVKILKKFDKVTNQQVLPIYLRVVESSYFNSSDKVLKLADEVEEIFVKHFAEDDRRKAMKYLKPTQRKESHSVTFFIGLFSGCFLALLIGYVIMAHVTGTYRPKSKTNIYMETVYPVLRQVNTDPNFITN >CDP18454 pep chromosome:AUK_PRJEB4211_v1:11:1189497:1192227:-1 gene:GSCOC_T00007402001 transcript:CDP18454 gene_biotype:protein_coding transcript_biotype:protein_coding description:POLD4 [Source:Projected from Arabidopsis thaliana (AT1G09815) UniProtKB/TrEMBL;Acc:A0A178WHS7] MATSKSDMKGFFKQKKKSGGITKAKAKSSSTKKSLSPKRSATYGSDITQPAALISHGSPDIEDDYDEKEEVLRQFDMNMAYGPCLGMSRLARWERAKSLGMNPPEDIGHLLKAGKVGAECLWEGRV >CDP00827 pep chromosome:AUK_PRJEB4211_v1:11:25297133:25300535:-1 gene:GSCOC_T00032925001 transcript:CDP00827 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEAELERRSKFLNSLILKKKAVEQKEQHERLNVRLRASDMAVSLQNHAFNCARNNLDSMPKGKLDSKRLALSLKKEFDSTYGPAWHCIVGTSFGSYVTHSTGGFLYFSIDKVYVLLFKTAVEPLDR >CDP04816 pep chromosome:AUK_PRJEB4211_v1:11:5272852:5273868:1 gene:GSCOC_T00019547001 transcript:CDP04816 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLSVLENRTYYSIELRMWVHPARPDKFEKIIRIKPGGTTRVTAKQGLLDFDKVLVMVYANGVWTGNYIFPLHLMTYAKVICDRNQHGGVIIRGKRAFNFCRLKCFAFIPAKYVGLGMIEEEI >CDP05401 pep chromosome:AUK_PRJEB4211_v1:11:19809349:19813001:1 gene:GSCOC_T00020432001 transcript:CDP05401 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLLVYLSFLFNLLFIITSSDNPPYSPTDYILINCGTSSNATSADGRNWYGDAGSKFSPNDMASISSAVTATAPSVSGAPFLTARIIRSNFSYTFPVSPGKKFVRLYFYPASYSSDFNATESFFAVMANNYTLLSNFSAFLTVLAGDYSPAYVVKEFIVNVQSLNQFLNVTFLPSTQSYAFINGIEVVSTPDGLYMGNYDLSSNPLKNVNDPNIQFELDQNDTAFEALYRLNVGGQPVSALADSGMFRGWASDDNFTWGADKGNPLNIDEIAVKYTPQTPNYTAPAIVYATARAMGKFSTRFNLSWTFSVDSGFNYLLRLHFCEIDPDLITQENQRVFRIFISNKTAEEEADIIHWTHGTGIPVFRDYVVFVPHPPDGRPSKQGLFLALHPNLDVKPKYADAILNGLELFKLNNSDGNLAGTNPDQAADPNSLMPNSKSPKKGRAVLFPIVGGVVGGAALVLIIGFLISRRLRRRVKDFNQKSASKSSGVQLSTTSRSSKTSASRSSSLPLDLCRRFSLEEIIFATANFDAKFVIGTGGFGNVYKGYIDNSLTTVAIKRLNPSSSQGVREFRTEIEMLSNLRHLHLVSLIGYCDEKGEMILVYDYMANGTLRDHLYKTDNPPLPWKQRLQICIGAARGLHYLHTGAKHNIIHRDVKSTNILLDEKWVAKVSDFGLSKLGPSGGIHSHVSTQVKGSFGYVDPEYYKRQQLTDKSDVYSFGVVLLEVLCGRGAIIPNLPKEQVNLAEWGKRYYAKGIIHQTVDPHMKGEIAPECLRNFAETAINCLKDQGIERPGMNDVVWSLEFALQLQEAAENESGRRPFAFRMHGLGRDEQATTEDDEDVFSDSGIEKDSAAMMKSRSITTGTFTASSDMLKSDNVFSEIMNPTGR >CDP04848 pep chromosome:AUK_PRJEB4211_v1:11:4693316:4693649:1 gene:GSCOC_T00019603001 transcript:CDP04848 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWCDIVRDVGGSLSYSLNWIFVHTMLLNALEEVKKVGFWICS >CDP00598 pep chromosome:AUK_PRJEB4211_v1:11:27768373:27769529:-1 gene:GSCOC_T00032588001 transcript:CDP00598 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMSPQSGIKGTLTYPSLPSQNPCCVPFQTSASLKSRAVSPISPTILSFKRKPFSTIQTLQAAATAEEVATETETPAEPSPEPAVHKAETVAKGAQKPKPELVLKFIWMEKNIGLALDQKIPGHGAVPLSPYFFWPRKDAWEELRATLESKSWVSQKKMIILLNQATDIINLWQQSGGNLSQ >CDP00629 pep chromosome:AUK_PRJEB4211_v1:11:27446380:27450469:1 gene:GSCOC_T00032632001 transcript:CDP00629 gene_biotype:protein_coding transcript_biotype:protein_coding MKLALTSTFRPLVPPKLSPFDQNLASSNMIFRPSVTLTPRMRETVIMMAQKKKPKIEGVSDELNSIASQNLDFAPARRRVRLAFSNVQQQLDHVLFKMASSGIRTDEWYEINSKGQEIFCKSWLPKPGVRIKGALCFCHGYGDTCTFFFEGIAKYIAASGYGVYAIDHPGFGLSEGLHGYVPSFDGIVNNALELYNIIKGRPEIVGLPRFVFGQSMGGAIALKALLKDPNEWDGIILVAPMCKIAEEMTPPVPLQKILILLSKVMPTAKLVPQKDLAELAFRELKKRKMADYNVICYSDQTRLKTAVELLNATRYIESQVDKVASPMLILHGAADKVTDPQVSKFLYERASSKDKTLKLYEGAYHSILEGEPDDRILEVLSDIISWLDSRCSMKN >CDP07941 pep chromosome:AUK_PRJEB4211_v1:11:11741489:11742528:1 gene:GSCOC_T00025465001 transcript:CDP07941 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRTLLSNTVETIHLCYLPALEDVDRIGDYNWEGAGMATLYNFISAVSRRLTKNRGGYSFVWEVWAYEILQLSPYKLKQDERDVLPRMWRWRSCNRASRQSPSTVEHFRRAIDIINSENVNWLPFPAMALPSLYLKSKELTATRLLLDGPMGRFYYLGERVIRQVYAGVCAKQSPHRPSDMYSTDTISGNRLHDVLHGLPIASLYPDPPPYATYDEFVRSRLMKPMTSSTLPPSGKECIIHMCDQLPRSEATTPSLKHPINYPP >CDP05557 pep chromosome:AUK_PRJEB4211_v1:11:22969306:22970580:1 gene:GSCOC_T00020672001 transcript:CDP05557 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVAITSLKQTLDQLKMNCKLEFTFDEVKQFKAVSEEISVIQEMLQDSADRSYDHTMMKHLERGIRDMAHKAEDFIEEFVYIKAEALDDAAIFEEELSLHHHMREVLSEINSIKDMLTKIYQESDAAATKVPRARNHSVEHASGWSSTQEETLVVGIDNDLLKVKEKLTGLPHKLDILTIVGMGGIGKTTLARKVFNDPLIEYHFYVRAWVTVSQKYVLRDVLLGLLCSLTRLGDEIFKEKNDQLAELLYRTLKGQRYLIVFDAVWDGKILYDLRRSFPDDRNGSRIVLTSRLIDVNMCVNLDSHHHHMSFLSLNDSWELLRHKVFSEESCPPELEVIGKEIAQKCQGLPLGILAVAGHLSSIRKTKDCWKTVADDIRSPESKDQENCLDILALSYKSLPHHLKACFLYLGAFPKSLRSLSGD >CDP16266 pep chromosome:AUK_PRJEB4211_v1:11:24364427:24368323:1 gene:GSCOC_T00018040001 transcript:CDP16266 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSNFEELPGYLGRLAEGSNSSPSSSDKVHRLKVIINILRHFLQFSLKWHIEDKNVQVRLNQIADGIHKVIQDCQLHDSNTSQVTGNEDCRSSRSNHESVVSHLKKVKDIKPDMLEICSIADVTTFGKRDTFRSIKTKNMFLSLKNFPRSMMPSLINSQIPRRLEFCTLNVVSFVDSLLDNLKDILSHNADLVTPKTEETTIQLVGFEVAAEKMKEQLTRGSNQLNILTIVGMPGIGKTTLANSLYKDHSVSFSFHTHAWCCVSRVYHKQTLLLEILGQINRNFNQNPGVAGKDYVEMLYKSLKGKRYLVVIDDIWDIEAWNDLKEVFPDDHNGSRILFTTRNYNIALKANSVPYALSPLSDEESWQLFCIKVFEEEMCPSELLQVGKRIAKICKGLPLSGTLKAIEREQDRWEQVAESLWSKEPNNPLGQHSGILELCYHHLPNFLKPCFLYFGGFREDAVIPVSKLLWLWIAEGFIHQTNPSQKSLKNEAENFLNDLIDRNLVMVAERSSKGGVKSCHNLQNLESLILMKSSTRYFSIKLPDTIWNLVNLRHLNVKKNDYELVCFYLPYLTDHFWDLRLDKLESISTIEVEHPLQFNSASNLKKLTLSNMRRPWDEISFIGELPNLEVLKLNEGAFVGRKWDMAEGGFQKLKFLKLCKLDIQIWNASADDLPCLERLVLHSCLSLWEIPSSALAEMSTLQSIEIIKCMSHVWQSAMQILNEQHEMGNDEFKVSFFR >CDP13334 pep chromosome:AUK_PRJEB4211_v1:11:32896987:32901272:1 gene:GSCOC_T00038233001 transcript:CDP13334 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNATQTVLCKIDLSYVTIALPIPRSSQTKKKKKYIYIYICFLFLPFDSLCTRRFWLIMRSSVKRPPTPDAEEEEQDREPTLQEIINIKLVESGEKERLKELLRERLVECGWKDEMKTLCRAFARKRGRNNVTVDDLVHVITPKGRASIPDSVKAELLQRIRSFLASTAL >CDP04806 pep chromosome:AUK_PRJEB4211_v1:11:5408911:5411559:1 gene:GSCOC_T00019534001 transcript:CDP04806 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKPSTPLISPSISRRLPDFKQSVKLKYVKLGYHYLITHGMFLFLSPLVVVIAAQLSTFSFQDLHALWEHLKFNLISVFLCSTLLVFLSTVYFLTRPRAVYLVNFSCYKPEDVRKCTRQRFMELSVLTGSFMPENLDFQRKIVERSGLGESTYLPEAVLTVPPNPCLAEARKEAETVMFGAIDELLAKTSLKPKDIGILIVNCSLFNPTPSLSAVVINHYKLRGNIFSYNLGGMGCSAGLISIDLAKDLLQAHPNTYALVISMENITLNWYIGNERSMLVSNCLFRMGGAAILLSNKRSDRWRSKYQLVHTVRTHKGSDDKCFSCVTQLEDPKGEIGVALSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFTTLVAKKLLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLYRFGNTSSSSLWYELAYLEAKGRIKWGDRTWQIAFGSGFKCNSAVWKALRTINPAKETNPWMAEIDQFPVEVPRVSAI >CDP16968 pep chromosome:AUK_PRJEB4211_v1:11:17594652:17601382:-1 gene:GSCOC_T00004790001 transcript:CDP16968 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEEDDDYVEYIPVAKRRALEAQKILQRKGKSSTLEAEEAEKLKLVEAKPSLLVKASQLKKEQPEISPTEQMVQQEKEMIEHLSDRKTLMSVRELAKGITYTEPLFTGWKPPLNIRRLSKKVCDAIRKQWHIIVDGEDIPPPIKNFKDLRFPDPILKKLKAKGIVQPTPIQVQGLPVILAGRDMIGIAFTGSGKTLVFVLPLIMVALQEEIMMPIAPGEGPFGLIVCPSRELARQTYEVVEQFLVPMREYGYPELRPLLCIGGVDMKSQLEVVKKGVHIVVATPGRLKDMLAKKKMNLDSCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQKFARSALVKPVVVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAIKSFKEGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDVDAITNASGVKGCAYCGGLGHRIRDCPKLEHQRSTQIASSRRDYYGSGGYRGEI >CDP05445 pep chromosome:AUK_PRJEB4211_v1:11:20799115:20806211:-1 gene:GSCOC_T00020499001 transcript:CDP05445 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAIFYDDDGYHCTNCLGGQLNPSLVNLTNLRYLDLSSNNFLGIQVPAFLGLLKNLRYLNLSSAGFDGEIPCHLGNLSHLRYLDLGDSNEVEVLDMRNASISDAIPSWFHYDNIMSLDLSSNSLTGNPLEFKQLKHSLGQTWVVHALFLNDNRFTGTIPEDLCKLENLSYLDLSNNHLSGRVPPCLGNLRELGILNLANYSSLSGQIPIPTFLGLLKNLRYLNLSGAGFHGEIPHHLGNLSHLHLTYLDLSENILQGPIPSKIGQLSKLTNLLLSSNKLNGTIPTNLWQLTKLQDFDVRNNSLTGVLSEDHFAKLRELKSLDLTGNSLALNVSSSWIPPFQLQEIGMGSIIVGPRFPAWLRTQNEVEVLNMQNASISDAIPNWFRVLYLDLSHNFLTGHIPQLEDGQTSVVHMLGFLFLNDNRFTGTIPEDLCKLENLSFLDLSNNLLSGRVPLCLGNLRDLWILDLANNTLSGQIPSSLGNLWQLYALHLNGNKFVGKLPASMQHLRNLEALDLGDNGLKDIIPAWIGERLSNLRFLRFQSNNFHGPISDTLCQLSHLQVLNLAHNNLTGFIPHCFNNISAMVSAFDIGYGIYGQESLQDIKGGREFEYYASSLRLLKSVSLSANNLVGEIPDGIMELVQLQVLNLSHNHLTGRIPDKIGNLKQLETLDLSMNALFGAIPKSLSDLYSLNFLNLSHNKLSGPIPSGNQLQTLTNPSIYEGNSGLCGKPLPNNCWEHKLPTKNGPIDDDEGHSESDWSWFYAGTGPGFAVGLLGVLGILLFKKSWRYAYFKYIESACDKIWVKTTRLRRNFH >CDP00476 pep chromosome:AUK_PRJEB4211_v1:11:28846380:28847381:1 gene:GSCOC_T00032426001 transcript:CDP00476 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHTETSSTPLPPKYLQDDKLTQECEELLPSLPKEKGWVSSYLYQYRGFWHSARQLQGVVACQKHFEAQDSDIFLVTTPKSGTTWLKALLFALVNRMQFSFMEQHPLLMHSPHELVPYLDSNIYVENQTPDLSSFTSPRLFATHLPLVSLPQSVHECPCKIVYLCRDPKDTFVSLWHFANKLRLENMESNSLEDVFDRFCKGVSVYGPFWDHVLGYWKESLENPDKVLFLKYEDLKEKPTSQLKKISDFLGYPFSSEEEASGLLEGIIDLCSFDKLSNLEVNKSGKLSSGEENKAFFRRGEVGDWMKFLNAKMVARLNSITQQKFDASGLKL >CDP18008 pep chromosome:AUK_PRJEB4211_v1:11:17044202:17048776:-1 gene:GSCOC_T00001349001 transcript:CDP18008 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKTKYIHKASTIYIMDCHIHYATHTHTLYVSFSYVFILFYFICLSTLIFLFVVVYYSLYRIIGHFLNIFLAGNFTSLCLFASPVFTFARIIKMKSVEEFHPYPYLAGALNCFFWVFYGSPMVHPNSILLVITNSIGFVLELAYLAMFFHFSHSKKQRLIVVFGLIGGAVFAAGIALITLLVFHNTDRRSLFVGAICVAFSIILSASPLSIMKQVIKTKSVEFMPFWICLAGFCNGTVWAVYALLPLDPFILTGNGVGALLGFVQLCLHIKYRRTTPKGGSNDMPGKPSELQLPVSQNQVSV >CDP13195 pep chromosome:AUK_PRJEB4211_v1:11:31911906:31915298:-1 gene:GSCOC_T00038057001 transcript:CDP13195 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIIFSSNIIRSLSDPRATEAALVCTNKTSSQSDRQVYVASFLAAMDAISPLVARQGYGGVVNGTGNNTVYAFGECMKDLSQTDCNLCFAQCKTQILRCLPFQRLTRGGRLFYDGCYLRYDDYMFFNESLDAEDKTVCGVNDFGGNQSLFKANVAQLVRNMSVEAPKTDGFFKGFVNRGNLTVYGLAQCWEFVNGTSCEKCLKDSVSRIGSCPPKDEGRVLNAGCYLRYSTKKFYDNSSTTAPSGNGGGSRRVAIILAATFSAIAFLLILAFISFFAKKRVMKQKREKKQLGALLQTVNKSRLNISYETLERATDYFNNANKLGQGGSGSVYKGVLPNGQAVAVKRLFFNTTQWVDHFFNEVNLISGIQHKNLVQLLGCSITGPESLLVYEYVPNGSLHDHIFVKKNVQPLSWEDRYKIILGTAEGLAFLHEESKLRIIHRDIKLSNILVDESFTPKIADFGLARLFPEDKTHISTAIAGTLGYMAPEYVVRGKLTEKADVYSFGVLVIEVICGKRNNNAVSQNSFSVLQKAWKLYGTGKLGEAVEASLQGNFIIEEASRLLQIGLLCVQASAELRPSMSVVVKMLNNQHEIAQPTQPPFLNCSSSDISPLNRSGTPYFQSDSYTQSSGNDMTDSFIEAR >CDP16961 pep chromosome:AUK_PRJEB4211_v1:11:17401216:17402910:-1 gene:GSCOC_T00004777001 transcript:CDP16961 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVENLPCSFPLLCGWAESLENFLKNSYHNTRLQQINECLDDLTEDNIEWNPYDRKNLPMPIAYGKQRYMELAIIPMYKVEVCLYHKPFIGWKQYQLSKDLKLEMQKWLQGVEGRNKNFRKCKGLQGTGKKNYMEIWGKKLGMGLQDREKISMQTWVQHQDDGHEAHVDGNQHATLEISMQPCVQEDDGNETHENDENETHVDGACSPKKSPEQAMPRWAVQQIISRPFDTPEQEARVRWKLEKFHGIVTYERKKRKARVQDPQHENLNSEVVFIEEIPGHDVFAENLKKIIQLVGALEVKEKTMESLAFLKDCHVEVSGNLERELDTAIEFYLNDSVESADYVEEVDESADFHIMKKSLKEATCPSAWLNDKVEFFTLLNSNSSFFLFLFE >CDP00424 pep chromosome:AUK_PRJEB4211_v1:11:29231553:29231959:1 gene:GSCOC_T00032360001 transcript:CDP00424 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVTGVNGKNKKEDAKKKEAKEEEEEEDEDEDDEEEEEPEQDGLSVHSPCKPPPPSVHQEKSEVELELKLLEALEIYPPAKLRGTSSFLCSFLRETFEISVK >CDP00473 pep chromosome:AUK_PRJEB4211_v1:11:28877494:28882097:1 gene:GSCOC_T00032422001 transcript:CDP00473 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASVGCSQPRPCSGVKTVRRCRNRRETDNVVLIDVDSDSFPNVIIIDVPESLQNKLRGSSVLRNDRKGPWATVICLDDDEGTDGNNAHPGVEVDENVKNGASSSKRDFSAPRNYASSSNSVADDCQFVRENLSPVKLSKGKRTYSRKGPANRYGLSADSESSSSDSDYVDCELMEGPSGRLREQWEKASSKRKCDTRNGQSDTKDPEMVFSGAHRNGNLANGHGEPKKTAESKEKEPPAHSIPKEDGDSGCSYSNSKQAVVDDDDPLQSVLKTRFPGSKADPPCRREPVNGVHPFDNAEHHSGQFFEKGGSSFHNEEEQVPRRADSVPFSDWGTRKVNHVEKVLQNKKEPHEIQCQNPVNQDYGKCISGDKGNISQETITENTSKNTNDLINKVQLVRSHSCSVSANEEPMRLVSNSQMEDKGDDLVHSMDCDVTLNLEGCILSEREKLKETDEYKKALEEEWASRQRALQIQAEEAQNLRRLHKRRKAETLRLLDMERRQKQRVEEIRETQKKDEENMNLKEVIRAAVRKELNQLELTCHDMASLLRGLGVLVGVVGQSPSSNEVRLAYKRALLTFHPDRASGSDIRKQVEAEEKFKLISRMKDKFWPT >CDP13173 pep chromosome:AUK_PRJEB4211_v1:11:31753491:31758765:1 gene:GSCOC_T00038031001 transcript:CDP13173 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSVQNLCKHASFSISDTGVGCNLKEFEALRYATNPISASKFDGVITITTTGISDNEIHTFSLNINETISSGRLTKLSSISKCGAKFRHAIFYTVVSFLLKTLFSIIFLFLLFEFFFDFFLQTVAVELLVDSLGVRGSQLQSCVLAVDCSPLPSSATNIERLKSGLEEYVLKHGNRLENFCQLCFSTAEHLKVGTGMAKGSGTSQSKRQMMEAVDFTPCSIPQPSLDALTSIKWKDYGLTLKRITDQDDIALLEWENLPPNFHIDIALHSYHKEYPLYAGNRQADKTFTRKALKLALDELKETNDKALLSSHALKICNYAPDLAKTIAGLVLSSCDINFKKECFSVLGLQCQKLEASGLENCIKDKILSAIGSNDRKAQRTREPAAVLFQNDCFQLDFQDEEYEDGEEMFSSLNL >CDP18976 pep chromosome:AUK_PRJEB4211_v1:11:31668876:31671145:1 gene:GSCOC_T00001016001 transcript:CDP18976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive leucine-rich repeat receptor-like protein kinase CORYNE [Source:Projected from Arabidopsis thaliana (AT5G13290) UniProtKB/Swiss-Prot;Acc:Q9LYU7] MEQARCTYPQKSSFAIQILFLLICSVLFSCGRCQEKTIKDSSSEPPWPSRSPAFKIRLQRIILSVFLGIITGLIFSLLFALLIRWFVRYVNRTPILNGPVVFSPKIPPKTLQLALANETQLLGSSPNGNYYKAILDNGLTIAVKRLEPFEPGSPETHSKSVKRRIQQELEVLACLRHRNLMSLRAYIREFDRFCLVYDYVPSGSLEDAMSKVRQNQMQLSWEARLRIAIGIVKGLQYLHFSCNPTILHCNLKPTNVMLDAESEPRLADCGLAKIIPSFNRMASGYSAPESFQNCRYADKSDVFSFGVILAVLLTGRNPVDPFFGEGSNGGSLGQWLRRLQQAGEAREALDKSILGEEVEEDEMLMAVRIAVVCLSDLPADRPSSDELVSMLTQLNSF >CDP00374 pep chromosome:AUK_PRJEB4211_v1:11:29601983:29605154:1 gene:GSCOC_T00032294001 transcript:CDP00374 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRLQSNINAIEEEPEDCETTSSNKAALACMVNSEIGAVLAVMRRNVRWGGRYVSGDDQLEHSLIQSLKTLRKQIFVWQHQWQTINPVLYLQPFLEVIRSDETGAPITGVALSSVYKILTLDVLDLSTVNIEEAMNLVVDAVTSCRFEITDPASEEVVLMKILQVLLACMKGKTSVVLSNQHVCTIVNTCFRVVHQAGTKGELLQRIARHTMHELIRCIFSHLPDVDNTECSLVKGGTPIKHEVGGIDADYSFGSKSENGSEYNGQLGNVASDISTGLNVMGNSAGKTDSGKDSVPYDSHLMTEPYGVPCMVEIFHFLCSLLNVVEHMGMGPKANSIAFDEDVPLFALVWLVNELTNSFHGLQYCSQSLSALAY >CDP00829 pep chromosome:AUK_PRJEB4211_v1:11:25289858:25294804:1 gene:GSCOC_T00032927001 transcript:CDP00829 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKGQSFLLLLLLISAVSAAGAAAAEDGFIGVNIGTDLSDMPDPTQVVALLKAQQIRHVRLYDADRAMLLALANTGIRVTVSVPNDQLLGIGQSNATAANWVSRNVIAHIPATNITAIAVGSEVLTTLPNAAPVLVAAMNFIQSALVASNLASQIKVSTPHSSSIILDSFPPSQAFFNRTLEPVMVPLLKFLQSTGSFLMLNVYPYYDYVQSNGAIPLDYALFRPLPPTKEAVDRNTLLHYTNVFDAVVDAAYFAMSYLNFTNIPIIITESGWPSKGDSSEPDATLDNANTYNSNLIRHVLNNTGTPKHPGIALSTYIYELYNEDLRPGSISEKNWGLFNANGVPVYILHLTGSGTVLANDTTNQTYCVAKEGADKKLLQAALDWACGPGKVDCNPLMQGNPCYDPDTVVAHASYAFDAYYHKMGMTDGTCDFNGVATVTTSDPSHNSCIFPGSGGRNRTTTNGTSLAPSSNSTVSGCHSQYLNEKGVLFNSITLGLMLWGVAFL >CDP05541 pep chromosome:AUK_PRJEB4211_v1:11:22684082:22686745:1 gene:GSCOC_T00020651001 transcript:CDP05541 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLFFFISFSLSNLQFSTSQQQQNISSFSNLDSPWAPDQNKILLSPNSTFAAGFFPLPSSPSLFTFSVWYYSIPNKTIVWSANDNSPVSNSASLVISPSGVLTLINSSYGQNLWPLRPVSNSNSTQLILQESGNLVFGTWQSFANPTNTFLPNQNINGTILTSKNGKFKFIKSNQLVYNDNPSNYWSIGNAFLSLDNLGKISMANGFSYISSDYGDKKLRRLTLDEDGNLRHYSFNPISGVWTDVWRAMYNLCRIQGTCGLNAICVYDPLVTFVSCTCPPGFKKNSLDPNSCDRINPIADLKSTKFLQLDYVNFTGGTNLTFFMVSNFTTCQAECLRRQNCLGFLYRYDGTTTCILQLDRLFYAYWSSGVKGAFFLRVDKSETATEPKFTGLTSVLETSCPVTIRLPFPPEESSATTRNIVIISIFLAAELISGVFFFWAFLKKYTKYRDMARTFGLESVPAGGPKRFCYSEIREATKNFSQVVGKGGFGIVYKGNLSDGRVVAVKVLKNVTGGDSDFWAEVTIIARMHHLNLVTLWGFCTEKGRRMLVYEYVANGSLDKFIFQKNVDTSNLQRENISNSSLGQRPVLELNIRYRIAVGVARAIAYLHEECLEWVLHCDIKPENILLGEDFCPKVSDFGLAKLKTKEDRMSMSGIRGTRGYLAPEWVRGDRQITSKSDVYSFGMVLLEIVTGVRNFEQQNSKMESDQWYLPMWAFDKVFKEMNVDEVLDPKIRQSHENRSHLDIVNRMVKTAMWCLQDRPDDRPSMGKVAKMLEGTVEITEPKRPVIFYLETDEHTGTLNNPTQH >CDP16320 pep chromosome:AUK_PRJEB4211_v1:11:23630552:23634530:1 gene:GSCOC_T00018117001 transcript:CDP16320 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHYYPYCPQAELTAGLTSHTDPGLLTVLVQNEVPGLQVKVGEDWVDVVPVEGAIVFNIGDNLQIMSNDQYISVEHRVLANPLRDARVSVAVFLKPSISDQLCGPFHELVSAEKPAVYRQFTLSDYMGRFFSKELDGKTLTNYYRI >CDP18558 pep chromosome:AUK_PRJEB4211_v1:11:1663285:1664190:1 gene:GSCOC_T00004218001 transcript:CDP18558 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCAAPSLFFCFLFFSWYELKLCCEIACEKVWVSIHFLLEGTRGVEVEFFDIFILRRKAKWPLMFF >CDP04796 pep chromosome:AUK_PRJEB4211_v1:11:5688524:5691207:-1 gene:GSCOC_T00019517001 transcript:CDP04796 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSEPNSREDIFDSSLNLEETHLKEGYNEGYADGLVSGEEEGRLVGLKTGFEVGEELGFYRGCIDVWNSAIRVDSNCFSSRVVRSIKQMEELLNKYPISNPEDESVSDVMDSLRLKFRAICATLNVKLEYNGYPKSSDGGNIQF >CDP04803 pep chromosome:AUK_PRJEB4211_v1:11:5485053:5489619:1 gene:GSCOC_T00019529001 transcript:CDP04803 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSTPLQGVTNDIKGRLSCYKNDWLDACGSGVRILAPTAYIFFASALPVIAFGEQLSRETDGSLSTVETLTSTALCGVIHSIVGGQPLLILGVAEPTIIMYTYLYNFAKGRPEMGQGLFLAWAGWVCVWTAILLFLLAIFNAANIISRFTRIAGELFGMLITVLFLQEAIKGLVTEFAIPKGENPTSEVYQFQWLYANGLLAVIFSIGVMITALKSRRARSWQYGTGWLRGLIADYGVPCIVVLWTALSYSVPEKVPDGVPRRLFSPLFWDPESLHHWTVMKDMARVPVGYIFAAFIPALMIAGLYFFDHSVASQLAQQKEFNLQKPSAYHYDLLLLGFLTLLCGLLGLPPSNGVLPQSPMHTRSLAVLKKQLIRKRMVKSAKECMKQQASHTEIYGKMHAVFLEMDPASLTAVDKELENLKDAVLKHEDGKDENGTFDPEKCVDANLPVRVNEQRVSNLLQSVLVGLSVFAMPLIKMIPTSVLWGYFAYMAIDSLPGSQFFERMLLLFIPPGRRFKVLEGAHASFVESVPFKIIAAFTVFQFVYLLICFGITWIPIAGVLFPVPFFILISLREHVLPKFFSPHDLQELDAAEYDEVAGNPFHRKRSLNIREDESQTDTEDYDAFDWSPDEVLDEMTTNRGELKRRSQNFNERQYQVGYFP >CDP05489 pep chromosome:AUK_PRJEB4211_v1:11:21504948:21505701:1 gene:GSCOC_T00020561001 transcript:CDP05489 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVMLNHNHRMRPLAERFQHSPETICRNIHEVLRGICELGKHLIRPRGQNNMHPKIYTDRRFANWFMNAVGAMDGTHIPAHAPPWEQVAYMNRHGQATQNVLAICDFDMRFTYIYAGWEGSAHDARVLDGALTGPTHFPMAPSGKYYLVDSAYRNIPGFLAPYRGTP >CDP16979 pep chromosome:AUK_PRJEB4211_v1:11:17982767:17984032:-1 gene:GSCOC_T00004812001 transcript:CDP16979 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRKKAYFQNLITTVAATIASWKNHLLSLGGMVVRSEGASHTWCRTLHVRDITEQHITFIIHSGDSNFWFDN >CDP05542 pep chromosome:AUK_PRJEB4211_v1:11:22691015:22693655:1 gene:GSCOC_T00020652001 transcript:CDP05542 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLIFFIILSISNLHFSKSQLQKPKVLSSFSISNSSWTPTENQILLSPNSTFAAGFLPLPSSPNLYTFSVWYYGITENNATIVWTANYDSPVNSSASLIIKPTGELSLSTLSGKNLWPSRPVSRRNTTALILQESGNLVFGDWASFDYPTTTILPNQNITNAKRVLSSMNGKFKFNESKELVYNGDPDYYWTADNAFLKVDDQGRISKENFQSFISSDLGDQKLRRLTLDEDGNLRLYSYDSSLDQWATVWQAVFNLCQIKGTCGANAICMYETSDSSTSCVCPPGFKKSSHDSCERKIPLTDLKNSNFFRLEYVNFTGAANSSSIQDLTLSDCQAKCLAEDNCQGFQFKYDGKNDCILLMERLDYGLWSPGTETVMFLRVDSSETDENPEFTGMTTLMETACPVTIKLPLPPEESRATTRNIVIITTIFAAELISGIFFFWAFLKKYTKYRDMAWTFGLEVMPAGSLKKFSYAELKDATKNFSDVIGKGGFGTVYKGVLGDGRVVAVKALKNVAGGDADFWAEVNIIARMHHLNLVRLWGFCTEKNRRLLVYEHVPNGSLDKFIFQRDLVNLDLDESPQELADRKPVLDWNIRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLGDDFCPKVSDFGLAKLKKKEDIVTKSRFRGTPGYLAPEWLRPEPITSKSDVYSFGLVLLEIVAGKRNFDQQNSEVDSHEWYFPSWAFDKVFKEMNVDDILDPIIKHSYDSTAHFDMVNRIVKTAMWCLQDRAENRPTMGKVAKMLEGTVEIIEPKRPTIFFIRDEDDKSINVAVSMTQTQPIDTLSK >CDP00468 pep chromosome:AUK_PRJEB4211_v1:11:28905253:28907121:-1 gene:GSCOC_T00032417001 transcript:CDP00468 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTDIMIGNLQEKMRGIGEGSTIMMNSTQAKLKAVGADEMMNNLVEKLKQLFMMLENFGLFLIGRLDDAFPPETRKERLQYWLRVGAPFVVAGLVILVLYWCFSRCIRCCCGVKAVKMMKAPGANFRIPRHVFVANPKGYFRALHAGKVKF >CDP00364 pep chromosome:AUK_PRJEB4211_v1:11:29745235:29748184:-1 gene:GSCOC_T00032283001 transcript:CDP00364 gene_biotype:protein_coding transcript_biotype:protein_coding MVAETSTFPIDLIKTRLQLHGERQSALSLTPKPTRATTNTFKIAYQILRNDGLFGLYNGLSPAILRHLFYTPIRIVGYEHLRAQIRPSDHPLSLSTKPILGGISGAIAQIVASPADLIKVRMQADTRMVNQGLRPRYLGPFDALCKIISQEGFGGLWKGVFPNVQRAFLVNMGELACYDHAKHFVIQNQIAEDNIYAHTLSSIMSGLSATALSCPADVVKTRMMYEAAGVDGKAKYQNSFDCLVRTVKIEGFGALWKGFFPTWARLGPWQFVFWVSYEKLRQIAGLSSF >CDP13321 pep chromosome:AUK_PRJEB4211_v1:11:32766179:32773614:1 gene:GSCOC_T00038217001 transcript:CDP13321 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRSKKKRGASVQIDYLINVVEIKPWPPSQSLRSVQSVLLQWQNGDQNSGSFLTVAGDANIAFNESFTLPVTLHPDKKARDRFQKNYLEFSLYEPRKEKATKEQLLGTAIINLSEFGVIEDILPIIAPLNFKKTSKSSPQPALYFQVEPLDKGSSKSSPNVRSSRTSLDQDGQEAHAEFNGDDSEIASFTDDDVSSHSSQTVASSVFDAARASPSQSDKNGLEAVNEITGMDNQHSNGRLPPSSSISLSLNTGHPVNNHTSKSKVPGRSKTSLQKNSYNPSIESSSSFDGYYNMYGGSSNYIECLEQETVTHGVSKEGKNEGNNPEYKNEPVDRLTQPIHDENDIEKHAPIFKGMDVAQLEVNGEKQEKNFGQDEQFPTEKRLFSDYKSVDKLPHNGFRRLGTIGGVTSTREALGVQISNGRLKRVKSQLYYSAGRSEYFGKSHDTERATNVHKPKNADNSAKTIQETENKESVDGSSNAYGQSVAENQMKVLRNESHDYGAESYSRIQMLEEELREAATLEIGLYSVVAEHGSSVSKVHAPARRLSRFYLHACKEKSRAKQASAARAAISGLVLVSKACGNDVPRLTFWLSNAIMLRVIVIQTAAEISNLEAKHAGKSDRSVSHLDSCFPKRQDSFSYVEERNSDSVEESDDWEDIETFIFALEKAESWVFSRIVESIWWQTITPHMQPTVAKTGGRTRGLGTKKNNASGYGLGDQVQGNFSIELWKKAFKDACERLCPIRAGGHECGCLPVMPRLVMEQLVSRLDVAMFNAILRESAEEMPSDPVSDPISDSRVLPIPAGKLSFGAGVQLKNAIGNWSRWLSDLFGIEDSESLDDSDTAGDDKGSESFKAFRFLNALSDLMMLPLEMLMDIPTRKEVCPLLGPTMIKKVLSNFVPDEFCPNPIPQSVIHALDHEDASGVSTESITSHPCTAPPTIYSPPPAPSLLRSIKEVGNNNIQRSGSSLLKKSYTSDDELDELDTRLTSIIADSFQSSAARKLNWIPRGNGKGKVSRYQLLRELWKGYEE >CDP00589 pep chromosome:AUK_PRJEB4211_v1:11:27823087:27827863:1 gene:GSCOC_T00032577001 transcript:CDP00589 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAIQVSVGFALQNLLQLIDENRRLISDNYEKITDLQADVRLLKAFMAEYTAKYRNSKPLTELADEIRSRVFEVEDLMETYIAEEILYQSKNFFKKAVRAREHLSNLRILGETVQKLSAKVKKTNEDNKEIGLHLVALEELSRESSRHSSMSEENQTGGNQEPDRIIGFEDAADNVLELLGGKKLVQGKSEGEEQSNSDAEQHSESKELEMASIHGMLGLGKTTLARKVLNDLRIEYHFFTRIFVTVSNEYNKKEVLLSILSAFIKNIREQNKTEEELVGMVRHELKYKYLIVMDDVWQNDVWEDIKTFLPDNGKGSRVLVTTRIESVANYVATKTKPYKLRLMFAEEAEELLRIKVFDENTCPEELESIEKKILAKCDRLPLAIVVTAGILRNHPKDLTWWEDVLNGVAELVDNNHQKRIDELIRRSYDNLPDILKSCFLYLGVFPEDLEIPVSKLLQLWIAEGFIPQSERASMEKIAELCLRELVGRNLVMVRRRTLSGRIKTCIIHDTLRDFCKKMARAENLFQQVHTDTNPSSGRRLTCINSHFEAYVRKEQPAEKVRSFLSFGEETTLKKELCSNICKHFKLLRVLDILSVKLPGRFPAQLPNLVLLKFIAICCELQILPRKMSSLVNLQTLIVYTTHPTLSIEADIWGMTKLRHLRTNTSTLLPECSRQCSSSENLQTLSTVAPECLTNDVLERTKKLKKLGIRGNLGTLVKANGESRLFDMLCELVSLENLKLRNDEVTSKLLALPPVHKFPARLTRLSLQDTSLDWQIHMPILGKLRFLEVLKLKDNAFMGEDWQTEGGGFRCLKVLFIGSTNLKSWDAKATNFPQLRCLVLKQCKKLVRIPSDFVYMKHLEMIDLEYAKDSVVSSARRIVQQQLMEIIARPYDKKTTPIKLTVYPPE >CDP13298 pep chromosome:AUK_PRJEB4211_v1:11:32623188:32629270:1 gene:GSCOC_T00038189001 transcript:CDP13298 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTLKLINVVFSFVLLMWLFKDTWKRWRESERTKRGNLRESTIFNKITVLLNFVIAISYLGYSFYEFWRLKTFTVDSVFTFLTWMLACAIAVISFGRTRGEQKRWPLVLIMWWFISCIFDILFVSVYVLDRFEYSVLPKILPKINVVDIASLPISILLSFNALLNRSAKERNDTEQQFLEKKVEYQPEHLDAFSTAGIWTKLTFIWLNPLFKIGYLEKLKLEHVPSIPKSETAAQAFSSLEESLCNQKKQKSSLLNAIIHTIWKSLALNAAFAGANTLASYVGPLLITSFVNFLSTKGDGSNQHQGLVLAFIFLLAKTVESVSQRHWYFGAHRIGIRLRAALIVLIYQKSLSIKFGGMSTGKIINLINVDVEKIGDFCWYIHGIWLLPVQVLFALIILYRNLGAAPSFAAFCTTILVMLSNTPLANMQERLHSKIMEAKDSRIKATSEILKGMRVLKLHSWESTFFRRLIQLRETERSWLKKYLYTCSAIAFLFWASPTLVSVVTFGVCIMLKTPLSSGTVLSALATFRILQEPIYNLPELISMVAQTKVSVDRIENFIIEDDQNKPICYDAPNPSDVVVEIEAGEYSWGANNSKKPTIKITNRIRIIKGYNVVICGSVGSGKSSLLYSILGEIPRSSGASIRTFGTKAFVSQSAWIQTGTIKDNVLFGKEMKKTFYEEVVRACALDRDIEIWPKGDLSIVGERGMNLSGGQKQRIQLARAIYSDSDILLLDDPFSAVDAHTGTKIIRLAFNCPFVLQDCLMKLLGTKTVIYVTHQLEFLDAADLVLVVKDGRIVQSGKYQGLIADPNGELIQQIAAHTKTLNQVTTPQQSYHIVKGYHQDNQPQVIEEKYEDFTMSSGHSEMSQHEITETGRVKWHVYSTFITSAYKGALVPLMLFCQIFFQGLQIASNYWIAWGTNEVGRVTSKQLIGIFFLLSAGSSLFILARAFLLSTVAIETAQHLFLGMTRSIFRAPLSFFDNAPSSRILSRSSTDQSVVDTDIPYRLAGLAFALIQLLSIIILMSHVAWQVFFLFLVILAISMWYQAYYISTARELARMVGIQKAPILHHFSESVAGAATIRCFNQETRFSKKNLHLVDDYSRVVFYNSSTMEWLCLRINFLFNLVFFILLAILVTIPRSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMIAVERILQFTNLPSEAPLVSESCPPHSEWPPHGRIEIENLHVQYSPDLPRVLKGITCTFPAGKKIGIVGRTGSGKSTLIQALFRVVEPSDGQILIDGLDICKIGLVDLRSKLSIIPQDPILFQGTVRNNIDPLQLCSDQDIWEVLHKCHLAEIVKQDQRLLDAPVAEDGENWSVGQRQLVCLARALLQKRRILVLDEATASVDTATDDLIQKTIRAETSRCTVLTVAHRIPTVIDNDLVLVLGEGKIIEYDTPAQLLENNSSAFSNLVTEFLKRSCQQQKLSSFG >CDP00618 pep chromosome:AUK_PRJEB4211_v1:11:27570324:27574301:1 gene:GSCOC_T00032613001 transcript:CDP00618 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRMFRQTDNIRGFRNDPRAIMHRGGGPLPPHPAVLEEELEIQHRDMQKIVAENGLLVDENVFLQSELTAVKDEIHRLSQVLPQIRADKEAHIRELIERGMKLEAELRSAEPLRAEVVQLRSESQKLNALRQELAAQVQALNKDINRCKADNQQVAAMKADIEGMHKELIEARRIFEFEKKANEQLVEQNQAMEKNLVSMAREIEKLRAEQASSERRARGPGIGTYGMMNGSPEMRYRGNSYGDPYGSGAWGSYDKRGLPRR >CDP00768 pep chromosome:AUK_PRJEB4211_v1:11:26009785:26027220:1 gene:GSCOC_T00032843001 transcript:CDP00768 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGLVGQGCCQCLAKQFSRLPVTLEVERSFRNVLVGNMRFSNFFFPKISNLYFRSKHKSSGKFLEKVDAQRKAKYPDRSKLLKKVTVLLGYDGIDDSIDNELCEKCNGGKDDFDVSLACKRFPSISLGFFPPVELYDEATCSSMDEGLLASQLYQQFLANSSDPKLVDPDSLYETWTSLCPGREDGNSSSLTEHMHSGSPTLKPKAGRNLEFHLDEPVSATTMLETQNTAAPMQDILDRSINCIPGLTKRQYSQLENCGFHTLRKLLHHYPRTYANLQNAEVSIDDGQYLIFVGKILSSRGIRAGYSFSFLEVVVACEVMQNGSTSECIVDETESRKLRTIHLHLKKFFRGTRFTYQPFLRSLESKHKEGDVVCVSGKVRTMRTKDHYEMREYTLDTLQDEEDSSTCGKETLYPIYPSKGGLKPNYLKDIISRGLQILPPNIDPIPEVIREDFKLICLRDAYTGIHQPKNLAEADSARRRLIFDEFFYLQLGRLYQMLEGLGTKLEKDGLLDKYRKPELNAVLIEDWSTLTKEFLKALPYSLTPSQLSSVSQIIWDLKRPVPMNRLLQGDVGCGKTIVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLLKLLENMENRQMAPSVVLLTGSTSSRQSNIIHISLVIGTHSLIADKVEFSSLRIAVIDEQHRFGVIQRGLFNSKLYFNPASLKLESAKSDDSSKGDNIMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRIPIETYIIEGNEDGFEIVYKMMLDELDAGGKVYLVYPVIEQSEQLPQLRAASADLKTISSRFAGYNCGLLHGKMKSDMKDEALRRFRSGETNILLSTQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGDRKSKCILVASTPSSLNRLKVLEKSSDGFQLASMDLVLRGPGDLLGKKQSGHLPEFPIARLEIDGNILQEAHLAALKILGESHDLGSFHSLKAELSMRQPLSPLGD >CDP13277 pep chromosome:AUK_PRJEB4211_v1:11:32499431:32503597:-1 gene:GSCOC_T00038164001 transcript:CDP13277 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTLNCCWKSRPYNLSASVFQPLGMEFVGPRNSAEMSPARFFSGFRVGLRVFASRNSVKKSRKREKSQKYDTSPSNKAVLEENDAVSNTPPLADNYIQENSNTSSFNHSEAQSTLLIPSRGAVLWACSITSCLIGTLGVVIRQVSHFASTKGWPVIDASSEISLNFETWHLELIVGLVILISSCRYLLLNIWLDFAESSGAANQQVLSSLEPSDYVVVAFLPGISEELLFRGALLPLFGMDWKSVFAVAALFGILHLGSGRKHSFAVWAMFVGLAYGYATVVSSSIIVPMASHAMNNLVGGIMWRYTSKSSK >CDP11647 pep chromosome:AUK_PRJEB4211_v1:11:16666667:16668086:-1 gene:GSCOC_T00034048001 transcript:CDP11647 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEIVFTQFKEITENERLTLKCMEELAFGLTSLYNSFAADEIIGEPMNKIGLWLSEMLKKVQVLKAKREELYLQVPILNFPKNPALCFIEFLQQKLKQQLKSNRDLVEHLTHHIQTISEDQ >CDP07916 pep chromosome:AUK_PRJEB4211_v1:11:12427538:12429633:-1 gene:GSCOC_T00025423001 transcript:CDP07916 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKDIVVRNTKKVLVGAGAWALFNPTLLYNVVRNKVQVEFWWWDWIDEFVLFAAVPFRSDVSQLKDLGVGAVVTLNEPYETLVPTSLSQVCSLPLFLTCFFPLLCVCQGVSALKSSLVLLVLLIFSSEWLMEFIILVFLHEITSLHHHSMIFAELYILFMVTLVSIVRLVKVTAQPSLFDNVTFLVNADYLYSYFSSPLCLVFCILG >CDP07921 pep chromosome:AUK_PRJEB4211_v1:11:12314625:12318948:1 gene:GSCOC_T00025431001 transcript:CDP07921 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSPSSSPLSSAYIFPRRRLSSPSFLPLSSPPPKPPLPSNSGEMKPDVASNSAVYLRRVFTAIVFLSAVVLSCFVLYRATDSVGLKMPMFSQGSSRLDSKEFRLEKVLNDAAMEDKTVILTTLNEAWASPNSIIDLFLESFRFGEHTRKLLNHLVIIALDMKAFSRCLDIHTHCFALVTEGVDFSSEAYFMTPAYLKMMWSRIFFLQSVLELGFNFVFTDADVMWFRDPFPHFYFDADFQIACDHFSGISDGVENKPNGGFKFVRSNNRSIEFYKYWYSSREKFPGLHDQDVLNIIKNGTFIQDIGLKMRFLSTAYFGGFCEPSRDLNEVCTMHANCCFGLDSKLHDLRILLQDWKSYMSLPPRFKGSPLVSWRVPQNCSLDALLHFDLQSEDEKQEMDNNGKTDKRL >CDP11015 pep chromosome:AUK_PRJEB4211_v1:11:7359626:7367494:1 gene:GSCOC_T00032984001 transcript:CDP11015 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDLINISIIQRHPLLRARCSSLIQFNKFCAARSQRPKLFKLTRRTNFREEMGSTNALFNQLKTAEPFFVIAGPNVIESEQHILYMAKHIKAITSRLGLPLVFKSSFDKANRTSSKSFRGPGLTEGLKILEKVKVEYDIPVITDVHETIQCEAVGRVADIIQIPAFLCRQTDLLVAAAQTGKIINVKKGQFCAPSVMVNSAEKVRLAGNPNVMVCERGTMFGYNDLIVDPRNLELMREANCPVVADITHSLQQPAGKKLEGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHNDPLSAPVDGPTQWPLRHFEELLEELVSIAKVSKGKQQFRIDLSPFQD >CDP00802 pep chromosome:AUK_PRJEB4211_v1:11:25688087:25690513:1 gene:GSCOC_T00032891001 transcript:CDP00802 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCGLLRIKVCKGINLAVRDTVSHSSDPYVVTVKTSVVNKNCSPVWNESLTLSLKDPNVPFVLRVFDKDTFTGDDPMGDAEFNVKPFLECLKMGLQDLPDGTKVDRVQPSHDNCLADESCIVWNQGKMFQKMILRLRNVECGEVEIQLEWLDYPGFRG >CDP00223 pep chromosome:AUK_PRJEB4211_v1:11:30807813:30808509:-1 gene:GSCOC_T00032104001 transcript:CDP00223 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSYENSWADQWDPEPLHDRNNINKDSGAKSSSKFSSKVGHKLDKTKAAASTGVKKVKEGASVGIHWIKDKCQRKTQK >CDP04869 pep chromosome:AUK_PRJEB4211_v1:11:3736212:3744451:-1 gene:GSCOC_T00019651001 transcript:CDP04869 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCKPFFLSRRYLHSSVLIVHSFLGIFRIILRFGDKMSFLIDILKRILGIKDEERDINQQQNLNQRHYDFQRPLDSDSFSRSRTSLAQTTANSRTNEVYNANKSGSNFNEHLVPGKLSRDEVGKSMTSSTGTGIGDKNLRVVSQSASPSFVLYDKQPSISAKPREVLKNPNEITQNRNGLFSSKGTIPQTYANITSGQKSSFGISSASYKSFQSSKLSPSEAGTEKLHSTVKTDSPSSYPSLADSCSQKPPPLSTKPVLSLASTSSTSPQTKTKYIWVDKGAPSTYVFPENISALIEKDIVPGVLKMPLSMSTYMDYFQALLYAEDCHLEKWDGFEMKNVNLEFHEASIFTRKGKHNTLKESDQKDEKTFVAFEFDKIPERRPFLLSRDFVSVQPCGRKIEPFQGVIYRVVKSNLVLAEFGESFYSQHRSECKYDVKFSFNRVCLKRAHQAIAAVSSALFRNFLFPDLPPENVVLSTQYVDNRYQKANFVVHQILRLQGAPPYLVEGPMCIERDRLSRTGVVIVEAALQILRLDPSKKILLCAPINRTCDLLMRGLKKEISDSDMFRANAAFRELDGIPVDILPSCLYESQTECFSCPSLEELGKFKIILSTFMSSFKLHSEGVKVGHFSHIFLVDASSATEPETMVPLANFANDKTIVVVTGAPRNHSGWIRSKIARENGLLTSYFERLRKSDLYNELDPKVITQLDDNSTERYRSPLAFGI >CDP11615 pep chromosome:AUK_PRJEB4211_v1:11:15702388:15703798:-1 gene:GSCOC_T00033983001 transcript:CDP11615 gene_biotype:protein_coding transcript_biotype:protein_coding MYEFFHFGAGYTGNFFAYSLDHQLLPGISFSLKFNRSLVAIAFILSKVSAEFLFLFFFPHIILWLKCIWLPCVLDTSYSHIIASLMPGT >CDP05492 pep chromosome:AUK_PRJEB4211_v1:11:21584562:21584777:-1 gene:GSCOC_T00020566001 transcript:CDP05492 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFDLQTLAAFDPFAEANADNSGAGSKDYVHIRIQQRNGRKSLTTVQGLKKEFSSQLRERGRGGEGERKS >CDP00158 pep chromosome:AUK_PRJEB4211_v1:11:31338860:31342158:1 gene:GSCOC_T00032013001 transcript:CDP00158 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGANGRVHDLNTMLSSSDRDFLIRNNGQQVKVESLKGKKVGLYFSASWCGPCRRFTPKLVEVYNELLPKDDFEIVFVSADEDDESFAAYFSKMPWLAIPFSDSETRDRLDELFSVRGIPHLVIIGENGKVSTDDGVEVVQGCGAEGYPFSPEWIKEIKEQEEVARRNQTLKTILVSRSRDYVVASDGKKVSVAELEGKTVGLYFFLSTFGGRNAFTSKLLELYEKLKAKGENFEIVMIPLDDDEESYKLWFKNMPWLSLPVKDKGCEKLVRYFELFTLPTVVIIGPDGKTLHSNVAEAIEEHGVQAYPFTPEKFAELEEIEKAKREAQTLESILVSGDHNFVIGKDGVKVPVSDLVGKTILLYFSAHWCPPCRAFLPKLIEAYHGIKAKNGAFEVVFISSDRDQNSFDEFFSKMPWLALPFGDGRKESLSRVFKVLGIPMLVAIGPTGKTVTTEARDLITYHGANAYPFTEERLKEIEEEYDEMAKGWPEKGGQVWSFYCGECDYDLHPKCALEEDMMEITDEAETEVSNKDQKEGWICDGDVCYKA >CDP04851 pep chromosome:AUK_PRJEB4211_v1:11:4684555:4685211:1 gene:GSCOC_T00019606001 transcript:CDP04851 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFKSKENVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIILATLKKSAKPLDRPMGGPPGDRPRGPPRFDGDRPRFGNREGYRAGLVDLLVSLVVRKVELLWTTSMLSGVLVEGLALAVDLELRPCPS >CDP04840 pep chromosome:AUK_PRJEB4211_v1:11:4844824:4853619:-1 gene:GSCOC_T00019588001 transcript:CDP04840 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNWEADKMLDVYIHDYLVKRDLKATAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEIAASYIETQIIKAREQQQHQQQQQPQSQHPQHPQQQQQQQLQMQQLLLQRQAQQQQQQQQQQQQQQQQQQQQQHQQQQQQQQHQQQQQQQQQMQHQQQQRRDGGHLLNGSANGIAGNDPLMRQNPGTANALATKMYEEKLKLPVQRDSLDDPGMKHVSPLLDPNHASILKSAAAAGQPSGQVLHGTAGGMSPQVQARSQQIPGSTPDIKTEMNPILNHRAAGPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRTGLIQQQKSFMQGSQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLLNNRNMTMSKDGLSNSVGDVVPNLGSPLQAGCPVMPRADPDMVLKLKLAQMQQQQQQQQQQNNNPQQQQLQQHALAGQQPQSSNHNVQADKIMGSNNVTGDGIMSNSFRANDQLCPDTCLPPRAKATFTGHCRRK >CDP00617 pep chromosome:AUK_PRJEB4211_v1:11:27574539:27578219:-1 gene:GSCOC_T00032612001 transcript:CDP00617 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGKESATLEDFLEKAGGCAVLDGGFATQLERHGATINDPLWSALCLIKDPHLIQKVHQEYLEAGADILVTSSYQATIPGFLSRGLSIEEAETLLRRSVKLAVEARDKFWDAIKTNPYQNRTKALVAASIGSYGAYLADGSEYGGNYGPDVNLDKLKDFHRRRLQVLVEAGADLLAFETIPNKLEAQACAELLEEENVQIPSWICFSSVDGKNAPSGESFNECLDVLNKINKVTAVGINCAPPHFVLALIQKFKELTGKAIVVYPNSGEIWDGVAKRWLPSKCFDDEKFELFATRWRDAGAKLIGGCCRTTPDTVRAISKALKEWS >CDP13368 pep chromosome:AUK_PRJEB4211_v1:11:33225255:33226619:1 gene:GSCOC_T00038278001 transcript:CDP13368 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQTISSILMLPWLAHGHVSPYLELAKKLTARNFNIYLCSTPINLSSIRSKISPKFGKSIQLIELNLPTLPNLPPQYHTTNGLPPHLMVTLKEAFEMASPNFCKILRTLMPDLLIYDLLQPWAPEAASYYNIPAVEFITCSATMTSCMLHFFQTPGIQFPYSSTIFFRDYDFKEIEGKYNDYVSCLSGKKVVPVGPLVQDPVHDNEDSTIMEWLNTKGKYSTVFVSFGSEYFLSKEDLEGVAHGLELSSLNFVWVVRFPKGENIIVEEALPKGFLKRVGERGKIVNGWAPQAKILNHSSIGGFVSHCGWNSVLEGMRFGVPIIAVPMHLDQPVNARLLEEVGAGMEVVRGSTGKIHGEDMAEIINQVVKGPRGEPVRKKARDLREKLELKGDEEIDEVVKELVQLCLTKDESNGLHQPIQ >CDP00488 pep chromosome:AUK_PRJEB4211_v1:11:28748569:28752467:-1 gene:GSCOC_T00032443001 transcript:CDP00488 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLILKFSKKPPKYSLTSLFFFRPIFSYATLAAVNHLEVPPNDVSSAIFTRLINFNCGDKRGFAKRLGRDPEFNTLISGLSAPEVDGILEKLRIKYPETALDFFFLLKNEYDFKHSRDSCISIAHVLARKERFRALKLHLLQMVHLEGSGSAPSLCELLSNGFRESDFSHTVWDMLAFAYSRSGMVHDALFVLFKMKDLNVQASIMTLNGLLYNLRLTDVMWDMNDVIKASGIRPSSYTNSIIIDGLCRQSLVEEAVAFMQEAEKEESGPRIVWLNNLMTGFCKLGFVNVAKSFFCIMHKCGLLPDTYSYNILINGLCIAGSMEEALEFTSDMEKHGLEPDIVTYNTLAKGFSLLGLMSGAWKVISLMLYKGLNPNLITYTILICGHCQTGNIKECFKLREEMLSRGMQLTNISYGVMISCLCKRGNVNEALSLFDEMKTIGLEADVVIYSILIHGLCKQGRLHHAIHLYKEMCLERVMPNLFTQRSILLALSEKGTIKEARRYFDTLMHCDLLEDIGLCNIMLYSYAKVGYMDEAIQLYRMILEKGITPTVVTFNSVIYGFCKSRRLADARIWLNAIESHGLVPSAVTYTTLMNAFCEERDMQAMFKLLKEMEARAIEPTHVTYTVVIKGLCRQRKVKEAVGVLQDMFAKGVSPDEISYNIIIQSLCKTQDMKRAFQLHDEMLLRNLQPNHVTYNILINGLCVRGNLKDAEKLLASLQDQKVRLTKVAYTTLIKALCAKGNVHKAIVLFHQMVEMGYQVSVRDCSAVVNRLCKRHLISDAKAFLRLILQCGIALDQQICSVLRNNLYRIHDKDMMVQLLALMVKCGFDRGLGICTCLPRTK >CDP00625 pep chromosome:AUK_PRJEB4211_v1:11:27473553:27475737:1 gene:GSCOC_T00032626001 transcript:CDP00625 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRDCVDQWKPVAAMFGVCFALAIVNICLKKALNQGMSHLLIVTYRQSISTIFLTPLAHFWERKCWNNLTGGILCGLFFGGLLGATLTQYFFLVGLKYTSATYTCAFINMAPVFTFILALPLRQEKVNLKNTSGRAKVLGTLICVGGALVLILYKGMPVINAPKSSPVMLQKAKHDTAKWVIGSIILALGGILWASWFLIQARIGKYYPYQYSSTAMLSFCSAVQSAILCAIIERPNSWTLKGPLQISSIIYAGAVGSGLCYVCMSWCVKQRGPVFTAAFSPFIQIFAAIFDVSLLHEQINLGSILGSVLVVVGMYVLLWGKSKEVNLELDNRNVQEKVGDTNHALSVTTPIDAGSTTSV >CDP00220 pep chromosome:AUK_PRJEB4211_v1:11:30821393:30822667:1 gene:GSCOC_T00032100001 transcript:CDP00220 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLPGPLPGNLKIHEAESDQSHSNRSDPSSNSSLYSQPSLPSVPSLTSPPQKVEQPSSTYHHLVATLKGHSSYVFSLALAGKHLYSGSSNSEICAWSRDPSPDDYSINGSTNCNLVAQGNSAIKSIVILGDKLFTAHNDHKIRAWKIDNDHHTPQRKYKCIATLPTLNDSCMRAFSAKNYVQVRRHKKCTWVHHVDTVSALALSTDGSLLYSVSWDRTFKIWRTSGFKCLESVWNAHDDAINAVALSSDGFVYTGSADKKIKVWKKHEGDKKHSLVATLDKHKSAVNALALSSDGAVLYSGACDRSIVVWEKDGSSGHMVVAGALRGHTKAILCLTVVSDLVLCSGSADKTVRVWRRGLGKSYSCLAVFEGHRGPVKCLTAIAENNHASTDNNSSSSAVVSYLVYSGSLDCDIKVWKIPVPSV >CDP00303 pep chromosome:AUK_PRJEB4211_v1:11:30181428:30183461:1 gene:GSCOC_T00032199001 transcript:CDP00303 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTAAMAFFPANFMLQTSHHDQDHHDSQQPPTSLSTPILSSCAPQDFHGVASLLGKRSMSFSGVDVCDQENHGEDDLSDDGSQMGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYEVLKRQFEAIKAENDALQAQNQKLHAEISALKNREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDRDSPLSTHPTASRSLFPTSIRSAGGMTTTQLFHNSTRPDLQCQKMDHSTVKEESLCNMFGIDDQAGFWPWLEQQHFN >CDP16278 pep chromosome:AUK_PRJEB4211_v1:11:24101290:24104601:-1 gene:GSCOC_T00018053001 transcript:CDP16278 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTCSTFVNFQITPLPGAWPQKPGSATFPFFGVQPVIVDEKGAEIEGECSGYLCVKSSWPSAFRTLYGDHERYEATYFSAFPGYYFTGDGCTRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVESVLYSEDLRRSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPSVVDELIKLVDC >CDP16271 pep chromosome:AUK_PRJEB4211_v1:11:24267579:24270681:-1 gene:GSCOC_T00018046001 transcript:CDP16271 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSTYKKAKKIHLRRLSLLACNNMIKFTLFIVLWFVFLAGRIGFSLHAGAYSNVSCFENERQALLEFKKGLIDKSNRLASWTGEDCCSWEGIGCSRNTGHVVKLDLRNNAIFDHARWTFDDRQNYVSIYGETCLGGQISPSLLNLQRLHYLDLSSNYFAGIRIPTFLGSLKNLRYLNLSSAGFSGTIPPQLGNLSALGSLSLGDKYGEARDWLQALNKLRFLSSLTLQPYGIYSFPHIAHHNFTSLTFLDLRDSEFNSTIPLWLFNLTSLVHLDLSSNNFFGPIVPHSLQHWTSLSYLDLSTNRFNTSLPDSLFTLNNLVYMDLTDNQIQGPLPFGLGNLTSLSVLRMGINMFEGKIPRAIGQLRELTELDLSSNGFNGTIPSSLWRLSELKSLDLSDNPLSGELHDVHFAQLTQLKMLGLSSLLALNVSSSWVPPFQLHTIDMSSMKIGPKFPLWLQTQKKVESLDMSNASISGTIPDWFERVCHGITYLDFSYNHMMGKPPVCKGNSGLKYRTEFSLESNEFEGPLQLLPTDIYRLHLQSNSLQGIIPHPDINKTLAILLTLDLSDNHFNGSIPDSLCSLQMLVVLDLSNNQLSGRIPSCIGKLKTLKVLHLGNNSLHGHIPISLGHLNVLQSLHLNRNKFTGMVPFSLRYLKNLQYLNLGNNELEGIIPAWIGDELSSLRSLVLESNNFHGEISMSLCKLSSLQGLDLSRNHLSGRIPENIGNLSQLESLDLSKNDLSGPIPQSLSNLDSLGWMNLSFNKLTGRIPSGRHLQTLDDPTIYMGNSGLCGEPLDKSCPDGKSNAGESDGDHEDGKESYFDWFYAGLGPGFAVGLVGFLSVLCFQKSWRYAYFGFLESLFNKVWVEIALLKRKFN >CDP00797 pep chromosome:AUK_PRJEB4211_v1:11:25786549:25787756:-1 gene:GSCOC_T00032885001 transcript:CDP00797 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAEKLEAEINIKSDPDEFFHSFGGKAHQLPNLCSEKLHAIDLHEGDWKTEGSVKHWTYVIDGKVETAKERFKVDEENRIVTLEAIEGDIKEQYKSYKVELQVISKGVSNFAHWSIEYETVKENDPAPTKYLHWLIHAAKDVDASLLKARK >CDP00644 pep chromosome:AUK_PRJEB4211_v1:11:27302435:27303701:1 gene:GSCOC_T00032654001 transcript:CDP00644 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLEREREQSLSSSSSSKPLHNFNLPFGLRWGNQKFLRCMKVNSNGEISAVQRRPSSSSSLAAAFNASNGNRNGNLNSSSSADVLSIIGKRKEREKCDDPSINRDRSGDGIAAVREKLMFDLQTAADKMKDAILRERLEPDEQHDNLPSLVQAPATLVATVATTASEIAEAAARPWNLRTRRAACKAPSGNGCSSAGAGTSNGGSGDDFSPEKSLKVDLAKPNFGASPSRVAVVENNKSSPRPRSGGATTATASPSNEKKERAKFSVSITRQEIEEDFLAIAGRRPPRRPQKRSKYIQKNLDTLFPGLWLIEITAEMYKVPETQ >CDP11610 pep chromosome:AUK_PRJEB4211_v1:11:15457875:15459765:1 gene:GSCOC_T00033972001 transcript:CDP11610 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAYSGDGSSDEASVDTGKKKEQFRRHSAQQIQRLEAFFKTSQHPTKDEKQQLSIELGLSFGQIKFWFQNKRNQIKLRNEREQNDALTLENEKLRVENLILRGMLMDPFCTKCHGGLTEEETRKLHLQGLANENAKLKKEVDSSFHVFELSKILAMESIFFSVLPFFSFELCSLMKKLDS >CDP05538 pep chromosome:AUK_PRJEB4211_v1:11:22609692:22613354:-1 gene:GSCOC_T00020646001 transcript:CDP05538 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNIRNLQMELRFLKMFSLCLKKREEPGKDMHVGSIMIGIETLQKEAEGSLHDACLAKIPESKIEDWGPLASDLLEKIQNFKREIIEISALLSDCSLQSKSCKAEEILELIDSILWNLKALVNLEGDVLGHLKDQIRAIQEQLCFLGNFVGFTAKRCVDLDTFEDFLAYMGDWVNKAACLLIVYWLQEKDENISSRLEMMLSDLLQKVKPCPPKVTEMYVAVLKASKSSRSSKFLAGQVVTSFVELLLQDLTVLMKDSVDVLKEGLVFLITFLIDPPEECARDVGNVFLAQIDAIITDAISLVCSVYIDESKENFFTERRTFLSRFEDKIKKIEADAKEIYVQLQVLSQINFPSTNGMGFIDSLLGNLDEMLKHGANCPPFAKHKVLKIQGELLSLRPLLKDVMELQNEHEDLKDLWKRIINVSYKAEHAINSCLIIQKPIWYNMISLADVMEEIKLIRTDLEKINVDKMLKSRMPGADMNLNQLNPGQLNTSRLQDVVVGFKDEAETIINRLTRGSAQLDIVSIVGMPGLGKTTLAKKVYHDPAVKYYFNRCAWCCVSQVYRIRELLLDILSDITVSNVRLSSSNTSDEDLVEQLWRSLKRQRYLIVMDDIWDIRAWECLKRSLPDDRNGSRIIFTSRIHNLALQAKPDCSPHTLRPLSGEESWELLEQKLFDKAGCPTDLVEIGKRIATNCKGLALAVVLVAGILAGKSRNLDWWLQVESSIGSHIVAADGCMDVLQLSYKHLPDCLRPCFLYFAAYPEDTVVGVQKLMRLWIAQGFIQRIEGKSLDDVAEEYLMDLISRSLVIAAKRSSKGRIKTCRVHDLVHELCLVKVKEENFLHWVHEHDVSQNLDPREYDQYRLCIDSEWTHFTKSSSQGPLVNSLQLFGTYEMSQPHSSPSSFFNNFILLQVLDLECLYLEPSFPEEITLITPLRYLALWCSIRHVPSSIGNLWNLETFIVKSAQLDVPLPDSFWRLKHLRHVAVGDLNHTSFIDSEQGESCQLDNIVTFSKPTLAFGKDSEELMRRLPRLQKLNCVFFEPQYDHLKPILFPKLSSLSNLESLKVYSYGMVFYGEKRDQFPTFDFPNTLRKLTLGRFSVPWSAISVIGQLPNLEILKLRRNSFSGPRWDVEDGEFQKLKFLELWQLNIQEWNVSSEPFPRLEQLTIRDCYSLQEIPSSIGDIPTLEKLEVHWCFDAASSAKHILEEQRDMGNDLLEVIIRT >CDP00490 pep chromosome:AUK_PRJEB4211_v1:11:28745808:28747307:1 gene:GSCOC_T00032445001 transcript:CDP00490 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSLSSPISSQTLPPLALRWPTQTMSTISANGVHVLIFPYPAQGHILPMLDFTHQLALRGLTITILVTPKNLPILNPLLSTHPSIQTLVFPFPPHPSIPSGVENVRDIGNHGNIPIISALSKLHDQIIQWFKSHPSPPVVLISDFFLGWTENLAHQIGIPRIVFYSSGAFGMAVLRHLWLNFESITSSVSVVNFSDLPRSPSFVWHHLPSVFRRCRDNSSDQDSLVVRWSIAANSKSWGAVFNTFDALEGEYLEWWKKKMGHGRVFAIGPLNLIGVPEKVGRGDVYLQSADGRSSSLQWLDGWPDGSVLYVCFGSQKFLKKAQMEALAIGLEGSGVRFIWVVKQLTAQQVDEGYGSVPDGFEDRVSGRGLVVKGWAPQVAILNHRAVGGFLCHCGGNAMLEAIEAGVMILGWPMEADQYMNERLLVDYIGAGVRVCEGPETVPDPTVLAKTIGESMRGDTIRMEMAKQLRNKAFEAVNVGGTSTKDIDGLLRELAQLK >CDP04825 pep chromosome:AUK_PRJEB4211_v1:11:5149563:5151046:-1 gene:GSCOC_T00019560001 transcript:CDP04825 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSWICKRTVVSTKPVEAGKFCPLSVLDRIMEHNHVRVVLYYRCPKERKAGESIRMLRESLAELLSAYPIVTGRLLKTAEGHWMIKCNDAGVRMVEARAKGRVDKWLQNFDREKELNLVHWEPMFHKLYFWSTFYVQLTEFEEGGLAVGLSCGHLLSDPICVTIFIKAWADMTLNGKMVTPPLFHRLPRRRQCNETTNPQPFPELINYYKSTLEKPVPVVQAKQTTIKIAFGDEMVRSCIAMSHAPGSPDEPSPTPFQALAGLFWVGISKIKGLKNGLITMSICLDMRKVLGLDKGFFGNCMVYNRVPGDGIEEYELSKAANAIREVVDTMDAEGIMNLIEWMEGKADQFSCLMNGYDLICVNLEHVDSYSAIFEENFKPIHASYYIEPTVGEGMILVLPSPPGEGSFSRVVMITLPEDEAINLLEDKLIQQFSPTILMMADKKHTTANSDP >CDP13387 pep chromosome:AUK_PRJEB4211_v1:11:33369014:33374100:1 gene:GSCOC_T00038304001 transcript:CDP13387 gene_biotype:protein_coding transcript_biotype:protein_coding description:PBS1 [Source:Projected from Arabidopsis thaliana (AT5G13160) UniProtKB/TrEMBL;Acc:A0A384K8Z2] MGCFPCFDSREEEKLNPQNDRDGPKEVHPAIPSNFSRLSSGADRLKTRSNVGSRKESSGLKDMPDVQIAAQTFTFRELAAATNNFRQESFLGEGGFGRVYKGQLLSGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHSNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGFVPKVSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTLPHGEQNLVTWARPLFNDRRKFARLADPRLQGQYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANQAYDPSAAPGLGYRFTNDKDEKRNKDERGSEKEDSPRETARMLNRDLDRERAVAEAKMWGENWREKRRQNAQGSFDGNNG >CDP18098 pep chromosome:AUK_PRJEB4211_v1:11:14375436:14377242:-1 gene:GSCOC_T00006461001 transcript:CDP18098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable microtubule-binding protein TANGLED [Source:Projected from Arabidopsis thaliana (AT3G05330) UniProtKB/Swiss-Prot;Acc:Q84M91] MVARTPPNHQHKKMVAPPTPPPPLLDPTLIRETVNKVDKSIARLQELQFTVTGGTKVISGVTLSPRSTRSYLRTSLRCKQESLRIKNVTARRSPPGKLPTNTGDWKRMSLPAMLLGATVGEILQASQFARQIVETVASNKPNRPADDPKTPLTNRRRNQMTPNAENSELRVRRKREKQVALQSIRSESDAPSLQRAKSRINFKVSPLHKNNCDKENCRFIANRVSPRNRPWARKTVLFPNPLFHSSSPTSQHQRLCKTKSPVIARNRQTPHKFLIKSPPSASKFHVKIRSPPLSISPTRSISLIKRSPKVSTAAKLRRSFSPSRLASKIVSPLKSRKSVQNKTDGMKMMMSGLKQRPTTATPMRFAAPRI >CDP00260 pep chromosome:AUK_PRJEB4211_v1:11:30526973:30528421:1 gene:GSCOC_T00032144001 transcript:CDP00260 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKISVNSIIIFTMLIVYVQPALGQACQKTCGSIPIKYPFGTGPGCGDPRFQSYVTCNQQQLTFSTHTGCYPVTSIDYNNQVLYITDPSMSTCSCSQPSKGFSLDWNAPFSFRDDVVFALLDCSTSASPIYKSIRASNSTTFPLCDPQGASVCSVLYSCQAISRLNIPVSTCCVYTPVDLGPSFEMDLQKLQCTAYSGLYGFNGQESNPDAWKYGVALNYKFNLNNDYPAMCANCEKSNGVCGYGGPYNSYVCNCPSGFNTSTDCFYGAAWSNGLRFYPWQTGIALVYSLAWFAILSAA >CDP00271 pep chromosome:AUK_PRJEB4211_v1:11:30455867:30456651:1 gene:GSCOC_T00032158001 transcript:CDP00271 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYWSTGANRSKVIEIHSTKKWRVHYEESKGTDKLMVIDFSASWCGPCGYMEPIVKEFSTTYTDVVFFKIDVDELADVAKEFGVQAMPTFVFMKNGKEVDKLVGADREELERMIHKYRF >CDP18009 pep chromosome:AUK_PRJEB4211_v1:11:17056309:17064113:1 gene:GSCOC_T00001351001 transcript:CDP18009 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAMDMLKAASEWLTFVFDAPFARAVVFGVNIGGHLFMEGLLIVVIVFLLSQKSYKPPKRPLTKKEIDELCDEWVPAPLIPKMTDEMRYEPPILESAAGSHTLINGTEVINFASANYLGLVGHGKLLEACTKSLEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPAFCKKGDVIVADEGVHWAIQNGLQLSRSTVVYFKHNDMESLRDMLEKVTKGNKRAEKLRRYIVVEAVYQNSGQIAPLDEIIRLKENYRFRVLLDESNSMGVLGSSGRGLTEYCKVPVGKIDIITAAMGHALATEGGVCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDILEENPDLIMKLKKNIGTLCRGLSDIQGLEIASDPASPIVFLRLKKSTGSLKGDLQVLEDIAQHVLKEDSVLVATSRRSTLDKCKLPVGIRLFVSAAHTEDDLMKAGESLKRVAALALNGHD >CDP07937 pep chromosome:AUK_PRJEB4211_v1:11:11814859:11815868:-1 gene:GSCOC_T00025458001 transcript:CDP07937 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLSSQFSPHPILIRMFSIVMPLGNYQGAHVFKSKFGACALFLVNCNSQSLTRVAFGNMDYHLPPWSISILTHCKIMVYQHPSFSHLTHLIYVCSIHRLIYNLLIFIAFH >CDP00805 pep chromosome:AUK_PRJEB4211_v1:11:25627790:25629058:1 gene:GSCOC_T00032897001 transcript:CDP00805 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSQMGGWGTPSESIITNSRAVASTRPVVLVDSQENGIRLVHALMACAEAIQQENMKLAEALVKHIGFLAVSQAGAMRKVATYFAEALARRIYRLYPTSPHDSAFTDLLQMHFYETCPYIKFAHFTANQAILEAFANKNRVHVIDFSMKQGMQWPALLQALALRPGGPPSFRLTGIGPPSHDNTDHLQEVGWKLAQLADCIKVEFEFRGFVANSLADLDASMFDIREGETVAVNSIFELHQLLSRPGAIEKVLSAVKELKPEILTVVEQEANHNGPVFLDRFTESLHYYSTLFDSLEGCGSGGGGNGDKVMSEVYLGRQICNVVACEGVDRVERHETLAQWRTRFGSAGFEAVHLGSNAFKQASMLLALFAGGDGYRVEENNGSLMLGWHTRPLIATSAWKLS >CDP00525 pep chromosome:AUK_PRJEB4211_v1:11:28456767:28459832:1 gene:GSCOC_T00032486001 transcript:CDP00525 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKLHHHHLQFLKSPKLFPISKTIPPQHSNFLLNPIYNPKLPLNSLSNVNCKIVRCVSSAKVPKWMRRDEDERDNFELEYLTPDGEVYQKTLRLVECAMFSAVSGLTYLLSNSLAIENYFSCFFALPIVLSSMRWGVSAARKTMVGTFVLLFVLSGPVKALTYLLMHGLLGFAMGSMWRSKASWGISIFCCAVVRAMGAIGYVLISSFLIEENILALITVNIHASLTYVFTSVGLQSAPSMDIIYAIFGSLLLVNCTFFVFLLHLLYAVFFTKFGMKSSLRLPRWLETAI >CDP00681 pep chromosome:AUK_PRJEB4211_v1:11:26975438:26977343:-1 gene:GSCOC_T00032706001 transcript:CDP00681 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESSKTSVHGGTSNIRRNLSYNDISRSPSPSPSFLNYESDGGEFTTYEKEIMMASRLHQSDLLTDLHHHQDLVDRQNTVLSYMKEAAKQAQALRQENINLKMVNAELTNRLGLLIKATTEYAASFGFSGVDPGLNVDSLLNGLSRMSLADGAGVNEGNAWSGPAADGDSPSPTSVMESGGVEGSGEGTPGRILLPKSISIRSTGYLKPVQPGGNGGGKVNKVQNRSKIWDDTHKVFVRGGKKEEQPLELEVYNQGMFKTELCNKWQETGACPYGDHCQFAHGIQELRPVIRHPRYKTEVCRMVLNGDHCPYGHRCHFRHTLTDQEKVIRSINIRSLKVLNR >CDP04857 pep chromosome:AUK_PRJEB4211_v1:11:4424479:4427227:-1 gene:GSCOC_T00019617001 transcript:CDP04857 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRWPRLLTPTQLSQIIKSQKNPLKALQIFREAKCRYPGYRHNGPVYNTIISILGNSGRIAEMKEVICQMRDDSCECRDSVFAGAIRTYSKAGLLGEAIALFRSLPQFNCVNWTESFNTLLEIMVKESKLETAHLLFVENFSSWEVKSRTQSLNLLINALCQLNRSDLALNVFQEMYYQCCNPDRETYRILMRGLCQDGRLNEATHLLYSMFWRISQRGSSRDVAIYRILLDTLCDNGEVEEAVNILGKVLRKGLKAPKRYHKHLDLSECYSGGRADITSMKVLINEALIRGGVPNTDGYITMANDLYSEGKINGGDKVLSEMQDRGFRPSSLVFEAKVAALCRDGRFDDAVAVLEREMVEKNCVPSVKLYNAVIKGLSEGRKSTFAIRYLERMSRQIGCGPDHETYTLLVDGLCNDGKYVEASNIVEEMSNNSFRPRNETYNKLIQGLCLTGSSYNAIMWLEELISEAKIPELSTWNSLVSSVCSESVCTQTFSDTLKLLVDSS >CDP13186 pep chromosome:AUK_PRJEB4211_v1:11:31838314:31842366:1 gene:GSCOC_T00038047001 transcript:CDP13186 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDTTAPSYWLNWRFLLCAIWILAAMVGAAILIWRFEGFTKSKGKQRDFQKKKVGVLYKDEAWRTSSKRIHPAWLLAYRVIAFSMLLGILIGDVVLHGARIFYFYTQWTFTTVIIYFGAASYFSLHGCLHCHNEISGADFVHSDAEKGTYVAPSLGEDGNAHCSPRNSNSHGEPCARKTAGAGGYAFQILFQASAVVLTDIVFWLILFPKAHRLNFFKVCMHSVNAVALFGDVTLNGLRFPSFRVAYFILWTSMFVIFQWIIHAFVSMQWPYPFLDLSSPYAPAWYLGVGLLTVPCFGIVALVIRIKKSLVCPRITLEREVG >CDP16889 pep chromosome:AUK_PRJEB4211_v1:11:801517:805023:-1 gene:GSCOC_T00019473001 transcript:CDP16889 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGAVGAAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDEDHERVVDCTTPNFTGIISVMDPTRSWAARWLRIGKFVPGCYTLAVSEALPEDLQTLCEDERVPYVAPKRV >CDP16276 pep chromosome:AUK_PRJEB4211_v1:11:24112861:24114526:-1 gene:GSCOC_T00018051001 transcript:CDP16276 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYNNCFQLLPKLRNNVQKTLVDKSDCLASDRRRLCSWEGVGCRNTGHVMTLDLRNNALFDFDLWMSGDSQLYMSIYDKTCLEGHISPSLTKLQHLRYLDLSSNNFVGIQIPKLLGFLKILRYLNLSNWLQELKKLPLLSSLSLQTHGVYPVSHLPRVNFASLTSLDLRDNDLNSTIPLWLFNVTLLVHLNLDLNYFYGPISPNSFQQWTSLTKLDLSVNKFSSSLPFCLGNPTSLSVLDMRSNELQGEIPSVIVQLRKLTELSLAYNGFNGTILSWLWRLNKLEHLDLSQNALNGELGKFHFWRLIRLKHLDQSENPMSGNLNYTSSNVRYVRFMGRSKNKLSGEIPVELMTLVGLQGLDLSGNHLSGRIPENIGNLKQLQPLDPSKNELSGSISQSLSDLNFLSWPKLSFNKLTGRIPSGHHLQTLVNRPFTWEIVDLAVNR >CDP00720 pep chromosome:AUK_PRJEB4211_v1:11:26551138:26552886:1 gene:GSCOC_T00032777001 transcript:CDP00720 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGNGPQSYAQNSSYQRGATEVAKEIIREEIDKKLDIKQLSSTSMDPFCIADFGCSTGPNTFVAMRIIIEAFEDRFKKEGLTPEFQVFFNDLVSNDFNTLFASLPPERHYCAAGVPGSFHSVMFPKASLHFAFSSYSLHWLSRVPKEVTDNTSPAWNKGKIHHGGAKREVLDAYASQFATDLDSFLNARAHELVDGGLMALLIPAAPDAIRESHTSTITEVECEVLGSCLMDLAKKGVVDEVKVHMFNLPVYFTFPYELKALLKANENMDVQRMEMLNIPGKQELFPNPTANVLYLRAVLQGVLEKHFGSGIVDELFELYGRKVADSSFFFDPENQDLIVIFVLLRRKMRT >CDP04888 pep chromosome:AUK_PRJEB4211_v1:11:2820064:2824243:-1 gene:GSCOC_T00019687001 transcript:CDP04888 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAVFIWEVHPLSIPPASQSGRISQSPNHPSGLDGRLAFLTNVRELVHPSSPHQQPKSRGYLPLRFLQSKKSPEEFADELLEEATLYNGFNLIVADLCSMSMLYITNRPKGSGIIATQVSPGIHVLSNAKLDSPWPKVVCSKIGQSFKDLMDKYGEGEIPLKEMSEKLMNDTTKDDESKLPQIYPPEWEYHSSSIFVDADMPMGRYGTRSTSALAMSTSGNITYFEKHLDADLWKEQIISFQIRREEMTLSSFKIV >CDP00547 pep chromosome:AUK_PRJEB4211_v1:11:28270456:28271260:-1 gene:GSCOC_T00032519001 transcript:CDP00547 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKKTFGSPIFIFYWLSMISIVLVQPALSGRTLGSGGGGRMIGVHPTPSPTNSGSKPGGHGSPGYRSLGRGPFCNKVRYANCIPAGRNGRPCEFGNRCSHNGPPAPK >CDP18007 pep chromosome:AUK_PRJEB4211_v1:11:17009488:17010586:-1 gene:GSCOC_T00001347001 transcript:CDP18007 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIRHLTSILYIQFTFTRIIKMKSVQEFHPYPYLAAVLNCFFWVFYALPMVHPNSLLILIISGIGIVLELTYLAIFFYFSLIVFFGLTGEAVFAAAIVLVTLLAFQTTETRFFFIGFVFVVFGLILYTSPLSIVGQVIMTKNVECMPFWICVPNFSNGTVWFIYALLPLDPFVLVNLNFHHARISSLTPNKK >CDP05565 pep chromosome:AUK_PRJEB4211_v1:11:23060348:23064283:1 gene:GSCOC_T00020684001 transcript:CDP05565 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLLCASSSLLLLFLFSSIPITSQFPFSGSKQAYDHSNVTCIESEWHALLQLKHRLEDKSNRLSSWIREGCCSWEGISCHKTTGSVLKLDLRNVVPLYSDDYCTNCFGGQLSPSLVNLTNLQYLDLSSNDFSGIQVPAFLGLLKNLRYLNLSSARFDGEIPHHLGNLSHLRYLDLAWNSLSIKDLGWVAGLSSLEGLVLSKLNLTAAQDGLQSINMLPSLTTLDLNACELFIHPHLSHVNFTSLAFLDLSLNKFNNYRAPPWLRNLTGLHDLRLGSNSLFDSIHGLSLTYLDLSWNNLQGSIPSEIGQLINLTYLDLSHNNVHGSIPREIGQLSKLTNLLLTRNSLNGTIPTNLGQLTKLQAFDVRSNSLTGVLSEDHFAKLRELKYLDLTGNSLALNVSSSWVPPFQLQEIWMQSIIVGPRFPAWLRTQNELEVLDMRNASISGAIPSWFLSKNKSFPLGLYLSHNFLAGHIPQLQRVLSVLALNDNRFTGTIPEDLCKSENLSELDLSNNLLSGRVPLCLGNLRGLQYLILANNSLSGQIPSSLGNLWGLSYLHLNGNKFVGKLPASMQHLSNLLIFDVGDNGLKDTIPAWIGEKLSELRFLRFQSNNFHGPISDTLCQLSHLQVLNLAHNNLSGFIPRCFNNITAMMVSRVNGAYGIEVQQSLQDIKGGREVEYDVWSLLLVRSVSLSANNLVGEIPDEIMELVQLQFLNLSQNHLTGRIPKKIGNLKQLEALDLSMNALFGAIPESLSDLYSLNSLNLSHNKLSGPIPSGNQLQTLTDPSIYEGNSGLCGKPLPNSCWEHKLPTKNGPIDNDEGHSESDWSWFYAGIGPGFAAGLSGVLGILLFKKSWRYAYFKFIESACDKIWVKSTRPRRNFR >CDP00323 pep chromosome:AUK_PRJEB4211_v1:11:30032093:30035828:-1 gene:GSCOC_T00032223001 transcript:CDP00323 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELEELLGFLSSPSPPVKKAAVDIVRDYTGSEDGLQMLGKCCGVLLPSLSRLLAEKKEVSEPAAEALVNLSQNSDLAKKMVDTGVVETAMQILYKENCEITGLLVMLLVNLTQLDAGIDSLLQSGDEKMKGLYIMKLVRSFCTSSSERRGDPFEHVASVLVNISKKEAGRKLLLDPKRGLLKQIIRQFDSVSPLRKKGVSGTIRNCCFEAESQLQNLLLISEFLWPALLLPVAGNKIYSEQDTSKMPLELASALSIEREPVADPDIRVQALEAIYLLVLQEAGLRAFWSVNGPRILQVGYEDEGHPKVMEAYEQIGALLLQESSSGD >CDP07934 pep chromosome:AUK_PRJEB4211_v1:11:11831106:11835591:-1 gene:GSCOC_T00025453001 transcript:CDP07934 gene_biotype:protein_coding transcript_biotype:protein_coding MFLASSFSLHGRACPAVGHSFTTGILGCLKALSTAAVSGGNQDRTSNDDYFVTIHHISNIVRRDIYMERTLNKMCISNIVNSELVYRVLRSCCRSGIESFRFFNWARTHHPNYDPTTLEFEELLKTLARTSHWETMWKVAHQMKVQNLPISPSVVSFIIEHYGKHGLIDQAVELFNRLKNFNCPQTTEIYNSLLFALCEVKNFQGAYALIRRMLRKGSVPDKKTYAILVNGWCSAGKMREAQEFLEEMSRKGYNPPVRGRDLLIDGLLNAGYVESAKGLVRKMTKEGFVPDVATFNSLAEAICKSGEIDFCVDLFRDVCRLGLCPDVETYKIMITSASKVGRIDDAFQFLHRCIEDGTRPFPSLYAPILKALCRKGLFDDALSFFSDMKLKGHPPNRPVYTMLIKMCARGGRFVEAANYLVEMTELNLLPMSRNFDMVCDGLKNCGKHDLAKRMEQLEISLQGV >CDP00786 pep chromosome:AUK_PRJEB4211_v1:11:25862381:25863707:1 gene:GSCOC_T00032866001 transcript:CDP00786 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNENNDWSTGLCDCTEDCRSCCLACWCPCVAFGRIAEIVDRGQSSCCQMGCIFCLLGLLLLNHGSLSWIVSMGYRTKIRQQYGIMGGSCHDCILHFCCERCALCQEYRELQFQGYDVSAGWEANAAKKISGVTMAPVGEKMTR >CDP16987 pep chromosome:AUK_PRJEB4211_v1:11:18194787:18196896:1 gene:GSCOC_T00004824001 transcript:CDP16987 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSRISEKRRFRYFSSKPCSLLSSNFLNAAQCNSSTGSLSDCCKIRDFSRLPQKKQFFLQPNTDNDSHGFPPSCYFGSFPLLKKHFFSNSASGFSSISQSLLKGSLTGLSKCSISSSSSSIYFCSVVHRSSFTVQKPFHDGRRFCGRLFSVVPSSGSSGMNLLVSEAENSRDCENIENLKDKVCKCDTQMMGKGGDGVDSSPSRNSKIIEIIKNGGKDNDMEIELSLVGSKLPIEAIKPIFTVLNRQNISGLRFFRWILNDNPRLRLSAEVCSLVINNCGFLGDYASMESLLREFRSEKICLDTNAFGFLPVLSTSEDSLVKSVREMIDLLNKVGGSCCNSGICALVEMFCNLDMFEMAKSAIEIAGRRDSLYHILMRQRCKRGHFEEAHVIIRELTVPTVKTYNYLLGCFCKNNGIAEAYSVVEEMTDKGIAADAITFEILIHSSCIQGKMDVAKKLLDKMEVSSMEPRLSTHAALLKAFMSSEQYEEAHNYVIESSVKYKQSSAEIYSLLADLHRAKGNLMAAQGIIVELMDKGLRPSFRVYINIVKQLQKTGRGNLARDFKNRYSKF >CDP00782 pep chromosome:AUK_PRJEB4211_v1:11:25901331:25907723:1 gene:GSCOC_T00032862001 transcript:CDP00782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.3 [Source:Projected from Arabidopsis thaliana (AT1G23090) UniProtKB/Swiss-Prot;Acc:Q9SXS2] MEVHQVVPPPHMSTFNKLKNRFKETFFPDDPLRQFKGKSTKTKWILGAQYIFPILQWGPNYDLKLLKSDIVSGLTIASLAIPQGISYAKLASLPPIVGLYSSFVPPLIYAVLGSSRDLAVGPVSIASLIMGSMLRQEVSPATDPLLFLQLAFSSTFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKSLLGITNFTNQMGIVPVLSSVFHRTNEWSWQTILMGFSFLAFLLLTRHIGIKKPKLFWVSAGAPLVSVILATLVVFASKAQHHGISVIGKLQEGLNPPSWNMLHFHGSHLGLVMKTGLITGIVSLTEGIAVGRTFAALKNYQVDGNKEMIAIGVMNIVGSSTSCYVTTGAFSRSAVNHNAGCKTAASNIIMAVTLMVTLLFLMPLFQYTPNVILGAIIVTAVVGLIDIPAAYQTWKVDKFDFIVLLCAFLGVLFISVQGGLAIAVGISIFKVLLQITRPKTVMLGNIPGTDIYRNLHQYKDAVRIPGFLILSIEAPINFANTTYLKERITRWTEDYEAEVEKTKKQSGLRFLVIDLSAVSAIDTSGISFFKELRMVLEKKGIEASQQQLQR >CDP16248 pep chromosome:AUK_PRJEB4211_v1:11:24645694:24650696:1 gene:GSCOC_T00018010001 transcript:CDP16248 gene_biotype:protein_coding transcript_biotype:protein_coding MERSQELALSQMRKSIEKLGTSTEKYGDPTLMRFLIARSMDADKAAKMFVQWRKWRTTFVPLGFIPDSEVQDELGAEKLYLQGLSRKGFPVLLIIVNKHFPAKDQHQFKKFVVHLLDKTIASSFRDREIGNEKLAVVLDLKDISYKNVDTRGLITGFQFLQAYYPERLAKLYILNMPRFFVSVWKMVSYFLEKATLQKITIVTNEEERREVIRDIGEEALPEEYGGRAEHVLMQDVVLTPLNH >CDP05406 pep chromosome:AUK_PRJEB4211_v1:11:19889924:19914335:1 gene:GSCOC_T00020442001 transcript:CDP05406 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYHVGGKVVDSVDLLRKRHWLWRLDAWPFAIIYGFWAVAIVPSLDIFDAFIVLGGFSVIHILVVLFTVWSVDFRSFVHYSKVNDIHQADSCKVIPAKFCGSKEIVPLHFRKLAGSSNLGDVEEIYFDFRKQCFIFSKEKETFCKLPYPSKETFGYYLKSTGHGTEAKVVAATEKWGRNVFEYPQPTFQKLMKEQVMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLTELRRVRVDNQTIMVYRCGKWVKLTGTDLLPGDVVSIGRSTGPTGEDKSAPADMLLLAGSAIVNEAILTGESTPQWKVSIIGRGTDEKLSARRDKTHVLYGGTKILQHTPDKTFHMKTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAVIAAGYVLKKGLEDPTRSRYKLVLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDMCCFDKTGTLTSDDMEFSGVGGLTDREELETEMSKVPGRTQEILASCHALVFVDNKLVGDPLEKAALKGIDWTYKSDEKAIPKKGSGDAVQIVQRHHFASYLKRMAVVVRVQEQFFAFVKGAPETIQERLIDVPPSYVKTYKKYTRQGSRVLALAFKSLPEMSVSEVRSLDRDVVESGLNFAGFAVFNCPIRGDSATVLTELKGSSHDLVMITGDQALTACHVASQVHIVTKPALILSRAKSGEGYEWVSPDETEVISYRDNEVEALSETHDLCVGGDCVEMLQQSSAVHKVIPYVKVFARVAPEQKELILTTFKSVGRVTLMCGDGTNDVGALKQAHVGVALLNAIAPTQSEKSSNEASAKGESAKPAKARKIKPAVENGEGSSKSKPISKSESSSHQAVNRHLTAAEMQKQKLKKLMDELNEDSDGRSAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPTLSAERPHPNIFCAYVFLSLMGQFAIHLFFLISSVKEAEKYMPDECIEPDSDFHPNLVNTVSYMVSMILQVATFAVNYMGHPFNQSIPENKPFLYALVAAVGFFTVITSDLFRDLNDWLKLVPLPKGLRNKLLIWAAVMFIVCYSWERLLRWAFPGKMPSWKRRQRQVAANLEKKKRV >CDP00823 pep chromosome:AUK_PRJEB4211_v1:11:25379567:25380986:-1 gene:GSCOC_T00032920001 transcript:CDP00823 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKPNEFQKSPVPSAPTAPPETASQPPVTGVPVMPPSYSYTDNYKPQAAAHFHANTTGSWSTGLCDCFSDVPNCCMTCWCPCITFGEIAEIVDEGSTSCGASGALYGLLAYFTGCACIYSCFYRTKMRQQYMLPESPCGDCLVHFCCDSCALCQEYRELKNRGFDMSIGWQGNMAKQNGGVTSAPNFQGGMNR >CDP13257 pep chromosome:AUK_PRJEB4211_v1:11:32347407:32351308:1 gene:GSCOC_T00038139001 transcript:CDP13257 gene_biotype:protein_coding transcript_biotype:protein_coding MASELVPTTRGLQLQPIQPIQPVSRPMTENVPTTRGHPMTQATHEIAPSTRGQPSTTARPYLGESRPHFGEGQAHLGEGQAHLGEAQAHLAEVPAVRGQPPTNVPGILKNQPPAGRSGHLMRERHLFSSSDDNAMMKQILAAHAPDGREFDVKTLVFIVEDIMRRATFLGEGAQSQAPADTWEDRAIHGGYTDMLELLAYPINKTNSELICKCSSGGDAHSITMALFQTLSSYTWEAKVAIAFAAFAVSYGEFWLVAQLYTTNPLAKSVAVLKELPEIMEHAEALKQKFEAVNNLINAMLNVTHCIVKFKELPTQYINPESPEMISAAAHIPTAVYWTVRSIVACSFILLNLIALGHEFVASAAEAWELNSLAHKLASIKEHLERQMDIINRRIEERRENDAYLALVRLFETTHVDNMKILRALIYAKEDQLPLFDGTHKRRASLDVLRRKHVLLLISDLDMSHEELSVLHQMYTESRQQPTRPESQYEVVWLPVIDRLLPWHDATDKQFQFVQNSMPWYSVAHPMMLDPAVIRFIKENWGFNKKPQLVVLDPQGKDSNRNALHMMWIWGSLAFPFTKTKEEALWREETWRIELLADSIDPNLFHWVNENRYICLYGGEDIEWIRKFTNTVRGAANAAGIRLEMLYVGKSNPKERVRRNNSIIQAENLSHILTDLTLIWFFWVRLESMWHSKNQHGMTVENDPIMQEIVTMLSFDGSDQGWAVFCRGSHEMAKGKAETIYECMSQFDRWKDKVVYPDGFVIAMNDHLRELHTPHHCNRLILPGPTGHIPERVVCAECGRPMERFIMYRCCID >CDP18562 pep chromosome:AUK_PRJEB4211_v1:11:1771439:1774171:-1 gene:GSCOC_T00004224001 transcript:CDP18562 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVHELKEALDADIQDRLMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKLERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >CDP15252 pep chromosome:AUK_PRJEB4211_v1:11:18442062:18444834:-1 gene:GSCOC_T00042900001 transcript:CDP15252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 78A5 [Source:Projected from Arabidopsis thaliana (AT1G13710) UniProtKB/Swiss-Prot;Acc:Q9LMX7] MSTDPCCFSSSIPLTGYPSTVLNFELVLCFLLFLAVFAFWLTPGGLAWALAVSNKKLSSKKDATSAAIPGPSGLPLLGLVLAFTGSLTHRVLGKISRSLKAETLMAFSVGFTRFIISSHPETAKEILNSSAFADRPVKESAYELLFHRAMGFAPYGEYWRNLRRISATHLFSPKRIACSGIFRRDIGVKMVEEIKGLMERNGEVEVKKVMHFGSLNNVMMSVFGRCYDFDGDDGSVLEGLVSEGYELLGIFNWTDHFPILGWLDMQGVRKRCRELVARVNVFVGNIIDEHRLKRMAENRGGVGRKPAIDDDEGSGDFVDVLLDLEKENNSLTNSDMIAVLWEMIFRGTDTVAILLEWIIARMVLHPDIQSKAQAEIDCVVGTSRMVTDADLPNLPYLHAIVKETLRMHPPGPLLSWARLAVHDTHVGRHFIPAGTTAMVNMWAITHDEMVWPEPEHFMPERFLNEDVAIMGSDLRLAPFGSGRRACPGKALGLATVQMWLAQVLQNFEWIASGDVDLSECLKLSMEMKNPLVCKAVARFS >CDP00822 pep chromosome:AUK_PRJEB4211_v1:11:25385008:25386523:-1 gene:GSCOC_T00032919001 transcript:CDP00822 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNYSYGDNYRPEAPAHFHTNTPGSWATGLCGCFSDVSNCCITCWCPCITFGQIAEIVDKGTTSCGTSGALYGLLAYLTGCACIYSCFYRSKMRRQYMLPESPCGDCLVHFCCETCALCQEYRELKIRGFDMPIGWQGNVEKQNGGVTTAPNFQGVMNR >CDP07989 pep chromosome:AUK_PRJEB4211_v1:11:9948191:9959500:-1 gene:GSCOC_T00025554001 transcript:CDP07989 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDSSTRGENGILNDNKPKIHQPSLNQPPENDVVADRPDERAEKGRVAETTEFSEVKENGLSSEGKNDFSSGGETYESVEKNLNSDDHGEEVKAVIDSDSASNDVVGLDMVQQPHSHLPKPEAPPGAAVDDSPRELPRAKSMPESYDFDVPAIGKFFREKSSSLSAAITKRISSLKENNTSPALEPEFTQAPATNYVTEFKLSGLKVIVNLKPTIGQHEKKLMGRISFFSRSNCRDCTAVRSFLRAKNLNFVEINIDVFPARENELVERTGNATVPQIFFNEKLFGGLVALNSMRNSGILEKKMEELLAGKCPPEAPEPPVYGFDDPEEEKEEQADEMVQIVRILRQRLPIQDRLMKMKIVKNCFSGGELVEVLIQQLDCGRRKAVEIGKQLARKHFIHHVFGENEFEDGHHFYRFLEHESFILKCYNFRGATNDCEPKDAALLSQRLMRIMSAILEAYASDDRCHLDYVAISKSEEFRRYVNLVQDLQRVNILTLSAEEKLAFFLNLYNAMAIHAVIRVGHPGGMIDRRSYFSDFLYVVGGYPYSLTAIRNGILRSNRRAPFSLVKPFSGGDKRLELALPKVNQLSHFALCNGTKSSPAVKFFTAQGVESELRYAAREFFQRDDAMQVDLAKRTVYLTRIIKWYSADFGQEKETLKWIINYLDATKAGLLTHLLGDGGPVNIVYRSHDWSLNS >CDP13388 pep chromosome:AUK_PRJEB4211_v1:11:33374853:33376697:-1 gene:GSCOC_T00038305001 transcript:CDP13388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET15 [Source:Projected from Arabidopsis thaliana (AT5G13170) UniProtKB/Swiss-Prot;Acc:Q9FY94] MASFGSDHHRWVFAFGVLGNLVSVIAYLGPLPTFYRIYREKSTMGYEALPYVVALSSAMLWMYYALLKPATLLISINSLGCIIETLYILFYILYASKQARKHTIKLVGMLNVGLICAIFVVANFALKEVSVRIMVVGWICVAFSVSVFAAPLSIVFQVVRTRNAEFMPVALSATLTLSAVMWFFYGFLKADMCVTVPNIMGFFLGVLQMMLYVIYRKPKPLVAEKKVPEHVINIVMLCNSDVHPVVDSQTSGNCDVNSTADADENEDEKKDEETVSAVADEPCSSQAQVHLDSPALLVCSAA >CDP13332 pep chromosome:AUK_PRJEB4211_v1:11:32890495:32894555:1 gene:GSCOC_T00038230001 transcript:CDP13332 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT1G27920) UniProtKB/Swiss-Prot;Acc:Q9C7G0] MIWDEVGEDQFEREKVLLDIEQECLEVYRKKVDNANISRARLHQELADAQAEFTHLLLSLGERSLPLRPEKMTGTLKEQLNSITPALKEMQLRKEERVKQFRAVQSQIQKISGEIAGRSEYNDTSSSIVVNESDLSLKRLEEYQNELQRLHNEKSDRLQRVEKYMSTIQNLSATLGMDSSMIITKVHPSLNALSGLSKNIGDFILAKLDSTVESLEAEKQKRHEKLQVLGKALINVWNLMDTHYQDRERFSHVTSLSSVSSSDIYTPGSLTLDIIQQAEVEVNRLDQLKASKMKELFLKKQLELEEICNQSHMEIPSRSEMENIMNLINSGEIDHADLLMSMDEQIARAEEEAASRKPIMEKVEKWILACDEERWLEEYSRDENRYSVSRGAHRNLKRAERARVLVNKIPALVDLLIEKTKTWEEERKNTFLYDEVPLLAMLEEYNTLRKEKEEEKQRQREKKKVQSQVVVEQGNPFGTRPSTSSRRLSDRSVNGGFGNTSPLNRRLSLGIQQPGQGPNSLTSPIHGIPFSREGKKAHGQRTFARTSFASHLREETASVVSSFSGPKSP >CDP11596 pep chromosome:AUK_PRJEB4211_v1:11:14672801:14674033:-1 gene:GSCOC_T00033946001 transcript:CDP11596 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVFEAMYWVLKHYSNINQTMPLIYVKLYTYQVCGGLAYLHNVAGVCHRDLKPQNVLVDPLSHQVKICDFGSAKVLVKGVANISYILEVWKKISSTAYMSTQKLWL >CDP00523 pep chromosome:AUK_PRJEB4211_v1:11:28477050:28478924:-1 gene:GSCOC_T00032484001 transcript:CDP00523 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFWFLIWLSIGPSSGDQYYDETLCSSDGNLPGTRYICSSSPQECQTFLVYRADEKFQNVANISSLFNVTPEELLAKNNAISSSFQNLEPGMEVLVPIVCSCIEQFYEANVNYIFPGSMTLENVSCGVFEGLLKSITLIEANPSANTTLQVGSMLQIPLKCACPEKLSSNVGVRYLVTYPFIENDNPNKVSKKFSIPVGDLLVANHLDPLATVYPQTTILVPLKSEPSIIYDVPASEPPNSPGFVPTEPVRRRNKNTQLKRVYISVSVVGFVLVLVTLIACGIYVKALKKCKAENLNSFARRSPMTSCSTPRSSQLSGPTPAKSSNASCLSPDLLESIRYSLGNYSVEELKKATNDFSEETRLMDDVYKGIVDDSEILIKRTRFEDTRQVIEIHSKINHVNIVKLHGVCYGENDFSWSYLVFEFPGKGSLRRCLSSSDPSLRWNRRTQIAFDVATGLHYLHYCMVPPYTRMHVNSKNIFLTRSWRAKIAVYGGSMPPIGSSNDHESITSTGGWGVSSEHLVHGSVSEEEDIFAFGTVLLELISAKEDLDGQSLIESTAFLGGGASDQGGCFNHLRNFVDPNLKEEYPLAEALCLAVLAKACIEDEPLHRPSMDDILKVLARMV >CDP00324 pep chromosome:AUK_PRJEB4211_v1:11:30028420:30031477:-1 gene:GSCOC_T00032224001 transcript:CDP00324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDLSEIAKKLGLSQPKHLIRKAAELRRLADAQFDSSIIGVGEICKAIMCLEIAASRMDVIFDRQAAIRLSGMSERAYIRSFNSMQNGIGVKNNVDVRELAVQFGCVRLIPFVHKGLSLYKDRFLSSLPPSRRGTADFTRPVFTAVAFYLCAKKYKLNVDKIKLVEICGTSESEFSSVSISMRDLCFDEFGVSKEKKDPREIKGNRALLDALPEKRTAEDGGYLSDDGGEPSAYKKRKRKDRHDYEEWKSAVLGCNGRTKAKAPARRAKQAKLSFLKKAVETQVQAT >CDP00445 pep chromosome:AUK_PRJEB4211_v1:11:29096836:29103089:-1 gene:GSCOC_T00032385001 transcript:CDP00445 gene_biotype:protein_coding transcript_biotype:protein_coding METSSDSTLTNGGSGGQWRAEEAVAGNWEALRALRGLITYPLRYSCESRKLGLKWPRGLLLYGPPGTGKTSLVRAVVRESGAHLIVLSPHSVHRAHAGESERILREAFAEASSHAKLGKPSVIFIDEIDAICPRRDSREQDIRLASQLFMLMDSNKSSSTSTSHVVVVASTNRVDAIDPALRRSGRFDTEIEVTTPSEAERFQILKLYTKRLSLDPDVNLQSLAAACNGYVGADLEALCREAALSALRKSSDGDLGGKICNITVDDFKHARSIVGPSITRGVTVEIPKVSWEDIGGLYELKKKLQQAVEWPLKHSSAFSRLGVSSIRGILLHGPPGCSKTTLAKAAGHAAQASFFSLSGAELFSMYVGEGEALLRNTFQRARLAAPSIIFFDEADVVAARRGGSSSGSTTVGERLLSTLLTEMDGLEQAKGILVLAATNRPYAIDAALMRPGRFDLVLYVPPPDLEARYEILRVHIRGMKVDPDVDLRQTAADTELFTGAELEGLCKEAGIVALREDISATLVCSRHFQTVRNSLKPALTREDINFYSSFMKNPLLRSSAPSKPRSNHRVKETKKLFILTIPITLGVIGFMLLKPRSWYPLSHILSPAPKSCLLLLVCNDAQLMLRTLCQQETLGVPKDAFEADS >CDP00321 pep chromosome:AUK_PRJEB4211_v1:11:30039489:30042750:-1 gene:GSCOC_T00032221001 transcript:CDP00321 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSNQKKRNFQIEAFKHKVVVDPKYADKTWKILEHAITEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVGTMTLHLQEMAKTIEAAQGNLFLEELNRKWNDHNKALQMIRDILMYMDRTFIPSNRKTPVHELGLNLWRDNVIHSSKIQTRLLDTLLELIQKERTGEIINRGLMRNIIKMLMDLGSSVYQEDFEKHFLEVSADFYRAESQDFIECCDCGDYLKKAERRLNEEIERVSLYLDSKSETKITNVVEKEMIASHMLRLVHMDNSGLVNMLIDDKYEDLGRMYNLFRRVPNGLPTIRDVMTSHLRETGKQLVTDAEKLKDPVEFLLAMTKLSRMP >CDP00600 pep chromosome:AUK_PRJEB4211_v1:11:27762222:27764089:-1 gene:GSCOC_T00032590001 transcript:CDP00600 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSDSTEGIVLNFVNEQNKPLNSQIVADCLQKFNLKKGAIQKALDTLVDSGKISFKEYGKQKIYLARQDQFDIPNGEELNQIKEENIKLQEELDGQRKAISAVEGEIKALQSNLTLEEVHKKEAKIRKEVKDMEEKLTKLKEGVTLVNPEERKAVEGMYFETVNQWRRRKRMFKDIWDAITENSPKDLKEFKEELGIEYDEDVGVSLQSFIDLRQQGKKRARGL >CDP00744 pep chromosome:AUK_PRJEB4211_v1:11:26302289:26306594:-1 gene:GSCOC_T00032813001 transcript:CDP00744 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAEKKWLFALFSAAFLSFLIFISSICGLSSSFYSYSSQKPYTLSVDRGPGHPPAFAYYISGGRGDANRIFRLFLAVYHPRNRYLLHIGADGSDIERKKLGAMVNSVPAVRAFGNVDVIGKPDPVTYMGSSNIAAVLRAAAILLKVDKGWDWFITLSALDYPLITQDDLSHVFSSVRRDLNFIDHTSDLGWKGGQRIEPIVVDPGIYLARRTQIFHATEKRPMPDAFRLFCFPGSPWVMLSRSFLEFCIFGWDNLPRTILMYVTNTMLSEEVYFHTVICNSHEFKNTTVNGDLRYFVWDDPPKMEPHFLSTSDYERMVQSGAAFARQFAKDDPVLDMVDEKILKRGSNRPAPGAWCTGRNKWLTDPCSQWGNVNVLKPGPHFKKLSKSLSKLLEEWKSESNQCR >CDP16863 pep chromosome:AUK_PRJEB4211_v1:11:165023:165875:-1 gene:GSCOC_T00019428001 transcript:CDP16863 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMNTQQAANCGAVSGFGGFPSLEFETFKQGCPVAMATPSIICEVWFSLSCILDQIPKIFPINRSTDLEVLRDKFDLASPSNPTGRSDLPINPDKEPPQVTANTIYRSWGLIILGVDFVEPVTVPNATWMADGTHWPGNWAVPSEDHAEGDHAGILQVNEYFQLLVTVMEQK >CDP04798 pep chromosome:AUK_PRJEB4211_v1:11:5672203:5676313:1 gene:GSCOC_T00019521001 transcript:CDP04798 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAATAATIFMVVLGTFHIAIAIKDGLMENGNFEIAPKDSDLNGTVVLRQNAVPGWITSGFVEYIKAGQKQGDMILVVPEGHAAIRLGNEASIKQKINVTKGMYYSITFSAARTCAQEEQLNVSVAPDFGVLPMQTLYSSSGWDSYAWAFQAMYTVVYIIIHNPGVEEDPACGPLIDSIAIRTLYPPKATSENLVKNGDFEEGPYIFPNTSWGVLIPPNIEDDHSPLPGWMIESLKAVKYIDAAHFSVPHNSRAVELVAGKESAIAQVARTIIGKTYTLTFLVGDASNSCEGSMIVEAYAGIVTVKIPYTSQGKGGYKPAVLHFVATTNRTRIMFFSTYYHTRSDDNASLCGPVIDDVKLLSVRNPRKLM >CDP04833 pep chromosome:AUK_PRJEB4211_v1:11:5022834:5026882:1 gene:GSCOC_T00019569001 transcript:CDP04833 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKNNCNIVNVGNGDGKSKENGISGSEGRPPNPLAAVYRQCLSSGNPLGDASCKKSLVRHPSLVKTRMLDISVEGLNINDSPADYVPSLRSGAWSDIGVRSSMEDVVVRADNFVHHYGLKDSDQGPSAFYAVFDGHGGKHAADFACDHLPRFIAEDEHFPREIERVISSAFLQTDNAFAEACSLDDGLASGTTALAALVIGSSLVVANAGDCRAVLCRRGKAIEMSRDHKPLCSKEKKRIEASGGYVYDGYLNGQLNVARALGDWHLDGMKGSNGSPLSAEPELMNTILTEEDEFLIIGCDGIWDVFMSQNAVDFARRRLQEHNDPAMCSKDLVDEALKRKSGDNLAVVVVCFQPRPPPNLIVPRGRVHRSISAEGLKELRAFLDQLDA >CDP00640 pep chromosome:AUK_PRJEB4211_v1:11:27352537:27354283:1 gene:GSCOC_T00032648001 transcript:CDP00640 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVNSLTEIDSFNPNVQELLSLTAHVGFSDHNRQQSQIPFCFSNDASHNPNANHPHHLQVPLDFESAAQFFPQGGVPISHGCNDSNSSLSEPDYLANSSSRGSASAGIPVNKPKGTYNYGEKKRKRSNGKETEKPKEVVHVRAKRGQATDSHSLAERMRREKINQKLRCLQDLVPGCYKSMGMAVMLDVIINYVRSLQNQIDFLSMKLSAASLFYDFNSLDMDAVPPTQACANFPVPQGLEKMVGEGYGELPQFHTSWPL >CDP04898 pep chromosome:AUK_PRJEB4211_v1:11:2388429:2389842:1 gene:GSCOC_T00019758001 transcript:CDP04898 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRSVLFSCLLLICLISFNTRLIYADYWCVNTTYNPNSTAGRMYTDNLNFLLSTLSSNASLASRNGFYNFTAGHDPSNMVYGLFDCRGDVNPDACGRCVANARGDILKTCWNQTTAFMSYDDCLLRYSNESMFSRADQSVTFAAWNTQNATDPDKFNQVLSDMMNDIASQAANDRSGKKFAVKEADYSTFQRLYALGQCTPDLSSLDCENCLSNAISQIPTFCNNRRGCRITFFSCNIRYELYKFYNSVSPAPEPASSSSSSPPPSPPPPSNSTSSEEGGGISTQTIVAIVVPISLAIVLLVVGFCIARRPRKPYFAIIETSGKL >CDP07979 pep chromosome:AUK_PRJEB4211_v1:11:10596192:10596767:1 gene:GSCOC_T00025536001 transcript:CDP07979 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSKLAIFPRIDFGEILQFSASTRDFNKLQRMCPRPSSTFSPFPFVSPVGFFQTPIIVFLRDLRSVYLFFWWLGLGIIHWRRQFSRFCLSLFLLSG >CDP13376 pep chromosome:AUK_PRJEB4211_v1:11:33280004:33280816:1 gene:GSCOC_T00038288001 transcript:CDP13376 gene_biotype:protein_coding transcript_biotype:protein_coding MAENKPTDINKDFRILASSDNKDDGGKKQLVPKRSSNKDRHKKVDGRGRRIRMPALCAARIFQLTRELGHKTDGETIQWLLQQAEPSIIAATGTGTIPASALATAASGSSVSEQGNSVATGLHHPKFDEVGPGMGIRMNWAALGGNIGRSPHVPAAAWPSVAAGFGSGFLHNSTLLSSNSVNQNLNSGPKFGFQGLEFPNSAGFGQQLPGLELGLSQDGHMGSLNFQALQQFYQQIGQSGDGAGSGSANNQQQHHPPPAAGGDDSQGSRQ >CDP05404 pep chromosome:AUK_PRJEB4211_v1:11:19878832:19879299:-1 gene:GSCOC_T00020440001 transcript:CDP05404 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKIAKYDKVVNIPNSMTVLDELLPISIEMAKRNCKGIWNFTNPGVISHNEILEMYRDYIDPKFKWTNFTLEEQAKVIVAPRSNNEMDASKLKKEFLELLSIRGSLIKYVFKPNKNNLTAATAI >CDP11601 pep chromosome:AUK_PRJEB4211_v1:11:14953637:14963089:-1 gene:GSCOC_T00033957001 transcript:CDP11601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12b [Source:Projected from Arabidopsis thaliana (AT1G17440) UniProtKB/Swiss-Prot;Acc:Q940A7] MGQMAQMGSGNLSRSALMGQTGHLPMLSGQAAAAAAQFDLQSPFLTSPRQKAGMMQGSQLHPGSSTGQALPGMQAMGMMGPISQLRANGPTAYAQQRINQGLRQHLSPQSQLAISQKLQTQGVPRTSLMNAQLSALAQNGQPALMQNSISQQQWLKQMPSPNSPSYRLQQQRQQFLSQQQLSSQLHQNSMALPQQLAHMVQQQPQIGHSQIHQHQQQTQSTQQQQQQQPQPQPQPQPQPQQQQQQQQQNQQLQPQQQQLQSALHQQQQSPRMVASAGQKSLSLTGSQPDATTSGTTTPGGSSSQGTEASNQLLGKRRIQDLVSQVDPQGKLDPEVEDLLLQVADDFIDSVTSFACGLAKHRKSSTLEAKDVLLHLEKNYKLTIPGFSSEEKKHQQNHPPSDAHRKRMEVIHALMESSSEASTSNAAEMRRTGNWQPSC >CDP16305 pep chromosome:AUK_PRJEB4211_v1:11:23804435:23808156:1 gene:GSCOC_T00018091001 transcript:CDP16305 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKENKRPVIFRDIRRYYCEYCGICRSKKSLISSHILSHHQEELKQKEEENGQAKDEPKMNTCEHCGVSFRKPAYLRQHMQSHSFEYQVGGPPEKILQASLFHAVFSYERPFKCLVADCHASYRRKDHLTRHLLQHQGKLFECPLDSCKCGFAYQGNMKRHVKEFHYDSSSNDVTSPKQYVCTEVGCGKVFKFASKLRRHEESHVKLDTVEAFCAEPGCMKYFTNGECLKEHVRSCHQYVICETCGTRQLKKNFKRHLCSHEAGCSQSKVKCSFEGCLLSFSNKSNLNQHVKAVHLGLQPFSCRFPSCGMTFSFKHVRDNHEKSGRHVFTRGDFEESDEQFRSRPRGGRKRQYPVIETLMRKRIVPPCESDPILNEASDYLSRFFSTESEDEL >CDP00566 pep chromosome:AUK_PRJEB4211_v1:11:28096923:28097252:1 gene:GSCOC_T00032546001 transcript:CDP00566 gene_biotype:protein_coding transcript_biotype:protein_coding MNISHVLIYLILCLSMFFLWLHEYRGLMSTHNYDAISHPSSLSHHQPSIHRKALASAEFDFTPFLKSHHNKRPRRSPDDRAPPSDGDEIDPRYGAEKRLVPTGPNPLHH >CDP05524 pep chromosome:AUK_PRJEB4211_v1:11:22371666:22372006:1 gene:GSCOC_T00020626001 transcript:CDP05524 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNIVAKCKGLPLAIVARLKSCFFYLGAFPEDYKISVRRLIRLWIAEGFIQRTEEKRLEDVTGVRV >CDP13193 pep chromosome:AUK_PRJEB4211_v1:11:31896044:31899196:1 gene:GSCOC_T00038055001 transcript:CDP13193 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23360) UniProtKB/Swiss-Prot;Acc:Q3ED65] MDNAIMSAASLHRTLPALPGQHSRPVSRSKTRLVRCSNERQVLFDRIAPVYDNLNDLLTVGQHRIWKRMAISWSGAKKGDNVLDVCCGTGDLAFLLSEKVGPTGKVVGLDFSKQQLLIASSRQHSQSKTCYENIKWIEGNAIDLPFPESSFDAVTIGYGLRNVVDRLKVLEEVCRVLKPGSKVSALDFNKSTNPFSTSFQEWMIDYVVVPAADIYGLANEYRYLKRSINEFLTGKELERLALNAGFCSAKHFETGGGLMGNLVAVRYGYIP >CDP04828 pep chromosome:AUK_PRJEB4211_v1:11:5094276:5100260:-1 gene:GSCOC_T00019563001 transcript:CDP04828 gene_biotype:protein_coding transcript_biotype:protein_coding MESSELHNVSDVHLSGSILWRNMEMDIVSKSSREENDEAALKWAAIERIPSHHRTKSGVLAEENSQQIDVGVEHLGPLELKTILDSNVKFAEEDNEKFLLKLRQRIDRVGIDLPTVELRFENLSVEAEAHVGSRAMPTLFNFCTNIVEDLLSRLHILPNRKQPFPILRDVSGIIKPGRMTLLLGPPSTGKTTLLLALAGQLDSDLKVSGDITYNGHGLNEFVPQKTSAYIGQHDVHLGELTLRETLSFSARCQGVGPRHDMLEELLRREKESNIKSDLDNDNFTKVKTTFFIIFYIFKFFILHKSHNKGDLFGIITVTLFILGLEVCADTLVGNEMLRGISGGQKKRLTTGEMMIGGSNVFLMDEISTGLDSSTTFQIVRSIMHSIHVLQGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREHVLEFFEYMGFKCPERKGVADFLQEVTSKKDQEQYWAERDEPYSFVSAKKFAEAFQSFHVGMKLGDELAVPFDKSRSHPAALSTKKYGISKVEVFKACMSREFLLMRRNSFVYAFAMTKLIFMALLAMTVFLRTKMHKDTISDGGIVMGAIYFTLMLNMFNGMSEIPLTIMKLPVFYKQRDLRFFPSWAYALPTLILKIPVSLLESALWVSLTYYVIGFDPNAGRFFKQYVLLVCLSQTALGLFRLMGSVGRIMIITNTFGSFAILVILLFGGFVISHDDIPKWWIWSYWLSPLMYGQNAIAVNEFLGKSWRKVSPTCVFFKIFLAESFWSNRAIRCLSLEISGDFYRSTLVLDWSRIPYWIHVSIQYLICLGSCLSSISYINYDAESKRKTGLLLPFEPLAITFDDIRYSVDMPKVFSAPKMTKEKEQLKGAHFKTTEILLNITCYCTFVSSSQLLPTGLVKSKMRIKLPLKSITFCLFLNLIYLHMLRSSCFFQEMKAQGLAEDRLELLKGVSGAFRPAVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGTIRISGYPKKQETFARIAGYCEQNDIHSPHVNVYESCCTRHGFGCQKKWMLPPEREALVGMPGVSGLSTEQRKRLTIAVELVANLSIKFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFDSFDEVIDRFIVQCDATAEVKIRTELGVA >CDP07928 pep chromosome:AUK_PRJEB4211_v1:11:12047255:12054736:1 gene:GSCOC_T00025444001 transcript:CDP07928 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVGPPVVTVAHSLTDAMSWWDQINESPTWQDRTFHVLAALYGIVAVVALVQLVRIQLRVPEYGWTTQKVFHFLNFFVNGVRCLVFVFRRDVQSLHPEIVQHILLDMPSLLFFTTYALLVLFWAEIYYQARAVSTDGLRPSFFTINGVVYVVQIILWLIIWWKPVRVLIILSKMFFAGVSLFAALGFLVYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLIRCIMMCFDAFDEAADLDVLDHPILNFIYYLLVEIVPSSLVLFILRKLPPKRGITQYHPIR >CDP16983 pep chromosome:AUK_PRJEB4211_v1:11:18094446:18095843:1 gene:GSCOC_T00004818001 transcript:CDP16983 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPSPSEDKYLHDLLQLARPFLRGELENVDKNLPSLVSVLRSVGAGECWHKHGSFLEHLVDIYRILKLWKAPDAVCLCGLFHSAYSNSYVNLAIFDPSTGRDVVRSHVGEAAERLIHMFCIVPRQPLIHDDLLFHYNDQELVEHLKFSEISLRNAKEKDLFNEDESWRRKLNSVLPADGVVVKHIKTGEEVPVSRRLVAVFLLMTMADFSDQLFGFQDVLFENSNGRLEFSGNNFYGLWPGDGKPGLWLNSISRMGALYTLIVREEEIILEQRRRNNGGVIVLADDRDEELELVIPPVFENCTRVLDAEEQKIGRELYWEAVCEAEKVGLRRAVELLIRAIEKNPFVGEPHVVLGQIYLSKGKFEEGEKEAEKGLRMILEWGSPWDKRMTWEGWVAWARVLVMKAKEKSWPNTSWAILNLGLVK >CDP16293 pep chromosome:AUK_PRJEB4211_v1:11:23964431:23969265:-1 gene:GSCOC_T00018071001 transcript:CDP16293 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCTVKERENVPIRVWICGYALQCLVHVALVWIEYRRRNRRGNWGNSRWRGYSENGGGGDGGNGEIEEDEEGNGGGALGQSNRSTCIKRCESVNTMASFLWWIIGFYWVVSGGEVLMQGAPRLYWLAVVFLAFDVFFAIFCVVLACLIGLALCCCLPCIIAILYAVAGQDGASDADLSMLPKYRFEISMDEDKPSGSGKMVPIQSSGGYLALERNLLPEDAECCICLTAYDDGADLQALPCNHHFHEPCIVKWLKMNATCPLCKYNILKGTEQV >CDP00495 pep chromosome:AUK_PRJEB4211_v1:11:28694683:28700274:-1 gene:GSCOC_T00032450001 transcript:CDP00495 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKLPQCQSGKVMPGDGSEWLLLQEGGKVHIEVAESLLASISEIIEAVVCIGIEQDAFMHFGSYLYRASAVIMELKMDSNIPTNTIEILKSLSRRIDLAKDLTNKCQQLAQKFHSAGVSTIVEELEHVIQSMGEDLSLIPQSTKGERNYTEIATSSLSKEMKNAKFVVTGNQGSKHGELDRREQLALEDMSNKESIESEKDLYSIDIEDSMSTSNLSVNNSIGTTNSRNLTNCDSWSGVSLVRLPDMAQYLEPLYETFFCPLTNKIMDDPVTIESGVTYERKAISEWFSKFEIPEEISCPKSGQRLRSKTLNPNVALKGTIDEWKERNEAARMKVARAALSLASSENMILEALDDLHAMCQNNQYKSVQIRSIGIIPLLANFLDYKSRDVRCATIQLLNQLAKVDDDGKETVSKVVDISKLIKMLSSNNQPIRHASATLLLELSKCQFFCIKIAKISGGILMLITTTYRQSTDEYTSVTAQEILKNLEKSPDNIKLMAENGYWGPLLNHLTEGSVEMKIEMACYLGENCPGPDSKVYVTETASSALINMVRSGSSLSRSAAFKALRQITCYQPDHAILLEAGIVQIMVEEMFARTIHDEPVNSKNEAAAILANIFESGVELENLQVNVHGHTMASDYIIHNIILWIKNSTPEDFSINLIRILLCLMKFPKASATIVSVVKGTEASYNLTELINNPNEELGIVSIKLLMILASFMGHTLSDRLCKTKGQPESLIANPTEVGLITEKHAVSANFLAKLPHQNITLNLALLNKNAVPKIIESITWIQRTGTRASRYASTYLEGLVGTLVRFTTTLYDYRVLSLVRDYNFTSLFTELIMKTSSDEVQKLSAFGLKNLSEQSVILSKPPKIKKNRYRKAFIGACSSQDTFCLIDANAIDRLLSCLDHDNVEVVQAAVLALCTLLDDKVDVDKSVKILSDMHAIKHVLNIVKEHKEEGLLQKSFWMIDRFLLKGGIETISDISEDRLFPTTLISAFHHGDERTREMAEKILRHFNKMPTISANFTM >CDP00387 pep chromosome:AUK_PRJEB4211_v1:11:29502995:29505574:-1 gene:GSCOC_T00032313001 transcript:CDP00387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division topological specificity factor homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69390) UniProtKB/Swiss-Prot;Acc:Q9C4Z7] MAISGDLRVSAALGPHSINPLLRCAFPPSKVDFGSFPGGGSAVFDATPKWSRAVLEGHNTRCHSKRSIGIFSEHKLSSSAIGQELDYFLHNAINMNFFERLNLAWKIVFPSPASRRNSNANIAKHRLKMILFSDRCAVSDEAKQKIVSNIVTALSDFVEIESQDKVQLSVSTDPDLGTVYSVTVPVRRVRPEYQEEDPTGTITNIEYKDNGENSGSVDVKFDFYVPNKKFNDFSL >CDP00299 pep chromosome:AUK_PRJEB4211_v1:11:30217957:30221548:1 gene:GSCOC_T00032191001 transcript:CDP00299 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLGSSARVDATLSSHTLPASETLRIPSKTSNSSAPSTLTLPSYSGKSGSFDSIPTPRSEFEILSSPHVKSFPFSELRNATRNFRPDSLLGEGGFGYVFKGWIDEQTLTPSKPGSGMVIAVKKLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCSEGDNRLLVYEFMPKGSLENHLFRRGAQPLPWATRMRVAIGAARGLAFLHDAEEQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYIATGRLSAKSDVYSFGVVLLELLSGRRAVDKGKVGVEQNLVDWAKPYLGDKRKLFRIMDTKLEGQYPQKGAFTAANLAMQCLNPDPKVRPRMTDVLTALEDLPSPKSLKHSPSEYQASPDPARHSLKQNRSPLNMTPCASPLPTQLKSPRVR >CDP04814 pep chromosome:AUK_PRJEB4211_v1:11:5301116:5304806:-1 gene:GSCOC_T00019542001 transcript:CDP04814 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGNHTSLRFLLSSVNVLLFLIVAMNLSPNASAARFLSNETDQIALLEFKNKISDPNGVLNSWNHSLHHCQWPGITCDARHQRVTILNLPGKSLFGTISPHVGNLSFLKFFYLEENQFHGDIPQEVGRLLRLRFLNLSSNILTGEIPVNLSHCSELRALSLIRNKLEGRISTELGSLKKLETLQLAVNNLTGEIPSTIGNLSSIKQLSFTFNNLEGNLPEEISLLTTLFFLAMGSNKLTGQVPSTLCNITNLYVLNLSSNNFQGDVTHSLRNCQSLQMLFISQNNFSGSVSPQIFGSYISPTILDLSHNSLSGSLPLEVGKLENIQQLVVSSNKFSGEVPSTLGDCSSMQYLDMQSNLFNGTLPPALASLKGIQFLDLSHNNFSGQIPRDINRLVFLKFLDISYNDLEGEIPTDGVFTNASQIRLVGNSKLCGGIPELQLPSCLVKRKKKGKRLLVLVIPLVLIVILVISVTFFLYHFLHKKRDKSVKESLMMSSDPIEKILRISYHELYRATEGFSSTNLIGSGSFGVVYKGKLDQHQDKEVAVKVLDLQKNGASKSFEAECKALRSIRHRNLLSLLTYCSSIDTKGNEFKALVYEYIQNGSLDMWLHSELAEATRSRNLDLLQRINIAVNVASGLDYLHNHYEVTIVHCDLKPSNILLDNDLIAHVGDFGLAKLLPRTADIDSETLILSKKLPAYGMGLQVSTEGDVYSFGILLLEMFTGRRPTDDAFVDGLDLHNYVKMALPEQVLKIVDPSLLSSEAEENKVVLQAASEDEETNHGKRAVEMNDGDIKSSSDETNNVQKCLILILKVGLGCSQKSPMDRIDMKEVTRELHFIRDAYLGVRNR >CDP05473 pep chromosome:AUK_PRJEB4211_v1:11:21235301:21236253:-1 gene:GSCOC_T00020539001 transcript:CDP05473 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKLTWNHLMKNPLGTLFNHLDHAVYTLRRPLANQCISLFINLKPQTGKSKPVKFGFAELCNCASLCWKGVAGSL >CDP00718 pep chromosome:AUK_PRJEB4211_v1:11:26563156:26566774:1 gene:GSCOC_T00032775001 transcript:CDP00718 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKFIQLVEEKKKRMMERKEAPLKWEQKLEAAAKARADAEKERKMKATKHKKRSVPGSESDCSSDSSSDERKSAKRPHRKHRKHNHSDSGDNEKRRDKKSRHKSKRRSSDSGDDSSGCDTDSQEERRRKKQRQKRRRHHDSRSDSSGADYSDDESDIDMRRRNRIKSHKRHRRSHSSDSESASDDDYPGRKRNRARHHKRSRRSGSSDSDSSSDDDTPKRSRHAKHHKHHRGTRTHDSVSSDSEDHRRDGSRSLGKSSDDDFGKVEKQKKHHHGHGRHHHHHHHNNHNRIHSDETPLNDQQAGKATESNGKNTLREANMDNSHDKAVDQQTA >CDP21182 pep chromosome:AUK_PRJEB4211_v1:11:31713267:31715318:1 gene:GSCOC_T00001964001 transcript:CDP21182 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFFAYAKSLEINVDKEELEDAKWHSREDVKKALTFAEYKKAQRTAADRVDQICKGVEKGQNLSADFSVESGELASMFIPGPFAIAHHLISSWANQVVVNGAETHGKQPGSYLSSL >CDP04784 pep chromosome:AUK_PRJEB4211_v1:11:5886549:5887673:1 gene:GSCOC_T00019495001 transcript:CDP04784 gene_biotype:protein_coding transcript_biotype:protein_coding MGENETLVSAGGNDVNKKALWVANRDNPMLSDPSATLQIRDDGNLILLDRRQSPIIVNSGMIARSDNTSATLLDSGNLVLRRGDQVVWQSFDYPTDTFLLGMKIGEFDLTAEVPRNQLLVSWVNPENPLRGAFTLGVQSVDHKAATKLGVWRGYNDHMDISSWDGNNFVFIFKNLTNSFNFVYVSNENESYFTFTTIGKYDMSWLVISSIGHIDEYTMFNGSISLVSHSLCDKSIIGNSNVCMDSETSRCTDGDAFMPMNGTFPASMTADLLVVSVECEFLCKRNCSCIGFATFQDDLAWCQLYYGSKNDILNLMLKERNDTVYVRGFATYPTCTFDTNLCRKIVDQDQHLI >CDP00172 pep chromosome:AUK_PRJEB4211_v1:11:31220980:31222733:1 gene:GSCOC_T00032034001 transcript:CDP00172 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGAKSGVPLQLDSKDWDKLLGEREGAGAEPLSLVAVPGKSPQAKEMDEKVSNDQPVSDLRNMTDKQLQQSADRIRRILPTLSLTLKDGGEKLKRSLQLHEDEFKRRRLLPLQKKNPGHNKYIHNKIELYDEMAVVVGKDLATGSFAKSFVDLETPFVPKTSVETSEETSVEQKNVTSARSSEVRATSSGTQQHRKRNRSNEVIEKMSQQLGEVAAALNKISANKLDINQLHDEIMKIEGYSEEFLDLVFDHLVQNEKLGKAFMAKSHRLRLISLERFKKDWGVE >CDP13206 pep chromosome:AUK_PRJEB4211_v1:11:32009420:32013186:-1 gene:GSCOC_T00038073001 transcript:CDP13206 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTAPPPPKFSGASATPTKFPSSKPTLLLPSKNCKSLTRCELKSLDNNKWTVDCVSGTGSDPIHIILKPPATTPLGMTSLDSAVKTSKRVCLFYCAEMKALAERIAAESDAIELRGITWRTFEDGFPNLFISNAHGIRGQHVAFLASFSSPGVIFEQLSVIYALPKLFVSSFTLVLPFFPTGTSERMEEEGDVATAFTLARILSNIPISKGGPTSLVIFDIHALQERFYFGDNILPCFESAIPLLLKRLQQLPDSDNISIAFPDDGAWKRFHKQLQHFPTIICAKVREGDQRIVRLKEGEPNGRHVVIVDDLVQSGGTLIECQKVLAKHGASKISAYVTHGIFPNRSWERFDHDKGGNPENGLSYFWITDSCPQTVKEVKNRAPFEVLSLAAVIATALQI >CDP00381 pep chromosome:AUK_PRJEB4211_v1:11:29546941:29548026:1 gene:GSCOC_T00032307001 transcript:CDP00381 gene_biotype:protein_coding transcript_biotype:protein_coding METSSGLSPRLNSIALNKEKEEDPDLHNFELETAGHGSGSDHHHFRSSNALEILRETVRILRYNSTGFVAILALLICPVSAVVLSNVLVDQSIVKQLTIRLLLVAKSSGLPLSPFIRQSCQKFAEMAVAATMCFPLYITLSLLSKAAVVYSVDCTYSRKRFDSSKFYVIVRKIWRRIVVTYFWVCVVIVSCITLFCVLLVAVSSTFSVMGFPSDLILYPAMVLGLIFSIILANSTIICNIAIVISVLEDVSGPQALLRSSSLVKGQTQVGLLIFLGSTIGMAMVEGLFEHRVKTLSYGDGSSRIWEGPLLVIMYSFVVLIDSMMSAVFYFSCKSYSTEAAAVGSEPVLEALTISLESTGVQ >CDP00769 pep chromosome:AUK_PRJEB4211_v1:11:26005832:26007148:1 gene:GSCOC_T00032845001 transcript:CDP00769 gene_biotype:protein_coding transcript_biotype:protein_coding MESVIQLHSHNLLKLLLFLTILHHLQHSTTSLDLLPKEALPTNSGYLEVNSTTGSALFYTYYEAQEPATPLCQTPLLIWLQGGPGCSSMLGNFYELGPWLVSSNVSVKPNPGAWNRIFGLLFIDNPIGTGFSITASPQEIPRNQHDVAKHLFIAIKKFIKLDDLFKTRPIYVAGESYAGKYVPALGHYILTKNAMLPVSNRVNLAGVAIGNGITDPATQTTTHALNAYYSGFINDKQKEVLENLQKEANLSHVVVLNAGHLVPADQPLNSQAMIEDWVLDRGLFAN >CDP07915 pep chromosome:AUK_PRJEB4211_v1:11:12434463:12445474:-1 gene:GSCOC_T00025421001 transcript:CDP07915 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETL1 [Source:Projected from Arabidopsis thaliana (AT2G02090) UniProtKB/TrEMBL;Acc:A0A178VWA6] MTRRSIDDLELSSDEEVWSKHSFKFSRVINSDDATSSKPQPRAAPPPIESFAYSNNSGNNLSSCDLIEIVESSSEENGCENLEDDDVDLEVSNRSRKSVKTSTSSRGRRFVIDDDEEEEEEKIVSNSKENDELSDFFEEEEEENDVIKKALQKCGKISAELRRELYGTTAAACDTFSEIEENSSLRIVTQDDVAEACGGGDSEFQPVLKPYQLVGVNFLLLLYRKKIGGAILADEMGLGKTIQAITYLTLLKHLEGDSGPHLIVCPASVLENWERELKKWCPSFNVLQYHGAARSAYSKELSYVSKAGLPPPFNVILVCYSIFERHSAQQKDDRKILKHWRWSCVLMDEAHALKDKNSFRWKNLMSVARKAKQRLMLTGTPLQNDLHELWSMLEFMMPDLFATGDVDLRKLLNAEDRDLIAQIKSILGPFILRRLKSDVMQQLVPKTQKVEYVKMEKLQADAYTEAIDNYRAISQARISKLAKIDLNSVARILPRRQISNYFLEFRKIANHPLLVRRIYTDDDVVRFAKALHPKGVFGFECTLDRVIEELKNYSDFSIHQLLLYHGDADGEGVLSDEHVMASAKCQALAELLPILQHEGHRVLIFSQWTSMLDILEWTLDVIGVTYRRLDGSTQVTERQTIVDTFNKDSSIFVCLLSTRAGGQGLNLTGADTVIIHDMDFNPQIDRQAEDRCHRIGQTKPVTVYRLVTKDTVDENVFEIANRKLTLDAAVLQSGVEVEDEGMVPDKTMGEILSSLLLG >CDP05578 pep chromosome:AUK_PRJEB4211_v1:11:23207864:23210655:-1 gene:GSCOC_T00020702001 transcript:CDP05578 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLLCTSSPLLLLFLFLFSSIPVSSQFPFGSSKQAHNYSNVSCVENERQALFQFKHGLIDESNRLLSWIGENCCSWKGISCHKITGSILKLDLCNTVCSRCVTVNMLPSLTTLDLNYCGLFIHPHLSHVNFTSLAFLDLGFNNFNNYTFPPWLRNLIGLHDLGLVGNNLSDPIHGLFDQMTSLVHLDLFSNRFDVSTSLGNLWGLSYLHLNGNKFVGKLPTSMQHLRNLQTLDLGDNGLKDIIPAWIGERLSNLWFLRFQSNNFHGPISDTLCQLSHLQVLNLAHNNLSGFIPHYFNNIRDLRLLRSVSLSANNLVGEIPDEIMELQLETLDLSMNALVGAIPESLSDLYSLNSLNLSHNKLSGPIPSGNWLQTLTDPSIYEGNSGLCGNPLPNNCSEHKLPTKSGLIDEDEGHNESDWSWFYAGIGPGFAVGLLGVLGILLFKKSWRYAYFKFIEGACDKIWVKTTRLRRNFR >CDP15259 pep chromosome:AUK_PRJEB4211_v1:11:18912155:18912830:-1 gene:GSCOC_T00042912001 transcript:CDP15259 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLPRIIQAEQILRCSVLTSNAAISASVDVPSGYLAVYVGESEEKQFIIHVAYLNEPAFQELLTCQDEEEFGCDHPMGGLTIPCREDIFINLTSRLGRSLS >CDP18969 pep chromosome:AUK_PRJEB4211_v1:11:31696705:31703040:-1 gene:GSCOC_T00001007001 transcript:CDP18969 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) UniProtKB/Swiss-Prot;Acc:Q8LL04] MEECESQLSPTVYDLDVEEGEISDSASSVEEISAEAFIKKDRFPAAAAPRVWTMRDLYKYQLSRNYSAGLYNLAWASAVQNKPLDEILVMDIDDSKDGVSAASRSEKHVIQVDEKEEGELEEGEIDMDSEMGETDGDVSKENLSGAVKDKEAVLEKQVDLLRKGFESVTANEAEKSFGEVSSRVQNLLDSMREIAENNILTTKDVLVQLVITAIKTLNAVFCSMDLNKKEYSKDIMSRWLLAHVSSQKYLFSAEQLKEIEAMTSLLDSSSETLSSMDANRNNEMRELRVVSKNDLDSSAENMKRVPEKVFNVDSISVESSDQPVPPALLEYGKSGVANSKYKGLSLPLLDLHKDHDADSLPSPTQGAPSCLPIVKGFSVGHGLLKPEWPVPRVALERENVPMHPYETDAVKAVSSYQQKFGGSSFLMNDRLPSPTPSEDGDGGDGDSSGEVSSSSSMDVKPVDTSMVGQLTASDAPKIGILTGQGLANLLNAPSLSSGPSSSMKTSSAKSRDPRLRLANSDVASLDRLLPVVNGEPKVEPVGGMISSRKQKTIEEQVMDGPALKRQRNEQTDSSVVKSVQTVSGTGGWLEDRGTAGLGATNRSHALNSSGNDPMRPEYAVTPLSSGSSLANVTVNGNKNLPLTNPGATASLHSLLKDIAVNPSIWMNIIKMEQQKSADPTRSTSQPTCSNSINGSVNAVVSKPRDLGQRAAGTFQVTSQTASVAEPGKVRMKPRDPRRVLHNNTLQKGGSMEFDQSQTKSSTSSNPEMVGNINFQIQDDQLDRRVVPSNSIVQPDIAQQFTKNLKNIADIVSVSQATSSQPALPQISLSQPSQAYQGRTETIGMLESGKPQSGPGLSSKEVSMGSSRPQNNWDDVEHLFEGFDDQQKAAIHRERARRMQEQRKMFAGRKLCLFVEVDPMHDEILRKKEEQDREKPHRHLFRFPHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKLLDPKGELFAGRVISRGDDGDLLDGDERVPKSKDLEGVMGMESSVVIIDDSLRVWPHNKLNLIVVERYIFFPCSRRQFGLPGPSLLEIDHDERSEDGTLASSLAVIERIHEIFFAHQSLDEADVRNILASEQRKILAGCRIVFSRVFPVGEANPHLHPLWQTAEQFGAVCTNSIDEQVTHVVANSLGTDKVNWALSSGRFVVHPGWVEASALLYRRANEKDFAIKP >CDP00536 pep chromosome:AUK_PRJEB4211_v1:11:28373959:28374580:-1 gene:GSCOC_T00032500001 transcript:CDP00536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML16 [Source:Projected from Arabidopsis thaliana (AT3G25600) UniProtKB/Swiss-Prot;Acc:Q9LI84] MAKLQSDQLKQLRDIFIRFDMDRDGSLTQLELAALLRSLGLKPTGNQIHDLLANMDANGNGTIEFEELVEAILPDMNEQILINQEQLLEVFRSFDRDGNGFITAAEFAGQMAKMGHPLTYKELTEMMRNADTNGDGVISFNEFANILGKSASDFLGLTVS >CDP13249 pep chromosome:AUK_PRJEB4211_v1:11:32299762:32300789:1 gene:GSCOC_T00038129001 transcript:CDP13249 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQHETNPHFLPQPPYPQLQEQRPRLPPQGPRHPDGHFTQPSPRPHDTHHPHFQPPLQPRPSRHHLPQPVIPPKTTTHRKHGGEMPLPQLHQGGHHFGQHGDDPEQDPRSQQGQGQQRPSPLPVNTARKARPVAWIIAAFCTLFWIIVIVAGLAVLIIYLVYRPRLPKFDISTATLNAAYLDTGYLLEADLTILANFTNPNKKVNVDFSYVIMDLYYESNLIATRYVEPFTAHRGQSIFEDIHMVTSQVRLPIAPSQGLPRQMNSGGVKFDVKGLFRARSNLGGALRYSYWLYTHCIITLTGPPSGVLISKKCTTKR >CDP04800 pep chromosome:AUK_PRJEB4211_v1:11:5640822:5643309:-1 gene:GSCOC_T00019523001 transcript:CDP04800 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTFGILCEMIRDTGGLKATRNMSIEEIVAMFVYVLAHHKKSRTICGLFWRSRETVSRQFNLCLLAVLKLHTILLKKPEPITEDCTDERWKCFKNCLGALDGTLIDVTPPTEQKSRYRTRKGSIATNVLGVCCYYLVDAGYCNADGFLAPYRGQRYHLNEFNVMKKMENDEIVRGRGKNKCFWTGEEVKVLIESLQELACDPMFHAIVEMCKESGCSWNDAEKKISYEKQWYDDWCKTHKDAKGLWDVKFPYLGDLEIVYGRDRATGNVAEDFTQTVQDMEAVQNLEEGDEGLDAMSNSDNDKVEEDEVNSMEQSTQPSSTSTRNSKKQKKQSPPIANVSKKMKSASTTRGDLDASLQLLTSKFGDFVEGIQANFTTIAAAMSNEDKREQLVSDRRDQVVAELMKLALPSGDVMNAADILSEQISKLHVFYNLPAEMKRQYVINLLYPPSTR >CDP11031 pep chromosome:AUK_PRJEB4211_v1:11:6634890:6637565:1 gene:GSCOC_T00033014001 transcript:CDP11031 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTYFLVLGAIALHFVTTSSASISIANNHNNSASDLNALRAFKATIFDRQRIIPTNWSTSSSVCNWIGITCNARHHRVAAIDLSYMGIVGTIPPQLGNLSFLVRLNVMNNSFHEHLPTELSHRLTYINLEGNAFEGEPPSWLGGLTALQYSFRDNGFSEEFSALPKLTVLDIQHNQLVGPLPWDLFNLSSLQIIGFTNNSLWGYLPAHICNYLPQLQALYLSLNNFEGEIPSGIGECSRLQVLSLSSNKFRGHIPKGVWNLTTLTQIHLGGTDLTGKLPTSLCFEKFLLDISNDPFFLHSLFINEMFEILSHRNIDWISKSIVKPLTCYTNGLAGLMSRPGLMTMPNTQFCLISLEFRNTYMLYKYNYAMPLIIFIIML >CDP00590 pep chromosome:AUK_PRJEB4211_v1:11:27814452:27818555:1 gene:GSCOC_T00032579001 transcript:CDP00590 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPDAAVTFLLDNLRQVLSYNYHLIADVRDNILILCQELETLKALMRDYSRYNHDSDFLKELVKEIKTVVNQAEDAVDTYIVQASVQRSRSWISKTFQIIDYPTKLRGVGKQIEEVGKKVREINQEKARNGFEALQYQAMANLNRIPKPKEAPKVEEEHVVGFEEATEKVSKLLTGGSQHLEVISIVGMLGLGKTTLAKKVVKDPIVDYEFMIKAFVYVSKEYEKREVFLSILRSFTQINDEVNKMVEDQLEEYLRKQLEGKQYLVVMDDVWEKEDWDRLKKAFPSNNKRCRVLITTRNKNVAEYASRGIPFHKLDFLPLEKSRELLRWKVFDDDKCPKELQEYEIEIANKCDGLPLAVVVIAGILWNNKERIDWWKHVADSVKDYIARDQEQTTKVIELMYKHLPNHLKPCFIYLGVFREDFEIPVWKLLRLWIAEGLILQEGDINLEDIAEQHLEELVARNLVMVGQRRSNDRIKTCRIHDTLREFCKNEATEENFFQEIKKDKLSFFLSEHPALDQYRRLCINHVNVLDYISRPTPSGKCVRSFLTFAKEETTVEPKHVSAIPKTFKLLRVLEVQSLTFTRFPPDLCHLVLLKYVAFSCNISVLPPAMSTMCNMQTLIVNTTSRILEIKADIWRMPQLRHLHTNASTLLPCPDNKNQRSKEEALIGENLLTLHTVSPESCKEEVFERTTRLKKLGIRGKLAKLFEITGESSLFGYLRKLDSLENLKLLNDDISSRLFGLPSHKSFPRELTKLTLLNTLLDWSEMSTLGKLENLEVLKLKDNAFKGRLWQTEKGGFLRLKFLHIGRTDLVVWEASVDQFPKLKSLVLRNCDKLRAFPHDLADIPSLQMVSKLKGATKLVASSSPYILQNIECQMHLSCPCLLRLLIQEKMATDLLV >CDP00820 pep chromosome:AUK_PRJEB4211_v1:11:25442404:25444424:-1 gene:GSCOC_T00032916001 transcript:CDP00820 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNESDLQKSQPPQNHYNYHSTEAIAPANIPGTWSTGLYDCFSDVPNCCLTLWCPCVTLGRIAEIVDKGSTSREACGALYAVLNILGCACLHSRLYRSRLRKQYNLPATPCGDCLVHFFCEPCALCQEHRELKNRGFDMSLGWQENMEKQNAGVTMAPIVQGGMDR >CDP13400 pep chromosome:AUK_PRJEB4211_v1:11:33526867:33528402:1 gene:GSCOC_T00038325001 transcript:CDP13400 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSATSLNFRPLTTSPPLGRMDQISRQASSVRMIGAACTKNITFPSLRTSRFQVSCMAKPDTVQKVCDIVRKQLALPAETELTPDSTFASLGADSLDTVEIVMGLEEEFGINVEDENSENITTIEDAADLIEKLVQLNSSHRI >CDP13394 pep chromosome:AUK_PRJEB4211_v1:11:33450660:33452875:1 gene:GSCOC_T00038317001 transcript:CDP13394 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHQNQSYYVGQNPYQSGAIPPSALVGDPKGIPIQQTIFRDTPAPFNCPHCASSGLTTLRSKPSLAAVVGCMMPMMLGVCFLCPSMDCLWHKYHYCPSCGEKVADFEKPDPCLVMDPPQWTQESFALPA >CDP00459 pep chromosome:AUK_PRJEB4211_v1:11:28977384:28979193:-1 gene:GSCOC_T00032405001 transcript:CDP00459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CRC [Source:Projected from Arabidopsis thaliana (AT1G69180) UniProtKB/TrEMBL;Acc:Q1PFF1] MDLVQQSEHLCYVRCNFCNTVLAVGIPCKRLLDTVTVKCGHCGNLSFLSTRPPPPLQGQCFEHQPTIQTFFSDLKKGQSSSSSSSTSGEPSSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKATNPEIPHREAFSAAAKNWARYIPTAPTGSIAESSNSNHVRFRSGTKL >CDP04902 pep chromosome:AUK_PRJEB4211_v1:11:2194621:2197168:-1 gene:GSCOC_T00019767001 transcript:CDP04902 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLTLLKIHNACVSRGPNHLPNEIRWLNWHGYPSKFLPDSFQAEKLVGLKLQNNRIIELWKGIKFLNKLKFINLSHSQKLIRTPDFTGILPLERLVLESCSSLIEIHSSTGYLKSLKLLNLQNCTTTAVKELPPSIENLAALVVLNLSYCKTLILSGCSKLGRLPEELGYIESLEEIYGDETAISQPPSSIILLKNPRTLSLRGYSRGFVFPSVSGLNSLAILDLSDCSMLDGGLPCDLGSLSSLQELNLGRNNFSSIYASSIRNISRLRILELIGCKRFQILPELPPSIEQVYADDCTILQGEPNLLTKSQKLNTVSFTNFEYLHSWTKYSSLFHLQDTGHSISVQLPPNWYCNEFMGMAICIVFGLKTPVMVVRNVNRENTAVIPVQYRYKGRDKLSTPAVISLGVIESETNVDSEHTCLAYLPRRKLSQIPQNWRSNDWTCIELPSYTLQFMEFKVWGVRLVYQKDVGEIENVHWDFQLIE >CDP18994 pep chromosome:AUK_PRJEB4211_v1:11:31538415:31540738:1 gene:GSCOC_T00001042001 transcript:CDP18994 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLWLWTWRLIMSLSSSGGCGFPHVAAAAAVPVPLASSAAAEGEDEDEAEADPPIPRPWPHQFHSILFVNFTGSLSLIDLWYDWPNGRNFNIIRDQLQDSDSILYDLEWNNGTSFFYTLPDHHHPSSTPPSCRSAQLDVGILRPNWLDGASYLGQRHVDGFLCNVWEKLDFIWYYEDVVTKRPVHWLFYTGRSIHVMTFEVGAVLEDAKWQAPVYCFEEVEEETDTRVSTYVHKNWKMLG >CDP00384 pep chromosome:AUK_PRJEB4211_v1:11:29519213:29523265:-1 gene:GSCOC_T00032310001 transcript:CDP00384 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCISMPKKRFKSSAKYFRKPRKFRRKIASSVSVAPIEQFTGAEFGRNPSLPGSVSGNNETHASTSCRRSELPNLTLHSTQPQWDHNQVIKNGVFQEEAWFDSVSALDSDSDEDFSSVHGDFFPSLSNATEDIHMNQMAQHENNSWFGSEHRSDKNFQTIDCGKTEKFSSKVENEDENGISHSKGCYDFPSLTIADGISTEKKKIPNAPSWRFKGAKADPRQYEEKNVENHPKSHLPQLLDHCSLNSLSTSTRRRSTATKVAIKRTQQDEDETNEYCPSKRYLYHRKAGLTIPRSMGENLAHGCWHPLSPSVFKLRGENYFRHVF >CDP00542 pep chromosome:AUK_PRJEB4211_v1:11:28330563:28333841:-1 gene:GSCOC_T00032508001 transcript:CDP00542 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTCISSSFQLRCKGPFSFSFNDYSFCLNDRIDRNPLLYSSSTCSSCCGNSLYSRFPISSPSFLYGLRQSNLIQWSPCKKLILNGLDRFTSSRCHPFDVGRSRYCEKDYIFKGRNLGKAGTRRMVFEEKSERGDFCDDSNGIDEVEIMLNLLAEDVSDECYSIRKGGRKSSREVKVEKRGNGQKNNRRRRTKNGDSDVVESQSKFEYEEKVIREEEWEKHSRENWRERVRVEEGEDLSRRQVHGQRVRKDGSSCSVSQSREQDCRSLSQSSGIKGPSDESWDVAEPSMQEHLETRRIKTENNNGTTVVKRTGRSLWNIIGDIVRLRWASRSEHGSTAKSGGNSSPNQSTSSETWFSGHDPDENVHLETKGDRVIVTEESTSVNQQQDEKVCSQSQGQVSSLSSSKGEMKQTGGGSFSSSSVLQRDSSIQRNSFRPGETTSERKSEASFPESRAAESSVTLPSLQLRRSPVVGENSASRKAEGSGSGTVVQIDTPVPTTLTENPRPVSKNEELERRKLGRSDQFVKDRFDEWEAAYRLEMEQRRVDEMFMREALLEAKKAADSWEVPVGAVLVRDGKIIARGYNL >CDP00202 pep chromosome:AUK_PRJEB4211_v1:11:30976900:30981298:-1 gene:GSCOC_T00032072001 transcript:CDP00202 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAAAPPPKQDELQPHPVKDQLPNVSYCITSPPPWPEAIILGFQHYLVMLGTTVLIPTTLVPQMGGGNEEKAKMIQTLLFVAGLNTLTQTFFGTRLPAVIGGSYTFVPTTLSIVLAGRYSSIPEPQERFQKIMRGIQGAMIVASTLQIIIGFSGLWRNVTRFMSPLSAIPLVALSGFGLYEFGFPLLAKCVEIGLPQLILLVIFSQYMPHLTKGGRHVFDRFAVLFSVVIVWIYAHLLTVGGAYKNTPQKTQLTCRTDRAGIVGAAPWIRLPYPFQWGPPTFDAGEAFAMMAASFVALVESTGTFMAVARYASATPVPPSVLSRGVGWQGIGILLSGMFGTGNGSSVSVENAGLLAVTRVGSRRVVQISAGFMIFFSILGKFGAIFASIPAPIVAALYCLFFAYVGSAGLSYLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAVNGMGPVHTRARWFNDMINVPFSSEAFVAGLLALLLDVTLHKKGSATSRDRGLPWWDRFRSYKSDTRSEEFYSLPCNLNKFFPSV >CDP05435 pep chromosome:AUK_PRJEB4211_v1:11:20422344:20429050:1 gene:GSCOC_T00020479001 transcript:CDP05435 gene_biotype:protein_coding transcript_biotype:protein_coding MENREKQYKAHVLAIPFQSAGHINPMLQLCKKLVRKGLKATLAITKFTSKVSFPKSDKVQIDIISDGYDEGGFFFTDPVPISMARFKEVGSQSILELLKKYESLGTPIDFIIYDSLLPFVLDLCKEIGLPAVASFTHQCGVNYIMHQFSHGKLTNPVTEFPVLIPGLPPLEHQDLPYFGSNIPYHFAYVCTQFSNVNQADYVLVNTFCELEKEVVDEFSKHLPVLTVGPTVPTFYLDRRVVDDKEYGIASADSDPSICLNWLNSKPARSVIYASLSSVRSASFGEKQLEELALALKNSNYYFLWSVKHFEAEKLPKNFNEEASDKGLLVPWTPQLEVLSSEAVGCILSHCGWNSSLEAISLGVPIVAMPQFIDQQPNAKFIQDVWKVGIRVKHDRNGLATREEIGRCIKEVMEGETGKEIKENAMKFSNLAKAAVSEGGSSDTNLNYFVSKMTMFSKKRPKFWDGGSVCKVYPHNKCIPIMLLYIKSVSLGRFGTEGASQRNTPSVPKFGTLFGNTLFMYSSIMGTHLSNSSNRTLCCLWSKTTNCWEPLAIDLRYLSIYTLLDLTIVFSKYRSLKSGLFLINQTNQLLKKIIRHHLHSGVR >CDP00538 pep chromosome:AUK_PRJEB4211_v1:11:28342826:28346730:-1 gene:GSCOC_T00032504001 transcript:CDP00538 gene_biotype:protein_coding transcript_biotype:protein_coding MREALLEAKKAADSWEVPVGAVLVRDGKIIARGYNLVEELRDSTAHAEINCIREASNLLRTWRLSETTLYVTLEPCPMCAGAILQARIDTVVWGAPNKLLGADGSWIRLFPNGEGGSGLELSDKPPAPVHPFHPKIVVRRGVLAAECADTMQHFFQLRRKKDNKSETTTPPSCLPISHHHPSRFLTKIHDAFHLMFCL >CDP05553 pep chromosome:AUK_PRJEB4211_v1:11:22934970:22936171:1 gene:GSCOC_T00020667001 transcript:CDP05553 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSGEIPPSLSSIYTLSSLNLSYNKLSGPIPSGNQLQTLNDPSIYEGNIGLCGKPLLNSCPADESPTENGPVLDDKGHSEFDFSWFYSGFGPGFSVGVVGVVGILQFKQSWRYALFKCVENAYDRIWVMIGLKTSRLRRNFH >CDP16981 pep chromosome:AUK_PRJEB4211_v1:11:18017881:18018610:-1 gene:GSCOC_T00004815001 transcript:CDP16981 gene_biotype:protein_coding transcript_biotype:protein_coding MECEVVKGLAFLFCKFRPVTEYNEIANHFADCIYVHCYSTRLRLQENTSTSVNVPNLGFNTPVKGYQPSLSNQFSRQFAVDRLEGVEKLILDYLQQPALL >CDP00422 pep chromosome:AUK_PRJEB4211_v1:11:29236118:29237669:-1 gene:GSCOC_T00032358001 transcript:CDP00422 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRF12 [Source:Projected from Arabidopsis thaliana (AT1G26480) UniProtKB/TrEMBL;Acc:A0A178WJF9] MSTEKERETHVYLAKLAEQAERYEEMVESMKQVAKLDVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEHNVKLIKGYRQKVEDELSKICSDILTIIDKHLIPSSGSGEATVFYYKMKGDYFRYLAEFKTDQDRKEAAEQSLKGYEASFTLIAASATANTELPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGTKSS >CDP13294 pep chromosome:AUK_PRJEB4211_v1:11:32603487:32607174:1 gene:GSCOC_T00038185001 transcript:CDP13294 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP14 [Source:Projected from Arabidopsis thaliana (AT3G26000) UniProtKB/Swiss-Prot;Acc:Q9LU91] MALNYSHRPIFPAHIAEDNLVSPLRIVNGYLVEGFIDRARDGIDGCNSRESGSEDIVDLLPSDPFGMDISTTFTAITGWLEDLEVDYRGCGTKNNNIGVGNEDYGLFAGFSILWKSAMRLQSIPGNAQTNWNMKTAGKIDQYSEARDVGHASAQSSCEPTNLEGNIKNVGAITRSLEIEEESEDSVWSSVYDDGSPHEALYYALGYLGVKDLLLAERVCRSLCHAVRNDPLLWRSIHIEQPLNEKITDDVLFELTSRAQGNLECLTLVECPRITDGGLRRVLESNPRLNKLCVPGCTRLNIEGIVNILKSFNLHNGCLGIKYLRIGGLYGVTHEHVEDLKILLGVDKKVQENIYKPHFYLRGKFYVLCDDDRALDVEVCPICQKLRLIYDCPSEGCQVKDQNAQLCRACALCIPRCAQCGRCINDGEYEETFCLDNVCSDCFQNLSKDHDKHDRKLGSNTS >CDP18999 pep chromosome:AUK_PRJEB4211_v1:11:31504570:31504938:1 gene:GSCOC_T00001048001 transcript:CDP18999 gene_biotype:protein_coding transcript_biotype:protein_coding MIICFVGYCNRSGGREREREGEREREREERERERERESGRRGRESCILR >CDP00667 pep chromosome:AUK_PRJEB4211_v1:11:27107961:27112877:1 gene:GSCOC_T00032689001 transcript:CDP00667 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGSMRALVGSIHSCPTQAVLYIAGGASQALGLLLSVPGASNTVLEAVVPYSGMSMIQLLGKVPAQFASAKTAEEMALLAYNRALKLSKPGSPVLGVGFTGSLASTRPKLGDHRFHLSTRTSDQLWVSTVTLSKGLRTREQEETVSSQFLLKGIANACKVEATYISELNESEVPDEYESKFDEDQELEQVINGQICFKVYPFSSDIANTKRKIILSGSFNPLHEGHLKLLDVAISICGDGYPCFELSAINADKRPLTVSQIKERVKQFERVGKTVIVSNQPYFYKKAELFPGSAFVIGADTAVRLIDPKYYGNDYAKMLEILIGCKNTGCVFLVAGRNVDGVFKVLEDFDVPEELKGLFISIPADTFRMDISSTEIRRSRGM >CDP07945 pep chromosome:AUK_PRJEB4211_v1:11:11629006:11630783:1 gene:GSCOC_T00025473001 transcript:CDP07945 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSRTQKHTVVLVPLPLQGHITPMLQLGNILYSKGFSIVVAHSEFRPPNPLNHPEFIFHPLSDNLSGYQASSNNLVELILAINSNCRAPLEDYMVQLMEDQKLQGYQVSCIIYDSHLCFVDSVATHLKIPGIILRPDMAVYMLAFRYFCQLEAENRIPFPGSRLQEPVPELHPLRFKDLPYPITNEIPEWIMDFFASSVNIRSSVAIILNTTDCLEHSTLSQLQQCYKVPCFPIAPLHKLGAAATSTSFLEEDQSCIAWLEKQPPNSVLYISFGSIACVNEQELTETAWGLANSGIPFIWVLRSDSIDGSQLEDHFPEAVKALLGERGLIVKWAPQKKVLAHNAVGGFWSHCGWNSTIESICEGVPMICRPHFADQLSNARYLTSEWKVGLEIENVLDRGSIEISIRRLMVDAEGKEMRQRMSVMKDKLEAGLQKGGSSYESLNDLTEFISQLPSMVQEVKVNDPATSVSKNLIQFEGLKNLV >CDP19005 pep chromosome:AUK_PRJEB4211_v1:11:31449913:31452257:-1 gene:GSCOC_T00001056001 transcript:CDP19005 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSSNNIVMGPYHNWELFSADYQEMLLNLKIFVYPDVHSSSSKAIRNSSSQAPNYDSVFLPLANPTNPKLGNYYSEHAFKVALLHSSLVTDRPEEANFFFMPFSINAMRNHPLLHSASSISDFIAQYTTRISSDFTFWNASDGADHFYVCCHSIGRDAASKHLALHNNAIQVTCSSSYFQRLYITHKDIGLPQVWPRRRDVDHRPFNPPAARNMLVFFAGRAQNSLVRQKLLDLWSNDTSFAISSGGLSLPYQEGFKRSKYCLHVKGYEVNTARVSDAIHYGCIPVIISNYYDLPFASVLDWSKFSIIVSEKDIHVLKEILLSVSKETYLKLYKNLSMVRRHFAWHSTPRSYDAFYMTAYQLWLKRGLQRIA >CDP18555 pep chromosome:AUK_PRJEB4211_v1:11:1600430:1601686:-1 gene:GSCOC_T00004213001 transcript:CDP18555 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDMEIERESKRRKSTPKEHHQPGNNLYSLPQEIALDIISRLHVKSVIQFRYVCRSCHKLSLDLDLANLHLTRALKNNDIALIFHCDFPLNNQLYFVEFSHQDHEKNVLRRIHTPFSSTLPEFRIVSSCNGLLCLSSTLVNDGPYVYNPFTRDYKVLPKSTEFQDQEVIIGFGVHPHTNEYRVVRIVYYWNLYELSPLRSLRFRAQNFPRSEVQVFYQSSEKWRVIIGDIPYKLDQSSGGVFVNGRLHWVSIWGKNHARRERILVSFDLSDELFREVPLPENHLYLTRHRYSLSVLGGFLSVVDPSNTNCGAQDIWIMKQYGVKESWEKVFSIGVYYVTTFQSPEMQQKYRIWKNVLDERFARVLCLLKNGEILLQYRWGALVSYNPESRMFKDLRFKGMPKFFHTVVHVGSLSQAN >CDP00270 pep chromosome:AUK_PRJEB4211_v1:11:30462812:30466407:-1 gene:GSCOC_T00032156001 transcript:CDP00270 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLPCSLEELYKGSKRKMQISRIVLDDYGKPVTVEEVLSIHIKPGWKKGTKITFPEKGNYELGRAPGDLIFVVDEKPHAVFKRDGNDLVMNQRISLLDALTGKTLSLTTLDGRELSIPVKDIVKPGHELRIPNEGMPISKEPGKKGNLRIKFDIKFPSRLSSEQKSELRRVLGRTAD >CDP00497 pep chromosome:AUK_PRJEB4211_v1:11:28687335:28689566:1 gene:GSCOC_T00032452001 transcript:CDP00497 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISSYCCSILKNCCENKNLIQAKKLHCIIIKTLENPETFLLNNLIHSYIKLHNVVYARNVFDKIPKPNLFSWNTILSAYSKSGNLSKMEEVFKLMPKKDGVSWNLIISGYASRGMSNEALKAYKLMIRDGLGSLNRITFSKMLILSLNKGWIELGRQVHGQITKCGFMSYVFVGSPLVDMYAKSGLICHAKQVFDDHMTEKDSISWTTMITGLTQNCLEIDAIDFFRNMMLEGLAVDQFTLGSILTACGGLIALKEGKQVHAFAVKTNHVDNVFVGSALVDMYSKCRSITSAETIFKSMPYKNIVSWTAMVVGFGQNGYSEEAIQIFCEMQRIGIEPDEYTLGSVISSCGNLASLEEGGQFHAQAQVSGLISFTTVANALVTLYGKCGSIGDSHQMFNEMKIKDEVSWTALLSGYAQFGKANETVDIFEKMLASGLQPDSVTFIGILSACSRAGLVKEGHHYFQLMVEEYGIKPMVDHYTCMIDLLSRAGKLKEAKDFIQKMPCKPDAIGWATLLSSCRSRGNIEIGKWAAKYLQELDPENPASYVLLSSMYASRGLWGEVAQLRRRMRDKGVKKEPGCSWIKYKNKVHIFSADDKSSPFSDQIDNELEKLNLKMIDEGYIPDVSSVLHDIEESEKIKSLNHHSERLAIAFGLLFVPPALPIRVVKNLRVCGDCHNATKIISKITQREILVRDAVRYHLFKDGKCSCGDFW >CDP00463 pep chromosome:AUK_PRJEB4211_v1:11:28935502:28940657:-1 gene:GSCOC_T00032410001 transcript:CDP00463 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRAGLLKKAHEISVLCDAEVALIVFSHKGKLFEYSSDSSMENILERYERYSYAERRLVANDLESEGDWTLEYTKLKAKIELLQRNHRHYMGEDLDAMSSKDLQNLEHQLDTALKQIRTRKNQLMYESISELQRKEKAIQQQNSMLAKKIKEKEKLMAQQAQWEQQNQGPSSTPYLIPEPLPPCINVSGNYEEETQEARRNELELTLDSLFPCHLGCFTA >CDP00830 pep chromosome:AUK_PRJEB4211_v1:11:25253112:25273977:-1 gene:GSCOC_T00032928001 transcript:CDP00830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 23 [Source:Projected from Arabidopsis thaliana (AT1G23230) UniProtKB/Swiss-Prot;Acc:F4I4P3] MFRTLGGGNVSNQTPTTSDSGMMMDQNTAHHQQLQQQQQMQNGQRNNPSSSSTSATSRALQFHPARPAILDLFNLYLGRTAQQKSDESAREPPNKTQKRISALNRDLPPRNEQFLLDFEQLLSQFQDQDQLRALTESTLISLVIQCRSHAPRAEFLLFALHSLSSISYINWDTFLPSLLSSVSSVEVSISQGSQSGATVSSATLTQSGVLPPSSTVTNPTNFQSSSPASPLPSVHCIGSPAQSVAEQSPMKSPDVGGGQQSNSRINLITRDNSMSNLRHLCCKIILIGLKFNLKPSTHADVFSHLLNWIINWDQKQQGIDEFDSARYWKPDKALIEWLHSCLDVIWLLVEDDKCRIPFYELVRSNLQVIENIPDDEALFTLILEIHKRRDMMAMHMQMLDQHLHCPTFGTPRLFPQAMNNISGEAATHMRYPAITYPSVLGEPLHGEDIAVSIQRGTLDWERALRCIRHALRNTPSLDWWKRVLLLAPCYRLQAQAPTPGAVFTAEMISEATIDRIAELLKLTNSGNILILDINCWQEWLTFSDVFFFLAKNGCLDFVDFVDKLVSRLQDGDQHILRTNHVTWLLTQIIRVELVMNALNSDSRKVEITRKILSFHKEASSDPNNPQSILLDFVSSCQNLRIWSLNTSTREYLNNEQLQKGKQIDEWWRQTTKGERTLDYMNMDDRSIGMFWVVSYTMAQPACESVMNYLASAGVTELLPGSNLQANERIAVMREVSPLPISLLSGFSINLCLKLTFQMEESMFNGQVIPNIAMVETYCRLLLIVPHSLFRPHLTHLTQRNTATLTKPGASILLFEIMNYRLLSLYRYQGKSKNLMYDITKMISSLKGKRGDHRIFRLGENLCMNLLLSLKEFFLVKREGKGPTDFTETLNRIAITSLAIIIKTRGITDFDHLLYLPTMLEQILATSQHAWSEKTLRYFPSVLRDALAGRMDKRGLAIQAWQQAETTVINQCTQLLSPSADPSYVVTYISHSFPQHRQYLCAGAWVLMLGHPESINSANLGRVLREFSPEEVTANIYTMVDVLLQHIHLELQRGHSPQDLMLKACANLSFFIWTHELLPLDILLLALIDRDDDPHSLRIVMSLLESKELQQRVKLYSMNRGQPEHWLLSGTFKRVELQKALGNHLSWKERFPTFFDDIAARLLPVIPLIIYRLIENDAIDAADRVLQLYNLFLHYYPLNFTFVRDILAYFYGHLPGKLILRILSVLDVKKIPFSESFPQHINSANASICPPLDYFATLLLGLANHAIPPLSNSSKLGPAGDVSISSARMQHNKTQAAAQPGQINASEGQKAFYQIQDPGTYTQLILETAVIEILSLPISASQVVSSLVQIVVHIQPTLVQSSNGVHGAPGVGGQSSVLPTSPSGGSNDSFGPTRTSSSPSGMNTSNFIWRSGYTCQQLSCLLIQACGLLLAQLPPEFHVQLYMEAARVVKECWWLTDGKRSASELESAVSYALLDPTWAAQDNTSTAIGNVVALLHSFFSNLPQEWLEGTHLIIKHLRPVTSIAVLRIAFRIMGPLLPRLANAHPLFSKTLSLLLTIMVDVFGKNSQPLAPVEASEIVDLIDFLHHVIHYEGQAGPVQASSKPRTEVLALLGRAADSLRPDMQRLLSHLKTDVNCSIYAATHPKLAQNTS >CDP05477 pep chromosome:AUK_PRJEB4211_v1:11:21277376:21283555:-1 gene:GSCOC_T00020544001 transcript:CDP05477 gene_biotype:protein_coding transcript_biotype:protein_coding MTEETCLDGSTVNYQNTSLKASGNQENPDNGRKEKEMADKVPFYKLFSFADPADYVLMVVGTVAATGAGICLFLTTGTLGEMLNSFGETLDRKQVVHEVSKKLENIFNLTSLLPFRSYLKAKLTTYVQNDLQVSSKYVYLALGFGVASFSQVACWTVTGQRQAARIRSLYLQSLFTQEIAFFDKETSTGDIIERISVDTFTIQDAIGEKVSVASFTGEKQAIAKYGKSLNKAYNSGVQEGLAAGFGFGLFTFFYYCIYALAVWFGSKMIAEKQYSGGDVLNVTLSVLTGSFFVGQASPCLSAFASGQSVGFKIFQIMKRKPDISPSNSDGLKLDNMTGTIELKDIYFSYPARLHEQIFSGFSLFIRSGTTTALVGQSGQEKSTVLSLIERFYDPQAGEVLIDDINIKEFQLKWIRSKIGLVSQEPVLFAQSIRDNIAYGKDNASLEEIQAAAEHANAAKFIDKLPQTILRTCTYLYAQGLDTMVGSHGIQMSGGQKQRIAIARAILKDPRILLLDEATSAPDAESERTLQEALDGVMVNRTTVIVAHRLSTVKNADEIAVIDQGKIVEKGRCFCSILPQLFANSRHISHPPSLASLGHSLANPSYCFGFGCQVFQIEGCPAAFKNLTPFLQLKLLLSGSHTELLQDPEGAYSQLIRQQQLNKGPDDGVVDNHDKSEIKVDSGRHLNQRISFLESTSQCSSVVGSSSQSKKSVSMPLKMEQQVPLYRLAYLNKPEIPELLLGSMAAVITGSMLPILGVILSRGIKTFYDPAHELHKNSRFWALMLLIKRIRLKCFEKIVHMDISWFDREENSSGRISSQLSIDATSVGSLVGESLSMLVQNSATGFAGLLIGFAASWRLSLIVISMLPLIGFNGYMYSKALKFLSKLLGKSIGEATQVAIDAVGSIRTVASFSAEDKVISLYEKKCNGPATTGIKRGLYGAVGYGLSMFLLYSIYATIFYAGAQFVEAGKITFSDVFQVFYGLTMAAIAISQSSKLSPDSSKARSGAASIFALLDLNSPIDSSKTSGITLDNVKGEILFQHVSFKYPNRPDVQILKDLCLAIESCQTLALVGDSGSGKSSVISLLQRFYDPDSGEITLDGIELRTLNLKWLRQQMGLVSQEPVLFNGTIRANIAYGKEGSAAEAEIISAAEKANAHNFISSLQQGYDTVIGERGKQLSGGQRQRVAIARAIIKSPKILLLDDATSSLDAESENVAQDALGADLIAVVKNGVIQEKGNHESLISIADGIYASLVEQYACAPST >CDP18986 pep chromosome:AUK_PRJEB4211_v1:11:31592843:31597066:-1 gene:GSCOC_T00001031001 transcript:CDP18986 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCHSTSSSSSLSALSPLLVNSVDPPGRRLRLPLKLNNGPVVGLWLHNNPRTSIPQSKTITTKCQAVGESSSQPSEEAVVYQGVYGPWTIQPSDVQEVILYRSGLVTAAASFVLASSAAFLPDDSTIGGFIKTNLELFYALGACGLGLSLYLIHIYVTQIKRMLQALWFLGAFGSLATYASFAQPAGKTLVDYVIENPTAIWFVGPLFAALTGLVFKEGLCYGKLEAGVLTFIIPAVLLGHLTGLMDDGVKVTLLGIWMALFVVFAGRKFFQPIKDDIGDKSVFMFNSLPEEEKKELMNKLEQEMK >CDP05502 pep chromosome:AUK_PRJEB4211_v1:11:21882678:21883570:1 gene:GSCOC_T00020580001 transcript:CDP05502 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRINEDRSNRSAAHRAVLEGKKQSVETFRSFWREEGVRPLDKRGATVLHFLAIYGNVDAFRLLLQDGLVTIENLKAKNVNGHTALHEAARFGHKDVAEIMLRTEKDLVSERNKLGETPLFVAAACGKKDVFSLLEKYIGDCMMRRNDGCTILHAAVIGGYYSKLLYQVWQLAYWSRILILLANVMKKEKLLYIFWLQNQSPSGVFLPTRSTILGGSPSFLCIYSEL >CDP00518 pep chromosome:AUK_PRJEB4211_v1:11:28512389:28515419:-1 gene:GSCOC_T00032479001 transcript:CDP00518 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSETTATEGDMEDRISSLPRNVIDLILDRVPIRDAARASLLSSKWRYVLAEYPHLRFNQQFSNAIARNRLPSEFNNDYVHIVNRILLQHFGPILKFVLDLPELHPMRLSDVDQWMLFLSRKGVRELTFDNSSSSPYKLPAYIFSFSELTYLKTSRCIFRPPTTFEGFSKLNRLILVEITFGSSVLNVPQLVILILRNCSGVHHLNVSAPQLQKLTLYENDYLALDNYMICKKLAYAYLALPNGIQQHRQGERISLQELFGCWNTLTNAYLDGRFLKYLAAGIIPGRLPTTMDCLRQLMLFRISLDLDQTACILCLLQSSLCLQKFEIWIESVADNDVTVLNYLEEPSRTNQTIDGLQTVKIRYFKGSKPEVLFIKLLLSCAPSLEKIYIEEDEKLLLNERLRIAKELMRFSRASTKAEMMFQPLNSAST >CDP00731 pep chromosome:AUK_PRJEB4211_v1:11:26384128:26385534:-1 gene:GSCOC_T00032797001 transcript:CDP00731 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLAQLVELAKLLIDRDLRFSITVLILRLPDSLDPVTNKLIDSLVASYTTEALKFFQLHPTNHIPECSSLSRGYTIQRQLDSQKPHVKKFIQQRRTDESSSSKLVGVVVDMFFTSIIDVADEFGIPSYVFFTSGAAFLGLMLHFQSLQDDYNQDVSEFSNSKTALSFPSFVNPIPPSVLPLALVEKPLWIHRFLLCARGYRKAKGILVNTFTELEPYALDSINLSESSPEILPVGPILNQVQYVSPGIQSGIMKWLDDQPPSSVVYISFGSLGSLQVDQVKELANGLELSGYRFLWCLRRPPPKNTIVDFPSEYENYADVLPEGFLDRTANVGKVVGWVPQLAVLSHAAVGGFISHCGWNSTLESIWCGVPLATWPLESEQELNAFQLVVELKLSVAITLDYLSTNKNQALVRAEQIETGIRKVMESESEVRKKVKEISDKSRRSMKQGGSSYESLGSLISKMLHDS >CDP00825 pep chromosome:AUK_PRJEB4211_v1:11:25357664:25359197:-1 gene:GSCOC_T00032922001 transcript:CDP00825 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSNLKEDLKYSAPPASYGSEFTTGIPVSTGSTQSYYSDQQSYAVKPKPQVPWSTGLCDCTSDARNCCITCWCPCITFGQVAEIVDKGSSSCGSNGALYTLIACVTGCACFYSCFYRSKMRQQYSLHETPCGDCLVHCFCEPCALCQEYRELKNRGFEMEIGWHGNMERQNKGGVSMTAVAPTVEGGMSR >CDP05482 pep chromosome:AUK_PRJEB4211_v1:11:21426571:21429250:1 gene:GSCOC_T00020551001 transcript:CDP05482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin D5 [Source:Projected from Arabidopsis thaliana (AT1G68090) UniProtKB/Swiss-Prot;Acc:Q9C9X3] MSKMIEHCIFWSMSNLQSITRAFFVCPGFGCDTAAVVNILAHRDATQRSLIQNEYRSMYHEALSKRVSSELSGDVKRAVLLWLHDPAGRDATVVRQALHSELDLKAATEVICSRTSTQIQHFKQVYHSLFGSYLEHDIEYQATGDHKKLLLACASTPRYEGPEIDRAMVEHDAKALYKAGEKKLGTDEKTFIRIFSERSRAHLAAVDSAYHSMYGNSLKKAVKSETSGLFEFGLVTILQCAQNPAKYFAKVLRKAMKGLGTNDTTLIRIIVTRTEIDMQYIKAEYRRKHGKSLNDAVHSETSGHYRTFLLSLLGPNH >CDP13306 pep chromosome:AUK_PRJEB4211_v1:11:32669686:32670447:-1 gene:GSCOC_T00038197001 transcript:CDP13306 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIESLFPFNSPLVSFTLMFSAIYCFGYFVVLKKWGSARYEASSCFISLFHGLPAVILAISSLIKSQKNLFPLDFPAQNTSFHDLVLEFSMGYFIIDLLHYVIFIPKDVLFIAHHLATLYVLATCRYIVHHGAVSILGLLVLAEITSPCQNTWSLARFRRVDSPEAARYYEFLSPYFFTFYSAVRGILGPLYALEMGAAFVSGAADGSMPKWAWISWIVVIVTAIWVSILWVLNLWIGLYKTRANLLKKVT >CDP00670 pep chromosome:AUK_PRJEB4211_v1:11:27080817:27082818:-1 gene:GSCOC_T00032693001 transcript:CDP00670 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLARLGGLGCKGTSTRVSESTIIRSAADWETERRRRKNLGNRPPSKARIPDNVAVDVPDICCAPPGISFASDVVPRPSMTTQRTTHVQHSRVARRTRTIQHISASSSQGPSNYIFSRDHSFNARQYHQLGQYSQRGFREVFALFERSLDQYMDWRLNLDRMSYEELLELSDEIGYVGRGLSEEDIFSCLTILEPSDFESAPLLMSLDEGWRCTICQEECKAKDEVGRLGCGHCHHIDCIKQWLKQKNQCPVCKIAPIADK >CDP16859 pep chromosome:AUK_PRJEB4211_v1:11:80568:81669:-1 gene:GSCOC_T00019422001 transcript:CDP16859 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVFGETRDWSRVALERSRVLAFALGGGGRRGISIRRGFAASPPPPLHQHLILKNSCSCSVSPSLLLSAMASQQPTTASDWPASRVRETFFNFFEGKNHVNWKSSAVVPLNDPTLLFVNAGMNQFKPIFLGTVDPNTPLSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKTEAIEWAWELLTKASAAISTIILQFSTCCYE >CDP11037 pep chromosome:AUK_PRJEB4211_v1:11:6547136:6549551:1 gene:GSCOC_T00033021001 transcript:CDP11037 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFTGPIPSKLFNVSSLRVISMVENDLSGSLPSSLGAMLPNLEELYIGGNRLSGVILTYISNASRLAYLDLTSNQFTGVIPRSLVSVGNLSSNLESFYMNDCGLFGQIPSSIGNLSNLADLNLSQNALEGTIPPTFGGLLKLQEMELGYNKFQGPCPSELCYLLNLGRLSLSSNLLSGRLPSCIGNITSLRYLYLDSNNLSFNLPSNLWRLRDILELNLSTNSFSGSFSSEIENLKALIIVDLSVNNFFGDIPTAIGALQSLQALSLKHNRLQGFIPESMKNMLELHSRKMTVLLVLLALGSVVVAMVVSFLVIRKWRRKIVSPSNLDPDTTFERVSSHELRQITIWFSESALLGSGTFGSVYKGIQENGMTWAIKVFYLQLDGAFKSFNRECKVLRCLRHRNLTMVFSACSNPDFKALILEYMPNGSLEKRLYSGTHILNIMQRLDIMIDVACGLEYLHYGYPTPVIHCDLKPNNILLDQDMVGHVCDFGIAKLLGDGESVVQTKTLATFEYVAPEYGLEGLVSTSCDVYSFGMTLMETFTKRRPKDEMFTEELSLQHWIACISSILELALCCTADASKDRMTMKDVLKALQKIKLQFSEGLKPLK >CDP04791 pep chromosome:AUK_PRJEB4211_v1:11:5758894:5761940:-1 gene:GSCOC_T00019506001 transcript:CDP04791 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKKATLLTTFNSLATLPKVRRYPNSQSCIKTLALLCNFSTATERSDFRSDFDTSTEINSDYYADYKQNNNGFYRNNSGKVGNWNVGGKYGQFEENPHGFYVRNGNQTGVVYQQNPSRVYPINPRQQNPVGKSGVFASNSDSNMSFDGFKKGFQPNQEERNGDFMPINEFQHGSGGQNVNFGRESYESMQQNSGAIYQRREGGNGNFTNGHFREGHRTQLQQSSVGRSGNLSNGGSVGAERNLNWNHRTNPALQTGGFSDRYGGASQHNGMSHNVGKVGTLQQNSQNIHAGRPGNIEQMQGGYGNARMRQQIISEDLKAGDESSGNYGDMNMTGRIEELDHYVEEGKLEEAVKVLGFLGEQGIKVDLPRYLALMTACGKDKALEEAKCIHQHLMRSIPNLEVSTYNKILEMYCQCRAMEDAYSIFEKMPQHNLTSWDIMIAGLAKNGHGENAIELFTEFKELGLKPDGQMYLGVFSACADLLDTTEGMLHFEAMSKDYGIVPSMEHYVSVVDMLGSAGYLDEALEFIEKMPVQPSVEVWETLMHLSRVQGNMELGDRCAELVELLDPSRLNEQSRAGLIPFKASDLSKGKEKRKADGHNPLEVRSRVHEFRAGDRSDPDHEKLYALLRGLKHQMKEAGYIPETKVVLHDIDQESKEEAVLGHSERLAVAKGLLNTPARSAMRIIKNLRICLDCHNAMKIISKLVGRELIMRDAKRFHHFKDGVCSCRDYW >CDP04895 pep chromosome:AUK_PRJEB4211_v1:11:2448407:2452158:1 gene:GSCOC_T00019754001 transcript:CDP04895 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLLCTPSSLLLLFLFSSIPITSQFTFGSSKQAYHHSNATCIESERRALLQLKHGLKDESNRLSSWIGEGCCSWEGVGCHKTTGSVLKLDLCNTVPLYSDEDSHCTNCLGGQLSPFLVNLTNLRYLDLSVNNFSTFQVPTFLGLLENLRYLNLSNAKFDGEIPHHLGNLSLLRYLDIGLSSSGSTIKDLKWVVRLSSLEVLVLSLVNLSAAQDGLRAISMLPSLTTLDFNHMIPPWLGNLTGLLDLRLGDNNFSNPIHGLFEQMTSLLRLDLWGNRFDVSFFKSLCNLSNLTYLDLSSNDLQLSIPSEIGQLSRLAALSLFGFNLYGSIPVSLGQLTKLQVLDISYTSLTDLSNNNLTGNPLEFKELKNNNYQFVSLSSNKLEGSVKSFPSDISSLDLSQNFLTGEIPPPYVGQMDTSTYFLKLSGNRFTGSIPEDLCKLKSLSELDLSNNLLSGKVPLSLGNLGALEILHLNGNKFIGRLPSSMQHLSNLVIFDLGDNGVRDTIPAWIGEMSSNLMFLRFQSNNFYGGISDKLCLLSNLQVLNLAHNNLTGYIPHCFNNFSMMVSSEHGSILTITYDTTNLQNYKGGNELEYSFGNLVLIKSISLSTNNLVGEIPDGIMDLAALQTLNLSHNHLTGRISEKIGNLKRLETLDLSMNEFFGAIPDNLATINSLSFLNLSHNSLSGEIPSGNQLQTLTDPSIYEGNSGLCGKPLPKNCPENKSPAENDPILDDKDHGEFDWSWFYAGIGPGFALGIVGFLAILLFKRSWRYAYFEFLESAINCLEVFSRCWFGLLRGVELITPFGLTVFEGAFEIFYCNNVVKKFYFR >CDP11055 pep chromosome:AUK_PRJEB4211_v1:11:5966314:5969892:1 gene:GSCOC_T00033048001 transcript:CDP11055 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEKDKLARQLREHLGARRCLIVLDDIWSTDAWDSLKDAIPVSEHGTKILLTTRIRDVAVHVDPDGYCHELHLLKPEESWELLRMKSLRGRSGLRKKMLIGKEMLKYCGGLPLAVVVLGGILRTKKTLREWNEVHENIESYLDKGEKIGKEGEVPKILAYSYYDLPWQLKPCFLYLGKFREDSNIRAESLYQMWIGEGMIFENDRREKETMMDVAERYLKELASRCMVDIIKHEEGKHAVTSFKSCRLHDLMRDLCLAKAKEENLYDISKYNFPPKEQTKHLRSFLCDSLLAEWDYSIPGVRIISQVKNLKMLRVLAILSFTMVSGSCFLKSPLGYAGNLIHLRYLKLKRHHINLPYSLGNLKYLETLDLSGSDCSCRILNVLWKLKRLRYLYLPDWWLGSQNKWGPLTKLRLSKQLEILESFDNKFCYPKEVSKLSNLRAFKATVRKSLEDLEHIINHISNLDCLAIKICSLTINDCKFGNSNNSNDNNRGLDVLSRVLFSRNIHELLIMGTLCWKLPDYQSHIFPDPAGLTRLTLFYTQIEEDPMGTLEEFPKLRILKLGSYSFMGREMICHSRGFLQLKHLELDGLDNLKQWKVEEGAMPKLSSLSIGFCKQLEMIPDGLRYVTTLEEVSLVRMPEEFNKRVASENGQQGEDHDKISHVASVNIRKYPFFPFTFSSPNFSLFNIQFTVLEIPFFLNFDKLHRHHSIFSFVF >CDP00496 pep chromosome:AUK_PRJEB4211_v1:11:28690452:28692780:-1 gene:GSCOC_T00032451001 transcript:CDP00496 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSMEDFVGNGALKAITSKLIEDGWDDVPTLKLMNAEDMNSLNMTQEQKDALEIRSYLHDRALMQYADHMEASRKCLPELLNLSMGDLSTHFGMKRGHVVRFMDRMTPRAVDPLSASAYLTPRKRTSEPLRNNSIYKSRPSSINSRGGPSMTRSSVKPSVAYDISIEQSMADFKIKDGHVFKGIVASLPAEPRACGCVQPPPVVDNVAPLSAIENVSVQKLTPEYKVGMERLVKSKTPPMRASELWRDKPAILLCLRRPGCIMCRAEAHQLYSRKPIFDAIGVQLFAVIHEHIEPEVKDFWPRYWGGGVVYDRGMEFFKALGGGKLLKDKFISGFLLNPQAIANYKKAKATGLEHNFKGEGEIKGGLFIVGRGKTGIAYQFIERNFGDWAPLPEVLDICNQLQNQQNQSESVKLSQKD >CDP13268 pep chromosome:AUK_PRJEB4211_v1:11:32433913:32439339:1 gene:GSCOC_T00038154001 transcript:CDP13268 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIIIKEQESKTRLRGLDAQKTNIAAGKAVARILRTSLGPKGMDKMLQSPDGDITITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRIAEGYEMASRIAYEHLEHIAQKFEFDATNTEPLIQTCMTTLSSKIVNRCKRSLAEIAVKAVLAVADLERRDVNLDLIKVEGKVGGKLEDTELIYGIIVDKDMSHPQMPKEIQDAKIAILTCPFEPPKPKTKHKVDIDTVEKFRTLRQQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGRAGLVREKAFGTTKDRMIYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADKYPGVEQYAIRAFADAMDSVPMALAENSGLQPIETLSAVKSQQIKENNPWCGIDCNDVGTNDMREQNVFETLIGKQQQMLLATQVVKMILKIDDVISPSDY >CDP00224 pep chromosome:AUK_PRJEB4211_v1:11:30805990:30806552:-1 gene:GSCOC_T00032105001 transcript:CDP00224 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIDLPENPWSAANQNKAPKSPRQPKRLGGPSPKLSRKVSEKFERTKEVASAGMKKVKEGASTSVHWIKLKYNQTKLAQKK >CDP13354 pep chromosome:AUK_PRJEB4211_v1:11:33089092:33090041:-1 gene:GSCOC_T00038260001 transcript:CDP13354 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDKVKLLQTAIKQLIEEAKVRNTDGPSLDESFVAVSGDKDGSPDDDDDDRRLLLSKLLSQLDALQEDGMLEEPKASADNSKVPNPEAEAGEKSETANEARKGDSSSEIGKEDIIKELNEVKRQNFITHCLLSAMIVLTVAWQLSQVSLILKVKEGLSHPFKSLGGMITGLLKGNRRITGQEVDKLASSVMPKPILAASSLPDLKIPELPRVELPVFDVDNEE >CDP07955 pep chromosome:AUK_PRJEB4211_v1:11:11252696:11256311:-1 gene:GSCOC_T00025493001 transcript:CDP07955 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARTSSSELPQKTSPATPRTAQKLKTPGSEADSVSTSNSAGRMSKERSPKVVDRRSPRSPATEKKRATRVSDLETQLAQLQEELKKAKDQLSSSESRKKRAQQEAEEAKKQLEAMSEKLEESEKQLQELSDCEETRVQELRKISQDRDRAWQSELEAIQKQHSMDSAALASAMNEIQKLKIQLERVAESEAAQARHAESAHADVQNLRMELTETLALVDEIKAQLNDSKESEVRAMEEVSKAQMQLEEAKATEDILHSEGLRAQEAYKSLALKLEQSKMQVNSLEELVSRLQGDVNKRSNDSSASSGDVEIAREKEGAEKSEQLKVELNSIKLEVGHLRAALDASERRYQEEYIQSTLQIRSAYELVEKTKSESCQREAELEARLKVARADVDELKKRLMDKETELKNTTEKNKGLNGSVERDQCIQQELGTELKKSESIVEALKASLMDKETELQSVAEENEMLKLEIKNGELERSKLNDEALALVEAARATEQEALSKLSYLTDEADQSSRKTARVTEQLDAAQAANSEMEAELRRLKVQADQWRKAAEAAATMLSTGNNGKFVERTGSLDYHTLGGKLNSPYSEDLDDDSPKKKNSNMLKKIGVLLKKGQK >CDP13357 pep chromosome:AUK_PRJEB4211_v1:11:33112159:33113628:1 gene:GSCOC_T00038264001 transcript:CDP13357 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIAVVLFVLLSPGLLIQLPGRSRVVEFGNMQTSGLSILVHTIIFFGLITIFLIAIGVHIYTG >CDP00632 pep chromosome:AUK_PRJEB4211_v1:11:27396981:27397592:-1 gene:GSCOC_T00032638001 transcript:CDP00632 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNFFREEPRRAINGPRPSPLRINKDSHVIQKPSSMNQVYKKAAKEQNTRLSTQQPRQPVIIYTHSPKIIHTKPKDFMALVQKLTGFSRSEEQIVEAESKRDDHTITISQEDNDSSSVTSDDKYEGDNASDVIKESSSAVSPVNKGLNPYLTDIPLFTPNSNLFSSPQPVFRYPDVVFTSPNIVSSLSPSFVEFMKGLPEY >CDP00397 pep chromosome:AUK_PRJEB4211_v1:11:29424776:29431224:1 gene:GSCOC_T00032327001 transcript:CDP00397 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPGAATPNRGGLPMDSGDSVVTLDQVPRWSDGEYRYAYENEDGTFPNSYFADPLTSASEAGSSGNGMVSRFPVDHEINSKIYLWRGNPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRIIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSVAVGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKINAVVFCTATSTDTEIYKRLLPLYFPRDKQEEEIAISKLPADVGDENGETVIDERKIRIKSLPNVKKSIPKPPQPSNDLPVSDLGLTRRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNCFKMLGYGDLGGPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGRPVMVIVGAHFLLRCLDLERFILYVVKEFEPLIQKPYSIVYFHSAASLQAQPDLGWMRRLQQILGRKHQRNLHAIYVLHPTFGLKAAIFAMQLFVDNVVWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGVIVDPRTKYVYQRP >CDP05564 pep chromosome:AUK_PRJEB4211_v1:11:23049464:23052969:1 gene:GSCOC_T00020683001 transcript:CDP05564 gene_biotype:protein_coding transcript_biotype:protein_coding MKVATTTSHSIPKLMCPGGENGIIISTKYLNRTVNVDKSAMTITVEPGATLRQLINASAAAGLALPYAPYWWGLTVGGMLGTGAHGSTLWGLGSAVHDYVIQIRIVTPAGPDEGYAKVRTLRNGDPELNAARVSLGVLGVISQVTLQLQPLFKRSITYVQKNDSDFGDQASTFGRQHEFADFTWYPSQHKVVYRIDDRVPSNTTGNGLYDFMGFRSTPSLFLALIRTAEETQESIRDAVGKCINGGITTSILTNAAYGLTNDGSMFKGYPVVGYNNRLQSSGSCLDSPQDGLITACPWDPRVKGLYYFQNGFSIGLSKVTDFIQDVQKLVALQPRALCGVDLYDGFLIRYVTASSAYLGKQEDALDFDITYYRSKDPMAPRLYEDILEEIEQMAVIKYGGLPHWGKNRNLAFVGAINKYQNATEFLKVKQLYDPLGLFSNEWTDQILGIKDGITLMKDGCALEGLCICSQDSHCAPQKGYFCRPGKVYTDARVCTRLSS >CDP00458 pep chromosome:AUK_PRJEB4211_v1:11:28985957:28993940:1 gene:GSCOC_T00032404001 transcript:CDP00458 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAGEEKSDGLEIISIGKLYSGSWEKKYWSCSRGKDRYPYPVGFKAVRTQNGIKYRMEICEGVKGPLFKIGSTDGLSCSGQTPDIAWESFQKKRSSRVKFLHGKRFSCKIDGMEFFGFKNASVQRLLRELMANAGGIVEQNLLPSEFCSEASETRIPIQLTHFSLDHDMLLGKPQVTGKRSRKEKMIKATSQGVTDLKRVRPQNGEHDVVASSNGLTGQEDCSDRLHLIIPTLKEHHLSKSARDFQRSTNLDPVIEEELVASVQDHRLLNSFDLSDDLKVDGHIPLEQSKPISSGISTTIGEEGNLPEDKERLDRSKVSAGLQFNCSIGEDEERERICPKTIHDAELCVPNTLDHPPDDLSNSFAHQVKKEVPTKYSPKLDNALATDKAILEDLATESESLREDEISTSNSNASSGKGDLDSVGQDIAKSMMTVLLPRALPLLNTFSRKKKKNLKPSEMSAIVTTSENEKIDRIAIPGKASIELPETAELDQNKEDQISSRNLEYDGRIKSVVPDSFDDSGSGDLLIDNLRLLETADRKTSPHCNREMALNHGIDICNDSTSKASLGSTFPSKNDAFTSFSEKSLCIQKQTMKDDTKTCPDYSEGTNKRLPAENLLFYHSAESKVYPISLLKLDVNDASGVYDVEQCLIVILVSCLKVLAVDTQSPKNLIIGTGTRVEKEEPRSMTVKRLYILDLTTSFLDQELADLDIPTSNSLIQRVNSDNVAQKHEAKDCSKMNNNATSLLNAKLEEVLKLVGCYIHPMPVLSVLLRMVGKEIYICALCGSLAQEDKILFVYSTPVTGEKTGSPSFVGHASVILDDAFGRNIAVDNFGLHFTPDGQSLVLLNSIKVPCCREGNIHCPCQLCTSDCFEKNAVKIVHIRVGYVSVINKLKTAQTVCCILICEPNHLVAAEESGKLCLWVMDSTWSSQLEECHLPVADNWPPSPVELKKLPKSASLIIGHNGFGKFGIWDIKKQILVSKFSCPSTTISKCIPISFFQWQRKGNVPPYYNQEELINEVLNATEMWFSGEDSELVRPIEAEDLAIWLLISTVSYLDFQPSYKSGDWQMDSVGSWKLALLVKNTVVMGSALDLRGVAAGASLGHGIIGRSDGLVYMWELSTGIKVGNLHQFKGSGVSCMATDMSKPGALAVASDGGELLVYVYL >CDP05438 pep chromosome:AUK_PRJEB4211_v1:11:20667485:20669443:-1 gene:GSCOC_T00020485001 transcript:CDP05438 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSYYNVTLRQFEDNLITTACLQCNIFYIHFYSFKPSTYIICGFHFEGRPHVKTSLTGVFIDGDKSNTNNLWTTFTALGNLAFAYGFSEVLIEIQDTLGSSKPENRVMKQASLAGISISTLFYMSCGLLGYAAFGDKAPGNILAGFGFFEPFWLIDLANIFVVIHHTGAYQICGQLVFGFVESFTRHRWPNTGLVNHEYAINMPGYGVYRVTLFRIIWRTTYVIITTVIAMMFPFFNDIVGLIGALSFWPLTVYFPIKMRIEREKIPIFSFKWVWMQTLSMLWWAPGAHRSGPHTRRSGPRARTGGAGLGRQVGSQVHTSAAPQADGRDGVGG >CDP16242 pep chromosome:AUK_PRJEB4211_v1:11:24694357:24695315:-1 gene:GSCOC_T00018004001 transcript:CDP16242 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTYTLSLLVLCLTLYLTFTNAANFNIINQCTYTVWAAASPGGGRRLDRGQSWSLNVAPGTTHARIWGRTNCNFDANGRGQCQTGDCNGGLVCQGYGKPPNTLAEFALNRPNNVDYIDISNVDGFNIPMEFSSVTRCRNIRCSAPIVDQCPAKLRTPGGCNNPCTVFKTNEFCCTNGPGSCRPTDFSRFFKSRCPDAYSYPQDDPTSLFTCPSGTNYRVVFCP >CDP07949 pep chromosome:AUK_PRJEB4211_v1:11:11423025:11425794:1 gene:GSCOC_T00025483001 transcript:CDP07949 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDTGSDVCWLQCQPCDFCYQQSDPIFNLSGSSTFNTLSFSSRQCNSLKINGCGDDMCHYYVSYGDGSFTYGDFGTETVMFGRSGLISNVAVGCGHDNEGLFSGSVGAIGLGIRSPSFPSQIKATLFSYCLVNMNSHSFSTLEFNSTPPSGSIIISMIHNSKFDRAYESLRDTFAKHTKNLHPTSGYSLFDTCYDFGSIIISMIHNSKFDRAYESLRDTFAKHTKNLHPTSGYSLFDTCYDLSSIPDEVEVPTVSFHFSGGKMWSSKSKNCLIPVDSKGKLCCAFTPSDSISIIGNIQQQETRISYDLAKKLVGFSSDTCEGYI >CDP00665 pep chromosome:AUK_PRJEB4211_v1:11:27116465:27118380:-1 gene:GSCOC_T00032687001 transcript:CDP00665 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSDSDEQPLSPIRLFGRQRSLHQILGGGKVADILLWENKKLSATILIGVTIIWLLFELVEYNFITLLCHISIIMMLIMFIWSTGAGLVDWNPPDLHAITVPEARFRWLFAKINWILLNLYDISSGKDIKTFFLAITFLWMLSIIGSSFSSLNFLYIGFLSLATLPALYERHQNEVDHLASQGRREMKKLYKKFDSKILSKIPRGPVKQKKGY >CDP04873 pep chromosome:AUK_PRJEB4211_v1:11:3569862:3571236:-1 gene:GSCOC_T00019660001 transcript:CDP04873 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNPTKIRRRVFWAIFPLFFLYLGFSRLSLFPLIARTTLSLSLRALSPSLPLPNFTHTHKHQHRHTLAHTSIPSSIIRKFFSSISSLHRLSFSLSKSHQITFSTPHKHLHTHIRNLKK >CDP00268 pep chromosome:AUK_PRJEB4211_v1:11:30470444:30475683:-1 gene:GSCOC_T00032154001 transcript:CDP00268 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFHNTKAVRLRSHLDKYLVADDDQESTRQSRNGGSKKARWLVEVVDRGTSDIIRLKSCHGKYLTASNDPFLLGMTGNRVQQTVPENVKDLRIEWEPVRDGFQVKLRAYGGTYLRANGGTPPWRNSITHDKPQTGSTYNWILWEVEAVDVPEDEHLNDYLSIVSSFSSVSDELSGLELGSPMSIRSSFNSPRASTTPRKSPLLKITAMELFHNAKAVRLKSAHNKYLTAEEDEESVTQGRNGSSKAARWTVEFVDNAENIIRLRSCYNAYLTASNQPFLLGWTGRKAVQTHPKRLDSSVEWEPIKDGNQVKLKTRYGQFLRANGGLPPWRNSVTHDIPHRTSTQDWILWDVHVVDIVVKSPAPRPPPPLVTHVDSVASESSSPSTHSPKSASFSRQESGDSLGSSPPKGGEGRLIYYGVADGYGEVDEGVEELCISFKGNGVEELTKRLEEETGLEDIVVCTRSPLNGKLYPLRLQLPPNNATMRVIVAQSSSKAVARSFPKPVLSS >CDP00851 pep chromosome:AUK_PRJEB4211_v1:11:24760835:24761679:-1 gene:GSCOC_T00032961001 transcript:CDP00851 gene_biotype:protein_coding transcript_biotype:protein_coding MLESCRKVKRQKLLEFGTIESSQKREICSLRERKSLYLERGFVLLLPFRALWLFNSYEYKPSNILLDQDMVGHVCDFRIAKLLGDGESMVQTKTLATFGYIAPEYGLEGLVSTSSDAYSFGITLMETFTKRKPKDKMFTEELSLRRWVQDCLPDSIIQVIDVDLLHHEGGLVQRKIECISSILQVGLSCTTYVPEEMIGCNFAIYFIINLPYYLT >CDP00401 pep chromosome:AUK_PRJEB4211_v1:11:29413653:29415171:-1 gene:GSCOC_T00032332001 transcript:CDP00401 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSYDKEEHHKSSKPTSDRSGDHRGHESHNQHHSTPSSSELLSSAKIVANAAKAKFQNDPNYKFDKNEVADAAENILHAASHYGKLDEKGGAMGKIVGQAENYLHQYHSSQSTTTTTTKPGKAHSSSTTTTTTTETFHTKPGKDHHGHSGGGYGDYIKMAEGLMNKHSSGGGGGDHEGQSGGKYGEYIKMAEGMLKNHSGSGGSAGEGGGHGHGHSSGSGGYGDYIKMAGDFLKK >CDP13391 pep chromosome:AUK_PRJEB4211_v1:11:33404704:33408254:-1 gene:GSCOC_T00038309001 transcript:CDP13391 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGAFWGTRVLEIVKKHDSGGLVWKRIKLTTTRKANAKKRLRRVWQNEAVLRACSELPSSGNSTADGEDTGTKESTS >CDP04822 pep chromosome:AUK_PRJEB4211_v1:11:5195090:5196814:1 gene:GSCOC_T00019557001 transcript:CDP04822 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGKDNTFFLRTCSLGRQSSATVTDTVKSFFEPREQTSTSSDSNAFACLPAKYVGLGMVEEEI >CDP16875 pep chromosome:AUK_PRJEB4211_v1:11:450684:457402:-1 gene:GSCOC_T00019448001 transcript:CDP16875 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQRFQAAALVASPSYPNAVAWSEENLIAVASGHLVTILNPAAPVGPRGLITLPAYKPFPIGVIHPQDILAPCILPTSLSRDARPCVRSISWSPIGYAPNAGCLLAVCSTDGHVKLYRMPYCEYSAKWIEVADISEMFHSYLASVNFKESDALSSGIVEEQINDTQMDQSCGDTQQVSILKALTVVENAHGNLKNNNVRDIVAVPDSRRKRAKKGPEDCNLSLITAEQFASRNSMLASLVVAWSPDMILTAGVEEASADYVLSNCSVLAVGAKSGRISLWRICQPQCYSSTNSRELTTASLVGLFQAHDSWITALSWLHISDASNPQLLLATGSSNGCVKVWQAYVNQLLKSSEVSDVPVSLLKEMSTIDSSPASVISFTVPIESTGKLFLAIGRGSGSLEVWIGELKSSNFDKACCPDAHEHIVTGLAWAFGTNCLYSCSQDDTVRCWILHDNVLSGVPLPSNTTGVKSQFDVPNVYDSCFGLALSPGNLAMAAVHSFDTDLLDPMYEARTLKGAIEFLWIGGQQLDLTLNTHPDPELEAFPGLPERELMSWKNNAFWSFDQFEHMNKPLVIWDVVAAFLAFRQSIPPYVEHIFIRWMRTFFGSEFEVSPSISSKICKLLSNTTSRQLHMLNVISRCVFLKELKAEQINCKLQDFKGFDGAEPQQSFWLNLVCNIEKELRERLVCFNFLAILRIVTNSTAEFSKSRCWTAVGLGQMVQWVASNPDKLSYHVKVLTEKIGKIEKRLRSLCDYVAEERCSFCSAPVPFESTEVAFCEGERIDDGVGQSHKLRRCAVSMMVCPNTPTWFCICCHRYASALAHTALFTMPKSPLDSNHVSESLTPEESRKPLCPFCGILLQRPQPDFLLSPSPV >CDP04880 pep chromosome:AUK_PRJEB4211_v1:11:2904956:2908249:-1 gene:GSCOC_T00019675001 transcript:CDP04880 gene_biotype:protein_coding transcript_biotype:protein_coding MDYILPDLLRKFNSLLLQLKEVSGGGSSAIRLADDHVLGFVDFLISKLVLLSTKSRFTDEVKVQLQTLADELRFIRSCLMDMLLQNPIQELESLNISIATLIVDAGFFVYFCPDKMEDEELTMTNYWSLGLHDSLETVQNVQQQAAGLFKKYLPLSLQSNCPSSNELEFVDFLINKLKEVMLSGEASIYPLKHQMEMVNQEMVTLRNCLSGVAELMGNSQIGFLLTRFKHAAYQAKYVLNSLMAGEGSLWLHKLGSFVITNDIKILQKEVKTTWKMNLDSGDGMQIKIEKDANKLVGRKDAVAEIVGLLTEGSSHLKILSIVGMPGLGKTTFATAVYKHPFVDTCFEVRAWGSLSQVYQKETLLSSILDQVHANPSHDISGEDVGQMLYQSLKRRRYLIVLDNIWDIETWSGLCSIFPDDENGSRILFTTRSHGVAAQANSFPYALRLLSREESCELLWLKLFNGETCPRELSRISKRIACSCKGLPLAVVLIAGALNRTKKEKDSWEKVARTFIRSQSIQEQIWDILDGSYKLLPDHLKPCFWYLGTFPRGTTISVSKLIRLWISEGFIHQPNSGQKSLIQEAESYLNDLIDRSLVLVTRKSSKGGVKECRVHDVLREFCSAILKQERYEMQEHIFPGGISVLYDTSLRKRVIFTDLSPIGRVYLTEQVPGTGSGITRQGRLHYGSVPKYKYLRVLDLANVLFRNSADTSDLVKIAELVYLRYLAIKIRTNRIPSEIGNLRNLETFHLSGAIGRVTLPEAVWKLVCLRDVIMDHCFFSFQHYSQEFFEDSTQLDNLKSLSTIYIWHGNVEKLTRMLPSVQKLGCIISNSWQECCEASNLFKLLECLRELRSLKMSFHVRAPYPFKFTFPSNLKKLTISNARLPWDEISVIGQLSNLEVLKLLSKAFEGQQWDMREGEFQKLKFLKLDSLDIVQWSASGEHLPCLEQLVVLSCQQLEEIPSCFGEISTLQLIELKCCSASAKDSVKQILDDQRDYGNHQLNVTVVGSQGGFP >CDP13395 pep chromosome:AUK_PRJEB4211_v1:11:33459676:33464019:1 gene:GSCOC_T00038318001 transcript:CDP13395 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTDNNNPSSAVIFSNCGPDLMKKHTSPSCGKQRLVIMKQLMGLLERDMGDGGGGGGEELGPGTPNTSGGGCCGVWQGNEEVRGLGNGGDGVVGIVNQQFQPQQHQRQQEQQNAPFMSLLMMQTPAIPKERDRTVARNMWATTACEPSTQIWDFNLGQLRGNDESNTLEVGYSANEVGFMMKSYSELLKEPSSATTRGLELSGLNCSIVNEDMAAFNNSNNPTASQGPATSESNNLPIGRATSGSGLGKRKCYGGLKDVHLMEQTVLVKSESTTAAAAKVDMELLAKNRGNAMQRYKEKKKTRRYDKHIRYESRKARADTRKRVKGRFVKASDAPDG >CDP00738 pep chromosome:AUK_PRJEB4211_v1:11:26348943:26351415:-1 gene:GSCOC_T00032805001 transcript:CDP00738 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIFSFLNHIILPLLIIVTISLPTSISQLQEPTNTPYGVCNGVVIQYNYDTGYQIPPTLLPGAPDNQPYRFESTLTVLNAGFEELKNWKVFVGFQHNEYLYSASEAVLDDGTSLPGNVSQGAVFSGSPVTDLKTSVETAGDLNQMMARVELVGTQFGVAPPNAPLPSNLSLANDGYLCSGSNMPQGVNLTQVCCIRDLNARSNITTEQESPLESGDISIMYDVTSAYETNYRAQVTISNENPISRLDYWKLTWEWMREEFIYQMKGAYPTVLDTKECVFGAQGEYYKDLDFSIAVNCERRPTIIDLPPDRTNDTKLGMIPFCCRNGSLLPPTLDPTRSKSAFVMQVYKMKPDLNRTQIYPPLNWKINGTSGPEYQCGQPVRVSSSFFPNPQGLSSDVSAVASWQIVCNISQAQTPQKKPNCCVSFSSFSNDSVVPCNTCACGCNLNPSNMCNATAPALLLPPDALLVPFDNRTKKAIEFSKLKHRHLPNPLPCGDNCGVSINWHLLSDFEDGWTARITLFNWGDTSIADWSAAVELDKAMPGFEAVYSFNGTIIPDSNNTLFLYGHPGLNYLVAETEARKPRKDPPIPGSQQSVILFTKKETPGINVARGDGFPSKVYFNGEECSLPTRLPISFTHRLSAASASITILLSLFVLMAVLQ >CDP04862 pep chromosome:AUK_PRJEB4211_v1:11:4084844:4086282:1 gene:GSCOC_T00019633001 transcript:CDP04862 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKPLVSFKLENSRFNKQYLKNHISLSTSPKLENLVLLESSFKVLKVLRRHFSTNVSERHSNFGSKLVT >CDP05504 pep chromosome:AUK_PRJEB4211_v1:11:21894735:21895758:1 gene:GSCOC_T00020584001 transcript:CDP05504 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPLIQATRLGIIEVVQEILSVYPEAAYTFDGNGRNILQIAVEEEKWFLYDYLMTSGTNMDRMLSAIDHEGNTIIHLAAHQESPPSTPPGVLEMMWEVLWFKRVQYDSYPYLWQLQNSDGKTAKQVFETNHASLREKAEESVRALANTVLIVSVLIGTVNFAAIFTVPRGFDQTTGEAIFLKNRRWEFSLLMFYLAGGLFSSLFTMGTLLVIIFLRFETEDFYVSLPCYYVMNIISIFYSTVLAIVACCQALIVQKVVSTDFRPLVVFFFIYGLMALVRMETSYVIFDYAYHLIRYCLCYRGQES >CDP00300 pep chromosome:AUK_PRJEB4211_v1:11:30208406:30212149:-1 gene:GSCOC_T00032192001 transcript:CDP00300 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGAGLHRVFLLAFCVAGIWCAYIYQGVLQETVSTKRFGPDKKRFEHLAFLNLAQNLVCLIWSFIMIKIWSNGKRDGTPWWTFWSAGVTNTIGPAMGIEALKYISYPGQVLAKSSKMIPVMLMGTLVYGIRYTFPEYVCTLLVAGGISMFALSKPSSKSISKLAHPNPGLGYGLCFLNLAFDGFTNATQDSITARYPKTTAWDIMLGMNLWGTIYNVIFMFGWPQASGYEAVKFCQQQPEVAWDILLYCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFVSIVVFSVLSGNPMSTKQWGSVIMVFSGLAYQIYLKWRKLQRMQKKKKSM >CDP15249 pep chromosome:AUK_PRJEB4211_v1:11:18419123:18426163:1 gene:GSCOC_T00042896001 transcript:CDP15249 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNNNNRLEQTLQDGKLYRHVNSLIVAHLRDNNLNQAASTVALATMTPLNVEAPPRKLLELVAKGLAVEKDEMLRGVSTAAFLESNRHAGYDSIAAPRATLDFRQSSFKPPYFLINLKMRRGAMLDTKGSSKSFPKHETRHVSEHKNVARCARFSPDGRFLATGSVDTSIKLFEISKIKQMMLRDAGDGPVRPIIGTFYDHVQPINDLDFHPQNTVLVSGAKDHTIKFFDFSKTAAKKAFRVIQDTHIVRSVSFHPSGEFLLAGTDHPVAHLYDVNTFQCYLSANIQDIGLNGAINQVRYSSTGGMYVTASKDGAIRLWDGISANCGRTIIGAHGAAEATSADLTKDEKYVLSCGKDSTVKLWEVGTGRLVKQYLGATHTQLRCQAVFNDTQEFILSIDEATNEIVVWDALTAEKVARWPSNHVGAPQWLEHSPTEAAFASCGADRSIRFWKEIL >CDP05572 pep chromosome:AUK_PRJEB4211_v1:11:23145669:23152247:1 gene:GSCOC_T00020695001 transcript:CDP05572 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGIVERALNSLGKGFDITSDFRLKYCKGKERLVWLNERETTELLVPGFGAFRDVSIDIKCDKGDRTRYQSDILDFSQMSEFFNQKSSVPGKVPSGMFNSVFGFQSGSWATDAANTKCLGLDGYFIILFNVHIDRYPLVLADEVRNAVPSTWDPAALARFIEKYGTHIIVGLSIGGQDVVLVRQDKSSNLEPSQLKSHLDVLGDQLFTGACSFSPHQLKTREQKQKAPQAFNVFDPHPNPFNSFSSITTKDGITVICSKRGGDTSTSTHCEWILTVPSMPDAIHFNFIPITSLLKGVPGKGFLSHAINLYLRYKPPINDLQYFLDFQAHKMWAPVHNDLPLGPARNKSRQNPTLHFNFMGPKLYVNTTKVIVGKRPVTGMRLYLEGMKCNRLAVHLQHLSNAPVLFQDKINDPLTWRGTEEITDDRYMEAVQSKKFSHICTAPVRYDPKWATKTDEAFIVTGAQIHVKKESSKTVLHLRLLYSKVSNCCVVQSNWMQCPSENSIKWSIFSAISTSISGTLDKEKMPAVVVDSAVYPTGPPVPVQTQKLLKFVDTSQLCKGPQDNPGHWLVTGAKLDLEKGKICLHVKFSLLNLCS >CDP16884 pep chromosome:AUK_PRJEB4211_v1:11:677840:689645:1 gene:GSCOC_T00019463001 transcript:CDP16884 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKRAYKLQEFVAHSQSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAVLSLSGHSSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISLDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHDGQIQCIDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPETTGVRCMTFNPDGKTLLCGLHESLKVYSWEPIRCHDTVDVGWSRLSDLSIDEGKLLGCSYNQSCVGVWVVDISRIEPYAMGSTTLLNGQSEMKSGSSGNLSVPVEDIAKASLGRLSISQNSDSVKEAKSSGRLSTSQNSDLNKESKPLSSTGTVPGTPHRVNLGAGQKVAPIGNAVVPNVAAPKRNAVKVQPVATTSIINRSDVIPVVVPRNNLRFEQAAESRKEGIIARTMPLSLQSRGSSNIRDEIERPIASPKPESQVAKAADLSGLTERKTIPAGKSLNFGIVDIERNKTCIRLFSGLALFLYIIVYLFRVVNQDPLSEHKNSRCTCCFSHAGWHKCIALFSKWNFRRVFDQGFYFWFVCIFCCRNLATFAVLLENLLMHVVHDTGIRFTIYINAGSYFLQVLLFDCRCYETRGPNVNRESNMSEGKRAGRTRSLVANWERRERVTYSDGLATSNIPVTIHAINTRTTSVGGQTLTPESEMVSTSEEDVIADLMKHHDEFVGSMHSRLIKLQMIHNHWQRHDVKGALSAMEKMGDHGVIADVISLVTEKADIVTLDICTCLLPLLNGLLESEMDRHQNISLEMLLKLVRVFGSVIYSSISAPSSVGVDIEAEQRLERCNTCFVELEKVKRCLPVLCRRGGSIAKSAHELNLALQEVS >CDP04875 pep chromosome:AUK_PRJEB4211_v1:11:3147627:3149291:-1 gene:GSCOC_T00019668001 transcript:CDP04875 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKNQQICTQSKVTTSPKNYQKRRNSIPVIIYSMHIQKLRPISTKTTLDEAAAVPFQTPGKN >CDP05425 pep chromosome:AUK_PRJEB4211_v1:11:20156329:20158472:1 gene:GSCOC_T00020465001 transcript:CDP05425 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLHSIPYSTLHSSSLGWDFHNLGVFNADMPQFLESSISTSTPPLLSQLESDFSTGYLQDALFEFSSKRRRLEFCNTDDQSEELDNSTRNSWSSTYSLDYYNNYDYLSQIMTNSDSISGEPMSIISEEASLFSEMKTTEEAISNCETFDTSSSQKDSVNIQSTSGKETLRSIDSIFPSGGGGGGGEKRKKRILSKVVYPFALVKPGGLEGDVTLNDINERILMPPTRPVRHPVGDFACRPLTSPDGPGLSGKAVVALTRIHTQGRGTITIIRTKG >CDP00610 pep chromosome:AUK_PRJEB4211_v1:11:27641506:27643076:1 gene:GSCOC_T00032603001 transcript:CDP00610 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRSSFFSFILPLFLVTLLSIARVDVVSTAPTKIGQGYSLISVEESPDGGIVGLLQVKQKNNVYGPDIPYLQLYVKHETENRLRVHITDAQKERWEVPYDLIPREKPAALKKAIAWSRKNQNSVLMASSEYESNELIFAFTTDPFSFAVKRKSDGQTLFNSSSDESDPYSPLVFKDQYLEISTKLPQDASLYGLGENTQPHGIKLYPGDPYTLFTTDVAAINLNTDLYGSHPVYMDLRNVNREAFAHAVLLLNSNGMDVFYAGTSLTYKVIGGVLDFYFFSGPTPLDVVDQYTSFIGRPAPMPYWAFGKFFKKKKKKTSESRVLQNCSPVHCSESIVQSAKSIITPDL >CDP05534 pep chromosome:AUK_PRJEB4211_v1:11:22550602:22553272:-1 gene:GSCOC_T00020642001 transcript:CDP05534 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQGKTTLAKKVYNDPSVKYYFNRCAWCFVSQMYNTKELLLDILSDLNGLDVRVSKPTAREEDLAEWLWKCLKGRRFLIVMDDIWDIGAWDSIKRSLPDDDNGSRVMFTSRIRNLVLQAKLNSSPCPLSPLSDEESWELLQEKLFNNNGCPSDLLEVGQKIAKNCKGLPLAVVLVAGILARENNDLEWWNQVQESIGSHIASEGCMDILELSYKHLPHRLRPCFLYFGAFPEAKIIRVQKLMKLWIAEVFIKSDEVKSSNDVAGEYLMDLIDRSLVTTAATSSKGEVKACRIHDLLHDFCLAKAKEENFFQWVHGHDVSYHSPIPKVYDEYRLCISSEWEQFIHSRPVGPGVHSLLVSGIHVERCQHSKMTSFFLGFKFLYVLDLECIYVDDFFPEEIILMIHLRYLAIWCCTNYIPSSIETLWNLETLIVKGARIYSIPLPDTIWEMKSLRHVDIPTMSFRDYENDESCQLQNVETFATPTLTSGKDTEELLRRLPRLRKLKCNFFEIQLDSEDPIGFPALGCLSQLESLNVCNCGDMLIGENDDQFPSFSFPNTLRKLTLENFCLTREAISAIGQLPNLEVLKLRKSAFLDLKWDMEDGEFIKLKFLQLSEVQIEKWNACSEPFPSLQRLVLINCKGLEEILSIFGDIPTLRIMRMYWCHKATSSAQQIFEEQQDMGNDGFEVYILDD >CDP05587 pep chromosome:AUK_PRJEB4211_v1:11:23306091:23320671:-1 gene:GSCOC_T00020715001 transcript:CDP05587 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLLCTFSSLLLLFLFCSIPVTSQFTFGSSSKQAHNYSNVSCVENERQALLQFKHGLIDESNRLSSWIGESCCSWKGISCHKTTGTILKLDLHNTVPLYSDDDDFLCKNCLGGQLSPSLVHLTNLRYLDLSSNNFSGIQVPAFLGLLKNLRYLNLSSTGFDGEIPRHLGNLSHLRYLDLGNFVFSSIQNSLSTKDLGWVAGLSSLEGLVLSRVNLTAAQDGLHLHDLRLGFNNLSDPIHGLLTYLCLSANNLQGSIPSEIGQLRKLTNLLLSFNKLNGTIPTNLWQLTKLQTFEVGYNSLTGVLSEDHFAKLRELESLDLAGNSLALNVSSSWHSQIASVDYLLRLRYISLSSNKLDGSLKPFPLDISILDLSHNFLTGHIPQLEVGQTSVVRSLSLNDNRFTGTIPEDLCKMEYLSELDLSNNLLSERVPLCLGNLRDLEFLNLANNSLSGQIPSSLGNLWGLSKLLLNGNKLVGKLPASMQHLRNLKILDLGDNELKDIIPAWIGERLSNLMCLRFQSNNFHGAISDTLCQLSQLQLLNLAHNNLSGFIPHCFNNISAMVLGIDGEYYAYHVYIFWSLHPFEFINKGNFNIFIGSITDDHFCHFYTLINIFIDSIFIFFSIQVSSQFPFGSSNQSYNYLDLSWYIVHEKMLSSLMCLGFQSNNLHGAISDTLYQLSHLRVLNLAHNNLSRFIPHCFNNINRQLEALDLSMNVLFGAIPESLSDLYSLNSLYLSHNKLSGPIPSGNQLQTLTNPSIYEGNSGLCGKPLQNNCLEHKLSTKNGSSHDHKGHSKSDWSWFYAGIGPGFAVGRLEVLGILL >CDP07987 pep chromosome:AUK_PRJEB4211_v1:11:10037857:10039621:-1 gene:GSCOC_T00025550001 transcript:CDP07987 gene_biotype:protein_coding transcript_biotype:protein_coding MKALTYLDLSVNQLSGDIPSTIGALQNLLTLSLGYNNLEGLIPESIRHMLLLQYLDLSFNNLSGLIPASLGKLLDLQYLNVSYNKLRGPIPQGGPFKNFTILSFLANEALCGAPWFQPCQTSQHRSRKRILLLVLLPIGSAILALAISLLLIKRLTRKKTTAAAPDLFPKTTYPRVSYHELRRITNGFSVSNSLGSGTFGSVYKGVSANGIIWAIKVFNMQLDGILKTFDTECEVLCRLRHRNLIKVISACSNLDFKALVLEFMPNGSLEKWLHLDHHVLSIMQRLDIMIDVARGLEYLHYGYSYPIIHCDLKPSNILLDEDMVGHVSDFGIAKLLGDGESRVQTKTLATIGYIAPEYGLEGLVSTRCDVYSFGITMIEAFTGKRPKDEIFTEELSIRCWILESLPSSVDQVVDVKLLHPEEEQAKKDMACVSSILQIALSCTSDVPESRINIKDALTTLEKIKTQVLQRN >CDP04839 pep chromosome:AUK_PRJEB4211_v1:11:4880034:4882906:1 gene:GSCOC_T00019583001 transcript:CDP04839 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHFKQSKRCYFSTNIKFCSCKMVAIKWTHHTVIPIEGTFRYLAPEHFCIELRMRRGCFCTWDSPFRGHNWEETSGLILTKPASMGEAFAIIGIRLCYSFDNAITIVIFHFSWKMQSGVWDSISDQDVVNHITGGNFA >CDP00491 pep chromosome:AUK_PRJEB4211_v1:11:28742359:28743783:1 gene:GSCOC_T00032446001 transcript:CDP00491 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISANGVHVLVFPYPAQGHILSMLDFTHQLALRGLTITILVTPKNLPILNPLLSTHPSIQTLVFPFPPHPSIPSGVEHVRDIGNHGNIPIISALSKLHDQIIQWFKSHPSPPVVLISDFFLGWTENLAHQIGIPRIVFYPCGAFSMAVVRHLWLNFESITSSVGVVNFPDLPRSPSFVWDHLSSLFRRCRDNSSDQDSLAVRWSIVANSKSWGAVFNTFDALEGEYLEWWKKKMGHGRVFAIGPLNQIGVPEKVGRGDVNLQSADGRSSSLHWLDGWPDGSVLYVCFGSQTFLKKAQMEALAIGLEGSGVRFIWVVKQLTAQQVEEGYESVPDGFEDRVSGRGLVVKGWAPQVVILNHRAVGGFLCHCGMNSMLEAIEAGVMILGWPMEADQFLNERLLVDFIGAGVRVCEGPETVPDPTALAKTIGESMRGDTIGMEMAKQLRNKAFEAVNIGGSSMKDIDGLLRELAQLK >CDP16883 pep chromosome:AUK_PRJEB4211_v1:11:651832:660785:-1 gene:GSCOC_T00019460001 transcript:CDP16883 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLVRQLILGYLGRYIRDIQKEQLKITLWNEEVLLENVELILEAFDYLQLPFALKHGRVGKLSIKIPWKKLGWDPIIIVLEDVFISACQREDKEWSVDEIEKREFAGKKAKLAAAELGKLSRRVCDDHAGKSFSSYITAKILDGIQVSIRNVHVLYRDMLTASVLFLLYFLDVTLYFIN >CDP00440 pep chromosome:AUK_PRJEB4211_v1:11:29143269:29149102:1 gene:GSCOC_T00032379001 transcript:CDP00440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-5 [Source:Projected from Arabidopsis thaliana (AT2G03620) UniProtKB/Swiss-Prot;Acc:Q9ZPR4] MAERQTQEIPSKFPEFVPSNSDSRFPSNGRLEDYFRGLGNPGFKKRGHGLGSRSWVKIDQNGNSKILELDKATVMRHCSLPSRDLRLLDPMFIYPSSILGREKAIVVNLEMIRCIITADEVILMNSLDASVVHYVSELCKRLQANKDQADDLPFEFKALELALELTCMSLDAQAKELEMEIYPVLDELASSISTLNLEHVRRLKGHLLALTQRVQKVCDEIEHLMDDDGDMAEMYLTEKKQRKEAYANNEQYDQTTFFTASRVGSKSAPVSPVGSCIGVQKLQRAFSSISSSKLGSLGSSSNSEENIDQLEMLLEAYFVFIDNTLNKLLSLKEYIDDTEDFITIKLGNVQNQLIKFELLLTGATFVATVFAVVTAVFGMNFEATIFDVPSAFNWVLIISGILCGSLYFSFLLYVRHKKILSV >CDP00661 pep chromosome:AUK_PRJEB4211_v1:11:27164010:27165397:1 gene:GSCOC_T00032680001 transcript:CDP00661 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSSSGMYSSVEFFNLCIFFIIILLEKSSAAHYQYEACVPKACGTLSIKFPFYIRGLQESYCGHPGFELSCSNSPAIHLTEDDYVIDNIQYENRIFRVHNEAVSTVGGCLPQIRNISEDSQLGIVDTSRLHLLSNCNSELPEVLSSYKVNYCDPFNKEDLGLVMLGEDKNLTIALQECNTNVLAPVEVDKNGGNGIVDYEGLLRKGFLLNWKVADCSKCERSGGRCGFNEADGRTRCFCPDRPHSESCKPLSKNARGREMN >CDP11008 pep chromosome:AUK_PRJEB4211_v1:11:7907357:7915953:1 gene:GSCOC_T00032969001 transcript:CDP11008 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTTITSYCPVFWLAEDTSTVTKSKFLWKGNKQDTTKLRTLKKLETKKMEKKNETIKRAEKLVETMMKGNDASHDAAHAFRVRDLALSLAHEEGLHQSSDSMQIVELAALLHDIGDYKYKRDPSEEKIVEEFLEGEGVDDSKKMKILSIINRMGFKEELQGLQVGNDALEFGVVQDADRLDAIGAIGIARCFTFGGSRHRVLHDPSILPRSDLSREQYMKKEEQTTVNHFHEKLLKLKDLMKTKAGQRRAQRRHKFMEQFLEEFYEEWDGRA >CDP05469 pep chromosome:AUK_PRJEB4211_v1:11:21157266:21158450:-1 gene:GSCOC_T00020531001 transcript:CDP05469 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWRRASGVLKDQNSLWISSLSRRTALRNPDIEAAIIKATSHDEFSIDYKNAERVYKWIRLSDAHIKPLVWCLSLRMEKTRCWVVALKGLMLMHGVFSSKVPVVQRIGRLPFDLSNFKDGYNKPGEIWAHNAFIRAYYAFLDQKSTLLFINMQERRAFRGGGVVQEQRSLMQDLVILQKLQGLLDMLIQIKPLSKAASVFLIVEAMECIIIEVYDVYSRICQMIAKVLKRIDTAGKAEAAMAVRILKKAIVQGDELSMYFQLCREIGVVNAKGCPQSVEIPQEDIHDLEIMINEISDKSEMDEYSSISEKSEMDGKSEAAQKAIVVSQNETVLVDSRSRLRTVITDNWEKFDEDLMVICPENSLTAASKTNPFLSPPHQQQGKCDDLPDLITF >CDP00532 pep chromosome:AUK_PRJEB4211_v1:11:28405212:28406063:1 gene:GSCOC_T00032494001 transcript:CDP00532 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCVYAVDEDCRTTVWTYQKDVEDGILDPFQPDDQLKKKKKISFVRRRRDVYRALGPGSEAESAKVLAFGTLFTAPYKGSESYIDIHEARKDPRIQSVMQKTEFLPFVPEILEAGKEFALQKIKAPFLCAQLRLLDGQFKNHWKGTFLVLKQKLESLKLNGPPPIHIFVMTDLPMSNWTGTYLGDLLKDSDAFKISVLRGDDNLIVETANKLMDIARGKNLESVSKRSYTVDNHCDPQSFTDILLYVEQTVCSCASLGFVGTTGSTIAETIELMRKYKACSG >CDP05415 pep chromosome:AUK_PRJEB4211_v1:11:20030067:20030478:1 gene:GSCOC_T00020453001 transcript:CDP05415 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTRVQVPEKATGHKGCQEICPSYRRSEEAPQIPSRNSIHAKRVTIMPKDIQMARRIRGESA >CDP05510 pep chromosome:AUK_PRJEB4211_v1:11:22059561:22060395:1 gene:GSCOC_T00020592001 transcript:CDP05510 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFLVEDNGNHTNIGCGTIIRCEGNHYTVLSCEHIFDPVEKIYAQLFDGGKYIVRALFLDKQSDIATVRIVSDVPLEVATLGDSSKLLPGTMVGALGCPQGLPNTFTAGVVSSVGRKSFELQHVNIQGYLKEVIVMDIVLSNGNSGGPLINLDGEVVGVIS >CDP13210 pep chromosome:AUK_PRJEB4211_v1:11:32036652:32038640:-1 gene:GSCOC_T00038078001 transcript:CDP13210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat only 4 [Source:Projected from Arabidopsis thaliana (AT3G26600) UniProtKB/TrEMBL;Acc:Q38957] MTFHRHHHYPPPPPNSNNNQLTPPSLSQNHQPHQFIHLPMASKIVKPPPPPPLPAPVKTLDDEKEQSIQELLSYIIFLNERINRAVMEANSFKSECYSLYSLVGDILGKLPNDKLRNDASTGPGKLYERPIRRVMEEVAKQFEKTLTLVRKCNRGGVFRRLVSIVSATDFKKLQSMLESSMADITWLLNIFDGGGGIILSLPPIASNDPIISWVWAAIASLYMGQINDKIEAANQLASLAKDNGRNKKYIVEEGGIAPLLKLLKENSSVEAQIAAAMALIHLANDDDRVCVIIKELGVPVIVQVLGDSPMRVQIKLANLVARMAGCSPLAQEDFARENVIKPLVTLLLMDVYMEEASLNVGKQSFHAIVEINKEREKNQLHRPALGSSLSMQSSNGSSRGGHHKKDRENETPEVKLRLKTSCVEALWMLSKGSVLNSRKITETKGLLCLAKLVEKEQGELLYNSLMTIMEITAAAESDADLRRTAFKTNSLAAKAVVDQLLRVIKECDNSTLQISALRAIGSLARTFPSRETRVIGLVVQQLGHWNLDVATEAAIALGKFTCRENFLRAEHTATILEFNGTQPLTRLVQGNERSLLHGYILLCYIGLHARNSEDLEKGNVLQTLALAERQAAVGQHPELKELIAETVTHLNLYLQCCVIQRP >CDP13260 pep chromosome:AUK_PRJEB4211_v1:11:32372382:32373724:1 gene:GSCOC_T00038144001 transcript:CDP13260 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVASFFGMAFGAFIFWQTMDKVHVWIALHQDEKQERMAKEAEIKKMREELLRQNRERGDTLL >CDP16274 pep chromosome:AUK_PRJEB4211_v1:11:24121780:24124701:-1 gene:GSCOC_T00018049001 transcript:CDP16274 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFTPFIVLWFVFLAGRIGLGCLHVGAYSNVSCFENERRGLLEFKKDLVDKSNRLASWTGEDCCSWEGVECNRSTGHVVKLDLHNNAVFDLDRLIFGDKQNYSSIYGETCLGGQISPSLVNLQHLHYLDLSSNYFAGIRVPTFIGSLKNLRYLNLSNAGFDGTIPPQLGNLSALEYLDLGEKSGGFSDEISGYQLSTKSLCANSFNSTIPLWLFNLTSLVHLDLSFNSFFGPVVPHSLQHWTLLSYLDLTGNQFNTSLLDPLFTLNNLVHLDLSDNQIQGPLPFSLGNLTSLSVLHMGDNSFEGPIPSAIGQLRELTELNLNGNGFNGTIPSSLWRLSELKSLDLSGNPLSGELRDIHFAKLAQLKELRLSSPLLALNVSSSWVPPFQLHTIEMRSIKIGPKFPLWLQTQQRVENLDMSNASISDTIPDWFERVYIYILHLQNNSLQGIIPHPDINMTLDILQILHLSDNHFNGSIPDSLCSLQMLVVLDLSNNQLSGRIPSCIGKLKTLGELHLANNSLHGHIPISLGHLNDLQSLHLNRNNFTGTVPFSLRHLKNLRYLDLGNNELEGIIPAWIGDELSSLSFLVLESNNFHGDISMNLCKLSSLRVLNLEDNNLTGHIPRCFNNFTAMTLTELDPTVTSYIEELSLFVKGEMLNYTSTNGRYVIFMGLSGNKLSGEIPVELMSLVGLQGLDLSRNHLSGRIPENIGNLSQLESLDLSKNDLSGPIPQSLSNLDSLGWLNLSFNKLTGPIPSGRHLQTLDDPTIYMGNSGLCGEPLDKSCPDGKSNAGESDGDHEDGKESYFYWFYAGLAPGFAVGLLGFFSVLCFKKSWRYAYFGFLENLLNKVWVEIALLKRKFV >CDP04782 pep chromosome:AUK_PRJEB4211_v1:11:5903398:5904891:1 gene:GSCOC_T00019492001 transcript:CDP04782 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLNCTILSFYLLIQKGNRTYDLINLKLLSMKMTMIVKSNAYNDNISLCGKRVWFHRFENRKTSF >CDP13283 pep chromosome:AUK_PRJEB4211_v1:11:32546670:32547401:-1 gene:GSCOC_T00038171001 transcript:CDP13283 gene_biotype:protein_coding transcript_biotype:protein_coding MCWSISSKMDANANLPLGKKSAGIAMNKKSILSCSPKIERKQASFEAHNNSSRPPWRLSMKTGGFRDAAIKNPWPPAYSAKSVTKKTMHENTSPNIQL >CDP00269 pep chromosome:AUK_PRJEB4211_v1:11:30468344:30470173:1 gene:GSCOC_T00032155001 transcript:CDP00269 gene_biotype:protein_coding transcript_biotype:protein_coding MILAAAPGPPPSVTGAIIPTILSVSSPAHLLHLLTQCTTVSQLKQIHAHALRTTPPQSDPDISRLFKKILQFSSLHDLRYTINVFKRLPDRDSFTWNTLIRAHAHSADHKEQAIMLFYQMLNEENVSPDKYTFPFVLKACAYLFALFEGAQVHGQVLKRGFGSDVYINNSLIHFYASCGCLDYARKVFEEMPERSVVSWNAMINALVEVGELEGALRMFSEMQMLFEPDGYTVQSVIAACAGLGALSLGIWAHVYVLRKCKFDANLEILVNNSLVDMYGKCGSVNLAVQVFEGMRNRDVNSWNSIILGFAMHGKFEAAIEYFERMVNEDGFVPSSITFLGVLSACNHRGLVDEGRKYFHKMVNEFDITPVLEHYGCLIDLLSHAGLINEALDTVFSMPMKPDSVIWRSLLDACCKKDAGLELSEEMARHMIECEGSDCSGAYVLLSRLYALANRWNEVGLVRKLMGEKGVNKEPGCSIVEIDGIAHEFFAGDTSHPQTTEIYQHLDVIEEKLTSMGYSPDISQAAMVDEDGDGKGRSLRLHSERLAVAFGLLNQKPGVPIRIFKNLRFCSDCHNVIKLISKIFNVEVIVRDRLRFHHFLDGSCSCMDYW >CDP07978 pep chromosome:AUK_PRJEB4211_v1:11:10598233:10599738:-1 gene:GSCOC_T00025535001 transcript:CDP07978 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTKRKKIFSCSYRSFFFWQDTCQLKISNVRYQTQIEKFIKKGLAFLSFGKTTGLGKCKSCCHPLHIFSLAHLYSTQQSVSLISHNAANINELTPFIL >CDP00567 pep chromosome:AUK_PRJEB4211_v1:11:28090928:28094829:-1 gene:GSCOC_T00032547001 transcript:CDP00567 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTLSLLPLQDSSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILVIRFEMPFNIWCGGCESMIAKGVRFNAEKKQVGSYYSTKIWSFTMKSACCKHEIVIQTDPKNCEYVIISGARKKIEEFDVEDAETMLLPVDEERSKLADPFYRLEHQEEDIKKKKEAEPVLVRLQRVSDSRHSDDYALNKSLRAKLRNQKKRVAEEEAASRKMGLGIRLLPASEDDAAAARSVKFAHKFDKNRKDKRALINAASIFSGYSGSSSSKRRVELESKRRKINAAAASNLLVGGFKPSSWSK >CDP00345 pep chromosome:AUK_PRJEB4211_v1:11:29874903:29876707:1 gene:GSCOC_T00032253001 transcript:CDP00345 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQNQNLQTNDMALVLSSDAKPRLKWTPELHLRFVDAVNQLGGADKATPKSLMRKYRLGKSQQSQHYNESKPEEERENQRSHFTAEVCEETQNQTNESLQIAQALHMQMEVQRKLYEQLEVQRHLQLRIEAQGKYLQSVLKKAQETLAEYNTSAAGVELAKAELSQLVSMVDIGCPTSSLSALTEIEGPILKDTDNKQLTSNGCSMESSLTSSESSGRKEQTQPMHEIDEKGKCNRNNIVLSLMEMQPGQNSRSNNQASERKRTGSNTSVEKPLPKRFQPQRSDEQTGKFGFLETLDLNR >CDP13239 pep chromosome:AUK_PRJEB4211_v1:11:32242609:32247727:-1 gene:GSCOC_T00038117001 transcript:CDP13239 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPWGNIGAWAADSEREEEELRELAAKAAAEAASADASATGGGGSQSFPSLRDAVSSASKQTKKKTKMSLQEFTMQNVYGSTSSQRGLTHEEMLRLPTGPKERSAGDEMQYGGGRLGGGFSNYGVRSGGPPPGRMRDRDSDGEGSWGGGGPRRSYGGFEDDRRRGPPQGGRGPDFDQPSRADEVDNWGAMKKPLPSFNSGPAGPGRPSRYASLGGGSGGLSRADEVDNWGASKKPIPPPAARSSSFGSGFRGDFKPEPEKWTRDGSQERQRLVLDPPRGEEGETVVKVNKPNPFGAARPREEVLAEKGLDWKKLDSEIEAKKAVNSRPASSQSSRPGSSQSARSEGQPLQVTGGDAAAKPRPKVNPFGDAKPREVLLEEKGLDWRKIDLDLEHRRVDRLETEEEKNLKEEIEHLKKESLQKPGDDQSLHDQILQKEKDLELLIRELDDKVRFGPKPTERPGSGAGRLTGHPERPHSQPAAYEEPRGGEFMDRPRSRGTGDAWARSMDDRRAYHGGRSRGFLGNRDTDRSTSKERW >CDP00735 pep chromosome:AUK_PRJEB4211_v1:11:26368719:26370296:-1 gene:GSCOC_T00032801001 transcript:CDP00735 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLAQLVELAKLLISLDERFSITVLISRLPDSLDPVTNKLISSLVDSCTTEALRFYQLPPTNPTPEWSSLTRGYFIQKQLDSQKPHVKDFIQQRKTDQSSSSRLIGVVVDMFSTSMIDVADEFGIPSYVFFTSGAAFLRIMLHFQTLEDDNSQDVSEFSKSETALSFPGYANPIPPSVLPMALVEKQLWSRRFLPCARGYRKAKGILINTFTELEPYALNSLNRLESSPQIYPVGPILNQVQYVSRDVQSGILKWLDEQPPKSVVYISFGSLGSLPVDQVKELANGLERSGYRFLWCLRRPPPKKTIVDFPSEYENYEDVLPEGFLDRTANVGKIVGWVPQLAVLSHAAVGGFVTHCGWNSTLESIWFGVPLATWPLEGEQQLNAFQLVIDLKLSVGITLDYSSRNQNQPLVAAEEIERGIRKVMDSDSEVRKIVKEMSDKSRESIKLGGSSHGSLARLISTMLHDSC >CDP00806 pep chromosome:AUK_PRJEB4211_v1:11:25603772:25608770:-1 gene:GSCOC_T00032899001 transcript:CDP00806 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSNPTNELDQGQKNNGGWQQVTYAKKKQQKNKKNQATKKPSSDPTKNIIDNSTRNVFESLEKHAAERRKNVEARKKADIYDDDGKFLANSSNHRSENEKKKKKEKKETKKTNMTIAEAAMKIDGDDLSSFLADVSASYASQEEIQLTRFADYFGRAFSAVSAAQFPWLELFKESSLDAIADIPVCHIKEVVYRTSVDWINQLSNESLESFMLWSLDCILADLTMQKAGSKGPKKGVQASSSKSQVATFVVASVILRRKPDIMINLLPILRANSKYHGQNKLPLYIWMIIQASQGDLTVGLYLWAHYVLPILGAGSKSSSNPQTRDLVLQLAERILSRPNAYKILVNGAVRKGERLIPPLALDLLLHVTFPASSTPIKATDRFEAIYPTLVYVALAGTPRSKSMKQLALQVQDFAMKATQEGNPKLSQEATKLLLWCLTQNTECYKEWEKIYANNLETSVAILQKLNQRWPELASKLASADDLRDTLKSFRQKNEKALRGGMDPARQALLKEADQKCKVILKKMFIEQNRWMIIKLLAFFPILLAFAATFGYKEYLRRF >CDP00691 pep chromosome:AUK_PRJEB4211_v1:11:26876796:26879817:1 gene:GSCOC_T00032726001 transcript:CDP00691 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLARKAFNDPYTVYHFYCRAWITVSQVYQARDLLLALWSSIAQSTDKMVEKSNAQLAEIVYRRLKGKRYVIVMDDLWSSDAWNDVKRCFPDDENGSRIVVTSRFKELATNVSPKKPPHCMNLLNIEQSWELLEKLIFGTASCPHELVGVGKQIAKRCRGLPLAIVVIAGVLSRDIGAYNCWNEIAEEVSSVVSTDPENCLDILALSYNCLPHHLKACFLYMGIFPEDCEIEVSKLINLWAAEGFLYLNSEKQLEQIGEDYVEDLIGRNLVLVEKKRFGGEVKTCRLHDFLRELCLKEAQKENFMHVIQRRSAKGVQAGTRNQRRLSFHLDPYSHATTAPAIPHVSSFVCFTLGTDIVPDILFFQLGFKLLRVLDVFFLHFDYFPVQILKLIHLRYLALYVTYELPASVSQLRNLQTLVIHGPWLCQESGGRPTLLLEYWSMPSLRHVHVTVACHLKNPFTVQDNLPRPFASEHLQTLYTIQFSSCTKEVFSVMPHLKKLGICETKEDYSIDSFSQVLNNLVYLQELETLECSFHTQNREVRRILGLALLPVTLKHLSLSWSYLPWKYMTSIAMLPNLEVLELKNYAFQGPKWGPTEEGFRSLKHLLIENMALIHWEATIFRHFRCLQHLVLKSCKLLEKFPFGVENLQRLEVHYCSGPIENSAKEIQEEIEGIDVIIRSDRFQHSA >CDP00811 pep chromosome:AUK_PRJEB4211_v1:11:25562361:25565528:-1 gene:GSCOC_T00032904001 transcript:CDP00811 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMVKRNTNKISLILIYAVLEWTLIVLLLLNSLFSYLIIKFAEYVGLKPPCLWCSRVDHVFETAKNKNMHRDLLCEAHAAEISQLGYCSNHQKLVQSQDMCEDCLDGGDDDTVVLENAGEVNLKCSCCGVESDSNKYSSYILIKSSSWDVLEYSDEKTSLITEVKHEGHHLEEEGSDLLEKNRSDFNTDQCENGPAFGNKDENQMLSEFCDGFIIMEEEAENLSVSLPISELKETEAAVEKEEELIVKENEKITMKDKSVQVSLEEDASVKILPHHLEFFFDYTGNKLVPVDMIDSATEEDQMTYTNKDEDQTHDDIQETKLETEVNCKDDIEMVVENKCRELGSEAAMSSYRSEEEPKYAILESVEMEEDENSWVFQAVESHSPRDVYEQFEVTTPSPKTDDFPAMLAAEEEDKHIDFPPAFEEVSQVQVNETDAEVSIGTEIPDLDATDDVQLQDIISSYECTPKDPSSSLAIMQVDSDHGTEEALASTIELHTSSVDLSELTMSNQSSFCPDLNGIEEDKVPDTPTSVDSLHTLHKKLLLLEKKDSGTEDSLDGSVISEFECGDGVMTSERLKTALKAERKALQALYAELEEERSASAVATNQTMAMINRLQEEKAQMQMEALQYQRMMEEQSEYDQEALQLLNELMVKREKEKQELEKELEICRRKVMEYETKERMRLLRKSKDGSARSGFSSTSCSNAEDSDELSIDLNQEAKEDDSYYSHHECSNHHNTPVDAVLNLEESLADFEEERLSILEQLKVLEAKLVTLDNEDEQRFEDVRPLEHLHEENGVSGHVDGEVNGHVNGYSKEMINGKHHHERRITPIKGRSLLPIFDAISDENGDKSRSGRQERGH >CDP00608 pep chromosome:AUK_PRJEB4211_v1:11:27709578:27712960:1 gene:GSCOC_T00032600001 transcript:CDP00608 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRFPSFLNTIQRNYRQKTKERTGSSSATTRRNTVMEDDDAFEDAVSDSEGTPLSAIADAFEELSRLLKSRGPNFDLDLKPFCDACSLVSVLFGSLGIAFKFAEMEYVSKVQDLEDASEDYGTLNNILDYDVEMDTVKSAGSLSRNLRRVRQGLDLIRALFQNFLSIDECSLKEAAQTAYTKVCAPYHTWAIRTAVSAGMCALPTREQLLERLNETEESAEREMRRYINASHPVIEYIDKLYISRNISLDW >CDP07927 pep chromosome:AUK_PRJEB4211_v1:11:12070989:12075358:-1 gene:GSCOC_T00025442001 transcript:CDP07927 gene_biotype:protein_coding transcript_biotype:protein_coding MAGECTETTPLATTSSIHNWWPQHHLHGSSVSSWSTNNYPNWQYSELNNNSESSGDESVSISTSFTNASNHSGLTVESSRIVLVDGASAHEFVGETVPDGHLWNHVLIGGGNNGDLPNSENFGEQLLNDLPPKSISNGMFDPACDYAKKIDNSWLSSSMGPFNFEKQLNTPKLSNFVGNWSIPSQDEHMNQQFEPRQSDIGTLNSMIKEPFSDLGPGKRSMDRIMGSISCFGHDMKMVEEYGRSFDSYKVGNPNALGSTILGDKSKYSLELPNIRPCSNSRSLTEALSSVGHLSRPVLDTNLSKPILKTLDLSGFKKQVFQTSPFPTAISTISTLARNNRRTQALLSGGKKKRSEENPETVMKKAKQEGSTISTTKLQVPKAKLADKITALQQIVSPFGKTDTASVLWEAIGYIRFLQEQIQLLSSPYLKGNSSKDLWGGLDRNGSGEAKLDLKNRGLCLVPISCTPQACRENAGSDYLTPMYRGCLYR >CDP00455 pep chromosome:AUK_PRJEB4211_v1:11:29041286:29045194:1 gene:GSCOC_T00032397001 transcript:CDP00455 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSKLYTMQEAAQHNTSEDCWVVIDGKVYDVSSYLNEHPGGDDVLIAATGKDATDEFEDAGHSKSARELMEKFCIGELDESSLAIPELEIVRAKQAADTSKKIVEFTKRYWVVPVAVAGISAVVGFLYLRKK >CDP00429 pep chromosome:AUK_PRJEB4211_v1:11:29209639:29210607:-1 gene:GSCOC_T00032367001 transcript:CDP00429 gene_biotype:protein_coding transcript_biotype:protein_coding MREVRIRPSLSAVSIFFALLIRVGDYGSVWKLFRDMIQRGPCPNIFVYNVMILGFCRKWCVRTGESLLFLTRKYGCEPDVIAHNLLISAYCVRGWTSHALNWAHFMAEYGCEPSTATFVTFINALCKKGNIVEARKIFEEMQEMGVSPGTVTYNALMDGYVKAREIGEANMLYEEMRNMRVAPDGITFNILAAGNYKYGREDGNRFLRELSMMALIPNCSISEMSISGLCWAGRLDEALHLLKTMLEKGIPVSIIAINSLICAYSRAGLHEKAFEVYNIMTKFGLTPSASTSTSLLIGLTKVGKLQIGLDIFTGKMHQNGRT >CDP00682 pep chromosome:AUK_PRJEB4211_v1:11:26965821:26968328:-1 gene:GSCOC_T00032709001 transcript:CDP00682 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGRKIGRRGKGRWISARNRWRGGLGKDTLEKIVFLKRLEMISFPRPPMPVISWGPSLSQTCSFTSQVALHVFCNDYMLLEFFFRDQ >CDP05535 pep chromosome:AUK_PRJEB4211_v1:11:22565134:22568658:-1 gene:GSCOC_T00020643001 transcript:CDP05535 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSSFLLFILLISANPCVRAYNQGGNGIAEEFEDVKRSDFPAGFLFGASTSSYQIEGAILEDGKSFSDWDVFVRKIGNIKNGDTGDIATDHYHRYMEDIEIIHSLGMDAYRFSISWPRILPNGKSGGVNAAGIMFYNSIIDNLLLRGIQPFVTIYHWDMPQVLSDKYGGWLSPLIQDDFLHFAETCFKNFGDRVRYWVTINEPNTVAEFAFERGVSPPGHCSPPFGNCSAGNSDTEPLIAMHNMLLAHAKAFKLYREQFLASQPKQGGVIGIVLHSFMFEPLTDDEHNKEAADRALAFNLAWALDPLVFGDYPPEMRRYHGNELPKFTSEERLLIRDSIDFIGLNHYSTLYAKDCIHSSCSCSGSACLPGGDRAIRGFVSTSAENGGVLIGEPTGMPRFSVVPRGMEEIVDYAVNRYNNKPIFITENGYSSPLQQDQLDDLQHDVKRIEFHQAYLASLARAMRNGADVRGYFVWTLMDNFEWSFGYDVKFGLYSVDRATLNRNPRSSAKWYRNFLRNISSNGMKPRTAFSLWSKVGRGEEE >CDP16262 pep chromosome:AUK_PRJEB4211_v1:11:24514026:24517082:-1 gene:GSCOC_T00018028001 transcript:CDP16262 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPIPDNWKQLWEQWELQTFIVISLSLQTFLILFVPLRKRASTGFLIMAVWSAYLLAEHAATFVIGQISNSQRNSPPQNDHDDNADLLAFWAPFLLVHLGGPDTITAFALEDNELWLRHLYGLVFHSVEVFYIFYQSIENKLWIPTVLMFVAGIIKYSERTRSLYLASLDRFTDSMLPEPDPGPDYAKLMNEYYSKKEAKLPTRIQMIGEPCRRNKASRVKEGQLTNLEVVLYAHQFFRTFRGLFADFIFSFRERSQSRDFFLNRTAEDAFRVVEVELNFYYDVFFTKVSVLYTNLGCIGRFVSVVCTVVALGLFHSERKKRNFEGFNVEITYTLLYGAIALDVIAIIMLIFSDSAVVKMNEFRRRLAFKKQSGTAQAASQPSAAAGGASTQSPFRNFFRRLWHFFRRRWSETVSTCNLISYCLHPRLELKEKFFGYIGLGNILDTMKYVKTEPFSTELRDLIFTELKTKSKIADDLDTAKDISSARGDWILRVEGFGELLPYISQMDYDESLLLWHIATELCYSDEIAKASSPNKHRHLSKLLSDYMLYLLVMQPTMMSAVTGIGQIRFRDTCAEVKRFRGKLANKKEPNFTQTEDDHAKLCKEILDVKTEVKPVAIKGDRSKSVLFDGCILAKKLRDLKTSEAGIEVDKWEIMSKVWVELLSYAAIRCRAYVHAQQLSKGGELFTIVWLLMAHFGLGDQFQASEGHHARAKLIVGK >CDP18992 pep chromosome:AUK_PRJEB4211_v1:11:31556288:31559368:1 gene:GSCOC_T00001039001 transcript:CDP18992 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSKFLNVGLLLLATLLVAKLVSALIIPRSNKRLPPAVKGWPLFGGLFRFLRGPVDLLREEYPKLGSVFTLKLLSKNITFFIGPEVSAHFFKAPESDLSQQEVYQFNVPTFGPGVVFDVDYSIRQEQFRFFTESLRVTKLKGYVDQMVFETQDYFSKWGDSGEVDLKYELEHLIILTASRCLLGEEVRNKLFDDVSALFHDLDNGMLPISVIYPYLPIPAHHRRDKARKKLAAIFASIIASRRQSGKTQNDMLQCFMDSKYKDGRPTTEAEVTGLLIAALFAGQHTSSITSTWTGAYLLCNKKYMSQVLDEQKNLMKKHGDKVDHDILSDMEVLYRCIKEALRLHPPLVMLLRSSHTDFSVTTKDGKEYDIPKGHIVATSPAFANRLPHVYKDPDTYDPDRFAPGRDEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELISPFPEIDWNAMVVGVKGKVMVRYKRRQIPVD >CDP13302 pep chromosome:AUK_PRJEB4211_v1:11:32650051:32654136:1 gene:GSCOC_T00038193001 transcript:CDP13302 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTCFPLRWESTGDQWWFASPIDWAAANGHYDLVRELLHLDTNLLIKLTSLRRIRRLETVWDDEENFDDVAKCRSQVARQLLLECETKKGQNSLIRAGYGGWLLYTAASAGDVGFVKELLGRDPFLVFGEGEYGVADILYAAARSKNSEVFRLLLDYSLSRRDSVNGGQEVEVQPQEVSSVLKWEMMNRAVHAAARGGNVEMLRELLVDCSDVLAYRDAQGSTVLHTASGRGQVELVKSLLVSYDMIKSTDNQGNTALNVAAYRGHLAVVEVLVSSSPSTSSMKNNYGDTFLHMAVAGFRSPGFRRLDRQIELMTQLVRGKVVANIEDIINVRNNDGRTALHMAVIENIQSNLVELLMSAPGINLNIRDADGNTPLDLLKQRPQSASAEILIKRLISAGGISNCQDHRTRNALVSHLKMRGIGGSPGTSFRIPDAEIFLYTGNENASDAGTDIASTEYDMRSGELSYCGSAAGSNSYKTKSGSVNSAARHLKLLLYFPRRKARKTEENANLEENASVESYRISSPEVKPIPLRQRFSKTTSSLSTNKRIVAMPNSLPSPSTKKKFAAGLMHGVIQVKRHLSFAAPSSPFSESSWSSPISTDGERELNRGNGRSSRLQSSFNMKMMNQYLCFGAQGLAADDSTSSTQQGETRKNPVH >CDP17998 pep chromosome:AUK_PRJEB4211_v1:11:16875741:16879414:-1 gene:GSCOC_T00001334001 transcript:CDP17998 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTFGILCEMIRDTGGLKATRNMSIEEIVAMFVYVLAHHKKSRTICGLFWRSRETVSRQFNLCLLAILKLHTILLKKPEPITEDCTDERWKCFKNCLGALDGTLIDVTPPTEQKSRYRTRKGSIATNVLGVCCYYLVDAGYCNADGFLAPYRGQRYHLNEFNVMKKMENDEIVRGRGKNKCFWTGEEVKVLIESLQELACDPMFHAIVEMCKESGCSWNDAEKKISYEKQWYDDWCKTHKDAKGLWDVKFPYLGDLEIVYGRDRATGNVAEDFTQTVQDMEAVQNLEEGDEGLDAMSNSDNDKVEEDEVNSMEQSTQPSSTSTRNSKKQKKQSPPIANVSKKMKSASTTRGDLDASLQLLTSKFGDFVEGIQANFTTIAAAMSNEDKREQLVSDRRDQVVAELMKLALPSGDVMNAADILSEQISKLHVFYNLPAEMKRQYVINLLYPPSTR >CDP00192 pep chromosome:AUK_PRJEB4211_v1:11:31034430:31045008:-1 gene:GSCOC_T00032061001 transcript:CDP00192 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSQLCWRFALLLRRLPPPPSGLHFRTFLLRHCSSLHSPPLPMGTASNDSGPSVPKDEAYLATAINKRIDLFKSIQDREKLQRLSLSPDPIRIVLPDGSVKEGKKWNTTPFDVAKEISKSLASNALIAKVDGALWDMHRPLEGDCELKLFTFDSDEGRDTFWHSSAHILGQSLEQTYGCRLCIGPCTTRGEGFYYDAFYGDLGLNEDHFKRIDDGAKKAVSEKQPFERIEVTRDEALQMFSDNQFKVEIINDLPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFSCLKASSAYWRGNKDRESLQRVYGISFLDQKRLKQYKDDLEEAKKYDHRELAKKQELFFFHPLSPGSCFFLPRGARVCNKLLEFIRNEYWKRGYEEVWSPNMYNMQLWETSGHAANYRDNMFLFEIEKQQFGLKPMNCPGHCLIFDHRVRSYRELPLRLADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQIKDEVKGVLDFISHAYNIFGFTFDLKLSTRPEKYLGDLETWEKAEAALKEALNEFGKPWQINEGDGAFYGPKIDISVNDAMRRQFQCATLQLDFQLPSRFNLSYTAEDENKRERPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSEKSQPYALKLRDQIHDAGYYVDVDATDRTIQKKVREAQVAQYNYILVVGEEEAKTGQVSVRVRDKASHSVKSVEELLSDLKNEVAAFR >CDP04877 pep chromosome:AUK_PRJEB4211_v1:11:3041643:3043926:-1 gene:GSCOC_T00019670001 transcript:CDP04877 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNDCYIFVHTCISCHYLLFAYPFFLSNLIWSFGGKWVANYLDFNKNYDASVFETTIRLVVGGLLSVYDLSGDKDTPSGIPYNVISLAHGNPHNPGWTSVDSILADSGIEQLEFIALSQRTGNPKYRQKVENVILFLSRTFPADGLLPIYVNPQRGTTSYSTITSKAMGDRYNLFNIFYEYLLKVWIQGNKTASVNHYNREMWETSMKGLSSLVKRTTPSSFAYICEKIGNSLTDKFVFQNYVLMDDLACFAPGILVLGSSGYASDESQKFLSLAEEVNTVFKRFIISCSV >CDP16964 pep chromosome:AUK_PRJEB4211_v1:11:17563392:17570965:1 gene:GSCOC_T00004785001 transcript:CDP16964 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQVQQFTNFSTRSATDSSSSLLKAKKWDALVIGGGHNGLTAAAYLAKSGLSVAVLERRHLIGGAAVTEELIPGFKFSRCSYLQSLLRPSVIKELELKRHGLKLLKRNPSSFTPCLDGRYLLLGPDKELNHAEISKFSKKDAEAYPRFEHQLENFCKFMDPLIDSSPPETLQRASSMKTHMKDRLDKSAFWAHCMRRAVSMGQREMVDFMDLLLSPASKVLNNWFETEVLKATLATDAVIGTTASVHTPGTGYVLLHHIMGETDGDRGIWSYVEGGMGSVSLAVGSAAQEAGATIVTKAEVSKLLIGDSGRVDGVLLPDGTEVQSSVVLSNATPYKTFMELVPEHVLPDDFLQAIKCSDYSSATTKINLAVERVPQFQCCKINHPNAGPQHMGTIHIGSESMEEVDSACQEAVNGFPSKRPIIEMTIPSVLDKTISPHGKHIINLFIQYTPYKPLDGSWEDPAYRESFAQRCFSLIDDYAPGFSSSILGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSNYRTPVQGLYLCGSGAHPGGGVMGAAGRNAAGTVIQDWK >CDP00208 pep chromosome:AUK_PRJEB4211_v1:11:30918472:30922587:-1 gene:GSCOC_T00032082001 transcript:CDP00208 gene_biotype:protein_coding transcript_biotype:protein_coding MECAGKGSRSPCSGPPTRRCGRCQAVAYCSISHQVAHRSVHKVECERLEQQMKRADILNDFPFSFTREATVQVRERCETRCSFLMKRGVHCSGMWMYECRCGALTNSQCPTRPIEGWSLSGSLCPCKGPPSPIPKQITCWKDYYEWRCIPLYSPVALLLHWPLTLYWANQLVMSRSLDDIVGKKFCIHYLGPEKELQQLGAFGELIALFPGAGVRIDFVGPAIPQERDGERIDICSYAQCTDRDCECKSDMHFAKPLSDRSCSVTLQLHAGCYHDVYTELMKDSFPDLIIAPNAGIAAYKSWLPTIELIKEIKVPAVFSDFCEEASLLATRCVSSITGSLPSIPIQLNPFRQPLAIEDSALLLPCYSNCFLFGI >CDP00784 pep chromosome:AUK_PRJEB4211_v1:11:25871339:25880272:-1 gene:GSCOC_T00032864001 transcript:CDP00784 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKVRIPSSGHSSPSPPQSPLSRSPRLRRKQGRFTPGQPGPKTAAQRIAWLILSLLLRRQGIFLFAPLLYISGMLFYMGTVSFDVVPIINHRRAPGSVYRSPQLYAKLKPEMDSDNSSADAISSIWKHSYKVGEWRPCINVSSGGLPESNGYIYIEANGGLNQQRGSICNAVAVAGYLNATLVIPHFHFHSIWRDASKFGEIFDEDYFIKTLENDVRVVDKVPGYIMERFDYNMTNVYNFRIKAWSSIQFYRDTVLPKLLEEKIIRISPFANRLSFDAPSAVQRLRCLANYQALRFSNPILTMGEALVARMKEHSVNNGGKYISVHLRFEEDMVAFSCCVYDGGESEKRDMDAARERGWKGKFTKPGRVISPGAIRVNGKCPLTPLEVGLMLRGMGFDKNTAIFLASGKIYDSERYMAPLLEMFPLLQTKEMLASEEELAPFQNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRFLYGGHSRTVKPDKRKLAVIFDNPNIGWRSFKRQMLSMRAHSDSKGIELKRPNDSPYSFPCPDCMCRQNRTEDSKLSSVT >CDP16257 pep chromosome:AUK_PRJEB4211_v1:11:24569366:24570868:-1 gene:GSCOC_T00018022001 transcript:CDP16257 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSTASLDQSSSSMNCGNGNFSYSKNSTLQRVGSMKANTIIEEVIADRLIIEKFSTSSNTVRIADLGCSVGPNTYFAMQHIIGAIEKKCESKGLSPSQFPEFQVFFNDQTTNDFNTLFTCLPPEKKYFVAGVPGSFHGQLFPSSSMNIVYSSFSFHWLSQVPKEVQMKDSPSWNKGRIFYASASDEVAQAYAAQFARDFDSILIARGKEIISGGIMFTFMLALQDGCHPSKHILSFFLDAAGSILMDMAHEGLITAAQVDSFNMPLYLGTPKEITGLIERNGWFSIERLKFLDHKPGVDEPIEPSKHTAHLRAVIQDFIGAHFGAEIIDELFDRLHTNIAAHRDLHLSLSKAGVLLFVALRRK >CDP05407 pep chromosome:AUK_PRJEB4211_v1:11:19916605:19919124:-1 gene:GSCOC_T00020445001 transcript:CDP05407 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTRLCLDCIFHDFSWKKYFRFYSTNLSLTTTLFNKYVDRTSVYSWNSIIAELARNGDSVEALRAFLSMRKHSLKPNRSTFPCAIKSCSALCDLTSGKQAHQQALIFGYNADIFVSSALVDMYAKCGDLDDARKAFDEIPHRNVVSWTSMITGYVQNERAHDALLLFKELLLGVGNTLIDAYAKCGNVGFSRKVFDGMEEKDVVSWNSLIAVYAQLGFSTEAIQAFRSMVSDTDVDHNAMTLSTVLLACAHSGALQLGKCIHDQVIKMRLEDNIYVGTSIIDMYCKCGRVGMARKAFNRMKGRNVKSWTAMIAGYGMHGQAREALDVFYEMNQMGVKPNYVTFVSVLAACSHAGFLNEGWFWFRAMKDRFCIDPGVEHYSCMVDLLGRAGFLSRAYDLIREMKTPPDFVVWGSLLAACRIHKNVELGEIAARKLFELDPNNCGYYVSLSNIYAEAGRWDDVKRMRIYMQSHGLAKPPGFSLVELKGRARVFLVGDREHPEHEKTYAYLEELYVKLQEAGYVADTASVLHDVENEEKGMTLQVHSEKLAVAFGILNSVPGATIQVIKNLRICMDCHTTIRLISKIVEREIVVRDSKRFHHFRNGLCSCGDYW >CDP16267 pep chromosome:AUK_PRJEB4211_v1:11:24351483:24361135:1 gene:GSCOC_T00018042001 transcript:CDP16267 gene_biotype:protein_coding transcript_biotype:protein_coding MATMESLIGLVNNIQRACTVLGDHGGGSEGMSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKIEGQSEYAEFLHAPKKRFNDFAAVRKEIADETDRITGKTKQISNVPIHLSVYSPQVVDLTLIDLPGLTKVAVEGQPDSIVQDIEMMVRSYVEKPNCIILAISPANQDIATSDAIKLAREVDPTGERTFGVLTKLDLMDQGTNALDVLEGRAYRLVHPWVGIVNRSQADINKNVDMSNARRKEQEYFQSSPEYGHLAHKMGSEYLAKLLSKHLETVIRQRIPSIITLINKAIDELNAELDRIGRPVGVDGGAQLYTILELCRAFDRIFKEHLDGGRPGGDRIYAVFDHQLPAAMKKLPLDRHLSLSNVKKVICEADGYQPHLIAPEQGYRRLIDGSLGYFKGPAEASIDAVHFVLKELVRKSIAETQELKRFPSLQSDIAAAANDALERFRDESRKAVLRLVEMESTYLTVEFFRKLQMEPEKNPNNTNPPNPNSNQNVDRYTDNHLRRIGSNVSAYVNMVCETLKLTIPKAVVYCQVLQAKRALLNHFYAQIGRREKAQLGKMLDEDPSLMERREALSRRLELYKSARDEIDSVAWK >CDP00624 pep chromosome:AUK_PRJEB4211_v1:11:27487594:27491833:1 gene:GSCOC_T00032623001 transcript:CDP00624 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L15, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25920) UniProtKB/Swiss-Prot;Acc:P25873] MAALLSLSSPTPTRTSSAVHHHPSSPFKGNVRNFKANPSFVFPSSVRITDKTGTKQRAPLIVLNKAYADSSSSSIQAASGISSFRFRLNNLGPQPGSTKKAKRKGRGHSAGQGGSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLRGIAGGMHAGLPKYVPVNLKDIEDAGFQEGEEVSLESLKKKGLINPSGRERRLPLKILGDGELSVKLSFKARAFSASAKGKLEAAGCSLMVLPGRKKWVKPSVAKNLARAAEYFAKKNAAAAESADPSS >CDP13393 pep chromosome:AUK_PRJEB4211_v1:11:33444197:33445875:-1 gene:GSCOC_T00038316001 transcript:CDP13393 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPNKGTITASIERSLRNCSLNHHQSSSSDTASAGIIGGPAGFVAAGGSSTSDSTINLDFPDNALELHSDIRLPYYWEQCLDLKSGEVYYINWRTGMKSQEDPRTNPEMQHVRNGGLGLSWSEEEEDEDDSWCESEGSSTEESSPSTSSRQQQCRRRYSNSNSNSNSSPSGATPRHRHLHLTAETNIHHDKPQVLVVAGCKSCFMYFMLPKQVQDCPKCFGQLLHFDRSEDASP >CDP05570 pep chromosome:AUK_PRJEB4211_v1:11:23108379:23112988:1 gene:GSCOC_T00020692001 transcript:CDP05570 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGGSKMKTILQSEALQKYILNTSTYPREHEQLKELREETARKYGARAIIGVPPDEGLFLSMFLKVMNAKKTLEIGVFTGYSLLTTALALPDDGQIVAIDPDQGAFEVGLKFIKKAGVENKIKFIKSDGISALNDLLNNEASEATFDFAFVDADKPSYIQYHEQLIKLVKIGGIIAYDNTLYGGYVVSEEIEMHERSTVNRKAIIQFNNYIASDPRVEISQVPIGDGVTLCRRIIA >CDP00770 pep chromosome:AUK_PRJEB4211_v1:11:25994665:25995981:1 gene:GSCOC_T00032846001 transcript:CDP00770 gene_biotype:protein_coding transcript_biotype:protein_coding MESVIPLHGHNLLKLLLFLTILHHVPHSTTSLDLLPKEALPTKSGYLEVNSTTGSALFYTYYEAQKPTTPLSQTPLLIWLQGGPGCSSMLGNFYELGPWLVTSNVSVKHNPGAWNRIFGVLFIDNPIGTGFSIAASPQEIPRNQHDVAKHLFIAIKKFIKLDDMFKTRPIYVTGESYAGKYVPALGYYILKKNAILPVSSRVNLAGVAIGNGLTDPATQTATYAVNAYYSGFINDKQKKILENLQKKAKLSHVVVLNAGHLVPADQPLNSQAMIEDWVLDRGLFAD >CDP04853 pep chromosome:AUK_PRJEB4211_v1:11:4617890:4621098:-1 gene:GSCOC_T00019610001 transcript:CDP04853 gene_biotype:protein_coding transcript_biotype:protein_coding MFTISGSVIIKLISPKRRTSPSLCRRCFILNFPVLEVFKSYQCRWLNVKMLKILAPLLASFQMVRSFLRCTPGQSEDCEIEICGDNLSYFNFDGFSLENIVLSHPSKVANVCLNVNSMERFIQRGKIGSRALLLLGGLSSVVRCLELSEYVIEALAHAEPPCSLPIFDGLTSLKVSSTWSNTLCTGPLMELVNSAPALEKLIFTTLIDDVNYEESNSLPTNFSSNLKVVEFRLFKGRASEIQLVKFLLQNLLILEQLVITKFLGDTKVEVENQLLMLPRSLSRVSIVFL >CDP05505 pep chromosome:AUK_PRJEB4211_v1:11:21959847:21963080:1 gene:GSCOC_T00020585001 transcript:CDP05505 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAIIYWCIPVLNKESQPVNSAEEPSNSASIHKLDRSSSVNYILGFPWLKEIDDTKQSHAVALMLAERLIKREDWSQYVRTEDKDLEGSQFGISSEKKNRMPDPLIQATRLGIIEVVHEILSVYPEALYTFDGKGRNILQIAVEEKKWFLYDYLMTSGTNMDRMLSAIDHEGNSIIHLAAHLESPPSTPPGVFQQMMWAVLWFKRVQYDSYPYLWELKNSDGKTARQVFETNHASLRENAERTVRELANAVLIVSTLIGTINFAAIFTVPGGFDQKTGEAIFLSNRHWEFGLLMFYLAGGLFSALFTMGTLLVIIFMRFETEDFYLSLPFYYVTDMISIFYSAVFTIVACCQALIVQKVVITDYTPLVVFFFIYGLIALVLMETSYVIFDYKYYLIRYCLCYRGLES >CDP16986 pep chromosome:AUK_PRJEB4211_v1:11:18161283:18162563:-1 gene:GSCOC_T00004822001 transcript:CDP16986 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLSPSEDKYLNDLLQLARPFLRGELENVDKNLPYLVSVLRSVGAGECWHKHGSFLEHLFDIYRILKLWKAPDAVCLCGLFHSAYSNSYVNLAIFDPSTGRDVVRSHVQEAVERLIHMFCIVPRHPLIHDDLLFHYDDQELDEHLKFSEISLRNAKEKGLFNEEESWRVKLRSVLPADGVVVKHIRTGEEVPVSRRLVAVFLLMTMADFSDQLFGFQDVLFENSNGRLEFSGNNFYGLWPGNGKPGLWLNSISRMGALYTLIAREEEIFLEQRRRNNGGVIVLADDRDEELELVIPPVFENCTRVLDAEEQKIGRELYWEAVFEGDKIGLERAEELLIRAIEKNPFVGEPHVVLGQIYLSKGRFEEGEKEAQKGLRMILEWGSPWDKRMSWEAWVAWARLLVIKGKEKSWPNTSWGIIELGLIK >CDP00171 pep chromosome:AUK_PRJEB4211_v1:11:31227231:31233776:1 gene:GSCOC_T00032032001 transcript:CDP00171 gene_biotype:protein_coding transcript_biotype:protein_coding METQLPSLSSSRQKRGLSSKESPFQSLGSPLLNVDNVPLSNGYKRERRRSARLQASNSPKRIKETVVLVDEELEVEETAAQTNKLNQCKNTRIYYPSRDDPVSVETICYSDLDCLAPQAYLSSTIMNFYIRYLQVEGLTSKATESESCNYHFFNTYFYEKLKEALGEKDIENSFAKLRRWWKRIYIFEKAYVLIPIHENLHWSLVIICIPDVEDRSGPIMLHLDSLGLHSSRSIFSNIKSFLIEEWKFLRKREVPLDLPIADTVWENLSNRIDERIVQVPQQGNDYDCGLFVLFFMKRFIEEAPDRLKKKDLARFGKKWFNPEEASSLRQSIRSLLKEKFKNANDEEQLLDLGL >CDP05424 pep chromosome:AUK_PRJEB4211_v1:11:20138297:20139260:1 gene:GSCOC_T00020463001 transcript:CDP05424 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNVISIVTLLVYLVASHAIFQQASAAGECGRTPINGAATSLSPCLGAARNARARVTPICCGKVNALIRTAPRCLCAVLLSPLAGKAGINPAVAITIPKRCNIRNRPAGKKCGPYTVP >CDP16250 pep chromosome:AUK_PRJEB4211_v1:11:24631243:24638111:1 gene:GSCOC_T00018013001 transcript:CDP16250 gene_biotype:protein_coding transcript_biotype:protein_coding MASACKEAVPVPEQFPVGLKVLVVDDDIICLRILEQMLRKCMYMVTTCSQATVALNLLRERKGFFDIVLSDVHMPDMDGFKLLELVGLEMDLPVIMMSGDDRTSLVMRGIRHGACDYLIKPIRTEELKNIWQHVVRKRCNVGKEHEHSGGPEDNDRPKNGIDDAEYTSSFNEGAAEKSLKRRKDTKEEDDTEIENDDPTTSKKPRVVWSVELHQQFVSAVNHLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQQQAGLPSSFCGALEPNPKVGSLGRFDIQALAASGNIPPQTLAALHAEFLNRPTGNLVLPGMDQTNLLQASLPVSKCIALDQNVAYGQPLMKCPSNMSKQFSQTVTSADNTHSGPGAWPSKNLVNVGNCDSFAGLTAQNGNVMLSVMQRQQQQHRQQQEQPQKHSTLQEPSRLINVQPSCLVVPSHSSANFHTGNGLASVNQSCSLSGTSIIDYSILSPQSNISSLNSGRMGDAEIKPASMLGGLSGAGSISPTLSSGSVNADNGVGIHVQNSASRFGPARQLSAIVPNMSALQDSYDTSGQMFEHGMLKSTAFVGRGTSIPSRFAVDEAGWLVGNLNQEKMYGENNANNVKQEPNMNLVENAKVGAPMLRRLSPADVMSVFSD >CDP00713 pep chromosome:AUK_PRJEB4211_v1:11:26589911:26594518:-1 gene:GSCOC_T00032770001 transcript:CDP00713 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYDSSPVAPLIPSQGRSHCIDREVSEQGCYRGEIIEEVKRQLGLALPLIAVSILQYCLQIISIMFVGHLGELPLSSASMATSFASVTGFNVLLGMGSALETLCGQAYGAKQYRMLGIYTQRGMIVLLVLSIFLALIWFYTDHILIVLGQDQEISIEAGKFNRSMIPGLFAYALLQCINRFLQTQNIVFPMMMSSGITALFHVLLCWFLVFKTGFGNSGAALANGISYWVNVFLLTAYIKFSPACSSTWTGFSKEALLDIISFIKLAIPSAIMICLEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGAAISTRVSNELGAGNPQGARLALGVVVIVALTEGAIVGTTTILVRHIWGKLYSNEEEVVRYVAKMLPLLALSDFFDGFQCVLSGAARGCGWQNLCAFINLGAYYIVGIPSAVLLAFFFHIGGMGLWMGIICGLSVQVVALVTVNLCTDWDNEARMAVNRIQSCSIPEE >CDP18995 pep chromosome:AUK_PRJEB4211_v1:11:31531223:31536892:1 gene:GSCOC_T00001044001 transcript:CDP18995 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPELQPRSFRPYIPTSVSAPSFNGVGGDAYPSPNSASSVYTAAGTSSSRSSRSSASRSLLKGSRFSPSTFAHNARIALALIPCAAFLLDLGGTPVLATLTLGLMIAYILDSLNFKPGSFFAVWFSLIAAQIAFFFSSSLLLSTFNSVSLGLLASFACALTNFLIGVWVSLQFKWIQIEYPTIVLALERLLFACVPILASSIFAWATVSAVGMVNAAYYLMAFNCVFYWIFSIPRVSSFKMKQEVGYHGGEVPDESLILGQLDSCLHTLNLLFFPLLFHIASHYSILFSSAASICDLFLLFFVPFLFQLYASTRGALWWLTKNDRQLHSIRLVNGAIALLVVVLCLEVRVVFHSFGRYIQVPPPFNYLLVTISMLGGAAAAGAYALGMVSDAFGSTVFTSLSVLVSAAGAIVVGFPVLFIPLPSVAGFYLARFFTKKSLSSYFAFVVLGSLMVTWFVMHNYWDLNIWMAGMSLKSFCKLIVGSVILAMAVPGLALLPPKLHVLMEACLVSHALLLCYVENRFFNYSNVYYYGMEDDVMYPSYMVMMTTFFGLALVRRLSVDNRIGPKAVWILACLYCSKLAMLVMASKAVLWVSAVLLLAVSPPLLLYKDKSRTASKMKPWQAYAHGGVVALAVWFCRETIFEALQWWNGRPPSDGLLLGTCILLVGLACVPIVALHFSHAMSAKRCLLLVVATGALFILMQPPIPMSWTYSSDIIKAARQSSDDISIYGFIASKPSWPAWLLIAAILLTLAAIASILPIKYIVELRTFYSIAVGIALGVYISAEYFLQTAMLHLLIVITMVCTSVFVVFTHFPSASSTKLLPWVFALLVALFPVTYLLEGQVRNNKSLLGDGGVGDLEVEDNKLATLLAVEGARTSLLGLYAAIFMLIALEIKFELASLLREKVTERGGLRHSQSGQNGTASVPPRLRFMQMRRASAVPTFTIKRMAAEGAWMPAVGNVATIMCFAICLILNVNLTGGSNRAIFFLAPILLLLNQDSDFVAGFGDKQRYFPVTVAISGYLVLTALYGIWEDVWHGNPGWSLEIGGHDWFFGVKNLALLILTFPSHILFNRFVWSYTRRNDSTPLLTIPLNIPSIIITDVLKVKILGLLGIIYSLAQYLISRQQHITGLKYI >CDP05543 pep chromosome:AUK_PRJEB4211_v1:11:22698393:22707378:-1 gene:GSCOC_T00020653001 transcript:CDP05543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLLEN DEFECTIVE IN GUIDANCE 1 [Source:Projected from Arabidopsis thaliana (AT1G67960) UniProtKB/Swiss-Prot;Acc:F4HVJ3] MPLKSSQRKLSFSTLTTLEAQSDDVTSIPRSISDPSASSSTVGDGAVLDHKKRKKRKKIKKSGNDKVADRDGEAIDRGRGFLLDKYSCTVVETYPKVVPEAEEPERRGRDCSVRTVHHSPHLRQRNVSFVAEEVTSGVTSLGSEVEGNCNGDKHNGGEIESKSFKEDEAESKLQEQQQQKPTVEMNGRKLEKEESLDWKKLMAEDPNQAYPLEKSPMKYFLEEMYAGNSLRSTITPGNEKDRERVYDTIFRLPWRCELLIDVGFFVCFDSFLSLLTIMPARITMMLYRLLKTRQFKRLSAAELSDIGCFIVLAIGVSLLQLADISWIYHMIRGQGTIKLYVVYNVLEIFDRLCQSFGGDVMQTLFNTADGLANCSVESMQEWQWRFIKDEALAVGASNILVSRAAYENFFVDLSSQGITLSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKDNIHNLTYFDAVERFHISAFLLFVLAQNILEAEGHWFKSFLYNAFVVFICEVMIDVIKHSFIAKFNDIKPESFSEFLEDLCRQTLKIQTETGKRSLVFVPLAPACLVIRVLRPVYAAHLPFSPFLWRLFWILLLAAMTFVMLSSLKLLVGMGLQKHAWWYIRRCDRRRKLHKD >CDP04878 pep chromosome:AUK_PRJEB4211_v1:11:2993784:2998701:-1 gene:GSCOC_T00019673001 transcript:CDP04878 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYRYNLGPALQELQDQLQSVRLQQHQHGSLRLLARVKRMIVQDSSGVGDDVLYDALAALIDRLQMEVPFLLAFEQYVSYWAKLSFRPLLNEVGIALSEIAKDIRLAREKNYISKSPSRLMDFLRHSQQRVERMKPEIGEANRLLLTSKFELLSHLPDYPFWIDSVFTLESNLKACLDTIDIDLHAPLLAFQVKLRSFGTFLQCVVTGFRPLAELEDLKVVATMWAPDAESFMKQFLRNHILDSLIKHVASVAIRLANLSCLHWFKKMDTDEKRRTIMAILNLQQEIDLSTPEFLEVHLKLLRAVNEIQPGQTTTHKITGFLNYLLNGEGTLAVADELKYVIQLAITQPENPSHEVETFLTESKAVIREALSNSLREFPRSTKARSAKIRLIKEKIWLLKAEHFLMGSLYANTIYISYWYRKAGITSLDNELKNLSSFSIDLRGKKTILCKQNLAVIKNVLRELQSIFEFFFAKEITEERMRESVFLLLLKILLFKAEFFLSEMLNGNSNLHSLTNERIQSIHEGLKLHMAFVAYKSEENSDYMEPILKNTEAMARRIIYLYHTVITNNITEELIKRVDLMLSELLDQNKLNKVKLRKLYSQVQESYFPKTNGLGCIDFLLTNLKMLQIHKSKSVAAVKNQIERIQRDMEFLGSFLNGMVKNNTQHQELKLLCECITEVAYKVEYVIDSIEVGIGDRSQHLSWLDSLLGEIGHIKMEAAKSYWEKTWDDIPHNVARSSSHMISQVSAPELDEVVVSLNDQQEVIIDRLIKGSLQQDMVSLVGMPVIGKTTLAKKLYNDSRITYHFHIRAWCCISQVYSKRQVLLDILSNISGLTDNIHQMTDEDLDQELYQQLKGRRGGESLLFESNPNNFQTLLFGSLRGDKVKIFINILGGQNNIIKIFLLKNFFYNNWRGGKLPYNLKACFLYFGVFLEDKDISVRKLIRLWISEGFIKKSLEDLGEDYLMDLVGRSLVLVSKRRSIGGVKTCRVHDMLHDLCLARSKEEMFLQPITKHNDVDFDHYYPSKPLIYERHRLCICLERRHFIKSKPSGPRTRSLLFSAITDRYPRCPYDITFIFQNFKLLRVLDLESINMGMFFPVGIDLLVQLRYLAVSGDLDSIPPSIASLWKLETFLVKGLKGMIVLPGIIWSMRMLRHVHVNSSAVFDLQDDPLESSLVLDNLVTLSTPALSGGKETLKIMRRFPNLHRLRCIIFEYPNSPMGCNQFPQFGILNQLESLNISGRALNQGELSFPLNLKKLTLSKLRLPWKHMSAIGRLQNLEVLKLLSNAFEGRRWDMKEGEFLKLKFLKLDSLDVVEWNATCDHLPNLQQLLLRHCKELEAVPFSFGEIPTINGINGRDCGRGYGKDCGRYYGKDYGRLYGRGYGKDCGRYYGKDYGRLWQRLWLKSVYISYLELKISYNSCILGQSIKCI >CDP00510 pep chromosome:AUK_PRJEB4211_v1:11:28559680:28562724:-1 gene:GSCOC_T00032471001 transcript:CDP00510 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating [Source:Projected from Arabidopsis thaliana (AT3G02360) UniProtKB/TrEMBL;Acc:A0A178VK18] MATPTRIGLCGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKLEGNLPVFGFHDPEAFVQSIQKPRVIIILVKAGSPVDQTIKTLSAYMEKGDCIIDGGNEWYENTERRAKAVNEKGLLYLGMGVSGGEEGARHGPSLMPGGSFEGFKYIEDILLKVAAQVPDSGPCVTYVGEGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGKLSNDELQQVFSDWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIAASLDSRFLSGLKEERTEAAKVFKSSGVSDILTDQNVDKKKLIDDVRQALYASKICSYAQGMNLIRAKSTEKGWGLRLGELARIWKGGCIIRAIFLDRIKKAYDRNPELANLLVDPEFAKEVVERQSAWRRIVCLAINSGISTPGMSSSLAYFDTYRRERLPANLVQAQRDYFGAHTYERIDVPGSFHTEWFKIAKQSKI >CDP13251 pep chromosome:AUK_PRJEB4211_v1:11:32307278:32312950:-1 gene:GSCOC_T00038131001 transcript:CDP13251 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDEEQDAVLSDVEEDDPVSIDVKSTAPEEISVEKFKELLVELDRERQAREAAENSKSELQVSFNRLKVLAHEAIRKRDESSKQRDEALREKEEALKTVEEVTSELVEANKGKDEVFKQLEDVSKAKDSSRSEIETAASMLVSGIEKISGKVSNFKNFTAGGLPKSHKYSGLPAVAYGVIKRTNEIVEELLRQIELTTKSRNETREQMEQRNYEIAIEVSQLEATISRLREEVLRKSSEVESLERSVVEKDDKLVEMQREWAEKHSVMEREELGLRNLVTEYDDKLRSMESKMGLQRSLLIEQLNYVTKIHAEISNVVKIVDANKYSELSESLFLAKETDLEENIKASLAGMESIYELSRFVVERTRDLIQEKNGEVKSRDEMVSQLVREKEQIGSLLRSTLSRRTSVDLSSRTNEMFKVAENGLKAAGIDYKFSNHLGEGKVPAPSDGVIPVDAEEDEVYALAGALENIIKQSQVEIIELKHSMDDLRAESNLLKEQVESQTKELNHWKQRVDELEEKERVANSNVEGLMLDISAAEEEITRWKVAAQQEADAGKAVEQEYTSQLLAIRQELEEAKQAVIEAEKKLKFKEETAAAAMAARDAAEKSLKLADIRATRLRDRVEELTRQLEELDTRETSRSGLSRPRYMCWPWEWLGLDFVGFHRAEIQQENTNEMELSEPLL >CDP07950 pep chromosome:AUK_PRJEB4211_v1:11:11383353:11385242:1 gene:GSCOC_T00025485001 transcript:CDP07950 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAFGSKIKGTIPSEIGNISSLQSINMDNNEFTGSIPSTIGKLTHLDRIYLEHNRLQGSIRAELCQMMMLGDLHLNENFLSCPTPCCLGEITSLRRVCLHLNNLMSTIPLSFWNLNDLLSLNLSSNSLSGDIPSQIQNLSVIIELDLSWNQLSGDILSSFSAAQSLVFLLEYLDMSHNDFSGTIPESLVKLGGLNYFNVSFNRLEEEIPTGGPFANFTAQSFSQNFALCGLARLDLPPFKTKSPSHSGSRNILKYILPPIVFAILMVAVVTFLLVRKRRSTEISSEISLFQQWYWRRVSYEEILEATDSFSSSNLLGTGSFGSVFKGTLLDGSKVAVKVFHLQFMDLTKSFDAECEVLASIRHRNLIKILSCCVNRDFRALVLEYMPNGSLEKWLHSENYFLDVVQRFKIIVDVAFALEYLHHDHAPDVVHCDLKPSNILLDEDMVAHVCDFSVSKIFGNGETMIQTNTLATIGYMAPEYGEKGIVSTSGDVYSFGIILLETFTGKKPTDDIFGEELNLKQWVSKSIEANSVMKVVDRNLIKKDPNFCLMEQCLLSILRVGLLCLSDLPHNFN >CDP13182 pep chromosome:AUK_PRJEB4211_v1:11:31815650:31820195:-1 gene:GSCOC_T00038043001 transcript:CDP13182 gene_biotype:protein_coding transcript_biotype:protein_coding MFEENNGNSSLPVFIDESRVQFPATAANQLQLFGNLSYGFNADPVNYFGNEHNATLLRPNKRSREAEAIASQQKLHISLNNNIYHDEVDRKARIPNQNPVSTGLRLSYDDEERNSSVTSASGSMTAASSIIWSAGDSIRTELDRQKEELDQYIKAQEEYLAKGVRDMKKRHMASFLSAIEKGVSKKLQEKDIELENINRKNRELAERMKQVATEAQQWCYRAKYNESVVNILKANLQQALQGAADQGKEGFGDSDNDDAASCIDPNYHLSVPGGSGKSTAAKGKMICRTCKAKEVSVLLMPCRHLCLCKDCEGLVNVCPVCQLITTASVQVYLS >CDP00696 pep chromosome:AUK_PRJEB4211_v1:11:26826217:26826926:1 gene:GSCOC_T00032735001 transcript:CDP00696 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLEVLKLKYFAFHGPKWEPKTKGFCRLTHLLIENTDLVHWEATVHHFPRLQYLVLKSCKLLEEIPVDVKEIGTLQRIELHHCNKTTEILAREIQEQVEGIEVVIRSERNPDRA >CDP13243 pep chromosome:AUK_PRJEB4211_v1:11:32255435:32257371:-1 gene:GSCOC_T00038121001 transcript:CDP13243 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSCKDKHAKGWSVKANGDDLEKVCWKENSKSEHQASFCLYKEKLLLELDEETEDKRSHGKFRLLAIEGTDFCLGASASRKLSSKEFSRGSFSSCRLDANQMWELKHNGTLANGYSGLCASMKRNQANAGTGGTRSWLATGRRGEIYLAFFNLNSDKTVISTTISDIAKALPGGNLIHTSCTCTELWTGRNFGVVTSSISMVVQPHGSSLFTLNCT >CDP07929 pep chromosome:AUK_PRJEB4211_v1:11:11935705:11943664:-1 gene:GSCOC_T00025446001 transcript:CDP07929 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKRPQQQKQLSPGKLRTMILGTGAEKKRKQGGDEEEEEDEELESTSLSMRRSPDFEIHDSGAISYDNCKDVDVVTVIPEYTTLTAPASSVNLEITYDGRVKDHSFASSRIRSVDDSSFHYDSGTDSMSVSSSVFEFQKSDHRAPQRVPLAPFSKPAPSKWDDAQKWIASPTSGHPKNGQVNQGIGLRKFSQFGYGSRQSSTKVVVEVPDQRMVPYEEPDTKRIDSSQAKKETGVQKSVSWDSNQFASESYSKPVPMMENCIGMSAINLSRHDSSLSIHSATTFIPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRATTPARSPNSSRPSTPGRDAPAPSPIDPPNECLDPDRKEWSEKELQMKTRREIMVLGTQLGKMNIAAWAGKDDENKEASASVKTISTEQEAKSVIETRAAAWEEAEKAKYMARFKREEIKIQAWENHQKAKTEAEMRKTEAIERMRAKAQDKLMSKLATLQHKADEKHAVAEAKRNRCAAKTEQRAEYIRRTGHVPYSFSCCHWCF >CDP15248 pep chromosome:AUK_PRJEB4211_v1:11:18382131:18385507:-1 gene:GSCOC_T00042895001 transcript:CDP15248 gene_biotype:protein_coding transcript_biotype:protein_coding MELFIKFNIGSFTELSCRISLLALLCVMSFSSTDAYDALDPSGNITIKWDIMSWTSDGYIATLSILNFQKYRHIEAPGWKLGWTWAKSEVIWSMLGGQTVEQGDCSKFKGDIPHCCKKKPTVVDLLPAAPYTQRMANCCRGGVLSSWVQDPANALSSFQLTVGQAGTSNKTVRAPKDFTLKTPGPGYTCGPTQFVKPSKFKTPDRRRVTQALMSWQVSCTYSQFLAQKTPTCCVSLSSFYSETIVNCPACTCGCQNKVPHPAAGTCVGSVSSYLASALAIPSKINSYTPVVHCTSHMCPIRVHWHVKQNYKEYWRVKITITNFNYKMNYTQWNLVVQHPNFNHLTETFSFNYKPIIPYGLINDTAMLWGIKYYNDWLMQAGPDGFVQSELLFRKGKSFTFDKGWAFPQRIYFNGDVCVMPPPDQYPNLPNGSSSGIASLLGLLKLPILSLLLIFFSAP >CDP16867 pep chromosome:AUK_PRJEB4211_v1:11:346299:353452:1 gene:GSCOC_T00019438001 transcript:CDP16867 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSTPKVDAAPAAGATTPGKQLKKGKREAEESIEKEVVVKKQKKGAGIQEAIEKKKIEVKTEKKKVVSSDDESTSSEEEDLKKVATKRGIPAKVDVKDSSSEDESSDEERPAKAAATEKEPPLSATKNGTAKKGKQSIDSDSSDDSDSDEDEVPKTKALGAAKNAPAAPNKKKQQSSSESESDDESSDSDEDTGPTKKLPAKNGPVKAANKKDDSDSEDDSSTSEDEKPSAGAVKTPATVVKKQVLEDSSSSDDDVPATKKPAAIKAQPAGASKKKVESPDESDSDDETSDSDSDSDVKLQPAPHKAVSPKRPSPAAENKQVNKDSSEEDTSDEESEDEEPQKKKSKVVPTGVLKSSAKDGKTESSSEEESSDEEPPKANKPVQPKISSSDESSSEDEEETSEDEEEPTKTPQKKDIDVKMVDALPKKENSVKGGLSSERKEPKTPGTQSETCSTVFVGNLSYSVEQADVENFFKDCGEIVEIRFSMHPDGSFKGFGHIEFATAEAAQKAVNELNGQDLLGRTLKVDIARERGSNTPQSGKDRSSFQKGFGSQGPTIFVRGFESNVDENEIKGALREHFESCGEITRISLPRGDNGLKGFAYIGFSDNDAINKALEYDNSEFKESTLTVEEARPRGDGHDSAGGGRSGGRDGGGRFGGRRGGGRFGNSGGRFGNSGGRFGNGGGRFGGGGRGGGGRGRGTPNKTSMATVGTGKKTTFDD >CDP05583 pep chromosome:AUK_PRJEB4211_v1:11:23237620:23238251:-1 gene:GSCOC_T00020708001 transcript:CDP05583 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVTTGICSSQVQPFQLVWDFYQCQHHQFYTRPQGPVKVTSQIALVIHKRPSFTQL >CDP00752 pep chromosome:AUK_PRJEB4211_v1:11:26230551:26232314:1 gene:GSCOC_T00032822001 transcript:CDP00752 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPCCDKEDMNKGAWSQQEDQMLTDYIKKHGEGHWRNIPKAAGLLRCGKSCRLRWINYLRPDLKRGNFAEDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLRKKLKSMGIDPKHLRLSHYLARHRIHGFTAGETSSAIKNYQPSPHDQIKSSGIASDQHQVSDAGSCVDDDDSSTILPDLNVDIASDSCLPSGATTEEKKASTSLPSEDAVNEKTKDEQYLTSRQPEQPLSPTLPLFR >CDP05576 pep chromosome:AUK_PRJEB4211_v1:11:23189734:23190590:1 gene:GSCOC_T00020699001 transcript:CDP05576 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFTYTITGGGFMLIGAWELFVSSSECIQNTPLSPPLTSHRAISAINNSSTQTKKAPLSSSSVTCLSISVLFFLFILNSLISISDALNSKDHVSFAFQLEVIAIALLFSSTQLWVSERIKDPRGVENRYYDLFLVPIGICLFCTILELKSPKSNNARLGRGVGLVLQGMWIGKMGFSFLINPKKKRKKKPNTDVYICTS >CDP00553 pep chromosome:AUK_PRJEB4211_v1:11:28183481:28195476:1 gene:GSCOC_T00032527001 transcript:CDP00553 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MTDITDDIAEEISFQGFEDDYKLLQSLLNDVLQREVGHNFMEIVERTRTLAQSACNLRIAGIEDTAELLERQLAAELSKLTLEEALSLARTLSHQLNLMGIAETHHRLRRNRGVAQSSKSCDDTFNQLVQSGISADQLYDTVCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLEYNERQKELELEDKEMLIEDLAREITSIWLTDELRRHRPTPVDEARAGLNIVEQSLWKAVPHYLRRVSNSLKKQTGRPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTKDVSLLSRWMAIDLYVREVDNLRFELSMNQCSDRLSRLAQEILEKESSDEDRHESWNSSHNWNQVKHHGEHVPALPTQLPTGADLPSCTECNEVESHYPRLDVPGSEYKPLNRPDGEVFSVGGPIPDLNKTSQKIFGNGNLPPSSSSQASGVPRTPPFSSSQLLVQKKLFAESQIGRSSFQKLLEPSSSYRPGIAPYRIVLGDVKEKLLKTRKRLELLLEDLPCEYDPWDYYETSDQLLEPLLLCYESLQSCGSGVLADGRLADLVRRVATFGMVLMKLDLRQESGRHAEALDAITNYLDMGTYSEWDEVKKLEFLTRELKGKRPLVPPSIKVAPDVKEVLDTFRVAAELGSDSLGAYVISMAANASDVLAVELLQKDARLSVGGELGRPCPGGTLRVVPLFETVKDLREAGSVIRRLLSIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGSLRSTEQGEMVQAKFGLPQIAVRQLEIYTTAVLLATLRPPLPPREEKWRNLMEDISKLSCNSYRSTVYENPEFLAYFHEATPQAELQYLNIGSRPARRKSSAGIGHLRAIPWIFAWTQTRFVLPAWLGVGAGLKGVCERGHTDDLRAMYKEWPFFQSTVDLIEMVLGKADIPIAKHYDEILVSPSRQPLGAELREELIITERYVLLVTGHEKLSDNNRSLRRLIESRLPYLNPMNLLQVEILKRLRCDDDNNKLRDALLITINGIAAGMRNTG >CDP00679 pep chromosome:AUK_PRJEB4211_v1:11:26999985:27003409:-1 gene:GSCOC_T00032703001 transcript:CDP00679 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEPLFDYRRVQPFNVVIVDDDPLDAPPVGCKKKRKTADSAVEENDNKGKVVQVIDCDENEEEEQEEDWLPPPPKMTNDTSKLNEDSTIKELRLKRQELASLAQSAKDALKAVEESVRQEFAAAESMKSHFNASSQSPTRGVAEQPSDTCQDRAKIVITIQDKDGPKQFRVFMDDKFERLFKMYAEKVKLDLQNLVFCFDGDKISPTATPAGLEMEENDIIEVYVKRK >CDP04824 pep chromosome:AUK_PRJEB4211_v1:11:5158146:5161894:-1 gene:GSCOC_T00019559001 transcript:CDP04824 gene_biotype:protein_coding transcript_biotype:protein_coding MANPQARANLATQAQSQRNLKFCKVFDANKYFNKLHIPSAYASYMRGNLPDRAVLRDCDGNMWAVELAKVGSEWFFQEGWAKVVQDNLIEHQDFLVFLFDGEKVFDFTIIGNNLLEKEGVGSLKFQLEVEKGNGNGEKAQELNDMNGDNLIEEKEQPLNGVKRDFQKLTSPTSGSRKYRSEKNGQEGASASDGIKKKARHDLYGASIFRSGEHALPENPYFVTRIRTKRQNALVR >CDP13261 pep chromosome:AUK_PRJEB4211_v1:11:32375743:32377610:1 gene:GSCOC_T00038145001 transcript:CDP13261 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGKAFLFAVVLAVILVAAMSMEITERDLASEESLWDLYERWRSHHTVSRDLSEKRKRFNVFKANVHHIHKVNQKDKPYKLKLNSFADMTNHEFREFYSSKVKHYRMLHGSRANTGFMHGKTESLPASVDWRKQGAVTGVKNQGKCGSCWAFSTVVGVEGINKIKTGQLVSLSEQELVDCETDNEGCNGGLMENAYEFIKKSGGITTERLYPYKARDGSCDSSKMNAPAVTIDGHEMVPANDENALMKAVANQPVSVAIDASGSDMQFYSEGVYTGDSCGNELDHGVAVVGYGTALDGTKYWIVKNSWGTGWGEQGYIRMQRGVDAAEGGVCGIAMEASYPLKLSSHNPKPSPPKDDL >CDP04867 pep chromosome:AUK_PRJEB4211_v1:11:3804471:3805130:-1 gene:GSCOC_T00019647001 transcript:CDP04867 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYDGRKKMEKSVEEDEVEELLKAAQDDMLLKLGLNSHMTHSSSQFSSIDPDLDSRFLALKKPHQSKSNSKSISKSKLDDTAGTTQKNPEKVLQNIDESDDLFARFAALKSSLPSYNTSVRDGQVQQQQQLMDDDGAGEEDEVEKVIKWAIDAARLDPSPPSNSNDDAASDADDVSDDEVDDGHGVDAGKKSKGK >CDP19001 pep chromosome:AUK_PRJEB4211_v1:11:31479320:31482193:-1 gene:GSCOC_T00001052001 transcript:CDP19001 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGRWGTVAAVVAVMGGMRAVSKQMGIGLGWDNETAVRWFQNLSDRLGYWAIPAYVGVHTLTLALCLPYAVFFEAGASLLFGFVPAVLCVFSAKVLGASLSFWIGRLICRSSSSAVDWARRNKYFHMLSRGVEQDGWRFVLLARFSPIPSYVINYALAATEVGFIVDFLLPTVIGCLPMILQNTSIGSLASAAVASASGSKKSQVWSYVFPMLGILSSVLISLRIKKYSADVLVAETSADVAHAGLHDVNRAAGSTQRYSKRNNGKGPKAN >CDP16977 pep chromosome:AUK_PRJEB4211_v1:11:17840858:17841190:1 gene:GSCOC_T00004806001 transcript:CDP16977 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIDDCYSVETYLKCYDPCILPVNGKNEWEDVDVLVPLPPNYGRAPGRPKKQRRKSVDEIQQTKEQGKKVMRFGQICKCSLYGEQGHNTRTCKFRQKTGVAASQMSEQG >CDP00342 pep chromosome:AUK_PRJEB4211_v1:11:29899737:29905996:-1 gene:GSCOC_T00032250001 transcript:CDP00342 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWYAGVFFTSLVMLLVLGYYVMQNPLKESNLTSLTPILNSTNPLEWITAGAPVVVQNPENTSQVISADILVSDLFVGRNISDEEKQSLHTWNHLQHLVSHAQVLPNALEATKEAVVAWKNLLASVEDEKLHMNESSQRKGKEKQCPHYLSKMNATELDDNGFRLQVPCGLTQGSSITFIGIPHGLLGNFRIDLTGEPLPGEPDPPIILHYNVRLLGDQITEDPVIVQNTWTIAHDWGEEERCPSPNPEKNKKVDELDQCNQIVGKDDNHTTMGGKHLNVSTKTPMVQDGSKPKKYFPFKQGNPFVATLRVGSEGIQMTVDGKHITSFGFRETLEPWLVNEVRIAGNIKLISVLSSGLPTSEDLEHIVDIKDLKAPPLPPPRKLNLFIGVFSTTNNFKRRMAVRRTWMQYGPVRSGTVAVRFFVGLHKNQVVNEELWNEAKTYGDIQLMPFVDYYSLITWKTLAICVFGTQVVSAKFVMKTDDDAFVRVDEILASLNRINVSRGLLYGLINSDSHPHRSPDSKWYISPEEWPDDSYPPWAHGPGYVVSNDIAEAIYKRYRKGQLKMFKLEDVAMGIWISDMKKQGWEVKYETDERIFNVGCRDGYVIAHYQGPREMLCLWQKLQEAKRANCCGD >CDP18971 pep chromosome:AUK_PRJEB4211_v1:11:31685511:31690146:-1 gene:GSCOC_T00001010001 transcript:CDP18971 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKMNDIPATAYARRLNTESGTAATDGSSSPARHSRSTSVTAISNIKRNQHYAAKAAAQRLAQVMASQAAASPDNDDDDDDDHDQEDLHFRFTAHPPRSLPKTLNTSAVTNTNANTNASKAALNPPAAVPSTKINRSSSTELTRNIVEEGSSIRSASTGRPSMSIRTIPSVPPPKPSLKTPMPIPLIDPPTSKQREKRFSPDLGQLNLKDEGGQREASALHDELDMLKEENENLLEKLRVAEESCEEAEARVKELEKQVAALGEGVSLEAKLLSRKEAELRRREAALKEAKQAKDGTDMEILSLRSAAKNAKTEATSAADQLQEAESEVRALHSMTQRMVLTQNEMEEVVLKRCWLARYWGLAAKYGICADIAFGKFEYWSSLAPLPFEVVISAGQKAKEESWERG >CDP05556 pep chromosome:AUK_PRJEB4211_v1:11:22962956:22965887:1 gene:GSCOC_T00020671001 transcript:CDP05556 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRNPMRHPNFLDTTSSLLSLFLFITILVTSQIPLGCTGQAHSSNFTCIESERQALLQFRDSLIDESNRLSSWIGEDCCSWDGISCNKVIGHVVKLDLCNSEQLGFEFYRIRIPTFLGLLKDLRYLNLSNAGFVGEVPHHLGNLSHLRYLDIGMSENNLQGSIPSEIGQLRKLTRLYLFFNELNGTIPSSLWELTKLQTLEVDRNSLTGVLSEHHFVKLRELNSLYISCNFLSLHVSSSWVPPFQLRHITMDSIETGPQFPNWLRTQKEIEVLFMQNVSISDAIPSWFGVHFNYLKALDLSRNNLEGSLKSFATVADVDKEAIKIESLYLSYNRFTGSIPEDLCRLKTLMVLDLSNNHLSGSIPLCLGNLRHLVALSLSDNNLCGQIPSSLGNLEELGTLHLSGNKFDGKLPSSMQNLIRLQILDLGENGIKDIIPVWIGERLSDLKFLRLESNNFRGGIPDKFCQLLHLQVLNLAHNNLSGFIPHCFNNFTMMYSKNLLSVKSIILSANNLVGEIPDEIMDLVGLQIFNLSKNHLNGRIPQKIGNLKQLETLDLSMNELNGEIPPSLSGLNSLSSLNLSYNKLSGPIPSGNQLQTLTDPSIYEGNIGLCHSESDFLWFYAGLGPGFSVGVVGILGILQFKKTWRDAFFKFLENANDRIWVMITLKTARLRRNFHQVYVQKRLYSVFSYPAIRCHNTISWLSITT >CDP00616 pep chromosome:AUK_PRJEB4211_v1:11:27582337:27583981:-1 gene:GSCOC_T00032611001 transcript:CDP00616 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRSLEFSGSVKLKYVKLGCQYLVNHFLTFLLVPVIAGILIELIRLGPDEIATLWKSLQFDFIQILCSSFLIIFTSTLYFVSRPRPVYLVDYACYKPPVTCRVPFSCFMEHSRLILSTEPKSVEFQMRILERSGLGEETCLPPAIHYIPPAPSMEAARKEAEMVIFSAVDTLFKKTGLEAKDVDILIVNCSLFSPTPSLTAMVINKYKMRGDIKSFNLSGMGCSAGMISINLARDLLQSYPNSIAVVVSTEIITPNYYQGKERSMLLPNCLFRMGGAAIFLSNRRSDSIRAKYRLLHVVRTHKGADDKAYRCVFEEEDPEGKSGISLSKDLMAIAGEALKSNITTIGPLVLPASEQLLFLISLMGRKFFNPKWKPYIPDFKQAFDHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELSYIEAKGRMRKGNRVWQIAFGSGFKCNSAVWKCIKSIEIPTDGPWSDCIDRYPVHIPEVVKL >CDP16273 pep chromosome:AUK_PRJEB4211_v1:11:24142079:24145913:-1 gene:GSCOC_T00018048001 transcript:CDP16273 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFTPFIVLWFVFLTGRIDRGCHARAYSNVSCFENERKALLEFKKCLIDKSNRLISWIGEDCCSWEGVGCGKNTRHVVKLDLRNNVVFDRQISPSLVNLQHLHYLDLSSNYFAGIEIPTFIGSLKILRYLNFSNAGFSGAIPPQLGNLSALDYLDLGKKPGGFSDWISGYQLSTKSLWWITSLSSLKHLDLSGADLGEAQDWLQALNKLHFLSSLTLEYCRIYFFPHIAHLNFTSLTSLDLGGNEFNSTIPLWLFNLTSLVYLDLSGNSLFGPIVPHSLQHWTSLSYLDLSGNRFNTSPLDPLFTLNNLVHLDLASNQIQGPLPFSLGNLTSLSVLHMGDNKFEGPIPSAIGQLRELTELDLSDNGFNGTIPSSLWRLSELKSLDLSFNPLNGELRDIHFAKLTQLKVLRLSSTLLALNVSSSWVPPFQLRDIRMDSIKIGPKFPLWLQTQKTVEYLFMSNASISDTIPDWFERVCHGIKSLVFSNNYIRGKPPMCKGNYMILFSLDSNKFEGPLQLLPTDIAKFDNHFIGSIPDSLCSLQMLAILDLSNNQLSGRIPSCIGKIKTLGALLLANNNLYGHIPISLGHLNVLVSLHMNRNNFTGMVPFSLRYLKKLQYLDLGNNGLEGLIPSWIGDELSSLRILVLESNNFHGDISVSLCKLSSLQVLNLEDNNLTGHIPRCFNNFTAMTESNSVTYISVPVYIMPQVQAVYGYNEEISVLIKGENLKYTTTNVPYVRFMGLSKNKLSGEIPVELTSLVGLQGLDLSRNHLSGRIPENIGNLKQLESLDLSKNDLSGPIPQSLSNLNFLGWLNLSFNKLTGRIPSGRQLQTLDDPTIYMGNSGLCGEPLDRSCPDESDGKSNGRESGELEYSSRNLLRVTTISLSKNNLVGEIPDGIMDLVDLQTLNLSHNHLTGRIPEKIGNLKLLESLDLSMNELFGAIPDSLSALNWLNFLNFGLCGKPLPNDCLEHILPTENGHIHDDTGHGESNWSWFYACIEPGYAVGLLGVLGILQFKKSWRYAYFKFLENAYDKICIMIALKANQLRRNFH >CDP15271 pep chromosome:AUK_PRJEB4211_v1:11:19488296:19488850:-1 gene:GSCOC_T00042939001 transcript:CDP15271 gene_biotype:protein_coding transcript_biotype:protein_coding MELSWSHRSSYFPLLLFFLAFLGYYSSCGEATQDLIERVCSKSKDPSFCTKALESDPRSRTANLAGLCQISIDLSTTNAKSTQALVTSLGKKATDKISKEIYNTCLENYTNSISVLGDCTKRLQAGDYAGVNIKASAAQTEVDTCDECFKERKLPEPPTLTNACQKEQKLCNIILVTANMLQGN >CDP00556 pep chromosome:AUK_PRJEB4211_v1:11:28173306:28174325:-1 gene:GSCOC_T00032530001 transcript:CDP00556 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIMAKNPHESFSFSRRYFNFRKKVEDEDDEEEIFAFSSSSLGCEEELKGIDQDISLSMHTLPVKPPKKKLTSLAVSKLRAAFAFGNKNRSRFSGLGTKVVGTLFGYRRGHVHFAFQEDPKLSPAFLVELATPTSVLIKEMASGLVRIALECDRKTTEKKNVKPLLEEQIWRTYCNGRKCGYATRRECGAEEWKVLNSIGPISMGAGVLPGAGKGDGSEGELMYMRAKFERVVGSKDSEAFYMMNPDGHGGPELSIYLLRV >CDP00188 pep chromosome:AUK_PRJEB4211_v1:11:31074733:31078569:-1 gene:GSCOC_T00032056001 transcript:CDP00188 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNVTLSILAFLLLSGSFASASASASSSSPARIVNGFLSNAISMLMKWVKSLKATTKTAISGRPMMKFESGYTVETVFDGSKLGIEPYSLEVLPSGELLILDSANSNLYRISSSLSLYSRPKLVAGSAEGYSGHIDGRLREARLNHPKGLTLDDRGNIYIADTDNMAIRKISDSGITTIAGGKKARGGGHIDGPSEDAKFSNDFDVVYIGSSCSLLVIDRGNQAIREIHLHFDDCAYQYGSGFPLGIAVLVAAGFFGYMLALLQRRVGTIVSSQNKDESLLKPSSENPYQMPVKSSVRPPLIPTEDEEDKQEESFFGSLGRLLGQTGASALEILGGLFPWFQRKSWNYQSQPQEQQQKYSQGWPVQDSYEVPDEDEPPSIEARTPTPRKTYAFMSKDSENKMQQLRESHAFYSGWDGNFQQQPLQQMQKQQHRHQHHSSAPHTYFEQSSEKTNEIVFGAVQQDRRREAMVIRPLDPGNPVYDRRNIRSRSNSMGYGHGN >CDP05567 pep chromosome:AUK_PRJEB4211_v1:11:23091828:23096454:1 gene:GSCOC_T00020689001 transcript:CDP05567 gene_biotype:protein_coding transcript_biotype:protein_coding MKVATSTSHSIPKLACPDGENGLLISTKNLDQTINVDKSSMTMTVGSGMTLRELISKAAEVGLALPYAPYWWGLTVGGMLGTGAHGSSLWGLGSAVHDYIVQLRIVTPAGPEEGYAKVRILESDNPELNAAKVSLGVLGVISQVTLKLQPMFKRSITFLEMNDSSLGDQALSFGYQHEFADVSWHPSQKKVIYRVDDRVATNALGNGLNDFTGFRSTPSLVVAAVRSTEEIQESTNDANGICLNAATTIFTLKATAYGYTNDGIIFKGYPIVGYQNRLQASGSCLNGIQDALLTACPWDPRVKGLFFHQTTFSIGFSKVKGFIEDVQNLVNLVPKALCGIDIYNGILMRYVKASSAYLGKQEDGIDFDITYYRSKDPNKPRLYEDILEEIEQIAMFKYGGLPHWGKNRNVAFLGAVKEYRNAAEFMKVKENYDPNGLFSSEWTDQVLGLKNGITIDKDGCALEGLCICSQDNHCAPKLGYFCRPGKIYKDARVCTLSAKR >CDP05416 pep chromosome:AUK_PRJEB4211_v1:11:20062006:20062988:-1 gene:GSCOC_T00020455001 transcript:CDP05416 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVSKLFFPLILSLYIICVLPTYLSDLCPSLVELVLSCNNLSGNVPESFGACSILELFGISNDKFSGQLPVDSLVKMSNLKNLSLLFNNFIGSLFESLSKMVSLETLDMSSNNLSRVISSRICQDSRNNLKVLYLQNNLLTGSIPESLSNCSKLESLDLSCNYLTLYGEIPQELMYLQRLENLILDFNDLNGSTLANLSNCTNLNWISLSNN >CDP16312 pep chromosome:AUK_PRJEB4211_v1:11:23700649:23702462:-1 gene:GSCOC_T00018107001 transcript:CDP16312 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLIRLHFHDCFVQGCDGSILLDETPTIQSEKTARPNNNSTRGFEVIEAAKREVEKICPGVVSCADILSVAARDASVAVGGPTWQVKLGRRDSITASRSAAESNLPSPFANLRDLISNFKNKGLSARDMVALSGQAQCFVFRNRVYSNGTDIDAGFASTRRRQCPQAVGNGDSNLAPLDLVTPNSFDNNYFKNLMRKKGLLISDQALFSGGSTDSIVSEYSRNPRTFLSDFASAMVKMGDLEPLTGASGIIRKVCSAVS >CDP13374 pep chromosome:AUK_PRJEB4211_v1:11:33264549:33265606:1 gene:GSCOC_T00038286001 transcript:CDP13374 gene_biotype:protein_coding transcript_biotype:protein_coding MILTWSEDVPMDSIKAHKLQALKNYKRTQFLYNFMVYSLTAFLSTLFYLYLFWFPSMKHFFSIFFPNICSFFLSTKFLFIVGNVIVLFLVGQSKVAGGGSQYSSLAAEIYDEYVRFERSSRSLRERSMRLHEYKKKNKDGKVSDVRTSINGKCMSLDAMSTHVYLKEYEKENETQVETNNSGKAVAFEVDAVEGKLGRREEVKEEEEGDKQEQKPTQVLANDELNRRVEEFIARVNGQRRLEERQVLDRW >CDP14403 pep chromosome:AUK_PRJEB4211_v1:11:13103592:13105046:-1 gene:GSCOC_T00040791001 transcript:CDP14403 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTNLRSKDGDIIDCVYIYQQPAFDNPLLKDHWILQMRPNYQPELGFIENKFSNIKSTNNELEQKPISQLLQLANTKKKSYLGFSKINLCECHSESSICIIRIEDLTVGNKPTPLSMRNMLNLWVTGGSCDSDLNVIEAGWHVNFFPELYGDKRTNFFIYWIKNNFRSIGCYNLLCPGFA >CDP13174 pep chromosome:AUK_PRJEB4211_v1:11:31758963:31761452:-1 gene:GSCOC_T00038032001 transcript:CDP13174 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYVINYSKLRIWNFEEYSKMIYLDADIQVYDNIDHLFEAADGYFYAVMDCFCEKTWSNSPQYSIGYCQQCPDKVTWPAEMGSPPPLYFNAGMFVFEPSRLTYENLLETLQITPPTLFAEQDFLNMFFQTTYKPISLAYNLVLAMLWRHPENVELDEVKVVHYCAAGSKPWRYTGKEANMDREDIKMLVQKWWDVYNDASLDFKAEDPVPEEETFSRPSVMAFMPEPAISYVPAPSAA >CDP05446 pep chromosome:AUK_PRJEB4211_v1:11:20848821:20853119:-1 gene:GSCOC_T00020500001 transcript:CDP05446 gene_biotype:protein_coding transcript_biotype:protein_coding MEESAKFLLISVILMGILSEAKSDAFTHKYEKGDPVPLYANTVGPFHNPSETYPYYSLPFCPPDHWEEKKGTFGEVLNGDRLVSAPYKLDFRVDRESQVLCRKNLTREEVSQFRTVIAQDYYLQMYYDDLPMWAFIGKIANGSPNGSRYFIYTKMHFEIYYNGDHIIEINHRTDPSFETDLTEDKVIEVEFLYSVKWKETTAPFEERTLKYLHSAILPRHMSIHRSSISYSFWIVLILVGCLVALYVRVLRKDFNNDEELADNQEEAGWKVIHGDVFRYPKHKSFFVAAVGCGTQLFTVVLSIMTLGIMGVFRPYDRGVLRIALVFTYAVTNIISGFSAVSFYHQLEGSNWVRNLLLTGFLLGGPLFLAFCFLNAVATIYGSTAALPLGTIVVIFLLWLFLAFPLLLLGGIIGKITKSKFQAPCRTTQCPREIPALRWYRGVLPQMAFAGLLPFSVIYIELYYIFASVWGHRVYTLYGVLFICFILLVAITALVSIGFTYLQLAAEDHLWWWRSFLHGGSTALYVYAYCFFYYFERSDMSGLMQTSFLFGYMACICYGLFLLLGTVGFFASLLFVRYIYSSIKCD >CDP00255 pep chromosome:AUK_PRJEB4211_v1:11:30576444:30578309:1 gene:GSCOC_T00032137001 transcript:CDP00255 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEVLVLLIILMITKGECKASDGEKTCHPNDLKALIDFKSGIRHDDSFRLEKWIGRDCCKWDGIVLHLNSNNFSGSLPSSLGNLSSLGTMDLTSNHFSGSIPHSIGNLMNLVNLYLENNVLTGYIPKCIGNLQALQDLKLSNNLLTGGIPFSIGKLSSLRSILLDNNHLTGRIPSSFGQLKSLVTLSLQNNQLEGPVRSNLGQLQSLAELNLGGNRLSGKLPKTIGLLPLFTLSISNNMIEGPLPVEMSSLTNLSRLYLSFNPLNLSSIPTWLVDMPSINAIHLAGCGIEGEIPRYLQSAASRWAELDLSANHLTGSIPSWLGSFHLQHLNLSTNSLSSEIPATFISFEGLEELDLHSNKLSGSLNWLFQMRCSFPFGLSYVDISNNRFRGDIELIGKGSQQRITNLNLSNNLLKGELPKSIGELGWLWSLDLSYNQLNSVLPESLANASLLERLNLQQNKFTGTIPYEFLKFKHLTELNLSGNLLSGEIPQGKPLTGFPKSSYSGNRGLCGAPLPPCKRTQTSHP >CDP13190 pep chromosome:AUK_PRJEB4211_v1:11:31869600:31873911:1 gene:GSCOC_T00038051001 transcript:CDP13190 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKKIAFLDQFPCWTTSPRRRKVVSGFGLGLVASMFVLSVVSFNVSSFMAPLINPVLKGFNIFASRNYNTTSCILSWPVYFSRTTSCCNASSCNGTIITNNVEYFMVTNGTHDRNVSESRKFIYSGGKGEVLQNAHVGNLSEKVKNGNLSVEVEGGKAQDESLVINGSLDDKNGNFTNLGNFSNNVGNQNVTAGGPNAKEQTSYQNAVSVERPKSGGDEVPKGSILGNLTVNRENGVTRTGKEEKSPERNDRGISSRESNSIKGMMNSNSLNRNCNIYDGRWVRNDAKPYYPPGSCPYIDRDFDCYLNKRPDDNFLKWKWQPYNCDIPSLNATDFLERLRGQRLVFVGDSLNRNMWESLVCILRHSVSDKKSVHEISGKTEFKKKGFYAFRFEDYNCSVDFVSSPFLVRESTFNGKNGSFETLRLDLMDKSTSMYYDADVLVFNTAHWWTHEKTSKGEDYYQEGNHVHGRLKVLEAYKRALRTWARWVDKSIDKTRSQVVFRGYSVMHFSGGQWNSRGQCHKETEPILNETYLAKYPSKMRALEHLLHKMRTPVVYLNISRLTDFRKDGHPSIYRREYKTLKEQIAGELNQDCSHWCLPGVPDTWNELLYASLLKTGRGSWRN >CDP16272 pep chromosome:AUK_PRJEB4211_v1:11:24265811:24266768:1 gene:GSCOC_T00018047001 transcript:CDP16272 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNGAALLFGERLGCGFTFHFSHSSESSTETKTPHGRINPYMSSPCHIELILSEKEESVKKEPESQLATSKSRKA >CDP00426 pep chromosome:AUK_PRJEB4211_v1:11:29224383:29228524:1 gene:GSCOC_T00032362001 transcript:CDP00426 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMAIFSRSRTLMKILNHHNPHHDFTIFKSISTFPFLSQEAQLAEPPPPPSPSQTTASTTSSATSLPPNPASGSPLYNQNWRNPYPPSLSENSSSLMPVGIFNQRAGSRSQVLSQTLDVQGLMDLFADWMTSQRWNDMKQLFELWVMSLDNNGKPNRPDVSLYNHYLRANLMLGASAAQLLELVSQMDDFNITPNTASFNLVLKSMVQAREIDAAEKLLERMLQTGKEYKESLPDDESYDLVLGMLFATGQIDTAMKFMDVALDNGYMLAMSVFNECVLSCLQRWRLDSLMYIIQRCKKMEQNKALCPSWDLCNHIADVAMQANNSELVFYALEFMARWIRRGETERPQVLLSVDEGLLVSVLATAGRTYSSKLLDASWSFLKSSLRQKKIPNPESYLAKIYAYSSLGNLPKAFGTLRELEASYSSADNEALEDLFSPFTSLNPLVLACSNKGFATLDEVYYQLENLSQANPPYKSVAALNCVVLGCANIWDVDRAYQTFSAIDASFGLTPDIHSYNSLVCAFGKLNKRDEATKVFEHFVSLGVKANATTYSLLVDAHLVKRDSKAAISVIQDMVTAGYEPSKEMLKKIRRRCIREMDYESDEKVVSFARQFNIRMNNESRRNMLFNLDYSTEYA >CDP13345 pep chromosome:AUK_PRJEB4211_v1:11:32993779:32994636:-1 gene:GSCOC_T00038249001 transcript:CDP13345 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGNMSIKRHFSPEKRWLLGTTVVCLFGGALFLAAYVSIPETPLFCKSMPGFLAKGSYSGETLQRNAIVHYATSRVVPQQSLDEIRVSFDVLKAKSPCNFLVFGLGHDSLMWASLNPGGTTLFLEEDPKWVDTVLKDAPYLRAHTVKYRTKLSEADDLLKQYPSQPECSAEKPFLRGNTKCKLALNMLPWEVYNKEWDLIMIDAPRGYFAEAPGRMAAIYSAAVMARNRKGSGVTHVFLHDVDRKVEKVYAETFLCRKNRVKSVGRLWHFEIPPATDSNPQFC >CDP00597 pep chromosome:AUK_PRJEB4211_v1:11:27771355:27773877:1 gene:GSCOC_T00032587001 transcript:CDP00597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] METTEPRPPTNVSANATVSGDGNEDIPSLNTMPNHSSSPKTTAGPTASDPQPMDTSEPVNESQPTSKTVPQAAAASTADPAPPPPRKRRRRKKLFTDMISTSSAASGLRVLRPHPKPSTAYSYSYSETELVDEPDDGKPHILHRRRGGSGGSSSKISDLAKEVDIEALIAISVGFPVDSLTEEEIEANVVSQIGGAEQANYIVVRNHILARWRSNVSVWLTKDHALESIRAEHKALVNSAYYFLLHHGYINFGLAPAIKEMKLKPPEGAPKANVVVIGAGLSGLVAARQLIFLGFKVVVLEGRARPGGRVRTKKMRGDKDDIVAAADLGGSVLTGINGNPLGVLARQLGVPLHKVRDICPLYLPNGRTVNPDIDSRVEVSFNKLLDRVCKLRQAMLEEVRSVDVSLGTALETFRQVYRVAEDPQERMLLDWHLANLEYANASLMSKLSMSYWDQDDPYEMGGDHCFMPGGNETLIRVLAEDLPIFYNRAVDTVKYGIDGVLVHAGGQAYRGDMVLCTVPLGVLKKDVIQFVPDLPERKREAIERLGFGLLNKVAILFPYDFWGGDIDTFGHLTDDPNTRGEFFLFYSYSSVAGGPLLVALVAGDAALKFEMMSPVESVQKVLEILKSIFSPKGIAVPDPVQAVCTRWGQDRFSYGSYSYVAIGASGDDYDILAESVGDRLFFAGEATNKQYPATMHGAFLSGMREAANILRVAKRRSIVPPERSNSAALENGDIDRLFNAPDLTFGSFSVLFDPRSTDLESNAVLRIALKGEKSGTVGIYLYGLIPRKQVIELSKVAGDLDRLHMLTREFHVRLVGRKSLSNMAESLLTVIRSGRLSNLS >CDP16882 pep chromosome:AUK_PRJEB4211_v1:11:643346:645074:-1 gene:GSCOC_T00019459001 transcript:CDP16882 gene_biotype:protein_coding transcript_biotype:protein_coding MCICMCGRHMVNRSGKLENDAPQYNVNVVLTTPVVSLDEVQLQQILTLCDYLSTCPMREKYGRYRPWWSPLGEKHKGWQIAWWHYAQESVLSDVRKQLRRTSWKYLGERM >CDP13291 pep chromosome:AUK_PRJEB4211_v1:11:32580671:32582002:1 gene:GSCOC_T00038181001 transcript:CDP13291 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVQVISRYTIKPSSPTPDHLQHYPLSFLDQINPPVFMPLVLFYPSEQNHLITSTPGPDKLNQLKKSLSEALTRFYPLAGRLIGNTYVDCNDEGIPYVEARASCGLSDFLDNPIPGELNKFLPCDLDDVKDIGMVIQVTLFECGGVAVGVAISHKIADATSLFLFVTSWATISRRDSHDTRSPIYESAAIFPPRDVAGYNHALGMVKKDEIVTKRLVFTATKIASLREKYTTNSNTATGDEYHQIRPTRIEALSAFIWTRFLTATTGHKVNRDKMYMLNHAVNLRPRMHPPLSECYFGNLSRPAITIPSFDNDEQGYGIVNQVRDAIRNVGGDQYVTKLREGDKHLNFIRERAKLVNKGEVVSFSFTSLCRFPSYEADFGWGKPVWIGSASLTFKNLVVFLDTRSGDGIEAWINMKLEDMAKLEADKELLKYVSTSTDAKT >CDP18996 pep chromosome:AUK_PRJEB4211_v1:11:31520890:31522186:-1 gene:GSCOC_T00001045001 transcript:CDP18996 gene_biotype:protein_coding transcript_biotype:protein_coding MCYILLLERLILCSCFLLVFGFNHLKVLPNDVDLLNPPADLEKRKHKLKRLVPSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >CDP00216 pep chromosome:AUK_PRJEB4211_v1:11:30861712:30862707:-1 gene:GSCOC_T00032094001 transcript:CDP00216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT1G24110) UniProtKB/Swiss-Prot;Acc:O48677] MMLPLFLPLFLVSSITLIPTAQSKLSLNYYSNTCPAFLDVVQQIITDKQLAAPTTAAATLRLFFHDCMVGGCDASLLISSTSSNNAERDADDNRSLPGDGFDVIVRAKTALELRCPGIVSCADILAVATRDLVTMVGGPFYDVRLGRKDSFTSHAIDVKGHLATPNMSITEIINIFASRGLDVKDMVALSGAHTIGFSHCSQFSHRIFNFSKSSQFDPSLNPKFARELQKLCANYTKDEEMSAFNDVMTPGKFDNMYYSNLQKGLGVLVSDQALASDPRAKPFVDLYAANQTAFFRDFAHAMEKVSVFSVKTGKKGEVRHRCDASNNPQAS >CDP13171 pep chromosome:AUK_PRJEB4211_v1:11:31740715:31744806:-1 gene:GSCOC_T00038029001 transcript:CDP13171 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MAAGVFGQIDVHTVTINSPSTGVSFTHTVPHGAEISRFSGSPAPLDGYPESSINKPADCNSLKDVHISARLLEDFLDLAIENTKNSLETCGVLGACLEERNIYVTNLIVPKQESTPNSCQALNEEEIFAIQSQQSLVPIGWIHTHPSQSCFMSSVDLHTQYSYQVMVPEAVGIVLAPNDESRKYGVFRLSDPVGMSILKECKEKGFHSHAQPADGSSIYENCSNVYMNPNLRLEVCDLR >CDP05463 pep chromosome:AUK_PRJEB4211_v1:11:21057070:21059138:-1 gene:GSCOC_T00020521001 transcript:CDP05463 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPGVTLSSCEKPMPVIGMGTCSFPPADLETTKTAIIEAIKAGYRHFDTAFAYGSEKPLGEATTEALTLGLIMSRDELFITTKLWSSFAERDQIVPACKMSLQNLQLDYIDMYLIHLPVRLTGTVFSMPVEKDAIEPLDVKGVWEGMEECVKLGLTKGIGVSNFSCKKLEEVLAVATIPPAVNQVELNPTWQQKELREFCKAKGIEITAFSPLGANNTKWGDNRVMDSDVLAEIAKSRGKTVAQVALRWVYEQGVSLVAKSYNKERMKQNLQIFDWSLSEEELEKISQIPQRKGVTLASILGPHDLVLELAAEV >CDP04891 pep chromosome:AUK_PRJEB4211_v1:11:2786842:2788053:1 gene:GSCOC_T00019693001 transcript:CDP04891 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNPKDGHSLFQVAQKITPNAIMYLPRNVGLLEMEQLSWLSSPPLDIEIEENTVRGKLKAITIYFGDAAITQLYLPQTLSG >CDP04884 pep chromosome:AUK_PRJEB4211_v1:11:2876256:2880187:-1 gene:GSCOC_T00019681001 transcript:CDP04884 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPKPEALALTRFLVEAESTGIPVTLAFNKSELATEEMLFTWKSKLRSWGYEPIFCSVESKHGIDTLQFNLREQTSVIVGPSGVGKSSLINALRNNKQFVGVSEQDNWYYPILGSKWFEEQRVAEVSTRSGKGKHTTRHVSLLPLLGGGYLADTPGYNQPSLIKVTKQSLAQHFPEIRKMLKDMEPTKCSFSDCLHLGEPGCIVSGDWERYTYYLQLLDEIKIREQFQLRTIGTKKEGDVRFKVGGKGVKQAEPRLEPKKHRRQSRKSLNQSILDELDEYYEDDDENIAEDEDLILRAMRQENE >CDP00677 pep chromosome:AUK_PRJEB4211_v1:11:27020167:27021492:-1 gene:GSCOC_T00032700001 transcript:CDP00677 gene_biotype:protein_coding transcript_biotype:protein_coding MEFADESRLHNGNQAAGLYYPLGEFDAENVGIDRISEDSTRLKQLGYKQELGRGLSFLANFSVTFSILSVMTGITTLYNQGLSFGGPVAMVYGWPIVSLMTMIMGLSMAEICSAYPISAGLYFWSAILCGNGWSPFASWLTGWYVIQLPSVLFVFLFLCFRKIT >CDP00568 pep chromosome:AUK_PRJEB4211_v1:11:28086998:28088720:-1 gene:GSCOC_T00032548001 transcript:CDP00568 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMPLSPRLSLLLFACFLILTFLLDPTTSSSSSSTSQPPPAFDYLKLPLLHPNHHFPPTPSEVLLSDTHRLNSLHHHRHLHRKNSTSTAHLPLTSGASFGAGQYFVSLSLGTPPQPFLLVADTGSDLIWVTCSACRNCSSRPPNSAFLARHSTTFSPSHCYDSVCQLVPHPHRVPCNHTRRHSTCRYEYSYSDGSLSSGIFSRETTTFNTSSGKVVKFRDLAFGCGFRASGPSVTGPSFNGAQGVLGLGLGPISFPSQLGRKFGNKFSYCLMDYTLSPTPTSYLLIGGGGGPEDGVVGGAKMSYTPLINNSLSPTFYYIGIEAAYVGGIELRISPSVWAIDDLGNGGTVMDSGTTLTFLVKPAYDKVLQEFMRRVKLPKSDRRNPNFDFCVNVSGVSRPSLPRLRFKLAGGSMFSPPPQNYFIDTAENVKCLALQPVVQPSGFSLIGNVMQQGFMFEFDRDRWRLGFTRRGCAVS >CDP05433 pep chromosome:AUK_PRJEB4211_v1:11:20355214:20357670:1 gene:GSCOC_T00020477001 transcript:CDP05433 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWEFLSIKVALSFSSLLLLLETCMAGTPNVGRLNLGFQGRLLNYLDYGGLFLLSNNSAFALGFRPDQDVNKFQLVVIHKGSSTIIWSANRNNLIRGSDFFTIARNGDAYLQHGGSTIWSTDTANKGVVAMELLDSGNLVLVGNDSSIIWQSFSHPTDTLVSNQEFTEGMKLVSNPNSNNLSYSLEIKSGDMILSANYQPPQPYWAMGMDTRRIIDTDGGNVVSATLEGNWWKFYNQDKVLLWHFVISPNHDENTTWVAALENAGFITFSPLLADGNFSASLIAIPHDPCGRPEACDPYFVCERVGSCLCPSALHSCTKSSVSFCDRSQDSVELVDAGDILRNCFLFDQIGSLQHSKNGTQYASYIKVLTNASGGANQGGGGTHKSRYVIGIVVIISALLAIFGLHYAGYQYHQKKNKALPKSPEESSEEEIFGENLSGLPVRFRYNDLQIATNNFSKKLGRGGFGSVYQGILPDGTRLAVKKLEGIGQGKKEFRAEVSSIGSIHHLHLVRLKGFCAEGNDRLLVYEYMGNGSLDRWLFRKNRGEFMLDWETRYSIALGTAKGLAYLHEDCDMKIVHCDIKPENVLLDDHFVAKVSDFGLAKLMTREESNVFTTLRGTRGYLAPEWITNRAISEKSDVYSYGLVLLEIIGGRRTFDRSEPSEKSHFPSYAFKMMEEGKLEDIIDGSLKIDEKDDTVSTAIKVAFWCIQDKMFLRPSMTKVVQMLEGICPVPPPPRCSQLGSQRYAVFFRSMSNQGGGASPGPRMSNDDAHLLATSLSGPR >CDP05493 pep chromosome:AUK_PRJEB4211_v1:11:21601945:21608258:1 gene:GSCOC_T00020567001 transcript:CDP05493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MQQQQPSPAPPQTAAISAGAPSSDQQTAEAPPKQVALAMDRLAQAGRLIADIRIGADRLLEALFVAASEPHQQSSKPLQLIVKEEASMRQYLQDLRTIGKQLEDSGVLNESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDAASVESAKKKHCAPVVLEELSELRTLSDILTLVEKEVPNVKTSTYQRLEWLKRASLLPSSESSIESSKDHGFHSKFRQGSVSNVTADKVSVIELLFPSIFRAIISLHPSGSTVPDAVAFFSPDEGGSYMHARGFSAYNVFRHITEHASLALQYFVGINAETALYTLLHWVCSYRTTLTKVCSKCGRLLTMDQQSGLLLPPVIRPYRNFSAGKVSSKSSSSEDQSANPIEAFHVACYSEAA >CDP18561 pep chromosome:AUK_PRJEB4211_v1:11:1769798:1770466:-1 gene:GSCOC_T00004223001 transcript:CDP18561 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTILTRIRLALMNMLKYCYELGVKYVTIYAFNIDNFRRRPEEVQSTMRLILEKIEDLIEEESMVNQYGVRIYFLGSLKLLSKPVRLAAERAVVATSRNSKAVLSICLAYTSSDEILHAVQECCEEKWDEGSALESKGAGNGLLFLKGNKNDKSKPSIVVKDLEKNIYAAVAPDPDIIIQTSGETRLSNFLLWQSANCLLYAFGLGNLGFSKKFRLFEGEN >CDP07913 pep chromosome:AUK_PRJEB4211_v1:11:12458226:12461438:-1 gene:GSCOC_T00025419001 transcript:CDP07913 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLIKQLSTLLSQEITLLGGLKSDVQFIKDELGSIKTFLKEAEAKEDNDSQLQEWLKQVREVAYDTEDVLDDFTFRFARRYKDGFCGKVGKIYNSIKNLKARHQISLEIKDIKGRVGEISARHQRYQSLYGTQERGFSTSRQVNADFDIRAQSLFIEEARLVGIDKPKAELISKILDDHSQLKVVSVKGMGGLGKTTLVKKVYDDAAVKKQFQSHAWITVSQNFQFSDIIKNLIQQLYNEIRQPVPPEVESMDDIMQSEFVKDFLRERRYILVLDDVWSIDAWEAIKCVLPDCNTASRVALTTRIADVASASCLGSLNFVYKMEPLSDKESWTLFCNRTFQSNDCPPNLEEVAKKILKKCEGLPLAIVAIGGVLALKDKENTDEWEMILHGFGGEADGSGKLDRIKRVLLLSYNDLPHYLKSCLLYLSIYPEDYLIEVDDILLKWIALGFVEEKEGITSTDIAVRCMKELINRSIIQVKSKLNDGRLDACSLHDFVREIIVSKSKEQSFTTVATRYYARWPEKFRHLAIHNFTDNPQEFSGLKCLRSVAIFGYEDPLTTTFLSEFLHGDPKLLKVLDLHGAELDNIPKQVFKLFHLRYLNLRGTGVKIIPKSIGKLQNLEVIDLRGTNVTELPAEILNLRKLRSLLLGGYGDYSNEYAIWGCKCPLGIGKLICLEDLLRIEADSDKIVREIGKLMQLRRLAITKLRREDGKELLSSLLRLTNLRQLSISCIEEDETLDLQHSISPKLEFLTFLRLKGRLERVPQWVTSLQSLRILRLVNSRLREDENVIGSLGHLPNLISLSLYGAYEGETICFKVGGFQKLQRLQLGQLTRLKWVRVEEESMPSLRNLRLGNCKLMQELPSGIQNLTRLESLAFYEMSNELMHKVQNLDKRSEDYQTISHIPEVFIGHWIDGQWEWTFL >CDP00565 pep chromosome:AUK_PRJEB4211_v1:11:28102913:28103377:1 gene:GSCOC_T00032545001 transcript:CDP00565 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPMPIHVTNHENFCSLPLAIGLFISASVLVALCARHSKMLARESGNGTIENGTDSKLSPRSPLLLPKKTITNINNAADHPDGNNRGESEARGIFANPGEGFGEGGLWQKKILMGEKCQPPEFSGVLFYDSHGNRISELPRSPRAMHVGRYSS >CDP05398 pep chromosome:AUK_PRJEB4211_v1:11:19740803:19742305:1 gene:GSCOC_T00020423001 transcript:CDP05398 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSCTIKYALQYVRSLSILNAEGSESLDENMSAKKRRISVSTEEELGNSLERKDVADDFGEPGNSLSLVF >CDP00481 pep chromosome:AUK_PRJEB4211_v1:11:28813798:28817537:1 gene:GSCOC_T00032433001 transcript:CDP00481 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNPSSPLPLLFTSMLLESLKLHKSTISSTMPADSSSSSSEKGHPMNSAIHLMWKSGSSLSSSYVKNKTFPGFPANTAAHCSKMVQPDSLLPCRTCRKPLPVKGFPFQAFSFCLRSVKGIFKHPWTSCRCRSACTLSPPRILAMTVRHVSRYDPRRRRGIRIRYLFIKFIIVLLHRHQFVGILRYALTPAGRLLLKDEPFNVRAYVFMAADPVVLKPWFFLTEWYQNDDLSPLYTAHGNNFWHYAAREVPFGQMFNNEVMANDSEFCIEVLMTKCKFVFEGLATLADVGGGTGKFARAIAKNFPSIKCTVYDLPHAVANEGGDKNVDFVAGDMFESVPYANAILLKLILHDWSDEDCTKILKNCRKAIAEKHDGGKVIIIDIVMGSRIQDKASLQTQINTDMQMLVALGPAKERTEKEWAKLFMEAGFTSYKVYPILGTVRSLIEVYP >CDP04836 pep chromosome:AUK_PRJEB4211_v1:11:4960313:4961806:-1 gene:GSCOC_T00019574001 transcript:CDP04836 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISCCLNLPPPPSCIATSDQPLASKASHLAWPKNPKERSWKRKCLLGVATLLVGLEMSNLVVGEQEMALAWDLQQQEEMASKSVIKVQRWSDRRTCPSWHVNSLETIVPENLPRPSFRRRWENADFRRTESSRAAQLAAKVVTGPRSCFSL >CDP13288 pep chromosome:AUK_PRJEB4211_v1:11:32567189:32570381:-1 gene:GSCOC_T00038177001 transcript:CDP13288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HHL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67700) UniProtKB/Swiss-Prot;Acc:Q8LDL0] MEATMSLNPLIRLPISSSRNVEDSLVRHSLVSTRRPHKGQREKHLRLLIVEAKGKKGMAARQYQRTPPPPLPKIEDDGNPRFVIFIRMANVYLWYPINLVTGGTTAKIMVAAKDNFLGKYIYKDTLDRNLAAVIYRDEKEIQKMAMKQHRVLRSSTEFRYGYKLVENNNLRAALSSNDVIELPRQEELKTVLDKVKDFFGDAKESFGKLTSLNAVSESSKEDSKEQANKKSKVKS >CDP00776 pep chromosome:AUK_PRJEB4211_v1:11:25963149:25966393:1 gene:GSCOC_T00032852001 transcript:CDP00776 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKGAVMKLLNNQANTPLSETHKARVEERLTQFLSPLHSPEHPPYAWMIERALQELNERGGSTEESISKFIRKEYDDLPWAHHTMLKHHLVQLCESGCIVLTQDKRYLLASGNSDLKVKRKSRRKWMKRKRGWDWEKKRSKRKLAIDPHLEQRLEKPRYRGRGRPPKRKRGASSAKKSDLEQRKTTKYLGRGRPRKTDLLE >CDP16509 pep chromosome:AUK_PRJEB4211_v1:11:8425069:8425386:-1 gene:GSCOC_T00018499001 transcript:CDP16509 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDLENNKITEFIKFDVGNVVMVTGGKNRGRVGVIKNREKHKGSFETIHVQDATGHEFATRLGNVFIIGKGAKPWVSLPKGKCIKLSVIEEQRKRIAAQAATTA >CDP05519 pep chromosome:AUK_PRJEB4211_v1:11:22312612:22313462:1 gene:GSCOC_T00020614001 transcript:CDP05519 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFHISGNYKILKGRQQHKYLRRTMRVRQDIGPFISYHLLNQCHLLAPGVARGSGWQHLGAYVNLGAYYLVGIPVALILGFALHVGGMGLWSGLVAGATVQSLLLSIITGLTSWENQVIEARRRIYEGEEEKATPV >CDP04781 pep chromosome:AUK_PRJEB4211_v1:11:5916889:5918180:1 gene:GSCOC_T00019491001 transcript:CDP04781 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQYLNFQRRDSCQRCGDPRPGERGGDFMGRGNSSFGFSSGPDVRPGDWYCSFGTCGAHNFASRSSCFKCGALKDESSGGGFDGDHMPRQRGFGFGGGSSGGRSGWKSGDWICTRSGCNEHNFASRTECFRCSAPRESGSRSAY >CDP16510 pep chromosome:AUK_PRJEB4211_v1:11:8669801:8674989:-1 gene:GSCOC_T00018501001 transcript:CDP16510 gene_biotype:protein_coding transcript_biotype:protein_coding MECFGKLVKKKNRKKAVKSKNRTSTDVFDSLFLELDDCTSFTFFTERSSAITDQVGIVRIEAELLGMFQMYMANWNNADKQRGAGQGLGSLVLEIEAAVEEATSDVRVAHQSAGRYEKIEIAIAKLQQKLMFFRPQMEVAYEYVASNHSFESQHPLNNYENWENFCDTLLGVVRRQRDLLCRLCSQLRTMYDMVKCLHRYVEGMQGTCPNLQRDLLTHFGGVLVRAAHFSYVCWIHRMDEDKDQGMIIMLSDLVKAFKPNTPQVSELCLKLTTGYCSCHSSISSPHYLVKAYIYFLIPKEYYRYETLRRSLKDLIFFVHSICVSDLYRNDNVKLTLMEIKATIIELGSFGYSFHDTEETRDTDPAFFRLVEKMELLRAEVFLIRLLNQRGSLKFLEHQIDSFHNELKYFRDYQRNAPVAETKVPKLIWLHIKPVAGEADSVCRSFLAESITEDKFTDELLKLLEKIKLLKTEVLFEELLSKHPSLIVNVKLQIKSLDQGLVVSRTYLMGPLEENEKLILAQVESVARDAACFYYSLLENEITEDTVRKFSHLLPGLVEKMKDVNAMIKEIYLPHRRLSRSNFPKLEGLGCIDFFLVDLFEQLKSKADSILSVKHQFHVVHEEIKFLRSFLAYIEEQYNEHQELKSVASCIIQVTLEAEYLIDLFVAGDCLRWYHPLWLSDLVEDLRIIKFQATETCKNVQGINIHNVLSTSTRASSPAESPQIDEAVIDLADEKKLVIDRLVAGAGKLDVVSIVGMAGLGKTTLAWKVYNDPSITYHFHVQAWCCVSQAYQKRELLLQILGDTVELTDDLLEMSDADLEMKLYRCLKRNKYLIVMDDIWSIEACWELLQKKLFGTEGCPDELLELGKQISRSCGGLPLALVAISGLLKRTDMEPDWWKQVSENICSRIADDPETRCMDILNLSYTYLPDYLKRCFLYTGVFLEDKDIPVRKLTWLWAAEGFIANTQLGSKEDVAERYLRDLIGRSLVMASKRRSDGGVKTCRVHDMLRTLCLRRCEEENFLQWQNGYDELFPPTYEDLDYGVDPGYCLPANSTTYENRRVSIFSKRKHFVMSSPCGPCVRSLLYSATSDMRPWCPYDISSILNNFKLLRVLDVECINMGHAFPRGIEVMVRLRYLALSGDIDSIPESISELLNLEILLVKGLKGRVLLPRTIWSMAKLRHVHVINHAAFSLQDGIWKSSSQLHNLVSFSSPYLSCGERTENIMRRLPKLRKLRCTFSELSDDNEKCNQFPKLNFLTELVSLKLLYSGRIALPCKFDFPLNLRKLTLSKFHLPWSYISEIGKLPNLEVLKLLSKAFEGEVWEMKEGEFLNLKFLKLDSLNLAEWNASSDHLPQLQHLILRSCRQLKEVPSGFGEICTLEMIEVQLCTRSVGKSVRRLQTESLDMGNELKVLIDRSEMDF >CDP00528 pep chromosome:AUK_PRJEB4211_v1:11:28441012:28443563:-1 gene:GSCOC_T00032489001 transcript:CDP00528 gene_biotype:protein_coding transcript_biotype:protein_coding MYVARPLSEYTKNPDTLSLPPEGPNSGYLVIQDEESETYSCFGLCKNRTVRDLPFPQNKNLTVQYVQGSGESQDVSLDDAVFIPVLNLPLSSNRYYVIKPHGNHKGKAVTCSREEDKTTCCFCRCVKDIKPRPFDPKNIYQQFEVFVYEAACVGRGYFYAKSVAPDGFPPYFLRRKGWSVHTKTPKHYELDEAPGLNASLRARLPEFSVPPSYKSSEAVVVGKWYSPFLFIKDGIVKDQMKRSMFYQVTLEQKWEQVYSTQNSYHEAKSVSMDVTIDREAVYIAGNKAVWDEKNVVEGAIWFKSFGRAGEEATVGLSIELVQRMKWEQERAGWLGGNERQVRVERIEYSGEKGEWREFGCFVLVESFVFKRMDGSLLMTYDFKHPHQIKCTWD >CDP00750 pep chromosome:AUK_PRJEB4211_v1:11:26243290:26249524:-1 gene:GSCOC_T00032820001 transcript:CDP00750 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSSFPSPERGDTILKTKAAMTLLYPSRPFFSFGTSTSTAGHTLPLLRQSHRPSFPKCSKFSCSNSLHLPNNKFKRAYTSVMIVPTGVGAAIGGYAGDALPVARALSTVVDCLITHPNVLNAAMLYWPMSNVLYVEGYALDRFAEGLWALQPVHQNRVGLILDAGLEEDLRLRHLQVADATRASLGLPIVECMVTDTPLKVEIWIDPESGQSTGRIKHPDSLLRAVETLITRSRVTAVAVVARFPDDDIGDIDAYRQGKGIDILAGVEAIISHLVVKNFNIPCAHSPAVSPAPVSYPLCPKSAAEEIGYTFLPCVLAGLSGAPQYLVKTSEFLERGCITSRDVDSVILPINACGGDGARAFASNQKIKPLIIAVKENETVLSDTPTKLGIEAVTVSNYWEAIGVIAAHKAGVEPISLRKNRVKNIQCTSLESSNRYAALGVR >CDP04871 pep chromosome:AUK_PRJEB4211_v1:11:3702149:3704863:1 gene:GSCOC_T00019655001 transcript:CDP04871 gene_biotype:protein_coding transcript_biotype:protein_coding MMILKFIELFSLLNTFAKYPTDIVQFPKQSVCLFSLFTDENGQRQHVWQTSWAIRTLFVGGIIMTHGDDLGLMLPPRLAPVQVFKKVFYCNDRTGVLNATSSVKETLQAAGIKVKVDDFDQRTPGWKYNFWKIKVCLLLIEIGPRDVSSGTVVISRRDIPGKQGKDFGISMDSSLLVAYVKGLLDEIQSCLLERATSSIHEPFFFLWEL >CDP16982 pep chromosome:AUK_PRJEB4211_v1:11:18018871:18018945:-1 gene:GSCOC_T00004816001 transcript:CDP16982 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVDVDGNPIKPMTICMIGLSA >CDP07951 pep chromosome:AUK_PRJEB4211_v1:11:11322782:11324369:1 gene:GSCOC_T00025487001 transcript:CDP07951 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLGKILHSNGFSIIVAHTDFNSLNPLDHPEFVFLPLADGLSGYDVFWQHGTYPEVFGQVSCIIYDFIMYCGDAVANHLSLPSLVFRPVSASYVHSHHVILQLQAEGHFPLPGSQRRDPVPNLHHLRFKDLPLLVSSKISDGLLEHFANNISTGNSVAVIWNTTEDLEHLSLSQLQELHEVPFFPIGPIHKMTSTLSTSLMKEDRNCMMWLDRQAPNSVLYASLGSLATIDEKELEETAWGLADSGQPFLWVRSSRINRLHSEMGTSEGGSGTFCCGRIFQPLWLEFNTGKHSRYLSYIWKAGLELENAKDRVVIAISKKTGVENEGKETRQRMLAMKQKVQASSRKDGSSYNSLNDLIAFISSLPCHTNVKATLERKVSGEDF >CDP00712 pep chromosome:AUK_PRJEB4211_v1:11:26600667:26606078:1 gene:GSCOC_T00032768001 transcript:CDP00712 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTLSQFLLQMISLMMVGHLGELSLSSSSIAISFCNVTGFSVLLGMASALETLCGQAYGAQQYKKLGTLTYTAIFCLLIACIPLSIIWIYLGRILILVGQDPLISQEAGTFATWLIPALFGYATLQPLIRFFQMQSLTFPMFIISCITIVFHTLLSWVLVYKSGLQNHGAALAMDVSMWFNVIILGLYMSYCSSCAQTRAPISMEMFHGVKEFFRFAIPSAVMICLEWWSYELLILSSGLLPNPELETSVLSVCLSTISTLYSIPYGLASAVSTRVSNELGAGNSEGARISVICAVILAFTEMIIVNTALFASRHVFGYGFSSDKEVVDYVTIMAPLVCLSVVMDSFQGTLSGVARGCGWQHIGAYVNLASFYLVGIPIALALGFVAKLKGQGLWIGILSGATVQTLLLSIVTACTNWEKQAIKAKERLFQEKIPAEDGPI >CDP00417 pep chromosome:AUK_PRJEB4211_v1:11:29259415:29266371:1 gene:GSCOC_T00032353001 transcript:CDP00417 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTRYCITNLLGDFKLSLTQNNLRAKAMVVLRKDILLFVFVAILGLRTCLGQINRGSFPKGFVFGTASSAYQYEGAVKADGRGPTVWDKFAHSFGKVLDFSNADVAVDQYHRYNEDVQLMKDMGMDAYRFSIAWTRLFPNGTGELNQAGVDHYNTLIDTLLANGIEPYVTIYHWDLPQALQDKYNGWLSPQIINDFAVFAETCFQKFGDRVKHWITFNEPHTFAVQGYDVGLQAPGRCSILLHAFCTAGNSATEPYIVGHNVLLAHSTVADIYKRKYKPTQKGSIGISLDTFWYEPASNSTEDIQATKRAIDFNLGWFLEPLIVGDYPSSMRTRVGKRLPQFSQVQSLALKGSLDFVGINHYTTWYAKNNSTNIIGDLLNDSLADSGAITLPFKGLQPIGDRANSIWLYIVPRGIRSLMNYIKQTYGNPLVIITENGMDDGNSPFNSIQNALHDEKRIKYHNDYLTNLLAAVKEDGCNVQGYFVWSLLDNWEWGAGYTSRFGLYYVDYKDKLKRYAKDSVKWFKNFLTAA >CDP04901 pep chromosome:AUK_PRJEB4211_v1:11:2234636:2237145:-1 gene:GSCOC_T00019765001 transcript:CDP04901 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKEKGKKIIKSILCINMATRDFSSPALSYSAAAPSLSNPSSPPSLVSAFDRMDSQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDVLTLLESEREARRLR >CDP04886 pep chromosome:AUK_PRJEB4211_v1:11:2861836:2864896:1 gene:GSCOC_T00019683001 transcript:CDP04886 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRIQLILVFLHYQMVFSSSPTNASHLCLSDQSQFLLQFKKSIDIDNTASSGYDSNADIFELPNLVRLDLSSCNFWGPIPESLGNLTKMNYLMLNFNNLTGQVPSGLGNLEQLIFLDFSYNSLEGQMPQYLPSLIFLDLESNQLTGQLYDFQYQSIQRIYLSGNKLDGRVPKSVSKLVNLALLDLSSNNFGGVVQASMFSNCNQLQTFDLSNNNILLITDGVNSTLPQSVAFLFLSSRGIKDLDFLVPANNLYKLDLSEKLLHGDIFSDKKWYNWSQRLFCLNLSYNSLIDLSQLPFPNLVYLDLSSCLLQGPVVISPASIQVFMIPDNNLTGEIPSSICKSSSLAILDLLNNNLIGGIPLCLGNMSMDLSVLDTHKNHFGNIPATFPVGNKLSALNLRDNQLEGALPNSLSNCRELKVLDLGNNNFRWLGTLPKLQVLSLRSNNLQGNLRVQKLTATGSLELDQEDNEEFFSGFTWKAVLIGYGCGMVIGIIIACFIFLTGKPKWFNKIVQEAASKLVRKK >CDP00176 pep chromosome:AUK_PRJEB4211_v1:11:31181421:31184064:-1 gene:GSCOC_T00032040001 transcript:CDP00176 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSQHALLVYLLVLSCTIVSSIARGPDGNCIIGIQNSSPGRNSNCRNGSWDGFLTNNCCEIPFKGYLYGLAQRANQSGQIFLNSTEQNDCLSSMKNSDPDAFDCGIQKLTNGLGGCSDYSVTDIDTKLGNRLNSLSESCKLVGSDGGSDQYCNQCSMSWRGMNTSSNSSNEMRNSQPDNCRFAVLISLISQRINDDHWFQAISNCLEDGSPNIGNSKFEVNFNHLMHLQKSCVVSKLLLVADFWILIGGVVGIAAIVTIACFVLLRKNTKGSPQGNEVSDNSLDGESRCVTISIKEVYSATNNLSDLNLIGQGIAGKVYKGILSDGQRIAVKHIINDGHMDTFVREVKSLSHIKHPNLVALLGHCDGDDECFLVYELCHNGNLSQWLFGKEKTLSWIQRLNIAIDCGRGLCFLHTYPGGCIVHRDIKPTNILICANFQAKLSDFGLSKVMSMGQSFVSSEVRGTFGYVDPEYRKNRHVNSSGDVYSFGIVLLQLLSGQRVINMDLQKPVHLNKVAKSVIRGGNMTEFADSKLHGDYSFEAFELVFKLALSCTGLKQQRPRMKHVVGRLEEALDISMRANSVSPTFYN >CDP00813 pep chromosome:AUK_PRJEB4211_v1:11:25539562:25541119:-1 gene:GSCOC_T00032907001 transcript:CDP00813 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPKSNNENICSAPVPPPPAPAPAPAPALPPYPEMILSAIEALNDKNGSNKSTISKHIESTYGNLPPAHSTLLTHHLNRMKATGQLAMLKNNYLKPDPNAPPRRGRGRPPKPKPALPPGYVPPPPRPRGRPPKVTDPLAPPAPPKKKTAPSSTGSGRKRGRPPKAAKTGAGPVSTSAGAPSGAPRGRGRPPKVKPAATATVGA >CDP00541 pep chromosome:AUK_PRJEB4211_v1:11:28334396:28335998:-1 gene:GSCOC_T00032507001 transcript:CDP00541 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQSTYIILFQLNQDYQSEKLQSDPEGGEGKSWAELQRDVLGVILNKLILPDFIRFSVVCKSWLSVALDHKHKRMETINQQVPMLMIPQEGRKEHWNLYSLCENKVYGYQFLVPHRVRCCGSSYGWWAMAEKDLSVKLVNPFSGEIIHLPPIMVAIPGFHTVPEYYIHRVILSCDPCLYPNSYVVAATYNAAPVVAFIKSGEQSWTYVYNKFRPVNNILFHKEQLYAVNSRNQVLVIDYEGNDSSDDSEDEDEDEDDDEVEYYEGPRVEYLISQPTEYSHVSYLVESFDGGLLLVRRRYLEIIDDIDYKVTVGFKVYRMTRKHSSDEEEEPEWVETKSLPNIALFVGDNHSICIPAFGFRNCQSNCIYFTDDCTERDPDAPYEATDMGIFHLESGQIGKHYELPQSLSYLPPAVWILPKLQ >CDP00379 pep chromosome:AUK_PRJEB4211_v1:11:29557153:29564104:1 gene:GSCOC_T00032302001 transcript:CDP00379 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWGDADETRVLIAPDHDTNGNGSGNVLSLRHPKTGNKACYLYFDEELLELHWFKQSYGSWFLGDYVCEDGRLYTATPVDPVFILLPIFDEARMKKKDDPGKFRQLDEILYVQGYEGYQQLASIAEKSMQIVCDFKEVGSTKFFRLNDSKVLRWLSYKVHQLRQVLPTLDKNYAAQIERATLVDAVSVIGEYLNEEPWLKLLCGKLNLCLQDELRSPERKILPSSLESGQASFDTNAIQEKTGFEKKTTGNGRLAKKAKVEKNTRNIKDMFSRASRKGS >CDP00335 pep chromosome:AUK_PRJEB4211_v1:11:29935164:29939091:1 gene:GSCOC_T00032241001 transcript:CDP00335 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMKLQFRSNNRPGYRNHPFKQHNGKSSLVLYTTIAFVFVFLCYFLVFPNRSDSANHYYGHKKFGIVIDGGSTGTRIHVFKYEVEKDGILRYDFGKNGLASMKVNPGLSAFAEEPERAASAVAELVEFGRKRVPREYWRETEIRLMATAGMRMLEKGVQDRILEACRTVLRGSGFRFYDDWASVISGSDEGVYAWVVANYALGTLGGDPKQTTGIIELGGASAQVTFVSDEPLPPEYSRKVKYGNFTYILYSHSLLQYGQNVAFELLRESLIMRSEELDTLQNGKLMDPCIPRGYTHDTGSGKLSPGSLAEKSRYLSALQPGGNFSECRSASLMLLQKDKEKCSYINCYVGSTFIPKLQGKFLATENFFHTSKFFGLGRQAFLSDLVVAGERFCEDDWSKLKMKYYSLDDDDLLRYCFSSAYIVALLHDSLGIALDDERIVYANQVENIPLDWALGAFILQSRTSTDYEHSPSVASVIAGDSFTLLILFGVLVVLIFTVWYFSRCGKPQLKTIYDLEKGKYIVTRVSRYS >CDP00339 pep chromosome:AUK_PRJEB4211_v1:11:29919207:29920316:-1 gene:GSCOC_T00032247001 transcript:CDP00339 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQLNISVLTKLFLVQFLLAFCVAQDFDFFYFVQQWPGSYCDTDQGCCYPSNGKPASDFGIHGLWPTNNDGSYPSNCDSSNPFDISKISDLVSRMEQDWPSLACPSSSGTTFWSHEWEKHGTCSESILDQHGYFKSALDLKDNLDLLQILQSAGIQAGGGSYSLSSIKNAIESAIGSTPWIECNTDGSGNSQLYQIYICVDASASNIIECPVMQTGRCSSSVQFPSF >CDP00728 pep chromosome:AUK_PRJEB4211_v1:11:26418199:26421347:-1 gene:GSCOC_T00032793001 transcript:CDP00728 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLLVDRLLTESTLEAAIESQNRLLQSVPLRNDDMAVDCTLKDTGADLTPRKMVECRICQDEDWDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDTVCEICHQHFKPGYTAPPPIFRLGGIPMNFRGNWEIARRDLNNPRLIAVVSTDQNLIDPDYDEYAVSNSRSMMCCRSVAMIFMVLLILRHTLPVIVNRAGNYTLPLILLLLLRVVGIILPIYVIMKAVIGVLRRRHNQVNVSLSSSSLSSSDEEAGSATLQPQNDGVTHV >CDP05454 pep chromosome:AUK_PRJEB4211_v1:11:20925672:20933041:-1 gene:GSCOC_T00020510001 transcript:CDP05454 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHSILIALWAIVVSLILDGTQLCLGCFLEEKLALLDFKASLNETENAHLFLPSWTGKGGDGANTDCCSWERVKCSNITGRIVELQLSYLPKNAHEDWYLNISTFISVKGLRALDLSGNFFQSEVTGCRNWAKLQNLESLYLDWNNFNNSIIPCITAITKLKRLSLVGLLLEGLFPLEEFYRLEKLELLDLSLTQLSGSLSFAGMCCWGLITQTPTLPNTNVGTNNPHRGKIVQRKIRRKSTIKILRNYCIGKELVFWAGICSLTNLRELKLSRNNINGSIPMCFSNLTSLRLLDLSFNNLSGNIPSAVIAPLIHLEYLSLSGNPFGGTFSFSSLANHSKLQVFELVTQSSDLHVDTEDLALPPPFQLKVLYLSGCNLNNQTRKIPSFLLYQKEIQLLDLSSNKLVGQIPTWLLQNNTNLENLELMNNYFRGPLLLDDSPGSYLIRLDISNNEVSGKVPHHMGLFFPNLRHLNLSRNFLEGNIPQSLGNVTELRSMDLSHNRLSGEVPDQIATGCFMLTSLVLSYNNLDGNFPSRFMNATALDVLYLDNNNFTGSISDALFPNGLISYLDISNNGFRGRIPSWIGNFSSLDSLDMSANLLEGSLPDAVCNLEMLRFLDLSKNQLIGPLPACSQLTFLKFIHLHHNMISGSISNMLSGSFNLMTLDLRYNELSGECVNFSRARRSGIHNKKQSRTLYREYIKFHVWT >CDP18998 pep chromosome:AUK_PRJEB4211_v1:11:31505009:31513894:1 gene:GSCOC_T00001047001 transcript:CDP18998 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR1 [Source:Projected from Arabidopsis thaliana (AT3G12280) UniProtKB/TrEMBL;Acc:A0A178VFS5] MEDDGINKPSTPPKLVDSQVGSGIGAEGGATPSTTSIDVRFSEFCKNASLSMDGSTLPQALHLFNESKHLLAANVSAIGSGTADEAERYWFAFVLFTVKKLGVREVDTSSPGHVDENGFTLCQILRLAKLNVGDFFKELPHFILKVAPILTNLYGVDWEKRLEAKQMQTNFVHLSLLSKYYKRTYGEIFLTNDATDKLSTFANDTGYTLDYRRFGWLLFLVLRVHVFGRFKDLVTSTNGLLSILAILIIHVPVCYRNLNINDSSRFVKKGDKVDLIASLSKLYETSEDELKRMLEKSNQLITDILKKKPHMASKCRIENLDRIDTDELVYFEDLMDESSLSSSMTILEKNYDDAIRNKGDLDERIFINDDDSLLGSGSLSGGAINMNGAKRKIDLIASPAKTITSPLSPYRSPAPSHLHSVPGSGNPRMAATPVSTAMNTAKWLRTVIAPLPSKPLVELERFLSSCDRDVTADVVRRSQVILEAIFPSCGPGEYSAAGGLQTTSLMDDIWAEQRRLEALKLYYRVLLAMCVAESQILHANNLSSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLGLLAEPMPSLDAIAMHINMSSVSSLQKQENAPGAVQNGDIRSPKRLCTEYRSVLVERNSFTSPVKDRLMALNNLKSKLPAPALQSAFASPTRPNPGGGGETCAETAITVFFGKIVKLAAVRINGMVERLQLPQHMRETVYCFFQKILSQRTTLFFNRHIDQIILCCFYGVAKISQLNLTFKEIIYNYRKQPQCKPQVFRSVFIDWRSARRSGKSGQDHVDIITFYNEIFVPAVKPLLVELSPAGTAQKDNQVVGGSNNNEGQCPTSPKTSPFPSLPDMSPKKVSAAHNVYVSPLRSSKMDALISHSSKSYYACVGESTHAYQSPSKDLTAINNRLNGTRKLRGALNFDDVDVGLVSDSLVANSLYLQNGNCASSSAPLKPEQPDL >CDP04860 pep chromosome:AUK_PRJEB4211_v1:11:4127712:4128410:1 gene:GSCOC_T00019630001 transcript:CDP04860 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIAEHSEYPIERLAFSYDRKFLGSISHDQILKLWNLDDLLQGSGKFQDSVCCCR >CDP16988 pep chromosome:AUK_PRJEB4211_v1:11:18220856:18222076:-1 gene:GSCOC_T00004828001 transcript:CDP16988 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRGGGMSAEEREKFLRIAKDWHGGGVEKPEIYHQKLVDNFKRAAAYVGFNESTTSLPPSNYPQDAMLMLYGLYKQATEETEFDDIPLPKSSDELEKKLYWSRIGMLCMPPTLAMMNFVATVKIVDPAYRASASNFSRESLEQSTLNVSV >CDP16290 pep chromosome:AUK_PRJEB4211_v1:11:24014485:24015898:-1 gene:GSCOC_T00018067001 transcript:CDP16290 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLGCLPDIVSYNSLIDGYLRNADVGDACFMLRKVCCGMMNVRPDLVTFNAMFNGFCKVGTVEELLVYMSLMWKVCVPNVVTYGILVDMYCKMNNVNMAYRVFKDMKSSGVFPNLQIFTSLIDGYCKAGELEVALGLYLDMRRNSVFPNVVTDSALIDGFCKRQMLEKAAYLFSRMLGDGVEPNIVVYTSMIDGEFKKKNVDNALKYLSRMHDQGIRLDVAAYGVIVSGLCNMNRLDGAVDVKKVLMDYGVAPDGNLVQAFRLQKQMVKKGIPPNLFAYSSLIFGLTNKGFMIEAKQVFDDMMKKGISPNHVVYDILIRGYAKEGNQPAISCLIDEMRMRGLLSDDGCNQKYMLHDCR >CDP15255 pep chromosome:AUK_PRJEB4211_v1:11:18626575:18630215:1 gene:GSCOC_T00042907001 transcript:CDP15255 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKSFVGFCRVDQFVKLQKNSTSSLSHRWFPMDPQPEPVSYICGDAQQRKKVVVCGGGVIGVCTAYFLSKKGAVVTLIEKSSVACAASGKAGGFLALDWCDGGSLSSLARASFNLHRLLSEELNGPQSYGYRPLTTLSLDITEASSSSGSPLYKSQGPKLPSWVDGPAKSPRILGTTETTAQVHPKLFTKTLLNKAVEEYGLEVVTGKLEEVEVAEGRVKAVVLERGTRIEADAVVLALGPWTSKLSLLRSSFRVSGLKAHSIILEPKDPDAITPHALFLSYYSSQGGKPMDPEVYPRPTGEVYICGMSARAEVPHDPEQISPVPESIRVLKRVASNVSSHLAESEVTVKAAQACFLPCTDDGMPVIGEMPSVKGCFLVLDGHSSIVDITPFSPSRFAFAR >CDP16985 pep chromosome:AUK_PRJEB4211_v1:11:18158776:18159733:1 gene:GSCOC_T00004820001 transcript:CDP16985 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTIMGQLSEGNEGIILHYFILLNQLRISLCDASRKKILVKKTLNQSKEIPVKSIESPYGDVIDCIGIYHQLAFDHPSLKNHTILLLPGERKGIFNFEDDSQDDSITRLWQLNGKCPKKTIPIRRDQNESNVVRYAKKKHKHIPQLSLVDLKLVSNSNLEVTQTNENFIVNSM >CDP07980 pep chromosome:AUK_PRJEB4211_v1:11:10482061:10484206:-1 gene:GSCOC_T00025541001 transcript:CDP07980 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNKFFGAISALSLLLLLSSFGCEAQLSPTFYDTTCPNALTTIRTTIRSAISRERRMAASLIRLHFHDCFVQGCDGSVLLDETPTIQSEKTSLANRQSARGFNVIEDAKTAVEKICPGVVSCADILAVAARDSSAAVGGPSWTVKLGRRDSTTASRSLADSDLPAPFHQLSTLISLFSNKGFTPREMVALSGAHTVGQAQCRTFRGRIYSNGADIDTGFASTRQRQCPSTGGDSNLAPLDLVTPNQFDNNYYKNLVQKKGLLISDQTLFNGSSTDTFVTEYSQNPQTFSSDFGAAMVKMGDLSPLTGQDGIIRRVCSAIN >CDP04810 pep chromosome:AUK_PRJEB4211_v1:11:5337401:5338949:1 gene:GSCOC_T00019538001 transcript:CDP04810 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAASNKPHAVCIPYPALSHINPILKLAKLLHHKGFYITFVNKECNDLPDFRFETIPDDMPPDADSAQDMPSLSKSTSKTCLATFSSLLSRLSKAAPDVPPVICIISDGIMSFTVKAAQQFDLPEVLFWTPSAYGMLAYMQYCHLVKQGHIPLKGTYVTNSYLGMRNLDSWNERRHTIEGSSKLHKNNCSAVVLNTFYDFEHSSLDAISAMYPHVYSTSPLQLMLNQIHDESLTSISSDLWREEPGCIEWLNDKDPKSVVYVNFGSITVITAHQLIEVAWGLANSKKSFLWIIRPDMVAGDDAAVLPLEFLTKTKERGKLELTRTSSKSSCNCRVLNTLRMELDFRKYLCRRTRYLLALFLRGEVEVLVRELMDGEKGQKMRNKALDWKNKGEAAAGPNGQSYQNLDQLIKDLLLPILSK >CDP00416 pep chromosome:AUK_PRJEB4211_v1:11:29306317:29310197:1 gene:GSCOC_T00032351001 transcript:CDP00416 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISQPRISAWNSDHLPIYEPGLDDVVKQCRGKNLFFSNDVEKHVAEADIVFVSVNTPTKSQGLGAGKAADLTYWESAARMIADVSKSAKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIQDLFDPDRVLIGGRETPEGLKAVQTLKEVYAHWVPEERIICSNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKHVIKINDYQKARFVNRIVSSMFNTVSGKKIGILGFAFKKDTGDTRETPAIDVCRGLLVDKAQLSIYDPQVSQDQMQKDLTMVKFDWEHPVLLKPASPTALKQVSFAWDAYEASKDAHGICFLTEWDEFKTLDFQKIYDNMQKPAFVFDGRNVVNPDKLRQIGFIVYSIGKPLDQWLKDMPAVL >CDP18101 pep chromosome:AUK_PRJEB4211_v1:11:14397830:14401158:-1 gene:GSCOC_T00006464001 transcript:CDP18101 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRSNKSFSIIFLVVLMHENSRNLIHRDQQKISRNLEMVSKPTLKPKPFPIFFISILLSILVITMWFWPNPYENYADQYSTKRDIFESVTRPKWYEIVAEDHKYKGLKIGTVNIDRKVNIFDELHEGLYVKEVKFQPVAQNIKWSDLFPVWINEEYPELQTCPEIPMPQFEEFQDLNVVVATVPCPGMATKLGFIDIFRLQVNLVVANLLVKSNKSIVDFSGDVYAVFLGSCGPMDEIFRCEDLLWHDNDIWVYKPDLRKLKQKMRMPVGTCQLARPTEEAAQVGWNKNASNSILQQPREAYVTVLHSSEDYLCGAIVLGQSIINSKSKKDMILLADSSISSESSKALRHAGWKIKRIERIKSPNAGKNAYNKYNYSKLRIWLLTEYDKVMFIDSDMLVFQNLDEFFLYPQLSAAENNRHIFNSGVMLIEPSRCTFETMMEKRFTIVSYNGGDQGFLNEIFRWWHRWPNKANFLKDFSNIDCGPDHLYPKNTHAMHYLGVKPWMCYRDYDCNWDIEDNQRFASDSAHAKWWQVFDSMPNKLKPVCVLTPDMEERAVIFRRIAKDGNYSDGHWKIKVKDPRRKMNDIP >CDP00557 pep chromosome:AUK_PRJEB4211_v1:11:28152381:28154819:1 gene:GSCOC_T00032534001 transcript:CDP00557 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAEQEALYSTIQSFVGKWWNGSDLYPDPCGWTPIQGVSCDLSNGLWYVTSLDIGPVHDNSLNCAPNADFGPHLFALKHLKSLSFFNCFVSPHHLLTIPTDKWESLADSLESLEFRSNPGLVGQIPATFGGLKNLQSLVLIQNGLSSKIPTDIGNLSNLKRLVLSQNQITGKIPDGLGSLSGLLILDLSRNLLSGPLPHTLGKLTSLLKLDLSSNQLAGSIQGELRNLKNLTLLDLSRNRFSGGLTKSFQEMSSVEELVLSNNPVGGDIMSLNWQNLKCLLVLDMSNMSLTGGIPDSIAELKRLRFLGLNDNNLAGDIPPRIGALPNISAIYLNGNYLTGELKFSELFYGKMGRRFGAWNNTNLCYPIELKSTGHAPYGVKPCQQEATTLHENISDLDEKSNLGKGDLNHDSHPMVSLAFPSFASNGLCLCYIFLCELFMIALDFSLARFSW >CDP00622 pep chromosome:AUK_PRJEB4211_v1:11:27513705:27514079:1 gene:GSCOC_T00032621001 transcript:CDP00622 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQERALQEGFGVLKTFSTTTATKKKGKPRVVKEKTDEVGKVNIEENKRDIDGEVAEEVVMVMERDEWPCFFCAVVEQLSWGTCWSPFWDIDLMGEASHAFFSDVAWDDDIWDLKDIKGSPTP >CDP11028 pep chromosome:AUK_PRJEB4211_v1:11:6717883:6720841:1 gene:GSCOC_T00033009001 transcript:CDP11028 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIHLFSINPVDFRHSRYSISQNRQVLSPLACLNVDVRAPDSVSSKPPALGQNAQRVKEIMEEENRVLVGTYARAPVVLSSGKGCKLYDIDGREYLDLTAGIAVNALGHGDPDWLQALTDQANTLTHVSNIYYSVPQVELAKRLVASSFADRVFFTNSGTEANEAAIKFARKFQRSLHPSEEQPPVEFIAFSNCFHGRTMGALALTSKEHYRIPFEPVMPGVNFLEYGDTQAATKLISNGKIAAVFVEPIQGEGGIYSATKEFLEALRTACDCAGALLVFDEVQCGLGRAGYLWAHEAYGVYPDMMTLAKPLAGGLPIGAVLTSERVAGCISYGDHGSTFAGSPLVCSAAIAVVDKILKPGFLASVSKKGKYFKDLLVKKLGGNAHVKEVRGLGLIIGIELDVPASPLVDACRQSGLLILTAGKGNVVRLVPPLVISEQELDIAAEILLDCLPALDGSS >CDP07956 pep chromosome:AUK_PRJEB4211_v1:11:11237077:11241764:-1 gene:GSCOC_T00025494001 transcript:CDP07956 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDLWVKIQDHGTALDEKKTRIRCNYCGKVVSGFSRLKYHLGGIRGNVVPCVQVPNNVMEVFRDELLEKNTGNVSREVGELCSPNLPSRRNSLPRPNSAEPYQPGLSGAKITLGSQSAASNQCSSSREFQKLIGRFFYETGIDLDAARCPSFQKMMNAKFGSEHTAYPIPSSEDLRGWILQESVEEMQQYVREIKSSWMKTGCSILLDGWEELTGRKLLNVLVACPKGTIFIRSADITGFDEEGSCMLEFLDDVLKEVDVQNVVQIIANSKSSWMETVGKLVMGKYKTLFWTVCASHCLELMLEKMGTMKKVKETIDKAKTITRFVHSHASVLKLLRSHTSIKYLVRQSKFKLTEPFLTLENMVSEQENLQNMFLSSAWKTSRWASSMEGKRVADLVADCSFWTGAELVVKATIPLVRVIDLITKNNNPQLGNIYEIMDQAKETIREELSDKESTYMPFWKAIDDIWNGRLHSPLHAAGYFLNPKLFYVNDFYTDSEITSGLLCCIVRLVEDPGSQDMISLQIEEYRAAKGNFGQGSSDLQSSLESWWFKYGSGYPQLQQLAVRILSQTCTGGTKYNLKRSLAEKLLTTGRNPIEQERLAAMVFVHYNLQLQNFNLGIANDLASEDIDPMDDWLVDRSQPVLTQRDQERDEFTWKELDCGYTINGRVEDSPSQECFILGV >CDP13396 pep chromosome:AUK_PRJEB4211_v1:11:33464719:33465977:1 gene:GSCOC_T00038319001 transcript:CDP13396 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLSFFLLKNALGAKMRKGFKHFCSGDGSTSTLNQQKMGQGSAYIDTPYLDAVTSGSRERQPTLEEMILQLELEEAASRRAKVDEYGEYRHHRMSCVNSSDILRSARNALNQYPRFSLDGKDAMYRSSFRNMVPFSTGARNSLGCHQGSRKGLCGDGFDSEVQKLRELPATIGGESVVWCRPGVVAKLMGLEAMPIPVQRQQRTDNGLNGNGAKTRQNLRKSAGKLREIERRRVVVDDTNGCSAMRSGVTGCCSSSSKGYCVMKPLGVELPNEQFGWPMRRLRQNATSPC >CDP00766 pep chromosome:AUK_PRJEB4211_v1:11:26054971:26059237:1 gene:GSCOC_T00032840001 transcript:CDP00766 gene_biotype:protein_coding transcript_biotype:protein_coding MITWHDLYVVLSAVIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISTNNPYEMNLKFIAADTLQKVIMLVVLALWANFTKNGSLEWSITIFSLSTLPNTLVMGIPLLIAMYNPYAGSLMVQIVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETAASIVSFKVESDVVSLDGQDFLETDAEIGDDGKLHVTVRKSNASRRSLGHCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHSDFYSMMGFGGRLSNFGNSDTGRLSNFGPADMYSVHSSRGPTPRPSNFEENCAPGGLMSSPRFGFYPGQPVNPSSYPAPNPEIASAAPKQGKTSATNNNNQQQQQQPQPQQQQTSGNKPNHDAKELHMFVWSSSASPVSEVGGLHVFGGPDFGANEQQSGRSDHGAKEIRMLVADHPQNGENKAAPQVGDFGGADFTFGGGGRDGDEEKEKEGPTGLSKLGSSSTAELHPKAVGAQDAGAGKQLPPASVMTRLILIMVWRKLIRNPNTYSSLIGVIWALIAFRWNVHMPAIVKNSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFLTGPAVMAAASIAIGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL >CDP04906 pep chromosome:AUK_PRJEB4211_v1:11:1994603:1997458:-1 gene:GSCOC_T00019773001 transcript:CDP04906 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPLPHQDTWFHPGWELQADKSKFVTRRAGRVRASRIRNEMDERDPDEPRKFERWRPETHTFHLPVGEATVTLQDVEVLWGLHIDGPPVIGVDTYRSIQEWGAICEELLGFSPAVGYFDGQRLKLGCLARALDTELPPDASDAECRQRARIYLLLILDGHLLSDKSGNKVPLLYLPLLRDLETVPTFYISRWNNDLDVHRVVRHVVPAFRDQLTGLRPEEFIWQPYSEDVLASLPAYCTAGQDIWRSVTLTDNQAALHSLDRCGRANQDWSTTHRQYIDIWTDRRVHVQDGTVIEDTTYPSDEYVQWYRERTVIYISNPSRFFAFPEGFQGDSARAQYLV >CDP00845 pep chromosome:AUK_PRJEB4211_v1:11:24972129:24986949:-1 gene:GSCOC_T00032952001 transcript:CDP00845 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTYFLFVAALLLLHFITTSSASASVANNQNNTIDLNALLAFKSTIFDPQRIIPTNWSTSTSVCNWIGITCNARHHRVAAIDLSYMGIAGTIPPQLGNLSFLVRLNVMNNSFHGHLPTELSHLRRLKYINLEDNAFEGELPSWLGEFSALPKLTVLDIQHNQLVGPLPWDLFNLSSLQIISFTNNSLLGYLPAHICDHLPQLKGLYLSWNYFEGEIPSGIGECSILQVLSLSYNKFRGYIPKEIWNLTTLTQIILGGNDLTGKCQLDFVGNLSKLEVLHMGENRLRGPIPLKLFNSSTIRLIALTENDLSGELPSTIGAFLPNLEELYLEGNEFTGTILTFIISLTNCKHLRRLWIDENPLNGFLPKSIGNLSSSLESFHAGSCGIKNEIPSSIGNLSNLVELFFENNSLTGTFEHESRTKRIVMIVLLTSGSVILALVISIFLIRLKLRKKILAPTQNLLPMATFERVSFHELRQITNGFSESNLLGSGSFGSVYKGICENGMVWAIKVFDLQLEGAFKSFDRECEVLSCLRHRNLTRVITACSSLDFKALALEYMPNGSLEKWLHVNHHVLSIRQRLGIMIDVASGLEYLHYGYSMPIVHCDLKPSNILLDEDMVGHICDFGIAKLLGDGESVIQTKTLATFGYIAPEYGLEGLISTSSDVYSFGIILMETFTKRKPKDEMFTEELNLRRWIQECSPDSVIQVIDADLLHPEDKTVQRKIECISSILQLTMQTNYFLFVAALVLLHFIATSSASIIVANNQNNTVDLNALLAFKAAIFDPQRIIPTNWSTSTSVCNWIGITCNARHHRVAAIDLSYMGIAGTIPPELGNLSFLVRLNVMNNSFYGHLPTELSRLLRLKYINLEGNAFEGELPSWLGGLTALRYLSFRDNGFSGSLSGKLSNFTKLETISLGFNFFTGNLSEEFRALPKLKFLEIQFNQLGGPLPQALFNLCSLQVIDFTNNSLSGSLQAHICNYLPQLKGLYLSLNNFEGEIPSGIGECSRLQVLALSSNKFRGYIPKEIWNLTTLTQLYLGGNDLTGKLPTSLCFEKFLCISSTN >CDP04872 pep chromosome:AUK_PRJEB4211_v1:11:3693979:3694733:-1 gene:GSCOC_T00019656001 transcript:CDP04872 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEETKDKKNAVESYVYDMRNMVFVMDPERGQFAAKLQETEDWLYEDGEDETKGVYIAKLEELKKQGDPIVERYKEFMERGSVIDQLIYCIGSYREAAMSNDPKFDHIDISEKQKVAGAWLREKKQQQDALHWYANPVLLSADIRRKAEALDR >CDP00351 pep chromosome:AUK_PRJEB4211_v1:11:29820888:29823351:1 gene:GSCOC_T00032263001 transcript:CDP00351 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCFLKKIVVYNFFLFLFYFFFAGVYLLPYRSLQNQQPVSQLLFKPLSNTHFLPTTISILFFTNSLRFLLSLCSIAKIKMAAPAGFLLVGLLAMVSSVHGYGGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCFEIRCVNSKYCLPGAILVTATNFCPPNNALPNNAGGWCNPPLQHFDLSQPVFQRMAQYRAGIVPVAYRRVPCSRRGGMRFTINGHSYFNLVLVTNVGGAGDVHSVAIKGSRTGWQQMSRNWGQNWQSNSYLNGQSLSFKVTTSDGRSVVSYNAAPAGWSFGQTYTGAQFR >CDP00313 pep chromosome:AUK_PRJEB4211_v1:11:30084591:30087483:-1 gene:GSCOC_T00032212001 transcript:CDP00313 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIRRLCFLLKNPPLNPTTTTTVFRHFSTTKTGDDEWNDAWETAWLPDDLAGKTRAPWETDVNFSLSSAETVPSQNPNNSHLDMDSETKAFVEDMNENWDQRKGKPRSSSTNGDKNDGVVKKEGIGAGAAGASSELYRLENIKRDYRLKKQRVHAGLWAKEIEKMEEARLGDSISGAGDDIEKLLDSCSEIFDSPGDDLNNTKIPSTEFKNKPDGWETTSRAQDGNIWQMTQREEDILLQEFERRIAFNKFQIASFIKTHIFSRRRPIDGWKYMIEVIGPNAKRGKGSVSRLPSVSDPATQPFKEEKAPITSTPLSYKGR >CDP13168 pep chromosome:AUK_PRJEB4211_v1:11:31727004:31729823:1 gene:GSCOC_T00038024001 transcript:CDP13168 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKDEETVSHDITSLLSSPERDFLVRNNGDQVKIESLIGKAVGLYFSGSWCGPCLRFTPNLVQVYNELSSNADFEVVFVSSDRDEESFNAYFKKMPWLAFPFAESETRQSLKELFKVRGIPHLVILDGSGRVSTEEGVRIIYDHGADGYPYTPEKINDLKEEEERARREQSLMSILTHDSRDYLISNDGNKIPVSELEGKTVGLYFSVSSHRGCLHFTDRLLEVYKKLREKGEAFELVLVSLDDDEQEFKNCYEPMTWLALPFKDKTCDKLVRHFELGTLPTLVVIGPDGKTLHSNVVESIEEHGDEAYPFTPEKFAKLAEIEKAKLEAQTLESILISADKDFVISKDGSKVPVSELVGKNVLLYFSAHWCPPCRHFLPRFIAVYEEIKAKDDAFEVIFISSDHNQSSFDDFFSGMPWLALPFGDERKALLQRRFKIKGIPAVVAIGPNGRTVSTQARQLIQAHGADAYPFTEERVKQLEEQAKGGLRN >CDP13272 pep chromosome:AUK_PRJEB4211_v1:11:32468050:32469195:1 gene:GSCOC_T00038159001 transcript:CDP13272 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMATLNANCLSPNTHRVINTLKPKPVSLLSMQNLPKGLLTTSRKAQDSILSNPLTGTALAGAIFSTLSSCDAAFAAQQIAEIAEGDNRGLALLLPILPAIAWVLYNILQPALNQFNQMRSSKGVIIGLGLGGLAASGFIHTPQASAASEIAAIAEATGDNRGQLLLFVIAPAIIWVLYNILQPALNQIDRMRS >CDP13324 pep chromosome:AUK_PRJEB4211_v1:11:32782321:32783091:1 gene:GSCOC_T00038221001 transcript:CDP13324 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQCLAILSFADDHILAIENMVENIFPPSTHLFNGIDKLVYNAEYLPGRFDDAMNAIPIIMHQVPFLDWVVMHLISWLNFLISTLMNWGSKNTQEKDIMIDIVCNNPGPGLDISMDKAASHKENDEFPSMSTSHPSREMAKEFESEKGCVQMPKIAQCQLNEDTESPPELSKGSFYKPFQDEMRCSYKEMLEKGTKGNAETNEDNHNNSQFETPRSTISEGGVEIDREKDLQESIAGFDLDDPILELYEASWHLK >CDP00329 pep chromosome:AUK_PRJEB4211_v1:11:29991455:29996057:-1 gene:GSCOC_T00032231001 transcript:CDP00329 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSLFVLSLPLKLCNGSSNHDSSKHPPLPFSGDHVRSLAGSYTLRQLNQLPSSLSFRAPSTSSPVHRSRRRCICFYSGKESEQKGDGLDWPILRRWDVPWKWQTVSLTSLACGLSFVLTGLIETAAIPYLGVQIRELSLDEKAEILFLDQAITTAAVLAVLYGITSSFGPLPEDVFRYDIREPFNLQKGWIVWAGIGLAGALVAIALTGVAVSFFSGETPQRETDALVRLLPLIGSSSISTACLVGITGILAPLLEETVFRGFFMVALTKWLPTPLSIVVSAAVFAIAHLTPGEFPQLFVLGIALGLSYAQTRNLLTPITIHALWNSGVILLLTFLELQGYDIREMIQAS >CDP00261 pep chromosome:AUK_PRJEB4211_v1:11:30519870:30524649:-1 gene:GSCOC_T00032145001 transcript:CDP00261 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYSIFLSAHRCQVFSFHLHFGSAKSSCSFIFYVNRFFIRKLSAFRSSTARPFPDYCPKKPSIKDSKLIHQISMTLKQRRSEQLRRTLRPFESKFRPDHLIWVLMNVKNDYRLVLDFFDWSCLRRDPSLEAHCIVIQIAVAAKDSAMAHRLMHDYWTNPNLDVDLSFPRFLEKLIYTYKDWGSSPHVFDIFFQVLVEVGILDNARKLFDKMLYYGLILSVDSCNLYLSHLSNSNHGIQKVLRTYGELSGLGVCWNTASYNMVIRCLCQLNKVKEAHGLLLQMEFRGCIPDVISYSTVIDGYSKAGELKIVLKIIEAMQVKGLKPNVFTFNSIILILCKAGKVLDAERILREMISQGVAPDNVVYATLIDGFCKAGNISAAYRLFDEMQIQKLIPDYVVYTSLIYGLCQSGKVVEANKLFQEMLRRGSEADEVTYTTLIDGYCKAGEIKFAFSLHNQMVQQGLIPNVVTYTALADGLCKQGELDAANELLHEMRQKGLELNIVTYNSLVNGFCKSGNIVQAVSLMQDMEVSGIRPDTYTYTTLMDAYCKSGELIRAHELLHEMLSKGLQPTVVTFNVLINGFCMSGLLEDGEKLLQWMLEKGVMPNAATYNSLMKQYSIRKNMRATTHIYRRMCDQGIEPDGNTYNILIRGHCKARNMKEAWFLHRQMVEKGLSLAVDAYISLIKGFIKKKKYLEARQLFEDMRMEGLHGDQELYGIFLDIRYKEGDINSTLELCDESIEHCLLNKSSGGVT >CDP13213 pep chromosome:AUK_PRJEB4211_v1:11:32053110:32053895:1 gene:GSCOC_T00038082001 transcript:CDP13213 gene_biotype:protein_coding transcript_biotype:protein_coding MVARVFEDPAFWSSRFYLQFISIAQFSDHSAGDLLQGLLKNEPSQGMTAHEALGHPFFTRHQCRRS >CDP16877 pep chromosome:AUK_PRJEB4211_v1:11:570123:575834:1 gene:GSCOC_T00019453001 transcript:CDP16877 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTWLYPVSILFLAGFSEEIQQSGVINIGSILSFGTINGRVAKIAMTAAVEDVNSDSSVLGGRKLVLSMHDSNYSGFLDIMGALQYMETDTVAIIGPQTSVMAHVIAHFANELHVPLLSFTALDPSITPFQYPYFIQTAPTDLYQIAAIADMISYFGYREVIAIFTDDDKNRNGITILGDILAERRAKISYKATIPPEPAPIRDHVMEELLNIRMMEPRVIVVNTYTKTGFMVFDIAQNLGMMDKGYVWIATNWLSAAMDATQILPDTANSIQGVLTLRLHIPDSKRKRAFASRWNKLSNGSIGLNTYGLYAYDTVWIIANAVKLFLAHGSTISFSNYSSLNVLGGRVLNLSALSIFDGGQMLLSNIVQTNMRGLTGPIEFNPDRSMKRPAYDILNVIGNGFRQIGYWSNYSGLSVDPPETLYSRQANRSSSNQKLYGVLWPGKTEVKPRGWVFPNNGKRLRIGVPNRVSYKDFVSLDNHTGLIHGYCIDVFLAAIKLLPYAVPHEFILFGDGLKNPSYTELVRMITSNVFDAAVGDIAIVANRTKIVDFTQPYIESGLVVVVPVRKLHSSAWAFLRPFTPSMWGVTAAFFLLVGVVVWILEHRVNNEFRGPLKKQLVTLLWFSFSTMFFAQRENTMSTLGRIVLIIWLFVVLIINSSYTASLTSILTVQQLSSSINGIESLITSNDPIGFQVGSFAENYLREELDIAKSRLVPLGSPEAYADALERRIVAAVVDEQPYIDLFLSKYCKFRVVGQPFTRSGWGFAFPRDSPLAIDMSTAILSLSENGELQKIDDKWLNKSMCGPQPQSSQSDQLNLESFWGLFLVCGVAFLIALLVYFWLMFCKFKQHCPETSESSRFGSSYSAHFQRFLSFVDQKEEITSNRLKRKRTGNSTVCQGKET >CDP00785 pep chromosome:AUK_PRJEB4211_v1:11:25865063:25870437:-1 gene:GSCOC_T00032865001 transcript:CDP00785 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHGSLGSDQLNFFNTQGYLVMESFSSLEEIESMRKRMDDLLDEFDPSTTSTIFSTKTHQHATNDYFFESAEKISFFFEEKAFDEDGNLKQPKKLSINKVGHALDELDPVFKKFSRSDKLSGLLLSLGYKKPVIIQSMYIFKQPGIGGEVVPHQDNSFLYTEPSTCTGLWLALEDATLVNGCLWAIPGSHKNGLVRRFIRDENGVHFDKPSPSYDQKDFVPVEVKAGSLVVIHGDLIHQSFENRSSQSRHAYSLHVVDTDGCRWAQDNWIRRKVDPEPIYG >CDP11638 pep chromosome:AUK_PRJEB4211_v1:11:16348297:16349474:1 gene:GSCOC_T00034028001 transcript:CDP11638 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLQPLFLAITFLLMASLIGSSGAVPISTYWGQNRTEGSLKELCTNGTAQYVKGPVQYVNIAFLRNIGGGRTPVLSLVHCNSTTCPLLSSEIELCQSLGIQVLISLAGAPNISSTAEAYEVASYIWDNFLGGSSSSRPLGEAVLNGVDYHIHSGNPDNFLDDLARALSGYNTPENKQVYLSAGPECVLPDPWLDASIRTGLFDYVWVEFFDNTACQYTPGNLTALFQSWDAWASYPGVNALFLGIPVSPEVAPDGGYIPYEVLVSVVLPFVETYSNFGGIMLWPYVHHHPHYSEKFRVQSYAAI >CDP18013 pep chromosome:AUK_PRJEB4211_v1:11:17329253:17330826:-1 gene:GSCOC_T00001361001 transcript:CDP18013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFGITLLCSWLFFTCIVQAQTGPIDVTQLGAKPDGSADMSQVLADAWKQACNSTTASTILIPKGTFLLKEASLAGPCKAPVEIQIQGIIKAPEDPAQISKDNEWMTIKYVDQLTLSGGGTLDGQGAKAWTQNECRVKTECSKLPNTLSLNFVNNTVIRDLTSLNSKLFHVNLFGCNNITFQHFTITAPGDSPNTDGIHIGHSTGVVITDSNIGTGDDCISIGDGAKQVNISKVTCGPGHGISVGSLGRYDNELPVEGIFVTDCTISGTLNGVRVKSWPAAKSGSATNMHFEGIIMQNVSNPVIIDQEYCPNNQCTNTAPSSVKIAQVSFKNITGTSATPAAVTLLCSKSIPCDGVEIADIDLAYNGNQGNVSSNCANVKPALSGKLNPPICANATIPAQAA >CDP13323 pep chromosome:AUK_PRJEB4211_v1:11:32779697:32781490:1 gene:GSCOC_T00038220001 transcript:CDP13323 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLNSGIPSTTSVLSAYTTFAASAVLVRTVLNEMCITISDHNGITPNEMFEACETYLRGNISPSVHRLKVVKEPKAEKLSVNISDGETTFDVFEGIQLVWRLNCSETQKTGTDYDGYSSSERVERRSFELFFNKKYKDKVLGSYLPYVLERSKAINGENKVIKLYSGGNWYVDVNLQHPSTFDTLAMDPEVKKELMQDLDRFVRRRTFYKRVGKAWKRGYLLYGPPGTGKSSLVAAMANYLKFHIYDLELSSVRGNNDLRSLLVSTQNRSILVIEDIDCSIEMQNREAGQSGRCSGEIQLTLSGLLNFIDGLWSSCGDERIVVFTTNYKERLDPALLRPGRMDMHIHLSYCTPGGFRILASNYLGLKDHDRFSEIEELIAEVEVTAAEIAEELMKSEEIDFVLAGLVAFLEKKKKEKAKSNIGKEDEVKGNRKEEDVKLPRRSRRKRSRKSRI >CDP05548 pep chromosome:AUK_PRJEB4211_v1:11:22752156:22758442:1 gene:GSCOC_T00020659001 transcript:CDP05548 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNVTSVKTSSGGAWQGDNPLQFAFPLLIIQTTIVVLISRVLEFLFKPLRQPKVVAEILGGILLGPSALGRNKYFMQWIFPAWSIPILESVGSLGILFFLFLVGLELDLSSIRRSGKRAFGIAAAGMSLPFLLGAGLTFLTRKVVNGDNHQKHGQLLVFMGVSLSITAFPVLARILAELRLLTTDVGRTAMAAAAFNDVSAWILLALAVALAGGGTGAHRSPLISLWVLLSGVAFVAFMLVFVRRIMHWVARQGSNRYEAYIWLTLAAVMVSGFMTDLIGIHAIFGAFVFGLTIPSDGEFARSLVQRIEDFVSGLLLPVYFASSGLKTDITKIRGLEAWGLLVLTIFTACAGKILATFFAAVLCMIPVRQSLALGVLMNTKGLVELIVLNIGKEKKVRFNQIGRLSGLTLAEINHCLFPGKVLDDEMFAILVLMALFTTFMTTPIVMVIYRPARGLSPPARLETSSTSQLEEELRILMCIRGPGDSPSLINLVELCRSTKQPVLKLYVMQLLELTDRSSLIRMVQRVRRNGLSCVRCSRQGGELSNQIVAAFKVYSQLSKVTIRPTASISSLSTMHEDICHVAEKKRAAMLLLPFHKAWRREGNEEILMNLGTGWRGVNQMVLTNAPCSVALLVDGGFGVALEHSLIDDDALIKKVCILFLGGPDSQKALELGCRMAGHPVIRVTVVRLTMFKDLEDMIETRPSSSQAFNSKDHQISITPNEYSREQELDDSIFMDFRREWTGEIEFVEKETHNLINEVKAIGQGGEFELVIVGQGRFPPAVLAELEDSRPEFDELGHVGDLIYSLGEAVKCSLLVIKQNDSVKDNKMPKPDMAN >CDP00645 pep chromosome:AUK_PRJEB4211_v1:11:27284829:27291812:-1 gene:GSCOC_T00032657001 transcript:CDP00645 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKIVEEKKKPEGKKAADKKPEFQLYNSMSKQKEVFRPKEEGKVGMYVCGVTAYDYSHIGHARAYVAFDVLYRYLKYLGYEVVYVRNFTDVDDKIIKRANENGEDPISLSGRFCEEYLKDMDDLQCLPPTHQPRVSDHIDEIKRMISQIISNGCAYAVDGDVYFSIDKFPDYGRLSGQNLDGNRAGERVAVDSRKRNPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSAHYLTHTFDIHGGGMDLIFPHHENEIAQSCAACSESNVTYWLHNGFVTVDDEKMSKSLGNFFTIREVTKLYHPLALRHFLLGMHYRSPVNYSILQIEIASDAVYYIFQTLKDAEDALTEFRGKDEIDDAKASISTKAKNCIKNLHDEILTRMSDDLHTSTVLSAALIEALRFINASVETLRKKPQKKKQRQQQQEQQTTVLSLIELEREVKDVLDLLGLLPRLTYSEVLSQLKEKALTRAKLTEDDILHSIEERAQARKNKDYSLSDQIRSDLAVKGIALMDLGKETVWRPCVPVQAANVVDPAQ >CDP00377 pep chromosome:AUK_PRJEB4211_v1:11:29577769:29585078:-1 gene:GSCOC_T00032299001 transcript:CDP00377 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSVGINFGLCVLFWTLYSSLRKQPANYDVYAPRLLSDGQSQGSSTFRLERLLPTPDWVRRAWQSSEEELLSSSGLDAVVFMRIITFSLRVITLAVVIGVFILLPVNFSGDQLHDVNFANLTSSSLDIFTISNVKDGSNRLWAHFCAVYLLTIFVCFLLYHEYKYVSSRRISYFLSSKPQPHQFTILVRSVPVSAGITVSDSVERFFKEYHPSTYLSHVVVRRTNKLRYLINDTKKLYKRLIHLQREPTASKSQPSSFFGLFGAKDDLVDHYEKKLEDLEENVRLVQSDVSMAGEEVRAAFVSFKSRYGAAAALHIQQADNPTQMVAEQAPEPRDVYWPLFSESFMRRWISQLIVIVACILLTALFLIPVVVVQGLTNLSQLEIWLPFLKSILSVAFVSEVVTGYLPSLILQLFLMMIPPIMKFLSSVQGHISISEIIKSACNKVLWFTIWNIYFANVLSGSVFSQIFIFLEPKNVPARLAVAVPAQASFFIAYVVTSGWTSTTLELFRLIPLICSLIRKCFSGNTNNELKDPAFPYHGVLPRVLFFGLLGITYFFLAPLILPFLLVYFCLAYTIYSNQFINVYAPLYETAGKFWPIVHNSMIFSLLLMHAIAVGIFTLKKLPLASSLVFPLPVITLIFNAYCRKRFLPIFVAYSAETLIKKDREDINDATTAEFFNKLVAAYQDPALLPMQYSSDSNRERTSLLSPV >CDP04790 pep chromosome:AUK_PRJEB4211_v1:11:5765155:5768989:1 gene:GSCOC_T00019505001 transcript:CDP04790 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPPPYFWLPKNLQPNDANPEWMNKGDNAWQLTAATLVGLQSVPGLIILYGGAVKKKWAVNSAFMALYAFASVLVCWVCWGYQLSFGNELIPIWGKINVALEQKYLLSQAFTGNFPNATMVFFQFVFAAITLILIAGAVLGRMNFYAWMLFVPLWLTFSYTFGAYTIWSLNGWLSSAGIIDYSGGYVIHLSSGVAGFTAAYWYSCVKLKLFINHRNYIYILKTIRGLYGKILNHTGLFVPKNDHQVGPRLTKDRQNFPPNNILLMLAGAGLLWMGWTGFNGGDPYAASIDASLAVLNTHVCTATSLLTWLILDIVFFGKASVIGVVQGMITGLVCITPAAGVVQGWAAILMGLCSGAIPWFTMMVVHKKSQLLQRVDDTMAVFHTHAVAGSLGGILTGLFAEPNLCNLFYGKPGSYTGLFYGFHNSKARDGFRQIGLQLIGVLFVVALNVVSTSLICLLIQLVVPLRMTEEDMEVGDEAAHGEEAYAIWGQGERLENSRISVNDVELGAPRTKESRLETT >CDP00697 pep chromosome:AUK_PRJEB4211_v1:11:26786345:26789938:1 gene:GSCOC_T00032743001 transcript:CDP00697 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTISMRQTFLSLLVILILVVQSEGGGIAIYWGQNGNEGTLAETCASGNYHIVNLAFLSTFGGGRTPMINLAGHCDPYSNACVGLSADIKACQAKGIKVLLSIGGGVVSYSLDSSGDARQVATYLWNNFLGGHSSTRPLGDAVLDGIDFDIEGGSSEHWDELAWYLSRYSKRGKKVFLTAAPQCPFPDQWVGGALKTGLFDYVWVQFYNNPPCQYTPGELSNLENSWKHGFIPVNNLTSQVLPAIKGSTKYGGVMLWSKYYDDETGYSASIKSYPNNLFRLQYCIFLFVLLVKKCHTVMMNDDNFCSVSNRASSMPSYRPLSCGISEYFINLKWRNSYLLESLNHDCDPYSNACIGLSADIKACQAKGIKKVYLTAAPQCPFPDQWVGGALTTGLYDYVWVQFYNNPPCQYTPGELSNLQNSWKQWTSSIHATKIFLGLPAAPDAAGSGFIPVNDPTSQVLAAIKGSAKYGGVMLWSKYYDDETGYSASIKTHV >CDP00840 pep chromosome:AUK_PRJEB4211_v1:11:25113526:25118855:1 gene:GSCOC_T00032943001 transcript:CDP00840 gene_biotype:protein_coding transcript_biotype:protein_coding MIQILQITMDKAYSLWLAALVVLLHFAPTSVCVQVFSAGNTRDLDALLALKATVFDPERILPTNWSSSASVCGWIGITCNTRHQRVAAIDLPNMGLIGTIPPQLGNLSFLVRLNLPNNSFHGRPPPDLSNLRRLRYISLAGNSFGGRLPSWLGALSELQYLSLGYNKFYGSLSGVLFNATKLQTILLPNNSITGHIPDEFSSLENLIGLNLDFNQLTGSLPKSLFNLSSLQKLGVSSNSLSGSLPRDICKKHVSQLQGLYLSYNQLQGEIPSGIGECSRLQNLSLSYNKFNGSLPKEFWNLTALERIRLGNTYLEGLLPQAIGYLTKLEVLVLDGNKFTGPIPVRLFNISSLQILSMVQNNLWGNLPSTLGVMLPNLEDLFIGGNRLSGMILTSISNASSLVGLDVGSNQFTGPIPHSLGGLRFLEVLALGDNSFSYESHSGELSFLTFLSNCRYLKQLWLSLNPLNGFLPISIGNLSSTLESFYLDNSGIIGHIPSSIGNLSNLVDLNLSGNALEGTIPRTIGGLLNLQRVELGYNKIEGPFPRQFCHLFNLGHLSLKSNMVSGMLPSCIENMTSLRYLYLDSNNLTSIVPSSLWRVGDILELNLSKNSFSSSLSSEIGNLKELIMMDLSVNDFYGDIPTAIGALEKLQTLSLKHNRIQGFIPDSMKNMLELQYLDFSFNNLAGEIPKSLEALSNLVYFNVSFNRLRGPIPHGGNFANFTELSFLSNEALCDASWLQPCQTFEHRSKKKIFLPVLLALGSAILAMVISFLVIRKWRRKIVIPTNFDPEATLERVSYHELRQMTNGFNDDMVLGSGAFGSVYKGVRENGTIWAIKVFNLQLEGAFKSFDIESEVLRSLRHRNLTKVISACSNPDFKALVLEYMPNRSLDKWLYSGNQILNTMQRLDIMIDVACGLEYLHYGYSTPVVHCDLKPSNILLDQYMVGHVCDFGITKLLGDGESVVQTKTLATIGYIAPEYGLEGLVSTSCDVYSFGITLMETFTKRGPKDEMFTEELSLQRWVKESLPDSVIQVIDVDLVHLEDEVVKNKIACISSILQLALCCTPDAPKDRMNMKDVLRTLQKIKLQFSEGLKP >CDP00160 pep chromosome:AUK_PRJEB4211_v1:11:31323241:31326442:-1 gene:GSCOC_T00032017001 transcript:CDP00160 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSEFHAFLVNFPKQVQKYLKLNSKTSKEDDRTTSSASTDSNDKDSSIAAKVNLEKQLQAWKENPIWVNSTPEVKVSVPKGSLCNLNVKVNIGLPPDAVYDIIIDPDNRRVFKNIKEVISRRVLVDEGLRQVVELEQAALWRFLWWSGTISVHVLVDQNREDHSMKFKQIKTGFMKRFEGHWKVEPILVDEQLCHSVGPKTLEEYDSCTKGKGRIASEVSLDQLIQPAIVPPPPFSWYLRGITAKTTEMIINDLVAEAARIKGFSTTEFSQVPLGSSEGSFDEGMLSYDIKERWALRRRNAKHSRRRLSDGS >CDP05490 pep chromosome:AUK_PRJEB4211_v1:11:21506648:21513410:1 gene:GSCOC_T00020563001 transcript:CDP05490 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAANPSIKQSVFLSPSFFLSSQTSPFKGSQSFIQFKTPVDNRTSLSIRTVRCSQKSGVAHVVNNDAPKKPTANPIIVIDNYDSFTYNLCQYLGELGCNMEVYRNDELTVEELKKKNPRGILISPGPGEPQDSGISLQTVVELGPNVPLFGVCMGLQCIGEAFGGKIVRSPFGVMHGKSSLVYYNEGEEEGLLAGLSNPFTAGRYHSLVIEKESFPSDKLEITAWTEDGLVMAARHKIYKHLQGVQFHPESIITDEGKTIVRNFIKMIERKEAESEE >CDP07963 pep chromosome:AUK_PRJEB4211_v1:11:11085565:11093025:1 gene:GSCOC_T00025510001 transcript:CDP07963 gene_biotype:protein_coding transcript_biotype:protein_coding MAESILSSAVRNIGQLLIEEGKFLQGVSEQVRLLHDDLKGIQRFLRYADTKQTARDGVQQWIPEFRTVAYEASDLVEDYALRLSISSNGGFTSALKRIPCIATEGYTRHDLGVQIQSLRTRISNLTKNFGEYGHVMTRTEEGESSDTSRQQQLRHTYSFVADEDVVELPDDVEQLVKYLLNEVAERKISVASIYGMGGIGKTTLARKVYHLKRVEQYFGGRAWVCVSQQWQPKDLFQRILLKLIPEQSNEIMMSEKDKLARQLQQHLQAKDRRCLIVLDDLWSTEAWDCLKDAIPVSEHGSKIVLTTRNRDVAEHVDPDAYHHQLRLLTNEESWELLRKKSLRGRSGEGFEKVGKKMLKYCGNLPLAVVVLGGILRTKTTFSEWDEVHENIKSYLDKGEKIGKEGEVPKVLAYSYYNLPWQLKPCFLYLGKFREDSDIGVESLYQMWIGEGMIFENDRREQETMMEVAERYLEDLAHRCMVEIKVHEEGKHAVTRLESCRLHDLMRDLCLVKAKEENLYKLVDRTTSRDSPLAFEAQYGLVLHLLPEDISEYNFPPKEQTKHLRSFMCDSLAVPYSNPGVRIMSQVKNLKMLRVLTILSFHMASQRCYLKSPLGYVGKLIHLRCLRLRGRGINLPYSLGNLKYLETLDLSGSYHCRIPNVLWKLGRLRYLYLSDEWWYSQPKLRLSKQLEILESFSNGYCNPEEVCKLSNLRAFKATVYKNFEDLEHIINHISNLDCIRISSLRIDSCDFGRTNSNNSNDSNGSLDVLSRVLFSRNIHELQIVNSFCKKLPDYQSCMSPDPAGLTELWLQCTKIEEDSIGTLEELPNLRLLELGTYSFLGQAMICHSGGFPELKHLKLDDLGNLKQWMVEVGAMPNLSSLCIEGCRELEMIPDGLRCLTTLKEVTLVQMPEEFNNRIRRVNGQQGEDYDKISHVPSVKIHRWVGGIIGFEVETVCPSNPV >CDP05528 pep chromosome:AUK_PRJEB4211_v1:11:22409488:22417935:1 gene:GSCOC_T00020632001 transcript:CDP05528 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKMQQSHHMVAVTVNEQLGTKRKYKFFPRAWLPKILALWIVLMLFGSRTIYTRMDVVTKERRKEVLASMCDQRARMLQDQFSVSVNHVHALAILISTFHYLKNPSAIDQNTFAEYADRTGFERPLFNGVAYAQKVVNSGREDFERQHGWIIKTMKGEPSPIRDEYAPVIFSQETLSYLGSLDMMSGEEDRENILRARNTGKAVLTSPFRLLGSHHLGVVLTIPVYRTELSPNPTKEERIQATAGYLGGAFDVESLVENLLSQLAGHQAIVVNVYDVTNSSDPLIMYGNQYEVGDMALEHVSRLDFGDPYRKHEMMCRYLQKAPTPWSALTTAMLAFVVGFLVGYIIYSAAIHIVKVEDDFHEMQVLKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSATQKDYAQTAEACGRALVKIINEVLDRAKIEAGKLVLETVPFNLRSTLDDVVSLFSEKSRRKGIELAVFVSDKVPEFVMGDQGRFRQVITNLVGNSVKFTEQGHVFVKVHLLEQASAVMDAKMINCMNGESEGVPGDHQFQTLSGYEAADNQNNWHTFKHLIADEDTGYHDSGKVKTDDASQKVSLSVCVEDTGIGIALHAQDRIFTPFMQADSSTSRNYGGTGIGLSISKCLVELMGGQINFISRPKIGSTFSFTVDFRRCEKYAVSDLKKSISDDLPSAFKGFKSILVDAKPVRAAVTRYHLKRLGIQVEIVNSIRIVADLFRKNGSIIPLNERLPDMILVEKDSWMSDEDGCSGLQLLNRKQNGHTYKEPKMILLATNISNGEFDKAKAAGFADTVIVKPLRASMVVACLQQVLGLGKKIPGKDMCKGSTFLRGLLDGKKILVVDDNIVNRRVAAGALKKFGAIVECVESGKAAIKKLEVPHSFDACFMDIQMPEMDGFEATRLIRDMEKNANEQWNVGCVNNDEATKRRAWHLPILAMTADVIHATLDKCLESGMDGYVSKPFEEENLYREVSTFFESKPLPDSVV >CDP00226 pep chromosome:AUK_PRJEB4211_v1:11:30800695:30801519:-1 gene:GSCOC_T00032107001 transcript:CDP00226 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSQILAMPEISLGPEVRGMPVLGFGTAADTPVDAETTKQAALQAIELGYRHFDAAALYNSEQPLGEAIIEAINRGLIKSRGELFITSKLWCSDAHAPDVVPAIRKTLQKLKMDYIDLYLIHWPVSSKPGIYEYPIKKEDFRPLDFQAVWAAMEECQGLGLTKAIGVSKPMPSTLQTFPLLSTKLK >CDP00258 pep chromosome:AUK_PRJEB4211_v1:11:30558970:30560727:-1 gene:GSCOC_T00032141001 transcript:CDP00258 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWYLAIQKLLLYSDNLYAHSMFTITCLFLLLCSWLGFNDKLSQPIASQISKHNHNVHGTIGCNQAHSWNEVSCSHFTKHFIGASKAGIRISPSSNNNTQLSCAELGKKLKQPISSFSSYEKGSTSNSVTQHTCVAIDMIQNADKSFICMNCYKFLTEIAEETSDLSAILPTYEVYEVPHNRSSRRRRNAGY >CDP05466 pep chromosome:AUK_PRJEB4211_v1:11:21131767:21135145:-1 gene:GSCOC_T00020528001 transcript:CDP05466 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDYIGCKKRKRGEGVFKFKGFCDQGFPVEFNGSFQENVRALLEIGQMETGLCDPMPIWSFQLEVHRHPLLHVFLFVVEEPIELSLTRGCKHCQYIGWGDHLICNKKYHFLLPSKDTISACLSYEGSSAGINVTASNSIASKSNLIDLQGHTMHGVFHSNGFGHLLCINGEETGLDLAGFQIMDFWDRLCTGLRAREVSLRDLSQKQGMDLRLLHSMAYGKPWFGQWGYKFGRGSFGVTEPMHRSAIEALQNTPLGLFAHHLGNETNEILTILSRYQMLSGHILVTLGDMFHFMLELKSKLPKESNTESSHPGMLVDTSCRWSAKRIEMAIRVIIEALKRAEFRWISRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGKYLVRRCLNPVTKVLEYCLEDISHAFPRRDGYSRLISTPDSKLKPRYKITWAQLMKDLFRLYKLILKENNAMSSTGILASIPIASRIILDTKLFIKDYSEESTSILDKSVLHCSIVLTTNTDRGSTNKVVITPYEFFMLRNTATFDELKLEVERKFRDLYWGMRNFVAESLANLDAKGSDLVFKLIKAGTKIVFEGKISGESADICGMMFESFESNALVVDCICGTKIDDGERMVSCDICEVRQHARCVHVSNIEEIPTIFLCNSCEQDILHFPSLP >CDP14399 pep chromosome:AUK_PRJEB4211_v1:11:12815842:12819691:-1 gene:GSCOC_T00040783001 transcript:CDP14399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ C76, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23240) UniProtKB/Swiss-Prot;Acc:Q9FMX6] MHSANVSLYTFSPSLITRNAIQRKSSIHVWTPRNWKSYYSSNIACKASKSTSYSSVTDLDLYELLGIESSCDQAQIKLAYRALQKRCHPDIAGPSGHDMAIILNEAYAVLSDPSSRMAYDKEQEKFADLRGYTGKPLYSVWLGPENEKRAVFVDEVKCVGCLKCALFAEKTFAVESVYGRARVVAQWADPEDKIQAAIQTCPVDCISTVERSNLAALEFLMSKKPRGKVRIGFGNTVGACASNIFDDLEKFQARYDMTSSKTTKESDIQRDARASAIQAIRTLSNWLYWQAPACGQATLQRYHFTPFGKKSTEPNIEKLRDVAAARKQARETQQHFLGVSSNYTNDAEYWAPSKLVLPESNRNNSDCRVPSSESPSIKEKEQFEQDFSDQKKISESHPLLSSVPIVIATVAAAIVRSQLGEGISGGLKEHIAGSLVRDIVNSNWLQVILAGVTWYLIGMYIVELVEVLQNKLKN >CDP13342 pep chromosome:AUK_PRJEB4211_v1:11:32975646:32979856:-1 gene:GSCOC_T00038246001 transcript:CDP13342 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSDKAVDDSERTAFRKAEKKYKLYYDNTNNKRKKKPRAVDLSEVIDFKCILESYNQNNELPAGVFKLTSSFGTPVFGLDSRPGFYFIPGALSVEEQCQWIRESLASFPHPPNRTNHNAIYGPLENLFAAAKEERVLVEIEQPRDIVSEIDSVHDAQITPRWKFSGELDKSCRGDACKSVSASVLLRKLRWCTLGLQFDWSKRSYNVSLPHIKIPEALCCLAKRLAKPAMPPGQDFQPEAAIVNYFALGDMLGGHLDDMEKDWSKPIVSISLGCKAIFLLGGKSREDPPLAMFLRSGDVVLMAGEARECFHGVPRIFTDTESAEIVDLESQFSREDSFPFLDYVRSSRININIRQVF >CDP13244 pep chromosome:AUK_PRJEB4211_v1:11:32257438:32261078:-1 gene:GSCOC_T00038122001 transcript:CDP13244 gene_biotype:protein_coding transcript_biotype:protein_coding MQILALIVVLFAFCDSLQRGMSKRPSLSESEVRAGCPPRGWNSYDSFCWTISEEEFLQNAELVAQRLHSHGYEYVVVDYLWYRRKVKGASVDSLGFDVIDEWGRMIPDPERWPSSKEGKGFTQIANRVHDLGLKVGIHVMRGISTQAFNANTPILDINTGKAYEESGRKWYAKDIGIKALSCQWMRNGFMSVDTKLGAGRAFLRSLYHQYAAWGVDFVKHDCVFGDDLSIDEISFVSKVLSEINRPILYSLSPGTSVTPSMARDVSSLVNMYRITGDDWDTWGDVASHFDVSRDFAAANMIGANGLKGKSWPDLDMLPLGWLTVAGTNDGPHRKCNLNLDEQRTQMTLWSMVKSPLMFGGDMRNLDETTFSLITNPTLMEINSFSSNNMEASL >CDP16291 pep chromosome:AUK_PRJEB4211_v1:11:24000829:24013707:-1 gene:GSCOC_T00018068001 transcript:CDP16291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-II [Source:Projected from Arabidopsis thaliana (AT2G01730) UniProtKB/Swiss-Prot;Acc:Q8GUU3] MAIECLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGYLDDRRYPDFSLIPRNAAEDFTSSLSCIIITHFHLDHIGALPYFTEVCGYKGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEEQFSSKDIVECMKRVTAVDLKQTVQVDKDLQIRAYYAGHVLGAAMFYAKVGDAAMVYTGDYNMTADRHLGAAQIDRLHLDLVITESTYATTLRESKYVREREFLKAVHNCVACGGKVLIPSFALGRAQELCMLLDDYWERMNLKIPIYFSAGLTIQANVYYKTLINWTSQKVKDSYAARNPFNFRNVCKFERSLINAPGPCVLFATPGMISGGFSLEVFKQWAPDEVNLITLPGYCVAGTVGHRLMSAKTPTQVNIDQNTQIDVRCQIRQLSFSPHTDAKGIMDLIKFLSPKHVILVHGEKPKMESLKGKIESDFDMQCHVPANNETVSIPSTHYVKADASSAFLKSTWSPNFKFVTERSSGNLITDDMDMISKPVLRVRDDRVAEGILTTGKHQNPKIVHLKELVHTSGLETHDVQFALCFPVRITKMDEQQQLNPVSQESLPFLHQLFLKLSNNFSEATIQESDQNLQIESFIVSVCSKEKCPHRMNDGPDYTYEAVHFCCTWSGADEKLARNVISFMKNLVLNKC >CDP16866 pep chromosome:AUK_PRJEB4211_v1:11:309929:334828:-1 gene:GSCOC_T00019436001 transcript:CDP16866 gene_biotype:protein_coding transcript_biotype:protein_coding MYARRIKSNTQKWYLVLQRGKYSCSPNCREYSSYGSSSCIQTARKFNSQGNLIRRYVLERVYPSCVASERLYTRLHERPPVSLRCGLYRFYSSKGDGRNASEDKPHASVKDGAANPDKGKIQKGKKIANDVRHNAHAQLGEEDQKEWLHNEKLAIESKKKESPFLPRLDRYKNEFLRRIVPWEKITVSWDTFPYYIHDHTKNLLVECAASHLKHTKSAKDFGGRLTSSSGRILLQSVPGTELYRERLVRALARDLKVPLLVLDSSVLAPFDFSEACSSETESDDENGESGEECTSESEVEDENDATNEDEWTSSGEAKAETSDDDEVDLQASAEALKKLVPHNLEDFEKRVSGESESVTESSQSEASEHSDKSKQPFKKGDRVKYKGQLVLGAEKRIVLGKIATSDGPSNAYTVIHGRPLSSGQRGEVYEVNGNEVAVVLDVGETNADGEKDEKLTSQATRPSICWINVEDLEHDLDAQSEDCYVAMQALHEVLDSVQPLIVYFPDSSLWLSRAVSRSNRKEFVQKVQEMFDQLAGPVVLICGQNKVETGSKEKEKFTMILPNLGRLAKLPLSLKRLTEGLKATKRSVDDEVCQLFTNVMCIHPPKEEDLIRTFNKQVEEDRRIVISRSNLNELHKVLEENELSCMDLLHVNTDGVILTKRKAEKVVGWAKNHYLSSCLLPCIKADRLYVPRESLEIAILRLKEQETVTKKPSHNLKTLAKDEYESNFVSAVVPPGEIGVKFDDIGALEGVKKALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRLKILKIILAQENLEKSFLLEHLANATEGYSGSDLKNLCTAAAYRPVQELLEEETMGGKSGSSSVLRPLNLEDFIQAKAKVGPSVAYDAASMNELRKWNEQYGEGGSRRKSPFGF >CDP18566 pep chromosome:AUK_PRJEB4211_v1:11:1894925:1896099:-1 gene:GSCOC_T00004228001 transcript:CDP18566 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRGGEMSAEEREKSSRNLREWHGFEKPEICHQKLVDNFKRAAACAGFNESTTSLPPSNYPQDAMIMLYGLYKQATEETEFDDIPLPKSSDELEQKLYRIRIGMLCISPTDAMMNFVATVEGVDPEYRARASSFSRESLEQYELNVSV >CDP00359 pep chromosome:AUK_PRJEB4211_v1:11:29774687:29777276:1 gene:GSCOC_T00032275001 transcript:CDP00359 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIFVACMVILVSWVLIHRLKQRNVKGCPKTWPLVGAAIEQLLNYDQMHDWILKYLARSRTVVVPMPFTTYTYIADPTNVEHVLKTNFGNYPKGDTYHSYMEVLLGNGIFNVDGELWRKQRKTASFEFASRNLRDFSTVVFREYSLKLSEILSKASHQKQEIDMQELLMRMTLDSICKVGFGAEIGTLAPELPDNRFAQAFDTANIIVTLRFIDPLWKIKKFLNVGSEAVLDQSIKIIDDFTYSVIRRRKAELEEAQGANKDAKIKHDILSRFIELSKDPDNHMTDKSLRDVVLNFVIAGRDTTATTLSWAIYMIMTHEDVAEKIYEELKTLEESRAKEENIILQPYQRDDFESFNKRVMQFAGLLNYESLGRLFYLHAVITETLRLYPAVPQDPKGILEDDILPDGTRVKAGGMVTYVPYSMGRMEYNWGPDAASFKPERWLKEGCFQSASPFKFTAFQAGPRICLGKDSAYLQMKMALAILCRFYRFKLIPGHVVKYRMMTILSMAHGLKVSVSRHPEAP >CDP00254 pep chromosome:AUK_PRJEB4211_v1:11:30578662:30584072:-1 gene:GSCOC_T00032136001 transcript:CDP00254 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSRPESCVGGKFGGSKKKSRRRIKEVRRKVPSHLPDRSSLDKFDNKSLPLDPSFNNRTYNKGSIEEDWHDCAAILESDCSDDDFQSVPDDLLSLNGCDAASVSSVTSPKYANQRHGDANVQCVSSIEQPQGQGDLSNQNSARNSVSGVSKSSGHPNDCELRVKFDGSSSEVQPVFLDEISSSADESADREDGLMDNCGILPNNCLPCLASTVPSVEKRRSLSSSPPSARKKAASKLSFKWREGHSSANLLSSKALLQRPIAGSQVPFCPLEKKISDSWSQIEPRTFKVRGANYHRDKRKDFAPNYAAYYPFGLDVFLSPKKVNHIARFVELPAINYSGALPSILVVNAQIPLYPATIFQNETDGDGISFVMYFKLSESYAKELPFHFQESIRRVINDEVEKVKGFPVDTNVPFRERLKILGRVGNVDDLRLSAPERKLMHAYNEKPVLSRPQHEFFLGENYFEIDLDMHRFSYISRKGFEAFLDRLKLCILDFGLTIQASNCFECTILSLSFYSFVLS >CDP05518 pep chromosome:AUK_PRJEB4211_v1:11:22278403:22281951:1 gene:GSCOC_T00020610001 transcript:CDP05518 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASVFLQTVTTRPRLRTKWRPCVVRLSEQNNTRDLEPILMGPSYVSFIVCIPISLLWIFTDKLLILTGQDPAIATEVGKYLIWLIPTLFPYVILQSLGCFLQTQSLVFPMLLSTVASVSLQLPLCWVLVFKLKLGNAGAALSIGISYWLNAILLVLYVKYSSSCKKTRVPFSMDALQTMGGFFRFVIPSAVMICLEWWAFEIVVLLFGLSPNPKLETSVLSLCLTITSLHYLIPYSFSVSASTRISNELGAGNPVAARIALCTVLLESVSEFFLASITLYLCRSILGYAFSDEKEVIDYVKRMTSLLCMSIIMDGTQAVLSGVARGSGWQHLGAYVNLGAYYLVGIPVALILGFALHLRGMGLWNGLVAGATVQSLLLSIITGLTNWENQVGIFFHIISLQLILERNSLVISKILLYYY >CDP18978 pep chromosome:AUK_PRJEB4211_v1:11:31657160:31666873:1 gene:GSCOC_T00001019001 transcript:CDP18978 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD3 [Source:Projected from Arabidopsis thaliana (AT5G13300) UniProtKB/Swiss-Prot;Acc:Q5W7F2] MHFAKLDDSPMFRKQIQCSEESAESLRERCLKFYKGCRKYTEGLGEGYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVNIDLHDVKEARKRFDKASLLYDQAREKFLSLRKGTKSDVATLLEEELHNARSTFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQTSKPSGSGSQLSAQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQAPERCLPASPMGSGHHRSASESSSFESSDFDHAAAEDYASERLASVHLERPFRNSQQPRSCVKSEKPIDVLRKVCGNDKCADCGAIEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLTLFQSLGNSFANSVWEDLLQSRISFQMDLGPAGLYKTDKPQLIRINKPSPADSISTKEKFIHAKYADKAFVQKIRDIRLVAHQIWEAVRTNDKKAVYRLIVSSEADLNAVCEEAVSNSSLTLAKAMLLQEQSGIDHKYSYLEAESLGKLCSSSSHMASTSRIHMTEDLSGCSLLHLACETADIGMLELLLQYGVNINASDSRGQTALHRCVMRGKAPFVKLLLSRGADPQGLNGEGKTPFGLAVESNLDDNEILAMLCESNG >CDP00407 pep chromosome:AUK_PRJEB4211_v1:11:29381265:29386031:1 gene:GSCOC_T00032339001 transcript:CDP00407 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRRWSSAFLLLLLLHLNVVVYGKTLKRDVKALNEIKASLGWRVVYAWVGEDPCGDGDLPPWSGVTCSRSTVGDYKVVTELEVYAVSIVGPFPTAVTNLLDLTRLDLHNNKLTGPIPPQIERLKRLKILNLRWNKLQDVIPPEIGELRQLTHLYLSFNNFKGEIPKELANLPELRYLQLHENRFTGRIPPELGTLQNLRHLDVGNNHLVGTIRELIRIEGCFPALRNLYLNNNYLTGGIPAQLANLTNLEILYLSYNKMSGIIPSSLAHIPKLTYLYLDHNQFSGRIPDAFYKHPLLKEMYIEGNSFRQGVNPIGVHKVLELYDTDFSV >CDP00507 pep chromosome:AUK_PRJEB4211_v1:11:28574724:28576557:-1 gene:GSCOC_T00032468001 transcript:CDP00507 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLQLCLVCAPHCWCCLLPCQLGDWSTKTCCEFHFLLTRLLFILPIDRELFALLAPAAFCHALGHVMSNVSFAAVAVSFTHTIKGNDPMKVPSNQSRKSSLYLNKVTNNFFQFPISCASMASMTELSFNWTGFIGAMISNVAFTCRSIYSKKAMAGMDSTNVYAYISIIALLFCLPPAIFIEGPQLMQYGFRDAIAKVGLYKLLSDLFWIGMSYKVS >CDP14402 pep chromosome:AUK_PRJEB4211_v1:11:12947727:12950240:-1 gene:GSCOC_T00040790001 transcript:CDP14402 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFNYTACRQLLQMFCAIVFFHGSEYVLAMAIHGKSSVTVKSLLISKSYILAMVCSLIEYLMEVYFFPGLKEHWWISNLGLAMVVIGEIIRKLAILTAGQAFTHLIKHYHQENHKLVTHGVYQFVRHPGYSGFFIWAVGTQFMLCNPLSTVAFAIVVWRFFALRIPYEEFYLRQFFGSEYEDYARRVPSGIPFVK >CDP00442 pep chromosome:AUK_PRJEB4211_v1:11:29123394:29125769:1 gene:GSCOC_T00032382001 transcript:CDP00442 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVSEGSSPVEVPQAQLVAKYFVSYYYSLLHKSPERLFRFYKDGSMADWPLTSETTLKGINDMIMSSDYKGCSAEIIDVRAQDSRKGIVVAVKGFFEKERVRKSFYQWFFLAPQETGYFVLNDILFVDGDSNENVTRTSTCPDAMGNAKTASNVTDTAAEITTTTKQSIDNAETVSNATDPAAEVSAKQSTDNAETVVSNATDTAPEVSKQSIENAGTVSNVSDTAAGVTKQSNTPSQNVKDERPRISYASVVFAPTANQQSSASSPSKSKSVMQEHPPPIFSSIEIKYTSNDSSSIHVQNLPPDVTKNELADAVKKFGRIRQGGVQLRIYEDGFCFGFVDFNSPESAKAAVEVLQGQIYTGGTGPLNFYNTYKNFDIILSVFRSSFVYSLIYMLLKLLLILPVVTATLEKAFSVINIVKN >CDP05418 pep chromosome:AUK_PRJEB4211_v1:11:20072116:20079610:1 gene:GSCOC_T00020457001 transcript:CDP05418 gene_biotype:protein_coding transcript_biotype:protein_coding MYCYTNMDIFLLFFLLLIDTKKLEAKMLLDQILLHLTNESVLGIALQLENAARQVIEKKKTKRNLKLKKTKQKNKRFPLSTLALMYHLKSLAFFAIIGRGIQGIIFCSYPQETGHITTKSNGLTASIYSRDAEISTFQNIVNYVQSTNHLISVNLGANPWLASSKKKPSLGIYSLYPNLNNEICIIHDFNFITIFGVVTLRGWSSTFHEIARTVRSPFLGYDDLPDLFRIRVPLLTCSEWSGASSLGPGGLVGSLENYLLTNGGYVYILKTIRGLYGKSSILDCLHTKNVSIRMFGRRRERSSRNNSKMKKWTGGATLLVGKLRLFGRPILSNNRYVGIWYKQVSPVVVVWIANRDVPVNGTNGLLKMTDQANLTIFNGEGTAIWSTNTTRLVQKPVAQLLDSGNLVVKDAADANPENYLWQSFDHPTDTLLPGMKLGLDLVKRINRYLQSSKSDTDPSRGYFTYQMDPNGFPQLFLMNDSIPQFRSGTWDGTQFIGSPGLNSNPLYTYEFVNTPQEIYYRFDLYNSSVYSILTLNSNGVLQRLNYNSRNQDWTDYLDAPADSCDAYGLCQAYGICSIASSPFCRCLDKFVPVSPVDWQTTDWSSGCKRRVPLDCQKGDGFLMYSGIKLPDTRHSRYNQSMSLKECEKLCMKNCSCTAYSNSDTTGTGSVCLLWFDNLIDIKKLSDSDQYIYIRVASSELGSGSNKGKIIVISLVLPAAVLLLVLSLILYFKKKKKKQQWTQTEQLSGEVQIGGSSERYPSREYDKEDVDLPLFDWQTIVQATNYFSSDNKLGAGGFGPVYKGILVGGQEIAVKRLSEYSMQGLDEFKNEVKLIANLKHRNLVKLLGCCIQAKERILIYEYMPNRSLDSFIFDHDRSRLLDWPKRFQIINGIARGLLYLHRDSRLRIIHRDLKAGNVLLDIDMKPKISDFGMARIFANENEANTKRVVGTYGYMPPEYVVDGFYSTKSDVYSFGVLVLEIVTGRKNRGFTHASHNHNLLGHAWLLYKDGRFQELVDDHLSKSCYLSEVLRSIHVGLLCVQQFPDDRPSMSSVVLMLASDRALPFPKEPGYFTQRNLFFEPEKSLSSTKADSSSNQLTVTMLDAR >CDP04827 pep chromosome:AUK_PRJEB4211_v1:11:5113197:5120566:-1 gene:GSCOC_T00019562001 transcript:CDP04827 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEIYRLGSLRLSSSNVWRNTTIDVFSKSSREEDDEEALKWAAIERLPTYHRLRRGILTDEEKGQRREVDIEHLGPAERKIILERQVKFAEEDNEKFLLKLKQRVDRVGIDLPTVEVRFQNLSVEAEAHVGSRALPTLVNFSANIVEGLLGYLHILPNRKKPFPILHDVSGIIKPARLTLLLGPPSSGKTTLLLALAGRLDSDLKVSGNITYNGHGLNEFVPQRTSAYISQHDLHIGEMTVRETLAFSERCQGVGPRHDMLEELSRREKQANIKPDPDIDIFMKAAALENQESTVKSDYIMKILGLEVCADTLVGNEMIRGISGGQRKRVTTGEMMVGASRVFLMDEISTGLDSSTTFQIVNSIKESIHILQGTAVISLLQPAPETYDLFDDVILLSDGQIVYQGPREHVLDFFENMGFKCPERKGVADFLQEVTSKKDQEQYWADRNAPYSFVSEKTFAEAFQSFHVGTQLGDELSVRFDKTKSHPAALSTKKYGVSKMELLKACMLREFLLMKRNSFVYTFAMIKLIVMALITMTVFLRTKIHKDTATDGGIVMGALFFTLIMIMFNGFSELALTIMKLPVFYKQRDLLFFPAWAYALPTWILKIPITLVETALWVGMTYYVIGFDSNAGRFFRQYLLLVCVSQMASGLFRLMGSLGRNMIIANTFGSFSLLAVMLLGGFLLSHDNIKKWWIWGYWISPMMYGQNAIAVNEFLGKSWRKVLPGSTEPLGASVLESRGIFAEARWYWIGVGALIGYLFLFNFLYTLALTYLKPFEKPQAVISEESLAERNAGKTGEEAELSSEGKDMNERRTPGRSISSRVGSRSEAEPTRKRGMLLPFEPLAITYDEIRYSVDMPQEMKDQGQTEDRLELLKGISGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGTISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPNEVDASTRKMFVEEVMELVELTPLREALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFDAFDELVLLKRGGEEIYVGPLGHHSSELIKYFEGIDGVSKIRDGYNPATWMLDVTSLAQESALGVNFAELYKSSELYRRNKTLIKELSTPAPGSKDLFFPTAYSQPFFTQFTACLWKQHLSYWRNPKYTAVRLIFTTFIALMFGTVFWNLGSKRTVRQDLFNAMGSMYAAVLFIGVQNATAIQPVIAIERTVFYRERAAGMYSALSYAFGQIVIELPYILVQTIIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLLYYTTYGMMTVAVTPNQQVAAIASSAFYILWNLFSGFLIPKPKIPVWWRWYYYICPVAWTLYGLVVSQFGDIEEKMLDTNQTVQQFIRSYFGFRHDFVGYVAVIIVGVATLFTFIFAFSIKVFNFQKR >CDP19430 pep chromosome:AUK_PRJEB4211_v1:11:31353089:31355816:1 gene:GSCOC_T00006547001 transcript:CDP19430 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPSQKVGETLLKEYLKDLSLAALIAISLIGVAIVLLIIALVFLQRRSRRRYVEMALKPTVAEISMAESLQYSLTEIQIATNNFSVDNKIGEGGFGRVYKGVLGNGQEVAAKRLSRSSGQGAEEFKNEILVVAKLQHRNLVRLLGFCLEGEEKILIYEFVPNKSLDYFLFDPENKRSLNWSRRYNIIGGIAKGLLYLHKDSRLRIVHRDLKASNILLDGNMSPKIADFGMAKICGVDQSEGNTNRIAGTFGYMAPEYMRRGQFSIKSDVFSFGVVILEMVTGKKNSSFQQSEDSEDLVSYVWKHWRRGESLALLDSSIGDSFAENEVIQCIQLGLLCVEEYVSKRPTVASVVNMLNSSSVTLPTPRRPAVFRCHETESMVEEVEVEQSNTERISIPSSVNEATITEPYPRWRKK >CDP13309 pep chromosome:AUK_PRJEB4211_v1:11:32684973:32692927:1 gene:GSCOC_T00038200001 transcript:CDP13309 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAVRCSPGRELRAENHKRGRSLESGILFRQRDDDLALFNEVQSRERDNFLLQSNDDFEDLFSNKLRYFSDYKLGINVPTRGESSDLLNAEGDKNDYDWLLTPPDTPLFSSLDEEAMPINRAQRGRPRSQPISISRSSTMEKSNRSSRGSASPNRLSPSPRSSHSTYQSRGRQSPGPHSSPPPCLRPSTPTRRPSPPPSKPSTPAQRSSTPTLRRMSTGSSCPAAPSRVRGSSPVKTSRGNSASPKIKAWQANIPGFSSEAPPNLRTSLADRPASYVRGSSPASGNGSKSGRQSMSPTASRSVCSSYSHDRDRFRSQSKGSIASSGDDDVDSLQSIPISSSDHSVLRSVSTFSNRRDLSSSKKPTKIVTSSSAPKRSFDIAIRQTDHRKGTPHNMFRPLLSSVPSSTFYAGKSSAAHRSVISRNSSVTTSSNASSDLGTSGAHDTEGSEHNQEGITNACIKAPYHDIQEEVFAFDKADSIDDKSVDKNNEKLSDRHDEDDGDLVLDSHVVGDGSSTHQDKGLEISVASAVFDVKGDCQDVHSFEETLLCSRCGCRYHAIEEIDADLKLCRNCRSAEILSVLSPKTVIAVENLPGVSAKVLDHGSVDAFAPSAAMLVSLAVNAMGEPGTGCHTELNANLPESSGALGELNLVSQQVGSQPTLSGNTTLNDEIVAQQLQNNSGYSNLKADVSEGAGISLLLTPHSVKGPIIRSRTFTATSITCDDFSYVRDSATSTRSSFGYGTASASSSLDLGSSRQTEARAQRQLSSRKSDTENYRYEMYSKHQRSVSSLSGTSTHGFQASSLATSSHDESLEVSAAVHVERINLEVTHVPLQDLSLASESIELDNMSSDIESDSNLRTVSELSSHTANIHLGDAYVKSASNIEEPALHEHVEELANDSQGGISLEASSTYPETCQEEDCLSNASTDRLDVAEVPNLSSLDAISELESENDHVISPDSVFDMDSQNSRSSMDGLQDPSHRIVSSHDISASVEESVNQDHVHCILEESTVMLEGQGDTHTRSLTLEEATDSILFCSSIVHNLAYEAASIAIEKENYTQLEGSRPAVTIVGKTNPDRRESRTRIVSKRNTKPQKARQRRLETDSKPPPSNIASDEKSDASTARIVGSPARGDSVKPPKLESKCNCAIM >CDP04855 pep chromosome:AUK_PRJEB4211_v1:11:4589421:4597740:1 gene:GSCOC_T00019613001 transcript:CDP04855 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQEQQQWNACSNSSGAAIDNSSCISSSSNGNSNEGLQLQSFFKSIFSKNNDENSFRKPRNKSFFVKRDGGHSDDSGNDDDGSSAWAKLPVPHLSPLARSVISRCSKILQISPEDLYQCFDREFPDKVKQPSNHARNFLEFCSYQAIDLSTTGADYLSKKEFRRLMFDMMLAWESSGVENDLLVNETTSCSNNDREDEDSWSFFYASSTTMAVQVDDKKTVGLEAFARIAPACPIVADIITVHNLFDALTSSSCGRLHFLIYDKYLRSLDKVIKAVSNGAGTQFMSNLSLAEEEIILDIDGTIPTQPVLQHIGISAWPGRLTLTKFALYFESGVGLYDKAVRYDLATDIKQVIKPELTGPLGARLFDKAVMYKSTSMTEPVFFEFPEFKGSSRRDYWLDISLEILRAHRFTRKYNLKGYQKNEALARATLGILRYRAIREVFHIFSSNYKTVLCFNLAESLPGGDMILENLSDRFALVKSGAVRRDVLALSNVKKQMILPVSLLTLGRLGIISCKEEGMKAEATFGQGDICVGEISPLESAVKQSKQNTGRAEAAQATVDQVKVEGIHTNLAVMEELLYPLIVSFKRIQQLASWEEPWKSILFLMLTSFAIVRGWINYILPSISVIFAGFMIWQRYTSKRRPLEAFKIKAPPSKNAVEQLVTLQEAVLQVEGLIQSGNVVLLKLRALLFAIVPQATDTVALSLIVIAVALAFVPLKYLFLLAFVESFTRNMPARKESSERWFRRMREWWLRIPAAPVQLVKVEDKKRK >CDP00264 pep chromosome:AUK_PRJEB4211_v1:11:30505851:30507222:-1 gene:GSCOC_T00032149001 transcript:CDP00264 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVKLLGARPSPFVNRVQFALNLKSIDHEFIPQNLAEKSELLLKSNPVHKKVPVLIHGDQPISESLVIVQYIDEVWSGPPSLLPSDPYDRATARFWAAYIDEKWFPLVRDLRNATDEQSKAAAVEKLFEGLKLLEDAFVNSSNGKGFFGGEKLGYLDIVLGCYLGWVRAGEIFTGLKILDETKTPALVGWAERFASHKAVDGVIPEPEELVNLIKMFQARAAAAAADASTK >CDP18554 pep chromosome:AUK_PRJEB4211_v1:11:1551514:1572176:-1 gene:GSCOC_T00004210001 transcript:CDP18554 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKGRVSPSFQSIKSLPEGVNGNVDVENDESPYSSLSLSAEERPSVGDCLIPESSSVQSTGRSHVESKWTDTKSYSVKKKLQSWFQLPDGNWELGTILSTSGAEVVISLSGEKILKVNSDDLLPANPDILDGVDDLMQLSYLNEPSVLCNLQYRYNRDMIYTKAGPVLVAINPFKKVPLYGNDFIEAYRVKTTDSPHVYAITDTAMREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKIAGANIQTFLLEKSRVVQCTEGERSYHIFYQLCRGAPKSLREKLYLRNWDEYKYLSQSNCHAISGVDDAEQFRVVQEALDVVHVSKTDQESVFAMLSAVLWLGNISFTVLDNENHVEPVEDEGLVNVATLIGCGIAELKLALSTRKMKVRNDIIVQNLTLSQAIDTRDALAKSIYSCLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDMTFANKLKQHLKANSCFRGERDNAFSVCHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCTCHLPQIFASIMLTQSEKPVVGPLHKSGGVESQKFSVATKFKGQLFQLMHRLENTTPHFIRCIKPNNLQSPGVYDQKLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLDHVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGYQARCHIRNLRRGIATLQSFIRGEKARKEYAILLERHRAAVCIQKQVKARHTTKRFKNVSDASILIQSVIRGWLVRRCSGDIGLLQFGSEKDNGSEDVLVKSSYLAELQRRVLKAEAALREKEEENDILHQRLQQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLALDDSHRNSDASVNASDDRETSWDAGSNFRVSDSNGMRPMNAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQAEANLDPDRELRRLKQMFEAWKKDYGSRLRETKVILHKLGSDEGSGDKGRKKWWGRRNSSRIN >CDP00641 pep chromosome:AUK_PRJEB4211_v1:11:27340506:27342411:-1 gene:GSCOC_T00032649001 transcript:CDP00641 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGRITTKSTSNPNEEEGSSIDLRRGPWTLEEDNLLIHYITCNGEGRWNSLAKCAGLKRTGKSCRLRWLNYLKPDIRRGNLTPQEQLLILELHSKWGNRWSKIARHLPGRTDNEIKNYWRTRVQKQARQLNVDSNSKKFLEAIKCFWMPRLLEKMEQSSSLSSSSSISSISTPDNHNSASPSLATNKTPLASSSPLADSKKCSSDSNNSACNNSIEGDSCYHIFSHYQPPEMSAEAMESPDMNFSECQISEADNWFNDDMSAGAFWNTDELWHFRKPEVGI >CDP00294 pep chromosome:AUK_PRJEB4211_v1:11:30257199:30260943:1 gene:GSCOC_T00032185001 transcript:CDP00294 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSALRVNIVVLQCHRKPNNKHLQYWIVPHSKRKFSLVSHQTPISSSFKPDDSSNSPSPPKPHQSQQLGYDPPEEFFGLSVDPQPRKILSGSLKPRSWFGPNGQYIRELPCPSCRGRGYTPCTECGIERSRADCSLCNGKGLIACPQCLGDCVIWEESIDEQPWEKAHSVSPLKVKEDDEVDNLDIKLNVKRKTKRVYNSPSPEVNLKISRSLKSLNAKTGLFSRRMKIIHSDPLLRAQRSAAIKKVKGTPAARRQASEAMKKYFRDPENRQRRSIAMKGVKFYCQNCGREGHRRNYCPEVQNELRDRQLTCGLCGEKGHNRRTCRKSKSSERKRLVSKEHRCRICGQTGHNRRSCPQEKIAEVSIVATSKNSVPTKRSYICRLCRVKGHNIRTCPLQKR >CDP00253 pep chromosome:AUK_PRJEB4211_v1:11:30592319:30595497:-1 gene:GSCOC_T00032135001 transcript:CDP00253 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLSKFKLQLKALISEVKQLKDREISADDQVRVLTQKQKQRDEAFSKKLTALQAELSLSNDIRQKLEKKVSCLESENGLLESKQQELVETISSLLQSKQSFFQVYEDSFGEMKRAMEDRDKKIAVLSEKIKAHSLLFDTIEKEANSIKQVVSNAENALKEREELLD >CDP00748 pep chromosome:AUK_PRJEB4211_v1:11:26262263:26264933:-1 gene:GSCOC_T00032818001 transcript:CDP00748 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDEDFKLLKIQTCALRVNIHCDGCKQKVKKLLQRIEGVYQVSIDAEQQKVTVSGGLDSATLIKKLVRAGKHAELWSQTTNQNQKQQKANCNKDDKNNNKGQKQQQGLIKGLEALKNQQKFAFNLEEDEDFLDEEEDEDEEEEELRFLREKANQINLLRQQTAAMQGKNAKNGFGQAMAAAAAASNNGKMPNNSGNVNAGKKANHQPNQNMGMKGNPGGIDQKTMQALKMNNAQFAGGGNIINPGEAKIGNDISSMMNLAGFHGDGGANNFASVLGGNAASTGSGAVYHHQLQPNNQAFVNSSPAGFPTAGMAAGHHPSAMMVNMNGNGVYNQPSSQSMLMNLQNRHAMQQPQMMYNRSPYIPTSTGYYYGYGPAAVLPPYHSYMGSDPSYYNTADQSASAHMFSDENTSSCSIM >CDP05577 pep chromosome:AUK_PRJEB4211_v1:11:23190643:23192494:-1 gene:GSCOC_T00020700001 transcript:CDP05577 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFTYTIAGGGFILIGAWESFVSSSECIQNTPLSPPLTSPRAISAINNSSTQTKKAPLSSSSVTCISISVLSFFFILNSLIFISDALNSKDHVGFAFQLEECGNAEGYCSSACIRSQWLWYSLTSSKNEERKSAKSVSLSYSSIREGGKGVMEGSKGAQN >CDP00288 pep chromosome:AUK_PRJEB4211_v1:11:30294358:30298911:-1 gene:GSCOC_T00032178001 transcript:CDP00288 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGPGLYSDIGKRARDLLYKDYQGDHKFTVTTYTANGVAITSSGIKKGELFLADVNTQLKNKNITTDVKVDTNSKVFTTITVDEPAPGLKTIISFVVPDQRSGKVELQYLHEYAGISTGIGLTANPIVNFSGVAGNDKLALGADLSFDTATGNFTKYNAGFSFTNSDLIAALTLNDKGDTLTASYYHSVSPLTNTAVGAELSHGFSSNENTLTIGTQHSLDPLTTLKARVNNYGKASALIQHEWRPKSLFTISGEVDTRAIEKSAKIGLAVALKP >CDP11628 pep chromosome:AUK_PRJEB4211_v1:11:16016504:16019990:1 gene:GSCOC_T00034003001 transcript:CDP11628 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLECTTRDYFIGKCIDIQSQQICKILVLRGLRDNSAVCFFTQYHPLCYSSFLCYLFCCLQVDKLILIGASVHVEGTGLLTKLPKFLAYAGVSLLKSLPLRLYANLLAFDDISLSTCFDWTNVGRLHCLLPWWEDATVNYMLSGGYNVVNQIKQVNKRVLIICGECDNIVSNKLAVRLHSELPDASIHQIPDCGHLPHVEKPEMVARLIADFALSGAHKEEQNPIVLNSAGEKNTSSFIC >CDP16511 pep chromosome:AUK_PRJEB4211_v1:11:8741315:8741769:-1 gene:GSCOC_T00018503001 transcript:CDP16511 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLATNSMNLLGKHRLQSLYVISSGIISLVLPPEYMLQGMFRGYKGVSGTQGSCNKSFVLLVRFSWTEWLSMPVFVFVPVFCNSRRIFTGIGRALAAINMAFFCFNCLGLYCLFSS >CDP00777 pep chromosome:AUK_PRJEB4211_v1:11:25955478:25961624:1 gene:GSCOC_T00032854001 transcript:CDP00777 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQEPPFSPDIPPETENPLPKTQEFSNENSFPFDDGQKHSHATGTKMENFKAFLLRYALSSSPTKSLSPLQRSMIEQRLHDFFPDFHTPDHPPYAAMIEHAIEKLNEEGGSTEEAISLFIRKEYPDLPRAHDSLFKYHLKNLCEDGAVVKAGNRFYMTGDEHSPDSLYNLSHIVTHSNIYSPTSHDGVESSPSSPSWCSSTSCSSFSSPRSNRPYARRQKRGGKRGRQRRRTTQRKRVQIKRKGKRVERRGRPRKKNVEDQVYSDKLMLEEEVKELEEQNVRKKEQNEVLEGCDQVRRKRRKIECGQSQETRALRDTDFDQFEVATKEEWRKKHSQEKSVSRDNSQAEELEKQREDQAHYIEGKEEEDQPLQNHSEVNLVCMLQQEAEVEVVGQEDYLKDGLRETTAQLDIAKEENLVIQVIARESCMEDIVLVVTGEPSLLEEHDIQTVRESTEEQRKRAQPCKRTVRGRKAHKKSVPIPGRRRGRPKKDLLVEASQTNAEEMEANETQEVMLEEKTEVIKEQNEVKEGALGIEGTNQVHGHGQESFGCSLAEETVTETEIGKPGVIAEEIVSGEQHNMVIKQESQLIGGTERSDKQQEHENIDQECYLSGKAKNEAFKEQNKPHRQQNLEQNQLVEKNIESSTAQEVEMHEMHNQNPKIQQKFHLEGEHEDAERLITSKATTLPTETSSQVYEEEVEVSNMDMDWQNEIPGKEEDTKIYGKHACAQPDFPTSELSSKLKSVEISSLSQLLQLPGPMSEEEKEGPSMKNKEPQVGSPVQAGDVEITDKPELFSSESSLKLKSEEVSIQPGSLEQPQQGQEKPQRLWRWLLNFL >CDP07936 pep chromosome:AUK_PRJEB4211_v1:11:11823759:11825089:1 gene:GSCOC_T00025457001 transcript:CDP07936 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYANKKTRGYFIAAVSIFNARFKAPSYAIDPHGSTVAQADYVRRIVLMAGAIPALLTYYWRMKMRENYTIHGSGCQESKTRCSRHVKKLKIQKLKLELGLELEMDLVYSPRSFFVTGFRLLGTKTTRFFLDTLFYSQNLFQNMIQSFVVVLCALTFFFANFRPNATAFVAPAEIFPARLRTTCHGISAASGKLGAIVGGIEFLYLSRNKDKAGTAAGYRPGIGMRNSLNVLAVINLSGALFTQPIGMFCKQKPIDCT >CDP00357 pep chromosome:AUK_PRJEB4211_v1:11:29780971:29781859:-1 gene:GSCOC_T00032272001 transcript:CDP00357 gene_biotype:protein_coding transcript_biotype:protein_coding METKTKAVKLEAGEASRAKGGRGVSIFDLILRIVAIVGTLGSAIAMGTTSETLPFFSQFVQFQAQYDDFTTFTLFVIVNAIVCGYLALSLPLSIYHIIRSRAAKSRVLLIFLDTTMLALLTAGASAAAGIVYLAHNGNSSANWFAICQQFQDFCQRASGSLIGSFVAVVSIVLLVILSGIALSRH >CDP16873 pep chromosome:AUK_PRJEB4211_v1:11:434832:438392:-1 gene:GSCOC_T00019446001 transcript:CDP16873 gene_biotype:protein_coding transcript_biotype:protein_coding MADLCDLQILVNRQQTFFLNQKILTKYSEKLKRTIRQAKKRTQIRSSGIEIDDFPGGPDGFELVSRFCYNSGATTITVSNVSLLHCCAVFLGMTEKMFSSNLLHQTEVFLQQMFYWSWNDVLACLKSLEPFFSFADSCGLVKKLMCLLLAKIAQNSDINQLIASSSSASSSPETSSRFRCSPAATKFWWFEDLTLLSPKTIEYFAKGLGSFAGENNSTVLTRFLLHYLQKSAGRHNNNSKSRAPEYNGLAETAVSGVVLTARTSFSCRSLFWVLRVVSGFGLSRNCRAGLEKLIGGVLDQATLDDLLVSGRDGAGVYDVNLVMRLVRQFVQSEGAVTVEKMKRVGMLMDKYLGEIAPDQNLKISRFLGVAESLPDCARDCFDGVYRAIDIYLESHPALSLEERSRLCRCLNYEKLSLECCKDLAKNPKIPPRISVQALASQHSSHVPSSADTFGDESFDIRQNIQRMQRRVVELEKVCREMKGQMSRMKKGRLMLNAAAVAHGEALPRMC >CDP00781 pep chromosome:AUK_PRJEB4211_v1:11:25909334:25913563:1 gene:GSCOC_T00032861001 transcript:CDP00781 gene_biotype:protein_coding transcript_biotype:protein_coding MGEISNSQKYGVPLYGAGWVPPSAIRSIFSKEDADSGDDSKAPPPAAEENHVVLTGGGGEGSSGIPNALLVAKFDFEANSLSDRPVARLATGEDLPYRIAVHPGGEGVICSLPKSCRLYEWAAFEKADDSTLDLRSSERALEHLEDVGQQLSLAFNNESERALEHLEDVGQQLSLAFNNEGTLLATGGEDGKLRIFQWPAMELTLNEANAHASVKDLHFSPDGKLLVSVGSGPARVWDVTNSIAIATLQKENDEQFCFCKFSPGRDGNQVLYIIAMKDRGACILKWNTTTWRKMSSKRIVRDAVSAFDVSIDGKLLAVGTIEGNIWVLSSNSMSVQTVVKKAHLGLVTALRFSKDSRYLLSASMDSSARVTQIKDEEKNGIYACISNISVCVYRCIPLCVYSSVHSGGPSHPINRIISVLVSHESSAT >CDP05521 pep chromosome:AUK_PRJEB4211_v1:11:22337936:22341211:1 gene:GSCOC_T00020620001 transcript:CDP05521 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCEDLKFLISFLKEIPESFSENYEAALDITDPDDGSSLTQNSENLGTDQGLSRLFSVKRMREQVEYIKKSILQCLIDYVTSTTETPGIKKSPRILSAGSIDEQKRALLERLTGGQKELEVIHILVEGDENDVFHDPTVLGHFDIRKRGSIIKSKILAFLGFGENSLNKLNDQEQKERMYEYLKGKRYLIAISVHDGLYYFGIWDDLKMCFPDDGNGSKILVSHRCNPFVPRYPIENESDMCYLIFPPDDEAWYSKALLDKLQLHRDEDLFCIKVRRISSKIFQSTELVIFAERINSIKKKALQTFRNGFPAAEAPVGNPVSESLETESNSPMVGQDIVVGFDDEELALLNRLTGQKREIEVILIAGMAGIGKTTMAKRLYKKPLIVHCFHVRAWASVSQSLSQMSDEAMGVKLYKCLKGKRFFIVIDDIWDAGVWNSLKLYIPDDENGSKVLMTSRIKDVALNPKNECTPHCLRFLSEDESWDLFERKVFGNESCPQELMEMGKNIVAKCKGLPLAIVVVSGLISKMEKTRELWEHIGENIGSYIDSDAEQFMNVLELSYKHLPQRLKSCFLYLGAFPEDYEISVRKLVRMWIAEGFIQQLEGKKLEDTAEKYLMNLVDRSLVIVSRRRSDNGIKTFLVHDMLRNFCIRKAQETNFALPDYGYQTYCSSPFVNFSLDYQNCESLTVFNFFLGNTIDCLVFAPTLTVSCKPNVSFKYNLVRVLDLRHIMEDNFPRRVHELIRLKYLALYLGALTCLPPVISRLRNLETLIVDVEKGRKVTLPDDIWKMFKLRHLQISPEFEFETPWPNSAGPSRDILLARIPNVRNLGFHITLSSREDPFNFFDLSGLNVLEKLKFEYQTYGMVPVTISSSDKFPSSLKKLTLVGSHVNWEEMSIIGMLPNLEVLKVKDNFFNGPKWETCEGGFRRLRFLKFSHMDLQEWIATADDFPSLEKLVLNGCLALTEIPSAFGDSCTLQVIEVYRSSDTVADSACQIQESQRNWGNDEFKVFIYRHFQDNISM >CDP13196 pep chromosome:AUK_PRJEB4211_v1:11:31922041:31924799:1 gene:GSCOC_T00038058001 transcript:CDP13196 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNRNSSAYFFRLFSLILLFAFSALSVEARKSHGQKMHRAQHKKHTNHKGNVSTPPRTRRSRIPAHAPASPPITESTIFDVLSFGAKGDGICDDSKAVAAAWEAACKVPGATMEFPSEFKFLIKPTTLQGPCQPHLTLQIDGLVLAPSKVWSGPKSSLFQWINFKWLQNFTIQGSGIVDGQGSNWWTPSSPFDSMKDKRPKTFPDMKPTALRFYSSYNVTVRDIKIINSPNCHLKFDNSRGVEVNNITISAPETSLNTDGIHLQNSQDVEIHHSYIGCGDDCVSIQTGCSNVFVHHINCGPGHGISLGGLGKGGTVACASNIIVDSIFMNNTLYGARIKTWQGGLGAVKNVSFSNIQVSDVKVPIMIDQYYCDKHICKNKTGAVAISGVKFDKITGTYSAQPLHLACSSSVPCSNVDLSAINLQPSLEFRGLRDALCWNSYGKSQAPLVPTSIDYCLLKGGSVLQKISRSHGKSC >CDP05429 pep chromosome:AUK_PRJEB4211_v1:11:20237614:20245733:-1 gene:GSCOC_T00020471001 transcript:CDP05429 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAGPGVLISTFFLGSALKLIFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGQSFNWGAVIKFLAQVSLGALGIGMAFGFASVLWLGFIFNDTVIEITLTLAVSYVAYFTAQEGSDVSGVLTVMTLGMFYSAVARTAFKGESQQSLHHFWEMVAYIANTLIFILSGVVIAEGVLGNGSIFKTHENSWGYLALLYVFVQISRVVVVAVLFPFLQYFGYGLDWKEAIILVWSGLRGAVALALSLSVKRTSDNSSYITSDTGTLFLFLTGGIVFLTLIVNGSTTQLVLHILNMDKLSAAKRRILKYTQFEMLNKALEAFGDLGDDEELGPADWPTVKRYISCLNDVEGERVHPHISTGDDHNRDHMNLEDIRVRFLNGKLLCGCVSRYIYIYIYIHIYPAT >CDP17996 pep chromosome:AUK_PRJEB4211_v1:11:16806912:16808489:-1 gene:GSCOC_T00001330001 transcript:CDP17996 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQSRAVTRGVESSNPDFGPPPKATKNKYALACALLACMSSVLLGYDTGVMSGGMIYIQRDLKISDVQKEVLVGTINVYSLLGSAIAGRTCDWVGRRYTIAMAGVIFFVGAFLMAFATNYAFLMVGRFVAGIGVGYAMMIAPVYSAEISPRSIRGFITSFTEVFINFGVLLGYVSNYFFAKLPTNLGWRFMMGVGAIPSVMLFVGGLVMPESPRWLVLQGKVGMARRILEKTSESLQEAQERLADIKGAAGIPEDNHDEVVEVPKDRTSGKGAWREMFIHPTRAVLHITIAGIGLCFFQQASGIDSVVMYSPTIFENAGIKSDNGKLLATISVGVTKTVFILVSTFYLDKFGRRISLLTSTAGLVCSLVGLGAGLTVIDQHPNEKLTGAIGFSFFCVLASVATFSMGLGPVAWVYSSEIFPLRLRALGSGLAASMNRLISGVILMTFISLYKAITIGGAFFLFGGIAFVAFIFFFTLLPETRGRGLEEMEELFGTFCKWRSTVRELEEKKRLESENEKKNDLA >CDP13401 pep chromosome:AUK_PRJEB4211_v1:11:33534437:33534898:1 gene:GSCOC_T00038326001 transcript:CDP13401 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNIRVQLLTNFQETKEIKGKFPNCDPNIRAVANGLYSDQPSEHEGRSVVAREPRFHMKAAEDGDINKLKKAEASLRTVMYLSCWGPN >CDP00493 pep chromosome:AUK_PRJEB4211_v1:11:28712242:28724673:-1 gene:GSCOC_T00032448001 transcript:CDP00493 gene_biotype:protein_coding transcript_biotype:protein_coding MCEQKLAPNLPYIKSLFLGWFEPLKDAIAAEQDLCKDGKNRGAYAQYFDQLPAEMMAVITMHKLMGLLMTGGGYGSARVVQAACHIGEAIEHEVRIHKFLEKTKRKNAMNKNPEKETEPVAKAEDRLRKKVNVLMKKQKLHQVREIVKHHDASKPWGQDAQVKVGCRLIQIMMETAYIQPPVDQFEDGPPDIRPAFVHTLKTVETQRGSRRYGVIECDPLVRKGLERTAKHMVIPYMPMLVPPLNWTGYDRGAYLFLPSYIMRTHGAKQQREALRRCPKQQLEPVFQALDTLGSTKWRVNKRVLGVIDRIWASGGRLADLVDRDDIPLPEEPDTEDEREIRKWKWKVNNVKKENSERHSQRCDIELKLAVARKMKDEEGFFYPHNLDFRGRAYPMHPHLNHLGSDLCRGILEFAEGRPLGNSGLNWLKIHLANVYGGGVDKLSHEGRAAFAENHLEDIFDSADRPLEGRRWWLNAEDSFQCLATCMNLSEALRSPSPETTMSHLPIHQDGSCNGLQHYAALGRDKLGAAAVNLVAGEKPADVYSGIAARVLDIMQRDAARDPASDPNVLRARLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCAIEEEAELFSAACYAAKTTLTALGEMFEAARSIMSWLGDCAKVIAMENQPVRWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAIACREAGLNFAGVHDSYWTHACDVDQMNKILRQKFVELYEAPILEDLLDNFQKSFPKLKFPPLPERGDFDLREVLESPYFFN >CDP05440 pep chromosome:AUK_PRJEB4211_v1:11:20724105:20726886:1 gene:GSCOC_T00020489001 transcript:CDP05440 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGFLINQLSTLLAQETTLLGQLKPDLQFIKDELSSMKAFLREAEAKEDNDSQLQEWVKQVREVAYDTEDVLDDFTFRFDHGCADGFCGQVEKIYNSIKNLKASHRISSEIKEIKARVGEISARHQRYQSLYVVSVVGMGGLGKTTLVKKVYDDTAVKKQFQSHAWITVSQNFQFNIIIKDLIQQLYNEIKQPIPPQVESMNVIMLSEFVKDFLRERRYILVLDDMWSIDAWEAIKCVLPDCNTASSVVLTTRIADVASASCLGSLDFVYKMKPLSDKESWALFCNRTFQSNDCPPNLEEVSKKIMKKCEGLPLAIVAMGDPLTTSFLSKFLRGGPKLLKVLDLDGAELDNIPKEVFKLFQLKCLGLCRTKIKIIPKSIRKLKNLEVLALMETSIKELPVEIVKLRKLRSLSLGGSADSDETVREIGKLMQLRRLSITKLRGKDGKELLSSLLRLTNLQELCISCFKEDETLDFQHSISPKLGFLTLLWLNGRLERVPQWVISLQSLSILRLYNSGFREDENAICSLGCLPSLVILGLIFAYDGETLCFKNGGFRKLQTLELMQFKRLKWMRVEEESLPSLKKLNLVGCKLMQELPSGIQNLTTLQCLGFYDMSDELMQRVQNLDKQSEDYQTIAHIPEVCTGYWIDGRWEKKFL >CDP00279 pep chromosome:AUK_PRJEB4211_v1:11:30380370:30382859:-1 gene:GSCOC_T00032168001 transcript:CDP00279 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSYPPMLLTLNHGKSHFKVSFSTSYFHLLSNKQIPIKVKPFSTFHAPNYLGQFQTSSFPILTATRASSNDFLKTIEEKDRNLANEERPVKFLFWVLFWASVSIGIFAVSGDARAAVDSIRASSFGLKVATALRGFGWPDEAVVFALATLPVIELRGAIPVGYWLQLKPVLLTVLSILGNMVPVPFIILYLKKFATFLAGKNQSASQFLEMLFERAKKKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASVLDMPFWSGFLANFVGVVLAGLLVNLLVNLGLKYAVITGVILFFISTFMWSILRGLKKSLSSTK >CDP00376 pep chromosome:AUK_PRJEB4211_v1:11:29586811:29588472:1 gene:GSCOC_T00032297001 transcript:CDP00376 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDISGGESDWVEVQSPFVTTKAHPNEDDENSDQLIEEQQQSHIEEQQQLHPSSSPASLSSDGNHQSEVEEAKVENKDGNWIKRGLGFFSSGIEKIVSRMRNCADRRASMWLFASATTGVGATLLAVVLYRRAKRWRGQALPAESKQHLRLLIREKDQKINQLLHQVIQLNDMLLARRRVPVVQVA >CDP00664 pep chromosome:AUK_PRJEB4211_v1:11:27124108:27124380:1 gene:GSCOC_T00032686001 transcript:CDP00664 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLAFVAVLLLVLGGIEKAKAVTCNPLQLSPCANAITSSSSPTAICCSKIKEQRPCLCSYMRNPNLKKFISSPNARKVANTCGTPFPQC >CDP19006 pep chromosome:AUK_PRJEB4211_v1:11:31445535:31449858:1 gene:GSCOC_T00001057001 transcript:CDP19006 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPQVFPNGMPVPFVNEMFVLARDGVDFEVDKIPGAQGGHVKAKGIIYLSNIRMVFVANKPVGDFAAFDLPLLYIRGEKFNQPIFFCNNISGSVDPVVPENEQRALFSTHSFKILFKEGGCGTFVPLFFNLIHSVRQYNQETSRAGPQLDPLQASQTPVDEMMRHAYVDPNDPTRIFLQQPNPQSQLRRRGYHSQPANHAI >CDP07954 pep chromosome:AUK_PRJEB4211_v1:11:11280333:11286244:-1 gene:GSCOC_T00025490001 transcript:CDP07954 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTSLDERRKRTLDALQRRFAQEEAEHELQQKKSKKRVQEGKDKIPSGISHPSTDASAIAAPLSLSAKKGSISFSSHTSKEEASDPAYFGLSNSVQENLLRDGDEISDKRSIVDYLLHDLFQHGDAADKYMQGSKSIKIDNWILLDNFVQKSGTAAAARVRALQTHSRRSKRHMSLKQHKKCGSFHLPQQLHNFELFKPMHEMWKSYISQLLKHVGKNQLAQCLLNADLHGSVILVVECKIEALHGVHGIMIRETAETFGIITEDNKFQVVPKKLSVFMLQADCWKITLHGDKLTSRPLVP >CDP16872 pep chromosome:AUK_PRJEB4211_v1:11:428330:434596:1 gene:GSCOC_T00019445001 transcript:CDP16872 gene_biotype:protein_coding transcript_biotype:protein_coding MTSILASKTCIWSPADQDYLSKGRSCRNPTFKFSNLDSPSKELFTPNLHLVSGRHQSARNFGPVFSGALDGDMDPDEPTDEKDNEDSPKDEPGGVNSETLREKLERIVGTDDSTFSGIDLATLIRNKFGRSYDVQLIKKEFMGRNLLALNVMWKYREQRSFPLTEEEYLLRLDDVANTLKCWGAVSHVRNSLKKLREKERPRIGKAVSIFIDMDESGGRATEWIYK >CDP05479 pep chromosome:AUK_PRJEB4211_v1:11:21317749:21324366:-1 gene:GSCOC_T00020546001 transcript:CDP05479 gene_biotype:protein_coding transcript_biotype:protein_coding MENNDQNTSVKASGNQELPHKGRKEEEVADKVPYYKLFSFADRADYVLVLVGTVAAVGSGICLPLMQVILGEMINSFGETLDRKQVVHEVSKVSSKYVYLALGSGVASFLQVACWNVTGERQAARIRSLYLRSLLMQDIAFFDKEISTGEIIERISVDTITIQDAIGEKVGKFIQVSASVSGGFIIAFIKGWLLSLVLLSSIPPLILASSAMTIQLAKRASQGQAAYSVAATVVEQTLSSIRTIAAFTREKKAIVEYGNSLNTAYKSGVQEGLAAGLGFGLFTFFSYCTYALAIWFGAKMISEKDYSGGDVLNVTLAVLSGSFSVGHASPCLSAFASGQAAGFKIFQIMKRKPGEVLIDGVNIKEFQLKWIRSKIGLVSQEPVLFASSIGDNIAYGKDSASLEEIKAAAEHANAAKFIDKLPQGLDTMVGLHGIQMSGGQKQRIAIARAILKDPRILLLDEATSALDAQSERIVQQALDGVMVNRTTVVVAHRLSTVKNADKIAVTDQGKIVEKGSHAELLQDPEGAYSQLIRLQQLSKQSYDHVMDNHDGSEIEVDSGRHSSQRISSLKSISQCSSAVGNSSRHSFSISISPPAVVSMQETALGKSQEPVLMPSNMDQQVPLYRLANLNKPEIPQLLLGSIAAVVAGAILPIFGVILSRAIKTFYEPPRELHNNSRFWALMLVVLGVSALLATPLKTYYFAVAGCKLIRRIRLKCFEKIVHMDISWFDRQENSSGRISSRLSIDATSVRSLVGESLSLLVQNSATVFAGLLFSFAASWRLSLIVIFTLPLIGLNGYMHLKSLSGFGADAKKLYEEATQVASDAVGSIRTVASFSAEDKVIFLYEKKCKGPVTIGIKQGLYGAVGYGLSMFFFYSVYAIIFYTGARLIKAGETTFSEVFQVFYGLSMAAVSISQSGMLSPDFSKARSGAASIFALLDLNSPIDSSKTTGITMDNVKGDIVFQHVSFKYPSRPEVQIFKDLCLAIESCQTLALVGESGSGKSTVISLLQRFYDPDSGKITLDGVELQSLNLKWLRQQMGLVSQEPVLFNGTIRANIAHGKEGSATEAEIISAAEKANAHNFISSLQQGYDTIVGERGIQLSGGQKQRVAIGRAIIKSPKILLLDEATSALDAESEKVVQDALVQAMVGKTAIVVAHRLSTIKGADLIAVVKNGVIQEQGSHESLISMKDGIYASLVKQYSSAPST >CDP05516 pep chromosome:AUK_PRJEB4211_v1:11:22234991:22236382:-1 gene:GSCOC_T00020608001 transcript:CDP05516 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLRESNAPRFRILMFPWLAHGHISPFMELSKRLAKSNFQVYLCSTEINLNFMKRSAKFDENSSDHAIEFVQLDLPDLPELPPHYHTTKNIPPHLEPTLKRAFHMAKTNFQNILNNLKPDLLVYDGFQPWASELAALNHIPSVLFLVVGAVNLSFKILAKLQESKAKEGDALDVFKSIELSSDIVLVKSWRGIEGKYIDHLSSSCGKKLVAVGPLSNQEDNGKEADSYSLIIEFLNSKDEASVVYVSFGSEYFLSKEEREEIAVGLELSNANFIWVVRFPVGHAIGLEEALPEGFLERVKGRGMVVNGWAPQAKILGHRSTGGFVSHCGWGSVIESIYYGVPLLALPMHLDQPLHARLAVEIGVGIEILKDEDGQIKREEIARVINEVVVKKTEGKLQGQKAIELSKKLREEGEEELHEAIEKLRSLCSKNK >CDP16891 pep chromosome:AUK_PRJEB4211_v1:11:827057:833846:-1 gene:GSCOC_T00019475001 transcript:CDP16891 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGGTSTSSSRSSKKEQQQKKKVEVEDEEVEEELPWIQEKALDLVEFTGSVTQALPGPRVGQSSLPWVLAVPLAYLGITFVFAFVRTVRKFNSPREKRRQLVNKNATLCKSIDELFEKGKDQVDQSALKGLMQKTGFDMEEILRKYIRYVLNEKPFNPDLVANLIQLRKASGLDDSQIAGVLNEISRRIVKEKGPVVMDMSGYSEKGFKRKLAVQALFGKVFYLSELPEFCSRDSSLIVKEIFGVADEDAEKIRLHTVSEAGDLDSLEKMVDWSESENFPDESSNAP >CDP00427 pep chromosome:AUK_PRJEB4211_v1:11:29216987:29219181:-1 gene:GSCOC_T00032363001 transcript:CDP00427 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFIACLVLFLTMTGHSAANYCVCNTGVGDAQLQKNIDYACGAGADCAPILQNGACFNPNTVRDHCNYAVNSYYQRKGQADGSCSFSNTAAVTQTPPTTSSGCVFPSSPSNAGTSPSTNPSTSPTGTNPTSSPTTTGGTGAGGTGTGTTTTSAPGFGLGPSGSGIGNTDSMGVALQQNLFTSLAATLVLIGLICPRL >CDP05562 pep chromosome:AUK_PRJEB4211_v1:11:23025254:23026368:1 gene:GSCOC_T00020681001 transcript:CDP05562 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVKNNTQVLINCRNNRKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >CDP05476 pep chromosome:AUK_PRJEB4211_v1:11:21266715:21272533:1 gene:GSCOC_T00020542001 transcript:CDP05476 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESCLDGNTINDRKTSPKAAGYEQDSDSKSRRNKKVAHKVPYYKLFSFADPVDYVLMVVGTITAVGSGISMPLMTVLLGEIINSFGKTLDRKQVVHEVSKVSLKYVYLALGSGVASFSQIACWTVTGERQAARIRSLYLRALLMQDVAFFDKETSTGEIIERISVDTITIQDAISEKVGRFIQLSASILGAFVVAFIKGWLLSLVLSSSIPLLVLTASTMTMILAKRASRGQAAYSVAATVVEQTLGSIRTVASFTGEKQAIAEYDKSLDKAYKSGVREGLAAGLGRGTLAFVYYCSYGLALWFGAKMILEKHYTGGDVLNVTIALLTGSFSIGQASPCLSAFACGQAAGFKMFQVMNRKPVISPSNLGGLKLDNVAGNIELKDVYFSYPTRVHEQIFNGFSLFIPSGTTTALVGRSGSGKSTVLSLIERFYDPQAGQVLIDGINIKEFQLKWIRSKIGLVSQEPILFASSIRDNIAYGKENTSLDEIQIAAQHANATKFIDNLPQGLDTIVGMHGIQMSGGQKQRIAIARAILKDPSILLLDEATSALDAESERIVQKALDGIMVNRTTVIVAHRLSTVKNADKIAVIDQGNIVEKGPHSELLQDPEGAYSQLVRLQQPSKGSDDYILDNHHDRPGIKADSGRHFSQRISSKSISRCSSETGNSSRHSLSVSTGLPTVVRMLKTGSEESQESASMPSKKDQRSPLYRLAYLNKPEIPQLLLGSLAAVVTGALLPIFGVILSKAIKTFYEPAHELQKKSRLWALLVVVLGLSYLLATPLRAYCFAVAGCKLIRCIRLKCFEKIVHMDISWFDRQDNSSGRISSRLSIDAASVRSLVGESLSMLVQNSATAFAGLIIGFGASWRLSLIVIFMLPLITINGYMNLKFLSGFNADAKKLYEEATQVASDAVGSIRTVASFSAEDNVILLYEKKCKGPVTKGIKQGLYSGVGYGLSMFFLYAVYATTFYAGARLIKAGKITFGDVFQVFYGLSMAAIGISQSSALSPDASKARSGAASIIALLDLNSPIDSSKTSGIILDNVKGDIAFQNVSFRYPSRPDVQIFKDLCLAIESCKTLALVGESGSGKSTVISLLQRFYDPDSGEITLDGVELRSLNLKWLRQQMGLVSQEPVLFTGTIRANIAYGKEGSATEAEILSAAEKANAHQFISGLQQGYDTLVGERGIQLLSTANRPKKLNLKG >CDP15270 pep chromosome:AUK_PRJEB4211_v1:11:19397103:19405312:-1 gene:GSCOC_T00042937001 transcript:CDP15270 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIKNKTKLLQLTLAALLALLVLLALFSLVTNTNAVLQHPSPSHTTTTTSSTTTTSRSSIHVHQHLQIAHSTCQDTFYPDLCVSTLATFPDLRQRTLPEIISGMVNSTVFEVRDSKQNCTRIRRKLQNLDPLDRRALEDCLQLLDDTFAQLKAAISDLSSNKSALQHYMDLQTLFSAAMTNQDTCLDGFAFSGRKYIRKFIEGRLRKISHHVSNSLAMLKRVKKQQAAAGAVFPEEEGEFGTPVKSGDGFPTWLKKKDRALLQAPLNQTKVNLTVAKDGSGNFTTINDALQAAPNSSTTRFVIYIKAGAYYEYIEVERRKTMIMFMGDGIGKTVIKGNRSVGAGWTTFRSSTVAVVGDGFIARGITVENYAGPSQHQAVALRSGSDLSAFYQCSFIGYQDTLYVHSLRQFYRECDVYGTVDFIFGNAAVVFQLCNLYARRPNPNQQNLFTAQGREDPNQNTGISILNCKVAAAADLLPVLSSFRSYLGRPWREYSRTVYLLSNMESLIDPAGWLPWNGSFALSTLFYGEYKNRGPGSNTTARVKWPGYRVITNTTVASQFTVGNFIQGTAWLPNTGIPFYPNLTPS >CDP00772 pep chromosome:AUK_PRJEB4211_v1:11:25985311:25985832:1 gene:GSCOC_T00032848001 transcript:CDP00772 gene_biotype:protein_coding transcript_biotype:protein_coding MFGESVSITIPSIWASMNSWFTLAVLFVLLNLMIGTIAITSTLANQKQHHNHQHQQNSQNDLHPQQPKLARSPFVLQRLWSINFYHYRSHDSSSIANHFKTSLDLDAYYGFERTHQSQNLGESHSQYIFEQAHQPQNLGESHSQYIFEQTRQEQPALFLSCFGIRHSKRLDSA >CDP00727 pep chromosome:AUK_PRJEB4211_v1:11:26421436:26422437:-1 gene:GSCOC_T00032792001 transcript:CDP00727 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKTTLNLLLHSKTEISPFLQKKPIKENGKQRREKKRLSFLSFKISDPLLVSKFYYCC >CDP00842 pep chromosome:AUK_PRJEB4211_v1:11:25069754:25077514:-1 gene:GSCOC_T00032947001 transcript:CDP00842 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTYFLFAGALVLLHFITTSSAGASIANNRNNTIDLNAFLAFKSTIFDPQRIIPTNWSTSSSVCNWIGITCNTRHQRVAAINLSYMGIAGTIPPQLGNLSFLVWLSVSNNSFHGHLPTELSRLRRLKYINLKGNAFEGEFPSWLGCLSALWYINFEYNRFSGSLSGRLSNFTKLEVIALAFNFFTGNLSEEFSALPKFRVLEIEYTQLAGPLPQALFNLSSLQKIGFTSNSLSGYLPARICDYLPQLQELYLSRNYFEGEIPSGIGECSGLQFLSLSYNKFRGYIPNGVWNLTTLTAIDLGENDLTGIIPQEIGNLSKLEVLMLGLNRLRGPIPLKLFNSSTSIGNLSSSLESIYAARCGIISEIPSSIGNLSNLIGLSFATNSLTGVIPTTIKWLLKLQRIDLSDNQIQGAIPSEFCNLLNLEGLRLGQNKLSGMVPSCLGNVTTLRYYGLEGLVSTSCDVYSFGITLMETFTKRKPKDEMFTEALSLRRWVQDCLPDSVIQVIDRDLLHPENELVQTKINCISSVLQLGLSCTTDAPKERIDMKEVLRALQKIKLQFIKDIMP >CDP00763 pep chromosome:AUK_PRJEB4211_v1:11:26087375:26088918:1 gene:GSCOC_T00032837001 transcript:CDP00763 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKETEMLEVRAKKQEKTEADIKQLRRSLNFKATPMPSFYTEAGRWSDKNKVLANNGKSSKVQNRPSSTAIGATAASRSCSSRGSGQACFTTESAKAVNSPPLSGAARYHSVVRSERSIRFSDLAASNCHPTASAIGLAGKEDQEKVKHRSLQKERASEANKLNKGQKVEGKHKVGVERRTKGVIKKGIKAVDLCNSSRINNLAVGVAS >CDP11043 pep chromosome:AUK_PRJEB4211_v1:11:6305879:6307532:1 gene:GSCOC_T00033031001 transcript:CDP11043 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLEKLPNLRRLGLHLESFLGQEMICHSMGFPQLKHLWLEDLGDLKQWKVDEGAIPKLSSLRIEYCEKLEMIPDGLRYVTTLKEVSLAGMPEEFNNRVRIVNGKQGQDYHKISHVPSINIRSEWSS >CDP13372 pep chromosome:AUK_PRJEB4211_v1:11:33251998:33253020:1 gene:GSCOC_T00038283001 transcript:CDP13372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 8 [Source:Projected from Arabidopsis thaliana (AT3G02960) UniProtKB/Swiss-Prot;Acc:Q9M8T7] MSPEGNIVLQVYIHCPGCEETVVKSLRGYDGVEGIEVDSKNHIVIVKGEKADPTKVAKRLGKKSGKYVKLISPIPPKDKKEEKKEEKREPKGVEVVLKVHLHCEGCAKDVKHCIHKMPGVRTVEPNMEKNVVTVKGGIEPQKLVEFVKKRAGKHAEIEIVKLEKQKQSEDKKNGKECETEKHCNKSGGKEWYANFCPELVYAPQLFSDENPNACSIM >CDP04813 pep chromosome:AUK_PRJEB4211_v1:11:5317375:5320316:-1 gene:GSCOC_T00019541001 transcript:CDP04813 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWQNNRKPKAVVVGGSIAGISCAHALINAGWDVVVLEKTCAPPSGSPTGAGLGLDPLAQQIIQTWLRQPELLHNSTLPLSIDQLNAKFLKRVTHMT >CDP07935 pep chromosome:AUK_PRJEB4211_v1:11:11828810:11830117:1 gene:GSCOC_T00025455001 transcript:CDP07935 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMTRSSVFGRLGGKQQCQHYYVKNDYPVVVCKYWLAGKCNRNPCRFLHSNSPISQPKRSTWTNPNLSSSSSSKNNPKVSSSSSSGGGGAKEESKFSRTAELASDCGASGEKGDQKKLCKYWITGNCVHGDKCNDLHTWFLGSRFSPLTKLERRHNKAVAGIALPSGSDKLFPGSRDGPNEGREIGCLITEGSWVFVGLHNAIKAWNIQTQTEVTLPAVGLVKAMVVGGDSNEILFGGVQDGTILAWKYSSCCTESMATRKGHRLAVLSLIVGANNRLYSGSKDETIRVWDIRTFQCLQTLKGQTDFVTSLSCWNQYLLSGSLDNTIKVWASIDDEGNIGVIHEVKEESGIIALGGIEDAIAAKHILLCSYKDNSVRPYELPFLAERGRIFSKAEVETVQRGYGDLFFTGDATGEVSVWKLLDEQTTAADCASI >CDP16254 pep chromosome:AUK_PRJEB4211_v1:11:24612873:24614956:-1 gene:GSCOC_T00018018001 transcript:CDP16254 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFCNIKNLSSINSPSVMSHNFPSNAPHSNLRTSRLGSLPMVEISLHGKKNLDRVNFLRFEGKLNSQRCCTSPLYSSLSDSSRVRKSKFGKRLHFKELSHESRSMQLNFRRLGKPLKIFSVSSPQQKAGVASVCKLSNLKNFSRLYFGKVHDPHILKCLSFTKSPIVSGNSISPYISSLKRKVSRLWRLLISGGIIYE >CDP07985 pep chromosome:AUK_PRJEB4211_v1:11:10084679:10085221:1 gene:GSCOC_T00025548001 transcript:CDP07985 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHDIKEDEFGFSRNYFLAKELGNSNKKSGHKLADIDVIDEQELREALANIEQKHEKEIDDFWVLLVGFSECGECSSRGGVKFFRLCILESCDVKIIFLCLFGFQHAPNVFICFFVWQLARFLSRYSIGESGLVSWNFCWKTTGCND >CDP00228 pep chromosome:AUK_PRJEB4211_v1:11:30795710:30800160:1 gene:GSCOC_T00032109001 transcript:CDP00228 gene_biotype:protein_coding transcript_biotype:protein_coding MINYQKLWIVSFILILRLGFGEAFKVPFTVKDVLPVLPRQISWPVMNSIHSAVDLMPSYIGSLAPHNGSIDWKGACFLDNKARVEFTGSGDRNIGGAVIYLSTGEAQSWTCMDLYVFATPYRITWDYYFSARDHTLKIESWEEPAEEEYVKQHGISVFLMPSGMLGTMLSLVDVLPLFSNTVWGQNANLNFLKKHMGATFERRPQPWRATINPHDVHSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTSVCLKDELGNLWVGESGHENEKGEEVIVVIPWDEWWQLALKDDSNPHIALLPLHPDVRAKFNSTAAWEYARKMSGKPYGYHNMIFSWIDTVADNYPPPLDAHLVMSVMSMWTRVQPTYAANMWNEALNKRLETEELNLYEILAETERRGLSFDQLLTIPEQDEWVYSDGKSTTCVAFILAMYKEAGVFGPIADSIQVTEFTIRDAYMLKIFENNQTRLPKWCNSGDDMLPFCQILGEYRMELPQYNTLEPYANMNENCPSLPPTYERPVRC >CDP16885 pep chromosome:AUK_PRJEB4211_v1:11:721909:726650:-1 gene:GSCOC_T00019466001 transcript:CDP16885 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKKPSISSPATKFFIVLLFIFFLDIHGGGHYSFHASARKLPNVEEENRSVVDIIDENSATSGSRRSLLSNGLAITPAMGWNSWNHFACNVSEELIKETADALVSTGLSKLGYQYVNIDDCWAEINRDDKGNLVPKKSTFPSGMKALADYIHSKGLKLGIYSDAGYYTCSKKMPGSLGYEEKDAKAFASWGIDYLKYDNCNTDGSKPVERYPVMTHALMKAGRPIYFSLCEWGDMHPALWGGNLGNSWRTTNDISDTWDSMVSRADENEVYAEYARPGGWNDPDMLEVGNGGMTKNEYIVHFSIWAISKAPLLIGCDVNNITKETMEILGNEEVIAVNQDKFGVQAKKVRMLGDLEVWAGPLSDYRVAVLLVNRSTRRDSITAHWEDIGLPLKTVVTVRDLWQHKTLKKKFVGSLTATVDYHASKMYIFTPDRS >CDP18011 pep chromosome:AUK_PRJEB4211_v1:11:17103456:17117245:-1 gene:GSCOC_T00001355001 transcript:CDP18011 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPGSGRATEARSGGKIVTNRRRKLTTATPYDRPPQPPPQESPNWLTGVIFPATRKIASGAAKILSTVFDSSSSSSSSSSDSEAASDDDANQSNKDREILSEALECVDKEPQLSLYSSVTKIAIERLLTQESFSREERDRLVQIIDSRVMMGGEENILPTGVPVGTVGNDTADLCTKAVAEARKWLEEKRVESSPLSDLAHESHGLSHIKLQRSENEAGSPIDVAKSYMKSRPPWASPLAEHIELRTASAIPSEMTRELFREKTPASCGGGSLSSSKKRNFASCSWNIEEEIRRVRSKASEDMLNSLSSSKIDLSPLATTLKPRQDSLIADIPNSRGDLGNKSNIVTGTPSSDASVHLAAGLNTSIGISALETRQDDLANESLTPHPAAIVSEEYQDLEAFKITDECPGSKSNHVTLDLATEHLDEPRSSQTKVSPAGGVREFDEIGNDNGLHTSQERGLSLEVGTREVDGQAPDGNAKADGNDLGTTSSIPLEDARELISEASIEVPIVDETNGFGNVSQTSPVVHNELSQEPTQPYIDKVAQKADGKAVKHEAKKPGKYNRRGRGRGK >CDP00514 pep chromosome:AUK_PRJEB4211_v1:11:28543784:28546770:-1 gene:GSCOC_T00032475001 transcript:CDP00514 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVITDIPPPSRFLLEDLNIFTPPSPPLPCPFLLFSISNNGKPFRPSLLIVAISFPSLHFFHHVSSKKRIGTLILPEIPFSGNSIEPSLRDKSCDIYAINNADESIVVVSVQYPVTAERSHAVAKLLIGEQINPERVLILESVQSRNFRGKLSRDDSFAFKLETSLERSSKNSPLITGLDYLPSGSMVDGLAAALLAQCQLRKIRGSLCLSWPEFGFSSNSLIKNMLLKVLPGIELASNSNDEDSFLRIARGKDYLDSELYT >CDP00304 pep chromosome:AUK_PRJEB4211_v1:11:30169359:30175088:1 gene:GSCOC_T00032200001 transcript:CDP00304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC3 [Source:Projected from Arabidopsis thaliana (AT2G02860) UniProtKB/Swiss-Prot;Acc:O80605] MDAVSIRVPYRSLKQEVELIATQNRHNRIVSSLVNNSDMNSSSNSPSPPPPTANGDAENQQEKGSSLLTLILSCTVAAGVQFGWALQLSLLTPYIQTLGIQHAFSSFIWLCGPITGLVVQPCVGIWSDKCTSKYGRRRPFIFVGSLMISLAVIIIGFSADIGYFLGDTKEHCSTFKGTRTRAAIVFIIGFWMLDLANNTVQGPARALLADLAGPDQRNSANAIYCLWMAVGNILGFSSGASGNWHRWFPFLLSRACCEACGNLKAAFLVAVVFLTLCMLVTLHFAKEVPLAPKQPPRLSDAAPLLDNPEQIGFDLSKSEVQNGNAMEIKSKDDALMGDGNVRSENQEVVEDAVESFSDSPGAVLVNILTSLRKLPPAMHSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPNGDVSEVKAYGQGVREGAFGLLLNSVVLGISSFFIEPMCQWMGAGIVWAMSNFMVFVCMAGTAIISLVSVRGYSEGVQHVVGANGATKVASLVVFGLLGLPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVLPQMVVSLGAGPWDALFGGGNIPAFVLASLSALAAGILATRKLPNIASSSYRSTGLHFG >CDP11021 pep chromosome:AUK_PRJEB4211_v1:11:7107914:7111789:1 gene:GSCOC_T00032994001 transcript:CDP11021 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRMNGGGFGFGDYYDEVDRSTGMASSSSSSPSSSSTPAKIFAVNSPPPTAAVGVGGYIEHTVSKFDTLAGVAIKYGVEVADIKRMNGLVSDLQMFALKTLQIPLPGRHPPSPSLSNGLDTQGPRGCEKTQPTRRHSDLFDSFQSLKLTPSPQRKVSPAMSSLQGYYGLKPAEEKSASEGFEMAVYRKGGAHYLEDGPFARPSSNLNPPLSHHRKSKSEANGFATENGHLDDHLSAQESTDSDSDNWIEKLLVRRRQKSEADFSSRTPEKLLKEDNSGGSGFSAITGKGLALRPKSTSRTLPGAEAEAGLPNPIPIGLGESFVIDSLSSVRKSSSTSSLQDSENGTLSSLWPTTKWSLKPDLQALSSAVITRPIFDGLPKPITGRRNKAALD >CDP05540 pep chromosome:AUK_PRJEB4211_v1:11:22654587:22656195:1 gene:GSCOC_T00020650001 transcript:CDP05540 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSLEAERYDGSLIKISCRNSDMPGWKWVQELITGHPLRILENYRITVDNFMRLCDVLVGNNYVLQNPHKHVLIEEALGMTLVMLSHSMRTRVVGERFQHSMETIHRNVTEVLLGLCTLDGTHIPATMVPGFLALFKGGRNVRVGQGRGRSQVPKELFNTRHSQLRNIIERFFSVLKQRFAYLRGSVPYSYMQMQINFVITCCALHNFLRENQPLDDHFMMYEQERMQFEGECGGPPYPQIQPLTSPQEIEEWKGMREEMANQMHAASRRRK >CDP07995 pep chromosome:AUK_PRJEB4211_v1:11:9671571:9679534:-1 gene:GSCOC_T00025570001 transcript:CDP07995 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSYASIFSRYSDFILLLIFLSFLSLSFLQVSSSDAEALLALKSSIDPTNVLQWGREFVPNVCYWQGVRECKNGRVTKLVVERLNLTGILEENSMNQLDQLRVLSFKDNSISGQIPNLSGLVNLKSLYLNNNNFSGSFPSSLSLLHRLKVVVLANNKIPGNIPESLLRLPKLHVLYLENNLLTGEIPPFNQTSLKFFNVSNNNLSGEIPAHSSLVYFNESSFSNNVDLCGEQIHKQCTPSNTPGPSISPSYPIIPKSQENHRRKKKLILILVPSILGGILLVCISVAALIVCFKGRAKKTKEIKSKSMEEGEGAEGGSGGRGGDPHDDHGGGGKQGAFSWDQGGEGLGTLVFCGPGDQQMSYTLEDLLKASAETLGRGTIGSTYKAVMESGYIVTVKRLKEARYPRIDEFRRHVEVVGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSRASGGSKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGTDFESCLTDYGLTTIRNPDSIEESSASSLFYRAPECRDIRRPLTQQADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPRWVRSVREEETESGDEPASSNEASEEKLGALLNVAMACVALAPENRPTMRDVLRMIREARAEAQVSSNSSDHSPGRWSDTVQSLPRDEHLSI >CDP11604 pep chromosome:AUK_PRJEB4211_v1:11:15041510:15043495:-1 gene:GSCOC_T00033962001 transcript:CDP11604 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHEKFPGVSKELQKIVDANMRKVGARRRAREAFKDIQLSIDHILFKIPSDGLKMKESYQVNSRGLEYFSKSWVPQTSPKAVVCFCHGYGDSCTFFFEGM >CDP05558 pep chromosome:AUK_PRJEB4211_v1:11:22986348:22990467:-1 gene:GSCOC_T00020675001 transcript:CDP05558 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVVEFLLANLKELLLYHVDLLSGVKDQVESLHRELSLMKAFLKDSREKRDESAYVREIVRQITDVTYEAEDIIDTFVVHAAMQKARSALKRVFHALDHSNMLRSVSEDIKSIKVKVKEIYDKKMFGIESLQSGEPSHKPSARKRAPIVEEENVVGFDEEARTVVERLTDGPEQLEVISVVGMGGLGKTTLAKKVYSDPSIEYHFYIRAWVYMSQQYCRREVFLGILDSMGLITDQVYKMNDDRLAEELFRHLRSNRYLIVIDDVWTTEAWNDIKMAFPNTACGSRILLTSRNTEVAMHANPYCNPHRLRFLTNEESWELLCKKVFREGSCPPELQELGQRISKRCDGLPLAIVVVSGLLSKREKTRTWWNKVAESVSTYVARDPTQCMDVLALSYKHLPDHLKVCFIYFGAFPEDFEIPVSKLLKLWVAEGFIQQIGQETLEDIAEEYLVDLVDRNLVIVAKKRANGRIKSCRIHDMLRDLCIREGAEENFLQVIRGIPDRASLTSIPNYCRRLCIHSHVLEFVSSRPSGPHVRSFFCFSMDERDVPREHTSFVHEAFNLVRILDLKSIIFSRFPNEIVQLVHLRFLSLSGHFKVLPPAISNLWNLQTLVVVTTSRNLDIQADLWKMLQFRHLHTSGLSCLHGPRAETRKDSEDPFVRRNIQTICTIVPECCTENILSRTPNLKKLGIRGKLVMLVQERGGMSLFDNLAKLDHLETLKLLNDTFPLDPFKCHIPGLPQSYKFPPNLKKLTLSDTLLDWSEMSTLGMLPNLEVLKLKDYAFKGSRWEPLDGGFRLLRVLQLGRSDLVHWHASSHHFPRLERVVLKHCTHLEEIPCGFGEVSALQNMELYWPTPAAAASARLIQHQKQQDQRMVNSAFKLLIYPPDL >CDP00814 pep chromosome:AUK_PRJEB4211_v1:11:25525017:25533690:-1 gene:GSCOC_T00032908001 transcript:CDP00814 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFQVARVETTPFDGQKPGTSGLRKKVKVFTQPHYLHNFVQSTFYALGADKVRGATLVVSGDGRYYSKDAIQIIIKMAAANGVRRIWVGQNGLLSTPAVSAVIRDRVGHDGSKANGGFILTASHNPGGPHEDFGIKYNMENGGPAPESVTDKIYENTKTIKEYLIAEGLPDVDICTVGVSSFTGPEGQFDVDVFDSTTDYVKLMKSIFDFESIRKLLSSPNFTFCYDALHGVAGVYAKRIFVEELGAEESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKTNNESEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVEAIPYFSSGLKGVARSMPTSAALDVVAKHLNLKFFEVPTGWKFFGNLMDAGMCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNLGGGKLVTVEDIVRQHWSVYGRHYYTRYDYENVDAGGAKDLMAHLVKLQSSLGEVNEIIKGICSDVSNVDRADEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRVYIEQYEKDSSKTGRDSQEALAPLVEVAIKLSKMEAFTGRSAPTVIT >CDP11010 pep chromosome:AUK_PRJEB4211_v1:11:7667188:7668792:-1 gene:GSCOC_T00032972001 transcript:CDP11010 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPDSSCKKENHQPRRTSSCFLGCFGSSIPPHKVKEKSKKSSTSPTTTTRTTVSWFSWSKKSPKRTVPVDAATSEKLHQRKDGFLMAKSIKKVLAKPPNLPADGAVAGQAPGGTIGDGIVQGKKFAKSKYENAQKIILENSKSLNRLESIVEETTYKKGSSKKHSSSHRSPEDQHAVSQRAVAVISHSASIPPLSIQKKPAVGNGGEQSFLVKKDKSQGENDKQGGKFDRFVGMSIILVTLTIMVVWGKLCAILCTSAWLYCFPLIKAQKVEPKVTTRNGSKSFDLDINSEEYKKKVVLEGLLERNRRNNA >CDP00778 pep chromosome:AUK_PRJEB4211_v1:11:25950596:25953902:1 gene:GSCOC_T00032856001 transcript:CDP00778 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEEGTVEVRKKDKKKSKKGKKNEVADIHICKGDGGGKDSGKSAEKDMKKSKKGKKNEVSDNKIQEGNGGGKDKVIESCKSDDKECGEGGNIEKKKKEEEKCEVSESSNEGNGRRVRGNDKKKDFEHSRERNDGVDVLEKIEKKKRKREKDKMIGSDEQLKQEELEDAKHGSQLSMNGTCSEAIRGAREGDGVVKVEKKKKKKKLISVHSGALQQGNTSSNETEAQENKDQSGEEAKLEAIDEDCETENVEEQKKKKKKKKREKKKENDVSAGSGLGILVEESMNGNANSTLNEKSDKDLQNGGKRKRGKAKSVQNGSKDPKAEKSKKKVRFSGTTEVFPPSDDNLVRGKRFSNEEDEIVKQAVFDYIEAHCLGEEGLNMVLNCRSHPEVKNCWKEITTCLPHRPHSAIYCRAQVLFRRDKKRKWTEEEIEMLRESHKMRGNQWKELADELGKHRFHVKDTWRRIRHENLRKGRWSQEEYQILFDLVNTDLRMKYCEEKKSKHGMLRDNIPWAAISDKLSTRPEANCCLKWYNQLTSSMVAEGLWADADDYRLIDALFQLDASCTEDVDWDNLLEHRSGDVCRKRWRQMVLHIGDCRSNSFADQVEVLAKRYCPDLLEAREIWDSKPIVP >CDP11036 pep chromosome:AUK_PRJEB4211_v1:11:6573022:6576527:-1 gene:GSCOC_T00033020001 transcript:CDP11036 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPKSTTGGESEDYLCKPPHQSKRGEIARIEEALDKARKIRGLRNRGIEIWTISGEILSSREGVDFIRKGQGVVGRAFLSKSACFCRDIRQLSITDYPLLLKARSLGYSSCFAVCLQSSCSNNCIYVLEFFLPTNEKDYGDCRIMLNSIMEKLKKYLRSSFKIALGQELGQKLTVEEQATSIKDRHTQYLMWFGSTIEPTSTERNLIADYEPIVEDPERDDASIEQRDNEVTNLKVQKPSYTLKSDLGITLEVLEQNSTRKLEDAAKHIGVSRFTLKRICREYGIPRWPPRKARKVNQAFAEQKIVQPSTEDTHEPHQSGATRLEDDNGMWVKAEYQGSMIKFRLPFSARKIDLEEKVAQRLNLAVGSFKIEYQDEDDDRIWITCDEDLRTFMSSLSSLGRTAIKMYIVEDSSNRRDQ >CDP11635 pep chromosome:AUK_PRJEB4211_v1:11:16302173:16304709:-1 gene:GSCOC_T00034021001 transcript:CDP11635 gene_biotype:protein_coding transcript_biotype:protein_coding MYFAWRVKGIIGMTGSKFKKRLEPLVKEKRLDAGDIVSFQHGVGELGKDRLFIDWKRRPDAPDHLQLPPSICSIIPHHQYSFPHPWNHPFYLQHHQQQQTPRDNSQLLQLNNATTGVGINNNPHPSNPYNLSNNYAYDNVMKGNPCSGLFIYLRSAAAARALQVNLGIGTAEEPMVFELVPLVQGKAAAKRLRLFGVNMDCPISESSSED >CDP04811 pep chromosome:AUK_PRJEB4211_v1:11:5328526:5331550:-1 gene:GSCOC_T00019539001 transcript:CDP04811 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLKHDRAREIKEFRETKAGVKGLVDSGMVRIPRMFVHDEKLLAEYPTNNNLLVPLIDLKDLQYGDHQRKEIVDQIRGALETWGFFQLINHGIPISKLDKLLECQKRFHEQPTEVKSELYSHDPKQNVRFFTTSSLDGNQPTDWRDTLSFRFPEDILDPHGLPTICREAVVSYMECLLKLEDTLSRLFSEALGLNRDYLSRKGWLKGGQPVISDPSFLTILLQDNVGGLQLLHQNHWVDVPPTKGALVVNVGDFMQSNSFTMNSLISNDKFKSVKHRVLAKSDVPRLSAGCFFNRSSKPIGPLKELLSDTNPPIYREIHLSEYYTVYTSKWTHGTRLIDRFRI >CDP05585 pep chromosome:AUK_PRJEB4211_v1:11:23281635:23285729:-1 gene:GSCOC_T00020711001 transcript:CDP05585 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLLCTSSSFLLLFLLSSIPITGQFPFGSSKQAYHHSNVTCIESERRALLQLKHGLKDELNRLSSWIGEGCCSWEGVGCHKTTDSVLKLDLHGTMYDDYHCTNCLGGQLSPSLVNLTNLRYLDMSSNNFSGTQVPAFLGLLKNLRYLNLSHAGFDGEIPHHLGNLSHLRLTYLDLNFNNLHGSIPSEIGQLINFTYLDLSNNNLHESIPSEIGHLSKLTNLLLFNNKLNGAISTNLGQLTKLQAFDVEDNSLTGVLSEDHFAKLRELKLLGLSRNSLALNVSSSWVPPFQLQGIGMGSIITCRTRAFQLEDLRLSHNFLTGHIPQLQHALSVLALNDNRFTGLYDNRYGNYLHESLEDIKGGREVEYLYESLLLVKSVSLSANNLVGEIPDGIMELVQLQVLNLSQNHLTGRIPDKIGNLKQLETLDLSMNALFGAIPESLSDLYSLNSLNLSHNKLSGPIPSGNQLQTLTDPSIYEGNSGLCGKPLPNSCWEHKLPAKNGPIDEDEGHSESDWSWFYAGIGPGFAVGLLGVLGILLFKKSWRYAYFKFIESACDKIWVKTTRLRRKFR >CDP00643 pep chromosome:AUK_PRJEB4211_v1:11:27308762:27308995:-1 gene:GSCOC_T00032653001 transcript:CDP00643 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSNPLALSVPDLAFESWLRDKGYLEILDQRTSDLHLHFHRHRTIHPLFLLLQHHRHHHPLWPRYLPLFPLKIFC >CDP00689 pep chromosome:AUK_PRJEB4211_v1:11:26898454:26900084:1 gene:GSCOC_T00032723001 transcript:CDP00689 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIKRRVSSAAGLTLTEGKMANDEVVYTLVEEVDELTTILRKILNIKVLQAKLFIEKVGEVIRVLEMEGPPTLPDQLLEDIAPLALPIGDFVRRTKPQITSPSYDEYRVNIGLGWERMLSREVPELVEQIGLNENKLEKFLDESDFYSSWEDLRHNLALLPCRDAVMDLLQSCRELKDAMNFFREVATESAFLCQHLKFLRSFAELCERSNEWRCRQFVRGIMDVADKALVELECADRDILRSEMWEFVSNVYASAALLTENCIEETAKKFFDGKNGKRLVLLETLEMLHSFTEEIDTACGKLGSEDRQSHNASFGRNGFPSLSMIRDKFARGDLPSLPITYYLRAFPLIEARKRGRVSVRSRIRRPNKQVHYLRAFGGKRRRVSNIILELQMNRVESSFDLIASNLGLVLLNLNSSSSSTSCQYKYQIQGQTLAHKLELESELMLELDLERALARAQEQVQARARA >CDP13263 pep chromosome:AUK_PRJEB4211_v1:11:32395775:32398774:-1 gene:GSCOC_T00038147001 transcript:CDP13263 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLLPRSAVVSAAKRDVHSGLVAANSKENSVLKLSTVEFVSKLLDRRWALRIPDAQIHQVMVCGSGRFKQQGSEVLEFRNNSGPSLGDSMAARNLGQPVFYVVRDDLLHPLVNGNKARKLDALLPLLEDSSVTDVVTCGGCQSAHAAAVAVSCAERGLKTHLLLRGEQPEILTGYTLISTLYGNINYVARSLYARRDEILSRHANMIAGSHGSVQWLSDLLEPAAFVYHVPPKQIVSQLDDSSNTMNKKVVIINEGAGDAAALLGVIRLVQYLSQDHLFGKVQGVKMIVDAGTGTTAVGLGLGALCLGLPWEVHAVMLADSVEGYRKKEQSLISGFRKMCESPEIDLRLNGAKYEVVHWVERNRPRKFGNVLKGEVEECQRIAQQTGILVDPIYTLAAWELAAQLSQEQGASAGVVALLHTGGTLGIFGLAQRYKPHFQNLKAH >CDP05468 pep chromosome:AUK_PRJEB4211_v1:11:21155336:21155998:1 gene:GSCOC_T00020530001 transcript:CDP05468 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHHFFSLGLMQIVCFLSLLFTETLFVSHPLRSFGRKEGGFLDFGGGLGSAIMFALSFWILINGEKCPKIAMLLFSLKLASL >CDP05575 pep chromosome:AUK_PRJEB4211_v1:11:23165913:23168756:-1 gene:GSCOC_T00020698001 transcript:CDP05575 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHILCTFSSFLLLFLFSSIPITSQFPFGSSKQAYDHSNVTCIESERRALLQLKHELKDESNRLLSWIGEGCCSWEGISCHKITGSVLKLDLCNKVAFSDDFHCKNCLGGHLSPSLVNLTNLQYLDLSWNNFSGIQVPAFFGLLKNLRYLNLSRAGFDGEIPHHLGNLSHLRYLDLGDLGLNGLRTKDLGWSINMLPSLTTLDLRGCGLFIHPHLSHVNFTSLAFLDLRENNFNNYMVPHWLRNLTGLHDLRLGDNNLSNPIRGLFDQMTSLVHFDLSSNRFDVSTVPLCLGNLRDLRILNLANNSLSGQIPSSLGNLWQLSTLHLNGNKFVGKLPTSMQHLRNLEILDLGDNGLKDIIPAWIGERLSNLRFLRFQSNNFHGPISDTLCQLSLLQLLNLGHNNLRRESEYYASSLGFVKSISLSANNLVGEIPDGIMELVQLQVLNLSQNHLTGRIPKKIGNLKQLETLDLSMNALFGAIPESLSDLYSLNSLNLSYNKLSGPIPSGNQLQTLTDPSIYEGNSGLCGKPLPNNCWEHKLPAKNGPIDDDEGHSESDWSWFYAGIGPGFAAGLSGVLGILLFKKSWRYAYFKFIESACDKIWVKTTRPRRNFR >CDP07942 pep chromosome:AUK_PRJEB4211_v1:11:11730903:11731817:-1 gene:GSCOC_T00025466001 transcript:CDP07942 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQKWSSRTSILSLSTEVLSEVLARVASSSSTDLFWAKLCCKLFYEVSDADNIYQRVSLDKFEIVPWQKNDKVSRFLKKCRESKNPEALYRKGVVDYFTDKHEDSALECMEETANSGHIDAAHW >CDP16887 pep chromosome:AUK_PRJEB4211_v1:11:781212:786296:1 gene:GSCOC_T00019470001 transcript:CDP16887 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQNRATRTFMDYASISQAMDGICGLYERKLKDLNPSSRNINYDISDLYNFIDGLADMSALVYEHSIQAYLPYDRKWIKTKLLQHLKKLAQ >CDP05522 pep chromosome:AUK_PRJEB4211_v1:11:22344673:22346449:-1 gene:GSCOC_T00020621001 transcript:CDP05522 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRQISDAETMLIIAIVTLVFLYFLSRFRRKNHPPGPKGLPFIGNMMIANQISQIGLAKLANQYGGIFRVKLPFLNTVVVSSPDLARQVLQVQDNIFSNRPATIAISYLTYERADMAFAQYGPMWRQMRKLCVMKLFSSKRAASWDAVRDEVDDMIRVLDSRAGLPVNLGKLFFRLSRKIIYRAAFGSRTPERQDEFIQILQEISTLLNAFNLADFIPWLKWADLQGFNKRLISSRASLDAFIDSIIEDHMEKKKNVNGSFDQVEGDMVDELLAFMGDDEGKVTEAGNLQKSLRLTKNNVKALIMNPNDLKRVQEELANVVGLHRKVQESDMNKLTYFKSCFKEVLRLHPPIPLLLHETMEDSEVAGYHIPAKSRVMVNVWAIGRNKDTWGEDADTFKPSRFLSNGAPDYKGSNFEFIPFGSGRRSCPGMQLGLFAVEMAVAHLLHCFQWELPDGMKPSDVDTNDVFGLAAPRASRLVAVPTPRLLCPLV >CDP00353 pep chromosome:AUK_PRJEB4211_v1:11:29798195:29801204:1 gene:GSCOC_T00032266001 transcript:CDP00353 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVVFKSLRSYPALRVVTGPLQSRAFQPDFIPRDPKSKPVRHKYPAFYDPYDPRPPPSDKIIRLAEQIAVLSPEERNLIGPTLRDRLRHPKIQPVLVEGMDLGPQGGSGAGSSKAEEKKVEKSAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKDLVEKVPVLIKQGITKEEANDIIEKIKAVGGVAVMEWIFTEFCMLSLNCYQSSIVSFSL >CDP18983 pep chromosome:AUK_PRJEB4211_v1:11:31617570:31619508:-1 gene:GSCOC_T00001027001 transcript:CDP18983 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPSLIIPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQVPFPMGVNVRPRPSKNRATTSEENSQENALNLPNGELCFNGDSASGSVKESD >CDP16969 pep chromosome:AUK_PRJEB4211_v1:11:17609434:17609658:-1 gene:GSCOC_T00004793001 transcript:CDP16969 gene_biotype:protein_coding transcript_biotype:protein_coding MITMGSSPRFPMYDNDFGWGRPIAMRSGRANKFDGKISAFPGREGNGSVDLEVVLAPETMAGLEKDVEFMQYVS >CDP00470 pep chromosome:AUK_PRJEB4211_v1:11:28898077:28900261:1 gene:GSCOC_T00032419001 transcript:CDP00470 gene_biotype:protein_coding transcript_biotype:protein_coding MGINEVIMFCLFSTFLIYILWTFFRFLHKVWWIPCRIKRIMSSQGISGPAYKFPYGNTKEITNMRNQSMSKPMEISHDIFQRIQPHVYLWTKIYGKNYISWHGSQAQLFVTDTEMIKEVLTNREDAYPKMDMEGIAKKLLGEALITNEGEKWAKVRKLANHTFHAESLKRMVPEMSASVEVMLENWKHHEGKEIDAFKEFGLLTTEVIARTAFGSSYREGKHIFEMVAKLTAIDVRNVYKVKFPGISMLMKSSDEIEAEKLERGIKTSILELVKKREKGRKGETEDNAIDYLGELMKLTRDTNINKRITLEQMIDEIKALFGAGHLTTTNLLGWCAFVLSVHGDWQEKARKEVFEIFGNKNPSSDGIARLKTMNMIINECLRLYPPVLTMTRKVGTEVRLGNLRLPTNINIYLPILALHHNPEIWGKDVHLFKPERFAEGVAKATNSTAAAFFPFGLGPRTCVGLNFTTNEAKIALSMILQRYKLTLSPNYVHFPADIFILTPKYGVQVILQAIS >CDP18100 pep chromosome:AUK_PRJEB4211_v1:11:14389902:14394285:-1 gene:GSCOC_T00006463001 transcript:CDP18100 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRRENTNILISVIALFLCLPTPKIHSESDHSSEINVGVILDMGSLTGKTIHRCITMATSDFYASHLGYKTRIVLHTRDSRADSAHAVSAALDLLENIKVQAIIGPETSSETKFLAVLAGKAKVPILSFSSILSGTHPYHVQIKPDETSQFRGIASIIQSYNWRSVIIMYENSDDGREVLPYLVESLEVANIHIASRISISPLSTEDQINNEVHKLKALQATIYVVHMPPSLSSRLFFSAKRLGLMNEGYAWIVTDKTMNQLSSMDYEVIESSQGVLGMKAYIPLTSKLHGFTLRWRKMLYAENHLMEDRELDVLGIWAYDTVWALANSVERVWAESVVHVANAESRTRLLNEILGSRFTGLSGQFQFLDGKLHSEAFLLVNVIGRGERRVGFWTPKYGIIKELPSSIKGRSLSSSTTQKGLEAVLWPGVSATAPTGARKLRIAVVNTSGFPELTKVHYDPETNTKSFTGYCVDVFVAAINSLDYHVPYVFEDWENSGQTFITVRICLLQIVQEYDGAVGDITIRAHRYAFVDFTLPFTDLGTGTVARRENHDMWVFLKPLRSNLWLTSAAFFVLVGLVVWTIEHPTNEEFQGSVVHQLGTILWFGFSTVVYAHREKLTSNMSRFVVVVWLFVVLILTSSYTATLSSMLTVRQIQLAGRSVGIQYGSSIGGLIAGNLNLRGISPYATPEQYDYALSRGSKKGGVDAIVDEIPYIKIFLAKYGADYAMVASQYETAGFGFPFRKGLPLVSDISQAIVKLREEGKLEMMEKKWFKSQSPLMADDASPTPNILNLQSFGGLYFVSFISCVSVLLIHFTSILLKKLQLKNVIKFLDGGKLAMMISFLAARNGNGI >CDP16895 pep chromosome:AUK_PRJEB4211_v1:11:954480:955160:-1 gene:GSCOC_T00019483001 transcript:CDP16895 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGVILWNLWNNRNGAIFDGPYRDPLSLVSLSIAFLNQFHEANSKDKCDMSTSLQSRLSIGHWIRPNAGFVKANFDGAVFMDDKSSGVGVIIRDDTGSFIAGHYSKKILGILEPNVVESYAAKHAAQLLQSLGFNKIVLEGDSQKVIKMMNRLESDDSSCGLLIDDTIVLCQEFMCWEVSWISRLFNVPAHNFAKYAINLSDSCIWRNSPPSFICSALVADSIS >CDP00213 pep chromosome:AUK_PRJEB4211_v1:11:30896134:30897678:1 gene:GSCOC_T00032088001 transcript:CDP00213 gene_biotype:protein_coding transcript_biotype:protein_coding MEATHHPHVVVVPYPSQGHINPLLQFAKRLASKGVKATFATTRYTVKSVHAANIAVEPISDGFDDGGFAQAQKEDVYIKGFRENGSRTLSQIISKQHGIYGASFFTNSVAVCAIFCHIHHGSLALPVKQEDVPLQLPGLPALNSPDMPSFIKAPESYPAYLAMKMSQYSNLEKADWIFNNSFEELEGEAAKSVSELWPAKLIGPMVPSAYLDGRIEGDRGYGASLWKPLSEHCIRWLKMKPQKSVVYISFGSMVSLSAKQMEEIAWALKGGDFNFLWVVRESERSKFPDSFMDSTAGKGLVVSWCNQLEMLAHPSIGCFVTHCGWNSTLEGLSLGVPMVGMPQWSDQMPDAKFIEDIWRVGVRAKENEFGIVRREELLFCLKQVMEGERSREIRRNAGLWKEMARAAVGEKGSSDKSINEFVKHLMDAKGREGT >CDP15261 pep chromosome:AUK_PRJEB4211_v1:11:19082097:19085276:-1 gene:GSCOC_T00042918001 transcript:CDP15261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WHAT'S THIS FACTOR 9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G39120) UniProtKB/Swiss-Prot;Acc:Q9ZUZ6] MLNQKGCARNLLQKIFFFSCQNSLHSLSNCRNLSRVFIQRRAYVDVYMDWKKDTYFESIESIHRSIELKPIIALKNCMVSASPQDYCIPISAVSKRGLELGIPFKVARFLRKYPSFFEEFEGPKYNLPWFKLTPTAIQLDKEEREVYEEHKNDIVDRLKKLIVMSVGERKVLPLKVIQGLQWYLGLPDEFLRHPERNLDGHFRIVEMGDGLKGLAVGSNGNDGVMMSILQQNAMKKGVYAGGEREAIAFPLFPSKGLRLKRKISDWMNEFQRLPYVSPYEDSSDLKMDSDLSEKRVVGILHELLGLFVEHAAERKRLLCLRKCLGLPQKVHKAFERHPHMFYLSLRNKTCTVILKEAYREKSAIEAHPIANVRKKYINLMKESEIIQKKRRVNNRATKNEFNVNMKDLDCMDDDTTENLDLVNWSTCYFILLLLITVLFEVELALLHSECSGYVHLQLAS >CDP05508 pep chromosome:AUK_PRJEB4211_v1:11:21993144:21993416:1 gene:GSCOC_T00020590001 transcript:CDP05508 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQAAVSFLTNIARAAFGLGIGATILNSSLYIVDGGQRAVLFDQFRGVIDDTIGEGTHFLIPWLQKPFIFDIRTLLHIFSSISGTKDL >CDP16899 pep chromosome:AUK_PRJEB4211_v1:11:1077824:1078849:1 gene:GSCOC_T00019490001 transcript:CDP16899 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSIPNEIGQLSKLQELYISSNSLTGVLSEDHFAKLGELKLLDLSRNLFALNVSSWWVPPFQLREIMMSSIKVGPLFPAWFGVLCYDIGLVDFSDNNLTWNPLEFKQMKKSPPDGNSPSIALKSNKLDGSLKSFPSYIYELDLSQNFFTGHIPLPDVGQTVASTRFLTLSDNHFTSSIPEDLCKLENLEYLDLSNNFLSGRVPLCLGNLPDLWVLNLANNSLCGQIPSSLGNLGQLWILHLNRNKFVGKLPSSMRSLRNLQILDLGDNGLTDIIPTWIGERLSKLKFLRFQSNNFHHAMMEPRGAEGGLSFENFNS >CDP05596 pep chromosome:AUK_PRJEB4211_v1:11:23487101:23496910:-1 gene:GSCOC_T00020732001 transcript:CDP05596 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTIQVFGFPSVVPAETVTKFLECFTGQGTVYALEIKQSKGGNRAYAKVQFTNDRSAEYIMTLAKKRLNYGSSYLRAWEMDVDLVPRARSFEHNMEQIVLHFGCQVSKNRFSVLRNVPNVSVRFGIGMRKMYFILRFRSCDYKLELSYENIWQIVLHRRSLAPKFLLIQLFGAPRVFKKLEESTFSYFKETPDDQWVRTTDFTSSCIGQSSGICLELPRGIQLPNLGDYFAWFKESDSQFFLETGSPYSYNLVLAPILQPPQGLYLPYGILFKVCCLVQTGCLPGPSLDANFFRLVDPQRIKIRYIEHALENLYMLKECCYEPVQWLKEQYDKYDKLRQLPKSPTLTLDDGLVYVRRVQVTPCKVYFCGPEVNVSNRVLRNFSEDLDNFLRVSFIDEEWNKMFSTDLSPRIAANENGRTEIYERILSTLKNGMVIGDKKFEFLAFSSSQLRDNSVWMFASRPGLTAADIRTWMGDFSRIRNVAKYAARLGQSFSSSTETLNVGRHEIEMISDIEVRGGYFGTSKYVFSDGIGKMSAQFARQVATKCGLSFTPSAFQIRYGGFKGVVAVDPTSSKKLSLRQSMMKYESDNTKLDVLAWSKYQPCFLNRQLITLLSTLGVKDVVFEKKQREAVAQLDTILTDPLRAREALELMAPGENTNVLKEMLKCGYKPDSEPFLSMMLQTFRASKLLDLRTKTRIFIPDGRSMIGCLDETRTLEYGQVFVQFSSAGCRQFYDDSISYYEHKTILKGTVVVAKNPCLHPGDIRILKAVDLPTLHHMVDCVVFPQKGKRPHTNECSGSDLDGDIYFVCWDPDLIPPRQDEPMDYTPAPTMQLEHEVTIEEVEEYFTNYIVNDSLGIIANAHTVFADRELLKARSKPCLELARLFSIAVDFPKTGVPAEITSHLRVKEYPDFMEKSDKTTYDSQHVIGKLFREVKEIAPHTSSIRSFTREVARKSYDIDMEVDGFEDYVDEAFDYKSEYDYKLGNLMDYYGIKTEAEILSGGIMKMSRSFDRRKDAEAVGMAVRSLRKEARTWFNRNGGHSDDAFAKASAWYYVTYHPRYWGCYNEGLNRAHYISFPWCIYDRLIQIKKARTRRAQQPSSLEVQFNHRLRLY >CDP00332 pep chromosome:AUK_PRJEB4211_v1:11:29971403:29975601:1 gene:GSCOC_T00032235001 transcript:CDP00332 gene_biotype:protein_coding transcript_biotype:protein_coding MARQVFFTLKSRFSFVDERFLNELLHRTMFRYGHFLWVWLCNFMLNIFWLMVKYTHRVNADEAQENVSKFNVNHSQEDGEVISKTSMGFDSDFTTQKESSNLTFSLRSQKSADSKEQTAETEDSAFLQSGFRSSTRKYQFMAAGNLREFMEEPEIRSFTIQEMFEGSDDGLIQNSDGRVIQDEDEIKSSSEVQDLDRCSNASSNGDGLLIELRYDKRDDIEESTTKEETPEEEIADHLLETPFSEEILESKQRESSAQNKVSTYEELDDEISYEVQLLQRDDSSDCFSEPELENSFDESLAGNHEVPSRITEVPSSDAKDSEIFASTSPMISNIDRNPEISERCSSGKEVINGNLCTVGEADDDIEDDYIELEPRSKDANKADETILSRKDESLFELAHAGGKETQLMDDRPQNFQEESLGKESWESNSDDEDEFDILLEHQQLVKQMKMERKNSMARGLPTISENEDCETPKVLDYLKPLKIDEKFEYKDLMEEIQKFYKSYAEKTRKLDILNYQTLHAISFLQHKDSQLFIPSKRSLLSSIKPFSLPSISMSKQRRIYADPTLKSITEMHRDLELVYVGHICLSWEILQWQHGKVKELLEYDSQGNHCYNQVAGEYQQFQVLVQRFLEDERFNGPRIQHFVKSRCACRGLLQVPIIKDDCFKDKKETTGDEIDAISISMLAQTLKESMHIFWEFLRADKEEADGILKSVQGSLADPRAAVDSELLTNVRTRLEKKERKLKDIQRSVNCIVKRFQKQQAGQTSNALLISQVELKLVSRVLSLPRLTTDHLIWCQKKLDNINIVNRKICVEPAFLLFPC >CDP00564 pep chromosome:AUK_PRJEB4211_v1:11:28105036:28108570:1 gene:GSCOC_T00032544001 transcript:CDP00564 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTAPILSPLSSALSLLSSNFIIPDSSIFHGIDTSIRTVKRNSRNRAVTIAQNDKDKPTKLVTFLGKGGSGKTTSAIFAAKHYAMEGLKTCLVIQSQDPTAEHLLNCKIGTSPIKCNNHLSAVRLQTTKMLIEPLNRMKEADARLNLTQGTLEGVVGEELGVLPGMDSIFSMLALGRILGFLRNTSQGRGQQDEFDIVVLDGISTEEVIRMIGATSKARLYLKYLRKLAEKTDLGRLAGPSLLRLLDEALSFSSGGANANVQLSSEVWDHLEKTLERGSAFFQKLQKFSCYIVMNQDSQLSVMSALRYWGCAIQAGVQVSGAFAFASPNAELAATAKDFSPLPFASIPHLSMSAHPNWNEVLVNAQSQDARQLLNLTSKQTSIFPPVTFDPGNKSITLFMPGFDKSEIKLFQFRGGSELLVEAGDQRRVIQLPSQIQGKVGGAKFIDRNLLITMR >CDP00423 pep chromosome:AUK_PRJEB4211_v1:11:29232186:29233673:1 gene:GSCOC_T00032359001 transcript:CDP00423 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEKFSLLLFVVGRLGMHRHFVLYGLTEYLRRSFNRHFAPDDVLKLLDRFYNLEMLKSDDEDAEVLNHEEDFCLPQGYFVKEES >CDP16513 pep chromosome:AUK_PRJEB4211_v1:11:8855341:8855553:-1 gene:GSCOC_T00018507001 transcript:CDP16513 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIEDNNTMVFIVDIRADKKKIKDAVKKMYDIQIKKVNTMIRPDGTKKAYVWLTPDYDALDVANKIGII >CDP07966 pep chromosome:AUK_PRJEB4211_v1:11:10895285:10897859:-1 gene:GSCOC_T00025518001 transcript:CDP07966 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPNSPEWSLASINRMKSSRNPVPISIFSEPFKSHPIFSLLCLNSRALIAKSSSCSSVPVLEDASSTTSPVIQLDLKLQDLDDQVSSLPETEDLNGLICALFEDSRTEELAYDYYERAKDKPDFRPKKLTLKLVIRYLINSRNWGLLYSLCEDFKSFQVLPDGSTCYRLISSCSRARKFKLVNILLGIFTADESIAFLAFDAAMKGYNKLHMYSSSISLYERMKSNGTVLDSGCYYHIMEAYMKIGRYENAVSLFEEFETKDFGSTPFTPKIYWILCESLGKLRRPFEALEYFREMTKRGILENHLFYSSLISSFLSMREVKMAEELVEEGESKKMLRDPALFLKLVLMYIEEGLVERTLDVVKMMNRVSIRVSDCILCAIVNGFSKRRGLNAAVKVYEDLILQGCEPGQVTYASIQNIYFRLGQYFKAEMIFSEMEEKGFDKCVVAYASMVAMYGKTGRVGDAMRLVAKMKERGCEPNVWIYNSLLDMHGRAVNLRQVEKIWKEMNRRKVLPDRVSYTSVISAYSRAREFETCMRYYQKFRLNGGAIDRAMAAIMVAVFSKLNRADELVKLLQDMKTEGTKLDVRLYRSALNALRDAGLHVQAKWLQESFGKT >CDP00284 pep chromosome:AUK_PRJEB4211_v1:11:30329920:30334734:1 gene:GSCOC_T00032174001 transcript:CDP00284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MEPQLGLIEQSFHVRYSDGVREALDELGDSFLITDPSISGHPIVFASSAFLKIFGYSKDQVIGKNGRMFQGPKTNRRSVLEIREAIRQEKAIQISLLNYRKDGTPFWMLFHMCPVFAKEDGRVVHFLGVQVPILRKSRVVRNPSKLCEDGTGFLGCCRREVTSDSVMEVGRGLALDYLLNSDDRELEIDQPCEASELEKRKAATAINNILSVLAHYSESEVTGRLVCGKACCLSGMGLGASINISFGRIKQSFVLTDPHLHDMPIVYASDAFLKLTGYARHEVLGRNCRFLSGLDTVSATQFEIAECIRNERPCTVHILNYRKDKTSFWNFLHISPVRNATGKVAYFVGVQISSSCNSQGKQGLSPAMEHLSVVGAVKVAVRSLSIGASTS >CDP00309 pep chromosome:AUK_PRJEB4211_v1:11:30123620:30128568:-1 gene:GSCOC_T00032206001 transcript:CDP00309 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDRSCLVSRDYPRTCDQEGSWARMYRREKIDILRGKRPLENDGQEELVATKVPKQSDADKSLETALTLGALSMPPADESTNQRHAGSNSDSSSLIHAIGRDNSISCLIHCSRSDYGAIASLNRSFRSLVRSGELYRLRRQSGVIEHWVYFSCQLLEWEAFDPSRLRWMHLPTMNPNECFVFSDKESLAVGTELLVFGKEVLSHVIYCYSLLTNTWTSGMRMNAPRCLFGSASLGEIAILAGGCDSQGNILSSAELYNSETGQWNTLPSMNKPRKMCSGVFMDKKFYVIGGIGGPDSKLLTCGEEYDLDRRIWTEIPNMSPVRTGAARDTETPATSEAPPLVAVVNDELYAADYADMAVRKYDKHNKAWFTVGRLPERAVSMNGWGLAFRACGDRLIVIGGPRTVGEGYIEVNSWVPSEGPPEWNLLGQKQSGSFVYNCAVMGC >CDP18981 pep chromosome:AUK_PRJEB4211_v1:11:31635693:31645343:1 gene:GSCOC_T00001024001 transcript:CDP18981 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHTPPGKIALKVPEIENWRPRGTVATTGEKSEEGEEVGRLCCDSTLFVHSQKLLAFGFSSISPFFHPHYPLKSIDLLQNLMDSKQLFTDGEIQSQASPTTTARVKRAVLLDKCSGQRQRRCSPRLKDIPQSKRPYYGTSLKVSRQQQLLHQQHHNDDDHAFHAGVQDAGCNLNHVIQVNDVDVDVDVNVNVNVNVNGEPTIPATKLEYKSAYVEKGDPLDEISQNAAGGDSVNDSGTTSLDDTSSAGNEAGDLQCTPAEASNNNLLQSYSLSLRGDGKSSHAMVKATTGTFDAHHLQPVQGEQIMQNKEEGNLQNDTRDLNPTVFFTKKHTLVASPKLRGMLLVDISGGQEEIKIPVINLVDDTPFAATGFTYSRSIQVAENVDLPPNADGCRCKGNCIHPKFCACARLNDSKFPYVRHNGGRLRKAKDVVFECGPNCGCGPDCINRISQRGIKYQLEVFRTLDRGWAVRTKDFIPSGAPVCEYVGILRRTDELDKVDVNDYIFEIDCLHTIKGIEGRERRFGAVSASLCDNIEKIEDGYLEKTPEFCIDANHIGNVSRFVNHSCEPNLFVQCILSSHHDFRLARIVLFAARSISPWQELTYDYGYPLDSVIGSDGEVKVQPCYCGAEDCRKRLY >CDP00656 pep chromosome:AUK_PRJEB4211_v1:11:27191369:27191539:1 gene:GSCOC_T00032671001 transcript:CDP00656 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPMVRQQHELAFSCHAGSIISTSSKPWQGPFLGSGCRNKKDAMEGKRPSGVKVV >CDP00844 pep chromosome:AUK_PRJEB4211_v1:11:24994242:24996365:-1 gene:GSCOC_T00032951001 transcript:CDP00844 gene_biotype:protein_coding transcript_biotype:protein_coding MAENDLSGSLPSSLGAMLPNLEELYIGGNRLSGVVLTYISNASRLAHLDFTSNQFTGVIPRSLRNLSNLADLNLSQNALEGTIPPTFGGLLKLQEMELGYNKFQGPCPSELFYLLNLGRLSLSSNLISGRLPSCIGNITSLRYLDLDSNNLSFNLPSNLWRLRDILELNLSTNSFCGSLSSEIENLKALIIVDLSVNNFFGDIPTAIGALQSLQALSLKHNRLQGFIPESMKNMFELQYLDLSFNNLTGEIPNSLQVLQNLVYFNVSFNRFRGPIPHGGPFANFTKLSFLSNEAFSRKKTVLFVLLALGSAVVAMVVSFLVLRKWRRKIVSPSNLDPDTTFERVPFHELRQITNGFSESALLGSGTFGSVYKGIRENGMTWAIKVFDLQLDGAFKSFDRECEVLRCLRHHNLTNVISACSNPDFKALILEFMPNGSLEKWLYSGTQILNIMQRLDIMIDVACGLEYLHYANEFNSTLMLEYGLEGLVATSCDVYSFGITLMETFTKGGLRMRCLVKS >CDP13282 pep chromosome:AUK_PRJEB4211_v1:11:32540934:32545980:1 gene:GSCOC_T00038170001 transcript:CDP13282 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKCSYEVTTCGLAREALNLLRERKDGFDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEVRDIEFHEGIDEIHLMKNGSDLSEDRRLPSGIDSFSGKKRKEAEKHDDRECNDPSSVKKARVVWTVDLHQKFVKAVNQIGFDKVGPKKILDLMGVPWLTRENVASHLQKYRLYLGRLQKEKELTTSFGGMKDSDISAKEPNGNHCLHDLVNKQQCDLASGSYNIQGNKNMIQNVDLKMHEADLKCTVPKPMEEPKKAIDGESSDPQKSSSKKMSLNHSFGQFDSDLEFAAVIPKQYPWNEETSQAQFSRERRPHFQPKRGFNTLPLTYLPENIQLDCVQPSSSPNSRPSSIDRDKLAEAEMKPAYVKNRSQGMEQLSPAGCDGDLFSAQPGSKLTNNQAFKPTQSAVCSMNNHSLDENLEGGMESAGRSLNFASGTDYTSWDENLHSGSLQGDFQPVSTGPQGIEFLNYIIDQGLFIV >CDP16897 pep chromosome:AUK_PRJEB4211_v1:11:972557:975046:1 gene:GSCOC_T00019485001 transcript:CDP16897 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLLGTSLSLLLVMFLFSSILVTSHFPFGRSKQAYTHSNVSCIESERQDFLQFKNGLIDESNHLSSWIGDDCCSWEGIGCHKNTGRVVKLDLQNTKPPFLVNWTNLQYLDLSKNNFSRIQVPTFLGLLKYLRYLNLANAVFDGEIPRHLGNLSCLRHLELGDVNFTSLSSHELGESNFNNYTVPPWLHNLTGLHNLGLSSNHLSGPIHGLFEQMTSLVYLDLGENRFDASTLMSLCNVSTLNYLDLSFNDMQGSIPSEIGQLSKLQVLDISSNSLTGSNKLDGSLKSFPSYIYELDLSQNFLTGHIPLPDVGQTVASTRFLILCDNHFTSSIPEDLCKLENLKYLDLSNNFLFGRVPLCLGNWLDLRVLNLANNILCGQIPSSLGNLGNLQILDLGDNGLTDIIPTWIGERLSNLKFLRFQSNNFHGIISDELCLLSSLRTDLSMHELFGAIPESLSALNWLNFLNLSHSKLSGPIPSGNQIQTLTDPSIYEGNIGLCGKPLPNDCLEHKLPTENGHIHDDIGHASLIGLGFMLSGYWEFWESFRSRSHGAMHTLGFWKMPMTKFA >CDP00807 pep chromosome:AUK_PRJEB4211_v1:11:25601486:25603308:1 gene:GSCOC_T00032900001 transcript:CDP00807 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70760) UniProtKB/Swiss-Prot;Acc:Q9CAC5] MSSSLSFQFTKALPPRLPSQSKSPPLLIVCKRKIPPPSKLKAQRSLSTEPIKNIGTAIPSLAIQAGAFFATVAEPALAVTGVNDEEDLITILIQLGICAFIYFIVFPPIIMNWLRIRWYKRGFVEMYFQFMFVFIFFPGLLLWAPFLNFRKFPRDPSMKYPWSTPENPSQIKGASRKYPWATPEDYE >CDP05547 pep chromosome:AUK_PRJEB4211_v1:11:22742174:22742725:-1 gene:GSCOC_T00020658001 transcript:CDP05547 gene_biotype:protein_coding transcript_biotype:protein_coding MEILTRAESDKLETNFHLINSENHTAGSQVWDCVFASRAILASGMVDEYGDSLKKAHFYLKESQCKTNLKGDFKKMYRHFTKGSWTFSDQDQGLAVSDCTAEALKCLLRFSEMPQEIAGEKADVERLYDAVNICLYLQV >CDP04794 pep chromosome:AUK_PRJEB4211_v1:11:5700975:5704095:-1 gene:GSCOC_T00019512001 transcript:CDP04794 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTFSICSSYFPFLVALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALLPPEVQIDLVAQQQHEAELAQAAAQPLPDDDDDTFE >CDP07933 pep chromosome:AUK_PRJEB4211_v1:11:11848719:11853291:-1 gene:GSCOC_T00025452001 transcript:CDP07933 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLPPSLQSQPPAASSSLAPAGAAGAGGVVATTTVAATSSGGGGVALAEEEALKRNTDCVYFLASPLTCKKGSECEYRHSDIARVNPRDCWYWLHGNCLNPKCGFRHPPLDGLLGTQMPNPVVTSVPASQPATTPAASVSYASGKQGVPCVFFQKGICLKGDWCPFLHASNSANNKQSQAAIPASEPPVFKKTFGSLEKGTQDKKITQTSVLKSAELTTQAKPILKFESAPAKKETNNNKRLPPSGVDNELRGYRPVDTANNGNRVSWTTRVQQQPPPLQVNDHTTTLNNKDLEEPSREPSPGFDVLVDNELGDSDYYHTEDHFGRSRDHEEMNEYYDGRSGDYGSLLDADRDIYRDSRGYDSYEQLQGQYGWEQRRSSSERMSGVLAYPEQRRYGMADSPDQIDESDLRHHLSKHRRVNGLRSVINHDYPHERPDERGHQGSRRDLRQDRDRRDSSLSSRLRGRIKIPGASSSPTDVSDLQSDRETERRRERSGLSPGGSQVPSRLRDRIKEKVQEDFNNDGRNNRGLRMRTDMISDNNIDFAAPKSLAELKSSSKSADGSDQQSMGKRKYSKIDYQQQAGEDLSFEGPKPLEEILKRKRKSDSVVSGSGMTSQYAEDNSQRKADESSKQVVHFSPSQNDSSLPHKENEEYKLASGVKSDSQSLSHGKNEGEVEEGMIVDDATKVREPEAYDHGDEDFDYEQGDGDNYNVDEGENGDAEEEYLDDEDGDDGDDDDFAKKMGVMY >CDP00479 pep chromosome:AUK_PRJEB4211_v1:11:28824983:28829811:-1 gene:GSCOC_T00032430001 transcript:CDP00479 gene_biotype:protein_coding transcript_biotype:protein_coding MFASQLLRILQTIPADVNYETWDLDIPEVKLTMKKDKSDILCHSNGFERVADPLAFQMENVHDSLHASVLKCSAVADIRTTTDDDKARDPGKLGDHEGPLCHSNDGAAGTELSDHEDKLPSSPKFESSIIVDGEKESRDSEKLCTNVSSLFVSESDSRRYTDKSVREWELSVCYRDSNYQIVKDICVDEGLPTQDKTLIEAEKDGHPGMLTPQPCQDQHSGTIRGCHDTEPGQDGLKASTVDDITNSVSIDCGAKVEVDISTFFMEGSKSSLEEHAGKDATKVRGPGNVTQMGEANWSSTERRADDVSEDESAVISGRSSQESVVQDSIQLLSNCDGNKAPKQLDEVPSVDSILESLAVAFTADASKKSGTANNLHYNSKVESGTITFDFKSPKPAIDSHADESGENSHEEVLKSEGVLNHKQENLTDQSAALIECGSSTDKNETTVHEPKAQQQDAVDHPSQVHRGGGESSFSSTGPLSGLITYSGPIAYSGSTSLRSDSSTTSTRSFAFPILQSEWNSSPVRMTKAERRHIRKHRGWIQGLFCCRF >CDP00634 pep chromosome:AUK_PRJEB4211_v1:11:27379216:27381349:-1 gene:GSCOC_T00032641001 transcript:CDP00634 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFEIQILVFVALLFVCPSFVHGWGVDGHLTVCRIAQPRLSEAAANAVKDLLPASADDDLGSLCSWADRVKFHYPWSSPLHYIDTPDNLCTYLYSRDCKDENGIKDRCVAGAINNYTSQLLSYGKDAASQHNLTEALLFLAHFVGDIHQPLHVGFTSDKGGNTIDVHWYTRKTVLHHVWDDNIIETAEQRYDNSDVDELIDALQKNITAEWADKVKTWETCSSGKPPCPNVYASESIKAACNWAYKGVSESSILDDDYFLTRLPIVNLRLAQGGVRLAAALNSIFG >CDP16870 pep chromosome:AUK_PRJEB4211_v1:11:390922:398947:1 gene:GSCOC_T00019442001 transcript:CDP16870 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRDRGTTATSRSSTSSFSSSRDNSSREHNGGPPYGQVRVLIIGDSGVGKSSLAHLIVKGSSITRPSQTIGCSVEVTHITYGSSGSSSNNIEGGKERDFFVELWDISGHERYRDCRSLFYSQINGVIFVHDLSQRRTKSSLQKWAAEIAATGTFSAPLASGGPGGLPVPYIVIGNKADIAAKEGIRGSSGNLVDAARQWVEKQGLLPSSEELPLTESFPGSGGLVAAAKESRYDKEAVMKFFRMLIRRRYFSDSLPVTSSWSSPLHGVSHRSDEISSDEDHSYKTSSLAADPYKYNALPPLPAQRNLTPPPTLYPQQPMTTPDNYNIPRFALMGSQEMSSARSKRKDINV >CDP00237 pep chromosome:AUK_PRJEB4211_v1:11:30708739:30709194:1 gene:GSCOC_T00032119001 transcript:CDP00237 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSLELITDWIIYPALLAFFCTIAIFSAFYITLGIKLAFDKLFAVVCERWHLGNNGATPPAPSPSVTANVQRKEVEEAVKVLEIIPAPATYKRNRNHSIVSCAICLEDLKDGELCQALPDCQHAFHSSCIKPWLIKRPNCPICRQSLPIV >CDP00760 pep chromosome:AUK_PRJEB4211_v1:11:26126435:26129542:1 gene:GSCOC_T00032831001 transcript:CDP00760 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEYFRGSSSYYGILGVPTNASDEEIRRAYRKLAMQWHPDKWTRTPSLLGEAKRKFQQIQEAYSVLSDQKRRIMYDTGLYDPAEEEEDEASGFADFLQEMASLVDNVRKEDKVHSLEELQRAFWEMAQSFETPEWSFNPLQYMYESPAWFDEPSTSNSLGNSTGVSWSSEQKSFETFARECWSTGVESKSVSSMLGDPWGKPFYAF >CDP00280 pep chromosome:AUK_PRJEB4211_v1:11:30370787:30373444:1 gene:GSCOC_T00032169001 transcript:CDP00280 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCGGNITGTSSVLLQNERLPCSSHEALDSLWISNSSPPPFHGSTSMVKFGDARGENTREKTFFAQSDKGYDGSESYDNCFHQPEKKRRLTAEQVQFLERSFELENKLDPERKVQLAKDLSLQPRQVAIWFQNRRARYKTKQLEKEYDCLKSSYDKLRADYDTLFKENESLKNEVHSLAEKLVQRERGKAKSEPLDSSTISPLNAEPQKANPIALSPNVPEMPVSVKQEDASSAKSDVFDSDSSHCTDGNHSSLMVPDDSSHVFEPELSDFSQDEDDSLSRSLLQPTGFPPKLEYECYNDLQCNSGNLGFSVEDQSTWFWAY >CDP00723 pep chromosome:AUK_PRJEB4211_v1:11:26537879:26540007:-1 gene:GSCOC_T00032781001 transcript:CDP00723 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLIP6 [Source:Projected from Arabidopsis thaliana (AT1G71120) UniProtKB/TrEMBL;Acc:A0A178WCW6] MEKTLLFFLLLNFLSSVLGCKVSSIYVFGDSIFDAGNNHYNKYCAAQADFPPYGSTFFHRPTGRFTNGRTVADFLSQFLGLPLQKPFLEAQLDIINGTLKNYPSNGINFASAGSGVLSTTNKDLNVTSLQTQLQQFKSLIEQNHLDKKVVKQSLFFLESGSNDAFNYFSPFDAPTLSPDAYVQEMLKQVGNFVDQIYELGARKIALFSLGPVGCVPARALLPGAPTDKCNGKMNKMVKNFNMGLENLVKAIPSKYPGAIAVYGAVYKTVQLFRANPSQYGFNDVTNACCGYGTLGGMVQCGKEGYTICPKPDRNLFWDYFHPSERTYKLISKALWSGGRASIRPINLKTLANITLSEQQYS >CDP00620 pep chromosome:AUK_PRJEB4211_v1:11:27547776:27548794:1 gene:GSCOC_T00032617001 transcript:CDP00620 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCGEDCTLRPCLQWIKTPESQANATVFLAKFYGRAGLVNLINAGPDHLRPEIFRSLLYEACGRIINPIYGSVGLLWSGNWQRCQAAVEAVLEGSPIMQVSTDDAAAGGHPITPLKDCDIRHLSKKSNGIHRVRTRNRFKRSGFKSQVDSVPEFMNETTKFSITGWNDDEENDGSEEELMKRAPSHDSFSVETVEPTLVSRAEQGRVVKSETILVGEDDDLVLDLTLGVNPVVRTHLSAGGRRL >CDP04870 pep chromosome:AUK_PRJEB4211_v1:11:3722046:3729133:-1 gene:GSCOC_T00019652001 transcript:CDP04870 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHLPFFTTFLSTTAVRFGKMLAFLIHILKRICCVEDEEREINQYQNLKQSHYDFRRVPIIDSFPGSCNSLVQTTTPSRSNEVYNVNKSRSTFNEYLVPSKLSRNEVSKSVTSSTSTGIGNKNLIVASESTSPSSVHHAKQPSITAGPSEVLKSPAEITQNRNNLSSSMDHNPQICKRDATMPTEQKLSPGVSSASYKSFPSSTKLPHLQSSKLSPCESGTKKLHSFLETDSPSSYTKLADSCSQKPPPLSTKPVLSLVSTSSISLQTKTKYVWVEKGVSSTYVFPKGIRDLIEKDIVPGVLKQPLSMSTYMDYFQALLYAEDCHLEKWDGFEVENVNLELHEASIYMRKRKHKTLEESDLKDEKTFVAFEIDEIPERRPFLLSRDFVSVQPCSRKIEPFQGVIYRVVKSNLVLAEFGKSFYSQHRPECKYDVKFSFNRVCLKRAHQAIADVSSASFRNFLFPDLPPEHEVLSTQRVDNRYQKANFAVHQILRLQGAPPYLVEGPMCISEGGHLSRTGVVIVEAALQILRSDPSKKILLCAPVNKTCDLLLRGLKKEMSDSDIFRANAAFREVDGIPVDILPSCLYERQTECFSCPPLEELRKFKIILSTFMSSFRLHSEGVKAGHFSYIFLVDASSATEPETMIPIANFANDKTIVVVTGAPRNRSGWVRSKIARQNGLMISYFERLRERELYKKLNPGVIMQLEDNSNERFRSLPAFGI >CDP05555 pep chromosome:AUK_PRJEB4211_v1:11:22957576:22959013:1 gene:GSCOC_T00020670001 transcript:CDP05555 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSIWYFSFWSVVSLVFSTTGIYAFRRAIIPVSDLVEYWIGFVEGWIVLSVSCSATYAFLCRPLLEHDHTLKTSQSNKIFLNFNGGFTTVHTPLTSLAFLAYFTTSGHRWNTANTAMAAAVLVSAVLWLLLGSKPITQGFKNSQAMGNFLTGFAGPLRMYPRHTIFWFFIQALVLSTLSVLQSPSLWDSHLSLILVHASIPSSLLLLASTLKKLEGSSSMAAYAYKHRKILEIILGCLGTAFVQYYFKAKSIPLMLSFLSLAIAWLGNLANVQRCTDFGIFHFLVTASLECAVSLFGLHFHTFLVVAAGIFLMVLKWKIVEVEFVSLRYDGMQESVLKDLKRLEELYGGHDPAIQTQQLEQPNSIV >CDP00715 pep chromosome:AUK_PRJEB4211_v1:11:26580908:26583399:1 gene:GSCOC_T00032772001 transcript:CDP00715 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITDVTVLGNPAPFLNPLQFEISYECLVPLKDDLEWKLTYVGSAEDETYDQLLESALVGPVNVGKYRFVFEADPPDQAKIREEDIVGVTVILLTCSYVGQEFVRVGYYVNNDYDDEQLREEPPQKVLIDRLQRNILVDKPRVTKFPINFYPHNDDNGEQPQEYSTDTATGITHVEEQVSSPDHQSEVQLP >CDP18560 pep chromosome:AUK_PRJEB4211_v1:11:1755310:1757692:-1 gene:GSCOC_T00004222001 transcript:CDP18560 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALSVANSSLQVSNKGFSEFAGLRSSSLPFARKASDDLLSVVAFQTSVIGGGKNKRGVVEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVVVINDTGGVKQASHLLKYDSTLGIFEADVKPADEGISVDGKVIKVVTNRNPVNLPWGEMGIDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVIGVNAETYNPDETIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPKLKGKLNGIALRVPTPNVSVVDLVVQVEKKTFAEEVNAAFREAADKELNGILSVCDEPLVSVDFRCTDVSSTVDASLTMVMGDDMVKRVVDLADIVANNWK >CDP18984 pep chromosome:AUK_PRJEB4211_v1:11:31610874:31616920:1 gene:GSCOC_T00001028001 transcript:CDP18984 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHTSDLFCAYCSEKEKIKYTELVILFAPAEMQNLKAHDGDAETEKVSSTQASDIDDWANFKDDDIMQQQFAIQAEEAEKIPFVGSKEEPLSSLANEYKSGSSILLEKIKVLSEQYAAIRRTRGDGNCFFRSFMFAYLEHVMESQDQGEIDRIKANVEQCRMTLRNLGYAEFTFEDFFALFLEQLDCVIQGSETSISLDELVQRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLTSASVEQFCKSAVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDNGSVSVNHHDFHPVGNDLPSAKKGGAEAIDPFITLLYRPGHYDILYPK >CDP07926 pep chromosome:AUK_PRJEB4211_v1:11:12169291:12173258:-1 gene:GSCOC_T00025441001 transcript:CDP07926 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLQINVKPLNHQLQFNSKPCSRHCSRWATIRCAAATTPSTRRYTITLLPGDGIGPEVISVAKNALQLASSLEGIDFGFKEMPMGGAALDLTGVPLPEETLSTAQQSDAVLLGAIGGYKWDNNEKHLKPETGLLQLRKGLKVFANLRPATVLPMLVEASTLKKEVAEGVDVMVVRELTGGIYFGQPRGFSTNDIGEEIGFNTEVYSAPEIDRIARIAFETARKRHGKLCSVDKANVLEASMLWRKRVTALASEYPDIELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGESV >CDP16244 pep chromosome:AUK_PRJEB4211_v1:11:24676808:24687066:-1 gene:GSCOC_T00018006001 transcript:CDP16244 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTYILSLLVLCLTLYLTFANAANFNITNKCTYTVWAAASPGGGRKLDRGQSWSLDVAPGTTNARIWGRNNCNFDANGRGQCQTGDCNGGLVCQGYGKPPNTIAEFALNRPNNVDYIDISNVDGFNIPMEFSSVTQCRVIKCSAPIVDQCPAQLRTPGGCNNPCTVFKTNEYCCTNGQGSCGPTDFSRFFKSRCPDAYSYPQDDRTSLFNCPSGTNYRSTYSLSLLALCLTLYLTFTNAANFNIINQCTYTVWAAASPGGGRRLDRGQSWSLNVAPGTTQARIWGRTNCNFDANGQGHCQTGDCNGRLECQGYGSPPNTLAEFALNQPNNLDYIDISNVDGFNIPLEFSSVTNCRNIRCSAPIVDQCPAQLRTPGGCNNPCTVFHTNEYCCTNGPGSCHPTDFSRFFKDRCPDAYSYPQDDPTSLFTCPSGTNYRVVYAFTNAANFNIINQCTYTVWAAASPGGGRRLDRGQSWSLDVAPGTTNARIWARTNCNFDANGQGQCQTGDCNGRLECQGYGKPPNTLAEFALNQPNNLDYFDISLLYGFNIPLEFSSVTPCHDIRCSAPIVDQCPTQLRTPGGCNNPCTVFNTTEYCCTGGPSCGPTFFSTFCKGRCPDAYCDPRDDPTSLFTCPSGTNYRVVFCP >CDP13287 pep chromosome:AUK_PRJEB4211_v1:11:32563218:32567135:1 gene:GSCOC_T00038176001 transcript:CDP13287 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVCHTQADNGEDAYHQQQQSSPLSGIGIMATGQAGHLILKLVENIQVSQRLPRTLESIQKQNKMDDFTLQIICISSLLSFATFCFCYLYYYQILHPRTRSQSVTLPPSPSKLPFIGHLHLLTAMPHVTFAQLAHKLGPIIYLQLGQVPTVVISSPELAEHILKTHDHLMANRPQLIAAQYLSFGCSDVTFSPYGPYWRQARKVCVTELLSSKRVNSFRLIRDEEVNRMLRAVAAHSNSELDVSESFFRLANHILCRVAFGKRFMDENHETSSGGGGGKKKELAGVLTETQALLAGFCVGDFFPRWRWVNSVSGMKRRLTKNLKDLRMVCDEIINEHLSEKRGRSGDVLEKEDFVDVLLRVQKQEDDLEVPITDDNLKALVLDMFVAGTDTTSATLEWTMTELARHPRVMARAQDEVRQIAASKGRVEESDLPHLHYLRAVIKETMRLHPPVPLLVPRESMAKCTIDNKYEIPARTRVLINTYAIGRDAESWENPLEYNPERFVGKDIIDFKGQDFRFLPFGGGRRGCPGFSFGLASVEISLARLLYHFDWALPQGVGAGDVDLTEIFGLATRKRSALKLVPLIKNLPV >CDP00355 pep chromosome:AUK_PRJEB4211_v1:11:29788569:29792800:-1 gene:GSCOC_T00032269001 transcript:CDP00355 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRNDYGKRMHSQSEYSANEGSKRRNPNEEKESNAIGPEDTVYRYLCPLRRIGSIIGVGGDIAKQLRAETHAKIRISEMIPGCEERVVTIYSSREETNSFGDDGDLISPAQDALFKVHERVVAEEVPIDDELEASQQVTVRLLVPSDQIGCVIGKGGQVVQNIRNDSGAQVRILSSEHLPPCALSSDELIQIVGETYAVKKALYQVASRLHDNPSRSQHLLLSSASIYRSGSGLVNPGVGAPLISSLVGPYRGYKSAGADHSTSVKEFSLRLVCPNENVGAVIGKGGGIIKQIRQESGASIKVDTSVAEGDECMISVSAKETFEDASPTIDATMRLQPRCSEKTDKESDDPVVTTRLLVPSSRIGCLIGKGGAIINEMRSATRASIRILSEENLPKVASEDDEMVQITGDLNVASTALLQVLLRLRANLFEMEGALAAFPPAVPYVPLSMDAADGPKHGNRDHKSHNHGYSTYSGGYDSKNLVQQSESYGVYGSPQIGGRSGGYGAYGIYSGRSSGGSGLSSHNPGSHGKSYGH >CDP00710 pep chromosome:AUK_PRJEB4211_v1:11:26641774:26646650:1 gene:GSCOC_T00032763001 transcript:CDP00710 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLLVKEREVKAERLTWGLIGQEMKRLCCVAGPMMAVTLCQFLLQVISLMMVGHLGELSLSSSAIAISLCAVTGFSLLSGMASALETLCGQAYGAQQYQKFGTHTYTAIFCLLIVCIPLSIIWMYLGKILALIGQDPLISHDAGIFATWLIPALFGYATLQPLVRYFQMQSLIFPMLISSCITISFHILICWVLVYNSGLENHGAALAMGISMWLNVIILGLYMSCSSSCEKTRAPISGEVLYGVKEFFRFAIPSAVMLCLEWWSYELLILSSGLLPNPQLETSVLSVCLNTIITLYAIPYGLAAAVSTRVSNELGAGNPEGARVSVIGVLLLAVTEVIIVDAVLFASRHVFGYVFSSDRDVVDYVTTMAPLVCLSILMDSLQGILSAVARGCGWQHIGAYVNLASFYLVGIPSALILGFVVKIRGKGLWIGILSGATVQSLLLFIVTMCTNWEKQAIKARERLFQENVSAEDGLM >CDP00740 pep chromosome:AUK_PRJEB4211_v1:11:26333445:26335981:1 gene:GSCOC_T00032809001 transcript:CDP00740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 2 [Source:Projected from Arabidopsis thaliana (AT1G71090) UniProtKB/Swiss-Prot;Acc:Q9C999] MSRYLTEIYNDNIKSSGEDIVDAVFPLLKLLSLTLFGLVLAHKNVQIIPKETFKLLSKLVFVLFLPCLIFTQLGKSITVANFTSWWFIPVNVLISTVVGCILGFLVVIICRPPPELTRFTIIMTAFGNTGNLHLAIVGTVCHNEDNPFGPDCHNRGVSYASFAQWVAVILVYTFVYHMMEPPLQYYEIVEEGGQNDEQEQPQSADISRPLLVEAEWPGIEDKETEHSKTPFIANVFKSISNVSEMTLPDVENTIKGNTDSPKSIRCLAAPKVVRKIKVVAEHTPVRSILQPPTIASLLAIIIGMIPQVKAFVFGSDAPLAFITDTFGILAGAMVPSVMLILGGMLAEGPNKSSLGLRTMIGIMVARLLVLPLLGIGIVALADKLHILVDGDKMFRFVLLLQYTTPSAILLGAIASLRGYAVSESSALLFWQHLFALFSLSLYLIIYFKLL >CDP05472 pep chromosome:AUK_PRJEB4211_v1:11:21214149:21225006:-1 gene:GSCOC_T00020538001 transcript:CDP05472 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIELRIQNLLLKVGVSFVAVLMIYGLGVTAAHEDFHVHSPHDHDHHCGHGHLHHHHHHHQNEGEHHHGRHDDVGGEVKGRRKLPEELAEEEDLKLYGFGGGEHHHHHHHHQGIAAGDEELSGLGLWIHAMGCSLLVSLASLICLIILPILFTQGKPSKVAVDSLALFGAGAMLGDAFLHQLPHAFGGEHSHSHDHHLDHAHAHVHSGHEHFQSHAHSLKDLSVGLSILAGIILFLLVEKLVRYVEDSSGAGNVWGHSHHHHHKQTTKLKTENDASEDLQGFSEDEKHGTSTEKPSEEKALDGSSSNNLAGEEKQIEHTVLRKRNIGTSNAEDKANAGATEDETNLVVANGSKNNIKLKDKEEAKSSSNLVFGYLNLISDGVHNFTDGMALGSAFLLYGSVGGWSRTLFLLAHELPQEIGDFGILVRSGFSVSKALFFNFLSALVALAGTALVLMFGQDPGQSSLIEGFTAGGFIYIAVAGVLAEMNSSSSTLKSTTIQLLSLMTGMAVALWISLVE >CDP16284 pep chromosome:AUK_PRJEB4211_v1:11:24050366:24052042:-1 gene:GSCOC_T00018059001 transcript:CDP16284 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEALKFFAYGLQRFKASRKFPDRHDFNKSLHSLTRSNCGDLSLKLLVAFISKGYFPHVSAFNSVISYFCNLGFPGSAQKLINLMPKLGCLPDIVSYNSLIDGYLRNADVGDACFMMRKVCSGLMNVRPDLVTFNAMFNGFCKVGKVEGLLVYMSLMWKVCVPNVVTYGILVDMYCKMNNVNMAYRVFKDMKSSGVFPNLQIFTSLIDGYCKAGELEVALGLYLDMHRNSVFPNVVTYSALIDGFCKRGMLEKAAYLFSRMLEDGVEPNIVVYTSMIDGEFKKKNIDNALKYLSRMHDQGIRHDVTAYGAIVSGLCNMNRLDSAVEVKKAMMDYGVAPDGVIFATLMHAYFEAGNVEAAMNEYEESLARGFQPDVVALTSLIDGLCKNGRLYEAKLHFSKENADEISYNVLIHGMCKEGELSQVEMVYREMTDAGFVPNKYFYTTWIAGLCKQGNLVEAFRLQKQMIKEGIPPNLFAYSSLIFGLTNKGFMIEAKQVFDDMLKKGISPNHVVYDILIRGYAKEGNQPAISCLIDEMRMRGLLSDDGCNQKYMLHDCR >CDP07975 pep chromosome:AUK_PRJEB4211_v1:11:10677715:10682897:-1 gene:GSCOC_T00025530001 transcript:CDP07975 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKNKSASSKGQPGLLQFGSSTSEILETAFKKETVGLVSREEYVEKRVNIRSKIEEEEKEKLQKLQQEEEELQLQKLKKRKVKVDPRLSFCDDIENSNTDEDVEKVGRKESERLGCWKFGKDPTVETSFLPDSEREAEEQAERERLRKQWLVEQERIKNEPLEITYSYWDGTGHRRVIQVRKGDSIGEFLRAVQQQLAPEFREVRTTSVDNLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >CDP04797 pep chromosome:AUK_PRJEB4211_v1:11:5681908:5683503:1 gene:GSCOC_T00019519001 transcript:CDP04797 gene_biotype:protein_coding transcript_biotype:protein_coding MILGKTSGEVGSYLVFPINPRKSVLSSILPTTMAVSVEPNSIEGIFDSSLNLEETHVKEGYNEGYADGLVSGEEDGRQVGLKTGFEIGEELGFYKGCIDVWNSAIQVDSNCFSSRVVRRIKQMEELLSKYPISDPEDESVGDVMDSLRLKFRAICATLNVKLEYNGYPKSSDGENIQF >CDP13214 pep chromosome:AUK_PRJEB4211_v1:11:32053983:32054807:-1 gene:GSCOC_T00038083001 transcript:CDP13214 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQHETNPHFLPQPPHPQHDTHQPRFPPQPRPSRHDRPQPAIPPKTRPHGKRRGEMPPPHEPEQQQGQGQQRPSPLPVPVSATGKTRPVAWIIAAFCTLFWIIVIVAGLAVLIIYLVYRPRLPKFDISTATLNAAYLDMGYLLNADLTILANFTNPNKKVSVDFSYAIMDLYYESNLLATRYVEPFTAHRAQSIFEDIHMVTSQVRLPIAQSQDLTRQMSSGRVSFVVKGLFRARSNLGRVLRYSYWLYTHCTITLTGPPSGVLISKKCTTKR >CDP04847 pep chromosome:AUK_PRJEB4211_v1:11:4708234:4712889:-1 gene:GSCOC_T00019601001 transcript:CDP04847 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYAAALSKESIIDVEGVVSVPKDPIKGASQQVEVQVRKLYCVSKAIPILPINIEDAARSDAEIEKAEQAGEKLVRVNQDTRLNNRFLDLRTPANQAIFRIQCQVGTLFRQFLLSEGCIEIHTPKLMSGSSEGGSAVFKLEYKGQPACLAQSPQFHKQMAICADFGRVFEIGPVFRAEDSFTHRHLCEFVGLDVEMEIKEHYSEVMDVVDRLFVSMFDSINQNCKKELDAIGRQYPFEPLKYLPKTLRLTFEEGIQILKEAGVEVDPLGDLNTETERKLGQLVFEKYGTEFYILHRYPLAVRPFYTMPCYDNPAYSNSFDVFIRGEEIISGAQRVHVPELLAQRAESCGIDVRTISTYIDSFRCGAPTHGGFGVGLERVVMLYCALNNVRKTSLFPRDPQRLAP >CDP04896 pep chromosome:AUK_PRJEB4211_v1:11:2407450:2410533:1 gene:GSCOC_T00019756001 transcript:CDP04896 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFTLCWPRFSSGNQGGFLAAMVPGFNAFKMLLLGLEMRKDEKAVKLMSGLGDHYRQILKASFYFLTAISLASVVYWRTSPVAVAAVCKLCAGDRIAPIVGRRFGSLKLPYNKNKSIAGTIAMVAASFLASIFFFSRNDR >CDP07974 pep chromosome:AUK_PRJEB4211_v1:11:10720730:10722603:1 gene:GSCOC_T00025528001 transcript:CDP07974 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVAKASEFLAITGASINDIKITKKAIVWPLQKCRVVDVTPVNYTFEVNAMSAEKLPFLLPAVFTIGPRVDDHDSLVKYAKLLSDHSHDSHNVKELVQGVIEGETRVLAASMTMEEIFRGTKDFKKEVFDKVQLELNQFGLLIYNANIKQLVDVRGHEYFSYLGQKTQMEAANQAKVDVAEAKMKGEIGAKERQGLTLQNAAKIDAETKIISTQREGEGKKQEIMVKSQVKIYENQREADVAEANALLATKKAGWAQQAKMAEVEAEKAVAIREAELQKEVERKNALAKTEQLKAEQLSEATVDYEIKVQEANSVLYKKQKESEAVLYERQKAAEAQKVAAEAQLYAAQQAADAELYAKRKEAEGMKALAEAQGFKIRTLLTSLGGNYNALRDYLMIESGLFKDIAKINAEAIQGLQPKISVWSNGETRDGSGAANSAMKEIASVYGALPPLFQTVHEQTGMLPPAWMGSLPSPGAGKAITNQPEN >CDP05422 pep chromosome:AUK_PRJEB4211_v1:11:20109478:20116812:-1 gene:GSCOC_T00020461001 transcript:CDP05422 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVAADLVDFLNASPTAFHAVEEAKRRLKSAGYEQILEREDWKLEAGKKYYFTRNYSTIVAFAIGKKYVAGNGFQIVGAHTDSPCLKLKPVSKVSKGGYLEVGVQTYGGGLWHTWFDRDLTVAGRMIIREQKEGSESYSHKLVKVGEPIMRIPTLAIHLDREVNDGFKVNKHVHLVPVLATLIKAELNKVAAENGFVDGGITTDAKKSTGRTSSGHQKHHSVLLQLLANEVGCEPDDICDFELQACDTQPSIIAGAMKEFVFSGRLDNLCMLFCSLKALVDATSSESSLDNETGVRMVALFDHEEVGSDSAQGAGSPVMFDALSRITGTFSSDLKLVTKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTSFIFREIAARHKLPIQDFVVRNDMPCGSTIGPILASGLGIRTVDVGAPQLSMHSIREMCAVDDVRHSYEHFKSFFEEFSYLDTKITVDA >CDP14407 pep chromosome:AUK_PRJEB4211_v1:11:14061188:14067584:-1 gene:GSCOC_T00040803001 transcript:CDP14407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18370) UniProtKB/Swiss-Prot;Acc:Q9SEL7] MVVLAALHCMASSVVAHYTKSAPYPSQSGSMDTELSFTKRKALLCSSSLMLSGLSWFKSNSALALQVDELQQQEERIVELFQEISPSVVFIKDLELTKVPKNSAQIAALDAENAKVEGTGSGFIWDQFGHIVTNYHVVAKLATDRSGLQFCKISLVDARGNNFSREGKIVGYDPDYDLAVLKINVEGDELKPATLGTSQGLHVGQSCLSIGNPYGYANTLTTGVISGLGREIPSPNGRAIKGAIQTDAAISAGNSGGPLIDYYGHVIGVNTATFTRKGTGMSSGVNFAIPIDTVLRTVPYLIVYGTPYADRY >CDP05442 pep chromosome:AUK_PRJEB4211_v1:11:20780409:20783262:1 gene:GSCOC_T00020496001 transcript:CDP05442 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEIQFFFYVSKIGPYLNPSETYRSSYRSTNLTDHVKDKKESLGLALNGDHLVSAPYKLDFLVGKQCQVLCRKNLTKEDIFRFQTAIAEEYVYQMYRDDFPLWAFVGIVNRDRQQFLITHIQFKIYYNGDRVIEIRTQPDLSHMVELTEEKDIDVEFEFLYSVEWKETATLFEKRMEKYKKPADFVHWLSIMISFILTIYLVSLGGRMLRREFSKQGGRSSEVMCFDASQKYKSFFSAALGSGIQLLTMLVPPEMHLINSDKPFKSYQSFNAFLAYSIHMIKELSGMHLSLHVQRNGSSVPHSMPLSFVKFCVLPSVGMTIARLLGNHEWWWRSFLYGGSVGIYVYGFSLYYYFQDSEMSGFLQTSFFFGYMACICYGLFLMLGTVGFYASLCFVRYVYGSIIKKT >CDP00453 pep chromosome:AUK_PRJEB4211_v1:11:29048717:29051260:-1 gene:GSCOC_T00032395001 transcript:CDP00453 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKSLAPIKFGYRITATCRACFSFFHSSADASVEVHSQEQEVVIALGSNVGDRLQNFDEALQAMKKSGVQITRHACLYETEPAYVTDQPQFLNSAVRGITKLGPHELLGVLKKIEKDMGRTDGIRYGPRLIDLDILFYGRFKVHSDILTVPHERIWERPFVMAPLMDLLGTDIDSDTVPYWHSFANHPGGLFESWKNLGGESLIGKDGMKRVLPIGNQLWDWSKRTSVMGILNLTPDSFSDGGNYPSVEAAVSQVRLMVAEGVDIIDFGAQSTRPMASRISPEEELDRLLPVLEAVRQMPEVEGKLLSVDTFYSQVASEAVDKGAHMVNDVSGGQLDPSMHNVVAALKVPYVAMHMRGDPSTMQNSGNLQYSDVCKEVASELYTRVRDAELSGIPSWRIIIDPGIGFSKKTEHNLDILMGLPTIRSEIAKTSLAVSHVPMLIGPSRKRFLGEICAHSTAADRDPATVASVTAGILGGANIVRVHNVKDNLDAAKLSTSFLALEEVISSFPLFTGGQS >CDP00719 pep chromosome:AUK_PRJEB4211_v1:11:26553089:26562206:-1 gene:GSCOC_T00032776001 transcript:CDP00719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-sorting protein BRO1 [Source:Projected from Arabidopsis thaliana (AT1G15130) UniProtKB/Swiss-Prot;Acc:F4HXZ1] MASPSSSTAAATTNIMLAIYEKRTNSLDLYRPLRNYIVINYGEREAQNLEDDLQTLKELRTDIERGPTPADSLPARRDLLQKYYRALCAVESRFPISPDKDHINTVTFTWFDAFKNKQKAAQQNIHLEKAAVLFNLGAVHSQMGLSFDRSGVDGRKQASHAFIAAAGAFAFLRDNVAMKASMGSSASVDVSVECVGMLERLMLAQAQECVFENTIAKGNTPGVCAKISRQVGLYYEEGLAALNVAPLNQHFDKNWLAHVQLKAALFYAEACYRYSLELHEKEEIAEEIARLKSGVAALTEAKKSCPRGAAQQLLDAMTKLESTLNRNLERAMKENERVYLMRVPTASSLPPLPAFSLVKPMPMNEVLDASKEKMFVRLVPDSSAKSLSRYTEMVDDIIRMQAEKLQQASELARVRLKEMDLPDSILALEGNSTLPTNLKEDVEAVQVCGGPAGLEGELQQLKDLRRVDQELLVQTEELLQKEATEDAQFRSQFGTRWTRPQSSTLTKNLLDRLNRFAANLKQAAESDGRIERSVRDHSALMSILDHRPIESALPSLSRPIMSLDANEDAVVGALKQSLRQLETLGAQRAGLEDMLKEMKRKDDILPKLMTSTGSHEDLYRKEIAKYDHICQEIAQNVEGQEQLLMHIQVQNDNFAAVFNLEDFKASREKSYKQIEAAIAKYREIKENINEGLKFYVTLQDAITNIKQQCSDFVMTRNIQCREMIEDVQRHIAGLSFQDNKSTGGYSYPAAGQPHQTPRPNSQQHTEPVHVSNPSHPPTPPYQPQQQPTMAGYAQSPSPYVSPPQQVPPPYHVPASGSPYPPPQHQQQPPVTLEYGQPAYPGWRGPYYNAAPQPGSMPRPPYTVQPPYPPNQSGYYRQ >CDP04804 pep chromosome:AUK_PRJEB4211_v1:11:5450728:5461709:1 gene:GSCOC_T00019530001 transcript:CDP04804 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MGTASFDHHFGISARVSEISKNLSPKLDFSSVKFPSRVEYCGLYLSPSSAVCSSSKEWRGQANAVDESGEVATPILDTIESPKHLKNLSSKELKQLAVEMRSELSTVMSKSKKAFKASLAVVELTVAIHYVFRSPVDKILWDVEEHTYPHKLITGRRSLVKMHRQTTELSDSTRRSVSIYDPIGAGHGCNSISAGLGMAVARDIKGQRERVVAVISNETTMAGQVYEAMGNAGYLDSNMIVILNDSRNSLHPKLEEAPETPINPLSTTLSKIQSSIFFRRFRELAKVLTKRIGRGMHEWAAKVDEYARGLLGPPGSTLFEELGLYYIGPVDGHNIEDLICVLKEVSSLDSSGPVLVHVITREDQVVEVERDQTPEVSNPLQEGIVDRRTLTDFFVEALVSEAERDDTVVAVHAGMGMEASLELFKNSFPDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSTFLQRAYDQVVHDVDRQKIPVRFVISSAGLVGSNGPTHCGAFDITFMSCLPNMVVMAPSDEVELVHMVATAAQIDDRPVCFRYPRGAVSRISNALRYGVPIELGKGRVLVEGKDIALLGYGSMVQNCLRAQSLLSKLGVEVTVADARFCKPLDIKLVRELCKNHSFLITIEEGSIGGFGSHVAQFLSLDGQLDAGIKWRPITLPDAYIERASPQVQLAQAGLSGNHIAATALSLLGRTREALLLMC >CDP07961 pep chromosome:AUK_PRJEB4211_v1:11:11104642:11105298:1 gene:GSCOC_T00025506001 transcript:CDP07961 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTLSAPTRLQQLRHTYSFVAEEDVVELPNDVQVLVKYLLNVGETEPKISVASIVGMGGIGKTTLARKVYHHETLKHYFEGFAWVCVSQQWQPKDLLQRILVKLISEQSNQIMTSKEDTLARLLQQHLQNRRCLIVLDDIWSTEAWDCLKDAIPVSEHGTKILLTTRNRDVAAHVDPNGYHHQLHFLTEEESWELLRKKSLWESNAAGKMFPLYSH >CDP18099 pep chromosome:AUK_PRJEB4211_v1:11:14381700:14385745:-1 gene:GSCOC_T00006462001 transcript:CDP18099 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRKNTNVLISIVVVILSFTTSKLCAACSSSSSTLSREISIGVVLDMGSVTGKTIHRCITMASSEFYASHIHFKTRIVLHTRDSKGDPTHAISAALDLLENVKVQAIIGPETSLETKFLALLCGKAKVPMLSFSSVLSGIYPFLVQIKQDESSQFKGIASILQSYKWRSVIIIYEDNDDGREALPCLIESLQVAAVHIASKSSISPLSSDDQINNDLHKLKTLQATIYIVHMSPSLSSRLFLSAKRIGLMKEGYAWIVTDKTMNQFSSIDDEVIESSQGLLGMKAYIPSSSKLRGFKSRWRMVVYAEDPLMEAREMDVLGIWAYDTVWALANSTERVWIETLNAGNQGSLDVARSKFTGLSDEFQFFDGKLHSETFLIVNIIGKGERRVGFWTPKHGINKELPTSFKGRSLSSSTTAKTGLEAVLWPGVSATAPTGGRKLRIAVVNASGFPELSKVHYDPETNTSSFTGHCVDVFIAAINSLDYQVPYVFENWEILGTYNDLIDQIYHQKYDGAVGDITIRTNRSMYVDFTMPFTELGTGTVARRGNSDIWVFLKPLRANLWLTSAAFFVLMGLVVWTIEHPTNEEFQGSVAYQIGTILWFGFSTLVYAHREKLTSNLSRFVVIIWLFVVLILTSSYTATLSSMLTVRQIQLVTARNYVGIQYGSFLGGLLVASNLNFTGLHPYTSPEDYASALSGGSKSGGVTVIVDELPYIKIFLAKYGKDYAMVASQSNTAGWGFVFQKGLPLVSDMSQAIVKLREEGKLEMMEKKWFKSQSSFMPENATSTPNILNLDSFGGLFVVSFLSCVSVLFIRFTFVLFKKFGLKNFIKLLDGGKLALMFRFLVSRRGNAIC >CDP15273 pep chromosome:AUK_PRJEB4211_v1:11:19577390:19581224:1 gene:GSCOC_T00042941001 transcript:CDP15273 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNEMLFMMILHGSLTQAMVLRIFEDKAAFYGCGFEGARDTLYDDSGRHFFKECFIQGSIDFMFGNGRSLYKDCMINSVAKKFQARNSTSENSGFSFMNCSVKGSGKVWL >CDP05399 pep chromosome:AUK_PRJEB4211_v1:11:19749738:19761507:1 gene:GSCOC_T00020425001 transcript:CDP05399 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELKTKEKLKPWEQHSAVITIPRFDYNAPSSLLSHSHSGFLITCPIKREKSATKEAMSILEKYVRSLSILNAEGSESLDVNMSAKKRRISVSTEEELGNSLERKDAADDFGEPGKCTENSYSLLPDSVKHNISHTETNNTLSLVKLTRSGLLLFIFPKGEHPAIVDIVQNIIQLRESGSLKSAQWCHRIFPIQATCRLDEKEVHSIVSKLVNQFLKDKKNKVTQPVKFAVGYNRRGIEETEMKDVRVTSNGSKISALLDRGKCFSIVAAAVKDAVPDSIVDLKCPELAVLLEVLPLSGIPNESVVVGVSVLPQMLFSSKPRLCVKALVKGC >CDP16316 pep chromosome:AUK_PRJEB4211_v1:11:23685761:23686688:-1 gene:GSCOC_T00018112001 transcript:CDP16316 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFLHFLLDFLDLAVNCHPKISFFIFFTVAVVVAAEVVGHGSLSWLLLHLCLLNHGYIR >CDP11047 pep chromosome:AUK_PRJEB4211_v1:11:6227836:6234021:-1 gene:GSCOC_T00033037001 transcript:CDP11047 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPVYKRSVWDSVWDALTDYEQLADFIPNLVGIRRIPCPHPGCIWLEQKGLQRAVYWHVEAWVVLDLQEFQNSANDCELQFFMVDGDFKKFEGKWSVKSGKRSSKTTLIKATLSPNWAVFGKVCKLYNPCMVDEVHLRRFDGLLLSNPSFGLLVKENGGVHRCVIASITVKAPVCEVWNILTEYGRFPEKVLYFGSNINKTFSLFPFNWCRMVPNLAISKILSREYNKVRNLQVKTSGLLYMVLHARVVLYSCEVLEQDSSFDQVEGDFDSFRGKYNLVCKDYRIEIKGLEF >CDP00803 pep chromosome:AUK_PRJEB4211_v1:11:25666671:25667918:1 gene:GSCOC_T00032893001 transcript:CDP00803 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQKKKTQGAAKGNRLLISITVMGSAGPIRFVVNEEELVAAVIDTALKSYAREGRLPVLGTDLNNFMLYCPCATTEALSPWETIGSLGFRNFMLCKKPETQKMSGDGNQTSPSMSRKSSGNWKAWFNKSLNLKVSSH >CDP05436 pep chromosome:AUK_PRJEB4211_v1:11:20431875:20433956:1 gene:GSCOC_T00020480001 transcript:CDP05436 gene_biotype:protein_coding transcript_biotype:protein_coding MENREKDYKAHVLAIPFQSPGHINPMLQLCKKFIRKGLKTTLAVTKFTSKFYHGKLSNPVSEFPISIQGLPPIESEDLPYFGSNVPYHFALVAAQFSNITQADCVLVNTYYELEKEVVDEFSKHCPVLTVGPTVPTFYLDRGVVDDKEYGVLSTQSDPSTCLNWLSSKPTRSVVYASLSSVGFASFDEKQLQELALALKSSNYYFLWSVKSFEAEKLPKNFKEETFDRGLLVQWTPQLEVLSSEAVGCILSHCGWNSTLEALSLGMPIVAMPQFADQQPNAKFIQDVWKVGIRVKHDKNGLATKEEIGRCIKEVMEGETGKGIKENATKFSNLAKAAVSEGGSSDTNLNDFVSKITSSS >CDP13178 pep chromosome:AUK_PRJEB4211_v1:11:31788185:31792619:-1 gene:GSCOC_T00038039001 transcript:CDP13178 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISCRHFTFLLIIVLLIWSSSMEGCYARRGKHWRQSRGIGVSLSKKKGKNHGTGYHHGHVNKSKKKPPSPKAPPAFSPPSSTPSPPISSRPSPPILAPRPPQKGYGNAPSTSIFNVLNFGAKGDGTTDDTKAFQAAWAAACKVEASTIVVPSDSVFLVGPISFSGPYCQHDIVFQLDGTIIAPTNTRAWGSGTLQWLQFTKLVGITIQGSGTIDGRGAAWWQDSPLQDPVDSESKLIVPLNGTVGRNPSIPIQGSLGGKMPSIKPTALRFYGSFNVSVTGITIQNSPQCHLKFDTCIGVSVYNFSVSSPGDSPNTDGIHLQNSRDVLIRSTNVACGDDCVSIQTGCTNVYIHNINCGPGHGISIGGLGKDETRACVSNITIRDIIMHQTMNGVRIKTWQGGSGSVQGVLFSNIIVSEVQLPIVIDQFYCDKTTCKNQSSAVALSGIHYENIRGTYTVKPVHLACSDNVPCTQVTLNDIELKPLQERYHMYDPYCWQTFGELYSPTEPPIECLQIGKPPGNRIQADHDSC >CDP00242 pep chromosome:AUK_PRJEB4211_v1:11:30671559:30674180:-1 gene:GSCOC_T00032124001 transcript:CDP00242 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCSLQCGNDGQNCCQTCPEVSGAGPAAAAAADGCNKGFMLFGVRVMEGSFRKSASMNNLAQFEQPQDSMNNNDVNAGYASDDVVHPSARNRERKRGVPWTEDEHRLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRNNHSRRRRRSSLFDITTDTVLGSAMEDRAQEESVPQPAMQQQKNLINNLEKFPMSTYPTNIAPVMLPVNPVKGASSLESLTLGPANNQLLLNRTNNTSSPTPKLIRPIPLAPIPPSSKMADLNLNKTTIPDPLPLSLKLSTPSSSAASQPSSEQHSPSSSSPPARHTSPFQAMPSSFDNSSGDSIISVA >CDP11607 pep chromosome:AUK_PRJEB4211_v1:11:15104112:15104611:1 gene:GSCOC_T00033967001 transcript:CDP11607 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLKMTILITSNGACKLGAFGFTPTGHSSGDSANVRAFHYAVSVNFHITVKNRI >CDP11599 pep chromosome:AUK_PRJEB4211_v1:11:14947111:14947348:-1 gene:GSCOC_T00033955001 transcript:CDP11599 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNSRYNYAGDEASEVGFLSDNRWMLQVRSSVLVGWLVTKLTEAT >CDP00197 pep chromosome:AUK_PRJEB4211_v1:11:30998923:31000251:1 gene:GSCOC_T00032066001 transcript:CDP00197 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLDVEVEVKSSADKFWDAIMDSVALFPKACPNQYKSIEVLEGDGKSVGSVRLVKYAEGSPEITFSKEKIEFVDEGNKTVRYSVIEGDLLKFYKLFKPTLVVSAKGDGSSVKWVCEFEKTSDEIPNPDIIKDFAAKNFQELDAYLLKA >CDP13254 pep chromosome:AUK_PRJEB4211_v1:11:32329613:32333214:1 gene:GSCOC_T00038134001 transcript:CDP13254 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPLYTNSRWIVNGSGQRVKLACVNWATHLEVVVAEGLSKQPVDVISRSIVDMGFNCVRLTWPLFLFTNDTLASLSVRQSFQKLGLTESIAGFQANNPSIVDLSLINAYQAVVASLASNNVMIILDNHISKPGWCCSNFDGNGFFGDRYFNPDLWIRGLTEVAAMFCGINNVVGISLRNELRGPKQNVDDWYRYMQKGAEAVHAANPDVLIILSGLNYDKDFSFLLQKPVNLTFSGKLVYEVHWYGFSDGKAWETGNPNEVCGRLVNDMMRKSGFLLDQGYPLFVSEFGVDLRGTNVNDNRFLNCFLGWAAELDLDWALWTLVGSYYLREGVAGKDESYGIYNWNWCETRNSSFIQRISALQSPFRGPGVSESRPHKIIFHPATGLCVQRKSLLKPLELGPCSEAEAWGYSPQKTLTIKGTYYCLQADALGQPAKLGIICTDKSSKWEAISDSKMHLSSKLCDGSEVCLDVDSNNVVVTNNCKCLSRDSSCDPGSQWFKLVDSSRHGTSSTKSFSQMDDSILFNLAKTLAESLRSW >CDP05589 pep chromosome:AUK_PRJEB4211_v1:11:23345626:23347670:-1 gene:GSCOC_T00020719001 transcript:CDP05589 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLRTPSFMVNYQKKKKQQHQGESTPKTEVDISQLQFQPMEAMLVLVQKERVKLLGACCIILVPVIGLIALPYIKPWSLRFGIPAICTLVATLAFLQGTGSYKGESKPDGSPVTNVLRVFVASTRKMFEDPKQYSELYENQDTNTPKLPHTKGLLSFLDKAAIQLTTEIEEPEKHRWRLCTRTEVEETKIIIRMMPIWITFVICGVITSVGNTYFVEQANHMNYKIGKLKLPNSVILVFYEISKSRIKLIYTAIGRCLRGARKEKYAPSIGIALATIFSVLCCITASGIETRRIHVIRSHGLLDKQENKIPLSVFVLLLQYFLLAGLDSLYENSVTPFLTDQSPPSMKKYLVYLSPGLSGLGIVGSVLSVYVVGKVSEKGGKRNWFQYTLNQSRLDRYYWVLAALSAANFIWFVFWAVLFPLREPGSNDEKAAENDEEKAGNNDGNEEQIRNQEKEEPIGNREWVDPSLNFVNDLLGANITR >CDP16283 pep chromosome:AUK_PRJEB4211_v1:11:24060657:24067856:1 gene:GSCOC_T00018058001 transcript:CDP16283 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTGDGGVTAELSAGENYSNARVTSEVNVTPLTVSASFKEGKGSTSRRRASVRPSLDADEFINLLHGSDPVKLELNRLENEVRDKDRELGEAQAEIKALRFSERLREKAVEELTDELARVEEKLKLTESLLESRNLEIKKINDEKKASMAAQFAAEATLRRVHSAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEVERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKLHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAISERTAKSEAQLKEKYQLRLKVLEETLRSPNSSVRNTPDGRSSSNGLSRRQSLGGAENISKLTSNGFLPKRSPSFQMRSSGSSTVLKHAKGTSKSFDGGSRSLDRSKILLNGTGPNFKMSQSCDGTKDSEPQKSTWKENQDEKPSDVQVTETDDTVPGLLYDLLQKEVVALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEHENRAKRFANSKGPVNSSQLIPGRHAARSGLTRNTQ >CDP00168 pep chromosome:AUK_PRJEB4211_v1:11:31253072:31255408:-1 gene:GSCOC_T00032028001 transcript:CDP00168 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPGILLKLLNGMNSGVKPTNEHRSSLLQVTDIVPADLDEKNLWPKHGFFIKVSDSSHSIYVSLPFDQDDLVLSNKMQLGQFIYVDKLEPGSPVPIVKGAKLVPGRHPLVGTPEPLMGLREKGEKIEQKINPKLSAPRRGSWGTGPNGTDAAASSPLVLKPVPLDFDQCTPVKDKPNSVKSGGNFPMSPVIRGRAKDGTANSAVRSSVGGALLSKMMDSKGETPSLVRKSCFTPSMLKFPRSKSVCDREHRIPKSPFNSAEKKSSTPPPNLRNARQAAFPHFGGDAQKITVPAQSQSHDSSSNSTSLPMNLPGKLSMLGKEATQQREAAQKIALQALRDASATENLVRYLKMFSNLNKSARPDAPSRCFDQFLEFHNQIAQAIAEMVSIQAASEVAQAPTVESKENGENCSEEKTPILHEIMHNNVDQSRNSESSASKRRAALYKSIAVFPERSDQKSILGKHLRSSILSQKVSLERKCSSENDENRKPVAAAASGSSSLSNTIKLGRQIETEAGNWFMDFLEKALEKGLKKSKAEKEMDASKVPQSLILKVINWVEVEQSDPSKRPVHPRAAHIARKLRIKMKNP >CDP00306 pep chromosome:AUK_PRJEB4211_v1:11:30163936:30167424:1 gene:GSCOC_T00032202001 transcript:CDP00306 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGDYTAEVTGLSPNATEKDVHDFFAFCGAIEHVEIVRAGEYACTAYVTFKNPHALETAVLLSGATILDQRVCITRWGRYDDEYDVWTRSTWTDGAESCPTQSNRHQFVSSAGEAITLAQDVVKTMLAKGYVLGKDALSKAKAFDESHQVSATAAAKVSEFSERIGLTDKICAGVEAVKSVDQRYHVSDTTKSAVSATGRTAAAAANAVVNSSYFSKGAFWLSGALSRAAQAAADLGGRGQNK >CDP11056 pep chromosome:AUK_PRJEB4211_v1:11:5948225:5953792:-1 gene:GSCOC_T00033049001 transcript:CDP11056 gene_biotype:protein_coding transcript_biotype:protein_coding MQYEDLPAMEFGVDSWPDLSLPGAAKANEDTMDKAAPLDNASEIFQSPLDDSEQGDFVDYGWASVGSFEDLERIFRKCKILSHSCNEDPIFGRGSLINADELWSSSKDVTSSPEKSIPMSGDSPRLGLGSLRSTSEQFDVQGEYLSDQNQCFTPGHEKINLLTSNVPQDVKSCAGNIG >CDP18012 pep chromosome:AUK_PRJEB4211_v1:11:17317624:17319264:1 gene:GSCOC_T00001360001 transcript:CDP18012 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEISGSDFVKYNCLEKTILHLFELLEVGGPFLLLIHLCSLSFFPPFTDLLETLNQVYFCT >CDP16890 pep chromosome:AUK_PRJEB4211_v1:11:806511:811772:-1 gene:GSCOC_T00019474001 transcript:CDP16890 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPAQYLSKPPTNPSPPPLLYPSRHHSNTRVSVIKCESQSPLELKPNNSLNKTNFKTTTTGAGAGAVGPPPPYPGGVGPYTGRDPNVKKPEWLRQKAPQGKKYDEVRDSLSRLNLHTVCEEAQCPNIGECWNGGGDGVATATIMLLGDTCTRGCRFCAVKTSRNPPPPDPMEPYNTAKAIASWGVDYIVLTSVDRDDLPDGGSGHFAETVKAMKMLKPDIMVECLTSDFRGDLDAVSALARSGLDVFAHNIETVRRLQRIVRDPRAGYEQSLSVLKHAKLAKEGMITKSSIMLGLGETDEELKEAMADLRAIDVDILTLGQYLQPTPLHLTVKEYVTPEKFTFWKEYGESIGFRYVASGPLVRSSYRAGELFVKTMVRDRARSTSSASST >CDP15257 pep chromosome:AUK_PRJEB4211_v1:11:18718931:18719095:1 gene:GSCOC_T00042910001 transcript:CDP15257 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLPRIIQAKQILRRSTSTSNAAISGSVDVPKGYLAIYVGESERKRFVIPIA >CDP00389 pep chromosome:AUK_PRJEB4211_v1:11:29491997:29495032:-1 gene:GSCOC_T00032315001 transcript:CDP00389 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDENLLTQIKDGFSEGKDLVVSVMCAMGEEQICALKDIGPK >CDP00676 pep chromosome:AUK_PRJEB4211_v1:11:27029781:27030209:-1 gene:GSCOC_T00032699001 transcript:CDP00676 gene_biotype:protein_coding transcript_biotype:protein_coding MDILPFGCLDGLKRCWRRRRYQRLDDSIKMKRKLKIARLGSKRNSQKEAKIKKVEKFRFKIITPVKLVARLHEAYMDAMIRLAGTKRIDHVSNEAKRAAKCKPIPMVSAPTNEMVDCRAVVEIYKRVVSTRDLQSSLIPELY >CDP00787 pep chromosome:AUK_PRJEB4211_v1:11:25850400:25851862:1 gene:GSCOC_T00032870001 transcript:CDP00787 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGNDWSTGLCGCTQDCRSCCLTCWCPCVAFGRIAEIADRGQSSCCQMGCVFCLLGLLLNHGSLSWIVSMGYRTKIRQQYGIMGGSCHDCILHFCCERCALCQEYRELQFQGYDVSAGWEANAARKTAGVTMAPVGIQMTR >CDP15260 pep chromosome:AUK_PRJEB4211_v1:11:18927663:18929017:1 gene:GSCOC_T00042913001 transcript:CDP15260 gene_biotype:protein_coding transcript_biotype:protein_coding MLASHMASDLVSIIVAATYLASFLKIHKNSLQNDTQYFGAIVGRVVNRIGGAQFTLNGVHYKLDANEKPNMLHGGRKGFSLLTWNVEKYVKDRANPFIVLSYYSHDGEEGFPGNLLVRVTYALLEPYKLSVIMEAEALNKATPVNLAQHSYWNLGGHNSGDVLSDTVQIFGLHYTPANNQLIPTGEILPVKGTPYDFLKPHKIQSQMKGLPRGGAPSGYNVNYALDEGKDHKLKLAAIAYSKKTGIGMMISTSAPGLQFYTANYLNVTGKGGYVYQSHAAYCFETQGFPDAVNHPNFPSTIVNPGDLYVHNICPDLNQQINETHFHVKHCSVTLTIT >CDP11625 pep chromosome:AUK_PRJEB4211_v1:11:15962528:15967710:-1 gene:GSCOC_T00034000001 transcript:CDP11625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g18110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18110) UniProtKB/Swiss-Prot;Acc:Q5G1S8] MAACAGLPTNATIASAAPLQQQISNSSIKKLPNKPSSPVSVSVSVSLCSCSCSCSCSSRCCTTRSCTTTTTEETCSNQKFSYSRASPSLRWPHLKFPDTHHLHHHSSFPQPPSPTTSAVNLVGEIKGGRAESADREEETILLRGNEEEEEEEALRWPRPTRKAAKKMTKLALKRAKDWRKRVQFLTDRILGLKPEEFVADVLDEKMVQMTPTDFCFVVKWVGQTSWQRALEVYEWLNLRHWYSPNPRMLATVLGVLGKANQEALAVEIFTRAEPGVAATVQVYNAMMGVYARNGQFTSVRQLLDLMRQRGCEPDLVSFNTLINARLKAEPLSPNLAIQLLNEVRSSKIRPDIITYNTLLSACSRDSNLEEAVKVFDDMEVNKCQPDLWTYNAMISVFARCGLPGEAERLFKDLESKGFYPDVVTYNSLLYAFAREGNVQKVDEICREMVKMGFGKDEMTLNTIIHMYGKNGQVGLALQLYRDMKTAGRNPDVVTYTVLIDSLGKANKITEAAQVMSEMLNAGVKPTVRTYSALICGYAKAGKRMNAEEMFNCMLRSGIKPDRLAYSVMLDIHLRSNETKKAMMLYREMVDDGFLPDLSLYEVMLRVLGREKKSESIEKLIKDLEELHELSPHIISSILTKGECYDFAAEMLRLAIAQGYSLDKENLLSILSSYSSSGRHLEAIELLNFLKEHPSGSDRFISEALVVIFCKANQMHAALKEYHELREFSFFSGSFTMYDALIKCCVESEHFAEASQIFSDMRFNALEPSWDIYRIMATSYCRLGFPETGHFLVDQAEARGIAVHDISTYIGLIEGYGRLKLLEKAESIVGSLKKQCSVVDRKAWNALIQAYAASGFYEKARAAFNTMMRDGPSPTVETINGLLQALIVDDRLNELYVVIQELQDMGFKISKSSIILMLDAFARAGNIFEVKKIYHGMKAAGYFPTMHLYRVMIELLCGGKQVRDVEATVSEMQEAGFKPDISIRNSMLKLYTKIEDFKKTVQVFQQIQEAGLEADEDTYSTLILMYCRDHRPEEGLSLVREMMQLGLEPNLDTYKSLIAAFCKQLMLEQAEELFERLRSGGHKLNRSFYHLMMKMYRNSGNHSKAEKLMVVMKESGVEPTIATMHLLMTSYGSSGHPMEAEKVLNDLKLTGLTLGTLPYCSVIEAYLKNGDRDIAIQKLLEMRAEGLEPNHMIWTCFIRAASMCHSTSEAIILLNAIADAGFDLPLRFLRNSSELLVLEMDRYLAELEPLEDNAAFNFVNALEDLLWAFELRATASWIFQLAIKRNIYPHDIFRVADKDWGADFRKLSAGAALVGLTLWLDHMQESFSLIIILQDASLEGVPESPKSVVLITGASDYNHVSLNSTVKAYLWEMGSPFLPCKTRSGLLVAKAHSLRMWLKDSPFCLDLELKNNSTLPETNSMQLIEGCYIRKGLVPAFKEIKERLGPVRPKMFSRLVLLPDEKRDRVIRADIEGRKKKLIKFGKARAVGRKSAQFRKRKFVRSSKPSNSGM >CDP04808 pep chromosome:AUK_PRJEB4211_v1:11:5346715:5349642:-1 gene:GSCOC_T00019536001 transcript:CDP04808 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGACLSSPASLSLLCSGKPSINGSRISASAEVPDFLSADWLESRRKRPFGPRLSFSAEEAVQHQLDALKYNDQRRQDYGIEVIYRFAGFDPFERSTYFGRFFDLGQFERFRRIFHHSTYRVLLGHQERKILSSLYPKENCYKQRIWVRGARPEEEEIFQFTMVQRVGGSWDGYWLTESLLHDGDCFSGGLAY >CDP11041 pep chromosome:AUK_PRJEB4211_v1:11:6347619:6356444:-1 gene:GSCOC_T00033028001 transcript:CDP11041 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVISKAPSSIHLAFTPDVSFECKLRNITCGLKSCLQKRCVALCSISDKPKWSSNGHMPNDGHSKYRNHHKNYSSEEEEEERKVHCEVQVISWRERRIKAEVVVNADVASVWDALTDYERLADFVPNLVSSMRIPCPHPGRIWLEQRGLQRALYWHIEARVVLDLQEFQTSANDHELQFSMVDGDFKKFEGKWSVKSGKRFSKTTLSYELNVIPTFNFPAIFLERIISSDLPVNLQAMACRAESTFKNSQNSLTDEAPPVTTLADSFTSTSSDVSGVASDKNDFPTGELKEKLLKATLSPLSPAKSELSSNWGVFGKVCKLDKPCMVDEVHLRRFDGLLENGGVHRCVIASITVKAPVPEVWNILTEYERLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVILDLCEVLEQEISFKQVEGDFDSFRGKWSLEQLGNHHTLLKYTVESKMRKNSFLSEAIMEEVIYEDLPSNLCAIRDYIETKVAENTSKAFDYSAYTNELFASSSNENAASNDEPANQNLSSTASDSSRQRPKVPGLQRDIEVLKSELFTFIAEHGQEGFMPMRKQLRRHGRVDIEKAITRMGGFRRIAALMNLSLAYKHRKPKGYWDNLENLQEEISWFQKSWRMDPSFMPSRKAFEQAGRFDIARALEKWGGLHEVSRLLSLKVRHPNRQASLAKERKMEVLAPNEDQAPSKPYVSQNTQKWLTKVKEFDINWVE >CDP00558 pep chromosome:AUK_PRJEB4211_v1:11:28141566:28149089:1 gene:GSCOC_T00032537001 transcript:CDP00558 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQKNQWPANLGKGKAVMYIDGPAEPPPPPPLGLLSDNGGREVGELENMDDWRRFREVGLLDEATMERRDKEALLEKIARLERELLDYQHYMGLLLIEKKEWTSKFEELRESIAEGQELLKREQASHSIALAEVDKREENLRKALNVERQCVADLEKALRETRVECEQVKLTSETKLADAKALVSGAQDSSFEVQEKLSAADAKLAEASRKTLELDRKLLEIEARESVLRRERMSLKAEQEAHEGSFSKHREDIREWEKKLQEGEEKLCEARRIINEREEKANGMDKVLKQSEKMLEEKMEKINLANLVLKEKEHDIEVRLESLAVKEEKAEYLRRQLEEKEKELSTLTEKLSARERVEIQKLLDEQRIALDLKNQQFESELEGRRRLLDEEMRKKSDDLDEKEAEITHMEEKLRKREQGLENKSDRVKEKEKDVEAKLKLLKEKEKNMKKEEKNLDLVKEEIISEKESLLVLKDELKKMEVEISQKQLDIHVESERLKVIDAERREYARLQTELKEEIEKCRLKKELLLKEGEDLKQDRKKFEEGWEALDEKRAAVTAELQQLTEEKQMFEKLQHSEEDRLRNERIANEDYIRRELEVIKLEKESFAANMRYEESARRMNLETDMLKKQEEMEKSLQEKRREFELERETELSNINYQKEGVKKELEYLSSERFSFEREKQDIVSNRELLKKQQLEMQKDIDELVMLSEKLKDQRGRFVQQRSQFLAFVERLKNCKSCGDFVRDYVLSDLAEIEHNEASAPPMEDELLEKVSSYGTKVGRSPTETDLKSSGSGGRVSWLQKCTSRLFNLSPKTIKHLGPQNLEQTVFDRPLFVDGKTEGSSDNLSNVEGRIQQVTEDSQHTERRSGQQRPEKKTRGRPRRTHSVKAVSRAELSLADKTARKRTRAQSSIMTGGELEADGSEGHSESVTAGGRRKRRQTVTPLQNPGEKRYNLRRHKTVGTATASQASVDSRKRVEAAEGGGDGTFDAVNAEVTSGPVVEIASDRHNPIPLVQVTSYKRDETRATSDQAFQFRRPGSNLDGDADAAEIEVVDFSEVNGTREYNGEDEHGSTLYSDVGDDDDGDDSEHPGETSVSRKIWNFFTS >CDP07967 pep chromosome:AUK_PRJEB4211_v1:11:10857983:10871926:-1 gene:GSCOC_T00025520001 transcript:CDP07967 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPPPAHDEDPVEDEEQLFLDENDIIQEIPVDEEELPDMDNDEGSDAEPRDEPDDSIHIFTGHTGELYTVVCSPTDPNLVATGGGDDKGFLWNIGQGDWAFELQGHSDSISSLGFSNDGQLLASGSFDGLVKVWDMSSRNLKCTFDGPGGGIEWVRWHPRGHLILAGSEDSTVWMWNADRGVYLNMFAGHNSTVTCGDFTPDGRIICTGSDDATMRIWNPKSGEIIHVVRGHPYHTEGLTCLSLSSDSAVALSGSKDSSAHLVNITTGKVVGSLSGHSDSVECVGFASSSSPWAATGGMDQKLIVWDLQHLSSRCTCEHEEGVACLLWLGASRFLATGSVDGKVRIWDSLSGDCVRTFSGHDHAIQSLALSASGDFLVSVSLDTTARVFEIAEFK >CDP13297 pep chromosome:AUK_PRJEB4211_v1:11:32616811:32618472:-1 gene:GSCOC_T00038188001 transcript:CDP13297 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIATKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFGKVKKYGLPMLLTQDEGVKTFISNLTSQLSEWLEAGKLQRVVLVIMSKASGEVLERWNFSIETDSEVVERGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDKDVAVPFTWIESDAKLIANPQMVKLHSFDTKIHKVDTLVSYKNDEWDEP >CDP11633 pep chromosome:AUK_PRJEB4211_v1:11:16250139:16252844:-1 gene:GSCOC_T00034015001 transcript:CDP11633 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVISLVIGRTVDLLHTKSVFLKDVRRQVERLGNDLEWMRCFLKDAEQRQDEDARIRNWVSLIRAAAYDAEDIIEIFASKVEFFTKNKGLVTKLTYYPLKIVNLYKIGKEIESLQMRLNDIADRREKYGIRNLEEGMSSRGEEFRRLRRTSPFSEDKDIVGFEEITKFLVAELLKQDKNRRVVSIVGMGGAGKTTLAKKVYNHADVREGFNCRAWVCVSSSYGHKEMLRSIIKQLNTVDNKLLETLEKMDEQDLERRLYQDLQDKCYLVVLDDVWKEEAWDCLARAFPDVNTSSRLLITSRNRGVPLHADALSIPHDLKTLGQEDSWQLFLRKALGHGDNAGCPLDLEEVGKEIARRCAGLPLAITVIGGLLLTKKRLKSEWEKVLNSFNANLSRSQSGVSAILELSYADLPSNLKFCFLYLGLFPEDYMISVRKFIHMWAAEGIMQKRDAENLEEIAAYDLEQLFSRNMVQVAEMTVDERIKSCRVHDLLRELAIRKAEDENFFQIHDTRYDKISRYLAVHILPRDKNYFWTSTPPLRSLLFFNVRFDREDISLSFKSFRKLRILDLENVKMPYNLPKEIGEVRLLRYLSLLETSISRLPHSVGCLRCLQTLDIRNLHPVIVSNFIWKLESLRHLYASDIKCNVPLKIEGLKNLQTLLGIRFDHIMHNNMMTLTSLQKLGIVVDDKSEIDKLCMHLSEVGSLKMLRLYRARGRYQWPSLAGLSKLHHVTELKLYGVGLRMLPPDFPPNLSRLSLKYTDLMNDPMPILEKLGQLSFLEMKDAYGGPQLVISRHGFHQLKFLELDLLRDLDEVMVDKAALPQLQCLRIRDCRNLEKLPEELKHISTLDTLELVDMQEDFISRLDADMVSSVPNLRIFDSTISQKKRRSYVYLRRRMEGYA >CDP00530 pep chromosome:AUK_PRJEB4211_v1:11:28420001:28436034:-1 gene:GSCOC_T00032491001 transcript:CDP00530 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEQQWLISCLNATLDTDQKVRSLAEASLHQVSLQAGFGIALSKVAANRELSPGLRQISFFRTAVLLKQFIKKHWDEDEESFEHPVVSNDEKATIRRLLLASVDDPHKKICTAVSVAVASIAHYDCPEDWPDLLPFLLKLMNEQNNVNAVHGALRCLALLSADLDDKMVPKLLPVLFPCLHAIISSPQVYDKSLRLKAFSIVYSFTSVLGSMSGVYKAETTALMSEMLQLWLDQICVVLRPPVHSEDPGDWSIRMEVLKCLNQFLQNFPSLMETQFTVILGPLWQTFVSSLGVYERASIEGAEDSYDGRYDSDGSEKSLESYVMQLFETLLTIVGSKKFGEVVANNVKELVYYTVSFMQMTEQQVHTWSLDANQYVADEDDNTYSCRVSGGLLIEEVISSFGALGIDALLDSAQRRFSESQAEKAAGAASWWRMREATLYALSAVSEHLLEAEDSGVSEVSLGNVMEQIFIEDMATGVHEYPFLYARLFSSVAKFSSVIKSNVVEHFLVAGIKAVGMDVPPPVKVGACRALLQLLPDVKSGVLQPLILELFSSLTNLLNQAMQQLHFYNTAFLASDETMHLVLETLRAAAMAGHKALVSIEPVLSPIILTMWASHVSDPFISIDAIEVLEAIKNAPGCIHPLVSRVLPYTTPILNKPHQQPDGLVAGSLDLLTMLLKNAPSDVVRAIFEVSFDSITRIVLQSDDHSEMQNATQCLASLVSGGKEELLMWGGDPGFAMRSLLDVASRLLDPNLESSGSLFVGSFILQLILHLPSQMALHIRDLVVALIRRMQSSEIAGLRSSLLLIFARLVHMSLPNVEKFIDLLVSIPAEGHKNSFAYVMSEWTRQQGEILGAYQIKVTTTALALLLSTRHAELEKIDVQGHLVKSNAGIITRSRAKLAPDHWTLMPLPAKILALLADALIEIHEQVVDDDEEDSDWEEVQTGDAGIDQELLYSASAASHSRPTHKYLDAMAKAFNEDDEDCEDEFLSGADPLNEINLVNYLVDFLVNFSQNNRPFFNNLLQSLTTAQQNAIQTVLNR >CDP00702 pep chromosome:AUK_PRJEB4211_v1:11:26731746:26746509:-1 gene:GSCOC_T00032751001 transcript:CDP00702 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRLQSSYGAVYVPPHHRLRSVISKTSTPTAPPASPPRAIHSKPALTNSDDKHSSFVNSRNNTYLPPHHFHQQLKKKSSTDDVSSEGSDRDIDLPVHPGGSSFDNIDSWKWKLTTLLRNKDNQEVVSREKKDRRDYEDIAALASQMGLYSHLYAKVVVVSKAPLPNYRFDLDDKRPQREVILHPGILRRVDYYLREYMSQKPKGMDTFSRSSSNGSIATDEGLFEQPEPFSHNKAAMKKIISRRSLQMHTERQTWQESQQGQKIMEFRRSLPAYKEKDAILSAIANNQVVIISGETGCGKTTQIPQYILESEIEATRGAMCNIICTQPRRISAMSVSERVAAERGELLGETVGYKVRLEGVKGRDTHLLFCTTGILLRRLLVDRNLKGVSHVIVDEVHERGMNEDFLLIVLKDLLPHRPELRLILMSATLDAELFSSYFGRAPMVHIPGFTYPVRTHFLENILEMTGYRLTPYNQIDDYGLEKLWKMNKQAPRKRKSQIASAVEDALRAADFEDYSPLTRESLSCWNPDCIGFNLIEHLLDYICQNEKPGAVLVFMTGWDDISALKEKLQGHQIIGDPNQVLLLTCHGSMASSEQSLIFNKAEDGVRKIILATNIAETSITIDDVVFVIDCGKAKETSYDALNNTPCLLPSWISKVSAKQRRGRAGRVQPGECYRLYPRCVYDAFADYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIEYLKVIGALDENENLTVLGRYLTMLPMEPKFGKMLVLGAIFYCLDPILTIVAGLSVRDPFLSPLDKKDLAEAAKAQFSQDYSDHLALVRAYEGWKDSEMDLAGYEYCWKNFLSAQSMKAIDSLRKEFYLLLKDTGLVDSNSTTYNTWSYDEHLLRAVICYGLYPGICSVVPNEKSFSFKTMEDGQVLLYSNSVNGRESRIPYPWLVFNEKIKVNSIFLRDSTAVSDSVLLLFGGSVLKGDMDGHIKMLGGYLEFFMSPSVAEMYQSLRRELEELIQTKLLNPRMDIHSHHALLSAIRLLITEDQGDGRFVFNRQVLQPPKPSAVAVAAPPTLMSRTESGPGGDNSKSQLQTLLTRAGYAAPIYKTKQEKNNQFLATVEFNGVQIMGHPCNNKKQAEKDAAAEALEWLLEGHQRGHDYIEQMSLFLKKSKKEHR >CDP19667 pep chromosome:AUK_PRJEB4211_v1:11:33678:38039:-1 gene:GSCOC_T00010271001 transcript:CDP19667 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGFYDPNSTVSLSDHRAAQVRMIEEALKFASVPEDPMKKPKSIVDVGCGIGGSSQYLARKYGTECTGITLSPVQAERARALAAAQGLENKVSFEVADALNQPFPDGKFDLVWSMESGEHMPEKAKFVNELARVAAPGARIIIVTWCHRNLSSSEQSLNPDEKKLLDKICDAYYLPTWCSTDDYVKLLQSLSLQDIKAADWSEYVAPFWPAVIRSALTWKGITSLILSGWKTIKGAMVMPLMMKGYKKGLIKFAIITCRKPE >CDP11005 pep chromosome:AUK_PRJEB4211_v1:11:8064824:8071043:1 gene:GSCOC_T00032965001 transcript:CDP11005 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVRQSLALGVLMNTKGLVELIVLNVGKEKKVKYLKIVLNDEMFAILVLMALFTTFMTTPIVMVIYRPAQNSHLLLGWKHHRHQICILFLGGPDSRKALELGCWMAGHPVMRVAVVRSTMFKDLEDMIETRPSSSQAFNSEDHQISITPNEYSREQELDDSMFIDFRRKWTGETEFVEKETHNLINEVKAIGQGGEFELVIVGKGRFPTAVLAELEDSRPEFDELGHVGGLIYSLGEAVKCSLLVIQQNDSVKDNKITMLLMNMLQVFDPRNGIINAGVEIIT >CDP11014 pep chromosome:AUK_PRJEB4211_v1:11:7373458:7381840:-1 gene:GSCOC_T00032983001 transcript:CDP11014 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEHSSRGERAYDIFSRLLKERIVCINGPISDDTAHVVVAQLLFLESENPSKPIHMYLNSPGGAVTAGLAIYDTMQYIRSPINTICMGQAASMGSLLLAAGAKGERKSLPNATIMVHQPSGGYSGQAKDMTIHTKQIVRVWDSLNALYAKHTGQPLETIKTLMDRDHFMTPEEAKEFGIIDEVIDQRPMALVTDAVGTEK >CDP00444 pep chromosome:AUK_PRJEB4211_v1:11:29104552:29105999:-1 gene:GSCOC_T00032384001 transcript:CDP00444 gene_biotype:protein_coding transcript_biotype:protein_coding MQLILYLALLFYKLVCELRIEKKRRERLSYERKKRIRALFFPFFSFFFELQKTPLFPLASPLFFLPFRCLAHRPFLLLKLTAESLYRLFLFLKP >CDP00398 pep chromosome:AUK_PRJEB4211_v1:11:29421646:29423708:1 gene:GSCOC_T00032328001 transcript:CDP00398 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIWASLDPSVSKPRSLFPSKVPSLPSKQFQQSEAVVGFRNNRVKEAAAASIRKVQLVSFAASAALLLCSSPANAGFFSGFSGIESVPGPELPQIDFLSRWNEENQKKYAEADAKFKESPLLKKLLEQTRLNKEKNRQATLDKYCIRGAEWGVGDCSADGMSPQDRDNFISMLKKKAGIEE >CDP00404 pep chromosome:AUK_PRJEB4211_v1:11:29396019:29396968:1 gene:GSCOC_T00032336001 transcript:CDP00404 gene_biotype:protein_coding transcript_biotype:protein_coding MERYYTLLVSSPLKSLHSTLLTYKTFLFPLLLLSNKRQGMKQSNKSLRDVLNFVIAGCDTTNTTLSWAIYMTMTHEDVAEKEIYTELEKLEESRVNEENVTLHKGEMILILNHSIRARLYPAIPKLSTLLNLDPKGILEDEILLNGAKLNAGGMAKPRIYMPWEGFSIFTDEVGAGHLMRILQIRTGARACCEVCDDDNPIQGTWIKSFRFKKS >CDP11650 pep chromosome:AUK_PRJEB4211_v1:11:16694545:16698302:-1 gene:GSCOC_T00034052001 transcript:CDP11650 gene_biotype:protein_coding transcript_biotype:protein_coding MEECGRAVGLGMNDGISSRVNSSLDMEASTSSSVPINSSNIGFQLLKKHGWKEGTGLGIFEQGRLEPVEAYVKKNKRGLGADKVKKAKEKSKCADKHSDDNENDKPPLKSTAKRVTKKMKKLQELEKRLKEKEFERAFYREFWPDNV >CDP05431 pep chromosome:AUK_PRJEB4211_v1:11:20310791:20312641:1 gene:GSCOC_T00020473001 transcript:CDP05431 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQPGNSELTRQFLSSVLSQRGPSALPYSEDVKWMIRQHLLALYDVYPSLNIKTSTFTHNDGRSVNLLHADGTVPMLYNGITYNIPVIIWLMESYPHHAPLVMVSPTPDMIIKRPHPFVDPSGVVKIPYLQSWIYPSSNLVELARSLSAHFGRDPPLYSQRRQSPSPTPSSNPYPNPSTSSSINSVNATPGVGPRPAIPPRMTPAVYPPPYSTSGGSIGGRMDDPSEVFRKNAVSKLVDTVHIDIMGLRKIREGEMEGLFNLQGVLRQRAEQLGKGLKEMQDEKEGLEQQLQMVLMNSDVMEAWLRDNEGKLGKLRGNIDVDEVFEPCDVLSQQMLECAVSDLAIEDAIYALDKAAQEGAIPFDQYLRNVRLLSREQFFQRATGSKVRAAQMQAQVASMASRASHYAA >CDP00506 pep chromosome:AUK_PRJEB4211_v1:11:28584445:28589458:-1 gene:GSCOC_T00032466001 transcript:CDP00506 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELYFNHDGSAMKKLQAGVDLVAELVGVTLGPKGRNVVLQNKYGPPKIVNDGETVLKEIELEDPLENVGVKLVRQAGAKTNELAGDGCTTSIVLAQGLIAEGMKVIAAGMNPIQLSRGIEKTTKALVSELKLMSREVEDHELVDVAAVSAGNDYAVGNMISNALQKVGRKGVVRIEKGNHGENSLRIVEGMEFDRGYLSPYFVTNRREMIAELHNCKLLLVDKKITNAKEMFKILDNAVKEKYPIVIVAEGIEQEALAPVVRNKLKGTLKAVAIRAPAFGERKSHYLDDIAILTGGTVIRDDMGLTLENAHKGLLGSASKVVITKNSTLIVTDGRNQEAVQKRVSQIQRLVENTEEKFQKKLLNERIARLSGGIAILQVGAQTQVEMKDKQLRMEDALNATKAAIEEGVVVGGGCCLLRLSLKVEDIKNHLDNEEQRIGADILRKALAYPARQIAKNSGLNGNAVIEKVLSVDNAAYGYNAARDKYEDLVAARILDPSKVVRCCLEHAASVANTFLTSDAVVIDIKEPVPNNLMRKPLPTSGIGPIGASSLV >CDP04843 pep chromosome:AUK_PRJEB4211_v1:11:4823913:4827897:-1 gene:GSCOC_T00019592001 transcript:CDP04843 gene_biotype:protein_coding transcript_biotype:protein_coding MSALWKWHLTLFTFMEVSSVRASAGKVICCHFSSDGKLLASGGHDKKAVLWYTSTLKPKATLEEHSMLITDVRFSPSMARLATSSFDKTVRVWDADNPGYTLRNFTGHSAGVMSLDFHPNNDDLICSCDGDGEIRYWSINTGSCSRAFKGGMAQVRFQPRLGRYLAAAAENVVSILDVESQACRHILKGHTKPVHSVCWDPSGELLASVSEDSVRVWSLASGSDGECVHELSCNGNKFHSCVFHPTYSSLLVIGCYQASPAYAFILYFQCFPSYVFFIVGCWCYRASLLLTFITWFLGCF >CDP00169 pep chromosome:AUK_PRJEB4211_v1:11:31247865:31251734:-1 gene:GSCOC_T00032029001 transcript:CDP00169 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAHRSLLHLAATQFHSSSTNFLASPPLSFPFLTKFLGPSSRCISFSTHSSAATLPSTLHQDDLFTSPVGDDEKKAESPKKGSKVILKGLTYPEVEKWVQSHGYRPGQALMLWKRLYGNNVWASCYEELEGLNKDFKKMLGEHADFKPLSLKDIHTASDGTRKILFALEDGLIIETVVIPCERGRTTVCVSSQVGCAMNCQFCYTGRQALSSLLMGLQRNLSTAEIVEQAVLARRLLSSEVGSITNVVFMGMGEPLHNFENVLKASEIMVDEQGLHFSPRKVTVSTSGLVPQLKRFLRESNCALAVSLNATTDEVRNWIMPINRKYKLGLLLETLREELCARQNYKVLFEYVMLAGVNDSTEDAKRLIDLVQGIPCKINLISFNPHCGSRFKPTKEDKMIQFRNVLAEAGCIAFLRWSRGDDQMAACGQLGKPGEIHAPLLRVPAQFQAALEASI >CDP00180 pep chromosome:AUK_PRJEB4211_v1:11:31159261:31163677:1 gene:GSCOC_T00032045001 transcript:CDP00180 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLLEQLIQLREFSSTALLMATLADSFLADLEELSDNEADSLNEDNGDAEQMEEDVDGDLADIEALNYDDLDSVSKLHKTQRYNDIMQKVEDALEKGSDISNQSIVLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVISVTASTTSGKPLPDEVLQKTIDACDRALTLDSAKKKVLDFVESRMGYIAPNVSAIVGTAVAAKLMGTAGGLSALAKMPACNVQLLGAKRKTLAGFSTANSQIHVGYLEQTEIFQSTPPTLRKRACRLLAAKSTLAARIDSIKGDSTGKVGRSLRDEILKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKTKERYALTDMRKLANRMAFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSAGPSKLSAKVAKKFKEKQYGSSGATSGLTSSLAFTPVQGIELSNPQALANQLGSGTQSTYFSETGTFSKIKRT >CDP15264 pep chromosome:AUK_PRJEB4211_v1:11:19162250:19162538:1 gene:GSCOC_T00042922001 transcript:CDP15264 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSTSPLLPKDLRPSSLYREHRSSVFVYTTRRRKSKKSQSLNPVARLFGPAIF >CDP16892 pep chromosome:AUK_PRJEB4211_v1:11:863891:864763:-1 gene:GSCOC_T00019478001 transcript:CDP16892 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQPLFSAITFLLLIASLIGSSEAAGIVQYWGRGHSEPSSLAEFCRRQFATDVNIAFLKDFGSGHMPELNVIHPWPSAADIESCQNNQTKVFISLAGPSNLSSVEDAQEVAAYVWNTYLGGESSDRPFGTAVLDGVELHIHSGNPNYLDVLALALKGYPNVTLAVAGECPIPNLFLDPTIRTGVVDQVRVEFFDNPSCEFLPPNDTSLLFRSWDSWSDYPGVHKLYLGIPISSSIASEGGYIPPNELVYDVLPYLQNSPVYGGITVYPYFGHGVTFKSLLRSYARAV >CDP00282 pep chromosome:AUK_PRJEB4211_v1:11:30342104:30343669:1 gene:GSCOC_T00032171001 transcript:CDP00282 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQLFHNNKVVIFDSTNFGPSNLSLPKHRCLEKDYLNQKKPANIDCTAHSFLYDIATNTYRPLVVHSNVWASSGANDDDGILVQSGGYNHGSKKIRIFRPTNSETCDWLEVNQMLRQGRYYATTQSLPDGRIIVVGGHAAFNYEFFPKAAVSPGGDIYSLPFLKSTFDHIEVSNLYPFLHLLPDGNLFIFANRHSILLNYMKHEVVRKFPPIPGARRSTPLTGSSVLLPLNLTGVRDNPISIESLDAEVMICGGAKGGAYVKASKGEHVLASTTCGRLKVTEKEPKWVMEKMPLRRVMPDMLLLPTSDVIIVNGAKRGSAMWEMADDPVLHPVLYVACESDPSRRFIVMNPSSTPRLFQSSAILMPDGRILVGGSNPTGSYKFQGVKYPTDTSLEAFSPHYLDPQFDDVRPSISSIEGAPGNVNVMSYGQQLSVKFDLKHLNPGRGFDVTLIAPSFTTHSFAMNQRLLVLDCAGVEEISNGVYNMTVYAPPTKNIAPPGYYMMFVVHDGIPSPGAWAKLE >CDP13365 pep chromosome:AUK_PRJEB4211_v1:11:33195083:33201301:-1 gene:GSCOC_T00038275001 transcript:CDP13365 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGKLTIIVGAGVVGSVLAKEGRISDVSDFFSGALKVVLRQLRHDESTTSKPKPQNDSLMQQVNSLREELQLLASNRSITIVTSSGGSASGRYGVIVIVIVVGYGCIWWKGWKLSDMMFATRRSLSDACSSVSKQLESVYSAISATKRHLSSRIDGVDSKIDECAGNTSATKEEVSKLRGDLRLMGADVQSVHHVVRSLETKISKIEGKQGETLFGVGRLVSFVKNLEHSRTLDPIEAGEASLYIIKACPFCSGTLPSSSRPALEKQQITPSRAVSLPSNCSVESPSSSSSNGPSKRPLQGGVLDSGLKLHGMSNGVEMSSKRSSQISNDVPVSEVANESSGSGIFGLKSSGGAFNMVRRSCSAKLSFNI >CDP16512 pep chromosome:AUK_PRJEB4211_v1:11:8741921:8745101:-1 gene:GSCOC_T00018504001 transcript:CDP16512 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVNAEIKESYKTIRSSTKSHLSKVEGFGFIDFLLGDMKGLLNSEADSLVLVKHQIHIVHGEIKFFKSFLRSIEEQFNEHQDLKSLVLCIVHVILEAEYLIESFRLGDCLRWFHPLWLSDLVEDLSLIKVQATEIYKNAHRVSTHDLPRSPMKDIAPAKIPQIDEVVVGLADQKRLIIDRLIAGSSQLDVVSIIGMAGLGKTTLAWKVYNDPSVTYHFHIRAWCCISQAYHKGELLLQILGDIMEITEEILEMSNEDLELKLYRCLKGKRYLIVMDDIWSIEAWYDFKRSFPNDNNGSRVLITSRHFDVAEKIKAYSSPHLLRPLSDDESWKLLQKKLYDTKECPDELLEVGKQIAISCKGLPLAVVAIAGLLKRSNMTPDKWKQVSESMCSRIADDPETRCMDILELSYNYLPNYLKPCFLYIAVFLKDKDIPVRKLAWLWRAEGFITDNRVESVEDTAERYLRDLIGRSLVMPSKRRSNGGVKTCQSQEENFLQFQNGYDELFDSSHEDIDYGVDPNHIYPKTSIKYQKSRLSICSKRNHFIMSRPYGPYVHSLLYSATSDSYPRCPYDISFIFDNFKLLGVLDLECINMGNSFPTGVLVLAGLRFLALCGDVDSIPDSISHLRDLETLIVKGLKGKVLLPYTLWSMENLRHLHVNNYAAITLEDDESIIISQVINLVSFSSPYLLCCEGTENIMRRLLKLQKLRCLFSELRDDTGKCNQFPILNFLTELDSLNILYSGRIAPPCKFDLPLNLRKLTLSKFRLPWNCISEIGRLPNLEVLKLLSKAFEGKVWEMKEGEFLKLKFLKLDTLSIAEWKSSSDHLPQLQHLILRNCRQLKEVPSGFGDSSSLEMIEVQLCTRSVEESVRLLQKEQHEMGNELKVLVDRSDMDFNHLPNFCWLCGKEK >CDP00204 pep chromosome:AUK_PRJEB4211_v1:11:30961847:30962902:1 gene:GSCOC_T00032075001 transcript:CDP00204 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTTILSPTATPKPIPAKHTVRSNLILRTLCTIPRTHPLLFCANAISATPLRANPPQKYIYPDPNPEFARAETKKFREGLVKKLSKEKETYGDELDAVVNVCTDGANRRKTQVLEMTNSPRGC >CDP00733 pep chromosome:AUK_PRJEB4211_v1:11:26373725:26374708:-1 gene:GSCOC_T00032799001 transcript:CDP00733 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFQSLQDDHNQDVSEFSDSKSALSFPSFANPIPPSVLPIVLVDKPLWMHRFLPCARGYRKAKGILINTFTELEPYAVDSFNLSESSPEIYPVGPILNRVQYVSRDVQSGIMEWLDGQPPGSVIYISFGSLGSLQIDLVKELANGLERSGYRFLWCLRRPPPKNTIVDFPSEYENYRDVLPDGFLDRTSHVGKVVGWVPQLAVLSHAAVGGFISHCGWNSTLESIWCGVPLATWPLESEQQLNAFQLVVELELSVEITLDHSSMDKNQALVTAEQIETGIRMVMESDSEVRKKVKEFSDKSRRSMKQAGSSYESLGSLISKMLHNS >CDP11606 pep chromosome:AUK_PRJEB4211_v1:11:15075934:15077036:-1 gene:GSCOC_T00033966001 transcript:CDP11606 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFIRREAQLFHSNPSKAWTQEQKNQEQVRRHSAQQIQRLEAQHPTKDEKQQLSTELGLSSGQIKFWFQNKRNQIKLRNEREENDALTLENEKLRMENLIMRGMLMDPYCKKCHGGLTEEETRKLHLQGLANENAKLKKEVDSSFHVFELSKILATESIFFSLSYPFFLLNCAA >CDP04890 pep chromosome:AUK_PRJEB4211_v1:11:2812923:2816214:1 gene:GSCOC_T00019689001 transcript:CDP04890 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTLTNNKLEGKVPIELSKLKMLGSLYLSKNNLTGEIPSSLGNLSSLTTLFLAYNNLERNLPKEIGFLKNLHSLGANFFEGTIPSKLASLKAIQVLDLSFNNLTGQIPRDLEKLQPLSLIVLMGNNELCGGITELRFPPCPLIMGKKKEKHKIIVLLSTVLPVTFLALVSYHELHRATLGFSPENLIGSGSFGIVYKGRLDQHGDRLVAVKVLDLQKNGASKSFKAECKALRNIRHRNLVSILSYCSSVDSKGHEFKALVYEFMENGNLDLWLHPETTDQATTSSCLNLFRRLNIAIDVASAVHYLHNQCETTIVHCDLKPSNILLDNDFVAHVGDFGLARLLPETISTSSDQGTSSAVAIKGSIGYAAPEYGMGLPASTQGDVYSYGIFLLEMITRRRPADDILTDGLNLHNYVNVALPEQVCKIVDPLFLSKGGDQYREMTPGEEKINGRREMECMISLLTIGLECSARLPNERMHTNEVLRKLHLIKDVFLGIRVHQENLEA >CDP00327 pep chromosome:AUK_PRJEB4211_v1:11:30013151:30018994:1 gene:GSCOC_T00032228001 transcript:CDP00327 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLNAGDSRTRSSVSIFIVAGLCCFFYLLGAWQRSGFGKGDSIALEVTKNGAACNVIPNLNFETHHGGGAGMIEDSDSNVKEIKPCKPRYADYTPCQDQNRAMKFPRENMNYRERHCPPQEEKLHCLIPAPNGYVTPFRWPKSRDYVPYANAPYKSLTVEKAIQNWIQYEGSVFRFPGGGTQFPQGADKYIDQLASVIPIENGTVRTALDTGCGVASWGAYLWRRKVITMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGANDGMYMKEVDRVLRPGGYWVLSGPPINWKTNYKAWQRSKEDLEEEQRKIEEIAKLLCWEKKSEKAEIAIWQKRIDADSCRAEQENSGATFCKASDPDDVWYKKMEECITPSDVKGDEASGESIKPFPERVYAIPQRIASGSVRGVSAESYQEDSKKWKKHVNAYKRINKILDSGRYRNIMDMNAGLGGFAAALESPKLWVMNVVPTIAEKNTLGVIYERGLIGIYHDWCEGFSTYPRTYDLIHANGLFSLYKDKCDFEDILLEMDRILRPEGAVIIRDAVDVLNKVQKIVRGIRWDFKLTDHEDGPLVPEKILIAVKKYWVAGENNSTSTE >CDP16277 pep chromosome:AUK_PRJEB4211_v1:11:24104657:24109977:-1 gene:GSCOC_T00018052001 transcript:CDP16277 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEIQAANGCSTTTLKTSDHLRHVESMSTLPSGAGPISRLNAVILGESLASEEDHLVFPSEAFSMQAHVPSPQKYLEMYTRSIEDPAGFWSEMASGFFWKERWGPQVYAENLDIRKGKINIEWFKGGITNLCYNCLDRIIESGDGDKIAIFWEGNEPGFDGTLTYKQLLARVCQLANWLKDVGVRKGDAVVIYLPMLMELPISMLACSRIGAVHSVVFAGFSAESLAQRIMDCKPKVVITCNAVRRGSKIIYLKDIVDAALAESARNGNPLDVCLTYENESAMKRESTKWQEGRDIWWQDVVPKHPTTCAVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDVYWCTADCGWITGHSYVTYGPLLNGATVVVFEGAPNYPDAGRCWDIVDKFKVSIFYTAPTLVRSLMREGDQARNTINCCPYVTRYSRKSLRVLGSVGEPINPSAWRWYFNVVGESRCPISDTWWQTETGGFMACF >CDP18457 pep chromosome:AUK_PRJEB4211_v1:11:1449721:1451208:-1 gene:GSCOC_T00007406001 transcript:CDP18457 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKIAIRIPALFFSSLPLPRTLDLSIKPPLLQLLRLPTRLNRCKPAASTKEARTNKLLLQASSSSTHWPLLQGIKPRNPLLPPDFGHLKLQSFKIQHPFSSSFRAATTLKLRTSTSIKVTYDHQDRETHVRRRQVNKMKEIHVIDEDDGPSTEFDRQDDDYLDMVLENDVQMGTNDLDDTNLDGDEDNAEDEFQENWDGENDWENNDFDNVDYGNNEFDSNDENDWL >CDP11033 pep chromosome:AUK_PRJEB4211_v1:11:6599291:6605738:1 gene:GSCOC_T00033016001 transcript:CDP11033 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTYFLFVAAVLLLHFVTTSSASIIAAGNHNNTADLNALLALKTTIFDPQRIIPTNWSNSNSVCNWIGITCNTRHQRVAAINLSYMGIVGTIPPELGNLSFLVWLNVRNNSFHGHLPPELSRLHRLKYINLASNDFEGEFPSWLGCLSAVWYINFEHNRFSGSLSGRLSNLTKLEVIGLGDNFFTGNLSEEFSALPKLRVLEIQYNQLVGPLPWALFNLSSLQTIAFTNNSLSGYLPTRICDYLPQLQGLYLSWNYFEVPQEVGNLSKLEVIQLSSNRLTGPITPKLFNISTLQFISLSENSFSGELPWTLGVSLPNLEELYLGGNRFTGAILTSISNASRLRVLDIGINHFTGAIPHSLGNLGLLEVLSVTKNDFFGDLRSNGLSFITSLANCKNLKSLRINGNPLNGFLPKSIGNLSSSLESFHAGSCGIKSEIPSSIGNLSNLVELFFENNSLTGLIPTTIKWFLKLQRIDLSGNQILGAIPSEFCNLVNLGELTLGQNMFSGMVPSCLGNVTTLRYVYLNSNNLSSVVPTSFWSLRYILELDMSGNYLTGSLPAEIGNFKVLVYLNLSNNQYLDRIPSTIGALQDLQELSLERNMLQGLIPDSMKNMLQLRHLDLSFNNLEGEIPNSLQVLPDLQYFNVSYNRLRGPIPHGGPFANFTSLSFLSNEALCGAPWLQPCASTFEHESRTKRIVMIVLWTSGSVILALVISIFLIRLKLRKKILAPTQNLLPTATFERVSFHELRQITNGFSKSNLLGSGGFGSVYKGICENGMVWAIKVFDLQLEGAFKSFDRECEVLSCLRHRNLTRVITACSSLDFKALVLEYMPNGSLEKWLHVNYHVLSIKQRLGIMIDVASGLEYLHYGYSMPIVHCDLKPSNILLDEDMVGHICDFGIAKLLVDGESVIQTKTLATFGYIAPEYGLEGLISTRSDVYSFGIILMETFTKRKPKDEMFTEELNLRRWIQECLPDSVIQVIDADLLHPKDKTVQRKIECVSSILKLSLSCTTDAPEERINMKEVLGALQKIKLQVIKDITP >CDP11603 pep chromosome:AUK_PRJEB4211_v1:11:15037358:15041387:-1 gene:GSCOC_T00033961001 transcript:CDP11603 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPGFGLSEGLHGYIRSFNRLVDDVIEHYSKVKENPDFCGLPSFLFGQSMGGAVALKVHLKQPNSWNGAVLVAPMCKIADDLVPPPFVTQVLIGVAKLLPKCKLVPQKDLAELAFRDAKKRNLTAYHVIAYKHKPRLRTALELLKTTQEIEQKLEKVSLPLLILHGKADAITDPSISEALYEKASSADKKLILYDDAYHSLLEGEPDEVILKVFGDIISWLDVHSS >CDP13181 pep chromosome:AUK_PRJEB4211_v1:11:31809352:31814616:1 gene:GSCOC_T00038042001 transcript:CDP13181 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLFTLDLTLKLFQFLDVHVIVLVTSNSFQFLAKLFRFIELFTLLQTNIWLYSFAQTVILLRKSKLRLKMDSSSIESNSIEWNTEDELDVDNISSSSSHQDQIADFAPSSSSCNCRGSNTIHQFLQMGFSKEMVIKAVKENGQNEGAVLDALLTYKTFEESPEDEQVLVDPCHAELASNVLDVYPDEEICENEEFKNLSTEKDRTVSLLVEMGYQAEEALAAIDRCSLDTPIAELVEFISAAQFAYHTDAQLGELKYYDNEMRKLQKQRKYLEKQPMDHDNEIVHLPNPMVGFGVPNQPSPVLFQRRIPDEAEGRPYFYFENVALAPKGVWHTMSRFLYYIDPEFVDSKFFCAAARKRGYIHNLPIENRSQLLPTPPSTIHEALPHTKQYWPSWDKRMQLNCILTCIGSAKLTDRIKKAVEKSGDDEPLPGVKKYVIQQCKKWNLIWVGKNKVAPLEPHEMEMLMGFPPNHTRGGGISRTEQYTVLGNAFQVDTVAYHLSVLKSMFPDGISVLSLFSGIGGAEVALHRLGIPLRNVVSVEISETCRKILKSWWDETNQQGKLIHFHDIRMVTAHELEKCMSTFGGFDLIIGGSPCNNLAGGNRSSRNGLEGSKSCLFFEYYRIIELVRSMMRHR >CDP13373 pep chromosome:AUK_PRJEB4211_v1:11:33255520:33259135:1 gene:GSCOC_T00038285001 transcript:CDP13373 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPDLKSGWGIHVVQEIKLLAKKEDRLGLDSAINELLQLGMQRELAAESIYKERCVAVDNGSSWAKYMSISGSPDDEYEIITLQYTDEGLLTVDENRDGHAAAFGDDIAIECLATEFKREIFVVQAHGSDAMVDEDNCVFFLPHRPRSEICEPPFFLFMKGTGWCGAGGDHYEPLIAHPSSFVSQEKVAMVL >CDP11637 pep chromosome:AUK_PRJEB4211_v1:11:16333223:16335981:1 gene:GSCOC_T00034027001 transcript:CDP11637 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEGTIPSQLGNLSFLVSLDMSNNSFHGYLPTGMSHLRLLSFMALSNNNLAEEIPSWLGALDRLQYLSMRNNSFLGDLPANICNNLSNLKEVDMSSNQLSGEILLSDLSNCSRLESLSLSYNQFSGSIPKELGRLKMPEVLHLAVNILEGNISCPKFGVTFEVYLNEIDFLHELIGLIPNEIGNLFKLESLDLGYNRFKWFNPTNLLFSVHVLLTIYIYLGRNNFSGVILASISNCSKLTEIYLGVNKFNGQIPNSIGIETPRNLDLCDNCLTGSIPNTMCGLQSLEYLYLSQNQISGRIPGCFNNLKDLLEVNMSSNFLRRPLALEIGELENLTKLDLSNDQFSGKFGIVGSIRFVYSSSLDHLFLANNSLQGSIPDKL >CDP00771 pep chromosome:AUK_PRJEB4211_v1:11:25988002:25989318:1 gene:GSCOC_T00032847001 transcript:CDP00771 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIIPLHCRNLLKLLLFLTILHHVPHSTTSLDLLPKEALPTKSGYLEVNSTTGSALFYTYYEAQKPTTPLSQIPLLIWLQGGPGCSSMLGNFYELGPWLVTSNVSVKPNPGAWNRIFGVLFIDNPIGTGFSIAASPQEIPRNQHDVAKHLFIAIKKFIKLDDMFKTRPIYVTGESYAGKYVPALGYYILKKNAILPVSNPATQTATYAVNAYYSGFINDKQKKILENLQKKAKLSHVVVLNAGHPVPTDQALNSQAMIEDWVLDRGLFAN >CDP13209 pep chromosome:AUK_PRJEB4211_v1:11:32031947:32035337:1 gene:GSCOC_T00038077001 transcript:CDP13209 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEKGIALYTKAAELGDPNGQCNLGISYLQADPPSYKEAVEWLYKASFAGHVRAQYQLALCLHQGRGLDQNLQEAARWYQQAAQGGYVRAMYNTSLCYSFGEGLVQCRRSARKWMKRAADRGHSKAQLEYGLLLFSEGDMMKAVVYLELAMRAGETAATSVKNVILQDMSTPSRDRVMHLADNWRALPSSR >CDP13329 pep chromosome:AUK_PRJEB4211_v1:11:32813497:32816414:1 gene:GSCOC_T00038227001 transcript:CDP13329 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYRSAFSALENPSRSIPIRFPHAEKMVKYSREPDNPTKSCKARGSDLRVHFKNTRETAHAIRKLPLAKAKRYLEDVLAHKQAIPFTRFCGGVGRTAQAKNRHSNGQGRWPVKSAGFILDLLKNAESNAEVKGLDVDSLFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEESVKKEPESQLATSKSRKA >CDP00285 pep chromosome:AUK_PRJEB4211_v1:11:30317805:30323508:-1 gene:GSCOC_T00032175001 transcript:CDP00285 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLGLRSNQTAASSEQSYAQEIQGDAHQRVKLATTLEGLIAEDPFPENMVAESRNGDSEVYGTENGSFDHTSAKSNSHVEGTHVDVSEDEGWIAIPYKELPRNWSDAPDMSSFRPLDRSFVFPGEQLHILLCLSVCKQDMEIITPFKVAAVMNKNGFGHSPEKENSNTSGENNPVPERMKEGSSDDQDVNLHSPNKGRRDSQNYISDGESLLRMEDHRRQTEMLLKKFENSHFFVRIAESDEPLWSKRRAPSESLDSSEVAEKFNIDRSISKSARRKVALSAIVDRGSCDSSITGGLARNAIKCLSLPNGDIVVLLQINVGVDLLRDPVLEVLQFERHQDRALSPEKGENLVGVDHDPCGELLRWLLPLDNSIPPPSRPLSPPQLSMSSSIRSTSIRASASASSGSQLFSFGHFRSYSMSSLPPNSTPTPSVTTPSPKPNTDVDDRDQFSFQKFEISQKNRSEGLLSFRGVSLEPERFSTRCGLEGIYTPGRRWRRKIEIIQPVEICSTAADCNSDNLVCVQVKNISPVHLQEVVVYIDAITIVYEEASTGGPPLCFPIACIEAGNEHSLPNLELRRGEEHSFILKPAASLWKTPRSHGEKSFESSSLAAGNAATTWRQSIYSEGKQSGSSADQYAILVSCRSNFTESRLFFKQATSWRPRISRDIMISVASERSRQAIGSDGRVAPLPVQVLTLQASNLTSEDLTLTVLAPASFTSPPSVLSLSSSPSSPASPFINSSELTTRVSSERSSNAGHTQVSVFMDQGQGGKGGYQSISLNAEVIHLSDVLPSNDLGCTHLWLQSRVPLGCIPAQCTSTIKLEVLPLRDGIIALDSLQIGVKEKGLTYVPEHSLKINATSSIATGMV >CDP00729 pep chromosome:AUK_PRJEB4211_v1:11:26409103:26417230:1 gene:GSCOC_T00032794001 transcript:CDP00729 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFPPIFSVLFLALFFSSSRASSFPAGSSSFSRRSILREISSDGKNEKRVEKADYGVELNATNFDAILKDTPATYAIVEFFAHWCPACRNYKPHYEKVARLFNGGDAVHPGNILMTRVDCALKINTNLCDKFSVGHYPMLLWGPPSKFVGATWEPKQENSEIRSIDDGRTADRLLNWINKQMGSSYALDDEKYENEHLQTNASDPGQIARAIYDVEEATSTAFDIIFTHKIKAETRASLIKFLQLLVAHHPSKRCRKGSGDILVNFDDIYPSEILSTNDAQSIGDGKEKGLQNMQICGKEVPRGYWMFCRGSSNQTRGFSCGLWVLFHSLAVRVEDGESQMAFTAICDFIHNFFVCEECRQHFYGMCLSVSNPFKSTRDFVLWLWNAHNKVNKRLMKEEASLETGDPKFPKTIWPPKQLCSSCYLSKSSKNGQIEWDNDEVFKFLVSYYGGTLVTLYKDKELLGDSEGNKAPIEDLAATTNAIVVPIGAALAIAVASCGFGALAWCWRSRQKNRKQRRSWN >CDP13292 pep chromosome:AUK_PRJEB4211_v1:11:32585858:32589815:-1 gene:GSCOC_T00038183001 transcript:CDP13292 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQIFGKIPRKQSKSAGSSTTVSSSDTARSRVEGNRKLGGSVDTVLTVHHSVSRNGYKTLDKFYRDENLDANRKLVTASYEPLPGFRDVPSSEKQNLFIRKLNMCCVVFDFADPTKYLKEKEIKRQTLLELVEYVTSAIVIYTETVMQEVVKMVSANLFRVHSPQSRENTVMEALDVEEEEPAMDPAWPHLQIVYELLLRFVASPETDAKVAKRYIDHSFVCKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPYIRKAINNMFYCFIFETEKHNGIAELLEIWGSIINGFALPLKEEHKLFLVRVLIPLHKPKCLAMYHQQLSYCITQFVEKDCKLADIIIRGLLKYWPVTNSSKEVMFLNELEEVLEATQSPEFQRCMVPLFRQVAQCLNSLHFQVAERALFLWNNDHIDNLIKQNRKIILPIIFPALERNARHHWNQAVHGLTLNIRKIFYDLDPELFKECLLKFEEVVSKQEEIRAKREATWNRLEEIAAEKVASNEAVLVSQSSFPHLT >CDP00452 pep chromosome:AUK_PRJEB4211_v1:11:29051342:29054847:1 gene:GSCOC_T00032394001 transcript:CDP00452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69200) UniProtKB/Swiss-Prot;Acc:F4I0K2] MAHLSFSQFSSLPRWQSSWTFFPNSNMKFSELHYTGLESRWHLAAVSKRKVTQALAQEASEEGDVVEKETRPKSKRATATTRKKGATENTENGSVSVVDGNPADEENMTTSGSSETTKKTRRRTRKKDTLATNNLEAEAANKKVTRRRRTKKQIDNAVDQDSAMEFSDQEGDAFIADTDSEQEMELDLDEGEDISFTYGWPPLVCCFGAAQHAFVPVGRRSNRLIDHEMHEIKKDAIWAPEKFVRSPGGCASNVAVALACLGGKVAFMGKLGDDAYGQSLLYFLNLNKVQTRSVRIDSKRVTAVSQMKIGKRGGLRMTCVKSCAEDALLTSEINIDVLKEAKMFYFNTFSLLDWKMRSTALQAIKISKKLGGIVFYDLNLPLPLWQSGEETKTFIQKAWALADVIEVTKEELEFLCGIKASEEFDTKNNDRSKFTHYAPEVVAPLWHENLKVLFVTNGTSKIHYYTKEHNGSVLGMEDGPLTPYTSDMSASGDGIVAGILRMLTVQPHLLTDKGYLEHTIKYAISCGVIDQWLQARKLGYPAKEGMEDDVIPDSNGIRSITEKEFRTLVRAS >CDP13278 pep chromosome:AUK_PRJEB4211_v1:11:32504826:32513051:-1 gene:GSCOC_T00038165001 transcript:CDP13278 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHDSEEYREGSAAAEVGVSNHSIPVEYTSDSAGNDQMDGSQPMQDELNDGKVTEDGGREDMFVDCSEEIEISETQTNSEEKDNVRDDRTEELHGTTRVEDLVAEIADLRHKLEKTVSEKQSFAQKYEEERENLKGELGYLHYQLKSLNDQNPLLEKVSVAYPDHHDKPGLGDGDEMSLASDASLHQIVTECSEFLNSAMGLYSQTENSIKELHASLQMKDSEIEDLNSKITEFTISREVTVLYLNSVQEAGCWTSEVQVEREHMIQEIANRILASLPVSVSQVGGFLDDSAGEKFSHIEKSISLLIEKHNQFLSGIGRLKLCLSDMTPDTHMEDEVGVFMSACVKLHELKMKEVDLEEKVIHFQNENAKLVEQLDKDKAVIESANAEIGKLNVEIEQEKTRYANTKEKLSLAVTKGKALVQQRDSLKQALADKTSELEKCLIELQEKSNALGFAEQSKDLLIKSESMAIHLQESLAQKDSVLQKCGEILSPAAGADDIQSFDLVEKLRWIVDERNALNGVTIEFQNVSDALSSINFPENLLANDMETRLKWLVESFSTAKEEAMKLQEEIAEIRVASSKEVDRLVQSVLAETQEKSYLQEELEDLRSKYDGVFKKEHQVACERDQMVSMLLEASGMTNSLEKVNISQCDIAKMIAKIKEEGEASFESSYSQVKILERFQGLLYVRTQEVMLYEHLLEEEMLNSAQMKQVSEKLRVVTQELHALKDERVSLEKELIRSEEKVALIREKLSMAVKKGKGLVQERENLRRLLDEKNTEVERLTSELQDQISACSDCRDQINKLEADMDCIPKLETDLVATKEQRNQLEQFLVESNNMLQKVIESIDSIDHPSNLVFKEPVEKVQWLSGYLNECQNSQEELEKLKEETITLISKLVEAETSMKSLEDALLDAQNSISQVLEENRELEVAKIQSEEELQKSLMEVASQKSKFAEVSATIRSFEDALTVAEDNISNLAKEKEDSLVSRAAIEMELQKLKEENSIQASRLTDAEVTIQSLEDALSEAQKNLSVLAEENNKTQIGRSDLEEDMKKLKAEADSQANKLADAAMTIKSLDDARLGAENKISDLVKENKNAEHEISALNSKLQACLQELEGFHGGIANSSREISGYLTSIQMILRDDSLLSLLKKSFKDKIESLGDMNNILKEMRDCFFDMIGPDMLQSFPVMEDDYSVSTLSPDGLDNALEMEMVNVQLNAVDDENVTLNFEKTLEGLRLRDKNLAEKIGSCSGILDDFILALLKRLQVAKDGVIVARELVRSLKHRANDVEMDRQAQENTVAMLESDMEILLSACTKATEELELEVENNLSELSSVSILENSSTELEAFGQDALIDHDLKSEGNKYVHIAEKLLLATSHCRNFIKHFHGMKNMMVSTVEDLQNQLIETKTTCGNLLEERDLNQKKISKLETDLEVAENLCREMKLKIEDHEARQPMLKERETELLVAHSTSPKNVHEAQEFSLSASQIKSLFNKISAIGISFPEPEVEDLETTYSTDVQKLFYIIDNFNGLKDKINSEAQEKENLQSMLEKQVTAVEHLNEEVKGYVREKQESERMRNELALGLESIIQKLGGDKLVGGEKIAHVTGLLSALDMMVMATKAESVNLKSKTDELSTKLLSTEKFVDELSSKVKLLEGSSHGGVAFPETIKEKGISELSSSNSQPEISEIQELGQGKNVAVSSVPSAAHVRTLRKGSSDHLAISIDPESERLANNEQADEDKGHVFKSLNTSGLIPRQGKMIADRIDGIWVSGGRALMSHPRARLGLVAYWLLLHIWLLGSIL >CDP16868 pep chromosome:AUK_PRJEB4211_v1:11:367619:369602:-1 gene:GSCOC_T00019440001 transcript:CDP16868 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNPYGKVSEADQERLLARRKTRKRITIIALSSVVLVAVVVAAVFGGVSHNNKGTSSTDDQSSISTTIKAACSVTLYPDSCYNSLSPLIKSGNIKPQDIFKLSVEVALNELSRASQAAVDKLNVTDKMALAALESCQELLSLALDHLNSSLTVQDTTLLEAFDGFRTWLSSAGTYQQTCIDDLRAAAPGLNLSDHVSEKLRNSTEYTSNSLAIVSSLESSVASIGNLGSIGRRLLSSFNHEQEPFWLSSVDRKLLQTTASQIKADAVVAKDGSGKYKTIGAALKAVPEKSKKRFVIYVKKGIYVENVRVEKNMWNVVMIGDGMNATIVSGRLNFVDGTPTFQSATFAVFGKGFIARDMGFQNTAGAAKHQAVALMSTADESVFYRCAMDAFQDTLYANSNRQFYRECSIYGTVDFIFGNAAVVLQNCNILPRKPMLGQQNTITAQGKIDPNQNTGISIHNCTIWPSGNLTGVNTFLGRPWKNYSTTVYMQTTMGNLIHPKGWLPWVGTSAPDTIFYAEYQNNGPGAVIKNRVRWKGLKPNLNAKQVGKFAVKSFISGDKWLPAAGVTYKSSL >CDP00562 pep chromosome:AUK_PRJEB4211_v1:11:28115717:28121405:-1 gene:GSCOC_T00032542001 transcript:CDP00562 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSLSPFPQYFSSHFRLLYHHKLMSSSSSNPIFIRPRNYSRPFYISASVAQKDLDFSWISFEQNGPDDYNGWAAVEAPVKSRERKKGATLVMIGAGASFAALLGVVAYHLISKKGFQFRFIGPFNTTQGISLPSKTEEKAIEAKTIKSDALKDEAEVSEGTQESVPDGVDDNVLIEPKKNATRPNRFGRIMVSVDSAQQEALHILQKLKIIEDDVKADELCTRREYARWLVQANSQLERSQRHRILSSAALSGSTVMAFDDVNVEDPDFMHIQCLAEAGIVASRLLDGNFSLDLNDSDGRQGVFFLPDRFISRQDLISWKAKLEYEVIPGLNEEISRKNIGFLDVRDISSEAIVDLAMDILADEKSILRRVFGQIKRFQPRKPSTKAQAAVALTSGRMTEFVRAELLRLQAENASRQTSMQEIRSELLERGDIQRFWEGKMEEERKHGLEVEKAYLAAIHDLEQQKIIQENGLPELLKQRAAMDCQKQLLSSLKEEVDEMSERLVIERTKYVDEKSNLETVLVELQVKYEGLLDAKSILEAEIEALRILRSWIDDEARKSQARAKVLEEVGRRWRWDQNHKSGC >CDP13398 pep chromosome:AUK_PRJEB4211_v1:11:33481680:33486662:-1 gene:GSCOC_T00038321001 transcript:CDP13398 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMRDCYDRLLSAAAATANSVYEFSESLGEMGDCLLEKTALTDDEESGKVLLMLGKVQLELQKLVDSYRSHIFQTITVPSESLLNELRIVEEMKRRCDEKREIYDEVIKKSRERGRLRSSKGECFSSHQMQAAHDEYDEEANTFVFRMKSLRQGQSRSLLTQAARHHAAQLSFLRRALKSLETIEPHVKLVAEEQHIDYQFRGLEDDDGDDGDDEDDEDDDDDDAEGGSESQDDSELSFDYGQNNQGQEVDASRSSMELDNVDVTFRQVATAVVAKENLERSLSSNSFTFRKDVKASSKSGPLLSEKKFDAAERLAQMRHSPSQRFNSYVLPTPQEAKVPVSGKSDSEVPQARRASLSNIPLNLWHSSPLQQNKYQKIVTHFSGPIRLHTESILKESNNNTKSTRVPPHTTEALSPPRIDPYSRSDNKKAKRQAFSGPLTGKPLPNKPILSASGPISSNGYSQPFSGPLLRNPMPPLSSTPKLSSRMSPTFNSSPKISELHELPRPPAHLSRPPNRIAHSGPLMSKGQGYSATGNMVVPTAVSTLPMPPKIIPRSYSIPSRGQIEAALHASKPLETPADLKIPEDNASPPLTPIVIQNVQPVSPGL >CDP07914 pep chromosome:AUK_PRJEB4211_v1:11:12456127:12457760:-1 gene:GSCOC_T00025420001 transcript:CDP07914 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIIFFKKKVWALPRSYFSFQRFLPSEFGSGVDRLHGVVEPAASLYRTKAEIRRAVEAEGIPYTYLVSNGFAGYLNYFLNPFGDSSSASPPRDKIVILGDGNPKVIFLKEEDIAAYTIKAADDPRTLNKIVYLRLPANTVLQRNSTIEVLEKIQEASMPSKFILSLGYTVFVKGEMANFEIEASFGMELYPDVKCTALDEYLNQSVCIRQSSNKTVIPSVAFHFEMFQNICHRRREIWDGGSILTNVCLPAFLQHFLCTIRNVL >CDP00799 pep chromosome:AUK_PRJEB4211_v1:11:25731751:25733203:-1 gene:GSCOC_T00032887001 transcript:CDP00799 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKMIGQTNIHGPAGDVFHDVFSKRPHHLATMTPEKVQGFTLLGGDLGTVGSKICWHYTHDGKDRVAKQIIQDINEEKKSIVFKMIEGDLMELYKTFTIIYHVDVLGDKESLITWTLDYEKLKEDTPHPGTLLNFFLHMVEDIESHHIKNA >CDP00232 pep chromosome:AUK_PRJEB4211_v1:11:30767454:30767966:-1 gene:GSCOC_T00032113001 transcript:CDP00232 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVCQTGSSPSHSNKCKLPVRFKRGIQHENQLCSSSTNFGPNFSFGLCPFRPRSASRLLRRIRRKSSQLLWQWGAMHQSGPSNSNHLFTRQLRPGDCFVFPKGLIHYLYNMDKEEAALAISGFSSQNPGLQISSSASFTSNPGIPDEVLEKTFKIHGPDVAKIRRSLGG >CDP00611 pep chromosome:AUK_PRJEB4211_v1:11:27618301:27624324:-1 gene:GSCOC_T00032605001 transcript:CDP00611 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRSSFFSFILPLFLVTLLSIARVDVVSTAPTKIGQGYSLISVEESPDGGIVGLLQVKQKNNVYGPDIPYLQLYVKHETENRLRVHITDAQKERWEVPYDLIPREKPAALKKAIAWSRKNQNSVLMASSEYESNELIFAFTTDPFSFAVKRKSDGQTLFNSSSDESDPYSPLVFKDQYLEISTKLPQDASLYGLGENTQPHGIKLYPGDPYTLFTTDVAAINLNTDLYGSHPVYMDLRNVNREAFAHAVLLLNSNGMDVFYAGTSLTYKVIGGVLDFYFFSGPTPLDVVDQYTSFIGRPAPMPYWAFGFHQCRWGYHNLSVVEDVVENYQKAKIPLDVIWNDDDHMDGHKDFTLNPVNYPRPKLLAFLEKIHARGMKYVVIIDPGIGVNNSYGVYQRGLAKDVFIKYQGKPFLGQVWPGAVNFPDYLNPKTVDWWIDEIRRFHELVPVDGLWIDMNEVSNFCNGLCTIPKDKQCPSGTGPGWICCLDCKNLTLTNWDDPPYKINASGIKALPGYKTIAMSAVHYKGILEYNAHSLYGFTETIATHTGLQGLEGKRPFILSRSTYVGSGHYAAHWTGDNKGTWEDLRYSISTVLNFGLFGVPMVGSDICGFYPAPTEELCNRWIEVGAFYPFSRDHANFYSPRQELYQWDSVAKSARNALGMRYKLLPHLYTLSYEAHINGAPIARPLFFSFPTITELYGLSTQFLVGSSIMVSPVLEPNKTEVKILFPPGTWYSLFDMTQVIVSEKPHNRTLDAPLNVINAHLYQNAIIPMQQGGLISKEARMTPFSLVVAFQAGATTGEAKGKLFLDDDELPEMKLGNGYSTYIEFYATTGNATVKVWSDVQEGKFALDKGWIIEKITLLGVDTIQGKSFAIEVDGNPISDLSKMEFNKRTSVVVEVKGLELPLGKKFTMSWQMGIIS >CDP15267 pep chromosome:AUK_PRJEB4211_v1:11:19233012:19235233:-1 gene:GSCOC_T00042930001 transcript:CDP15267 gene_biotype:protein_coding transcript_biotype:protein_coding MENLYCCLITILSCSLLLISKNLLFNHVKNKKLPPSPRALPIIGHFYLIKNSLFQDLTSLSAKYGPIFSLQFGWRSFVVVSSPAAIEECFTKNDITLANRPRTMAGDRFTYNYTGLGVAPYGDLWRVLRRLFVVESLSFNSLQRTSVIREEECQMILRSIYRVSKNESQARVDLSHWISVFTLNVIMRMLVGRCSIREEDAGQEMGLQIIEEFREMFGSSISMNLCDFFPVLRWLGYKGLEKEMISLQKKRDNFGQGFIDEFRCSNTLLDKERKALIANLLSRKEKESDFLSDDAIKSFAFIMFTAGRETSTLTIEWAMLLLLNNPKALQKLRTEIDINIGHGRLLRESDIPKLPYLRCVVNETMRLYPAAPLLLPHYASEDCKVGMYDIPKGTIVLANAWAVHRDPKLWEEPEKFMPERFEAKKLMDKEEFNSKFLPFGMGRRACPGVNLGVRSVSLAIGTFIQSFDWDKVEQDGDLDINFSDRIIRDNVYLCNRVNVYLCNRVGYQFELVNRYLAD >CDP00408 pep chromosome:AUK_PRJEB4211_v1:11:29370109:29371907:-1 gene:GSCOC_T00032341001 transcript:CDP00408 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMFVTHDGKDNSVRYGLTRGKMEQTVGARVLRYEREDMCHAPATDSVGWRDPGYIHDGVMTDLRKGKRYYYQVGSDSGGWSDIFSFVTPNAHLKETIAFLFGDMGTSTPYTTFDRTQEESSSTLKWISRDIDAIGDKPAFVSHIGDISYARGYSWLWDNFFNQIEPMGVVNVGCPIVSGSTCPETLLNLLEQGHLPLKISTIPLILGLCILCICRPRQTFFQGVTNITS >CDP00678 pep chromosome:AUK_PRJEB4211_v1:11:27012696:27016858:-1 gene:GSCOC_T00032702001 transcript:CDP00678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid permease BAT1 [Source:Projected from Arabidopsis thaliana (AT2G01170) UniProtKB/Swiss-Prot;Acc:Q9ZU50] MAWNREDKSEVLPQRVQQSNGTSSIDSGHARLHELGYKQELKRDLSVLSNFAFSFSIISVLTGVTTLYNTGLNFGGPVSLVYGWIIAGCFTMAVGLSMAEICSSYPTSGGLYYWSAKLAGPSWAPFASWITGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGTSGGGYEASKYVVIAIHAAILLSHAVLNSLPISWLSFFGQLAAAWNVLGVFILMIVIPTVATERASAKFVFTHFNTENGAGVNSKLYIFILGLLMSQYTLTGYDASAHMSEETKSADKNGPKGIISSIGISIIVGWGYILGITFSVTNIPNLLSKDNDAGGYAIAEIFYQVFKSRYGSGAGGIVCLCIVAVAIFFCGMSSITSNSRMAYAFSRDGAMPLSSLWHKVNKQEVPINAVWLSAFIAFCMALTSLGSLVAFQAMVSIATIGLYIAYALPIFFRVTLARKSFTPGPFNLGSFGVLVGWIAVLWVATISVLFSLPVAYPVTDQTLNYTPVAVGGLFILTVTAWVFSARYWFKGPITNIDD >CDP04832 pep chromosome:AUK_PRJEB4211_v1:11:5027103:5045543:-1 gene:GSCOC_T00019568001 transcript:CDP04832 gene_biotype:protein_coding transcript_biotype:protein_coding METGELHRLGSIRLSSAGELHTLSSVRLSSSNLWTNTGMEIFSRSTREEEEDEEEALKWAALEKLPTFKRVQVGILKEEEGHHREIDIKKLGPLEKRNIVEKLVKTAEEDNERFLLKLRERIDRVGIQLPTIEVRFEHLNIEAEAYVGSRALPTVFNFSVNILEGLLNNLHILPKRKKPFVILHDLSGIIKPGRMTLLLGPPSSGKTTLLLAMAGKLQSDLKVSGTVTYNGHTMDEFVPQRSSAYISQHDLHIGELTVRETIAFSARCQGVGPRYELMTEMLRREKEANIKPDPDIDIYMKSLALIGQESHAATDYVLKILGLDICADTLVGDEMIRGISGGQKKRVTTGEMLVGPSRALFMDEISTGLDSSTTFQIVNAMKQYIHILQGTAVISLLQPAPETYDLFDDIILLSDGEIVYQGPRENVLEFFEHMGFKCPERKGVADFLQEVPSNKDQEQYWFRRDEPFHFISAREFSEAFQSFHVGLKLYDEISVPFDKSKGHPAALTSKQYGVGTMELLKACASREYLLMKRNAFVHIFKMAQLFLMAIIVMTMFLRTEMHKNTVNDGGIFLGALFFTTVALMFNGFSEVPLSILKLPVFYKQRDFLFFPAWAYALPTWILKIPITLAEVVGWVCLTYYVVGYDPEVGRFFRQMFLLICIHQMALGLFRFMAAIGRDMIVANTFGSFAILAVLVLGGFILSRENIKAWWIWGYWISPLMYGQNAIAVNEFLGKSWRHVPTGSRETLGVSVLKSRGIFAEAHWYWTGVGVLVGYMFLFNFLNILALTYLKPMGKHQAVLSEEQLPENSAKKGEVNVLSSEGKSSSEGRTNAGETILSKSRSKGTGSPDQSNSKRKGMVLPFEPLCMTFEDLRYAVDMPQEMKAQGIPEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGTITISGYPKKQETFARIAGYCEQFDIHSPHVTVNESLQYSAWLRLPREVDAEMRKMFIEEVMQLVELTPLREALVGLPGINGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELVLLKRGGEEIYVGQLGPHSFQLIKYFEEINGVSKIKDGYNPATWMLEVTSVAQEAALGIDFAEVYKSSELYRRNKALIKELSSPAPGSKELYFRAQYSESFYIQFLACLWKQHWSYWRNPRYTAVRLFYTTIIALMFGTIFWDLGTKRRKQQDLFNAMGSMYAAVLFMGVQNATSVQPVVAVERTVFYRERAAGMYSSLSYAFAQMAIELPYVLIQAVSYGTIVYAMIGFEWTATKFFWYLCFMYITLLYYTLYGMMSVAATPNHNIASVVSSAFYAIWNLFSGFLIPRTRIPVWWRWYYYLCPVSWTLYGLLVSQFGDVKEELETGETVEQFMRSYFGFRHDFLGYVTLILLGFVAVFGFTFAFSIKSFNFQKSGLLEQALSLLRLKIILLLSWNENISPTIQSSSLKGFYCKSTLNFVNRHHTYILINSTCLGEIYNNRKVLRVFSAVRSRDRFKIRILDLAIEDGKVIFDFKFLISHPTINVSALEIKNSTRNLIELYLPNTQKVLISLETRRRTGSPNESVTLPNIFRKTLNPYKLFIVGNFSNSMLHWIIFTLIHIQNRPQSFCSKRSNHEVLANSAAVSCTRMETAESFRISSLRLSSSNLWTNTVIEEFSRSTREEEDEEAALKWAALERLPTFKRLRIGILEEEEEVDQNCRGFLLKFRERIDRVGIELPTIEVRFEHLNVEAEAYVGSRALPTIFNYSVNMFEGFLNYLHILPKRKKPFLILRDVSGIVKPGRMTLLLGPPSSGKTTLLLALAGKLHSDLKVSGTVTYNGHAMNGFVPQRSSAYISQHDLHIGELTVRETIAFSARCQGVGPRQEIGAELLRREKEANIKPDPDLDIYMKSLVLKGQESHVATEYVLKILGLDVCADTLVGDQMIRGISGGQKKRVTTAEMLVGPAKALFMDEISTGLDSSTTFQIVNAINQFIHILGGTAVISLLQPPPETYELFDDIILLSDGEIVYQGPREDVLEFFEYMGFKCPERKGVADFLQEVTSKKDQEQYWIRRDEPYNFVSARQFSEAFQSFHVGSKLHDELTVPFDKSKGHPAALTTEKYGVGRMELLRACASREFLLMKRNAFVHIFKMAQLILIAMIFMTIFLRTEMHKSTITDGGIYMGALFFTLYAIMFNAFSELSLSVLKLPVFYKQRDLLFFPAWAYSIPTWILKFPITLVQIAIWISLTYYVVGFDPDGGRFFRQFILLICIHQMSLALFRFMAALGRDMIVANTFGAFTILAVLVLGGFILSRGFHEKQCVYCSDDIKAWWIWGYWISPLMYGQNAISVNEFLGNSWRHVPEGFREPLGVSVLKSRGVFPEARWYWIGVGAVFGYVFLFNFLNTLALTYLNPIGKHQAVLSLEEKPNSSAGKLEQYHHQSRKTSTDAPKSMSSRLKSPGIGNPDEGDRSKKKGMVIPFEPLAMTFNDLRYAVDMPQEMKTRGISEDRLLLLKGVSGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIEGTITISGYPKKQETFTRVAGYCEQFDIHSPHVTVHESLQYSAWLRLPPDIDAEARKTFIEEVMKLVELTPLREALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELILLKRGGEEIYVGQLGRHSSNLIKYFEGINRVSKIKDGYNPATWMLEVTSIAQEAALGIDFAQLYKNSELYRRNKALIEELSKPAPGSKELYFPTQYPQPFYNQFLASLWKQHWSYWRNPRYSAVRLFYTVIIALMFGTIFWDLGTKRKKRQDLFNAMGSMYAAVLFIGILNSTSVQPIVATERTVFYRERAAGMYSAVPHALAQMVIELPYILVQAFSYGAIVYAMIGFEWTATKFFWYLFFMYITLLYYTFYGMMSVAVTPNQNIAAVVSSAFYAIWNLFSGFIIPRTRIPVWWRWYYYLCPVSWTLYGLVASQFGDIKEELDTGERVEDFIRSYFGFRHDFLGYVAVIIVGFAVLFGFIFAISIKLFNFQKR >CDP00774 pep chromosome:AUK_PRJEB4211_v1:11:25976207:25978816:-1 gene:GSCOC_T00032850001 transcript:CDP00774 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYEGWKEILKIQKFRRIVGYTGFYCFTALISYAYTSNTTRAGYSRGDQFYASYPAGTELLTDTAKLYKAALGNCFEEEEWGPIEWLVLAKHFERQGKSPYAYHAQYMAHLASHGQVDGNG >CDP13212 pep chromosome:AUK_PRJEB4211_v1:11:32044200:32046948:-1 gene:GSCOC_T00038080001 transcript:CDP13212 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQTRHPLDPLTAAEISVAVATVRAAGATPEVRDSMRFIEVGLVEPPKNVVALADAYFFPPFQPQARLVVYNKKSNATSIWIVELSEVHAVTRGGHHRGKVISSTIVPNVQPPMDAVEYAECEAVVKEFPAFREAMKKRGIEDMDLVMVDPWCVGYYGEADAPNRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMAVLEFEDRRVVPLPPPDPLRNYTAGETRGGVDRSDVKPIHIIQPEGPSFHVDGHFVQWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRIGLAEVRRSRRLTVSFICTVANYEYGFFWHFNQASDKLPSSEH >CDP11027 pep chromosome:AUK_PRJEB4211_v1:11:6732019:6734394:1 gene:GSCOC_T00033008001 transcript:CDP11027 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLPILLSSLFLSMPIPSSSTTYTTLTKGSLLSTQDVLISSPDGIFTSGFLIVGENSYCFSIWFTEAQDGNYTVVWMANRNQPVNGKHSGLSLQDSGNLLLTDAGQFSVWTSNTKSNSSVQLKLQENGNLVLSTSDGEFLWQSFDFPTNTLLPGQLFTSETILVSSRSTTNYSSGFYKLYFDSDSVLHLRYEGPEITTVYWPDPWLNIWDAGRSSFNGSKIAVLDESGYFQSSDLLQFYTSDHGIGPLRRLVLDVDGSLRVHSLDKANGSWRVTWVHNSEPCKIHGICGTNSLCIYDPEVGRKCICIPGYRMINQMDWSYGCEPDFQVSCTNESASGFIQLLHVEYYGYDIGYFPNSSLESCKSLCLNYCECKGFQYKFDLTMGYYSCFPKTILFNGYRSQNFQDPIYIRLPALNVTTLQNSDQDFSLECKDQVTPIDRPYNKIKQDRVKSYLWCTLAVGAFEIICLLTYLFKTQWRSIARIQGYLQVATGFRKFTYAELKKASRNFSEEIGRGGSGVVYKGVLSDSRVAAIKYLKEAIQGEAEFLAEIRTIGRLNHMNLIEIWGYCAEGKHRLLVYEYMEHGSLAKNLYSDKLDWKKKYEIALGTAKGLAYLHEECLEWILHCDVKPQNILLNSDYQPKVADFGLSKLLNRSAIDNSMFSKIRGTRGYMAPEWVFNLPITSKVDVYSYGIVVLEMITGRRSTGNHANDESNVVEPRRLVTWVKENIKGTDERASQMKDIVDPTIKGGFDMRRMGILIDVALKCAEEDKDARPTMRQVVDMLLHQDCNE >CDP05551 pep chromosome:AUK_PRJEB4211_v1:11:22909417:22911947:1 gene:GSCOC_T00020665001 transcript:CDP05551 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLEKEAWIHPHQFFKGWQQRGRNFSLLRFKNSLADESNRLSSWIGEDCCSWDGISCHKTTSHVLSPSLVNLTNLRYLDLSVNNFSGLQIPTFLGLLKDLRYLNLSSAGFVGEIPHHLGNLSHLRYLDIDLENCRLIVPHLLHVNFTSFSSLQLSFNQFLNPTLPPWLRNLTGLQDLGLSYNNLDDKVHDTFRQMTSLVKLDLGGNHFDTSTLRSICNISSLTSLDMSDNELQGSIPSEIGQFPQLTVLKLSNNRLNDTIPSSLWQLTKLQALYIGANALTGVLSEHHFAKLKELKWLDVSDNLFSLHVSSSWVPPFQLRYIWMKSVKIGPRFPNWLRTQKEIEELNMCNASISDAIPSWFGVHSNDTRGLILSGNKLEGSLNSVVQMLYLLLNHNHFTGSIPEDLCKLRTLIYLDLSNNHLSGRIPLCLGNLRNLRILHLGSNSLYGQIPGSLGNLGELISLQLSKNRFDGKLPPSMQNLKRLQFLDLGENRIADTIPAWIGERLSDLEFLTLQSNNFHGGISNTLCQLPNLQVLNLEHNDLSGSIPHCFKNFTAMESTKPGTFHLSTQKT >CDP00581 pep chromosome:AUK_PRJEB4211_v1:11:27948870:27949978:1 gene:GSCOC_T00032565001 transcript:CDP00581 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIHCCSNISSGETDEIGSLEADDQDDDSLTLLSLAPPGQNNTSKNLLDCSFEYSSSQLPQDNYQQCPRTNAENGVTVALHIGLPTSEASSTSHAHNFGVLPEGQYWIPTPAQILVGPTQFSCPVCNKTFNRYNNMQMHMWGHGSQYRKGPESLRGTKPASSMLKLPCYCCAEGCKNNIDHPRSRPLKDFRTLQTHYKRKHGTKPFQCRKCGKPFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHIRAFGDGHAAHSQDLRGIEELVELEEDDEEEEDNY >CDP00586 pep chromosome:AUK_PRJEB4211_v1:11:27902486:27905908:-1 gene:GSCOC_T00032571001 transcript:CDP00586 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASPPPPPAPKEIPDDRWEEDGPPREAKWWYSTFHTVTAMVGAGVLGLPYAMAYLGWGPGTVVMIFSWCITLNTMWQMIQLHECVPGVRFDRYYDLGRHAFGPKFGPWIVLPQQLIVQVGCDIVYMVTGGNCLKKFVEITCTNCTRLKESYWICIFGALHFFLSQLPNFNSVSGVSLAAAIMSLSYSTIAWVACLSRGRVPNVNYGYKKASAADSMFRVFNALGQITFAYAGHAVVLEIQATIPSTPEKPSKVPMWKGALGAYFINGICYFPVAFIGYWAFGQDVADNVLVGLQRPSWLIAAANLMVVVHVIGSYQVYAMPVFDLIERSIVKRSRIPPGMILRLIVRSTYVAFTLFVGVTFPFFGDLLGFFGGFGFAPTSYFLPSIIWLKLMKPRRFSVSWFINWSCIFIGMFIMIASTVGGLRNIVADSSTYKFYT >CDP05550 pep chromosome:AUK_PRJEB4211_v1:11:22904609:22905706:1 gene:GSCOC_T00020664001 transcript:CDP05550 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSIWYFSFWSVVSLVFSTTGIYALRRAIIPVSDLVEYWIGWLEGWIVLSVSCSATCAFLCRPLLEHDHTLKTSQSNKIFLNFNGAFTTVHTPLTSLAFLAYFTTPGNRWNTAMAAAVLVSAVLWLLVGSKPITQGFKYSQAMGNFLTGFAGPLRMYPRHTIFWFFIQALVLSTLSVLQSPSLWDSYLSLILIHASIPSSLLRLASTLKKLEGSSSMAAYAYKHRKILEIILGCLGTVFVQYYFKAKSIPLMLSFLSLAIAWLGNLANVQRCTDFGIFHFLVTASLECAVSLFGLHFHTFLVLAAGIFLMVLRWKIVEVEFISLRYDGMQESVLKDLKRLEELYGGHDPAIQTQQLEQPNNIV >CDP13295 pep chromosome:AUK_PRJEB4211_v1:11:32609697:32609879:-1 gene:GSCOC_T00038186001 transcript:CDP13295 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYKSEGLSWADQWDPEPLPPASDNDKKKGKDGSNKNKLGKKILTLSWIKNLGKKSQKQ >CDP13383 pep chromosome:AUK_PRJEB4211_v1:11:33342211:33343597:-1 gene:GSCOC_T00038300001 transcript:CDP13383 gene_biotype:protein_coding transcript_biotype:protein_coding MPFATIFASVDDKMFLFLLLLLLLATLCFQPPLVLLAQPLQPTSHITVQGSVFCDACYDNTFSRSSYFLPGAQVHIQCRFRAISPGTTEQITFSVNGTTNRHGIYNLDIPSVEGIDCTGHPDIQSFCEASLKGSLSPACSAPGLRRTTNEITIKSKQNNQCIYSLSALSYRPFTRNVTLCGNKKTRLPYSFNSSKFFLPYFPPYYGSIPWPPLPQFPPLPQLPPFPSFPFPPLPPLPSLPPLPPLPYLPPFPSWPFPQVPYPSPPPSPPSFTFPPLPPFPQAPPLLPPPASYPGTWIPNYPRFPPPPPPVPPKVNLGDPRTWIPYHRPSPPTTPQEQHP >CDP18975 pep chromosome:AUK_PRJEB4211_v1:11:31672336:31673389:1 gene:GSCOC_T00001014001 transcript:CDP18975 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALSLAPPILPLQTPARCFRSQSKSIPAIQTSSLFARMKAAAVANDTSTVDYSSTTSVFPAEACETLGGDACDVEMYPEAKLNPGLTDKRPQTASEQVEREYLEYNSPKTVFEGDACDELGGEFCQPEHQSGA >CDP00402 pep chromosome:AUK_PRJEB4211_v1:11:29406355:29409489:-1 gene:GSCOC_T00032334001 transcript:CDP00402 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQHQRSNNFELLQLIPRLNNSAKKKFSPGSHTTATERGREERREETKRVAFSSDLSSFFSFSSRSSHPRLRFAIMWKSLANAFGSFGQKKVTSSESLVCIEDSSDDEVCSNSSAEEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLKWAALKFSTQQIQIPLFISCPWCNLLTFRLIYKGNLKFPSKNFFLLWMVESRNGDRVKSSSSICADPQVWSPRCTSVMGNPTSNIVHRRFHRLGISGSNSNDDPVGNTNNLERPQFSFQKSLDYFLQFSAKFPLVIVFLLIVLFALPSSAAILMLYLLITIVFALPAFLVLYFAYPALDWLAREITS >CDP05411 pep chromosome:AUK_PRJEB4211_v1:11:19940181:19943239:-1 gene:GSCOC_T00020449001 transcript:CDP05411 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIPNQHFAQANSILLSPRASSKIRASQRRNDFSLITNRSQKAPRGLIKISTADGRWQKNWNLDYNLSLQELQLQDLAEDGHNENEVAISLCIHKHTGFGLSVDGRITTSFTRKCSNCSSSFCREVVTNFTVWILPSGRKKNLAEELPEIGGDDPSVIYVKPGCEADLDSLVQDSIRLAASVKETCSEACENSEPKLHHMGVQNAASVDRRWSRLLELKKKAYI >CDP00263 pep chromosome:AUK_PRJEB4211_v1:11:30509576:30511058:-1 gene:GSCOC_T00032148001 transcript:CDP00263 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDVKLIGAWPSPFVNRAQIALNIKSVKYEFLEEKFGSKSELLLKSNPVHKKIPVMIHNDQPICESLVIVEYIDEVWSSGPSILPSDPYDRATGRFWAAYIDEKLFPAIRGIGTADGEEAKKAAIEPVIEGLAYLEEEFIKSSKGKNFFGGDKIGYLDIAFGSFLGWIRVTEKMNSVKLIDESKTPNLFKWAEKFCADNSVKDVIPDTDKLSEFAKVLMAKLRNPQN >CDP00333 pep chromosome:AUK_PRJEB4211_v1:11:29946040:29946339:-1 gene:GSCOC_T00032238001 transcript:CDP00333 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSFFLSLLVVKEVFFFFNSYKILRSFFSTTWNFYELIHAYSHVATNTLIQPFTRPSEHRTLLEFSIAKKKKKTWLCQKITSLKPGNWRQKKAGKVSY >CDP00761 pep chromosome:AUK_PRJEB4211_v1:11:26103531:26104499:-1 gene:GSCOC_T00032833001 transcript:CDP00761 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAVILVIFALAVPINGQAPGSPDEGNKCGGCPCNNPCPVPSPPPPPPTLPPPPPALPPPPPPPKKPPTPACPPPPGSSGWPVVPTPPSQYIYVTGPPGNLYPVDANFSRAARSFTVGLPFILLVGGILQLALW >CDP00186 pep chromosome:AUK_PRJEB4211_v1:11:31107623:31110604:-1 gene:GSCOC_T00032053001 transcript:CDP00186 gene_biotype:protein_coding transcript_biotype:protein_coding MFADLGHFSQLSIQIAFTTMVYPSLILAYMGQAAYLSQHHIIQNDYHIGFYVSVPEKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIVHTSSKIHGQIYIPEINWTLMLLCLAVTIGFRDTKRLGNASGLAVITVMLVTTCLMSLIIVLCWHRNVILAICFVVFFGTVEALYFSASLIKFLEGAWVPVALSFLFMIVMCVWHYGTLKKYEFDVENKVSVDWLLSLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPIPHVKHEERFLVGHIGPKEYRMYRVIVRYGYRDAHKDDLVFENDLVCSIAEFIRTGKSSPNCTDDDVTKECDEMAVVGSPSTHLDGIHLRFDESENTSLAGTSEPREIRSPPVTKLRKKVRFVIPESPKIDSSAREELRELMEAREAGIAYILGHSYVKTKQGSSLIKKLAINYGYDFLRKNCRSPTYAFTVPHASTLEVGMVYHI >CDP11641 pep chromosome:AUK_PRJEB4211_v1:11:16409470:16414411:-1 gene:GSCOC_T00034035001 transcript:CDP11641 gene_biotype:protein_coding transcript_biotype:protein_coding MERDQCHPLLREGGRETKYSHGFSSSELEALTGMCEAFIPPLPLNNSPDIVGKDGKTKEAIQSFYKSSGAQYPNPDKVAELVVKRGFMEAVMLVRILLIILSTRLGTLLLCGALCFGKKWPYINKFSDIPVDNREKVIQKWLKHKFLTPIRLPFVLIKFLCLYAFFTQVWEDFKNPAWEAMDYQVDNRKDSSTTPHEKPLMKGMVETTHETESTIVSSLVQKGLRVAEDPKEKLYKIKCDVVIVGSGCGGGVAAAVLAKSGQKVVVIEKGNYFTKTDYSSLEGPSMNELYESGGILATLDGKLLMLAGSTVGGGSAVNWSACLKTPMSVLQEWAKDCQIPLFTSSQYVSAMDTVSQRIGVTEKCSEEGFQNQILRQGCEKLGLEVESVPRNSSENHYCGSCNYGCRTGDKKGTDSTWLVDAVDCGAVIITGCKAEKFVLEKNKYGRSRKSKCLGVIAKCLNGDITKRICIEAKVTISACGSLLTPPLMISSGLTNQHIGRNLHLHPVLMAWGYFPESDSVLKGKIYEGGIITSVHKVGSDDSGVRALIESPALGPGSFAALRPWESGLETKKRILKYARTAHLFSMIRDRGSGEVRAEGRISYTFDATDKENITAGLRQALMILVAAGAVEVGTLQSNGQKFKCKGTSERELEEFLDEVTAAEGPKSLVQNWTTYFSAHQMGSCRMGKSEKVSAVDENGESWEAEDLFVCDASVLPGAVGVNPMITIQSTAFCLSNKIAEILKERKFSD >CDP13352 pep chromosome:AUK_PRJEB4211_v1:11:33063359:33071164:1 gene:GSCOC_T00038258001 transcript:CDP13352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Histidine kinase [Source: Projected from Oryza sativa (Os01g0923700)] MTTKTGLLADGEQLWMKFWEKISGKSFKIHHHYSQYIGPKRVRRNWWKKLLVAWIVVGILVSVSVFWYMSSLATEKRKETIASMCDERARMLQDQFNVSMNHIQAMSILISTFYHSKNPSAIDQMTFARYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWTIKRMDSIEQTPVHKDEYSSEVPEPSPVQEEYAPVIFAQDTIAHVISLDMLSGEEDRGNILRARESGKGVLTAPFRLIKSNRLGVILTFAVYKMDLPPDATPDERTQATDGYLGGVFDVESLVEKLLQQLASKQTILVNVYDTTNISHPISMYGSNVSDDGLQHVSALNFGDPFRKHEMRCRFKHKPPWPWLATTTSIGILVIALLVGQIFHATVNRIAKVEDDYHDMMELKKRAEAADIAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQQDYVKTAQGSGRALVSLINEVLDQAKIESGKLELEAVRFDLRAILDDVLSLFSGKSQEKGVELAAYVSDKVPEMLIGDARRFQQIITNLMGNSIKFTEKGHVLVTVHLVEEVVDSVKGETESYSDDTLSGLPVADRRQSWRGFRGFSPDGPIAALPSSSIDEINLIVSVEDTGAGIPSEAQSRIFTPFMQVGPSISRTHGGTGIGLSISKCLVQLMKGEIGFVSLPKMGSTFTFTAVFANGSGNSNNPKGQQINNQSNSISSEFHGVRALVVDPRPARVKVSKYHIERLGICVEVVPDLNRAFSSISTKEATIDVVFIEQEVWDNDFNTSTPFVERLRGTDISVAPKLFLLSNSLSSTRSTVPASGIPASSVVMKPIRASMLAASLQRLMGVGSRGNSCNIELPLSLGDLLRGRRILVVDDNKVNLKVAECFLKKYGADVVRSDGGEEAVSLLEPPHKFDACFMDIQMPKMDGFKATKLIRSIESKVTSQIEQGELSVEAFDNVSSWHVPILAMTADVIQATNEECTKCGMDGYVSKPFDPERLYREVSRFF >CDP00346 pep chromosome:AUK_PRJEB4211_v1:11:29865969:29866763:1 gene:GSCOC_T00032254001 transcript:CDP00346 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGALHLLVTFLALSFLLPLNALPTSRKMHLLYEKRAIQGLDGINKIKNAEVARDDITSRRMDVQVNDYPGPGANNRHTP >CDP00494 pep chromosome:AUK_PRJEB4211_v1:11:28707606:28711890:1 gene:GSCOC_T00032449001 transcript:CDP00494 gene_biotype:protein_coding transcript_biotype:protein_coding MALPATMKVLGLVLGIGIIVFVAILTELSVSMLLRFSKAAGSTSYGGVMGRAFGIGGRKLLQVCVLINNVGLLVVYMIIIGKSTCDVLSGTSSSGVRHAGVLQGWFGEHWWNERVFVILLTTLFVFCPLCCLKRIDSLRFTSGVAVALAVVFLVIIAGMTIFKVVEGSIAMPRLLPDVTDINSVWQLFTVVPVIVTAYVCHFNVHSIDNELDDDSSIQPIVQTSLLVCCMIYVMTSLFGFLLFGDSTLEDVLANFDTDLGIPYGSLLNDIVRVSYALHLMLVFPVLFHPLRINLDGLLFPSAGPLVLSKRRFLLVTMGMIFVVYLGANFIPNIWDVFQFSGATAAVCLGFIFPSAIALRDVHGIATKKDKVLSVFMICLAVFANMVAIYSDIQALFKKNALPRQRHLTWGYK >CDP18989 pep chromosome:AUK_PRJEB4211_v1:11:31579801:31583350:1 gene:GSCOC_T00001034001 transcript:CDP18989 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYLRQKFRFSSIWSSSSSSSEEEKETETEREQLAPLEHQVQEEEKDQQDTSKLDEIERTKIKVMRDLVQRQDPSSKDVDDFMIRRFLRARDLDMDKASTLFLKYLSWRKEFVPNGSISPSEIPNELAHEKLFMQGHDKLGRPVVVVFGGRHKPKNLEEFKRFVTFSLDKICARMPSGQEKFVCIADLEGWGYTNSDVRGYLAALSILQDCYPERLGKLFIVHVPYIFMTAWKVVYPFIDSKTKKKIVFVEDKKLGSTLQRDIDESQLPETYGGKLPLVAIQDC >CDP13322 pep chromosome:AUK_PRJEB4211_v1:11:32774774:32777956:-1 gene:GSCOC_T00038219001 transcript:CDP13322 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLHHFAAGIPGDGRCLFRSVVHGDCLRLGKPSPSEADERELADELRAKVTDELIKRRADTEWFVEGDFDTYVMKMRRPHSWGGEPELLMSSHVLQVPITVHMLDKKTNSLKVIAEYGQEYGKENPVRVLYHGYGHYDTLKNQFGGLQSKRW >CDP00794 pep chromosome:AUK_PRJEB4211_v1:11:25798595:25803650:-1 gene:GSCOC_T00032882001 transcript:CDP00794 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSCPFHCTSAAAIKLGHYYSPIRRRFHLFSSFTCHRSSSGPTDNKLTTTSHGTSVKQKQSREPKFLVKLRDGDFSNSEKEPDLSKKGVSLPESRSFERKALWRKILFASEKVRSILLLNAITIIYASNIPIVKEVEAFIDPGTFTIVRFVLSAIAFSPFVLKARDDVQTRNAGIELGFWVSLGYLMQALGLQTSGAGRASFLTMFTVIVVPLLDGILGSKIPARTWFGALMSIVGVGMLESSGSPPCVGDLLNFLSAVFFGVHMLRTEHISRATTKENLLPLLGYEVCVVAFLSTLWYVLGVCSGGTQALNPSSWTWAKFADWMVAFPWVPAIYTGVVSTGLCLWVEIAAMRDVSATETAIIYGLEPLWGAAFAWFLLGERWGVNGWIGAALVLGGSLTVQIQGASSSTTSQKDERSIEKGGDPPDKENMLSTPSVLVNSRNDSRTLLKK >CDP11053 pep chromosome:AUK_PRJEB4211_v1:11:6014491:6019743:1 gene:GSCOC_T00033046001 transcript:CDP11053 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLSSTLSSAVQIIGKLVIEEVKFLQGVSERVRLLHDDLKGIQRFLRYADTKQTARDSIQQWVPEFRAVAYEAIDLVEDYVLRVSISSNGGCCTLKRIACMATEGYARHNLGVEIQSLRNRISNLTKNFGEYGHVMTRTEEGESSAPSRLQQLRRTRSSVADKDVVELPDDVQVLVKYLLNEVAEHKISVASIFGMGGIGKTTLARKVYHHGRLKDYFEGFAWVCVSQQWQPNDLLQGILLKLTPEQSNQIMTSKQDGLERLLQQHLQDNKCLIVLDDLWSTEAWDCLKDVIPVSEDGSKILLTTRNEDVAAYVGPNGYHHKLRCLTEEESWELLRKKSLWESNGAGCEDLGKMEELGKKMLNNCRGLPLAVVVLGGILRTKKTLKEWKEVHENIKSYLARGEKIGKEGEVPKILAYSYYDLPWQLKPCFLYLGKFREDSDIRAESLYQMWMGEGMIFENDRREQETMMDVAERYLKELAIRCMVEIKAYEEGKHAVTKLESCRLHDLMRDLCLDKAKEENLYKLVDRSPSQDSPPTTEAQFGLVLRLLPEDISQYKFPPKEQTKHLCSFLCDPLAGKGQLPIPGVRIMSQVKNLKMLRVLAMLSFNMASQSCYLKSPLGYVSKLIHLRCLRLRGQSINLPYSLGNLKYLETLDLSGSDNSCRIPNVLWKLERFRYLYLPNWWLGPQHKWGPQPKLQLSKQLEILESFDNRFCYPKDVCKLSNLRSFKANVYKNLEDLERIINHISNLDCLRISSLIIRSCNFGKTNSNNSNDSNGSLDVLSRVLFSRNIHELEIRNSLCKKLPDYQSQTVPHPAGLTQLSLSYSGIEEDPMATLEKLPKLRILELGPKSFLGQEMSCHSMGFPQLKDLVLFGLGNLMQWKVDEGAMPKLSGLRIEVCTTLEMIPDGLRCLTMLEEVSLAGMPEEFNNRVRIENGQQGEDYDKISHVPSVKIYRRVKGVIGYQVETVCPSNPV >CDP04879 pep chromosome:AUK_PRJEB4211_v1:11:2929969:2930727:-1 gene:GSCOC_T00019674001 transcript:CDP04879 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCKTTLANAIYKHLSIDTCFGVRAWGNLSQVYQKETLLYSILDQVHANPSHDISGKDVGHRLYQSLKRRRYLIMLDNIWDTETWNGLRSIFPDDENGSRILFTTRSHGVATQANNFPYAFHLLSNKESCELLWLKLFNGETCPRELSSISKRIACSCKRLRLAMVLIAGALNRTKKEKDSWEKVARTFIRSQSIQEQIWDILEGSYKLLPDHLNPCFWYLGTFPEGTTIFVSKLIRLWIPEGYIHQPNSG >CDP00508 pep chromosome:AUK_PRJEB4211_v1:11:28567645:28574495:-1 gene:GSCOC_T00032469001 transcript:CDP00508 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWKLVSQSPGSFDLCCRYLGFPNGVPQLDMAALSKLLNEEIAEKAQKLVEETWQEAWQKVREGMQRRLEEAKEARRERLLVEIAVHIKRLEEEIAEQQRLKEEAKGKRRQANAKKRLEVKAKQEGALDAFKETTVKKFGAGHEGMPEKYHGNPSGKCCKYRSQGEISKEAGRVKISEDSVTEIPGMPNEGTERIEAQIASPTSLVPCPNRRETSDEAGKRIEIREEYDTLTVISPNDLARTTTSSFASSHNSSDVPNCNLIKAEDKTVVLHFSQQQSSIGSEVRIDILDANNSQDLTTMSDAPSQETRFPHDINNIIPGTSTLMPDGPSQQTRLANDNNNTTHGDPVMMPDGASREMGELEMTANGSEEGINMSDEDDGSSSSGDDDDDDNSASFSDDEVQPRFPNGVNNAILGFTGQAMASIVAAQSPHSLSVRLFAFATGIGFFGSLFAALFLKRHPNIAKTLFKIGVSASAFTVMIALGQYLPTKWYLDTTVPAVACLIIAVVVTLSKLD >CDP04795 pep chromosome:AUK_PRJEB4211_v1:11:5693151:5695393:1 gene:GSCOC_T00019516001 transcript:CDP04795 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKRGSKVEVMNKKEVPISWRSAEILNGNGHTYYVRYEYHPVMNNRSMMERVSRKFVRPCPLPSQGIETWKVGDTVEVFEDFSWKIATILTVMQGDYYTVRLLGSSKESNVHKLNIREQWLWLDDKWILMGKKSGACGVNQVNKQSSLNYHEKTSFQAAKFNAGTHTEAQKNCLDIEKRNGLQEFHKLSRSLKRLSQDSSCVIESLTGKAYKIRAVEKDYRKQKVAPPSLVEKVDLVASPKENLGEINVRASVDNRMIGYNEPKRENLDGAAGSYLARSLESRDSESSDFDSDASSVGSCSVNSERQKRFPYRLAQIPFQVTDSVCSDAESFCGSTDKEESCSLSLPLEEEVATSIHNLELHAYRCTLEALHASGPLSWDQETLLTNLRMMLHISNDEHLMELRNLLSGKTGAHCR >CDP00199 pep chromosome:AUK_PRJEB4211_v1:11:30990880:30992525:1 gene:GSCOC_T00032069001 transcript:CDP00199 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASTSYLPIYLGTQPHSHHNLYHIPHFRTHQNPIFTPKRTHPFVVFPKHTAFNIIFPLSTSQSSFSSTSSAPTLQNALQTGRFLTNEELEKLQFLESYRYFQELKSGFLYIRMMDQEEMDMTVSLLSETFAESMLLPKGYVRFLEYLVKQYLIERRTLMPHTATLVGFYKEDEEEDLQLAGTVEVCFNKKGANASPPTPTPPKNSPYISNMTVKESLRRRGIGWHLLKASEELIAHMTSSRDVYLHCRMIDEAPLNMYIKAGYSIVRTDNILVLLTLQRRKHLMCKQIPVLTSPSEMDTYSQEPYS >CDP00266 pep chromosome:AUK_PRJEB4211_v1:11:30500669:30501373:-1 gene:GSCOC_T00032151001 transcript:CDP00266 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYIRKARSCERWRESQCISGRAIGASDEQLDVFNEIWIILLINGGETTRATEAIEKQLKKREGAPFLWRLLEKCYHTLGRPEAASVGEKASALAVAYFT >CDP00193 pep chromosome:AUK_PRJEB4211_v1:11:31031481:31033668:1 gene:GSCOC_T00032062001 transcript:CDP00193 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLSSLAVTHLLQHTLRSLCIHENSQWVYAVFWRILPRNYPPPKWDGQGGVYDRSRGNRRNWILVWEDGFCNFAASTTEINTGECPSSSVYGNCEYQHYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPNDQEINFLSAWHNSADSHPRTWEAQFQSGIKTIALIAVREGVIQLGAVHKVVEDLSYVVLLRKKFGYIESIPGVLLPHPSSAAYPFKIDGYGATEGWHFQGNLAPHAEYYDHLHQPMKITPSMSSLEALLSKLPSVVVPASSPPMPAYNGEAPPQYLMMNKPMELMGTEKVAKEEFEEDKQEKDAGESSSSMSSYHHHFGYHQDLNVTEAMQNHGYQ >CDP05512 pep chromosome:AUK_PRJEB4211_v1:11:22155545:22155712:-1 gene:GSCOC_T00020601001 transcript:CDP05512 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFDQVDAEIVLQDPFHVQGLLLFLASIVLTQIFLVLKKKKKQFEFLQPAEMNF >CDP00437 pep chromosome:AUK_PRJEB4211_v1:11:29161561:29166559:1 gene:GSCOC_T00032376001 transcript:CDP00437 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVESKGGAIACMLLALFFLGTWPAILTLLERRGRLPQHTYLDYTITNLLAAVIIAFTFGEIGKSTHEKPNFIEQLTQDNWPSVLFAMAGGIVLSLGNLATQYAWAFVGLSVTEVITSSMTVVIGTTLNYFLDDKINKAEILFPGVGCFLIAVCLGSAVHASNAADNRTKLSSYSHDYKNGEGTKSISTSNEASLEMVWKGDLENGVGTAEKAKFGTAHFLIELEKKRSIKVFGKSTVLGLGITFFAGVCFSLFSPAFNLATNDQWHKLKHGVPHLSVYTAFFYFSVSCFIVALILNITFLFHPVLNTPKSSIKAYLLDWNGRGWAFLAGFLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGIVIFGEYRRSSRRTYLCLGSMLFMFIVAVAVLMASSGHRK >CDP13382 pep chromosome:AUK_PRJEB4211_v1:11:33334414:33334893:-1 gene:GSCOC_T00038298001 transcript:CDP13382 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIEGKGKKGLILKAWERCRSFGGIGSSRSRHAAKLKSKSWTGSAAASTREADPKRAKNPRVAPEGCFSVYVGAQKQRFVIKTECLNHPLLKMLLEEAESEYGYTNDGPLELPCDVDHFVKVLVEMDCDEIHPRCSFSKTYSSYHLLPSPRLLALNDV >CDP18103 pep chromosome:AUK_PRJEB4211_v1:11:14593553:14594672:1 gene:GSCOC_T00006467001 transcript:CDP18103 gene_biotype:protein_coding transcript_biotype:protein_coding MILPNNFSKYYLRMKLGSKYLFFFKKFFFLLFLRAENSIFVPFFFLFLLPFFFSFTRVIPNIIFEPPLSLNTKYDI >CDP04826 pep chromosome:AUK_PRJEB4211_v1:11:5131732:5139062:-1 gene:GSCOC_T00019561001 transcript:CDP04826 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDAIRISSARLSSSNIWRNSGLDVFSRSSREEDDEEALTWAAIEKLPTCLRIRRAILTEEEGSKEIDVENLGELEKKNLLERLLKIAEEDNEKFLLKLRKRMDRVGISLPTIEVRFEHLNVDAQAHASSRALPTIFNFTVNILESFFNYLHILPNRKKKLTILRDVSGIIKPGRMTLLLGPPSSGKTTLLLALAGKLDSDLKVSGRVTYNGHEMKEFVPERTSAYISQYDLHMAELTVRETLSFSARCQGVGPRYEMLVELSRREKELNIKPDPDIDVFMKAASLEGQEASVITDYILKVLGLEVCADTLVGDEMIRGISGGQRKRVTAGEMMVGPARALFMDEISTGLDSSTTFQIVNSIKQSIHILEGTTVISLLQPAPETYDLFDDIILLSDGEIVYQGPRESVLEFFEHMGFKCPERKGVADFLQEVTSKKDQEQYWAQRDVPYNFISSREFSEAFQSFHVGRKLGDELAIPFDKAKGHPAALTTKRYGVSKKELLKACTAREYLLMKRNSFVYIFKMIQLILMASIAMTVFLRTNMHKNTTTDGGIFVGALFYSVVMIMFNGFSELALSILKLPVFYKQRDFLFFPAWAYSLPTWILKIPISFVEAIVWSCMTYYTIGFDPDIQRFFRHFFLLVCINQMASALFRCMAAIGRNIIVANTGGTCALVTVLVLGGFVLSKDDIKKWWIWGYWLSPLNYAQTAISVNEFLGKSWSKVPPGAIEPLGVLVLKSRRIFTEAKWYWIGLGGLIGYILVCNYLFTLALTYLNPFGKSRAVVPQENSDESQDSTRSKLIELSSGRKRASGGDNEVGRSVSSRSISSRVGSTNEVNQNRKKGMVLPFEPLSITFDNIRYAVDMPQEMKAQGVIESQLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGRISISGYPKKQETFARIAGYCEQTDIHSPHVTVYESLQYSAWLRLPPEVDAAMRKMFIEEVMELVELNPLREALVGLPGVNGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIYVGPLGRHCSKLINYFEGIPGVNKIKDGYNPATWMLEVTSAAQEVALGIDFAEVYKNSEVYRRNKELIKELSTPVPGSKDLYFPTQYSQSFFIQCMACLWKQHLSYWRNPPYSAVRFLFTTFIALMFGTIFWDLGSKRKSKQDIFNAMGSMYAAVIFLGVQNATSVQPVVAIERTVFYRERAAGMYSALPYAFGQVVIEIPYNFVQTLIYGIIIYAMIGFEWTVAKFFWYLFFMFFALVYFTYYGMMTVAVTPNANIAAIVSSAFYALWNLFSGFIIPKSRIPVWWRWYYYLSPVSWTLYGLVASQFADIEHDLDTNETVKHFIESYFGFKHDFVVYVAIIHVGISVLFGFIFAYSIKAFNFQKR >CDP07953 pep chromosome:AUK_PRJEB4211_v1:11:11299967:11303997:-1 gene:GSCOC_T00025489001 transcript:CDP07953 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEKIRQNYYFLLLEYQVRSSKHIVRSSIRNEHVLGSSNLNEVKIDPLVDFDQFVASNSLDVTSKSKLDFYLEENYQEFQLLTFLIGGKHMGLSILTCKR >CDP15262 pep chromosome:AUK_PRJEB4211_v1:11:19096670:19099297:-1 gene:GSCOC_T00042919001 transcript:CDP15262 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVKSYTSINASVQPLEAPKEGQFNNTLPSKGNFKVLEVWRNADAVCFDVDSTVCLDEGIDELSKFCGAGKAVAEWTARWYAFNRHCLDMPWLQDLSLFNPSLAEVLDFVAKRPLRISPGIDELVKKMRARNADVYLVSGGFRQMPVASALGIPVDRIFTNQLLFGSFGEFLFDTNEPTSKSGGNATAVQQIKEGELKFEYRSLVMIGDGATDLEACKPGGADLFICYAGVQLRGAVANKASWLVFNFKDLIDCLE >CDP05595 pep chromosome:AUK_PRJEB4211_v1:11:23476686:23477435:1 gene:GSCOC_T00020729001 transcript:CDP05595 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGNGTYSYSNKLYCSLASIAAEAMIEEVLADRLDAEKFCTSNTICIADLGCSVGPNTYMAMQHMIGAVEKKCKSKGLSPFELPELQVFFNDQTTNDFNTLFTSLSQCIQ >CDP00274 pep chromosome:AUK_PRJEB4211_v1:11:30423372:30428919:1 gene:GSCOC_T00032161001 transcript:CDP00274 gene_biotype:protein_coding transcript_biotype:protein_coding MVSACFWALLSRTSGVVRSAIHGAYSLSWSRSFQDVDSSRAANLGLCSTRISCQCYSSEWPIGHKDTGTQAMLKDEKDAFFVVRKGDIIGVYKSLSDCQAQVGSSIRDPPVSVYKGHAMPKGVEKYLLSCGLKGALYSFKAGDLTERLFGTLLPCHSYQLPSSCRDEISIKLGPKKWPQEVLSSLSGHSCTLEFDGSSKGNPGQSGAGVVLRAADGSWICRLREGLGIETAYASQYQAIILGLQYALDKGFRSIHVQGDSDLVCMQIQGLWKVKDKKITILCEKAKSLKHKFTSFRIIHVQKDLNSEADKQAKLAVKLADGQIQGEIQKTAYVKGNVL >CDP00462 pep chromosome:AUK_PRJEB4211_v1:11:28945021:28951518:-1 gene:GSCOC_T00032409001 transcript:CDP00462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL3 [Source:Projected from Arabidopsis thaliana (AT2G03710) UniProtKB/Swiss-Prot;Acc:P29383] MGRGKVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVALIVFSNRGKLYEFCSSSSMSKTLERYHRCSYADAGMNQSSKDPQGDYQEYLKLKAKVEVLQQSQRHLLGEDLAQLGAKQLDQLERQLDASLRQIRSTKTQHMLDQLSDLQQKEKSLMEVNKALRNKLEETTAAFQLSWDVSEEHNLRHRSQTIHPEGFFQPLECNSSIMNYNMVVADAEAEPTQNPSGILPGWML >CDP13333 pep chromosome:AUK_PRJEB4211_v1:11:32895120:32895524:-1 gene:GSCOC_T00038231001 transcript:CDP13333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit C13 [Source:Projected from Arabidopsis thaliana (AT5G43250) UniProtKB/TrEMBL;Acc:Q9FHS0] MMAEHEEQNAAEETHRPGIPTHRVKKIMKLDKEIKKVNSEAVFLISNSTQLFLQFLAEKSAQVVLEKKKKTIKLEHLRAAVKRHLPTSDFLLDSLPPPAQPSDQLPKDRPRPRSSDKPVPPGSRRIDAFFNKCN >CDP00683 pep chromosome:AUK_PRJEB4211_v1:11:26962447:26962944:1 gene:GSCOC_T00032711001 transcript:CDP00683 gene_biotype:protein_coding transcript_biotype:protein_coding MIREKFAREDLPSLPLTYYLRAFPLIEARKRRRVNSSVMSGIRRPNKQDNYLHAFRGKRRRVISVSRIKSRPDLIELNLNLVLPRSNSNLISTSCKSKTQTRALELELEGKQQQLKLKRLKLKHLKLEHEQLDLECKQLQLQNEQLKLELECLNK >CDP00828 pep chromosome:AUK_PRJEB4211_v1:11:25295124:25296704:-1 gene:GSCOC_T00032926001 transcript:CDP00828 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGKPLNKRFNDVHLLKITSKADGRLKTLALLNCSKITDEGLHQVIARNPYITRLWLPACTSLSTSGVIEAVKLLTKNKHKLKSLRINGIYNLKKEDLEILHCLIDDENHPWQKKGLNFYHEYKEFSTFKHSNPPIDVEICPKCKEARVVFDCPRDSCKSMRQQQKLECRGCQHCIPRCEECGICIKDEDPVEAACVDALCLGCWLQLPKCSFCNKPYCSQHADQKCSLVGSSGFVCIDCHARFIEN >CDP18979 pep chromosome:AUK_PRJEB4211_v1:11:31650500:31651790:1 gene:GSCOC_T00001021001 transcript:CDP18979 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCTSYEKQPSLNPEIGSTQEGKKRKRYIAEVDEQDEMKTLHDQLIEAFERNGKLLSSQLESQNVHLQLDREQRKDQANSLISVLHKLADALGRIADKL >CDP00405 pep chromosome:AUK_PRJEB4211_v1:11:29390799:29393932:1 gene:GSCOC_T00032337001 transcript:CDP00405 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSRAVFLLGCVLLTRWLCLSLAAAAAAAEQVKVKVKGVTSIAKTDGNFICATLDWWPEDKCDYNQCPWGKAGILNLDLKNKILANAIKAFNPLRIRIGGSLEDQVVYKVGTMKICPRFQKRKGGLFGFSRGCLPMKRWDELNALFNKTGPLVTFSLNALIGRQNPVGGDILWVGDWNAQNARDFINYTISKGYKIDSWELGNELCANGVSARVEASQYGKDMIVLKELVKELYPDPSTRPKVLGPGGFYDEEWFNEFLQTSGAGVVDGLTHHIYNLGAGVDKNLINKVQDPYYLDRIAQTYKDISRSVDLFGPWSGAWVGEAGGAYNSGGKYVSRTFADGFWYLDQLGMTSTFNHKVFCRQALIGGNYGLLNTTTFIPNPDYYGALLWHRLMGKNVLATTHEGFPYLRVYSHCSKTSSGIAVLIINISNSTRFGVSIVNDYNMGGKFEVGQQREEYHLTPKDGNIQSDVLLLNGTPLKLTEASDIPALEPQIVDASKPITVVPHSFVFVNLRGFNAPVCA >CDP13366 pep chromosome:AUK_PRJEB4211_v1:11:33207735:33211403:-1 gene:GSCOC_T00038276001 transcript:CDP13366 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSPIFQYSSSTLTSSASASAAPMLLMNPMTSNATGTTEHQSHDKANNGVLGLMSSVEAPTSGVISNLSRSSSSFVGTETEVKSGKKKADKKIRKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSKDEGVVVTTYEGMHSHPIEKSTDNFEHILTQMQIYTTSF >CDP00385 pep chromosome:AUK_PRJEB4211_v1:11:29516042:29519143:-1 gene:GSCOC_T00032311001 transcript:CDP00385 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLCRDKKKHPAPNYSPYVPFGVDLFACPRKVHHIAQYLELPPVKAHHEVPSLLIVNVQLPAYPASMFLGESDGDGLSLVLYFRISENFEKETSVEFRESIKRFVADDMETVKGFGKESIIPFRERLKILVGVVNPEDLRLNPAEKKLLHAYNEKPVLSRPQHAFYKGASYFEIDLDVHRFSYISRKGLDAFRERLKYGILDLGLTIQAQKPEELPEKVLCGIRLNKIDFENHGQLPEIVIHGND >CDP00550 pep chromosome:AUK_PRJEB4211_v1:11:28217300:28218493:1 gene:GSCOC_T00032523001 transcript:CDP00550 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAEKSVGTQLFGQVKKDTAKTSADGASKAPAPSKPAAKKAGTKSEPKKKAKAGKSAPKH >CDP00198 pep chromosome:AUK_PRJEB4211_v1:11:30993152:30997035:-1 gene:GSCOC_T00032067001 transcript:CDP00198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL28 [Source:Projected from Arabidopsis thaliana (AT1G24030) UniProtKB/Swiss-Prot;Acc:Q84M95] MPFGLVSAWNKRRRSKSEDHINPWVYKPVECWKIEGSAPPAKRHHGSAVFTLKEMEEATHSFSEQNLLGKGGFGRVYKGTLRSGEIVAIKKMDLPSFKAAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMPRGNLQDHLNGIRELKMDWPLRLKVALGAARGLAYLHSSSAVGIPIVHRDFKSTNILIDNNFEAKISDFGLAKLMPEGQETCVTARVLGTFGYFDPEYTLTGKLTLQSDVYAFGVVLLELLTGRRAVDLNQGPNDQNLVLQVRHILNDKKRLRKIIDPDMSRNSYTMESIAMFASLASRCVRIDSTERPSMIECVKELQLIVYTNSKGLGMTLHTFRMI >CDP05582 pep chromosome:AUK_PRJEB4211_v1:11:23235405:23237449:-1 gene:GSCOC_T00020707001 transcript:CDP05582 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLRTPSFMVNYHKRKKQQQQGESTPKTEVDISQLQFQPIEAMLALVQKERVKLLGACFIILVPVIGLIDLPYIKPWSLRFGIPAICTLVATLAFLQGTGSYKGESKPDGSPVTNVLRVFVASTRKMFEDPKQYSELYENQDTNTPKLPHTKGLLSFLDKAAIQLTTEIEEPEKHRWRLCTRTEVEETKIIIRMMPIWITFVICGVITSVGNTYFVEQANHMNYKIGKLKLPNSVILVFYEISKSRIKLIYTAIGRCLRGARKEKYAPSIGIALATIFSVLCCITASGIETRRIHVIRSHGLLDKPEAKIPLSVFVLLPQYFLLAGLDSLYENSVTPFLTDQSPPSMKKYLVYLSPGLSGLGIVGSVLSVYVVGKVSEKGGKRNWFQYTLNQSRLDRYYSVLAVLSAANFIWFVFWAVLFPLREPGSNDEKAAENDEEKAGNNDGNEEQIRNQEKEEPIGNREWVDPSLNFVNDLLGANITR >CDP07962 pep chromosome:AUK_PRJEB4211_v1:11:11096395:11096747:1 gene:GSCOC_T00025509001 transcript:CDP07962 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTTTMVLFLFIIVFLSAVLVLFVANVTLDHRALVIDGKRKVLISDAIHYPRSTSQMWPDLIEKSKDRGLDA >CDP14400 pep chromosome:AUK_PRJEB4211_v1:11:12823932:12836600:-1 gene:GSCOC_T00040784001 transcript:CDP14400 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTTKSLIGNLASKLLQNPNGKSQQLRHFGSPPPPAAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALKKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVADPQTEGIVLIGEIGGTAEEDAAAFIKESGTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKILKEAGVTVVESPAKIGAAIFDVFKQRGLV >CDP11030 pep chromosome:AUK_PRJEB4211_v1:11:6637627:6641204:1 gene:GSCOC_T00033013001 transcript:CDP11030 gene_biotype:protein_coding transcript_biotype:protein_coding MGHANLTRTIKPIMVGQQTIFTFFSNQFHLSGIIPQEVGNISKLELLNLESNRLRGFIPLKLFNGLTARLISVAQNDLSGELPSTIGAFLPNLEELYLWGNEFTGTILTSISNASRLRTLELSTNHFTGAIPHSLGNLRLLEQLWLDENPLNGLLPKSIGNLSSSLESISATRCGIISEIPSSIGNLSNLIVLSFAFNSLTGVIPTTTKWLLKLQMIDLNDNQIQGGIPSELCYLLNFEGLNLAKNKLSGTVSSCLGNVRTLRYVYLNSNNITNYLTGSLPTEIGSFKALITLNFSNNQYLGEIPSTIGALQDLQELSLEHKKVQGSIPNSMKNMLQLRHLDLSFNNLERPIPHGGPFTNFTNLSFLSNEALCGAPWPQPCTSTFQHESRTKRIVMIVLLASGSVILAMVISIFLMRLKLRKKNLAPTQNLLPMATFERASLHEFRQITNGFSESNLRGSGSFGSVYKGIRENGMVWPIKVFDLQLEGAFKNFDRECEVLSCLRHRNLTRAISACSSPDFKALVLEYMPNGSLEKWLHSNHHFLNIKQRLDVMIDVACGLEYLHYGYSTPIVHCDLKPSNILLDQDMVGHVCDFGIAKLLGDEEFVVQTKTLATFGYIAPEYGLEGLVSTSSDAYTFGILLMEAFTKRKPKDEMFTEELSLRRWIECISSILQLGLSCTTYALEERINMKEILRALQKIKLQFIKDIAP >CDP13380 pep chromosome:AUK_PRJEB4211_v1:11:33306721:33315902:-1 gene:GSCOC_T00038294001 transcript:CDP13380 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKLDLSDDLLSSKPSDQSWTPKGNDEEKVMMGSLDESKDQAPSESIPLSPQWLYAKPSEAKMEARGPSSLSLGSSADSNQKEGWRADAAEERKDWRRITTETESGRRWREEERETGLLGRRDRRKTDRRADNASGRETTENRTLPATDRWHDASNRNAGHEPRRDNKWSNRWGPDDKEKEARPEKKADVDREDAHNENQSSVVSRSVSERDTDSRDKWRPRHRMEGNPGGPGSYRAAPGFGLEKGRAEGSNVGFTLGRGRGSLAVGRPTSTESVPGKPYPLTGAFLYPRGKLLDIYRRQKLGSTFCNMPDQMEEVPSITQVNCTEPLAFVVPGLDEEAILNDISTGKITSSGVSYSSFRKGRSTDNIAEVADLETSNQKQVVLSSDISEEIVDTLPAMSNDKIHELRIQSNLYNNGPTRSLLEEREINLQEKHISEAFLGTNIDEVRSSLEKINIGSNSDTITNAQFEALEIRGMDSVITRNPGLDGTRLGGAFDVSDKLPNEPNSLFSLPSSDQYWDGNLQSLGSRIGENYLEKGVPPEELSLYYCDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLADAPEDSPFFELGDVMPHLKASHEHASSTGLNSNLEVSTAMGVKFDGSVHASAPIADVISPTALDDPSWPLSHLDGISSHHVNLKNIEHQSHSEGQDFQNFVTQDEEIVFPGRPDSGGNPIGKTRRASGDSSNIVNPAFPTELMEAGIPKQNNKLHPLGLLWSELEGAHTRNEQMSNISFNSGNQEHAVNPLSGRVAAFGALAESTRAAETWPDFRRRNTLTEPNLYQDTMDAQHFSRMDHESNRFDVSEKILPQHFPQFSEQHSLPSHNAHLDEAMLERGQHQNSLNQQLAGQLDLEHFVAIQQQQQRLLQLQQQQQQQQLHHQMLLKEQQQAHARQLLVEQMMQSQMRDSIRAQSRNDAIRTSNALEQVLLKQQILNELQQRSHLPPRHPEPSFEHLFQAKFGQVPPQVHPSDLLELLSRAKHGQMHPLEHQMLHQEQLHGKQLPMGLRQRLDMEDDRQVGSSWSVDESSQFLRNPANSHRSGAAGFGQLDFFQQQQIPSPEDHLSHLDRNLSLQDRLQPGHFEPGPLPFERSMSLPVGAAGANLDVMSSIARNQGLDMQELNARLQLSAQMGGFSSSVFSHPSQRPMVPSQFHVSNSDIMEGHWPESNGQLPNEWVDTRLQQLHSNSERQKRDSEVKRSSEDPSLWMSAGTSDDSSKRLLMELLHQKSSHQSAEPLDLISGMSTEKRPSGPYSGTISSNHSFNLLSDQEANLNQSFAVGSYSLNSGGPPQARVADEVASTVETVERSAFRSNSGALLEEEAFFSGVNGPSQGPASEVREDIVEPVKNAALDGGELPVNILSRHSSFSSSGGNAGFCGEKIGLLDSFADDLAKDRAPSAASKMPENILLKRPPVPRASSAQDGLVELNSDAAIRGKNPPISIPAEGGRRDGGNQVSDMLTSGKKDVRFRRTASLGDADVSETSFSDMLKSSAKKPPPQDVSAAGIGASDSVDGMQGSRSNKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >CDP00594 pep chromosome:AUK_PRJEB4211_v1:11:27792965:27794112:-1 gene:GSCOC_T00032583001 transcript:CDP00594 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTFDPSLSLEFNKEQNQQHGVHEEIEGLIKVYKDGHVERPQIVPSVTCAVAPDQALTSTDIVIDKCTNVWARFYVPKCHAKLPLLVYFHGGGFCVGSASWSCYHEFLAKLASKANCVIMSVNYRLAPENPLPAAYDDGIKALFWVKQQAFSAANDWFTSKCNLSSIFLAGDSAGANIAYNIAIRLGSPGGKLEALSPLGFKGAILIQPFFGGESRTYSEKYMVQPPRSALTLAASDTYWRLSLPVGANRDHPWCNPLAKGAPKLEDIRLVPMVVCISEMDILKDRNLEVCNALVSAGKKVEHGVSKGVGHAFQILNKSQIAITRTDELIFQIKNFISR >CDP11600 pep chromosome:AUK_PRJEB4211_v1:11:14948952:14950539:-1 gene:GSCOC_T00033956001 transcript:CDP11600 gene_biotype:protein_coding transcript_biotype:protein_coding MVITEFSPFLKLQRLVTRFFASSSLNYCYRPALSSRGYFYHASTTAVKYPKSKSNVWAIEIQRRNTRAKNFCTSTTTTSARNFTNGYVNNKAAASGNRNVTGDIDDDGGGPPIAVLGRGYLIMSDEQLLRGCEMDTFKASGPGGQHRNKRESAVRLKHLPTGIVAQAVEDRSQHRNRALALSRLRTLLALKVRNPVVLDAYTPPQELLQILPARSTIKGSDCGPQIGPNNPKFVLGLQALLDLIFAVNGSVSDAARLLGLSTGALSRLILSDDSLRMVVNEFRASKVKNSKLPCDTL >CDP00181 pep chromosome:AUK_PRJEB4211_v1:11:31152526:31155948:-1 gene:GSCOC_T00032046001 transcript:CDP00181 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVNSNSQEQAAQLPDGVSSVIPGWFSEISPMWPGEAHSLKVEKILFQGKSDYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMIAHLPLCSIPSPKKVLVIGGGDGGVLREVARHSSVEQIDLCEIDKMVVDVSKQFFPDVAVGFEDPRVVLHIGDGVAFLKAVPEGTYDAIIVDSSDPIGPAQELFEKPFFESVAKALRPGGVVCTQAESIWLHMHIIEDIVANCRQIFKGSVNYAWTTVPTYPRHCFFLSALHVYISHCQGSVNWGCISLLLGVLYIDKVLTRNGLSCLILFNL >CDP16967 pep chromosome:AUK_PRJEB4211_v1:11:17592859:17594137:-1 gene:GSCOC_T00004789001 transcript:CDP16967 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTHWHDFYFAARYPANITLLRGNHESRQPTQVYGFYDECQRKYGNANAWRCCTDVFDYLTSSATIDGTMFHESQVLCVHGGLSPDIRTIDQVWVLQTHHTYCAYFPAASCCTRHVMHLF >CDP00623 pep chromosome:AUK_PRJEB4211_v1:11:27505374:27508465:1 gene:GSCOC_T00032622001 transcript:CDP00623 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNGFAAYEERRASVTISVPSSIVPDFTTHGRERERETVVCPKPRRVGGLNPAMADPIRPLRWHVSHQQEVCDAKAGAELLDIILAKGGYGTEQSSCTQAASSPPFFSGSPPSRVSNPLIQDARFGDERVTPVSPRAIPIPSGLASSPSSSARKAGGCVRANFGNNPAVRIEGFDCLDRDRRNCSIPALA >CDP04856 pep chromosome:AUK_PRJEB4211_v1:11:4516738:4518291:1 gene:GSCOC_T00019614001 transcript:CDP04856 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSGSLHVVMFPWLAFGHFIPFLDLSKFIAQRGHKVSFISTPKNVDRLPKIPLEFASSITYVKIPLPRVDGLPENVEATVDLGGLDVAVLKKAYDGLEPELTRFLGYSAPDWIIYDFAPYWLPPIAAKLNISKSFFSIYSAFSMAFLAPPFEALIAGADPRTKVEDFTVPPKWIPFESKVAFKLHESRWMLESRNLDGSGVSDLYRVGSVVKGADVILMRHCHEFEGQWLKLVEDLQARPLIPVGLMPPPVEKSSLENNESWIAIKDWLDGQGKGSVVYVALGSEVSLNQLQLSELALGLESSGVPFFWALRNPSGLPGGFEDRVKGRGIVWKNWAPQLNILSHDSVGGFLTHCGWGSSIEGLMFGHPLIMLPFVIDTGLIARVLEEKLVGIEIPRNDVDGSYTSDSVANSVRLVMVENEGKVFKDKAKEIGAIFGDQDLHNSYLQKSVDYLEKNRNESK >CDP00365 pep chromosome:AUK_PRJEB4211_v1:11:29738326:29739992:-1 gene:GSCOC_T00032284001 transcript:CDP00365 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKVGLKKGTWTAEEDQKLLTYIERHGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIAAHLPKRTDNEIKNYWNTHLKKRLTKMGIDPATHKPKTNAFGCSQQKDAANLSHMAQWESARLEAEARLVRESKLLSSIPYPTKPSFQPPCLDILKVWQATWTTTKNVTSTNGFFTSNGNLQSPNSTLNFSDNIMDMEVMDNAIQLDDNDNIEYPNPDDAGYIAENSLRHQSFMEGFASILSDDGNNSTSPIGPCYNLDNSSCGGDLEDNRKYWNNILDNLVTFTSGSPIF >CDP16270 pep chromosome:AUK_PRJEB4211_v1:11:24319573:24321299:-1 gene:GSCOC_T00018045001 transcript:CDP16270 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELYAGAQSGNWVVMKRFSDYFYSQHTPVKDTVLHVLAQSCDSANVVQLILDRLGRLLMKLNKRGETALHLAARNGHSGVVRALIDYAKSGGAGYWFPPCFDRCKWMLRMASKAGNTALHEAVRNNFYDIAKLLVQEDPEFRYPHNYAVETPLYLAVEKGRHNIMVLILESCKTPSYLGPGHKTALHAASIWNLPESMKLILEKLPNLIKNVDKFGWTALHYAAKFDHQEIARLLLSADRSTAYVAAKNDDSKTALHIAVIHGHVVLVKEIVDKEILFNLFSRDLLN >CDP05531 pep chromosome:AUK_PRJEB4211_v1:11:22477396:22478922:1 gene:GSCOC_T00020637001 transcript:CDP05531 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNIHPDFQNEFSYATSPLDPEEFRRQGHMVIGYLADYYQNIEKYPVRSQVEPNYLRSHLPDSAPCNPEPIENILRDLQKHIIPGLTHWQSPSHFALFPCATSTAGFLGEVLSTGFNVVGFDWISSPAATELENIVMDWFGKLLKLPGSFLFSGGGGGVLQGTTYEAMLSTLAAARDQMLRKIGRNNIGKLVVYLSDQTHFSFQKAAQIAGINPSNFRVISTTKDDEFSLCPNSVRSAIIADVEGGLVPLYLCATVGTTLSTAVDPLGPICNIAEEYDMWVHVDAAYAGSACICPEFRHFLDGALSTKPEILRNQATDSKQVVDYKDWQISLSRRFRALKLWLVLRSYGVVNLQKFIRGHVNMAKHFEQLAAMNKRFEIVVPRKFSLVCFRISPSAMNQNCKILSTEEDVNLINQKLVESLNASGHVYMTHGVIGGIYFIKFAIRGPLTDYRHVDMAWTLIEDHAEALLKAS >CDP00435 pep chromosome:AUK_PRJEB4211_v1:11:29174641:29179501:1 gene:GSCOC_T00032373001 transcript:CDP00435 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRAVCLIARRPFSSEPEIPTLYSFLQPSVFALKRSNKEPLNPLPKPQESTSKGLSQDHKTTLESTLESSLISQNIDEAWKSFKTLTNSSSFPSKSLTNSLINHLSSLNDTHNLKRAFATVVYLIEKNPELLEYESVMTVLNSMKNGNTAAPVIALVKCMFKNRFFLPFKLWGGVLVHISRKSGSFIAYLSIFNETCRIAIDEKLDFLKPDLIACNAALEGCCCEIETVTEADRVVEMMSVLGIRPDEFSFSYLGYLYALKGLEGKISELEALIGKFGLSSKNVFLSKLVSGYLKSGNLDFVSATILRSVKEGNEQGACFTEEIYGEVVKGYLEKGSTKVLANLIVEAQKLEPSSVIVERTIGFGIINACVSNGLLDKAHSIVDEMNAQGACVGLGVYASILKAYCREQRTAEAAQLVAEISNSGLQLDVDSYDAVIEASMACQDFQSAFSLFRDMREARIPDLKASYLTIMTGLTESHRPELMAAFLDEVVGDPRIEIGTHDWNSIIHAFCKAGRLEDARRTLRRMIFLQFEPNEQTYLSLINGYVAAEKYFSVLMLWNEVKRKVSIEGEKKIKLDNNLVDAFLYALVKGGFFDAVMQVVEKSQEMKIFIDKWRYKQAFMETHKKLKVSKLRRRNFRKMEALIAFKNWAGKFKDLSASLEQVSSSMSHFTIFWQVSVLLLLVHSIQANHAIPSIIPINGTCHDTCGSVPIKFPFGSGFGCGHPHFARYIRCSSGVLQFTTGTGIYTVSSIDYSSNTILVTDPLMSTCSSMQNSGSFILDRESPFSITTDNVFVLLGCSTTSPVFDKRQDLCDTGSGSNICRGLYSCNAITGIGLVPSAPISTCCVYEPPIPLGSGYGLDLPKLQCSSYSSVIGFGGDEGNPMKWQYGIPLQFNGSYYTESCKNCEDSGGSCGFSGFNQSFACICKNGMNTTINCYGRGYAWSGTWRRRIQRKLCLGGFLIVWMTLFI >CDP13215 pep chromosome:AUK_PRJEB4211_v1:11:32058877:32065093:1 gene:GSCOC_T00038084001 transcript:CDP13215 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNNSKEPLLLSSPREADKSDDESQEYLLGRSNISFASSFVADADDIPPINGVRDFFREFTIESKKLWYLAGPAIFTSICQYSLGAITQTLAGHLGTLELAAVSIENSVIAGLSFGIMLGMGSALETLCGQAYGAGQIEMLGVYMQRSWVILNTTALILMLLYIFAEAFLRLIGQTEDISRAAGTLAVWMIPQLFAYAANFPIAKFLQSQSKIMVMAWISAIGLVLHSVFSWLLMLKFGWGLVGAAVVLNLSWWFIVVAQLLYIFSGTCGRAWSGFSWKAFQNLWGFVRLSLASAIMLCLETWYFMALILFAGYLKNAEVSVDALSICTNLLGWTVMVAVGCNAAISVRVSNELGAAHPRTAKFSVVVVVVSSFLLGVVLSAILLIFRQQYPSLFSNSDEVKSLVYELTPLLAFCIVLNTIQPALSGVAIGAGWQALVAYVNIACYYLFGIPLGLLLGYKINMGVKGIWYGMVSGTAVQTVVLFWIVYRTNWNKEASVAAKRIQQWGGEPDAKANDVET >CDP18559 pep chromosome:AUK_PRJEB4211_v1:11:1740744:1754163:1 gene:GSCOC_T00004220001 transcript:CDP18559 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVVLRLIKEAVSSNGRSKPNFDFAKRRRFCTSTPPSPPPPPPARKIPYSSKKGRLFTGATIALLIGGGAYASTVDEVTFCGWLFSATKLVNPFFAFLDPEVAHRLAVSAAARGWVPREKRPDPSILGQEVWGKRFSNPIGLSAGFDKNAEAVEGLLGLGFGFVEVGSVTPVPQEGNPKPRIFRLPKEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLETSSTSSSTEDDVNHGGKAGPGILGVNLGKNKTSEDAAADYVQGVHTLSQYADYLVINISSPNTPGLRQLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSRQDLEDIAAVALALRLDGLIISNTTVQRPDPVNKNPVAQESGGLSGKPLFNLSTNILKEMYILTKGKIPLIGCGGIGSGEDAYEKIRAGASLVQLYTAFAYGGPALIPQMKAELAQCLERDGYNSIYEAVGADCR >CDP16886 pep chromosome:AUK_PRJEB4211_v1:11:776006:780315:1 gene:GSCOC_T00019469001 transcript:CDP16886 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKSVGSLKEADLKGKRVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLIGHGAKVILSSHLGRPKGVTPKYSLKPLVGRLSELLGVEVKMADDCIGEQVEKLVATLPEGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILLLGGGMIFTFYKAQGHSVGSSLVEEDKLDLALSLIEKAKAKGVSLLLPTDVVAADKFAADANSKVVPASGIPDGWMGLDIGPVSIKSFSEALDTTKTIIWNGPMGVFEFDKFAAGTDTIAKKLAELSGNGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKSLPGVLALDNA >CDP11646 pep chromosome:AUK_PRJEB4211_v1:11:16660619:16661305:-1 gene:GSCOC_T00034046001 transcript:CDP11646 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIGKTTLANKVYDDPEIVGYFHTRAWCYVSQAYTKRDLLLEILSHIIQLVDIHSMEDEDLELLLCQSLGRNRYLIFMDDIWDIGAWDDLQNSFPNDQNGSTILITSSLSDVVFKVTLESNLFNLRPLSDDETWELLRMKIFPKQCCSEELWEVGKDNARNCQGLPLSFVAIAGLLKGRNMKPESWKQIARSLIPLITSDPQTRCMQILELSYKNLPDCLKACFLYL >CDP16893 pep chromosome:AUK_PRJEB4211_v1:11:927744:928613:-1 gene:GSCOC_T00019481001 transcript:CDP16893 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQPLFSAITFLLIASLIGSSEAAGIVQYWGRGHKEPSSLAEFCRREFATDVNIAFLEDFGSGQMPELNIIHPWPSSSDIESCQNNQTKVFISLAGQPRLSSVEDAQEVAAFVWNTYLGGESSDRPFGTAVLDGVELHIHSGNTTYLDDLARALKGYPNVILAVAAECPIPDPALDTTIRTGVVDQVRVEFFDNPSCQFKPPNDTSLLFRSWDNWSDYPGVNLLFLGIPISKDIAPEGGYIPPNVLVYYVLPYLQNSPVYGGIMVFPYLHHEVNFQSLLRSYARAA >CDP00262 pep chromosome:AUK_PRJEB4211_v1:11:30515147:30518759:1 gene:GSCOC_T00032146001 transcript:CDP00262 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLHVLSFFCLLLSLPHPSSTLPLCTDLRAPVTPKKPLAFCPYNGSLCCDSSKDLQLQKRFDAMNVTDAACASAVKSILCATCDQFSADLFEVKLGPRPVPVLCNSTTDGGSSSKSQTDGSFCSNVWDVCQNISILDSPFAPSLKTKTEVPQNSTESKLTDLWQSKTEFCNAFGGVSNEDSICFSGKQVSLDKTETLLPPRGMCLEKVGEKSYLNMAAHPDGSNRAFFSDQPGRVWLATIPEQDSGEALGLDVSSPFVDLTDEVYFDTKFGMMGMAFHPNFSQNGRFFASYNCDKTKSPDCTGRCACNSDVGCDPSKIGSSEGTQPCQDYVVVAEFSANGTASNPSMAKNANPFEVRRIFTMGLPFGSNHGGQILFGPEDGYLYYMMGDGGSKGDPYNFAQNKKSLLGKILRLDVNNIPSQEEIVDLGLWGNYSVPHDNPFSEDKELEPEIWALGLRDPWRCSFDVERPSYFLCADTGEDQYEEVDIITKGGNYGWRVFEGPIPFQPQQTPGGNTSIDSINPIFPVVGYSHSDVNKKEGSAAISGGYVYRSKTDPCLYGSYLYGDLYAKNIWAAAENPKNSGNFSTTDIPFTCAADSPLPCSSVPNSPLPALGYVFSFGQDNRNDVFILTQSGVYRVVRPSRCNYTCSKEKEVAVPPPPPPPPSCSHLLVPLSKGLNILACKVRRFSM >CDP16858 pep chromosome:AUK_PRJEB4211_v1:11:73605:80517:-1 gene:GSCOC_T00019421001 transcript:CDP16858 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDFFCLKYPLLLVQIYGLPADQIYATYFGGDDKSGLPADFEARDLWLKFLPNKRVLPFGSKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTVIEIWNLVFIQFNRESDGSLKPLPAKHVDTGLGFERLTSVLQNKMSNYDTDVFLPIFDAIQQATGARAYSRKIGADDADNVDMAYRVVADHIRTLSFAIADGACPGNEGREYVLRRILRRAVRYGTEVLKAKQGFFSGLVKVVVEVMGDVFPELRQHEVHISNTIAIEEASFGRTLVHGIEKFKKAAQDVQGNTLSGQDAFVLWDTYGFPLDLTQLMAEERGLAVDINGFNIALNEARERSRNAQNKQAGIGIIMDADATTALRKKGVVTTNDTFKYTWFQDHESEIKAIYGGNEFFESASGDVRVGIILESTSFYAEQGGQVKPCPVCHIELLFGNG >CDP00196 pep chromosome:AUK_PRJEB4211_v1:11:31000790:31005429:-1 gene:GSCOC_T00032065001 transcript:CDP00196 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMRTVLGEAIPSTVMFIMEFCTISLTIMAKTIISRGMSPFVFVVYTNALGSILLLLYSCLCYRNQSEQPLLSFQLLPRVFLLGLIGITVAQNLAFLGLSYGSPIVACGMGNLIPAFSFILAIIIRRKENDWKSLSSQVKAIGTVISFVGAASVALYKGPVVKQSPLPSFSLQLIPPPLLIFSSPQDENWILGCVLLAAASVAISVWNIIQVGTVEKYPQVMKIVSLYSLVGTLLSAILSVIIERDVDAWKLKLDMELLVIILTGIFGSVIRSSVHLWCMQKKGPLFASIFKPVGIPIASMFGCFLFADTFHYGSIIGALACGLGHYTLIWGQIMGYDEAGIKNHKSRSSPSDDQKTPLLQQEDSQV >CDP13221 pep chromosome:AUK_PRJEB4211_v1:11:32099357:32108130:1 gene:GSCOC_T00038090001 transcript:CDP13221 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQQPHGYMRPPQPPPSMAADPYHHHQPHHQAQRLPVPPPNPWYSTQFQYQHSTPPPQPPLPPPPSHVPPPHQQWPPPPPPPHSDHLAPPPPYPTPHPLPVNQYPPPVPHPHASLPPRPHVPPPQIPQSYSQVNQEWGTANWGHHQSWEYQAHTNEEVWAAKARAWAAAKAASDNQQPQQLPYAFQDGTGDSAAVFPGRDSSISPSVHQQEVPSSYSSIAGDPTRVIDTVATNALDYNFVPPTEPAVVYPSVPAVLPSGPQVDPSVPVPPPASGHSAPIFGRMLGPSFQPTVSSVTAPFGIGAGPDMHPGTTFSADAFGPSIVSERPKKASVPNWLREEIIKKKPAIVSSAVELPKEDIESIEDEAVDKSYGKGDQGDSKSIDSPRSTEDEDDDEDDVEAARTAAINQEIKRVLTEVLLKVTDELFDEIATKVLNEDDPTVEVEDGVSNQDDKAQTSRPIIATSRAPSNVLQPLKTKDTNYYDAGEKSSSVSGGDLLGLASYASDDEDNETESFGKQSIKEDSVKHQSTSSKLSKGTDALHNGGSQEENKEHSDLSSNSQNATTVNHNAAFFGLKDAEAAKLSDSVAEREVLDGVIASKIMNTLAAKVAGQSENIVENDSSKRSLMGDSSGEETRDKLDKNDRCEQERNAVGKIVRELEIDKESAYEKEGTNSRRDQRHLKKERRDDQNGLKERVVKPSEKAKDTDSRKRASPDYDKEGKKERHADRRSSGKEENDRKRERTEDDRREKSSRRNSNESSRHKRHHSPSITGRDRDNRGGLVVGHAYDSSDVSSDNSKKKMHSRRRKSPSPVRSRKRQVSRSPHSKHSQRRHSPYSSLESSRGRRSRSRSRSPSRRKR >CDP11629 pep chromosome:AUK_PRJEB4211_v1:11:16023802:16135766:-1 gene:GSCOC_T00034004001 transcript:CDP11629 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWEKTLYDAATQGDVHKFPELLEKDPLILDRAILNSPHKTPLHAAAFLGHVNFVKEVMKVNSYMCFLRDKAGRNPLHLAAMSGKLEVLKAIIDSTPQAVREKTDDGETILHLCAKYGQLKALKMVVHAIDDPDLLNAKNDDGMTILHMAIVYKQTETIKYLLDEARVGVNIENANGKTALDLLSAQGNIRSDIRSSLQKSKALRGKDVHPLNGEPTFRALMAKNRKTIMVVASLIATMAFQVVLNPPGGVWQDDSSEGPNPHKIGEVVMAKTHPALCRLLIRATSTAFVSSLTTVMLLMDESRFIRRSTLSLAVLFLIMTVAIMSILLTYAISLVTVAPKDIRGKLSETGVIVLIVVMAWSTTISYDSYYIWHLIKNWRTIVMPPIMSAIREGRTLNIADLQREIYLRALVNQQPGGHGVDARPAA >CDP18556 pep chromosome:AUK_PRJEB4211_v1:11:1605086:1606381:-1 gene:GSCOC_T00004214001 transcript:CDP18556 gene_biotype:protein_coding transcript_biotype:protein_coding METERERKRRKSTSNDEHHHPGPGNCFENLPHEVALDIISRLFIKSVIQFRFVCRSWNKLSHDPDLVNLHLTRALENKDISLIFHCDYPVNNQLYFVEFADQNPDKDVLRKIQTPFSTFMPEFQVVSSCNGLLCLSNSLFHDGPYVYNPFTGDYKVLPKSTEFQDQDVVLGFGFHPNTNEYRVIRIVYYWNLYELPPRMSRRFRYQNFPGSEVQIFCQGSEKWRVIGDIPYKLDQSSGGVFVNGKLHWVSLWGKNHCRRDRILVSFDLSNEIFSEVPLPENDVNLFRHRYSLSVLGGCLSIVDPSSTNYWVQDIWIMKEYGVKESWEKVFSIGAYDVTRYWSPEMRRTYRIWKNVINQRYVRVLCLLSNGEVLLQYRWGALVSYNPENGMFKDIKFPGMPKFFHITVHIGSLSRASKCPSDVNESQLNWKT >CDP00278 pep chromosome:AUK_PRJEB4211_v1:11:30383627:30385828:-1 gene:GSCOC_T00032167001 transcript:CDP00278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g27050 [Source:Projected from Arabidopsis thaliana (AT1G27050) UniProtKB/Swiss-Prot;Acc:P0CJ66] MSRKRDRPSYSSRHIPYSFPKRRRPLPFQQDSIASDLDDSAPSPAPAKQPTTVVVIGLPTDCSVLDLKSRFEIYGSISRTRMDPNGLAHITFRSHDSARSAVSAALDPSFAITLLSKPVQVMWASDPVPQWKEGLAKNEGTPPTTLPLASKLLRPEVPLSRRGRGNKLGSAIVNPSAENNANGDTNDIDNSKKVGIGDEGGSGLLKPFMGREIVAYDDIL >CDP04899 pep chromosome:AUK_PRJEB4211_v1:11:2307559:2310496:1 gene:GSCOC_T00019761001 transcript:CDP04899 gene_biotype:protein_coding transcript_biotype:protein_coding MYTDNLNFLLSILSSNASLASRNGFYNFTAGHDHSDMIYGLFDCRGDVNPDACGRCVANARGDILKTCWNQTTAFVWYDECLLRYSNEPMFSRADWGIMLTAWNTQNATDPDKFNQVLGDMLKEIAGQAANDRSGKKFAVKEADYAAFQRLYGLGQCTPDLSSLDCENCLGNAITQIPTYCNNSLGCRITSLSCNIRYELYKFYNSVIRAPEPAWSPPSPPPFPPPPSNSTSSEDFIPFLQPIARLMRQWKISTHTIVAIVVPISVAIVLLVVGFCIARRSKKTHGAIIETTGASEISTAESLQYNLSDIQAATNNFAVGNRIGEGGFGPVYKGTLHNGQEIAVKRLSRSSAQGTEEFKNEIALVARLQHRNLVRLLGFCLEGEERILIYEFVTNKSLDYFVFDPEKQPLLDWSRRCKIIGGIARGVLYLHEDSRLRIIHRDLKASNVLLDRNMNPKIADFGMARLFGVDQSEGNTSKVAGTYGYMAPEYALHGLFSVKSDVFSFGVLILEILSGKKNSQFNQAHGGDDLPSYAWRQWRDGTPLALVDPTIGDAYSRNEVIQSIHVGLLCVQDEIEQRPTMASVVLMLNSISITLPAPNPPAYFGSSRTQSSPNDLPVSDTSTGTKSPPNPSINDVSITELHPR >CDP05536 pep chromosome:AUK_PRJEB4211_v1:11:22576669:22580318:-1 gene:GSCOC_T00020644001 transcript:CDP05536 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSFLLFILIISANPCVRAYNQGGNGIAEEFEDVKRSDFPAGFLFGVSTSSYQIEGAILEDGKSLSDWDVFVRKIGNIKNGDTGDIATDHYHRYMEDIEIIHSLGADAYRFSISWPRILPNGKSGGVNAAGIMFYNSIIDNLLLRGIQPFVTIYHWDMPQVLSDKYGGWLNPLIQDDFLHFAETCFKNFGDRVRYWVTINEPNTVAECAYERGKHPPGHCSPPLGNCSAGNSDTEPLIAVHNMLLAHAKASKLYREQFLASQILRGVIGMVLHSFMFEPLTDDEHNKEAADRALAFNLAWALDPLVFGDYPPEMRRYHGNELPKFTSEERLLIRDSIDFIGLNHYSTLYAKDCIHSSCSCSGSACLPGGDRAILGFVSTSAENGGVLIGEPTGMPRFSVVPRGMEEIVDYTVNRYDNKPIFITENGYSSPLQQDQLDGLQHDVKRIEFHQAYLASLARAMRNGADVRGYFVWTLMDNFEWSFGYDVKFGLYSVDRATLNRNPRSSAKWYRNFLRNISSNGMKPRTAFSLWSKVGRAEEEDIEHMFIEHMFIFGIYKNGEDIE >CDP16253 pep chromosome:AUK_PRJEB4211_v1:11:24615726:24620275:-1 gene:GSCOC_T00018017001 transcript:CDP16253 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKF1 [Source:Projected from Arabidopsis thaliana (AT1G68050) UniProtKB/TrEMBL;Acc:A0A178W8F6] MGMEKCEEEEEEFRRRGGGKRLKCTGGEPKKRGYQREEFQYEEEEEEEESGSEDGEIYVPAGEKFFYPITTPSAIVVSDALEPDFPIIYVNTVFELSTGFRADEVLGRNCRFLQFRDPRAQRRHPSVDPVVVSEIRRCLQEGIEFQGELLNFRKDGTPLVNRLRLAQIHSDDGIVTHVIGIQMFSEAKIDLNTVSYPVFKKTCQHEFDESGDTAPMSEQFQYTQHQEICRILQLTDEVLAQNILSRLTPRDVASIGSVCRRIRLLTKNEHVRKMVCQNAWGRDVTGALELMTKKLGWGRLARELTTLEAVCWRKVTVGGAVEPSRCNFSACAAGNRLVLFGGEGVNMQPMDDTFVLNLDAANPEWRRVSVKSSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKHPTWKEVFGGTPPLPRSWHSSCTLEGSKLVVSGGCTDAGVLLSDTYLLDLTTENPKWREIPTAWAPPSRLGHSLSVYGKKKILMFGGLAKSGHLRLRSGEAYTIDLDDEKPQWRQLECGAAFTGLGSQNAVIPPPRLDHVAVSMPCGRIIIFGGSIAGLHSPSQLFLLDPAEEKPSWRILNVPGEPPKFSWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQDYDQ >CDP04897 pep chromosome:AUK_PRJEB4211_v1:11:2390044:2391075:1 gene:GSCOC_T00019757001 transcript:CDP04897 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDCVDPEKQPLLDWSRRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNVLLDRNMNPKIADFGMARLFGVDQSEGNTSKIAGTYGYMAPEYVLHGLFSVKSDVFSFGVLVLEILSGKKNSQFNQAHGGDDLPSYAWRQWRDGTPLALVDPTIGDAYSRNEVIQSIHVGLLCVQDEIEQRPTMASVVLMLNSISITLPAPNPPAYFGSSRTQSSPNDLPVSDTSTGTKSPPNPSINDVSITELHPR >CDP00229 pep chromosome:AUK_PRJEB4211_v1:11:30783927:30786749:-1 gene:GSCOC_T00032110001 transcript:CDP00229 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGVFSRRRRPERMEEAGDLSATSLGSHEVHVLAVDDSLVDRKVIEKLLKTTSCKVTAVDSGRRALQFLGLDEEKSSVGFDGLKVDLIITDYCMPGMTGYELLKKIKGSSTFREIPVVIMSSENVLARIDRCLEEGAEDFIVKPVKLADVKRLKDYMFGEDGILKQKGGFGKRKLQETCVDDPLSSSPSPSPSPLSDLSSTPSPTQTSTSLPSSPVSSLLLPSADSSPTCSPVRLHSPTRRLKMSNTDC >CDP11018 pep chromosome:AUK_PRJEB4211_v1:11:7184524:7199806:-1 gene:GSCOC_T00032989001 transcript:CDP11018 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKRAKGGGSAGGEGGGGGGPKSKAQLNIGDLVLAKVKGFPAWPAKISRPEDWDRAPDPKKYFVQFYGTEEIAFVAPADIQAFTSDSKNKLAARCRGKTVKYFAQAVREISEEFERLQHQSPSGLRDDKSPLAFVTDVHSADGEIGDAIEADLKEVSGNKGINQPTEVRGLGDHGTGSQRQEEMDHRDIKSTFDDGNGGLSKRSKFCDGRADLVKKEVMSTSISSRRSLHKETSCERRVEESSSRQMSHGGGSKVSENYSPDAAEEGLTASLSSEHENYPDVADDFRNGRKSRVASGDEADKRIGFGGKQSSQNLVKSDGGKKVKKLLKDNKNFDLKDKPQTHVEESSVDEVKFSSKKQGQGKQISKSNEVSDPARRSKCDDVTDDSKVSLQSRKVEAQMKNKKMVEVEGKRSVVLGKGESQLDMRTLSSTTDSNLSGDEDVLPPPKRRRRALEAMSTASALNFETRIGRSSAVLKNDMSRRRAVRLCDDDEEEEPKTPVHEGSTKKVLANVHGPVSTKRGDVHTSFSDQFSKRGSGPPEGQSAKKLVLSGDQLVEHSSPNSQQTEEKKQGKATAFHISFSPGKLESEKVSLKESKQVSVSPRSSPLSFSAVKSVTDLQKSYKLSGKVPSNMHQRKATASDPGVTSECMNSTANQQNERCKPEISADRNKATPKSHPKTNDVPLPLGMTENRFLQGERSEDGKDDKLSSSIDQRSSDSVLSMKHLIAAAQAKKKQAHLQNFSDNPNFLLALNTDEPVRTPSPAPVAQPMGSSSMAPSDVQGFLPKSSMISPPSDIYHASSTNQHDTEEFVEGISSGHRTAGGSLSGGTEAAVSRDAFEGMIETLSRTKDSIGRATRLAIDCAKYGLANEVVELLIRKLESESSFHRKVDLFFLVDSITQCSHSHKGIAGASYIPAVQAALPRLLVAAAPPGPGARENRRQCLKVLRLWLERKILPDSLLRRYMEDIGVVSDDTSSGLSLRRPSRAERAIDDPIREMEGMLVDEYGSNATYQLSGFFSSHVFEEEEEEEETHHTAVQEAADLSPLQRTPAAGDFDNYNFTPNEKRHHILEDVDGELEMEDVSGHQKDERSPMTGDTLGTDPSNVTSVKIGESTLSIPFELPPLPEGSPPLPLDSPPATPPLPSSLPPSPLQPPMPPPMPLSPAPALAPAPAPAPPPPPLLQPHAILPSIGLPPPVLPQPSLPPQPSMASQHVNLLPSSNLASSVAAYQNGPVLPEIGGNPGVNPLTKVAGNASHGPPVDASVRNEMFAQQGPSFVPIGVGTTQEPSRYSSTRSLEYGHSNMYANSLASQPNMQFQPGNVPFTQRPLPPNPPPQGTPSHFSYPVPTIRHHSPAVQHHPPPIQNPLPPVQHPPPHSYTLQYSVPNFADGSRHFSVDEQWRMRPSDLNSDQRGVWMHGVRSCSGPAYAQDGYPMPPPEKPSVGAASFQPSVLNTYPSGTSVPGHGVNNIIPGRPDMSAFSWRPA >CDP13216 pep chromosome:AUK_PRJEB4211_v1:11:32069221:32074334:1 gene:GSCOC_T00038085001 transcript:CDP13216 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKVPLLTNTDRYCHPNDDDSLQAAFHKSNNLSFDADEDDIQPINGARDFFREFAAESKKLWYLAGPAIFTSICQYSIGALTQVFAGQVGTIELAAVSVENSVVAGFAFGMMLGMGSALETLCGQAFGAGQLNMLGVYMQRSWVILNAAALVLMLVQIFAAQILRLIGQTPDISKWAGTFAVWMIPQIFAYAFNFPIQKFLQAQSKIIVMAIIAAVAIVGHALLSWLFMLKLGWGLVGGAAMLNASWWFIVVAQLVYIFSGTCGEAWSGFSWKAFQNLWGFVKLSLASAIMLCLEFWYFTSLVLFAGYLKNAEIAVDALSICMNVLGWTIMVAIGFNAAISVRVSNELGAGHPRTAKFSVVVVTLTSLAAGILLALVLVISRKQYPALFSNSEEVQRSVYDLTPLLVACVIINSVQPTLSGVAIGAGWQAFVAYVNIICYYVFGIPVGLVLGYVLNMGVQGIWIGMLSGITVQSLVLLWMIYKTNWDKEASIAGDRIKRWGGETDPQRLDGNANG >CDP05397 pep chromosome:AUK_PRJEB4211_v1:11:19727212:19729245:-1 gene:GSCOC_T00020422001 transcript:CDP05397 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVGSLINQLSTLLSQEITLLGGLKSDVQFIKDELGSMKSFLREAEAKEDNNDSQLQEWLKQVREVAYDTEDGLDDFTFRFARGYMDGFCGKVNADFDILVSVVGMGGLGKTTLVKKVYDDAAVKKQFQSHAWITVSRNFQFSVIIKNLIQQLYNEIRQPVPPQVESMDVLTLSEFVRDFLQERRYILVLDDVWSIDAWEAIKCVLPDCNITSRVVLTTRIADVASASCLGSLDFVYKMEPLSDKESWTLFCNRTFQSNDCPPNLEEVAKKILKKCEGLPLAIVAIGVVLALKDMEKTDEWEMILHGFGGEADGSGKLDRIKRVLLLSYNDLPYYLKSCLLYLSIYPEDYPIDVDDILLKWIALGFVEEKERITSTDIAMRYMKELINRSLIQVKSSFADGRLETCGLHDFLREIIVSKSKEQDFTTVATRYYTRWPTKVRHLAIHNFTDNPQEFSRLNGDPKLLKVLDLHGAELDNIPKQVFKLFHLRYLNLSGTGVKIIPKSIGKLQNLEAIDLIGTNVTELPADILNLRKLRCLCLGGVGDYSNEYAVWGCKSPDGIGKL >CDP07992 pep chromosome:AUK_PRJEB4211_v1:11:9747362:9748539:1 gene:GSCOC_T00025560001 transcript:CDP07992 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFLGATIQFLEGKAITLASQQISLSLAFKKDLPKLKKTLTKIQAVFHDAEQKQVTLEYAKLWLKELEDVAFDADNLLDDLNYEMILRKVEIQKQVKRKVYFSFSLFNPIRFRFKMANRIRTVTMKLKRINEEAKGFGLQSQNGGLMKNRETNSLLKELLDGKKYLLVLDDVWNEQATLWNDFLGSLKGISSSKGNSILMTTRHQQVAAMTRISSPPCSLKKLPDDECWLILKENAFGAGKVPDGMQDIGYGIAKKYQGVPLAASVPGGILRNKGSVKGFQFWRLAFKI >CDP00375 pep chromosome:AUK_PRJEB4211_v1:11:29589769:29593714:1 gene:GSCOC_T00032296001 transcript:CDP00375 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLPATTAALTQASEATSPSEAISIYYQILANPSSSPEALRIKEQAISNLSDLLRQENRAEELKNLLTQLRPFFSLIPKAKTAKIVRGIVDTVAKIPGTSDLQIALCKEIVQWTRDEKRTFLRQRIEAKLAALLMENKEYSEALALLSGLIKEVRRLDDKLLLVEIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFYEAFEAFNALEDPQAIYSLKYMLLCKIMVSQADDVAGIISSPKVGLQYQGPELDAMKAVADAHSKRSLKLFETALRNFRAQLEGDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPAEHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETIENMGKVVDSLFVRSAKIMA >CDP00847 pep chromosome:AUK_PRJEB4211_v1:11:24946413:24951396:-1 gene:GSCOC_T00032954001 transcript:CDP00847 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTYFLFVAALALHFIATGSASIIVANNQNNTVDLNALLAFKAAIFDPQRTIQTNWSTSTSVCNWIGISCNARHYRVAVIDLSYMGIAGTIPPQLGNLSFLIRLNVMNNSFHGHLPTELSRLHRLKYINLASNDFEGEFPSWLGDLSALWYINFESNIFSGSLSGRLSNFTELETIGLGYNFFTGNLSEEFSALPKLRVLEIQSNQLVGPLPQALFNLSSLQIIAFTNNSLSGYLPAHICDYLPQLQGLYLSLNNFEGEIPSGVGECSELQVLSLSYNKFGGYTPNGVWNLTTLTIIELGENDLTGEIPKVIDNLYNLEKLAMDHANMTGIIPLEVGNLSKLEVLNLQSNRLRGPIPLKLFNSSTIRIIALTENDLSGELPLTIGAFLPNLEELHLWRNEFTGTILTSISNASRLRILDLGVNHFTGAIPHSLGNLRLLEHFDIWQNDFSEDSLSKELSFIISLSNCKHLRTLWIGDNPLNGFLPKSIGNFSSSLESIIASNCGIISEIPSSIGNLSNLVELGFSTNSLTGVIPTTMKWLLKLQRIDLSDNQMQGAIPSELCYLLNLGGLYLAKNKLSGVVPSCLGNVTTLRYVYLNSNNLSSMIPTSFWSLRDILELDMSGNYLTGSLPAEIGTFKALFYLNLSNNQYLGGIPSTIGALQDLQELSLEHNKLQGLIPDSMKNMLQLLHLDLSFNHLEGEIPNSLQVLSDLQYFNVSYNRLRGPIPHGGPFANFTNLSFLSNEALCGAPWLQPCTSTFEHESKTKRIVMIVLLASGSVILALVISIFLMRLKLRKKTLAATQNLLPMATFERVSFHELRQITNGFSESNLLGSGSFGSVYKGIHENGMIWAIKVFDLQLEGAFTSFDRECEVLSCLRHRNLTRVITACSSLDFKALVLEYMPNGSLEKWLHSNPHFLNIKQRLDVMIDVACGLEHLHYGYSAPIVHCDLKPSNILLDQDMIGHVCDFGIAKLLGDGESVVQTKTLATFGYIAPEYGLEGLVSTSCDVYSFGITLMETFTKRKPKDEMFIEELSLRRWIQECSPDSVILQVIDADLLHSEDKTVQRKIECISSILQLSLSCTTDAPEERINMKEVLRAL >CDP13378 pep chromosome:AUK_PRJEB4211_v1:11:33293171:33297282:-1 gene:GSCOC_T00038291001 transcript:CDP13378 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFATLSNVGSVSAPIRTLCPLKISRISIPSCCLPKRIPATVSTASHSTFLSGSSRLTSSSNSSNPLLLSKRGAFLLSEASLQSKVTHKVYLDISIGNPVGKLAGRIVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDIVRLIESQETDRGDRPRLRVVVSDCGELPVA >CDP16313 pep chromosome:AUK_PRJEB4211_v1:11:23696248:23698977:1 gene:GSCOC_T00018109001 transcript:CDP16313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14850 [Source:Projected from Arabidopsis thaliana (AT4G14850) UniProtKB/Swiss-Prot;Acc:Q0WSH6] MPFLSANALGDLLTSAVSTHSSIRLGRAIHAKIFRTLYQNQLPSFLVNHLINMYSKLDRLDSAQLVLSLTPPAFRSVVTWTSLISGSVQNGHFTSALSQFSSMRRVDNILPNDFTFPCLFKASTALNSPFLGQQFHALAEKLKLTSDVYVGCSAFDMYYKAGLNADACKMFDKMPHRNIAMWNAYICNAVVNGKPKDAIIKFIELLYVGVEGLREDGPNSITFCAVLNACADSLNLKLGKQLHGYVIRYGYEGDLAVLNGLIDFYGKCKEVMFSEMVFDGMMDRNVVSWCSMVAVYEQNYMGEKACETFVEARRGNFEPTDFLLSSVLSACAGLADLEFGRAVHGIAVKACIERDVFVGSALVDMYGKCGSIDDCEQAFYEMPDRNLITWNALIGGYAHQGRADMALELFEEMTSGSSVVMPNYVTFVCVLTACSRGGMVNKGMDIFESMRWKYGIEPGAEHYACVVDMLGRAGFVEHAYEYIKSMPIRPTISVWGALLGACKVYKKPELGTIAAENLFELDPMDSGNHVILSNMFAAAGRWEEANHVREEMKDVGIKKGVGYSWMSIKNSLHVFQAKDTSHERNFEIQAMLDKLKKDMKAAGYVPDTEVSLYDLEEEEKESEVWSHSEKIALAFALIALPPGVPIRITKNLRVCVDCHSAMKFFSGILGREIIVRDNSRFHCFKDNQCSCSDYW >CDP05467 pep chromosome:AUK_PRJEB4211_v1:11:21150884:21153402:1 gene:GSCOC_T00020529001 transcript:CDP05467 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGLNMRNWGYYEPSSLKGNLALQLMSSVADRDTKPFLSGRDSGVMGAANGVYHPRDYLISGAPDHMDYVRDSWINHRDKFVHMFPGNPYNTVLPETSGTHQMQMLQQPEPSKDARVSVEDVGVRKEPGPAKKRAAVSVPKTPKSKKPKKNPAVPKENGSSSGQRSKAVKKSMDVVINGIDLDISGIPIPVCSCTGTPQQCYRWGCGGWQSACCTTTISMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFANPIDLRSHWAKHGTNKFVTIR >CDP04876 pep chromosome:AUK_PRJEB4211_v1:11:3044166:3044840:-1 gene:GSCOC_T00019669001 transcript:CDP04876 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLVNLNFLIKNFLLDEHGHHEHESYFGDQDTESLVQTMETLVASVSLESKRLALEDKSDKTKDPKRHAPSAGGCRVEGFVRVKKVGITPI >CDP00286 pep chromosome:AUK_PRJEB4211_v1:11:30307835:30314375:-1 gene:GSCOC_T00032176001 transcript:CDP00286 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGGGDGEKVESWDVGKSEGKKKKKERGGSVETGCWRKLRFIGSCISSRSKVDSSISGISTHYESKSANDTSRDQPAAAIISSTATSTAESNSSTSKLEEELKVASRLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVSFLGDLIHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGAQKAAQLAARCLSRDPKARPLMSEVVEALRPLPALKDMASSSYYFQTMQTDRVGSSPNTRNGIRSQVASFTRNGQHPRSLSIPNGSHASPYHHQFPQNSPKPNGKQ >CDP00663 pep chromosome:AUK_PRJEB4211_v1:11:27125703:27128601:-1 gene:GSCOC_T00032684001 transcript:CDP00663 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSIPILVIITSLHLIAFVLAIGAERRRSTANVMPDKYDETTYCVYGTDASTVYGLSAFGLLLISQTVLNGVTKCLCFGKGMMGGRSTTCAVFFFIFSWVSFLGAEACLLAGSARNAYHTKYRGIFGGNDLSCATLRKGVFAAGAALTLLSMIGSIFYYWSHAKADTGGWEKQNTEGLGMTTSHYMENQQELKV >CDP00478 pep chromosome:AUK_PRJEB4211_v1:11:28835935:28840588:-1 gene:GSCOC_T00032429001 transcript:CDP00478 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases [Source:Projected from Arabidopsis thaliana (AT2G03800) TAIR;Acc:AT2G03800] MVTLVVATTLDPASINPAKALLTMPGWHHPGPLFDGIRSFINGDKLRLLEHDKSIIAEDDLDQRWENATGEIVDEIIFLSKHTAVSNLPALTIHPIGIPHLKEGDEAPQGGRPGWAAPPSPRMGQWLILLKKIAQSHNLIPEFEITLEATHHGPVTSKPTMFIEIGSTEDYWKRVDAAQVIALLVWEGLGFGEEASIGNWSSKSERKKVLLGIGGGHYVPRHMDIILKDGAWVGHLLSGYSLPMEDPGQSKGKPRAEDIGGTWKQAIKVAFDATKAAFPGGEILAHLDQKSFKSWQKNAIIEFLGQQNIKVGKPADFH >CDP13310 pep chromosome:AUK_PRJEB4211_v1:11:32693461:32701471:1 gene:GSCOC_T00038201001 transcript:CDP13310 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSDGSHISATPPRDSPPPSPPPASARPALLLSSAKSRTKFKAAAISKSTSRPILKPKPSSKCSKSAINPDPKPPLEGNPTKISLPPPDLSSLPFHHIHRSGSINGSSSFSSNCNHSVPTLLPVGRLISKFASFSKTRKENLKFEPLESNPTEPLLTTPKPKADEGNFVKLNINGYGRKKFKFKGKHRNLGSSSLGRQRFSRRRKRKFGVENKGEGEVGGLCDEDDHVLEIKRVQKISSFDIGTIEEAVMRVRDEASDENLLRLLKLSHGYDSFRNGQLEAIKMVLSKKSTMLILPTGSGKSLCYQVPALVLPGVTLVVSPLVALMIDQLKHLPPTIPGALLCSSQTPEEASEALKLLKNQTIKVLFVSPERLLNVEFTSIFSGISLISLVVIDEAHCISEWSHNFRPSYMRLRGSMLRAKLHADCVLAMTATATSKTLHNVMHALEIPATNLIQAVKMRENLQLSLMKDLMTLLKSSPYVEIKSIIIYCKFQSEADLISRYLCDNNISAKSYHSSIPAEDRRRIQEIFCLNKIRVVVATVAFGMGLDKRDIGAVIHYSLPESLEEYVQEIGRAGRDGRLSYCHLFFDEATYFKMRSLMYSDGVDEYAVKKFLCQIFSNTNSSGKICSIIKESASRKFDIKEEVMLTILTQLELDDLQYLNLLPQIKVTCTLNFHQTSPSLLANKDIVIAAILKKSELKDGQYVFDIPSTANSIRWQATDLSNHLQSLKLKGEITYELKDQAFCYRIVDVPNDVCSLAATITKWLSDVEIYKVRKLDAMFNAAVFAVKQCNKVDGCNDHQHTPCLQRKIFEYFNSNDDDIPNIMAESSRFLRADIKVFLQSHSQAKFTPRAVARIMHGLASPAFPSATWSRTHFWGRYTQVDFKVVMEAARAELMKFVSPSVV >CDP19000 pep chromosome:AUK_PRJEB4211_v1:11:31486561:31493632:-1 gene:GSCOC_T00001051001 transcript:CDP19000 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM2 [Source:Projected from Arabidopsis thaliana (AT1G44900) UniProtKB/Swiss-Prot;Acc:Q9LPD9] MSREGESGGGSGGMPGRSDESSSRSDQGNINPTPSTPESPTSAGFNTDQLPPNTSQNYSEEEDDDEASVDPEIIRDEEENEAEEEEEGEDLFNDNYLDDYRRMNEQDQYESLGLDDSMEDERDLDQIMADRRAAEIELAARDTRFRASSQQRKLPQLLHDQDTDDDNYRPSKRTRADFRPPTAPRSFEDTDAMQSSPGRSQPANSRGDVPMTDPTDDDPFEDDDNEDGEFEMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKSDSGDFEYLRQINEMVSVNKCSLEIDYKQFIYIHPNIAIWLADAPHSVLEVMEEVANKVVFDLHPNYKQIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCNKCGAILGPFFQNSYSEVKVGSCPECQSKGPFTVNIEQTIYRNYQKLTLQESPGIVPAGRLPRCKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVIEANYVTKKQDLFSAYKLTQEDKEEIEKLAKDPRIGERIIKSIAPSIYGHEDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVVAAANPIGGRYDSSRTFSQNVELTDPIISRFDILCVVKDVVDPVTDEMLAKFVVDSHFKSQPKGATLDDRSVLNSNDDAQASVLPADPEIISQDLLKKYITYAKLNVFPRLHDADLDKLTQVYAELRRESSHGQGVPIAVRHIESMIRMSEAHARMHLRQHVTQEDVDMAIRVLLDSFISTQKFGVQKALQKSFKKYMTFKKDFNAIILHLLRGLVKGALDLELSSGSTANLPHIDVKVEELQTKALEYGIADLKPFFSSAEFSLANFELDERRCVIRHRVAG >CDP00363 pep chromosome:AUK_PRJEB4211_v1:11:29748526:29749633:-1 gene:GSCOC_T00032282001 transcript:CDP00363 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGHYLISAEASFSHILTRENAKVQLDYILNVTKGSCNYAGWIEKNSQESLAFILNLNELVGYTIIIAVCFCFSFVYKINIVYASPL >CDP13318 pep chromosome:AUK_PRJEB4211_v1:11:32742753:32751094:-1 gene:GSCOC_T00038213001 transcript:CDP13318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MKLVPREMDKLMLHNAGQLAQKRLARGQRLNYTEAVALIAAQILELVRDGEKSVAELMDLGRQFLGRRQVLPAVPHLLNTVQVEGTFPDGTKLITIHDPIVSENGNLELALYGSFLPVPSLDKFPPVEAGKIPGEIIFGGGSIILNPGRRAVKLQVTNTGDRPIQVGSHYHFIEANPYLAFDRMKAYAMRLNIPAGTATRFEPGDTKTVTLVRIEGKQVIRGGNGIADGPVHNANMTPLMDAIQERACKHLEEPNSSEGVIEEGSPFSYELSHETYANMYGPTIGDKIRLGDTDLFAEIERDFSVYGDECVFGGGKVLRDGMGQACGYAAIDCLDTVITNAVIIDYSGIIKADIGIKDGFIASLGKAGNPDIMHGVYPEMIIGVNTEVIAGEGFIVTAGAIDCHVHFICPQLAFEAISSGITTLVGGGTGPADGTRATTCTPAASHMKLMLQSTDDLPLNFGFTGKGNSAKADGLHEIIKAGAMGLKLHEDWGTTPAAIDNCLTVAEQHDIQVNIHTDTLNESGFVEHSIAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTVDEHLDMLMVCHHLDKDIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIAEVISRTWQTAHKMKLLRGSLEGNKSNNDNLRIKRYIAKYTINPAVANGFSEHVGSVEVGKFADLVVWKPSFFGAKPEMVIKGGTIAWSNMGDPNASIPTPEPVMMRPMFGAFGKAGSDNSIAFVSKAALDCGVKDQYGLKKRVKAVSGVRRLTKLDMKLNDALPDIKVDPETYIVTADGVNLTCPPAKTVPLSRNYFLF >CDP05525 pep chromosome:AUK_PRJEB4211_v1:11:22373172:22374152:-1 gene:GSCOC_T00020627001 transcript:CDP05525 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPTINLESEEDSEVSSQVASNISTQEVSPGPSKESITSSPSLTHSLDLERDPRPVTLDLTLGFNSSDTDSKGVGENNGEVFPHPPTPGVSRVFSCNYCRRKFYSSQALGGHQNAHKRERTLAKRAMRMNMLSDRYASLASLPLHGSAFRSLGIEAHASVHQPIMSSDRPLHAIRGGAKFEQGYFGMPVYMEDDEVEMYWPGSFRQVEGVASNLALNSGQSSSINFVAVAPPPRTDSSTPDLNLKL >CDP00415 pep chromosome:AUK_PRJEB4211_v1:11:29312063:29315667:1 gene:GSCOC_T00032349001 transcript:CDP00415 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKRPFDEEDFQVSSVKQAKQLEFDNKQTSFSKAFSSDDVSQNSGSRGDFDKCQLFKELGNEDSRSASSSAEKELETSAPLSWVTSSSGEEDAGSGEPFYVSLFPEYFEFNFPRRTVVHLEDSYSSLINSSPRKQIPIGPNHQAEIPPWDPQAVETDPLTPNNCVRDDNEEAVGTCIISASLSSYSSRDEVKIGQGRKDCVCLDRGSVRCVRQHIKEAREKLREVIGDEKFLELGFYDMGEEVAAKWTEEEERVFHEVVYYNPVSLGKNFWKQLAVAFPSRTSRDLVSFYFNVFMLRRRAVQNRSNLLEIDSDDDESQGNDGGFFRVVGEDEDSVVESLGDQDVQEGSEDDIPSDDEDDAGDGDDDDDDDSDDGNGDSEVGRDGGVGAERGDAIGMGDLRGQILKPQIDILHNDFRSDHLPGMFHLRDARENHNFQEDCILAKGFDLLPTCNIIEEIFGPCTSKSN >CDP11023 pep chromosome:AUK_PRJEB4211_v1:11:6937444:6943768:1 gene:GSCOC_T00033000001 transcript:CDP11023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 29 [Source:Projected from Arabidopsis thaliana (AT4G30810) UniProtKB/Swiss-Prot;Acc:Q949Q7] MHLTTKKTIAKMTNLERLFAFCILLLITCSKHHLIISSCLASSDIPADKIIEQKLDQVLKLPGQNFNLSFAHYSGYITVNASAGKAFFYWFVESAEDPSSKPLALWLNGGPACSSIAFGEAEEIGPFRPTADGKSVYLNPYAWNQVANIIFVESPAGTGFSYSNTSSDLYNTGDRSTGKDNLAFILGWLERFPQYKGRDFYLLGESYGGHFVPQLSTLIIRHNKAAAKKINFKGILIGNVLIDDYHDQLGIFDFLWTVGLISDTALKLLKENCAHEPYLNASSECGNAHNFAANEIGRIDYYSVYTPKCTSSSSTTSNLLSKRWPTLLRSQGYDPCTEAHSIIYFNLPEVQQALHVRGSPVKWETCGLTVHYAWKDSARSLLNTIKELTTSGIRIWIYSGNTDAVIPITSTRYSLRALNLPTVGSYLPWYEDGQVGGWTQQYEGLTFVAVNAAGHEVPLHKPKQALTIFKAFLAGTPLATSKQFSDH >CDP00393 pep chromosome:AUK_PRJEB4211_v1:11:29447265:29451318:-1 gene:GSCOC_T00032320001 transcript:CDP00393 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVHCKSYLPGYYSMRDLNDDSNSSSWPLFYGDKTLTSAQYYNGYIPRTITDAYLGYDKDQLKQKMLEHEAVFKKQVYELHRLYRIQRDMMDEFRRKELNKRQMSMEPSSSSSLLGSQKPSEDAGKWHIASFPLANSGYPRPSVSGAEIVNSPLSCTKGNTVPAGRVNGCASNDCEVLEARPSKVRKKLFDLQLPADEYIDTEDGEQLNDGKIIEVSSSLPNGNHRKAPENDDKFFPNGGVGEKLGYQSNASASDSCTRGTVRLADLNEPVQLEDIAVASSVEFLGKSANHGESRGLNLSAKPSSGIHGLQKEIMNNAYSGNHNVTMTSVGSRGDERDWLSGVYESGDGCYSVAGQRKNNSIPQGFQKDKLPIPSQPAQLRVNNTCQPVGILPIDCEREERWKERATCGLENLDRVHNNSDYSHLESVMTSQMPNPYSFGNSSDFASSWSHSALSWGKPTSSFPQKLNSFHLYPSIQASSILSKSSQSSAQSHGGLVGKWHNNERSCLTPGMRSDVPTINGFYHGSSSGSKEVLARYPSVNISHLDCNKSDNMASICCLDHGPGELSNGCTKPAKDMDLNAVQTKCSSNEDVVIINDKSKPGDHLAALPWLRAKPSGKSETANVRRDSNSESFSFFQSSPSILFCEGEPLKDLNEMLTQKERANSSDCEVGIKKESRETQGIKKILGVPIFEKPSNFKNESSSSLSTSVSLPRTLSGEKVEMEVKNRLIDINLAFDEQLASEDLAVEKVMDTKVARVRNVIDLNSCVTEDEELLAPAGVSNSESVKIAVEIDLEAPVLETEDDVLAGEDDKRCEASLQPPEPEVEEANDEVIRIAAEAIVSLSSSNHLLPIEENTCQPTEDPVAECLLWFADAVSSCADKHNGPVSKEIRGTDSLVIGNYSSDEMDDFEVMTLQLQETKEEDYMPKPFVPEVQKIEESGPNSVPNRSRKGQTRRGRQRRDFQRDILPGLASLSRHEVTEDLQTFGGLMRATGHPWSSGLTRRNAVSTVVATATCTPLMQQLNNIEAGLEDRSLTGWGKTTRRPRRQRCPAGNPTPATLT >CDP05475 pep chromosome:AUK_PRJEB4211_v1:11:21255964:21261234:-1 gene:GSCOC_T00020541001 transcript:CDP05475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-J18 [Source:Projected from Arabidopsis thaliana (AT2G01905) UniProtKB/Swiss-Prot;Acc:Q9C5X2] MKNSSRELCSCTRPRVLEFLIHCAQELDVSPMVKYSALTLFAERFYPSLSRFQDDRIKENWLLHPMTESNLQLFALTSLWISSKMHDSPPLSVKCLKSLGDKFIKDQHFTARDLLEANSAGDGVNAGIGVQDWHIEHCFYTSGRTSHSIERSCSNWRICKAWRLLRYYGYTLREGGDNGSLQFSLFSCCICCCLCDHGSQTKVGVSYTSLGEVCDFVRRRGHLKFC >CDP18982 pep chromosome:AUK_PRJEB4211_v1:11:31619591:31627109:-1 gene:GSCOC_T00001026001 transcript:CDP18982 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEIMGSQGLSPSSFFSEDLHPDERQIGFWKTDTMPNCYASKIDGTLHTVGVASSPLQKRIQLDSQISNCFDLPDSFLHQDQRAATSIEKHAIGAAGATSRSLPRNFDHDLVTRPNFSVEPASYFMEGEKLNLVGAQYENGLFSSSLSELFSRKLRLSSNNPPYGHSVGAAASQYEEEETFESLEELEAHTIGNLLPDDDDLLSGVTDGVDNITQPNNGDDIEDLDVFSSIGGLELGEDGFPSGQNNSDISGENANGQLVGLGVGEHPFGEHPSRTLFVRNINSNVEDCELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMKALQNKPLRRRKLDIHFSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELRQIFGVYGEIKEIRETPHRSHHKFIEFYDVRAAEAALRALNRSDIAGKRIKLEPSRPGGARRLTQPFTSELEQEESSLYLQQTSPRSTASTGFSGPFAHGGIPSSMDNGTIFGSQSASGATVSPFFDNAFHHVVSSAPNSLPSLTRAGSSGNHTRDGESSHSQGEMKLELRSVPNYHPHSLPDFHDGLGNGISCNSPGHMSSNISARPSEMIENTQFCRFGSKGHPVELNDGVFGHSGNGSCPPPGRHYMWSNTHHPQPQGMMWQNSPSFVNGVCTPPHAQQLHAVPRAPNQMLNAVLPQSNHHVGSAPSVNPSLWDRRHAFAGESPDASVFHPGSLGNIRISGNAPHPLEFVPRNIFSGAGGGCVELAVPSKSVGFNSLPQRCMMFPTRGQMIPMINSFDSPNERSRNRRNESNSSQADNKKQFELDIDRIMRGEDKRTTLMIKNIPNKYTSKMLLAAIDERHRGTYDFIYLPIDFKASAIP >CDP00539 pep chromosome:AUK_PRJEB4211_v1:11:28340561:28341490:-1 gene:GSCOC_T00032505001 transcript:CDP00539 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPWLFLSASFAVFLALANAQAPAASPSNVPTTTPPPSNPPAATTQPPVTSAAPSNPPTTSPSPKLPPASSPVTPPPQSPPPQTPPPQSPPPQPPQSSPVSSPTQPPASPPPAPIVSPPALPPAVPPPQVPPPPVQRPPAPAPVIATPAPAPAVPAPVPPPKAPPTPAPAPPIKPPAPAPELVPSPAPARHKHKRKRKHRRHHAPAPAPTPPSPPAPPTVEGSAVTTPAPSPTLDLNGAASFLRLQGRSSMWSGVGLAITALLVIIN >CDP05428 pep chromosome:AUK_PRJEB4211_v1:11:20219177:20236590:-1 gene:GSCOC_T00020470001 transcript:CDP05428 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFLLFFSHCINLFVLAWFNNLCLQFSLKLHHFCSCVKVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEASPVLGDDALNDLIARSESEIDIFESVDKKRREEEMGAWRKLFIESGAEDRECLPPLPSRLLTDDDLKLFYEAMKISEAPPQVVASNSGMKRKSDYLGGLDTRQYGRGKRAREVGVQAAYWGMLDEGRITPITANLLMQSVDEALDLVSHDTLCDWKGLKSYVNIPNYYKFLQTSIVPQKIITYFTVERLESSCSISAAFLRAHRIARQQLHDFIGDSEIASLVIRESEEEGEEAKRFLEEVRFSFPQVLRVVKTRQVTYSVLNHLISYVHNLEKIGLLEEKEMVHLHDAVQTDLKRLLRNPPLVKIPKIHDLINANPLLGALPPAVRETFVGSTKEIMKLGGTTLYREGSRPSSVWLISNGVVKWTSKSIGNKHCLHPTFTHGSTLGLYEVLAGKPCICDIVTDSVVLCVFIEAEKILAALKSDPAVEDFFWQESVIILSKLLLPQIFEKTTMQDLRALVAQKSIMSIYIRGETFEIPHHSVGFLLEGFIKAQGFQGELLTSPAALCPYGDQSFRRSERSERGAGADSFSHQATWYQVETRARVILFDIAGFEANRTLQRRSSSLISHSVDHPSGSLTGEHGGLMSWPEEFYKIRQHQNDPEKANEKKNNLSARAIQLSIFGSIINNAPGNAQRFSGSGLKPSYSHSYPRVPSNYVRPLFSARSEGSSTIGKKLAVSERDPHVEDSNSKGKQGRDDSSDESGAEDELIVSIDSPSRLSFQHV >CDP05503 pep chromosome:AUK_PRJEB4211_v1:11:21884660:21885677:1 gene:GSCOC_T00020582001 transcript:CDP05503 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAIDHEGNTIIHLAARLESPPSTPPGVLQQMMWEVFWFKRVQYDCYPYLWQLQNSDGETAKQVFETNHASLRESAEKTVKELANAVLIVSTLIGTINFAAIFTVPGGFDQTTGEAIFLKNRHWEFSLLMFYLAGGLCFSGLTMGTLSVIIFMRFETEDFYVSLPCYIVMNMISIFYSAVCTVVAACQALIVQKVVITDFRPLVLFFFVYGLTAVMVMETFYVMFDYVYHLIRYCLCYRGLES >CDP00824 pep chromosome:AUK_PRJEB4211_v1:11:25369324:25371541:-1 gene:GSCOC_T00032921001 transcript:CDP00824 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSVSEEYKKSPPPSAPPAPPEPPPPPYSQPPVTGIPVIPPANYHYVDHYQPRPQFPIPTDPSSGSGNWSTGLCDCCSDCSTCCLTCWLPCVTFGQVAEIVDKGSTPCVVSAGLYGLLCYFSGCGCIYSGCYRNRMRRQYMLPESPCPDFLVHCCCECCALCQEHRHLKNQGFDMSLGWRENVERQNGGRTMSSPVIPQGMNRQLIGR >CDP00467 pep chromosome:AUK_PRJEB4211_v1:11:28908197:28909194:-1 gene:GSCOC_T00032416001 transcript:CDP00467 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEMMNNLQVKLKQLFLLSEKFGLVLLGRLEEVFPPQHWLRVNAPFLVAAGLVVLFVYFRGGGGTKPSNWKMMKAPGRDSRIRRDAFQDNPKGYFLDLRASKRKNTSRD >CDP00809 pep chromosome:AUK_PRJEB4211_v1:11:25589026:25591314:-1 gene:GSCOC_T00032902001 transcript:CDP00809 gene_biotype:protein_coding transcript_biotype:protein_coding MSITIPGFKTSQQRLVDFRLHEKIVYSLLQAYPRSLLHQVAKGSIFLVTQVIRFSIMFTFNGLFMNHKLNISDSCCFSLSCPPFL >CDP00833 pep chromosome:AUK_PRJEB4211_v1:11:25215854:25219325:1 gene:GSCOC_T00032934001 transcript:CDP00833 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQNQNPSAGSKLVFFGNGDGGYSVKELMHSASKVLWKGTFGTSFKTELKGGNAVMVKRLKGSCSSETEFRERVLEIGILLHENLLPLRAYCCYQNENFLVYDCMRMGSLAKHLHGKTSPKDSPLTWQVRCKIAFGVARAIHYLHTTGSNICHGNIRSSNVLLTDTLDARLSEFGIVRLISPEHKPDLINGYRAPEVRNAHEVSQKSDVYSFGVLLLELLTGIKPVGTISPTTGVYLAEWVRTMIREKPILEVFDNELLLEYQADFEGQMVKLLELAICCTFEYPDRRPLMSAVLKRMRETCSLSSED >CDP18557 pep chromosome:AUK_PRJEB4211_v1:11:1655080:1655369:1 gene:GSCOC_T00004217001 transcript:CDP18557 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFFFHFWDTRVEERVGRQERELHAIRLDNEAAWAKEDLLRE >CDP00238 pep chromosome:AUK_PRJEB4211_v1:11:30695335:30699894:-1 gene:GSCOC_T00032120001 transcript:CDP00238 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSRQRSDLKNRVITCLNKLSDRDTLPQASNELESIAKTLSNDGFAPFLTCLSATDSSDKSPVRRQCVRILGILSAAHGDALSPYLSKMLSSLLRRLRDPDTSVRSACVDAVSSIASHITRPPFSLISKPLIDCISHEQDYNAQIGASLCFAAAIEAAPEPDPAELKKLLPRLLKLVKNDCFKAKSALLSLVGSIARVGGASSKNVVNSLVSTMVEFLSSDDWSARKAAAEALGMLAVAERDFLSDFKSTCVAALESRRFDKVKVVRETMNRAMELWKGVPGVSDEVSDEAESKSSVKDFGSDDFGYVTPHMKKAAPRIRSPTSNTSSTTNNQKKTSLKSDFGKPKAARSCQADFKRFSECRIEVAVPENPIEQMNQNLEDSDSEDGESCLNRNFEAKCLPFNRNSDERSRIGSSKFGARVVPLYGNADCDPTALDINATDVAGSQKEFENLSLIQKQLRQIENQQSSLMNLLQSFIGHSQNGMNSLERRVNGLEKALDVMCSDLAISAGQITDTDATGNTCCMLPGAEFLSPKFWRKSDGQNQNFSSKVSFSNRCQSLNYMPNKYVNSESSKLESPTEKHQIGSVSAVDFMGDAQAGSRETPDSHSKRRINRLLKDADAVKSFYSGGLDRASHATCVQKQT >CDP16275 pep chromosome:AUK_PRJEB4211_v1:11:24118095:24121202:1 gene:GSCOC_T00018050001 transcript:CDP16275 gene_biotype:protein_coding transcript_biotype:protein_coding MIYMACEITTKAQPKSYCPKPFFCYIWRIGCEKMVKYSREPDNPTKSCKARGSDLRVHFKNTRETAHAIRKLPLAKAKRYLEDVLAHKQAIPFTRFCGGVGRTAQAKNRHSNGQGRWPVKSASFILDLLKNAESNAEVKGLDVDSLFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEESVKKEPESQLATSKSRKA >CDP11642 pep chromosome:AUK_PRJEB4211_v1:11:16463576:16472379:-1 gene:GSCOC_T00034037001 transcript:CDP11642 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSTSSGCSASHHFAPVLEEVEQRFEKLEKQLGKRKFVNYTFKTLKDYIQTFLVDFRFLIMFKDMVDKLSSRLWIELKSSLHKVESAINEIGKELLFAHHTNEVSKLVQLFSGLIEKIEVLKPLIEKSCKYLLEVEDQGCCWVKNDHWDGYALKCIQGNLQTLAEKADFQLDHHVQGQIKCLLQKLNSLTIFLVTESMHQTRESDLNALGIHAGAVTVRAANLSCLCWLSVRSSELDPSTAQGITGAFSRLQHKLDPATSPELLDMILRLLEAKKSHSNRQSTIERFFVCLLDMQHNNVLRSEMVGLVRFFIEVREEHGKETEQLLPDVAAVVRQARSVEYSSSEGGVPDLVYSKLLPMIYLLTEELFSIRQLSYSRPGIKRNDDDDEYARYLWDTVEARVKLLQSHLEFLRVKLADRPQKNMQHWRLVVMLFEPVVEQLLSLKDSLNARRITNGEARNVLFKVLLRILVFKAEAYSMDLLNGDESLLVKHQIGALKSLVASMTNMPRKLIFMNLEKVHKDEILILTDIESVVREVVYLCHSFNGTVFTGGMMKECTHWLCDLTQKIKEVVERIDVPFPKIEFPKTNGLGFIGFLLIKLKGQSMRYGIGPLNCVKQQIDDILQNLTFLRSSIMKQDAKYQECKDAAYMVEFIIDSILLGNGSRWHNFSWLYRVSENIRHIRILQETECQEKTCNLEVTNAAQAVVRQISQTSTFEVNEDVVVLNDQQQMIVDRLTRGSSQREIVSIVGMPGIGKTTLANQVYYDPKVVYYFHIRAWCCVSQAYAKRDLLLGILQQIIELTDSILTMPNEDLEFMLYKQLKGKRYLITMDDLWNIGAWDDLKSSFPDDGNGSRIMITSRLEDMVLNFSLESNLLNLRPLSDGESWELLKMKIFPKEICPEELLQVGKEIARSCKGLPLSLVAIAGLLQKTDMKSDSWKKIAERSNAIIVNDPQTRCMDILELSYEHLPDYLKPCFLFFAMFQEDKEIPVRRLIWLWMAEGFIERKDSKSIEDLALDYLRDLVGRSLITVSKRRSNGGVKACRIHDMVRNVCLSKAKEEKFLGLVTGDDEPYSFFYDSDDFDDFDPSNSITYKEHRLCISVSRQQFVNSRPSGPYVRSLQFFATTDAYPRCPYNVSFISKNFKLLKVLDLEAINMGSSFADGIDSLIQLIFLAVGGDIDSIPSSLANLRNLETLLVKGLKGKKVLLPESIWRMTSLRHVHVKNNASFTLQPKVTGIGFQLKNLVSLSVPSLIFGEDADEMIRMLPNLRELSCIFSKSRGSRDYYQFPRLEKLTQLESLKIVYRGRTIKTSPFNFPLGLKKLTLINFFLRWDHISTIGSLENLEILKLLSSTFEDTRWEMKEGEFHKLKYLKLDSPNIVNWVASCDDLPNLQQLVLQKCENLKEVPIDFVRIPTLQLIEVQRCGDSVEEAIRRLQQEQFEYGIEDLKVLINH >CDP00593 pep chromosome:AUK_PRJEB4211_v1:11:27797043:27799889:-1 gene:GSCOC_T00032582001 transcript:CDP00593 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFNIFFLSYKSKSIIKYHQKYPIITSNSTNFFSPFSSPSSSSFTVKLIMFSSACSNGEFFPPPPSAPQQPPTAVSMAQISSGHDDADPNGPNPAPATKPIKASGQDQKNLREALDPWSTGLCHCWSDPNTCCLTCWCPCITFGRIADILDRGSTSCGVSGGLYMLILFMTGCPCLYSCFYRSKLRGQYFLEEKPCCDCCVHCCYEPCALCQEYRELKNLGFDMSIGWHGNMERKKRCASLPPSMTVDMKR >CDP13220 pep chromosome:AUK_PRJEB4211_v1:11:32089890:32097971:1 gene:GSCOC_T00038089001 transcript:CDP13220 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 26, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70610) UniProtKB/Swiss-Prot;Acc:Q8RY46] MALPLVFCHPQTSSSFIISSSQRRTQVLLMRNTNHDPLPIARTNLQLTPSKSNGRFISISPPKSSASVNGYSVNSTISNPEDVDFPTTEGSEKLSQNPRTVIEFIKDILPGGSWWRLSSSDHVEVVLTAKPVTVVRALRRMWDLISEDRWVIFTAFSSLMLTALSQISIPHYLTASIFSAQSNNVSVFSWNVKLLILLCITSGICSGIRGCCFGIANMILVKRMRERLYSTLLLQDISFFDYETVGDLTSRLGSDCQQVSRVIGYDLNLVSRNLLQGTGALIYLLILSWPLGLCTFAICAMLSTIMLLYGQYQKKAAKLIQDYGASANEVAQETLSLMRTVRVYGTEQQELGRYNNWLEKLADISLRQSAAYGLWNFSFNTLYHSTQVIAVLIGGMFILTGRITAEQLTKFILYSEWLIYSTWSVGDSLSSLMQSIGASEKVFQLMDLPPSNQFISTAGSKLQRLVGHIEFLNVTFSYPSRALVPVLQHINFQVHPNEVVAIVGLSGSGKSTLVNLLLRLYEPTGGQIMIDGHPIKDLNTKWLRERIGYVGQEPRLLRTDISSNIRYGCPRVVNQKDVERAAKQASAHEFISSLPNGYQTLVDDELLSGGQKQRIAIARAVLRDPDILILDEATSALDAESEHNIKGVLRAVRSDLKTKRTVIVIAHRLSTIRVADKIVVMEGGKIVQLGSHSELLLKDGLYSRLTRRQADAVVPS >CDP16975 pep chromosome:AUK_PRJEB4211_v1:11:17735533:17737332:-1 gene:GSCOC_T00004804001 transcript:CDP16975 gene_biotype:protein_coding transcript_biotype:protein_coding MQILMWVLKLFLIVSLQPPILAQAQKDVQATAAAEAAAPACFEGDRVALLGFKARIFRDTTDILSSWTGKDCCGGGWEGVECDPATGRVIRLILQRPVDQDLSNFMKGILSPTLGNLYFLEVMVISGMKRIAGPIPQTFSNLTRLTQLILEDNFLQGDIPSNLGHLPLLQTLSLSGNRLTGQIPPALGNLRNLQQLSLARNSLTGPFPISFKNLISLQSIDLSYNLLSGNIPDFMGQFRNLTYLVLTSNQLSGQIPISLCSLIKLSELSLGHNRLVGKIPPQIGNLKSLAILSLVSNQLIGQIPESIAQMQNLWNLNLSRNLFSDPLPNTLPSGLPSLLSLDLSYNNFDLGTVPQWIRTRELSSIYLAGCKLRGTLPNFTTPDSLSSLDLSDNYFTEGISNFFTRMTTLEQAKLSNNQLKSDVSAIKLPDGLSSIDLHSNQLYGSLSSILSNKTSKFLEAVDISNNQISGTIPEFSNSLNLKVLNIASNKLTGYIPNSISNLAKLERLDISRNQIGGTIPTSLGLLLKLQWLDLSINTLSGKIPDSLLQIQALRHASFRANRLCGEIPQGRPFNIFPPVTYAHNLCLCGRPLPPCKGKK >CDP00606 pep chromosome:AUK_PRJEB4211_v1:11:27732203:27737849:1 gene:GSCOC_T00032598001 transcript:CDP00606 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHRTGSQTTTLAASSVYERWEEMYVSSDKGKREVHYFLKRRNGDGGLDLAVVGKEKTLRHMSYHYAFKDREQRFLLPKLRSRREVIDWLNSVVNPELQPHQSVKSVGTYMASREGNNQDSHVVKNLKQCSKEVMWLGSPWTCRKRRRHYQSFCRNGVEIAVHDFVYVLAEEDERLVAHLDDIYEDTKGNKMVVVRWFHKIDEVGIVLPQSYRDREIFFSLCLQDLSIECIDGLATVLSPQHYEQFLNGAKHTQLEPFVCHRQFDNDEIKAFDVTQLKGYWKQDLLRYTCPVDDGPEVERNPSDAAANRPKKRLRWSEECDTNFQSASQKVDVNATLQSCNGSLTSSKVVMGLCRLKELSAASFGNIKLEKKNSQHLSIGSQVEVLSQDSGIRGCWFRAFIVKKHKEKVKVRYQDIMDATDEAQNLEEWILASRLAAPDELGVRICGRSIVRPVPWTNKGKVSWVFNVGTLVDVWRHDGWWEGIVVKKESEDRLHVYFPGERQELIFGCGDLRHSQEWLEDGWKQLKERSDLVSVLCGPEIKQDIANCGNVKPEKAVLCYDRDVVDNSVVDMGEEKLNELKVIRDLSKDDLLSQLRWKSSGRRRRSRSPDSHKQTYRKYFGLPLKVDPDNCKYRGDSSFGSSIVSPLSNLVMTR >CDP05457 pep chromosome:AUK_PRJEB4211_v1:11:20967143:20971114:1 gene:GSCOC_T00020513001 transcript:CDP05457 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPISIFIFLLLCHRISPVRSDASNHRYQPGEPVPLYANKIGPFHNPSETYRYFDLPFCIPDHIKEKKEALGEVLNGDRLVSGPYSLDFLVDKESDLLCKKKLTKEEVSQFRKAVEKDYYFQMYYDDLPIWGLIGRVDREGRAEKDYRYYLYEHVHFDIQYNKDRVIDITARMDLHSVLDLTEDKEVDAEFTYSVKWNETDIPFEKRMDKYAQNSSLPHHLEIHWFSIVNSCVTVLLLTGFLATILMRILKNDFIKYTHDEESADDQDETGWKYIRGDVFRFPKYKSLFAAALGCGTQLFTLAVFIFLLGLVGVFYPYNRGALFTALLLMYALTSGIAGYTSTSFYRQLEGSNWVCAARFSLPFPFSNDALPVRNLLLTGSLFGGPLFLTFCFLNSTAIAYSATAALPFGTIIVIILIWTLVTSPLLVLGGIAGKNSGTEFQAPCRTTKYPREIPELPWYRYTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILLIVTAFVTVALTYFQLAAEDHNWWWRSFLCGGSTGIFIYSYCLYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGAVGFRAALVFVRHIYRSIKCE >CDP00215 pep chromosome:AUK_PRJEB4211_v1:11:30863494:30866365:1 gene:GSCOC_T00032093001 transcript:CDP00215 gene_biotype:protein_coding transcript_biotype:protein_coding MINLQVLLIVLVLISSLSAAIPLCLGFQPATFSDNCCLNPFLADKNASLKDCVIGLANEPEMVEWMRKIRREIHKNPELAYEELATSSLVRRELEEMGIKYRWPVAKTGVVAAVGSGSPPFVALRADMDALPIQELADLEHKSQVDGKMHACGHDAHTSMLLGAARILKELESSLQGTVILIFQPAEERGEGAKDMIKEGVLKDVDAIFGVHIIHKFPIGVAASRPGQFLAGCGSFKAIIKGKGGHAAAPQESIDPILAAATSIISLQHIISRETDPLDSQVVSVSIVQAGTSYNVIPESATIAGTYRAFGWKGFRDLRERLEEVIKAQAAVHRCSVKIDFDGKEHPTLPPTVNDERIYEHARQVSNLIVGEENTKVATIFTGSEDFASYLEKIPGSFLLLGIRNEKIGAIYPPHSPYYTIDEDVLPIGAALHTTFAYSYLRNLSMCSSS >CDP00685 pep chromosome:AUK_PRJEB4211_v1:11:26939589:26940190:1 gene:GSCOC_T00032717001 transcript:CDP00685 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIKGRSSSAAGLTLIEGKMANDEILSLLVEEVDELITILRKILNIKVLQAKLFIEKVGEVIRVLETEGPPTLPDQLVEDIAPLALPVGDFARRTYFTVVC >CDP00666 pep chromosome:AUK_PRJEB4211_v1:11:27113011:27113739:-1 gene:GSCOC_T00032688001 transcript:CDP00666 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELKAGGGGGGIWDGQNFEGLGSVVWVLWVAFLSSSFILAVILSCGSGATRDKASSATQTDAHGAACGAGCTAACGG >CDP04809 pep chromosome:AUK_PRJEB4211_v1:11:5342358:5345824:1 gene:GSCOC_T00019537001 transcript:CDP04809 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGREVAISLDGLRDKNIMQLKKLNTALFPVRYNKKYYADALASGEFTKLAYYSDICVGSIACRKEKKEGGADCVYIMTLGVLAPYRGLGIGTKLLKHVLDLCTKENIGEIFLHVQTNNEDAIKFYKKFGFEITDTIQNYYTNITPPDCYVVTKFFTQTKK >CDP11602 pep chromosome:AUK_PRJEB4211_v1:11:14996367:14997154:-1 gene:GSCOC_T00033959001 transcript:CDP11602 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNGGIGSDDEVSSNNSPKHKNQYHRNSPDLVAQLEEYFKDNPHPNENERKELGRELRVDPKRIKFWFQNKRNQVKAQIARAENHLLREENQKIYLENMMMRERMRCTVCSTCAGLATATKQDDDRKLILRQLLVENAQLKEEVYFMHSSFLRIYILSI >CDP05499 pep chromosome:AUK_PRJEB4211_v1:11:21719287:21721944:1 gene:GSCOC_T00020575001 transcript:CDP05499 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIDIVVEFLLEDIELVLSKTSYSILSEVSPVVISLYEDLNIIKSCLKRMKESPSNFNILQSFFLRQIRDVVLKILDATDSYINNALANSNGISGADIAISFQHSSELSFWATQVSLYRRLIPKVHNGINASMSNKEVSSRLKSSSPVLGEEVVGFDDEATAVLDRLTGEQKQLELEVISLVGVAGIGKTTFAKRLYNDSRVLHHFHVRAWTRGPQLNEEMNALHDLLTCVTNDNYSLHKMYPHEMGEKLHKLLKGKRYLIVIDGIWDSLSWKLFFMKYFPDDSNGSKVLITSRTKDVVLKISPNSSPQVLQFLSQDESWELFESKVFTDESCPQELMELGKEMVAKCRGLPLAIIVLAGVAKQEKSPEWWMHIVTDIASPTRGKEQFMDILAFGYDHLPNWLKPCFLYLGSFPQGYEILVKKIVWSWIAEGFVKQNGEKRLEELAEEYLTDLVDRNLIAVSKRKSNGGIKTCQVHDLLRDLCVKKAKDNLFLQPSCGHKQISLFSPSRPTVSRPQNIKCFHSYDFHYIPPNDVKFTHIDISLQQKNSLVYKLLRVLDLGYIILDHFPVNILELVHLNYLALRIYNLRMLPPLSKLWNLETLILVTEKGQIVTLPEDIWQMVKLRHLHYSGELEFESASLSSSTPFVLYNLQTISQVRPSSSIQEVLARMPNLVNLGCHLTLSDAMKHAQFPDLSRLRMLETLTFDYQTLNMAKFFLPQPSKFPPNLKKLTLVGSYVDWKEMSIIGMLPNLEVLKIKDNFFNAPRWEVMDEAFSHLKFLKLSNTDLQQWSASSSSFPCLQQLVLDGCPNLQEIPSSFRSIDTLEAIELYYSSQSVADSARQIQDSQRYMGNDGLKVLIHPRFEEQ >CDP13199 pep chromosome:AUK_PRJEB4211_v1:11:31956837:31957971:-1 gene:GSCOC_T00038064001 transcript:CDP13199 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEEKTWMLMILKFLIILLTMINYVSSIESPEYRVILQYLESDVEIRLYEESFWVSAAVRNATSFEKSTKDGFHRVYQYIRGANLNSSQMVITAPILTTIVPGTHGSDCYVKFYLPAKYAAAPPLPKTELDLQFERWTSQCLAVKSFSGFAQDESIRNETRAFVVTLNKIFDGRTKILEDTAIFSIAQYNASSHLSGRLNEVWLNASAFAVEGCPSF >CDP16281 pep chromosome:AUK_PRJEB4211_v1:11:24079667:24082361:1 gene:GSCOC_T00018056001 transcript:CDP16281 gene_biotype:protein_coding transcript_biotype:protein_coding MCESLKMIILHNDYFSTLCSLGKLCFDHPFLLANILFFLNVNVLFWLFGLFPILSSEFMLDMHWSGIPVLSVRCKTTHPLTDDYEFNIWRSRIVILLTWVWSNSFSDSYLCHENWQLGLREDRRFNQLQRAQFWFKYIVSITSNNSHVVAIIFLTSSVRSFWCAVKSKREQLNICDSAAIAVCLAGEIFAYHANKQLRDFVSRNNKLKELGKGVVLILESLWQYSQHPNHIGSSCGGDYSSSQGTWVMAGRLVAHSNRFCLACSYEHAENRTFKA >CDP07943 pep chromosome:AUK_PRJEB4211_v1:11:11713543:11715130:1 gene:GSCOC_T00025467001 transcript:CDP07943 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSRTQKHTVVLVPLPLQGHMTPMLQLGSILYSKGFSIVVAHSEFRPPNPLNHPEFIFHPLSDGLSAPMEDYMVQLMEDQKLQGHQVSCIIYDSHLCFVDSVATHLNIPGIILRPDMAVYMLAFRYICQLEAENRIPFPESRLLDPVPELDPLRFKDLPHPITKEIPEWIMDFFASSVNIRSSVAIILNTTDCLEHSTLSRLQQCYKVPCFPIAPLHKLGAAATSTSFLEEDQRCIHWLEKQPSNSVLYISLGSIACVNEQELTETAWGLANSGIPFIWVLRSDSMDGSQLEDNFPEGVKALLGERGLIVKWVPQKKVLAHSAVGGFWSHCGWNSTIESICEGVPMICRPHFADQLSNARYLTYEWKVGLEIENVLDRGSIEKSIRRLMVDAEGKEMRQRMSVMKDKLEAGLQKGGSSYESLNDLTEFISQLPSMVQEVKVDDPATSVSNNLIQFEGLKNLV >CDP00654 pep chromosome:AUK_PRJEB4211_v1:11:27206959:27210565:1 gene:GSCOC_T00032668001 transcript:CDP00654 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLKPHVAFSLLFLFSICFFNLDAFENPDLAPLLAFKSSSDYSNTLSQWTSKTDPCTWPGVSCLHNRVSHLVLEGLNLKNSFEPLTRLTQLRVLSLKYNHFSGPIPNLSNLTSLKLLFLSHNQFSGEFPASVTSLTRLYRLDLSFNNLSGVIPATKVNHMTHLLTLRLEVNGFSGQISGLNVPNLQDFNVSCNKLSGPIPYSLSGFPESAFWKNLMLCGAPLPICSSVRSDPTRPGTSSGAIASPLGPGGGNPSTVASSPTSVPEYGSPTRTGKTRRSGGNKMTKGEIIALIMGDVLVLALACLLFYCYFWRKSKKMDQKTSSEVEKIVYSSSPYPNLAQSGFERGKMVFFEGAKRFELEDLLRASAEMLGKGGFGTAYKAILDDGNVVAVKRLKEMSVNGRKDFEQQMEVLGRLRHPNLVSLKAYYFASEEKLLVYDFMPNGNLFWLLHGNRGPGRTPLDWTTRLKIAAGAARGLAFIHSSCKTLKLMHGNIKSTNVLIDKTGNARLSDFGLSAFALPSTASRSNGYRAPEAALDSRKLTQKSDVYSFGVLLLELLTGKCPSIVDNGGHGTGYTGIIDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIAMACSTASPDQRPKMNYVAKMIEELRGADVSPSHETADSVSDSPSVSEDACGVASQ >CDP07930 pep chromosome:AUK_PRJEB4211_v1:11:11875294:11900761:1 gene:GSCOC_T00025448001 transcript:CDP07930 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-arabinokinase [Source:Projected from Arabidopsis thaliana (AT4G16130) UniProtKB/Swiss-Prot;Acc:O23461] MGVEDGRKHPLVFAYYVTGHGFGHATRVVEVVRHLINAGHDVHVVTGAPDFVFTTEIQSPRLFLRKVLLDCGAVQADALTVDRLASLEKYSETAVVPRDSILETEVEWLNSIKADLVVSDVVPVACRAAANAGIRSVCVTNFSWDFIYAEYVMAAGIHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAYRDVIDVPLVVRRLHKSRKQVREELGIGEDVKVVILNFGGQPAGWKLKEEYLPSGWLCLVCGASNSQDLPPNFIKLAKDVYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEQYQGGVEMIRRDLLTGHWRPYLERALTLRPCYEGGCNGGEVAARILQDTASGKSYISNKLSGSRRLRDAIVLGYQLQRMPGRDLWIPDWYANAETELGLRTGSPTAEMRDDSFLMDSCQEDFEVLHGDLLGLPDTVSFLKSLAKLDAAYDTVKNTGKREIRERIAAAALFDWEEDIFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVAIQKIHPGKERLWKHAQARKLAKGDGPTPVLQIVSFGSELSNRGPTFDMDLSDFWDGEQPMSYEKARNYFAQDPSQRWAAFVAGTILVLMKELGIRFEDSISMLVSSAVPEGKGVSSSAAIEVASMSAIAAVHGLKIPPRELALLCQKVENHVVGAPCGVMDQMTSACGESNKLLAMVCQPAEVLGLVDIPSHIRFWGIDSGIRHSVGGTDYGSVRVGAFMGRRIIKYIASTLLSQSLSANGMTPDDVEEDGVELLESEALLDYLCNLSPHRYEALYSKVLPETLLGETFVEKYADHNDPVTVIDMKRNYGLRAAARHPIYENFRVKAFKALLTSATSDDQLTALGELMYQCHYSYSACELGSDGTDRLVQLVQEMQHSKMSKSVEGTLYGAKITGGGSGGTVCVVGRNCIRSSEQIFEIQQKYKSATGYLPIIFEGSSPGAGKFGHLRIRRRNASNKN >CDP13176 pep chromosome:AUK_PRJEB4211_v1:11:31772663:31781046:-1 gene:GSCOC_T00038036001 transcript:CDP13176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-kinase VPS34 [Source:Projected from Arabidopsis thaliana (AT1G60490) UniProtKB/Swiss-Prot;Acc:P42339] MSGNEFRFFLSCDINLPVTFRIERLEGKLPSSRNPDSADVDSTLEEGRPELYVECTLYLDGAPFGLPMRTRLETKGPSYCWNELITLSTKYRDLTANSQLAFTVWDVSRGKSEGVIGGATIQLFNMKKQLKTGKHKLRLWLGREADGSVHTSTPGKVPKEERGELERLEKLVNKYERGQIQRVDWLDRLAFPAMEKIKERENSKNGSSHVYLVIDFCSFEHRVVFQESGANFLIPSPIASTNELVTVWDPEVGKINPSEHKQLKLARSLNRGIIDRDLKPSITERKSIQRILKYPPTRTLSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMGKWEPIDVCDALELLSPVFESEEVRAYAVSVLERADDDELQCYLLQLVQALRFERSDKSRLSHFLVQRSLRNIELASFLRWYVAVELHDPAYAKRFYCTYEILEESMLKLGIVANGDEDGFKLWQSLVRQTELTAQLCSIMRDVRNVRGGTQKKIEKLRHLLSGLLSELTYFDEPIRSPLAPGVLISGIIPSESSIFKSALHPLRLAFRTTNGGICKIIFKKGDDLRQDQLVIQMVTLMDRLLKLENLDLHLTPYRVLATGHDEGMMEFIPSKSLAQILSEHRSIISYLQKFHPDEDGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLLHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSNIPDIACDPEKGILKLQEKFRLDLDDEECIHFFQDLINESVSALFPQMVETIHRWAQYWR >CDP05462 pep chromosome:AUK_PRJEB4211_v1:11:21045027:21048843:-1 gene:GSCOC_T00020519001 transcript:CDP05462 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDRVKEVLDTHVPDGRDFDVKPLVPIVEDIMKRATFLGGGAQDQDYADTWEDTAIRSGYTDMPELTYAINKTINEIICNCSSGGDEHFVAIALCRSLSRYAWEDKVAIALAAFAVSYGKFWLVAQLRTTNPLAKSLAVIRELPEIIEHTEALKRKFEAVSNLSKAMLNVTSCIINLKELPIEDIGQSNEWVFLTAHVPTAVYWTVRSIAACSFILNLTALGPEYVDSAAEAWDLNGVAHKLAKIKEDLKEQMNSIKQKIEDKRQDDAYNALVELFKTPNTDNTKILSAVINVKEDQLPLYDGTNKKRASIDILRKKHVLLLISELHIPQEEPSILHQFYTESRQQPTKLDKQYEVVWLPVVDTFSNATDEQFELVQNSMPWYSVHPIMLEPAFIRFIKDVKSFDKTPQLLVLHPRGILPGHNARDMMWVWGNLAFPFTEEREKELWTEATLIELLADSIHQNLLLWADQNRYICLYGGADIEWIRRLTTIMRSVANTARIPLEMLYVGKKNPKESMRKNNFIIQAENLSHILPDLIKIQIFWMRLDIMLKSWGQHGMFVKSDLIHHVGKIICYDQDGEGWAFIARGRHEMAKGTDKEVYQCLSKFDEWKDKVVYPDGFVIALGEQLRELQTPHHCNRLILPESTGHIPEKVVCAECGRPMESFIMYRCCTD >CDP16862 pep chromosome:AUK_PRJEB4211_v1:11:149746:153514:1 gene:GSCOC_T00019426001 transcript:CDP16862 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSYGKRLVYRLKVLSTRTYMKGFERISVWGSFPVHKARRIAQGFNQPDNSEVIQNFLPTFPLLIRLFYLIWKFERKKICSLSVTIFSGNHARDGFSPNCKHSAVSSCAVTDVIYSEVYVIFSTDISWGEVKEGGSFSITAPLSQSTYYEVFLEDQKREVAATQFRVQSWSSSHESTPLVVPGCILTATDKLLNVQPFDESKILIVKVNQATGFEGLIVNKHINWESLEELEEGLQKLKGAPLSYGGPVMKQGMPLVALAQKFVDDKHPEVLPDVYFLDQWATLRLMDELKLGNKSVRGHWFFLGFSSWGWEQLFHEIAEGAWHVSKGNTEHLDWPEIF >CDP07970 pep chromosome:AUK_PRJEB4211_v1:11:10807347:10810770:-1 gene:GSCOC_T00025524001 transcript:CDP07970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine receptor 1 [Source:Projected from Arabidopsis thaliana (AT2G02220) UniProtKB/Swiss-Prot;Acc:Q9ZVR7] MREVWVIFVFLGFSLQALDVSSQNVTCNPNDMKALVDFLGGLDSSSRIVGWDANSSSPNCCNWAGIRCNSSSGRVVKLEVPQKRLSGKLPESFGNLSELRTLNLSKNYLKGSIPSTLLHLPNLEVLDLSCNGFSDSFPMSISLPSIQVFNISENSFRGPVPVGICNNSTKLQALKMGANKFSGNLAPGLGNCTSLEDLCLASNFLSGGLAEDLFHLSKLERLTLQDNKFSGNLNANIGNLSSLVYLDVSLNEFSGNLPDVFLRFGKLNYFAAQSNKFIGRIPMSLANSPTVATLSLRNNSLGDTLDLNCAAMTSLVSLDLGTNQFRGAIPVNLPTCPQLRTINLAKNSFTGQIPETFKNFQTLSYLSISNTSIHNLSSALATLQHCKNLTTLFLTLNFHNEQLPSDSALRFTKLRALVIANCKLTGTIPLWLTNSERLEVLDLSWNQLEGAIPSWFGGFQFLFYLDLSNNSLTGEIPKELTQLNSLISKSKTSDKPPPDLPFFVKRNVSAGGLQYNQILSLPPTLELGNNFLTGQIWPEFGNLKMLHFLDLKFNNLSGIIPSELSGMTSIECLDLSHNNLSGAIPPSLVNLSFLSTFSVAYNKLSGGIPNGGQFLLFPTSSFEGNPGLCSDSHNTSCPTGKQVPHASVRRAKTPRSTIIGMAIGIGFGSVFLIALFLLIALWSIRRKAIDPEKEGDDSEKDLEELGSSLVVLFQNKGSSKAISLDDLVKSTNSFDQSNIIGCGGFGLVYKAILSDGRKVAIKRLCGDGGQMDREFQAEVETLSRAQHPNLVLLQGYCIYRNDRLLIYSYMENGSLDYWLHEKIDGPSSLDWDCRLRIAQGAARGLAYLHQSCEPHILHRDIKSSNILLDENFEAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQASVATYKGDVYSFGVVLLELLTGKRPMDMCKPKENRDLISWVIQQKKDKRETEVFDPFIYEKEHAEELLWVLEIACLCLSDSPKARPSTQQLVSWLDNIHSPPSLYLC >CDP13335 pep chromosome:AUK_PRJEB4211_v1:11:32907567:32910638:1 gene:GSCOC_T00038234001 transcript:CDP13335 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSFWLANLSILVLPVLCFGASYLNTWSRALCLLVIFPLLPSFLNLCFVPGGFAWRNHRQNLTSTNNRGPICWPFLGLLPQMGSHAHRKLAFLATSLGSARLMPFTLGFNTRLIISSHPDTAREILCGSSFSDRPIKESARTLMFQRAIGFAPSGKYWRHLRRIAANHMFSPKRISNLEGLRQRVADGMIASVRVEMNETSIVELRSILKKGSLINVLESVFGSNLGSEGEKLGSMVEEGYELISEFNWADYIPSKWLDFGGVKKRCHKLAGEVSCLVGRIIKERRREGNVNARNDFVSVCLSLPEEDQLTDADLVAVLWEMVFRGTDTVAILLEWIMARMVLHQDIQARAQREIDTCVGHGRDVQDSDVPNLPYLQAVVKEVLRLHPPGPLLSWSRLAIHDVHVDKFFVPAGTTAMVNMWAITHDPAIWQDPWAFRPDRFMEEDVSIMGSDLRLAPFGSGRRVCPGRMLGLTTVQLWLARLLQQFKWINPAAEQVDLSECLKLSLEMKNRLACRAVNRRH >CDP19664 pep chromosome:AUK_PRJEB4211_v1:11:5452:15176:1 gene:GSCOC_T00010267001 transcript:CDP19664 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGAPPPPGGAGGGGGGGAVITSCSSGSNDNSPGNSLGSLLLDTVPGLKHEAGLAVEWSVEEQYKLEEGLVKAFMFSKCQLKVLILLSFMSILSLILRIHSLSFLSFLSFSPSWNLSHIEFADEPSIMKYIKIAAVLGDKTVRDVALRCRWMMRKRRKQEDHNLGKKVKDRRDRMESCLKSTTSASPMNLAAYVVPLQPRDQSDSTSPISALIGTTRHLLEENNQALGRVSTNLASLELQDNIDLFLRIRSNLTSILNDMRNMPGIMSQMPPLPVFINEELAGSTFSSSTQCMMMIGSSSGIHLKQEPGC >CDP00466 pep chromosome:AUK_PRJEB4211_v1:11:28913881:28914810:-1 gene:GSCOC_T00032413001 transcript:CDP00466 gene_biotype:protein_coding transcript_biotype:protein_coding MGIESVEKFVVEKLKELWQAMENLWGQFLEWFDKIFPPETRGEKLHHWLQIALPIAIAGVVLSLLLYCCYKCCCKCGGGRRVKMMKAPGRNCTMARPAFEANPKSYFRNLRAHPGDQLC >CDP13259 pep chromosome:AUK_PRJEB4211_v1:11:32366143:32370990:-1 gene:GSCOC_T00038143001 transcript:CDP13259 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGGGGGGLFRYADRLDRLLMFFGALGSIGDGVMTPLVLWVLSGLISEYGGGDVSFSNKVVDKFSLRLLYVGIGVGIAAFIEGFCWTRTAERQTSTIRTEFLKSVLRQEVGFFDNQDASSTTFQVISSISTDALSIQDVIAEKIPNCLARFSEFIAGSLIGFLLSWRLTLASIPFIIGFVLPSVEFGKVLMDLQMKIKDAYAIAGNIAEQAMSSIRTVYSYVGEHHTLDRFRQALQESMKLGIKLGIIKGLMIGSIGTMFASWSFLAWVGSILVINKGESGPRVFMAICCVTLAGLGCMGALPNLSFISEGTAAAARIFNMIDRIPEIDTQNVRGKVVAYVKGHIEFKEVDFRYPSRPNTPVLQGLSFKVKAGKTVGLVGGSGSGKSTIISLLERFYDPIKGDILLDGYKIKRLQLNWLRSQIGLVNQEPILFATSIKENILFGKEGAAMEMVINASKAANAHDFISKLPNGYETQVGQSGVQLSGGQKQRIAIARALLKDPKILLFDEATSALDAESERIVQEALDEASLGRTTIIIAHRLATIRKADKIVVLQSGRVVESGSHEELMQNDHEEAGVYFKMVQMQQSIKSDENISSPYRATERWNNRRATYALTPKSPYTARSSWQNSPASPFSPALPTSPTPSIQMYSYNASDNEGGDYPAYPSPLQWRLFKLNTAEWKRALLGCIGAAGFGAVHPIHAYCLGSIVSVYFLDDRSRLKSETRFYCIIFLILGIVTFFANLLQHYNFAIMGERVIKRVREEILRAVLTFEIGWFDQDENTSAAICARIATEANMVRSLVGDRIALLVQVFAGASLAFALGLILTWRVALVMIAMQPLIIASFYSRSVLMKNMSESGQKAQNEGSQLASEAVVNHKTITAFSSQDRILSLFIATLKGPRKENIKQSWVSAVALFISQFLSVATMAMTYWYGGRLMNQGLVTSKHLFQAFFILMSTGKSVADAGSMTSDIARGGNAIRRVFAILDRKSEIEPEDPQGVKAGGTLNGKIELKHVSFSYPSRPEQMIFQNLSLKIEPGKTVALVGQSGSGKSTIIGLIERFYDPIKGSVLIDDRDIKTYHLRSLRSHIALVSQEPTLFAATIRENIVYGKEDATEAEIRRAATLANADEFVSSMKDGYETYCGERGVQLSGGQKQRIALARAILKNPAILLLDEASSALDSVSESLVQEALEKMMVGRTCVIVAHRLSTIQKSDFIAVIKNGEVVEQGSHFDLLAVGHRGAYHSLIKLQQGQSPYRQ >CDP05520 pep chromosome:AUK_PRJEB4211_v1:11:22318498:22321644:-1 gene:GSCOC_T00020617001 transcript:CDP05520 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRFNEDRSNRSAAYRAVLEGKKQSVETFRSFWREEGVKPLDKRGDTVLHFLAIYGNVNAFRLLLQDGLVTSENLKAKNVNGDTGLHEAARFGHKDVAEIMLRTEKDLASESNKLGETPLFVAAACGKKEVFSLLEKYIGDCMMRRNDGCTILHAAVIGECYSLAIGILESYPDLAGKRNEKGKTALHLLAAKPESFRSGSTYTLKDLGRKSLIPLHILRTIIYWCTPVLYKESQPVNRAEEPSNSASIHKLNRSSSANYILGFPWLKEIDDAKQSHAVALMLAERLIRREDWSHYVHAEDKDLEGSQFGISSEKKNRLPDPLIEATRLGIIEVVQEILSVYPEASYTFDGKQKNILQIAVEEKKWVLYDYLMTSGTSMDRMLSAIDHEGNSIIHLAARLESPPSTPPGVFQQMMWEVLWFKRVQYDSFPYLWQLQNSDGKTAKQVFETNHASLREKAEQTVRALANTVLIVSVLIGTINFAAIFTVPGGFDQTTGEAIFLKNRRWEFGLLMFYLAGGLFSSLFTMGTLLVIIFLRFETEDFYVSLPCYYVMNMISIFYSTVFTIVACCQALIVQKVVITDFRPLVVFFFIYGLVALVLMETSYRMFDHVYNLIRYCLCYRGQES >CDP00348 pep chromosome:AUK_PRJEB4211_v1:11:29849984:29850817:-1 gene:GSCOC_T00032256001 transcript:CDP00348 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRIEVMASASLRFLSLFKVLQWGVRFCTFIFPCLHFSLENLVKSCLGKWRTSTRTGVISNMKVVETYPTAKPSAAGEICMEEIKTVLTRLGIACDQEGNDDDVENWKVSEFSDIMLDEEPSLEEIKQVFQVFDENKDGFIDAAEVQRVLCGLCLKEGSELEDCRRMICAFDDNGDGVLDFEEFLAFMGKCFC >CDP18977 pep chromosome:AUK_PRJEB4211_v1:11:31666954:31667981:-1 gene:GSCOC_T00001018001 transcript:CDP18977 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELHQRQFDMGSRPRLCRGPTLSAQFAKVRTHRTTTPKLSIPKLSWCVCPKAKHPTLCCRCWNSLNPFDLLPFY >CDP00700 pep chromosome:AUK_PRJEB4211_v1:11:26756859:26763282:1 gene:GSCOC_T00032748001 transcript:CDP00700 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPSQVFPTYSSHRLRLNPSADHKPDSYEDLQLDFSPLLFSSLERYLPPTLLNSSRETKVHYMREILLRYSTESERNRVQKHREYRQKIISNYQPLHRELYIMQASNFFVPSFLKAVSENTEESFRSIMSEPSPGVFAFEMLQPRFCEMLLAEVENFEKWVHETKFRIMRPNTMNKYGAVLDDFGLETMLDKLMEEFIRPMSRVFFPEVGGATLDTHHGFVVEYGMDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGVRCEKHVNSETQPEEILDYSHVPGRAVLHRGRHRHGARATTSGHRINLLLWCRSSVFRELRKYQKDCSGWCAECQREKKERQRQSVAATKLELLRREGEAAS >CDP00762 pep chromosome:AUK_PRJEB4211_v1:11:26090208:26091457:-1 gene:GSCOC_T00032835001 transcript:CDP00762 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLQKLLSTLLFVSTVLHFSARSVAQECPYPCYPPPTGAGNNPPATPTPPSPPAGGSFSPPGSFSPPTGVIPYFPPPGYVTGQAPPPPDPIVPWFPYYYRKPPHGDQSSTTTLQGSSRTMTLTIPFLVLTLFPAFL >CDP14406 pep chromosome:AUK_PRJEB4211_v1:11:13354230:13361159:-1 gene:GSCOC_T00040796001 transcript:CDP14406 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin ligase BIG BROTHER-related [Source:Projected from Arabidopsis thaliana (AT3G19910) UniProtKB/Swiss-Prot;Acc:Q9LT17] MEDRKSENNSINQEENPSTIPVNNSAGNSNNNDTERGGGGRRQRTPFTDLSQEDADLALARTLQEQERAYMMLRMNVGEGSDYGSWEGGSYGHVDDEDDDFDDPSEEEYDGSEVEEDENEEEEDAFDVNAQDEEEEDDSQNVEIDPSAYSSDEAYARALQDAEEREMAARLLSMAGINEMVIGEADDEEEDDGNSQDAWEEVDPDELSYEELLALGEVVGTESRGLSADTIASLPAMSYKSQCTEAGSTDSCVICRLDYEEGDRLTVLSCKHSYHPDCINNWLKINKVCPVCSAEVSTSGNS >CDP13172 pep chromosome:AUK_PRJEB4211_v1:11:31745351:31751886:-1 gene:GSCOC_T00038030001 transcript:CDP13172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 1 [Source:Projected from Arabidopsis thaliana (AT1G60440) UniProtKB/Swiss-Prot;Acc:O80765] MDRNGVTEGELEGRGMNKCVEQVSHLALDIGGSLVKMVYFCGENSGSEHQQGILSSKESCGLSNGNTNCSVLRGKLHFRKFETSKINECLEFMSSKQFHRNGEDCHGASVSEKNIIKATGGGAFKFADLFKEKLGMTLDKVDEMNSLVAGANFLLKAASLEAYTYMDGQKEYVQIDQNDLYPYLLVNIGSGVSMIKVDGDGKFERVSGTSVGGGTFWGLGKLLTKCKSFDELLGLSYSGNNRVIDMLVGDIYGGMDYSKIGLSSTAIASSFGKAVSEDKDLEEYKPEDVARSLLRMISNNIGQIAYLNALRFGLKRIFFGGFFIRGHAYTMDTISVGVNFWSNGEAKAMFLRHEGFLGALGAFMSHRKDGFADLKAHHLVEQVPTKPSRNAGGCLNNSSVLKDVNENISIECTMQLSN >CDP15263 pep chromosome:AUK_PRJEB4211_v1:11:19105347:19110153:-1 gene:GSCOC_T00042920001 transcript:CDP15263 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEGSNDVKHHERYERICINFFEGQRSRRLPSSQQIAWRSNSGLSDGSFARVDLTEGYYDARDNVKFNLLMAFTTAMLSWSTLEYGKKMGLELQSAKAAIRWATDYLLKCALATLGKLYIGVGDLNANHSCWDRLEDRSVYFVSPSNLGSDLLGETTAALVAASFKTWKRWGYVISTSLLFLLLSTIQYRGSYSDSLGSAICQFSCSHSAYKVHELLWGAGWLFKATNNVYYYNFIKSLGANAATDIFSWDKKYVKKNNYALKPHDRILSTAEKLAEQRHGIKPYKQQAEDFMCRILPNSPYSNAKASTRGLMFKLSQSNLQHVTFITFLLTTYSKNMAATRHTSNCGNLLEPITYINAALLGPLAHFAGSFNN >CDP13183 pep chromosome:AUK_PRJEB4211_v1:11:31826860:31828489:1 gene:GSCOC_T00038044001 transcript:CDP13183 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHHHTSPCLHCHPHSYIRMVHSLIERCLMLHMDRDQCIKALAKHARIQPQITLTVWRELMKENKEFFRAYLYAISPRPLINGGVHKIPRFRRRKLWK >CDP13338 pep chromosome:AUK_PRJEB4211_v1:11:32933422:32934384:-1 gene:GSCOC_T00038240001 transcript:CDP13338 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIMEFAENLVLRMMEDPKERDRKFREHLYHLKDRCSKTKEMWSLPLRPYGFWTFERHNSQIFWDAQISQVPGRRDPYDDLLQGAYNADPTSTQKYFLIRSISLKFHFISCNAVLIEVNICYAWCVAH >CDP07947 pep chromosome:AUK_PRJEB4211_v1:11:11514085:11515963:1 gene:GSCOC_T00025478001 transcript:CDP07947 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATTICTDKTGTLTLNRMTVTKFWLGKESVEKDGYSSISTNVLKLLREAVSLNTTGSVYRPINLGTEGLEFSGSPTEKAILSWAVMELNMEMERVKQNCSILHVEAFNSQKKRSGVLMKKMVDNSIHVHWKGAAEMILAMCSHYYNLEGEVTLLDHLERKKFEEIIQGMAASSLRCIAFAHKQITEANDASGEIQQTLEDRNLILLGIVGLKDPCRPGVKKAVEDCQYAGVKIKMITGDNVFTARAIATECGILKPDLEANDELVVEGVKFRNYTDEERMEKVDKIVVMARSSPFDKYLMVKCLKEKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLTWGRCVYSNIQKFIQFQLTVNVAALVINFVAAISAGEVPLTAVQLLWVNLIMDTLGALALATERPAKDLMDKPPVGRIEPLITNIMWRNLMSQALYQIAVLLTLQFKGKSIFGVSEKVNDTLIFNTFVLCQVFNEFNARKLERKNVFEGIHRNKLFLGIIGVTIILQVVMVEFLKRFANTERLNWGQWGACIGIAAASWPIGWIIKCIPVPDRPVFSYLKWNNFC >CDP13347 pep chromosome:AUK_PRJEB4211_v1:11:33006855:33011182:1 gene:GSCOC_T00038252001 transcript:CDP13347 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDNRNSSAAKRARTDGGRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAVKPLQAPQNYPASAPYIGSGAPSSMYMGVPPYGSSLFNGTSMPPYDVPFSGGSAYHYNYGSRLSGGSPYRPLHLSGPAPYSGGSMIGNGGMYGVPPLMDRYGLGLPVGPAAMGPRPGFFPDEKSQKKDGARDNDWACPKCGNLNFSFRTVCNMRKCNTPKPGSQGAKSGKNAKPDMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPSEPKKSPSKGADENDQVCWVLFYVKLRCYLRYICWFTICKTSCKLERCQADTKDVPCNLRMRILWFFSFIICFQFILFLLPLSFYFSDGFCIS >CDP18997 pep chromosome:AUK_PRJEB4211_v1:11:31514474:31515769:-1 gene:GSCOC_T00001046001 transcript:CDP18997 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEP [Source:Projected from Arabidopsis thaliana (AT5G13910) UniProtKB/TrEMBL;Acc:A0A178UQP2] MDASSSRGSKKSNDEVVLSGNSAASPNSKSRRKNQQQQQQDQSSGGGSSRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAEEAALAYDRAARSMRGSRARTNFVYSDMPPGSSVTSIVSPDESDSLIHHHQHHQQQFLFFGGHHETSNSTNVIINSLFQQEEDQNTNSAAAPGDSYDNMMSSFLLYGNNSDDRSSSSSGAGAELPPLPPDITSSYFGSATSEPPPLLLSDTGRGILNDMSFLELSERPSRPSFSCTQGIMEYHDLVSSGTTGTATAAAAATAGSCDFVHPSSSDSFGADHANILDDHHHHLSCSSTNNSINFSSFGWF >CDP13177 pep chromosome:AUK_PRJEB4211_v1:11:31781495:31785468:-1 gene:GSCOC_T00038037001 transcript:CDP13177 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAGKDVETMRRRVASVASHLMPASHFNPSHDGAIGFSSCSSSMDDSYHKKHGEVPTHEPIWRLIASDESGKEFADIIYEKAVGEGIAKITINRPEKRNAFGPHTIKELIRAFNDARDDGSVGVIILTGKGTKAFCSGGDQSMRSKDGYADKESFGRLNFLPTFLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAAENAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLTRFYTASEAEKMGLVNTVVPLEKLEEETVKWCREILRNSPTAIRVLKAALNAVDDGHAGLQELGGNATLIFYGTEEGNEGKNAYLQHRPPNFSKFPRLP >CDP07991 pep chromosome:AUK_PRJEB4211_v1:11:9748701:9750632:1 gene:GSCOC_T00025559001 transcript:CDP07991 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEAGEMFFSILLDTNLLQDAVKDEYRNVLNCKVHDLVYDLALSIFNYKPLRLKGTRTYYDETLSIRYLATEKSDEEIPFPLHESFSFCSKIIA >CDP16974 pep chromosome:AUK_PRJEB4211_v1:11:17733020:17735229:1 gene:GSCOC_T00004803001 transcript:CDP16974 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRLRKRVEVEKNSSSSSTSPAASGKSNTTASSSTLLRAKDGSAFTKCGECNKDVAVALISMHDCSTEAKIKMNLEAQVVEKAAELKKKPAEKRKAKAAEPKAKKGKKAKNPNALKRPPTAFFIFMDDFRKSFKEANPDCKSVSVVAKEGGEKWKSMTEEEKQPYVDRAAELKAEYERALESNGDGAENEDGEENSAEKEVEEIVVDDDE >CDP18102 pep chromosome:AUK_PRJEB4211_v1:11:14413054:14423836:-1 gene:GSCOC_T00006465001 transcript:CDP18102 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSQDSPNAQELQPSTQMSQESQNDQQNNATEAPIADSGSVSVSSSDGRKVQRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQITLFNHLLVHQFNLMKYPPPPKIPLAPIQNGIHPMPGNTLPMGYPVLQPPPVSVTGQPHLDPMACGISSPHGVNGVPAPGNFHPMRINAGNEMVMDSGAADVPAAIAPSSAMSSMSEMPVSPTSVASSGHFPFTASEMSGMGVDTSALDTAFTSDVASSVGLQLPQDNGNGNSRDSLRSLAQIPWNFSLSDLTADLSNLGDLGPLGNYPGSPFLPSDSDILLDSPEQEDIVEEFFVDVQPSQSDEERS >CDP11017 pep chromosome:AUK_PRJEB4211_v1:11:7253490:7264137:-1 gene:GSCOC_T00032988001 transcript:CDP11017 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSGKAAPANGSVYVCNLPPGTNEDMLAEFFGTIGVLKKDKRTGRPKVWLYRDKVTNEPKGDATVTYEDPHAALAAVDWFNNNDFHGAIIGVFMAESKSKDENPSLGSDLVGLEVGAREINGGAGRGRGRGDATGKAWQQDGDWMCPNTSCSNVNFAFRGVCNLCGSARPAGVSAGVAGSGGRGRGQGGQEIGSSNRAVGGLFGPNDWPCPMCGNINWAKRTKCNICNTNKPGHTEGGVRGGRGGGYKELDEDEIEETRRRRREAEEDDGEMYDEFGNLKKKFRAKMQQAEAGHSLAGAGRAGWEVEDLGAGDRDKREGSRGKGRESEDRERDRHRSRSRERDRGRDRDRNYEYDRDRDYGRERERDRDRDRDRDRDRDRDRERSRHRY >CDP16881 pep chromosome:AUK_PRJEB4211_v1:11:632836:637288:-1 gene:GSCOC_T00019458001 transcript:CDP16881 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHACLGLNQAIEEDVLCELEEMERLCDIDDILSYRSAAEREIKDLLATSLSSRGSNGANLDMSLEDERPSNKPRGWLNWLSRGMLGAGGTDDSSQFSGVISDDAIKDIYEATKFRPAPSMNEDAAKADEVFLSSIKFNIHQFTFTLQSVRLGRAIAHLMLDSVSTECKIWERYTGITATINAVQLFNPFNNQVILMTKINTKENELDIEPSVSIQVEVLPRSCEVSSSVKVNLQPIEVKCDTRFLMDNLEFFYIFGNFKFQQERVKNDDGLCFLSLDFQLLKSICQIKELYGAMEENPKDALLCLSAAVHKVLMMKWDSNMMEEFVKINIRLHNYPESLIALKNLKAAYIDRLVSVHGTVVKVSTVRPLVRQMCFVCTKCGTNITCNFPDGKFSPPSICELLKNEHHEEGRVPRTVECELTEDLVDACIPGDIVTVTGIIRMINNYMDIGGGKVCHQLSMIDFLLLFRLVCTTPSLLQPPLFK >CDP18452 pep chromosome:AUK_PRJEB4211_v1:11:1100883:1104153:1 gene:GSCOC_T00007397001 transcript:CDP18452 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSQPQNQKTIPPYMKAISGSLGGVVEASCLQPIDVIKTRLQLDRSGNYKGIIHCGSTIVQNEGVRALWKGLTPFATHLTLKYALRMGSNAVLQSAFKDSETGKLSPQGRLLSGFGAGVLEALIIVTPFEVVKIRLQQQRGLKPELMKYKGPIHCARTVIREEGLRGLWAGATPTVMRNGTNQAAMFTAKNAFDVILWKKHEGDGKVLQPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQSRSGGELKYKGMFHAISTIYAEEGLLALWKGLLPRLMRIPPGQAIMWAVADQAIGFYERTYMHNAPL >CDP00457 pep chromosome:AUK_PRJEB4211_v1:11:28994420:28997839:-1 gene:GSCOC_T00032403001 transcript:CDP00457 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNILSPATPFFFNTLYDPYRDGTDFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPRERNTRYVDAVMTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGIKTGLPYIWHSKASNPFVNLKKEYKGIYWQEEIIPFFQAVSLPKECTTVQQCYTELAKQVKAKLANVDPYFTKLADAMVTWIEAWDELNPSGEAPAKTSNVKAK >CDP00646 pep chromosome:AUK_PRJEB4211_v1:11:27276421:27277252:1 gene:GSCOC_T00032659001 transcript:CDP00646 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEYQSKPSNNGRLKLFGFNVTDEEEVDSTKTPSGSPDSGGFPASDGRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQMQASRNAAVSYMRNPIVSAFAPPPHLLAPAGSVVVPAAAPASPSWVYVPRAAPPFHVSHGCVFPASTTGRGVGSASYAGSVGDSSLTSVGPQQVKAHNGRHDGPSLSRFSRADDGPGFDEAFGLDLHLSLAPAAP >CDP16252 pep chromosome:AUK_PRJEB4211_v1:11:24624141:24625203:-1 gene:GSCOC_T00018016001 transcript:CDP16252 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQFVKWTSLSSSPCSLPHFSTDSNSTFNKTLNISSFIKGSKLDKRWNLDSKCSARRRVVYEDEEEDEEYGYNAEIAMLETYTQSARNEALLVKAMVDEEEVEVLIFKGFSSCLSYGTSPDPSRSVLPTRAEIKSIDRVKGPFEPSNIEYIEKGLTWEIFKSRLQSN >CDP14396 pep chromosome:AUK_PRJEB4211_v1:11:12521624:12523712:1 gene:GSCOC_T00040778001 transcript:CDP14396 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYYIIFYTSQILQSHIEDITNIWLFDDKDTIEYFKLEDLWRSFEEWSAYGVGTPIVLDHGKSMVQYYVPYLSAIQIYTTKTPTAVRANVNASKQDIEFCGDDILANTSFEDENPCPRINRLGYLYFQYHDTSSPYWRVPLADKIMDFMPNYPRLLSLRSVGLSPASWMSIAWYPIYHIPTKWYVKDLATCFLTYHTLSSCFQAMEENKEKFHSQIVLPSFGLTAYKMQGDLWKNKNTSDEKKLVDLESTADSWLKQLDFYHHDFSFFKRNS >CDP04894 pep chromosome:AUK_PRJEB4211_v1:11:2673084:2675964:1 gene:GSCOC_T00019749001 transcript:CDP04894 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNTEVTMVPAGEGSSGAGPSSSTVSTSTSGKKAKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQSSATSEECTVAWGVCNHAFHFHCISTWVNSGRDIKTRQVCPLDNSEWEFQKYGHWSLGN >CDP07958 pep chromosome:AUK_PRJEB4211_v1:11:11191012:11191227:1 gene:GSCOC_T00025499001 transcript:CDP07958 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKGCSKETGAGAAGAGGGNSSGGGTNKGGDNDLIMKAPGGDGAYISRTGFESDPKGYFSDLHAKEKASK >CDP18002 pep chromosome:AUK_PRJEB4211_v1:11:16968226:16976535:1 gene:GSCOC_T00001341001 transcript:CDP18002 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFKPSRPKTPQELAKAIRDSLMALDTKTVAEVKALEKAMEEVEKNIMTMRALVSGDGEVEPNADQISQMAIEICNEDVISLFIHKLPILGWDARKNLVHCWSILLKQKVDDICCCVQYMENHLELLDFLVVCYDNKEIALHCGSLLRECIKRPSLAKYILGSPSFELFFKFVELPNFDVSSDAFSTFKDLLTKHESSVAEFLSAHYNEFFEHYETLLTSKNYVTRRQSLKLLSEFLLEPPNTHIMKHYITEVRHLKIMMTLLKDSSKNIQILAFHIFKVFVANPNKPREIKVILSKNHEKLLALLHDLSAGKGKCMTNFFPHKPTPLPKKKKLPIYLSVFSL >CDP00256 pep chromosome:AUK_PRJEB4211_v1:11:30568585:30573147:1 gene:GSCOC_T00032138001 transcript:CDP00256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84A [Source:Projected from Arabidopsis thaliana (AT1G10385) UniProtKB/Swiss-Prot;Acc:F4I4B6] MDFGSFSSSVEDSAEFDKDLTLSEKLKVFKPSKFDPEGYVTSRCRRMGEKEIRHLCIYLVDLRKASAEEMRKSVYSNYAAFIRTSREISDLEGQLLSLRNLLSTRANLVHGLADGVGIHSLSDGPEGSKAEDVVRLDQGPSKVENWLAQYLETLEVLLAERRVDEALAALEEGEKMAEEAEKQKSLTPSALLLSLQAAIMEKRKKLADQLAEAACQSSITIVELRSSVQALKRLGDGPRAHTLLLKTHYQKLQSNMQSLRPSGSLEGVAYTAALSQLVFSTIAQAASDSLAIFNEEPAYVSELVTWAVKQTENFAQLVKRHVLDLPAASGGLRPVAESVHICFGHCSLLEARGLALSPVLLKIFRPCVERALNANLKRIEQSTAALAAADDWLLNYPPVGSRAFGTTSIGTALSSQPRLSCSAHKFNSMVQELCEDVSPLESLQLSDAALEGVIQAFNAYVNLMINALPGSTETENLEETGHKIVRMAETETQQIALLANALMLADELLPRATIKLSSSQQIYRMDEQSRRTSDKQNRLPEQRELKRRLQRMVDQLRDTFCRQHALELIFNEDGGVRLGADMYLIMDGNADEPEWFPSPIYQELFQKLTYIASIASDMFVGRERFATILLMRLTETIILWLSDDQIFWEEIENGPRPLGPFGLQQFYLDMEFVILFASQGRYLSRNLHQVIKNIIARAIEAVAANNMDPYSMLPEDDWFAEVAQIAIKMLTGKANFGSMERETGSPTASISARSASSVHSHGST >CDP13308 pep chromosome:AUK_PRJEB4211_v1:11:32679703:32682533:-1 gene:GSCOC_T00038199001 transcript:CDP13308 gene_biotype:protein_coding transcript_biotype:protein_coding MISPIIWSSPPVAPIGTSVILLKPLFTRQVKQKQKGAKRMLLPSVEGQEGGKWIVIDSGSLIIHALDEKVRAYYNLEKLWSSGTSDDEQTQDLDKAFIKVRRKNNSKKRPQASA >CDP00521 pep chromosome:AUK_PRJEB4211_v1:11:28487271:28488788:1 gene:GSCOC_T00032482001 transcript:CDP00521 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVSAIGFEGYEKRLEISFFEPSIFADPEGKGLRSLTKTQLDEILEPAECTIVSTLSNKYLDSYVLSESSLFVHPYKIIIKTCGTTKLLLSIPPILKLAESLSLTVRAVKYTHGSFIFPGAQSFPHRSFSEEVALLDSYFGKLGSGSKAFVMGDSGQPQKWHVYYASAGSEQSSDPVYTLEMCMTGLDREMASVFYRSQSSSAALMTVQSGIRKILPESEICDFEFDPCGYSMNSIEGDAISTIHVTPEDGFSYASFEAAGYDLKVVNLGLLIARVLACFEPSEFSIAVHADLSPKLLELTCSLDLKGYHLGEKCREELGSDNSVVYQKFVKTVACGSPRSILKCCWKEDEEEEKE >CDP05470 pep chromosome:AUK_PRJEB4211_v1:11:21160378:21164235:1 gene:GSCOC_T00020533001 transcript:CDP05470 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKTNRVRYPEGWELIEPTLNELQAKMREAENDPNDNKRKCEALWPIFKIAHQKSRYIFDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFQTTCVCRVPKHLREEKVIECVHCGCRGCASGD >CDP13348 pep chromosome:AUK_PRJEB4211_v1:11:33014232:33018945:-1 gene:GSCOC_T00038253001 transcript:CDP13348 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNTKSDWDNFISFNSKTSDSLKKLQLTDLVIDDEGEIDGGSFDLSGIGGTSGGSGSDLGHGSSGKSSKSVSRDSSPKEGMKTSGLKLQGSPGAIITEIVPPRADLSRTPSSLETSVVSVEPLIGLKLGKRTYFENSAGSSTKSPGFSVAPVSSISTAKKTKSSCQNATIPRCQVEGCNLDLSSAKDYHRKHKVCDNHSKCPKVVVAGLERRFCQQCSRFHSLTEFDEKKRSCRRRLSDHNARRRKPRQETIQFNRMKLTSSYHDGRKQMNFSLNNGESIGNPTWENVCSSKFTLTQHFPLKREKVGQPPLPRIELPYAMTMHNKLSNELLTSKASAADVFKQGFKESVSSSESAAPEFPRALSLLSTNSWGSSEPEPISFDHPLRVYPTTMPLSVIPQALPSEYWQIETQSSSHTFTGSSNIGGHFQEIQLFKPPYQNDIFSNTWS >CDP07986 pep chromosome:AUK_PRJEB4211_v1:11:10050079:10052364:-1 gene:GSCOC_T00025549001 transcript:CDP07986 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAHFLFLVALVASLATASSSTTNVVARNNTNDHDLDALLAFKAAISDPQNILPTNWSTSTSVCNWIGITCNRRHRRVAAIQLPKMGLVGTIPPQLGNLSFLVWLDLENNSFHGNLPTQMVHLRRLKYFNLAFNSFDGSLPTTLSNATMLETIRFGTNLITGYLPQDWSALQNLEFLDMENNQLDGPLPRSLFNVSSLQIFSFTNNTLSGYLPARICDHLPQLKGLYLSNNEFSGAVPAGIGGCPRLQVLSLSYNNLAGNIPKEISNLTMLRVVFLAPNDVQGQIPADIGNLTNLEQLGIEVANLTGNN >CDP05452 pep chromosome:AUK_PRJEB4211_v1:11:20893243:20895459:1 gene:GSCOC_T00020507001 transcript:CDP05452 gene_biotype:protein_coding transcript_biotype:protein_coding MISAIWFYLVELYHIFDCVWGFRVYNPHGILCIVIVLVIIATTLLSIGLTYFQVAVKDHKWWLLVIIATTLLSIGLTYFQVAVKDHKWWRRSVYGSLVSLYLALFYLTFNLCTENSDLVKHTILYGHKCFFGCMAYIYDGIFLMLGTVGFRASLLFIWCIYGSIKYD >CDP05523 pep chromosome:AUK_PRJEB4211_v1:11:22371372:22371608:1 gene:GSCOC_T00020625001 transcript:CDP05523 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEAMGEKLYKCLKGKRYLIVVDDILGMEVWNDLKKYFPNDENDSKILMTSRIRNVAGNPRNGSPTYYLRFLSQDES >CDP05526 pep chromosome:AUK_PRJEB4211_v1:11:22374220:22376262:-1 gene:GSCOC_T00020628001 transcript:CDP05526 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYYLALTWSFRFINKKH >CDP05545 pep chromosome:AUK_PRJEB4211_v1:11:22720559:22725380:-1 gene:GSCOC_T00020656001 transcript:CDP05545 gene_biotype:protein_coding transcript_biotype:protein_coding MLISFSLLFEDVGASITSSSICQIHFVLLISRQGKVRLTKWYSPYPQKERTKVIRELSGLILPRGPKLCNFVEWRGFKVVYRRYASLYFCMCINQDDNELEILEIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKKTVARHIAAQDSLVETAKEQASSLSNIIAEATK >CDP00503 pep chromosome:AUK_PRJEB4211_v1:11:28632012:28633247:1 gene:GSCOC_T00032462001 transcript:CDP00503 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQQVKDIYLLNLHFQEYMAFWILMLTRYWPPLSTRLVHSTSSSHRLSWMSLRIPLSWLQPLHGTIPPYVHFMKPFKRMNYLFSR >CDP04801 pep chromosome:AUK_PRJEB4211_v1:11:5553288:5557238:1 gene:GSCOC_T00019524001 transcript:CDP04801 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARWLVDPKRNPLAAIHKKALDRRLRKYGLRYDDLYDPMYDLDIKEALNRLPRPIVDARNQRLKRAMDLSLKHQYLPEDLQVQQTPFRSYLQEMLALVKRERAEREALGAQPLYQRTLP >CDP00183 pep chromosome:AUK_PRJEB4211_v1:11:31134503:31135018:-1 gene:GSCOC_T00032049001 transcript:CDP00183 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQLYKAAQSGDSDVIRRCADQLDSQLTPNQNTVLHILAQFCDSSYAVEQILGINHSLLCKQNAKGNTALHVAARNRYSGVVRALIDCAKNGEKPDKHSSEGWIKMLRLPNNNRDTALHLAVRTNCYDIVELLVKEDDELPQPRNKAGESPLYLAVERGYHDIVDLILGT >CDP00703 pep chromosome:AUK_PRJEB4211_v1:11:26716345:26723900:-1 gene:GSCOC_T00032753001 transcript:CDP00703 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNDHQKMRRKDYTAKQNGNVNISSPDDELDPWTAWAYKPRTLTLLFVGACFLIWASGALEPESVSAGDSVASVKRGVWAMIAVFLTYCLLQAPDTILIRPHPAIWRLVHGMAVIYLVALTFLLFQKRDDAREFMRYLHPDLGVELPERSYGADCRIYVPENPTSRFKNVYDTLFDEFVLAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVRYFDGRTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCVVFLTVELNTFFLKFCLWIPPRNPLVIYRLILWWLIALPTIREYNSYLQDRKPVKKVGSFCWLSVAICIVELLICIKFGHGLFPNPMPKWLVTFWTAFGIGLLIFLNAWTWVLHRTMRTKQE >CDP04865 pep chromosome:AUK_PRJEB4211_v1:11:3913862:3915433:1 gene:GSCOC_T00019641001 transcript:CDP04865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 2 [Source:Projected from Arabidopsis thaliana (AT3G20015) UniProtKB/Swiss-Prot;Acc:Q9LHE3] MLLVSLQPLLQLSFSLVVVVLLLLLLNLSFLPTSATTETATSAGHAIPYPAFEHFPVRETILATKITKIQTIPTDVLDDELNQEHKQNHSSGNGKNEKWRLKLLHRDKMGYSHFVDHHDRFHARMKRDVKRVAAIISKISGGAAAAAAATNGGAGESKEATNNYGVEEFGTEVVSGMEQGSGEYFVRIGVGSPPRNQYMVVDSGSDIVWVQCQPCNLCYHQSDPVFDPSLSASFAGVSCGSSICDRVENSGCHSGRCKYEVMYGDGSYTKGTLALETLSFGGTTVQNVAIGCGHRNRGMFIGAAGLLGLGGGSMSLVGQLGGQTGGAFTYCLVSRGTSSSGSLEFGRGALPVGAAWVPLLRNPRAPSFYYIGLSGLGVGGMQLPIPEDVFRLSELGDGGVVMDSGTAVTRLPTAAYVAFRDAFLTETASLPRASAVSIFDTCYDLNGFVTVRVPTVSFFLSGGPILTLPARNFLIPVDERGTFCFAFAPSPTGPSIIGNIQQEGIQISFDGSNGFVGFGPNVC >CDP00251 pep chromosome:AUK_PRJEB4211_v1:11:30607826:30612467:-1 gene:GSCOC_T00032133001 transcript:CDP00251 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDAEEGTLLKFAPFQSTVDEGFWHRLSSLKLNKLRLDESPMPITGFLAPCSHKQVPSRLTLLAESLPSEDQKQSSSLPATLNQGNRNRCPVPGILYNTNTLEGFNAIDRVSLLETEAKKIWDDIHNGKVEESSSVLLRFMVISFAELKNWSFCYWFAFPALMLDPAARVVSLRSASQCLSLEQAESLSSACNEWQNLNLDVTAGVLFFLVCIASDSRVTLRHLADWEACMDDGQKVLFGFYDPCNVPYPGWPLRNYLAYICIRWGLEKVHFLCYRETRGFADLGFSLIGEASISVSPEWKTRDCTPKAVGWEVNKGNAKPNFISLAKAMDPTSLAISSADLNLKLMKWRAVPDLNLSILSATRCLILGAGTLGCEVARLLMAWGFRKITMLDSGKVAISNPLRQSLYTLDDCGEFKAIAAVRSLNRIFPAVEAEGIVMAIPMPGHPVAEQEEQSMLEDCKRLHDLVECHDVIFLLTDTRESRWLPTLLCSSANKIAITAALGFESFVVMRHGAGPLSMIKDSGALSSLSAEMHNLSLTNRMGKRRLGCYFCNDVVAPVDSTANRTLDQQCTVALPGLASITSGYAVKLLAGILQDRLGIFADAEFANSANNPSSENCLGILPHQIRGSLSQMSMITLVGHASCSCTGCSSTVILEYQKRGLDFVLQAINTPMYLEDRTGLTELKKSADSFNLDWEVGTDDAEDDCVEI >CDP11617 pep chromosome:AUK_PRJEB4211_v1:11:15739689:15744919:1 gene:GSCOC_T00033989001 transcript:CDP11617 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDVVTANPSDWNFDPFSLSIDGDKLRGRGTTDCLGHVALVAELMTKLGETKPQLKSSVVAVFIASEENSSIPGVGVDALVKDGLLDKLKQGPLFWIDTADKQPCIGTGGMIPWKLHVTGKLFHSGLPHKAINPLELAMEALKEIQLRFYKDFPPHPKEQVYGFATPSTMKPTQWSYPGGGINQIPAECTVSGDVRLTPFYSVSDVLKKLQEYVEDINENIEKLDTRGPVSKYVLPDENLRGRITLSFNEANSGVACNLESPGFHVLCKATEQAVGHVKPYSITGSLPLIRELQDEGFDVQTAGYGLMATYHAKNEYCLLTDMCQGYHVPWLSCALCPCHANNNE >CDP05461 pep chromosome:AUK_PRJEB4211_v1:11:21025905:21035529:1 gene:GSCOC_T00020517001 transcript:CDP05461 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDWTDWYRTNWYVNINFSTFIPLKDLRTLDLSHNYFNGEVTGCRNWAKLQNLESLYLDDNNFNNSIITCITAITELKRLSLRYLGLEGSFPIEEFKRLEKLEFLDLSGNQFSGSMSFKELKLENLKVLNLEYTNFNKLSDIEALTSLKALSLKDIGIDDSSVLQGICSLKNLHELDLSDNHFYGPIPMCFRNLTSLRVLDLSNNILSGNIPAALITPLVHLEYLSLSGNLFGGSFSFNSLANHSQLQGFELGPLNNDSHVDTEDLALPPPFQLKALYLSGCNLNNWTRKIPSFLLYQKEMQILDLSSNKLVGEIPTWLLQNNTSLEVLVLKDNSFTGPFLVDDSRRIYLSQLDISNNDVSGKVPQNIGLSFPFLRWLNLLGNSLEANIPHSLGNLTMAESIDLSHNKFSGEVPSQIGTGCLALRILALSYNNLHGNFPSGSTNLTSLQILHLDNNRFNGSISHGLSRSPRLSLLDISNNSFQGKIPSWIGNFSYLEALDMSANLLEGSLPDAICKLRTLTFLDLSKNQLIGPLPACSELTSLKFIHLHHNMISGPISNMLSGSFNLMTLDLGYNKLSGGIPRYIGKLKELRVLLLGGNELLGHIPLHLCQLQNVTIMDLSQNKFSGPLPTCFNNISFGRGQFSTDALFAFDELLGIEFVINLPFLAIEITSSEYSITFSPEQEEVEFTTKSRSERYAGNILNFMSGLDLSCNQLIGAIPPEFGDLRHIRALNLSHNYLQGSIPSRLSKLNLVESLDLSYNNFSGEIPLELTSLNFMSKFNVSFNNLSGRVPDTGEFATFDDSNYRGNPGLCGPLLKRSCNPFAPHPENVGDQDIEVDGAIDVAAFAWSFFASYMVMVISFVVILCVSPYYRRAWSFYIDYWILSRFYEYYRSHSFEKKQTWKVLSMLNLVESLDLSYNNFSGEIPSELASLNFLSIFNVSYNNLSGRVPDTGEFATFDDSNYRGNPGLCGPLLKRSCNPFAPHPENVGDQDIEVDGAIDVAAFYWSFFASYMVIVISFVVILCVSPYYRRAWSFYIDYWILSRFYEYYRSHSFEKKQTWKVFNWNMRRR >CDP00413 pep chromosome:AUK_PRJEB4211_v1:11:29328433:29334826:-1 gene:GSCOC_T00032346001 transcript:CDP00413 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNVYFFGTATTSINDDRDTSILSEFGWNLPPPPPPHSGTSAGLLHSGFDRIITDSDLAGNDTHTTLFARENENTTAEPQPMTMSSSSSDDLPEKSTASGGSSADRQPSDTASKAKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHTVGFPRGGLISHEVPFGSQLTPSSSQFYYPGVQFPQGSVGIQESFNVSRESGHSHAPSDPSGRIFTDEGLLGDMVPPGMRKS >CDP18004 pep chromosome:AUK_PRJEB4211_v1:11:16996059:16998611:1 gene:GSCOC_T00001343001 transcript:CDP18004 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYIPDQLLVNVLLRLPFDSLIRSRCVSKSWRSLIDGPHFIKMYNESHLETNYDHNDTKIVALIEEKSSPVSGSRSRDFYSLDMNRLFSSSTTNAKKLNFPADSFRDDIRLVGSCNGLLCLVHRNWNIFIWNPWTQKYWKIPPPPYGNAIGHSQVQIRLGFGYDNVRDDYKVLAFKNGWNCMQNLTPTITLCSNKVYVYSSKLNLWKDIGDFPFQQATMFPDPMDGILANGALHWVGGLNFIFAFDLCSDKFRSMRCPFWEDRFLPKLCILNGVLCLLSDYEFWNQRFADIFLMEDYGAHESCWTKLGSIRIPFGKWITPIALSKNKKQVVLQISKATLVLYDLETNSFNDVAMSGAASSIDSPISLTSCVCVGSLASLFWQA >CDP00201 pep chromosome:AUK_PRJEB4211_v1:11:30983247:30986940:-1 gene:GSCOC_T00032071001 transcript:CDP00201 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHNSSEDFAVGCILSIKTNLGEEFQGQVLTFDRSSNILVLHILLLLIQEGLNSGSRPKRNIRLLKANYIKEFTFLGQGEDPLDLKKCFIDLNNLQAREDSAIRQAELEAERFGIGVTAEAQSIFDALSKTLPVRWDKTTIVVMNEVRVSSPYLPESVVGGTPAANERVRKVLEFERKRLQTRSAGQ >CDP04815 pep chromosome:AUK_PRJEB4211_v1:11:5280354:5281403:1 gene:GSCOC_T00019544001 transcript:CDP04815 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLSILENRTYYSIELRMWVQPARPDKFEKIIRVKPGGTIRAKAKQEGLLYFDKVLVMVHANGVGTGNCIFPLHLMASAKIICDRNQHGRVVIRRKRAFNFCRLKFFS >CDP00694 pep chromosome:AUK_PRJEB4211_v1:11:26836657:26838009:1 gene:GSCOC_T00032733001 transcript:CDP00694 gene_biotype:protein_coding transcript_biotype:protein_coding MRYMIVMDDMWSIDAWNDVKRCFPDDKNGSRIVVTTRFMELATNVSPKKPPHCMNLLNTEQSWELLEMLIFGTASCPQELVGVGQKIAKRCRGLPLAIVVVAGVLSRVIREYNYWNNIAEEVSSVVSTDPENCLDILALSYNYLPHHLKACFLYMRIFPEDCKLEVSKLINLWAAEGFLYLDSEKQLEQIGEDYLEDLIGRSLVLVEKKRFGGEVKTCRLHDFLRELCLKEAQKENFMHVIQRRSAKGVQTGMRNQRRLSFHLDPYSDVSAAPAIPHVSSFLCFTLGANIVPDILFFQLGFKLLRVLDIFFLHFDYFPDQILKLIHLRYLALNVTYELPASVSQLRNLQTLVIHGPWLCQESGGCPTLLLEYWNMPSLRHVHITAACHLKNPFTVQDTFLVHLLQNTCKLSIQYNFHVAQRKFSVSCPILRNWEFVKLKKTTAQTVCHKS >CDP05498 pep chromosome:AUK_PRJEB4211_v1:11:21697677:21698351:-1 gene:GSCOC_T00020573001 transcript:CDP05498 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLAVHRNLLRLYGFCMTPEESLLVYPYMPNGSVADRLRDTGQEKPSLDWSKRIHIALGAARGLVCLHEQCNPKIIHNDVKGNHHEESILRFP >CDP00599 pep chromosome:AUK_PRJEB4211_v1:11:27765365:27767936:1 gene:GSCOC_T00032589001 transcript:CDP00599 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTGTFLCKPFNSRPTSWAPSSVNHVAAANTKKRQSDTNFDKFKTKEIKGWFKFGITSTGLYLSSGARRRRNSTPITTTAGVGGDSSVTEFDDNIRKLLQAVLWVAEGVYILWLFLLPYAPGDPVWAISSETVNSLVGLSLNFFFILPLLNAVGVHTLEAPVLHPMSEGLFNFVIGWTLMFAPLLYTDWRRDRYGGSLDVLWGFQMFLTNTFLIPYMAIRLNKIGTEYNPRKTSQLGSIMTKGAPVVGLIGAAVCLLSTVWALYGRGDGSFGSVPERLEFLMSYLGSERLAYAFVWDICFYVIFQPWLIGDNLQNVQKDNVAVVRYLRYIPVVGLVAYCLCLIPDQET >CDP13402 pep chromosome:AUK_PRJEB4211_v1:11:33536746:33538065:1 gene:GSCOC_T00038328001 transcript:CDP13402 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTILYTFSELLALKIFFFRNKKKRVFFFFFFFNSPISSHSQLLGTGFLTAGKILRRRE >CDP11620 pep chromosome:AUK_PRJEB4211_v1:11:15913088:15916405:-1 gene:GSCOC_T00033995001 transcript:CDP11620 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEAKKIYDSIPFDYETQSIVKTTIPMPSQVTAPISNEAVVGLNDEGEIIIDGLVRGPSRLDIVAIVGMPGLGKTTLANKVYGDPLVKSHFHIRSWCCFSRAYTKHSLLVQMLCNIDHGNSAGYIRKDEDYMADRLRKLLKGNRYLIVLDDVWDILGWDLLKLSLPDDCNGSRILLTSRFQELPLQIKLDSEPHHLRPLTDNESCELLQKKLFAKEDCPPILSNVLLHAAKYCKGLPLTVVLVAGILTTTEQDCWEEVVIHLTSSIYVDNEYCMKTIELSYNNLPNYLNPCLLYFGAFQEDKEIPIRRLLWIWISEGFVQKTEGKCLEDVADEYLMDLIGRSLVMAPQQRSLGGIKACRIHDLVHEFCMEKAKEESFLQILRVDNLLTFTGPCKPHRLSIHPTKTMGLTKSRLFFPNLRCLLFCGDSYTQLDKNSSKFLLSKLLRVLDFWNTPYWISSFPREVVFLIHLRYLRIGRFVGDIPSAIANLSRLETFVVEARRRNYMLPNTIWNIETLRYLVTSLSDCGFIFPMDNLEGSPDLKHLDTLSLAIDLSSQSAQKILAKLQSTRRLTITYGIQRKSYRAVESGGNRKEIPLLNYMSRLESLKISRISGDEFEFSLNLNLKKLTLSDNYWPWGKISAIGRNLPNLVVLKLCHRSVREEEWEMEEGEFRNLRFLKLSGLYIRRWTASSDNFSCLEKLVLEFCEELEEVPPCLGESLALKMIEVKMCSESAVNSVERILQEQREWGNKDLKSVIIRSTQKRGQRREEFHS >CDP11007 pep chromosome:AUK_PRJEB4211_v1:11:7916349:7919581:-1 gene:GSCOC_T00032968001 transcript:CDP11007 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNLNSMNSTYSSSFVAGNFARKFLSGGLFFVMPAEVQMLELLLAVFVFIVIHSLRQKKRQGLPNWPFVGMLPSLILGLQGDMYEWISGVVCRQNGTFIFKGPWGTNLNCVVTSDPRNLEYLLKTKFSNFPKGEYFRNTVRDLLGDGIFSADDEIWQRQRKTASLEFHSTKFRSMTTESLLELVHSRLLPVLEESVKKSVPVDLQDILLRLTFDNVCMIAFGIDPGCLRPGLPEIPFARAFEDATEATLFRFVTPTLTWRALRSLNIGTEKKLNDSIREVDKFAEEVIQTRKKELSLPTESEKQRSDLLTVFMRLKDEQERPFSDKFLRDICVNFILAGRDTSSVALSWFFWLLNRNPEVEQKILAEICQIVNERKDAKDKEDLDNLIFKAEEVKKMEYLQAALSEALRLHPSVPVDHKEVLEDDVFPDGTVLKKGTKVVYAIYTMGRMEGIWGKDCRDYKPERWLRDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILYRYTVKVEKDHPVIPKMALTMYMKHGLKVRLSRRNQTELQKHLKTN >CDP07940 pep chromosome:AUK_PRJEB4211_v1:11:11760154:11762195:1 gene:GSCOC_T00025463001 transcript:CDP07940 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSRTQKHTVVLVPLPLQGHMTPMLQLGSILYSKGFSIVVAHSELRPPNPLNHPEFIFHLLSDGLSGYQASIDNLKHLALAMNSNCRAPMEDYMVQLMEDQKLQGYPVSCIIYDSYLCFVDSVATHLKIPSIILRPNMAAYMLSRHYVCQLQAENRIPFPESRLQEPVPELHPLRFKDLPYPITNEIPEWIMDFFASSVNIRSSVAIILNTTDCLEHSTLSRLQQRYKVPCFPIAPLHKLGAAATSASFLEEDQSCIAWLEKQPPNSVLYMSLGSLARVNEQELTETAWGLANSGIPFIWVLRSDSNDGSQLEDHFPEGVKALLRERGLIVKWAPQKKVLAHSAVGGFWSHCGWNSTIESICEGVPMICRPHFADQSSNARYLTYEWKVGLEIENVLDRGSIEKSIRRLMVDAEGKEMRQRMSVMKDKLEAGLQKGGSSYESLNDLTEFISQLTSKHGSRS >CDP00745 pep chromosome:AUK_PRJEB4211_v1:11:26294935:26297854:-1 gene:GSCOC_T00032814001 transcript:CDP00745 gene_biotype:protein_coding transcript_biotype:protein_coding MHHYLFQLTYHPLFFIILSSKGELDEHEKLVSVLRQVDVVISTLAVPQHLEQFKIINAMKEAGNIKRFVPSEFGNEVDRVSGLPPFEALLDNKRKVRRAIEAAGIPFTYVSANSFAAYFVDYLLHPHQKTDEVTVYGSGEAKAVLNYEEDVAIYTIRAATDPRVEKRVIIYRPPKNIVSQLDLISSWEKKTGRTMKITHLPEEEVVKLTETLPVPDNISVAILHNIYIKGDQTSFELTENDLEASKLYPDHNYTSVDDLLNRCLVDPPKPKLAAFV >CDP05495 pep chromosome:AUK_PRJEB4211_v1:11:21618159:21622036:1 gene:GSCOC_T00020569001 transcript:CDP05495 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSSSYQTSATPISLFAHLVFIAIFILVLVWLLKFREGLSFQSDNKLKLFNLHPLFMVIGFVLISGQAIMTYKTFPATRKRQKLVHMTLNFIALVAAIVGLYAVFQYHHDLGIPHVYTLHSWFGISTASLFFLQWLFGFFAFWVRARDSTTRANLAPWHVLLGMVIFSMAILSAVTGLIEKFTFMSLRRGQEALIVNFTGLLIFLFGVSVGCTVLLPRSY >CDP00241 pep chromosome:AUK_PRJEB4211_v1:11:30680123:30682975:1 gene:GSCOC_T00032123001 transcript:CDP00241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MGAGTLIRPTPLKSSPLSQQRPPPRTPTPGYHSSNSISIPRSSTPVKASSSLNSQGDYRGPKPKREWVADWVSQNDDVVRSLPIYVGGLSLLAVLVNRTISGIAPVADASSSQSRADLLTLGLAVTNILNGLVWLSIRPKSVSAVNPEGVECQSICPLLPDFISSELLWVWESLSDATCCRSLIIVYDKRAILQIGFATASSNTHDAVVVDVDKLMKGSLYQGALKSKSQSYLANLSLYPAKSELPFLPPNTQAVILQPLGDKGLAIIGGDMIRGFTTSDQAWITLVGEKLDATLAKMNNIPVNA >CDP13247 pep chromosome:AUK_PRJEB4211_v1:11:32282232:32287180:-1 gene:GSCOC_T00038126001 transcript:CDP13247 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGGKDWSSVGNRKTFSRSVSWTGRSPSHSSSKSQWNSKARACLPPLQPLSISRPNVEEWPKPGSDDLGIWNSPPTPGVRPGSITPRENSYSGQPPKEFEFKKDKLAYFNKECSRIVDYVYLGSDTVAKDREVLRQNGITHVLNCVGFLCPEYFKNDLVYKTLWLQDSPSEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWKEGHSFEEAFQHVKAARGVTNPNMGFACQLLQCQKRVHAMPASPSSLLRMYRMAPHSPYDPLHLVAKLLSEPGAKGLDSRGAFIVHVPLALYVWIGKHCVLVMSDAARAAADQVIHYERARGPILIIKEDEEPSEFWDALGKEREAETVEALSDGNSIFVAPIRPHITGRAVQEYNLDFEIFHKALAGGVVPAFPLSGNGSETHLPARVNGWSRLRKKFASGFMKELITSSKLNGDRAQTNQGCGDVETLEEVEHVLSPTDPSSPSSYQCDSPDSFASYATNSPSWIKDSCTEVNYATPLTDPSLSRTPSFSSLDSFPSPHVSRPKSDTTSPLLSPSTSDYSSSFTFSPSSSNWSDLSYLSTQPSPSVSESKDLNSGKNAHLEEGAPLLCEGTSPPEDNSSSAHAWQMANTCSPCRGRSLSLAERRGNNPPPRMMLPSVDETSQVPVNLMRSWSFALPDLEDEMMNDVDFNKHSQESSLEMNTEELVLDSDTFMTDNEISDAVKDEHFVTCRLPPDAVQDTATEVTNLVLYQWPSMHEVEMHYGILDSRSVYIMFVQDTNLGTQDDYVLYVWVGREASQKGGKTRKNSTFEDGCIKWETIGRDFLIQKGLATSSVVQIVKEGEEPELLLKHFPCFSFQNTLDDGIK >CDP00200 pep chromosome:AUK_PRJEB4211_v1:11:30988528:30990046:1 gene:GSCOC_T00032070001 transcript:CDP00200 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKPLKHQSNSLLTKLLPWTFSILLPLLLIHLCFHPIPFLRSPSSKLHSNNDNAINNDSASPPPRHVNSSNAPTACDYSNGEWIRDKLGPLYNGATCGTIKDGQNCMSHGRPDKDYLYWRWKPRQCKLPRFEPRTFLQYLSNKHLAFVGDSVARNQLESLLCMIATVSSPKLVRSYGEDNKFRKWHFPSHNVTVSIFWSPFLVKGIEKSDEIDITRNYNKLYLDLVDEKWAGEIDHLDMIVLSVGHWFLHRAVYYYNGTLLGCHYRSDQNCTEIGFYDVYGKAYQTAVNSIIDRKRSSSCNATDVFVTTFSPAHFEGEWDKFGACPKTKPYNAGEKKLEGMDAEMRNIGIKEVKAAREKSKKFGSLRFEYVDVSALSFLRADGHPGPYLYPFPFANGIKDRVQNDCVHWCLPGPIDTWNEILLEIMKRRETEV >CDP00317 pep chromosome:AUK_PRJEB4211_v1:11:30067192:30075145:1 gene:GSCOC_T00032216001 transcript:CDP00317 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQRPALAERDIDQAITALKKGAFLLKYGRRGKPKFCPFRLSTDESALIWYYGKEEKQLELRQVSRIIPGQRTAIFQRYPRPEKEYQSFSLIYNDRSLDVICKDKDEAEVWFFGLKALVAHGSYHKARIDTKAETSSCDSPRSRRTSPPSLPFDHGDTPRAESIPQSRLGKAFADVVSYTATSKNSFLAEINTSTASSLIPGAADNSNSRISTADTVRISLSSAVSSSSQGSCHEDFECLGDVFIWGEGTGEGVLGGGELKVGASSGSRTDANLPKALESTVVLDVHSISCGSKYAILVTKQGEVFSWGEETGGRLGHGLVADLPYPKLIDSLSGMNIEMVACGEHHTCAVTLSGDLYSWGDGTHNCGLLGHGSEVSHWIPKKVRGSIEGLHVSYVSCGPWHTALITSVGQLFTFGDGTFGALGHGDHHSSNIPREVESLKGVRTLKVACGVWHTTAVVETGVTSKSEPSEGSVSGKLFTWGSGDEGQLGHSDKKHRLVPVSVAALDDLSFSQVACGQNLTVALTTAGKVYTMGSLVHGQLGNPLADGKTPTCVGGIIADSFIEEISCGSHHVAVLTSKMEVYTWGKGSNGQLGHGDNDDRNTPVVVEFLKDKQVKTVVCGANFTAAICMHKWISSADNSVCSSCRNAFNFRRKRHNCYNCGLVFCKACSSRKSLKASLAPSASKPHRVCDDCYTKLQKSFTSISAPRIASVKSANVLYKALDLTEKETKNPRLPENMSKLSPSNSFNVPEASSIKSSSRAELNGSNLILFPNGDDQRGGISFKSPTGPTGTSKFLSLSIPNSRMVSRSTSPVQGKTRPLQPATPTPAIDVNGDGSIVGDSKHNNAALHEEIKCLRAQVEELASKSQFLEVELEKKSRQLKEATAQAADEAEKNRAAKQVIKSLSAQLKEMAERLPGGPPARSNSHSDSEQISNDPSCPSSWRRATSLAPLRIESSATSTSSVQANEAKTQLQKPERVIKDEPGVYMTICSSPTGGNELRRVRFSRKYFSEQQAEKWWAENGRKVLERHNIRAPI >CDP11621 pep chromosome:AUK_PRJEB4211_v1:11:15919289:15921484:-1 gene:GSCOC_T00033996001 transcript:CDP11621 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRTVSSILLLLLVECAARPFYPLPSRRNDRSKQPLQTFRPYNIAHRGSCGEFPEETASAYKRAIEEGADFIETDILASKDGILICFHDLRLDATTDIAQHKKFESRKRTYEVQGKNITGYFTIDFTLEELKSLRVNQRHPFRDQQYNGKFSIIIFEDFISIALGAPRVVGIYPEIKNPVFINQHVKWPIGKKFEDKFVDTLKKYGYKGTYLSKQWLKQPAFIQSFAPTSLIYISHLTDLPKILLIDDATTPTQDTNQSYWEITSNSYFDFIKDYVVGIGPWKDSIVPAPNNYLQTPTDLVTRSHAYNLQVHPFTYRNENVFLHFNFSQDPYNEYEYWIKKIGVDGLFTDFTGSLHHYQEWTNPFSMGEESASKLLDKIAYMISKFRNSYN >CDP16515 pep chromosome:AUK_PRJEB4211_v1:11:8881248:8883890:-1 gene:GSCOC_T00018511001 transcript:CDP16515 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGSIDFFLVDLLEQLKSKAGSVLSVKHKFHVVHREIKFLRSFLTNIEEQYDKHQDLKSVASSIVQVTLEAEYLIDSFVAGDSLRWYHPLWLSDLMEDLKLIKLQAGKTCKNAHGINIHNVPTASTMVSSPAEIPKVDEVVIDLADEKKLVIDRLIAGSGKLGVFSVVGMAGLGKTTLARRVYNDPSVTYHFHVRAWCCISQTYQKRELLLQILGDIVELTDDVLEMSDEDLVMKLYQCLKRNRYLVVMDDMWSIEAWNDFERSFPNDNNGSRIVITTRHSDVAAKVQVDSTPHPLRLLSDDESWELLQKKLFDTKECPSELMVVGKQIAESCKGLPLAVVAIAGLLEKTDMIADRWKQVSESICSQIADDPETRCMDILELSYRYLPNYLKPCFLYIAVFLEDKDIPVRKLAWLWRAEGFITDSGVESMEDIAEGYLRNLIGRSLVMPSKRRSCGGMKTCRVHDMLRTLCIRKSEEENLLQFQNGYDELFDSSHEDIDYGVDPNYIYPKNSIKYQKRRLDMYPRCPYDISFIFDNFKLLRVLDLECINMGNSFPTGVLVLVGLRFLALCGDIDSIPASINYLRNLETLLVKGLKGKVSLPYAIWSMEKLRHLHIKNYAVITLEDGESTVFTPILNLVSLSSPYLFCGKGTENIMRRLLKLRKMRCLFSELPDDTGKCNQFPILNFLTELESLNVLLPNLEVLKLLSKAFEGKVWEMKEGEFLKLKILKLDSLNIAEWNACSDHLPQLEHLILRSCRELKEVPSGFGDSSTLEMIEVQFCTSSLEESVKQLQEEQHDMGNELKVLVDRSDLDF >CDP04838 pep chromosome:AUK_PRJEB4211_v1:11:4890814:4893603:-1 gene:GSCOC_T00019580001 transcript:CDP04838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like enzyme , Auxin biosynthesi [Source: Projected from Oryza sativa (Os01g0645400)] MGSCKEEDGNQPNCLWVDGPIIVGAGPSGLAVSACLKENGVPSVILERSDCIASLWQQKTYDRLKLHLPKQFCELPLLGFPENFPKYPTKHQFISYMQYYAIHFSIEPKFKQVVQSAEYDVVCGVWRVRTQDCEYVSRWIIVATGENAEPFIPDIQGIEKFQGHVRHTSVYKSGSEFQDQRVLVIGCGNSGMEVSLDLCRYNATPHIVVRNTVHILPREIFGISTFAVAMALLKWLPLWLVDKFLLMVANFSIGNTDTLGLKRPKTGPIQLKNATGKTPVLDVGALSQIKAGKIKVAEGVREITRRGAKFVDGQEREFDSIILATGYKSNVPAWLKGCDFFTKEGMPKTPFPNGWKGDNGLYTVGFTRRGLLGTASDAVKIAEDIAGQWTLMKGCKKH >CDP16255 pep chromosome:AUK_PRJEB4211_v1:11:24601430:24605460:1 gene:GSCOC_T00018020001 transcript:CDP16255 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAAVSFVLENLKQILVYNSHLIADVRENVEKLCDQLKILNGFVKDYTEMNCSTEALKALRRELKSVVSEAEDVVDKYIVHASMQKARGKVEKVFKIVDYGSKLRDLGKEIEQVSGRVKVILESQIVPRLEAAQIQDIANERAKKKQAPIVEEDNVIGFDDATKAVMELLKAGSEDLEVISIVGMHGLGKTTLAKKVLHDPKIEYDFFARAFIYVSQQFERAEVFLNILGSIGQLTEEAKNMPEEKLAEHVREQLKTRMYLIVMDDVWKIEDWDKLKVAFPNNKKRSRVLITTRNTSVAIYANPAVEPYHLDFLTFDASRELLRRKVFGENKCPEEVEQYELHIVKKCDGLPLSIVVIAGILIKHRQIVRWWSRVADSVNDYISRDEKHIKDVIILSYNHLPYHLKPCFLYLGVFREDFEIPVWKLLRLWIAEGFVPQQRDLNLEDIAEEYLEELVDRNLVMVGQRRSNGQIKTCHVHDTLLDFCKEEGKEENIFQEIKKDNLEIFFSKNPTLDDYRRLCINANVMDYISKKPSGARVRSFLTSAKEETTLDAKHVPLIPRAFKLLRVLDAKSLRFTLFPPDLCQLVLLKYISISCKLDILPPAMSTLWSLQTLIVDTTARTLQIKSDIWKMPQLRHLHTNASTSLPCPTTPKGKEEASVNANLQTLSSISPKSCTKELFERAPKLKKLAICGRLAILIEANGQSRLFESLCTLQFLENLKLLNEDVSSPLNRLPQENNFPRKLTKLTLSKTFLPWNQMSVLGKLENLEVLKLKDNAFKGNRWRTESGGFQSLQFLHIGSTDLVIWDAAENHLPKLKCLVLKHCTELQGVPHSFADISTLQIIDLHCTNHSVAASAMKIYVLKLEQAQQKATKSNRFKLFVYPPV >CDP05533 pep chromosome:AUK_PRJEB4211_v1:11:22547603:22549009:-1 gene:GSCOC_T00020641001 transcript:CDP05533 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRIRQVLCSLKEHTSVNCAKIATIGGFCDLDHVVIKATSPEDLPFNEKYIHEIMKIFSISPTSYREFALCFNRRFRKTECWRVALKSLVLLHRLLRSLPEDSPFRPELLWARSNRYISLYPCDFQDFSSSSAEDYTDFIRSYARLLDEAIDCSAIEFTETDEDDMPDSFPDQMKELGRMIEVLPQLQSLIDRVMDCRPTGAAAKNFVVQSTMKNIIRDSFMCYTTFRKQIVVVLDNLIQLPYRSCAEAFDIYKKAAIQADDLSEFYNWSKSMGFCGAYEFPFVDRIPLIQIRALGAFLNGMWQLTESSSSTMSQLTSNVQSPSSISDDEADEHPIKIDEISATTNKQVKLEEETMKKALQKDKEIGPLIQLDVENNVSWEALLEASLPSSCIDSRRNVFFPNDQMNGWQMQVYTPCVLPSSANPFLHTNSMPIYHVPFPANPTYPWGL >CDP16984 pep chromosome:AUK_PRJEB4211_v1:11:18136831:18138107:1 gene:GSCOC_T00004819001 transcript:CDP16984 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSNSPPSAAIFRRLEDKVAVITGGASGIGECTARLFVKHGAKVVLADIQDDLGRAICEELNPEGAISYVHCDVTNESHVRRAVDTAISTYGKLDIMFSNAGIPGNGSDRTILLPDQDSTEYHENFRRVFDVNVFGAFLCAKHAARVMVPAEKGSIIITSSVCSMTFGDVPYSYVASKNAVLGLAKNLCVELGQYGIRVNCVSPFGVPTPMLKRALPMVKGNEIEGFVSAIANLKKATVGTEDVAEAALYLGSDESKYISGLNLVVDGGYSTTNKALKEAKLSKGLSIPSKM >CDP13349 pep chromosome:AUK_PRJEB4211_v1:11:33019063:33021082:-1 gene:GSCOC_T00038254001 transcript:CDP13349 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLAADQSFRKYGKNFQLYFATAIALEKLKKKIRRSSLSLRLLLQFCSFSFSVFSFLNFLFVIFWWRFVACFHVTRSMYIFFSEA >CDP05506 pep chromosome:AUK_PRJEB4211_v1:11:21963587:21965434:-1 gene:GSCOC_T00020586001 transcript:CDP05506 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMWNIEAWNELQNPFPDDRNGSKILITSRLHHVVSQFTEEGDLLNLRPLSENESWELLKRKVFTEEGYPEALVEVGKEIARNCQGLPLSVVAISGLLKTTNMICDMWKAISESLNSLIVNDPQTRCLDILELSYNHLPDYLKACFLYFGAFQGNKKIPVQKLMWLWMAEGFIQDNDLAKDYLRDLIERSLIIFAKRRSNGGVKACRVHDTVHPLCLLKAKQENFLSLITSDDEPHASFHDILDFEDFDPSNSVLKLVSIVFEDTTWEMSDDEFPELKFLKLDNLNIAEWIASEDHMPKLERLVLQKCEKLNDVPIDFVEISTLQMIEVQRCGDSVEKSVMKIKVEDKHFGTDDLKVLIIH >CDP16871 pep chromosome:AUK_PRJEB4211_v1:11:399417:412731:-1 gene:GSCOC_T00019443001 transcript:CDP16871 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVGSPSPSSASTSAPNDVTSQEHYVTSIEADHETTCWGCGVRLLVSPHAPVFKCGWCGAITNRNALKNNKQYYKWRRLRDRCFVVFVLLFMLFIICGGIWAVYPVVFSISFFWGIFHSTITLILSVSTLSSFGLASFLSPGVPPRILWGSYPAVGKGGLENYTFCHHCSKPKSSRTHHCSSCGMCVLDMDHHCPFIGNCVGAANHRCFIIFLISAVMSTIYVSTISFYAALHVWPPVNYVANQPLNRLLSYEFTLNFLKESAFALLRSAVFLTPRGLVLVYLFIASVSVGIGLSVLLWQQLSYVYRGKTYLSQLNASEGDGGERDCKNLINFFGCQYIAAGYFPSFWNSRKTHEK >CDP00701 pep chromosome:AUK_PRJEB4211_v1:11:26747568:26751414:-1 gene:GSCOC_T00032750001 transcript:CDP00701 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTTFVKLNASSSSWIGQQSFNQRACAFPSRSRVSVVRASSSGSYTDELVKTAKSIASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTPGLGDYISGAILFEETLYQSTTDGKKFVDCLRDECIVPGIKVDKGLSPLPGSNNESWCQGLDGLASRSAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAEQVWAEVFYYLAENNVVFEGILLKPSMVTSGAEHKEKASPETIAKYTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNSVLKTWQGRPENAEAAQMALLVRAKANSLAQLGKYSAEGESEEAKKGMFVKGYTY >CDP00519 pep chromosome:AUK_PRJEB4211_v1:11:28504207:28506731:-1 gene:GSCOC_T00032480001 transcript:CDP00519 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDLREDLISDLPQSIIETILTKLPIRDAVRTCALPFLENKLVSFITHFLFLHDGPIHKFALSTSFLQSSPDIDQWLLFLSRRGIRNLSIELGEGEWFRAPSCLFTCSKLTHLELVRCELDPPPKFKGFLCLKYLNLQQILMPPDDIEYLISSCPLLESLTLSYFDGLELALHAPNLKYLILEGEFMDICLENTPRLIAVSIAMYITDDIAEHFEQSSSCNFDKFLGGVPCLERLVGQIYFTKYLSIGIDSGSTPIKYHHLKIIELYQVNFEDLNEVLAVLRLIVSSPNLKELHIAGPSNTAAAVVGSDLGFWEKECPTDYMFDQLKTVKITEVSGTLHEMGFIKFLLEHAPVLKVMTITPSAYITEGRLSMLVNLVRFRRASAQAEVIFVQEQP >CDP11051 pep chromosome:AUK_PRJEB4211_v1:11:6152653:6153126:-1 gene:GSCOC_T00033042001 transcript:CDP11051 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKSSIWLINDIPANLRELYFFFQAYNDVMKAFAECNKFGNLPYGSRANTWLIPPVVALSPSIFPPLRTEDERWGGNGGGLGRDGKSDLLPYANEFSFLASMPCKTAEER >CDP05430 pep chromosome:AUK_PRJEB4211_v1:11:20254831:20261299:-1 gene:GSCOC_T00020472001 transcript:CDP05430 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSAEEILPFRILEENSSAESSNPTDAVIFVGISLVLGIACRHVLRGTRVPYTVALLVLGAGIGSLEYGTRHRLGKIGDGIRLWARIDPDLLLAVFLPALLFESSFLMEVHQIKVLQHFVLL >CDP00163 pep chromosome:AUK_PRJEB4211_v1:11:31309654:31310274:1 gene:GSCOC_T00032021001 transcript:CDP00163 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKFRKFMGLCCSRKPVVISCQKQIILELEEEIESQSMGKKNSTKLLYIYTPSLFL >CDP18990 pep chromosome:AUK_PRJEB4211_v1:11:31563878:31568471:-1 gene:GSCOC_T00001037001 transcript:CDP18990 gene_biotype:protein_coding transcript_biotype:protein_coding MASISKLSTPPTASHFSTSSSSQPSPTIRSLCLFLPNNTNTNALCLSSSNLSIRPTRPIIAPSLLVRCSQIDGNGSHVKGTTLHDLYEKQGQSPWYDNLCRPVTDLLPLIESGVRGVTSNPAIFQKAISTSNAYNEQFRELVQAGKDIESAYWELVVKDIQDACKLFEPIYDETDGGDGYVSVEVSPGLADDTQGTIEAAKWLHKKVQRPNVYIKIPATAPCIPSIKEVIASGISVNVTLIFSLARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDTLVDKMLEKIGTPEALDLRGKAANAQAALAYQVYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALQAFIDHGTVGRTIDANVSEAEGIYSALEKLGIEWSYVGSQLELEGVDSFKKSFDSLLDSLQEKANSLNLVSL >CDP00848 pep chromosome:AUK_PRJEB4211_v1:11:24942614:24944268:1 gene:GSCOC_T00032955001 transcript:CDP00848 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWIVLGCVAAAEAAMMVLLLTILSADQLTWPSNIHKKEPPKVISDDGLVLFVLAVGYLLKV >CDP00699 pep chromosome:AUK_PRJEB4211_v1:11:26765518:26771330:1 gene:GSCOC_T00032746001 transcript:CDP00699 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSQNPNRVYGVTEPISMAGPSESDMITNRELEKFLADAGLFESPEEAIRREEALARLDQIVKDWVKHVSRSKGLNEQVVEEMTALIFTSGSYRLGVHSPGADIDTLCVGPMHVTRNEDFFGELHRILVEMPDVQELNSVPDAYVPVMRFKLDGIPIDLLYASLPLPNIPEDLDISQDSILHNVDEQTVRILNGRRVTDEILNLVPNIQNFRTALRCLRLWAKRRGIYSNVAGFLGGVNWAILVARICQLYPNALPCTLVSRVFRVYNLWQWPNPVILCPIQEGSSNVWDPRRNRKDREHLMPIITPAYPCMNSSYNVSNSTLRIMMEEFRRGNEICEAIEANKAVWVTLFEPFAFFEAYKDYLQIDIKAQSGDDFRNWKGWVESRLRFLNSMIERYTGGILQCHPCPGDFSDECRPHCCSYFMGLRRKQGSSPEGGKQFDIRWIVDKFKHQVCQYSAWKATMWINVCHVRRKNIPVFVFSGGIRPSQRAKVAAQDCLGKRLPGNNFLHADDAGSRKRRKQVVVNRGVHLEEFSSLTSGTSTASLQIEAGEEEQSEAVIADTIRNKNYRKNMTNHDQEPEGSVKCRSPSCSNPKGASPVEKWVTDSSSGEIVGLSDMKTEVSGGTVENNSFQLSMTEQGVQIPVVGAGGRNSCSKSPQNEGLEELEVLSADISFF >CDP18985 pep chromosome:AUK_PRJEB4211_v1:11:31598188:31601076:-1 gene:GSCOC_T00001030001 transcript:CDP18985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rap2.6L [Source:Projected from Arabidopsis thaliana (AT5G13330) UniProtKB/TrEMBL;Acc:A0A178UKL8] MCILFINDIVCENNRCIVSNDTGGNYYYGRTAGRRHYRGVRRRPWGKWAAEIRDPKKAARVWLGTFDMAEDAALAYDEAALRFKGTKAKLNFPERVVQGVKYSQSQLHCPATVSSSSSLSRQQYNPNPQDATETTINPSNTNVPSDMMTMTNYPHLLQYAQLLSSTDAELPYYASALYDRQQLLQPNPQITSPSAHVTPGLHRQRQEDFSSFSSEQCDYQSFCSSDFLKYGKDFDPKSPDQ >CDP00758 pep chromosome:AUK_PRJEB4211_v1:11:26177530:26182531:-1 gene:GSCOC_T00032829001 transcript:CDP00758 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGEPQQLLGIWYIEMHLSKLLALSRLTSTNMENQIKGLLLELKFVKMFFCCLETFTAADDQETMRDGSIELKRAQATLEAASSELYQAGFFASFGLDIEDWQTLVSNLLQIVKGFKPEVRNICSGVVVNHSRNSKSKLSYTNEEILEFTDSILVNLERLLSVVTGENIVPDVKKQMEALADKLKFTRDFLDFTIKRRGRSYDELEDFLTMFHAWTKTAACLSLLYWVEVDSTDENMAHWMNAMLSARVQEIMPSTPSDVQMYLGLLKSSKISRGDALLVDDIIARFVGFLPLENLVDCVERDDIVAVRDGLIFLISFLMDAPKESQSGAARRTVFLKPIEAARRKAFLRKIEAAISKLTNLIFLRDNSFIPWFLEKILKIMIQAKKHHVRMPKSSASNCPKTDGRGFVHSLLINLEEMMKSNAANFILFAKHKVAAIHRELHSLSLMLKDIMDLQNEHKSQELNGFWAQTIDVAYRAEGVIRSCSIVDRPIWYHLICLSDVLEGIKVIKTTVEKKVKREEHTSSNRSSTSSAETSVNRIPLSSRTYSFGSYEVLGFNDEADAVINLLKRGSNELSVVSIVGMPGVGKTTLARIAYNDPSVQLHFHKLAWCPVSETYRSRDLLLDIVNCITSVEDVDLYDMSDEDLTDLIRKSLLRQRYLVVIDDIWEMGAWDSIRQSLPRDRNGSRIVLTSQNHNLALQTGLAYNTHLLSPFTDEKSWKYLEEMLFHTHGCPDNLLDVAKKIARKCKGLPLAIVAILALLQKEERNLDIWGRIEENSNPGIASKGYREILERSYKHLPDHLKPCFLYFGAFQAGKAISAQKLTLLWVAEGFIRTTEMGRMESLEVLAKDYLMELINRSLVDVTERSSDGGIKACRLNDLLHDFCLEKAQEDKFLHIDWSDISHGSSSRVKHYPYRLSIHSKWETFGKPKPVGQYLYSLLVSSEIRRNQSYLKSVAFQYFHSFKLLHILNLEGIYLDLSFPEVIISMVHLRYLAIQGSFTAIPSSIANLWNLRSIAVKGLQPLICLPKTIWTMRNLKHVHVSENAVISLDDLELEYSSMLVSNMKTFSTLALHHVADPEKVLRRLSGLQKLKSSCSWTQNQFHTFAFPSTLKKLTVYKLGLPWRAMSIIRQLPKLEVLKLREEAFRGKRWDMTPEEEEFCNLKYLELSNLNLRQWSVAYDPFPCLEQLVVGDCYRLVEIPHCFERVTTLQMIELCRCSDRVKSSAEVILKEQRDWGNYELELLISDS >CDP00360 pep chromosome:AUK_PRJEB4211_v1:11:29769986:29771396:1 gene:GSCOC_T00032276001 transcript:CDP00360 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRNSSDLPPGFRFHPTDEELIMYYLRNQAISRPCPVSIIPEVDIYKFDPWDLPEKTEFGENEWYFFTPRDRKYPNGVRPNRAAVSGYWKATGTDKSIYSGSKYVGVKKALVFYQGRPPKGIKTDWIMHEYRLSEPRSQPNKQNGSMRLDDWVLCRIYKKKNLGKALDIKAEDQSTETLTTADAASCEPQQTQLSKFPRICSLSHLWEMECMGTISQLFGDNSYNAVYDNQNIVNSNGNHVSRQLGEVPHQFQGNQSGILNQPVYVHPVYEFQ >CDP05456 pep chromosome:AUK_PRJEB4211_v1:11:20958449:20961584:1 gene:GSCOC_T00020512001 transcript:CDP05456 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEGDYSPTEHHMRILQTVVNSSNSRRHFIRSYKRSFNGFAANLTYKQYQTMAAHNDVVWVFPSTTLKLHTTASWDFMGFPQNVRRDLSVEGDIIIGVIDSGIWPESKSFGDHGFGPIPQKWKGGCYTGGNNFTCNKKIIGARYYSSSYTPRDFVGHGTHTASTAAGNNVQDASFYGIARGIARGGVPSARIAVYCVCSEFACRDEDVLASFDDAIADGVDIITISLGPGSPKKLETDLIAIGALHALEKGILTVHSAGNEGYRAPGFVTSTAPWLFSIGASTTNRKIISKVVLENGKTVMGHAVNSFKLNGTKFPLIYGKDASKNCSEDRARICGSGCLDNDLVRGKIVLCKSYDGMLFAYDAEALASICLTDEAEDVSRVVPVAASVLNGKEFEQVESYVNSTRTPQANIMTSESILDLTAPIVASFSSRGPNKIFPDILKPDIVAPGVDILAAYSPEAKPTDALIDKRHLDYNILSGTSMACPHVTGAAAYIKSLNPTWSPSMIKSALMTTAWQMHSTNTLWGDAEFSYGAGHLDPVKATNPGLVYETPKEDYIKMFCGLKYDSSAVRKIFGVNITCTVLVPFISKDLNYPTMTARVENGQPFSIEFPRRVTNVGLPNSTYRAKVTKSTCQCDIKVEPDTLSFNALNEGISFTVTISGEKVENMASASLEWYDGIHIVRSPIVLYSTNQATIEHVIA >CDP00515 pep chromosome:AUK_PRJEB4211_v1:11:28537009:28539311:-1 gene:GSCOC_T00032476001 transcript:CDP00515 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVQWTLLLLMVVSICNVHVHASDPPLTLDYYKKTCPTVLELVRKEMECAVLSDPRNAALILRLHFHDCFVQGCDGSVLLDDTIDLQGEKEAPNNKNALKGFRIIDKIKNLLESECPGIVSCADILTVAARDAVLLVGGPYWDVPLGRKDSKTAGYELSSTLPTAADGLLSIISKFMEQGLSVTDMVALSGAHTIGMARCVNFRDRIYGDYALTSEVNPISEAYLQNLRSSCPPAGGEDNRESAMDYVTPELFDNSYFQILLRGQGLINSDQELYSSILGIETKRLVQNYAENPPAFFEQFSESMVKMGNITNPETYVDGEVRRNCRFVNT >CDP18987 pep chromosome:AUK_PRJEB4211_v1:11:31587372:31592336:-1 gene:GSCOC_T00001032001 transcript:CDP18987 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLPTSSPPSLVRQLVSALISPSSSLLRPLHPPVSLLSRRPLTASTLPMPDGDDSLLRKALADKLATIQAQANAVRQLKASGAPKSDIDAAVQSLNALKLDKASLETQLLQSATNNRDAFRQALVNTLERRLFYMPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEIVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDYCKDKLEKDLTITAEKAAELKHVLALLDDLSAEELGAKIKEYGITAPDTKNHLSDPYPFNLMFQTSIGPSGLTPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPQDKSHPKFSEIASLEFLMFPREGQMSGQSAERVQIGEAVAKGIVNNETLGYFIGRVYLFLTRLGIDKERLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLCAHSDKSGVALVAQEKYSEPREVEKLVIAPVKKELGLAFKGNQKMVTEALEVMDEKVALQMKADLESKGEVEFYICCLGKNVTVKKSMVSISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHAFYTRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNQQYEEVAKFISKSLTAAGISHKIDITGTSIGKRYARTDELGVPFAVTVDSRSSVTIRERDSKDQIRVNMENVAAVVKEVTDGQSTWDDILKAYPLHSSGSVDEE >CDP13225 pep chromosome:AUK_PRJEB4211_v1:11:32139128:32140569:1 gene:GSCOC_T00038094001 transcript:CDP13225 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGPYLLILAATTNKGGEHAQPTMMITITYYYGEKISILSDDVVGSSWIRMDENVIFSHGAV >CDP15269 pep chromosome:AUK_PRJEB4211_v1:11:19347012:19348169:-1 gene:GSCOC_T00042936001 transcript:CDP15269 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLKMGGIKNKTKLLQLTFAALLALLVLLALFSLVTNTNAVLQHPSPSHNTTTTTTTTSTTTTSKSSIHVHQHLQIAHSTCQDTFYPDLCVSTLATFPDLRQRTLPEIISGMVNSTVFEVRDSKQNCTRIRRKLQNLDPLDRRALEDCLQLLDDTVAQLKAAISDLSSNKSALQHYMDLQTLFSAAMTNQDTCLDGFAFSRRKYIREFIEGRLRKISHHVSNSLAMLKRVKKQQAAAGAVFQEEEGEFGTPVKSGAGFPTWLKKKDRALLQAPLNQTKVNLTVAKDGSGNFTTINDALQAAPNSSTTRFVIYIKAGAYYEYIEVESRKKMIMFLGDGIGKTVIKGNRSVGAGWTTFRSSTVGNYNSHLLRLFLFISFSLCVLSE >CDP16991 pep chromosome:AUK_PRJEB4211_v1:11:18320335:18321766:1 gene:GSCOC_T00004833001 transcript:CDP16991 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSLTQNSSSYIEGGDEQTLFNKEKEDGSDQILSVLPRERGWLSEHIHLYQGFWYSTGVLKGLLILQKHFWAKPSDILLATYPKSGTTWLKALLFTITNRTCISHPNQNPLLTANPHELVPMLESYAAANPVNPKPPNSLMHTHIPYTSLPESTKSSGCHIVYVYRDPKDVLVSCWHFVNMLKPEAVPRISLAEAFEKFSKGVSPYGPYWNHVLGYWKASIEWPERVFFIRYEDLKKEPCFHTKRLAEFLGMPFTTDKEGESLVSKVVEFCSFKNLSNLDVNKTGSHSGFGFPVIENKIFFREGRVGDSQNYLEREMMDHLDQVTEEKFKKFHLKTFSQEDDEKSEVPIAL >CDP13236 pep chromosome:AUK_PRJEB4211_v1:11:32232195:32232832:-1 gene:GSCOC_T00038113001 transcript:CDP13236 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHNLRFSSCDLLILLLLVTLLLGPVCSSAPTLTECNFPAIFNFGDSNSDTGALSAAFGQAPPPNGETYFHSPAGRFSDGRLVVDFIGNTPNISPKLYYKFLI >CDP11639 pep chromosome:AUK_PRJEB4211_v1:11:16364960:16369047:1 gene:GSCOC_T00034030001 transcript:CDP11639 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVTALLIHLILLSNKVPPTQVDAIPGVLLLSLGNFSFVQPCDLKSLLLLDRMYLLIVSKDELIHLDFGIPMKQVLQSHLHFFMADQLTSQAKHPTELYSCYFIAGSFKTHRYATCKSGKMYRELAYVISFEPYYWRAMRVLEHLIYLTANSNPLSIFKNYDNGTATDLLTIYPLYHSETSDFWMIIVLVTSLVATVYQLYWDFVKDWGLLNPKSRNLWLRDDLILRNKCIYYISIAFNLVLRVASVETVMHFNNIGNFESRMLDFLQASSNQTWAMELLQNEDLNNVGKFRAVKNSSFAIQRH >CDP00714 pep chromosome:AUK_PRJEB4211_v1:11:26587781:26589473:1 gene:GSCOC_T00032771001 transcript:CDP00714 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLIVVRKLYAYNLRADFSIIGQNLATYRFIAVDIEFPGTIFRSQKPYDIKKKGDKNYQLMKENVNSLKLIQLGLTLSDSSGKLPNLGTDSYFIWEFQSFEST >CDP00706 pep chromosome:AUK_PRJEB4211_v1:11:26682864:26688314:1 gene:GSCOC_T00032757001 transcript:CDP00706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MGLKNPVEQAVILLRSRLCNPNFIFTLFSDSPDSNYSKLKYIISSSVTESCNNSVLLLGPRGCGKLAVLELVLEDLLREFPDMISVIKLNGLLHSDDNCALKEIARQLCLEHQLAFSKMASSDENSQFLIAMLRECGLAHKTVLFVLDEFDLFAQGKQRLLYSLLDAMQSVTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFLPPSREDLQRLLEHALLLPSGSDLPHEFVANFNSNLLNIFADKKFKDIMDTVFNTDSTFKQVLTFLFSALCHLDLKCGFLSVENFKDALSNIQRQPKLECLKDCSILELYILVCMKRLEVREHETCNFNSIMKEYKSIHDSFQTTDYYARDVCRRAFEHLLQRELISFLDNRGHGHSIEFRPVKLLISWQELYQGLKSYGSCPAILYKLVERETTT >CDP13399 pep chromosome:AUK_PRJEB4211_v1:11:33507866:33508817:1 gene:GSCOC_T00038323001 transcript:CDP13399 gene_biotype:protein_coding transcript_biotype:protein_coding MFHIGGPAEFRAPPPPPPPRPPLTANYLINTPSPLFLQHPGRGGTISLTSSSSPPHQEITNWPANSRVVSSTTLHTCSSSSPSLVVQPPGPYFPRPFHLHAGIGAATARPAGIDFRVVDPPRRPHSGIWFMLQAQQNQAKEPFLPQISKSFLRIKDGGMTIRLVIKYLVNKLKLESESEVCMHLTASSFKLLFLIL >CDP13256 pep chromosome:AUK_PRJEB4211_v1:11:32342051:32345467:1 gene:GSCOC_T00038138001 transcript:CDP13256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SIEVE ELEMENT OCCLUSION C [Source:Projected from Arabidopsis thaliana (AT1G67790) UniProtKB/Swiss-Prot;Acc:Q9FXE2] MSLTGFERRYIHLPVSTEEDFLIRKILMTHDPDGRQLDAQQLLHAVKNIIHRASPVSEADCHPENVAVWDADAIGLHDSLGYTIFKISHEVLSRCFEGGDIHTKTMSLFDMLGKFRWDAKVVLVVAAFFTNYGVLQLQVQLQSRNPLAALVALLRQVPSDLSGFRAQFKAFTLLVKTVMELTEVIIEFESLPLQQELLEYKVISAVKSKIYLGSYWIFRSSLACFSLIADLRTRKQDQEFKFYNNCSMDYAQFNSRLYEKLPNLFNQIHVDNQEKLKMLIASGDNFPLMDCLSKEKHSISELKNKVVILLISKPELLPIEKIFLLVHQTYDHPHQEKIGGSYVVLWVPLSSSHGWSHADKISFQFLSGSLPFWSLKQPGLLNSAVVNFIIQEWNFKEEAMMVVLDTNGMITNSNAMDMIWIWGAKAFPFSISREKELWEVEKWTLQLMIDGIDPLLTKWQIEEGKNFCIFGSGNADWIRNFSSKIKEVSNTELQLEVIYVGWRSPTEKLQSILRIIEQENLCTYLTFTKIRFFWLRLESMKSLTSRQENNDDDMSKEILGLLEVDDSDDGWVLIGTGSLPGLIKLQGQKVTEFFDLFPVWAENLGTMGIVGAIKSALEPTLSTGPCDHAEILAFKEGLLKGPVFCAVCKRLKEKYVLYKCDATG >CDP07952 pep chromosome:AUK_PRJEB4211_v1:11:11317156:11318762:-1 gene:GSCOC_T00025488001 transcript:CDP07952 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein SLOW GREEN 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18420) UniProtKB/Swiss-Prot;Acc:Q9LS48] MDSTLIMASSSPSSFLHHNLTSKLYQPVFNFKHSSFRPLNSRNFTIIKASSDCSSSSNPSSNPSNPDPLISSLKKTTAAIVFAAAVFGKFHQLPARASPPSPPPTLEKQEEILEEETHKGRFEEDQENSPLTQFLESNSEAVEALKSLLQQKLEVGEDEEGLKILRKLSSAQPENTEWKFLMARLLNEMGKTQESREVFEEILVRNPLLFEALFENALLMDRCGEGAAVLRRLEEALKIAEEEKKVKEARDVRFIMAQVQFLQKNVEEALQSYEELEKEDPTDFRPYFCKGMIYSLLDRNKEAREQFAKYRELSPKKFEVEGYLRTPLSRMKLFGTDENEN >CDP16322 pep chromosome:AUK_PRJEB4211_v1:11:23613166:23617022:-1 gene:GSCOC_T00018119001 transcript:CDP16322 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDTNKDGVVYPWETFQGFRAIGCGILLSTFASVFINVGLSRKTRPGKGFSPKFPIEIKNIKRAKHGSDSGVYDTEGGFVPSKFEELFRKHAKTNANALTSDELKNLLKANREPKDYKGWIAAYSEWKILYILCKDKQGLLHKDTVRAVYDGTLFDRMAKEKAGKKNHRFFFKEKM >CDP00688 pep chromosome:AUK_PRJEB4211_v1:11:26924526:26926651:1 gene:GSCOC_T00032721001 transcript:CDP00688 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAAASLVQTLEYLLQFPCLVLEMKKMQAEVVTQRFRQVLLLLKGEPSASSSSGLQQLSEEPETLASSFEGFLGAVGPHADFLEIKKRTVSLSCGIPKLQEKKPPILTKIGSLEQDLKKFLETTVLDIRTDLPNYVVHQPSREAARKMLRAVDKLKQAIIFFYEVASEITILYQDLFSLLSFLDDSSDKFQDHELLKCIKDVAYRARDLVEECILDNQVESVKTYLICTLSNSESPSRFLHQAVGKSSLETVVKSALDGKKGIRRGLVHALQDVQSIKRMTTNIDEKSLRLQGSSVRKAPLSSNKEDIVVGLDAELTTILEGLTGLPGLEIVTISGMGGIGKTTLARKAFNDPYIVYHFYCRAWITVSQVYQVRDLLLGLLSSIARSTDKMVEKSNAQLAEAVYRSLKGMRYMIVMDDMWSIDAWNDVKRCFPDDKNGSRIVVTTRFMELATNVSPKKPPHCMNLLSAEQRWELLEMLIFGTASCPQELVGVGKKIAKRCRGLPLAIVVVAGVLSRVIREYNYWNNIAEEVSSVVSTDPENCLDILALSYNYLPHHLKACFLYMRIFPEDCKLEVSKLINLWAAEGFL >CDP00207 pep chromosome:AUK_PRJEB4211_v1:11:30923113:30926838:-1 gene:GSCOC_T00032081001 transcript:CDP00207 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITIVPTGKDFVDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVKYTQQNFHEKLSTIIEEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLIGKIAKDYVKLLKYGDSLYRCKSLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEGISEEDMKLVMEMKAEAMKTVMGQGGEPTDDEGVLLTMSTLTEEGVIAVKNAACERLLNQRVEMKMKSKKLNDCLNRFHVAIPKPRDQKERPACIPQAVLEAKARKADQAAEKEKRKLERDLEDENGGAGVYSASLRKHYILANDEWKEDIMPEIMDGHNVYDFIDPDILQRLEELEREEGIQQEQEADDDFEMDGAELTAEEQEALAEIRKKKSFLIQQHRMKKSTAESRPIVPRKFDKERQFTSERMGRQLSSLGLDPSLAINRARSKSRGRKRERSPERGEDAMDVDNDQPSKKLLRLRSRSRSRSRPPNEVAPGEGFKDSAQKVKAVKLAKKSVKKRNKDARRGEADRVIPSLKPKHLFSGKRSIGKTQRR >CDP18991 pep chromosome:AUK_PRJEB4211_v1:11:31559542:31563458:-1 gene:GSCOC_T00001038001 transcript:CDP18991 gene_biotype:protein_coding transcript_biotype:protein_coding MACISAVISVSNVNVGASASPSPSSSWWRHWHTPKLQSITTPLPTDAHPQPAAGFSLAEDETPRPAFSPLVHRRKVVLSSVGLLMASTYFNGAASALSSRFADMPALRGKDYGKTKMRYPDYSETESGLQYKDLRVGSGPTPKIGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKEFYKFKIGSEEVIPAFQEAVSGMALGGVRRIIVPPELGYPDNDFNKKGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIVPT >CDP00501 pep chromosome:AUK_PRJEB4211_v1:11:28640293:28648324:1 gene:GSCOC_T00032460001 transcript:CDP00501 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYLNVLCLIGDVSFLYDTNGLSLLRQRVLRKPVIVIVINNQGGAIFSLLPYAKLTDHKILDQFFYTSHDVAIGDLCMAHGYMKHAQVQTKKELGEALLTAQQENCDYVIEVKSCIDNTATFHSHLGASISQAIDHSLGVLSKLSQSETTLHGSSSIRISKMEYSLYRIPLRAPPTSSSGNNNSSIFYREGFVISLCLEGGGTGFGEVAPLEIHRENLLEVEEQLRFLIHVIEGRESTYFLSLLNGSISSWIWNCLGISPDSLFPSVRCGLEMAILNAIAASKSSSLIDIFHPRTGELHTKGTAVKICGLIDSIGGPTDMASAASALVEEGFAAIKIKVARRSNPIEDAMVIQEVRRKVGNHVEIRVDANRGWTFSEAAEFANLVKNCNLQYIEEPVKDEDDIVKFCEETNLPVALDETVNNTRANPLEVINNYTHSGIVAVVIKPSMLGGFENAALVAQWAQKQGKMAIVSATFESGLGLSAYTQFSSYLNLQNAEIRRLMKKERSLCLAHGLGTYKWLKEDLSVKPAEVHFNSNNGFVGASVVDTAQFLQRFQVNQNVIVRTFDEEQVRNYQLPVDFEGVSYSINVLETGKRVGDYVFVFLHGFLGRGEDWIPIMKAFSRSVRCIAIDLPGHARSDVKYQADNGSMKRHSLSVDAIAGMLCKFITNVTSEKVILVGYSMGARIALHMALKYDDKIDGAVIISGSPGLTDPGARKFRRAKDDFRASSLVSHGLEHFLGAWYVEEIWNSLRSHPHFSEIVTDRLQHDDLHTLAKVLSDSSIGRQQPLWEDLKQCNLPLLLIVGEDDAKFKQIAKRMLHKISHSTRMKATPAIVEVPKSGHAAHLENPLHVIYSLRQFLNRVKTLSSLQTQNAVVDSHRDIPRQVSKTM >CDP16302 pep chromosome:AUK_PRJEB4211_v1:11:23827897:23832345:1 gene:GSCOC_T00018085001 transcript:CDP16302 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDLYRAAQSGNWDEIQKFANKLYSQRNAGGNSVLHVVAWQCSNSADAVTRILEREPRLLLIRNDQDETALHLAASMGRSYVVQAIMGFVEGTKNRKSRDKMLRELLGARDRRGNTALHEAVRNNFYEAAKVLVEADRELRYRVNFAGESPLYLAVENENRGIAILILSNCESPSYDGPDERTALHAAALCKFPECEEVIKLMLEKLPEVTKKVDKIGWTALHCAAKFDRPEGVRLLVSKGKTMAYVYSKRRDSYKTALHIAVISGSLGVIREILNYCPDCWEAVTKQRQNILHLAVKNEHHEVLEFILRSPWAGHLINRQDHHGNTPLHLYVATERLDGQNLVSHPALNLNAINEAGLTPLGQITESENLTARQILIKDELEQAGGTRGYRNVATVKKILQAASPDEVKRVEKLSKNYSIVATLIATVTFAAVFQVPGGYNSDGPHKGMAVLGKKAAFIAFVILDSLAMFASIVAVVGHIMLMVTKNYRLKLAVVLAIVVEVGLALEFMTMAFITGLVAVLPNLTAMILLCAVCAWLGLHLFIWTRFVGSPTKFLSSLLCLHSHMLQLFARKRGQGMYLYN >CDP00340 pep chromosome:AUK_PRJEB4211_v1:11:29915049:29917529:-1 gene:GSCOC_T00032248001 transcript:CDP00340 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSAAFLTLLVLQCLAVLTVAQNDFDFFYLVQQWPASYCGTKRSCCYPTTGKPAEDFSIHGLWPNRNDGSWPSNCDEGSSFDESEVSDLVKRMQQEWPSLACPSSDGVRFWSHEWEKHGTCSLLNEHQYFQTALDLKDKSNLLQVLKDAGIRPGKFYSLEKIKEAIQQGVGYTPFIECNVDQGGNRQLYQVYLCVDSSASDFIECPTFPHGRCGSEIEFPSFSSLHDEA >CDP13381 pep chromosome:AUK_PRJEB4211_v1:11:33318722:33324750:-1 gene:GSCOC_T00038296001 transcript:CDP13381 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHDSYISTLLCGIELIHSGVTCFAEAGGQHVSEMARAVELLGLRACLTESIMDCGEGLPMAWANRTTQHCIQSQKELYKKHHNTADGRIRIWLGIRQIMNATDLLLTQTRDTAKELQTGIHMHVAEIPYENQYVANNRGVDHGTVAYLEKIKFLEDNLLAAHTVWVNPGEISSLSKASVKVSHCPAAAMRMLGFAPIREMLDAGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREVFYKGTTDPTALPAEIILRMVTSNGAKSILWDKEIGSLEVGKKADMTVINPSSWSMVPLHDCISSLVYCMRSENIQSVMCNGEWIMKDRKILKVDESAVISMAKHASVELMKRAGITVPSRMTIL >CDP00690 pep chromosome:AUK_PRJEB4211_v1:11:26888546:26892672:1 gene:GSCOC_T00032725001 transcript:CDP00690 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNIDEKSLRLQGSSVRKAPLSSNKEDIVVGLDAELTTILEGLTGLPGLEIVTISGMGGIGKTTLARKAFNDPYIVYHFYCRAWITVSQVYQVRDLLLGLLSSIARSTDKMVEKSNAQLAEAVYRSLKGMRYMIVMDDMWSIDAWNDVKRCFPDDKNGSRIVVTTRFMELATNVSPKKPPHCMNLLSAEQRWELLEMLIFGTASCPQELVGVGKKIAKRCRGLPLAIVVVAGVLSLLDIFFLHFDYFPDQILKLIHLRYLALNVTYELPASVSQLRNLQTLVIHGPWLCRESGGSPTLLLEYWSMPSLRHVHITAACHLKNPFTVQDNLPRPFASEHLQTLYTIQFSCCTKEFFSVMPHLKKLGICETKEDYSTDSLSQVLNNLVCLQELETLECSFHTQNREVRKNLGLAALPVTLKHLSLSWSYLPWEDMTFIAMLPNLEVLKLKNYAFQGPKWEPTEEGFHSLKHLLIENTDLIHWEAIIVRHFPCLQHLVLKSCKLLEEIPFGVEELGTLQRLEAHYCSEPIENSAKEIQEQIEGIDVIIRSDRLCIRSAFNSILSLNIQCLQLLCFQLSFQITICKTSLSCGKCAVTAKCIFMVLVFS >CDP05588 pep chromosome:AUK_PRJEB4211_v1:11:23341507:23344099:1 gene:GSCOC_T00020717001 transcript:CDP05588 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLFDPNDLTDFVVKQGNGVKGLSEIDLKTVPRQYIQPPEERLDKNQVVDGESIPVIDVSNWDDPKVGASICEAASKWGFFQIVNHGIPLEVLDNVKEAAHKFFELPTEDRRKYLKENSPTPTVQLSTSHSPSVEKVLEWKDVLIHFYVPGDESTNLWPPVSKDQVLEHIKWAKPVIRKLMEVLLKGLNVKEFDEEKESLLTGACTLSLNHYSISPNPELSYGVGPHSDISSITVLLQDDVGGLYVRATRAGQWIHVTPIQGALVVNIGDTLQIASNDRYKSIEHCVIPNAAENRVSVPIFASPKAGGIVGPLPEVLKTGEKPIYKDVPWSDYMKHFYSKGHDGKKTIEFAKI >CDP16279 pep chromosome:AUK_PRJEB4211_v1:11:24094765:24100544:-1 gene:GSCOC_T00018054001 transcript:CDP16279 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFVLGKELCNNITGHVMKLDLSNPFLFDNQRYLMIKIVLHFSSKWLGGDISPSLLNLKHFLCLDLSINSFSRIQIPAAYSYLKNLEYLNLVNAGFGDSIPTILETFKLAYLQVGYAFRVSDYGLTVDSLRWVDGLSSLKSLDLSGWNNFNSTIPLWLSNATSLKQLQLDHNSFNVSIPDNLEKFTSLAVHDLSSNFFGIQLPNSFCKLTSLVHMDLNGNNFQGTIPYCFKNLTSLAILNLEANYLEGPIPDSISQMCSLKVPDLSLNQFNSLLPTLIRDPSDCLLNSLKELNPEVLHLSFNSLSGTIPSELGSLSHLRELDISNNKFNGSVPSSIGQLLELVKLEISNNSLSGEVSENMYSRIDDLELSHNSISGRLPKFEEYNDSFRVINLNSNKFKGTITYAPLGAYLVDPSENSLEGQLPLFDSYLNLSLTRLLLFDNHFTGEISPSLCEIQSLIIVNLSSNQLSGKIPSCLANLQNLHNLRILDIGDNGLNDIIHPWIGNLWDLMFLRFHSNCFHSTIPLQLCQLNSLKVLILSKNNLSGSIPHCRELKYSRSLQFLKTIELSENSIPGQIPEEIMKLIGLQNLNLSGNCLQGRIHDRIGNLKQIESLDLSRNKLSGPIPPCLSTLNSLSSEPNNCEIELELSWFYAGLGPGFGFGFMIVCCILVFKKPWRAAPFQFL >CDP00633 pep chromosome:AUK_PRJEB4211_v1:11:27386490:27387770:1 gene:GSCOC_T00032640001 transcript:CDP00633 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPEISIITDFGAAGMDCLQNPSLISRVFSLSNLTTQVHSFWKWGAIIIAIFATFSSIIKRIKVIHIRRIKSSSESLLQHLDIDFDLSDDDDSTNDSSRASSDSEDDDDCPTSTASFLNPFSGDRDFRLKGSTNYYKSQGQNDHLRLRRHRQNERSGGCEGLAWPDFGAGNGVVKLWDSLGLSLGFDSFDDYSDSDSVVSLWDFDKEKKISDAFGGFGDSPEAFVAAVPSRPVVLSAKARDNSNGVVLGAYDTRMGGQKPALYAEWGRSSSPAKVVGLKSGGVDKVYVRDDVSGALTVGDVRRVKTPLETVTETDGDLWWDADAVILEDEFADCSK >CDP00605 pep chromosome:AUK_PRJEB4211_v1:11:27739386:27740964:-1 gene:GSCOC_T00032597001 transcript:CDP00605 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSNNNGLHTNGCHDFAPQSKVMISTARMEETICTAKTLRRKATLVNKVKRRRKKRLTIHFRHDFCYSLLTFCTSKICQEDDFLNLQISTKDESFPGVHHPRYQLC >CDP00182 pep chromosome:AUK_PRJEB4211_v1:11:31146512:31150850:1 gene:GSCOC_T00032048001 transcript:CDP00182 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDQRVTERDLEDEFRFYGVLRSVWVARRPPGYAFVEFDDRRDAEDAIRALDGKNGWRVELSHNSKGGGGGRGGRGRGGDDLKCYECGEPGHFARECRLRIGPRGLGSGRRRSPSPRYRRSPSYGRRSYSPRRRSPKRRSISPRRGRSPSRSPDYRRGHRDSPHGNSVSPRRGYSNYSRSPAHRRGHRESPYANGD >CDP04859 pep chromosome:AUK_PRJEB4211_v1:11:4130652:4133294:-1 gene:GSCOC_T00019628001 transcript:CDP04859 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHQKFGVYTRRNIFPIFFFFLLKIVSQFTRFLWNISLIVTFLHIGLHFVILNTIKQSVEIRNNICHNTTIHTNSIMDAVTTFYLTFCICLNYQNWLTRATNWTKFSATALQVINRGHLQQGKSLIHPCHTVELAIVQRMLDKYQALIQSDNIQVTLYYCNHVYHNLVNSRKYQHIKISEILTSSTLIHMSPDYLSICQFLMFLDRSEDIAAVFEKLLRTKSKNDGLLGFQIAFDLVQNEHQAYLLKMSD >CDP13341 pep chromosome:AUK_PRJEB4211_v1:11:32973645:32973950:-1 gene:GSCOC_T00038245001 transcript:CDP13341 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIVLTQLATGLSVLAGAVVVKSVMDSKTMFGPGQFPRCPTCNGTGRVACLCSRWSDGDIGCRTCAGSGRRSCTSCGGSGTGRPIPVQLSAGPPRNQPSS >CDP13203 pep chromosome:AUK_PRJEB4211_v1:11:31990695:31996404:-1 gene:GSCOC_T00038070001 transcript:CDP13203 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASALFLLDIKGRVLVWRDYRGDVSAAQAERCFTKLIEKEGDPQSQDPVVYDDGVTYMYIQHNNVYLMTASRQNCNAASLLLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVERHSRSRMEMTVKARSQFKERSTATNVEIELPVPSDATNPNIRTSMGSSTYAPENDALVWKIKSFPGGKEYMLRAEFTLPSITAEEPLPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >CDP14398 pep chromosome:AUK_PRJEB4211_v1:11:12622794:12624110:1 gene:GSCOC_T00040781001 transcript:CDP14398 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRAVAFWPAAAAVSALELNIPKLIHDGIIDSSANFVAGSVLKNQMVQLSPTILAMDSAHFVWACIGDPTTQGIIFYVILKNIRTLKLADWIICNSSNELETSVFNSYPEMLPIGPLLSSNRLGKLVGSYGPKDLDCLVWLDKQPVQSVIYVAFGSITVFDQTQFQELALGLERTNMPFLWVVRRNLTAETDNAYPKGFKERIQGRGRLSSWAPQQPVLSHPSVACFLGHCGWNSTVEGVSNGVPFLCWPYFADQFTNRSYICDDWKVGLGLEKDGNGIIAQGEVKNKIEQLVTVKGYKERALDLKAKVMNSLREDGCSGKNFNNFVKWIKDD >CDP00392 pep chromosome:AUK_PRJEB4211_v1:11:29469228:29471941:1 gene:GSCOC_T00032318001 transcript:CDP00392 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVKVGSIKAGSFWLTKKAKEEFNNISEDLTTFSSTVEEKAKWIFNKLKGKPLKSLPDLLREYNLPPGLFPQNISSYEFDESKSKLTIYLPTACEVSFKDSSVIRYAPRVKGILTRGKLMGIEGMKTKVVVWVKVTNIAVEGYKSDKLWFTAGVKKSRPKVAYDVPHDAIRIKEF >CDP00474 pep chromosome:AUK_PRJEB4211_v1:11:28867793:28871917:1 gene:GSCOC_T00032424001 transcript:CDP00474 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQLFIFIFCLLYLLHTHGSGIFFVIAWASYSQTMDDEYEKFIRRMNPPRVVIDNESCKNATVIQVDSANKHGILLEVVQVLTDLNLIITKAYICSDGGWFMDVFKVTDQDGNKITDEGVLDYIQKSLGPDSCFASSMRRSVGVVPAMDHTSIELIGSDRPGLLSEVSAVLTHLKCNVVNAEVWTHNTRAAAVMQVTDKETGAAITDPERLAMIKQLLCNVLKGSNKSREAKTVVSHGVTHTERRLHQMMFADRDYERTGHETLNERERPNVNVVNWCDKDYSVVTIRCKDRPKLLFDIICTLTDMQYVVFHGNVDAEGPEAHQEYCVRHVDGSPVKSDAERQRVIQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVSTRAGKASNTFYVSDASGYPIDGKIIDSIRQTIGQTILRVKGCPEELNQTPQESPTRFLFGGLFKSRSFVNFGLVRSYS >CDP13267 pep chromosome:AUK_PRJEB4211_v1:11:32424386:32428560:1 gene:GSCOC_T00038152001 transcript:CDP13267 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGDEQLDFGDEEYGGSQKMQYHRGGAIPALAEDEMINEDDEYDDLYNDVNVGEGFLQMQQQQRSETPRAPLGVGNGGFPAPRASVQDPRAETVVTQGVNIPRSATEGKYTNSGVRFPDQKSGLASDVGALPGTDASQKGRAPEIIHNSQAGNLGYQGSMAVAMSQKVGVESLDMSGKVTGEPGPLLNPVAGAPRVIPQVPANHMSSSSNVNSIRPVVTENQVRPAVENGNTMLFVGELHWWTTDTELESVLTQYGKVKEIKFFDERASGKSKGYCQVEFSDPAAAAACKEGMNGHVFNGRACVVALATPQTIKQMAASYMNKTQVQSQSQSQPQGRRPMNDGAGRGNGTNYPSGDAGRNFGRGGWAGRGGQGMPNKAPVGVPGRGRGTVGAKNMMGNAPGGGNGVTGGAYGQGLAGPAFGGPPTGLMHPQAMMGPGFDPTYMGRGAGYGGFSGPAFPGMIPPFPAVNHMGLAGVAPHVNPAFFGRGMAANGMGMMGTGGMDGPHAGMWTDTSMGWGGEEHGRRTRESSYGGEDNASEYGYGEASHDKGVRSSAASREKERGSERDWSGSSDRRHRDEREHDRDRYDRDHRYREEKDSYREYRHKERDPGYEDDYDRGQPSRSRSRSRAVPEEHHRSRSRDADYGKRRRLPSE >CDP11616 pep chromosome:AUK_PRJEB4211_v1:11:15738985:15739637:1 gene:GSCOC_T00033988001 transcript:CDP11616 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAELRHIKLNTVAYNSVIGSYMNFGDFEKAIMVYGSMRKHNVKPDSVTYNVLISGCCKMSKFSNALEFLNEMLDLKVPLSQEGQLAGAESMFSMMKMAGFHPDVVTYTAMLHAYSVADDWEKAFAIFQEMELPGVQQFKEWFCK >CDP19666 pep chromosome:AUK_PRJEB4211_v1:11:21752:28575:-1 gene:GSCOC_T00010269001 transcript:CDP19666 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLDDVNPIGLGRRSRQIFDEVWRKFSGLGQISRTTRTNDEMSLLIGESGPMCEFAIPGAQNTTVLVVGATSRVGRIVVRKLMLRGYTVKALVRKADKDVLNMLPTSVEIVTGDVGDPSSLKTAVEGCNKIIFCATARSSITGDLNRVDNEGVYNIAKAFQDYNNELAQLRAGKSSKSKLLIAKFKSADSLEGWEVRQGTYFQDVIASKYDGGMDAKFEYTENGAAIFSGYVFTRGGYVELVKKLSLPLGSTLDRYEGLVLSVGGNGRSYILILEAGPSADTTQSKIYFARISTKAGFCRVRVPFSSFRPAKPDDPPLDPFLVHTLIIRFEPRRQQKPVESPNGVKQDLRSFKLILEYIKALPTGQETDFVLVSCTGSGIEPNRREQVLRAKKAGEDSLRRSGLGYTIIRPGPLMEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVAEPGKQLYELVAHLPDKANNYLTPALSALEKNT >CDP00236 pep chromosome:AUK_PRJEB4211_v1:11:30712959:30723892:1 gene:GSCOC_T00032118001 transcript:CDP00236 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDTYTSSQLKRPVMSSRAEPSGQSQLMGGSGAQKLTTNDALAYLKAVKDIFQDRRDKYDEFLEVMKDFKAQRTDTSGVISRVKELFKGHRDLILGFNTFLPKGYEITLPPENEPPPLKKPVEFEEAISFVNKIKTRFQGDDHVYKSFLDILNMYRKENKKITEVYEEVAALFRDHSDLLVEFTHFLPETQAAASARNAQLGRNSSLRRDDRGSPMTMATPMHFDKKPSSAHGDYDPSVDRPDRDPEKVLMRSDKEQRRRFKEEEKRREGRELREQEYDARDLDDGTHHFSHRRKSGLKEDSDGAYYHQGIVDTEHNIVRSKISTTKNSKNLLQTCMQGQALAFREKVKERLLNSDYHREFLRCLHNYSNEIITKSQLENQVANLLEGHPDLMEEFNEFVAHDKTADGCLAAVMSKHNFWLRSLWNDGQISRSVKVEDRVRDREWDREERDKDHSNRERDRSDRGLAFGFKDVAGPRISSYATKDKFLAKPIQELDLSNCERCTPSYRLLPKNYPIPSASHRTEIGAQVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLIESVNTTTKRVEDLLDKMNDDSSPICVEDHFTALNLRCIERLYGDHGLDVMDVLRKNAPLALPVILTRLKQKQEEWAKCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIREIFDKRSQEDDMLLSTISGRRQPLVPHLKFEYPDPDIHEDLYQLIKYSCGEVCTSEQLDKVMKIWTTFLEPMLGVRSRPQREDTEIVRKASNCSVRTITNTVCGSDGSPPGSASVIACKQSDASKIAEESIPSERSTSRNGGNEVKDDDSHDAAHKSDSLGNASQPAKICNDGIVADEFSQISKLASLGEQLTSSSADGHERANAENASGSCATPGKPGDVTAEIELQMRASNESQNADCTQPESSSAVTAPEGFKIQKFQEESVAHFKMEREEGELSPTGDFEEDNFAECKEVERDAKVNAHKTQHQDVTGGGETCGGDAVGENDADGDDEGEESARRSSEDSENASGNGDVSASESADGEECSPEEPDEDGENDANDNKAESEGEAEGIADAHDVEGDGAILPHSERFLQAAKPLTKLIPPGSPEGDKGYQIFYGNDSFYVLFRLHHILYERIHKAKLHSSSAENKWRVSNDTNPTDSYARFMNALYSLLDGSADNAKFEDDCRAIIGTQSYLLFTLDKLIYKLVKQLQTIATEEMNNKLLQLQAYERSRKPGKFVDCYYNENVHVFLNDENIYRIECSSVPTRLSIQLMDCSFDKPDSNAVSMDPNFAAYLTEFLSVVVPERKVNPGLYLRRNKRKYMHLDENDAFMEASKGLVMRNGLECKINCNTSKVFYVLDTEDLLLRRRKKRRISHENGSCNGHAKSSNGFFNKIWDKLLFSQYAVLQE >CDP00582 pep chromosome:AUK_PRJEB4211_v1:11:27931927:27934947:-1 gene:GSCOC_T00032567001 transcript:CDP00582 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTQEYIDSLEEERRKILVFQRELPLCLELVTQAIETCKQQLSSGTTVTEYNFHGQSECSEQTSTDGPVLEEFIPIKRALSSDDDEQQSRKPKKSNISNGNDKDNKSNNNDKAASSKKSDWLRSVQLWNQTPDPPQKEDSPKKVSVVEVKRNGSSGGAFHPFKKEKSIGANAGKLDVPKSGDKTSIPAAEASSTEETGGTSGGGGGGNGGSKKEEKEGQSQRKSRRCWSPELHKRFLLALQQLGGAHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRRPSPSIHSNNNPQAPQFVVVGGIWVPPPEYAAMAATTGSGEASGGANSNGIYAPIPTLPPPFHDPSASLKQKQRHKQLHSDDRGSNSGGGGHSNSHSTSSSTDTATTSPNY >CDP05509 pep chromosome:AUK_PRJEB4211_v1:11:21994290:21996628:1 gene:GSCOC_T00020591001 transcript:CDP05509 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLINLFHMNVSYNNFVGVIPVGRNFSRFPPDNFIGNPLLRGNWLGSVSSLGTSKFKAIFSRTAVTTLLDYIRKSKVAASEVGGITQGMGAYKVQVPFDSKPQTCVFLDTPGHEGFRAMRARGARVIDIAVIVVATDNGIRPQTEEAIAHAKAAGVRIVIAIDKVRLHSTVCWLVYHLVSFAFCF >CDP13303 pep chromosome:AUK_PRJEB4211_v1:11:32655301:32657486:1 gene:GSCOC_T00038194001 transcript:CDP13303 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQLPLKTAEEKAIEDWLPVSSVRKSKWWYSAFHNVTAMVGAGVLGLPYALSQLGWGPGVTMLAISWMITLFTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLLVQVSTCIVYMVTGGKSLKKFHDIVCPPPRCQDIRLTYFILIFASVHFVISHLPNFHSLAIISFSAAVMSLSYSTVAWMTSLFKGQIPNVSYVPRGSTAAGKTFNFLNALGDVAFAYAGHNVVLEIQATIPSTPDKPSKRPMWKGVVLAYFVVALCYFPVAICGYYAYGNEVEDNVLITLQKPSWLIAAANMFVVIHVIGSYQVYAMPVFDSLESVLVKSLRFKPSNKLRFITRMLYVAVTMLTGMAIPFFGGLMGFFGGFALAPTSFYLPCIIWLILYKPKRFSFSWIINWILIVFGVLLMLLSPIGGLRSIILSAKSYKMFS >CDP00331 pep chromosome:AUK_PRJEB4211_v1:11:29981873:29984558:1 gene:GSCOC_T00032233001 transcript:CDP00331 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLARNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLAAKS >CDP04905 pep chromosome:AUK_PRJEB4211_v1:11:2125784:2126200:1 gene:GSCOC_T00019771001 transcript:CDP04905 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSLSPKPQNLTLENPSTVATDAEESKEFVTEFDSSKAPTAKNRDNRVIPPKPNKWRPTKKMKNLELPLQSDAQDQPMLQFEVVKSGSSDPTSESMSYDLNLRNSGNRALLLPRCVILFFFFLSPLLLPLPLPLC >CDP00295 pep chromosome:AUK_PRJEB4211_v1:11:30252616:30257110:-1 gene:GSCOC_T00032186001 transcript:CDP00295 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLNFSSSLSPIQNPSKQHPPPQSYHAFFSNNTSPFNLKTKTSSLSFSNSTKNLTLKCRHSGYFEPQEPQQQRFSPPDQQGTLPSRVYVGYSVYKGKAALTAEPRPPEFTPLDSGAFKLSKEGFVLLQFAPAASVRQYDWSRKQVFSLSVNEIGSLISLGAKDSCEFFHDPFKGKSDEGRVRKVLKVEPLPDGSGHFFNLSVQNKLINVDENIYIPVTKAEFAVLTSGFNFILPYLIGWHAFATSVKPEDASRVNYTNARSGSEYEWSR >CDP13262 pep chromosome:AUK_PRJEB4211_v1:11:32385577:32388219:-1 gene:GSCOC_T00038146001 transcript:CDP13262 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRDGIVTVHFYDLRQAQEALAAIQEQHMQQQFRLRRHYDAVFAQNSVGLNLLPPPSQLLLPPLPPPGRGLIAGRAVWAQYTVPVASTLPDGNNQGTLVIFNLEPEVTPTYLKQIFEAFGPVKELRETPMKRHQRFVEFHDTRDAAKALSEMNGKEIQGRQVVIEFSRPGGHSKKSSSSRASRGNTMATFSGLEYSLTNYQARPSRNLPPSPPPPMSRKISGRPLCKSHDMKAASFHSKGNPNGNGDNRSSSSSNASVQNSMASLRLVGQNGSRNVGVQEESWNFRPSSSKKNCKKRGGNGANIASGSSGTATSSSTSKHQQQQTKGSRPWKGSSRQSKEYDPRFLINEDAIIESNCPDSRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQIDDGDDQPLSSYDFVYLPIDFINKCNVGYGFVNMTSPEATLRLYKAFHRQSWEVFNSRKICEVTYARLQGVEALKEHFKNSKFPCDVDEYMPVVFSPSRDGRRLTEPVPITGRSTAATPPLLLSSSPAVSSKEDYHSEDYDEIDGGDSQVNGVNGDVVNDDVDGNDEDQEMCSCGGKSSTSRNGCSNSSSCSSSSNGGDSGDPCDDSDDVYGGRQKQ >CDP00716 pep chromosome:AUK_PRJEB4211_v1:11:26571588:26575747:-1 gene:GSCOC_T00032773001 transcript:CDP00716 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTEGLVRGQAVLNTGSPITVPVGRATLGRIINVIGEPIDERGEIKTEHYLPIHREAPDFVEQSTEQEILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMMESGVIKLGDKQAESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTRKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEDHYNTARGVQRVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIMRFLSQPFHVAEVFTGAPGKYVELKESIVSFQGVLDGNYDDLPEQSFYMVGGIEEVIAKAEKIAKESAA >CDP16261 pep chromosome:AUK_PRJEB4211_v1:11:24521972:24522475:1 gene:GSCOC_T00018027001 transcript:CDP16261 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSWSVASEVLRISTPGFGGFREAITDFTHEGFTVPKGSKNPKYFPDPEKFEPSRFQGNGPAPYAFVPFGGGPRMCPGNEYARAVIHVFMPNVVTKFRWEKLIPGENMIYYPAPRPARGLPVILHPNKP >CDP05590 pep chromosome:AUK_PRJEB4211_v1:11:23351581:23355024:-1 gene:GSCOC_T00020720001 transcript:CDP05590 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRIMVLLWADMLATYVMWIMQKYLTDVWKLGVTHAAGIMNVYTGLSKFLPLVFFIFVDAGLSNYRSLLLSSIAFSIGMGFLSMSTPPVLHKVTGTCKDYEPNCLGHTQKALFYTALALIAAGLSGRVVSLVAFAENQIEKDPDEPKENEPTCKSSSMSLDRKGPDGKLINRPSLRLPSFKAKKPSLRLPSFMVKNQETEQQQQTESTKKAEVDLSQLQFQPLEAMLALAQKDSGKLPGACCILLVPVVGLIALPYIKPWSIRFGIPAICTLVATLAFVQGTCSCNKEENRPAEGSTVTNVFRVFVASTRKMLEHPKPHSELYEKQDTDTPKLPHTKGLLSFLDKAAIRLKTEIEEPKKYRWSLCTRTEVEETKIIIRMIPIWITFVICGVITSVGNTYFVEQASHMNYKIGKLKLPDSVILVFYGISKLQIKSMYDAIGKFSRKKYAPSIGIAFATIFSVLCCITAAGIETRRIHVIRSHGLLDKPDDKIPLSALVLLPQYFFLAGLDSFFENSVTPFLTDQSPPSMKKHLVYLSPGLSGLGTVGSVLSVYVVGKISERRGKPNWFQYTLNQSRLDRYYWVLAVLSAANFLWFVFWAVLFPLREPGSNDKKEAGNEGVQEEAAGFVTDLLTANFTQSDKA >CDP13232 pep chromosome:AUK_PRJEB4211_v1:11:32206795:32210768:-1 gene:GSCOC_T00038106001 transcript:CDP13232 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRVKRSLLATGWLVFVAIFANGHIKTTTEHFSLVFDDSFPDYLVQMWVPSNPKGAETLPPGILAIESDFFPRRLSGKPSEDLTHIPKYLVTFTVGYDQKNNIDAAVKKFSDNFTVLLFHYDGKTSEWDEFEWSKRAIHVSVPKQTKWWYAKRFLHPSIVAPYEYIFIWDEDLGVEHFNAEEYIKLVKKHGLEISQPALDPTGVLTWQLTKRREDREVHKETTERPGWCSDPHLPPCAAFVEIMAPVFTRDAWRCVWHMIQNDLVHGWGIDFGVQKCVEPAHEKIGIVDAQWIVHQSVPSLGNQVCVYICIYIVCNHLYLIRLGESENGQAAWRGVRERCQREWSMFSRRMENAEKAYYKDKGIDPSNVTKSCRGCRGRH >CDP11024 pep chromosome:AUK_PRJEB4211_v1:11:6837438:6840551:1 gene:GSCOC_T00033002001 transcript:CDP11024 gene_biotype:protein_coding transcript_biotype:protein_coding MQTESALSVLGSRPMEISTVPNIGPDTNGKQRKSSLESPIMLLTGHQSAIYTMKFNPAGNIIASGSHDKEIFLWNVHGDCKNYMVLKGHKNAVLDVQWTTDGLTIVSASPDKTIRAWDVETGKQIKKMAEHSSFVNSCCPARRGPPLIVSGSDDGTAKLWDMRQRGAIQTFPDKYQITAVSFSDASDKIYSGGIDNDVKVWDLRRNEVTMTLQGHQDMITGMQLSPDGSYLLTNGMDCTLRIWDMRPYAPQNRCVKILEGHQHNFEKNLLKCSWSSDGSKVTAGSSDRMVYVWDTTSRRILYKLPGHTGSINECVFHPSEPVIGSCSSDKQIYLGEI >CDP11052 pep chromosome:AUK_PRJEB4211_v1:11:6048310:6085884:1 gene:GSCOC_T00033044001 transcript:CDP11052 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLGKRMLKNCGVFHWPWWFWEEFLELKEHSGNGMKCMRISNPIWIREKRLEKKERKWTGKSASHLFSFSSPTTSDLDKVLLLPSFGGLPQWAIVGDTFPLGCAFDEPIVHQEFFKDSPDHNNPAYNTKHGVYTEGCGLENVLMSWGHDDYMYLVAKENKTTLPPAALFIIRYYSFYALHKAGTYKHLMNEEDVENLKWFQIFNKYDLYSKIKVRIYVDKVKPYYQSLIEKYHYLWYFPSKLKW >CDP13311 pep chromosome:AUK_PRJEB4211_v1:11:32704187:32707877:1 gene:GSCOC_T00038202001 transcript:CDP13311 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIVDATAMLIRKSIPSISTADTTSSATTSFASVPPPPSSSSSIFTNYPLISAVLAFALAQSFKFLTSWYRERHWDLKQLVGSGGMPSSHSATVIALAIGVGLQEGFGGSLFATALILACVVMYDATGVRLHAGRQAEVLNQIVCELPAEHPLSESRPLRELLGHTPPQVVAGGLLGIVTATMIHLIFGPGKQA >CDP05514 pep chromosome:AUK_PRJEB4211_v1:11:22214703:22217446:1 gene:GSCOC_T00020605001 transcript:CDP05514 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAKILVDLLLEDLELALRDNSYSVLSGESPMVISLYEDLKIIKSFLERIQHAPIDQKQLQSFFVTEIRDVVLKILVDVDSYIVNTFTKNEGNFGTEIGSLFQLSPELSFRAKQIDLYKKEILEIHNDALIGPSVTTIKDVGEVSLKTRSRTLSFLTDEKMVGFQDEATALLDRLTGGEQMQLEVISIVGMAGIGKTKLAKRLYNDPVVVHHFHVRGWATTSDSGLLEVNNILQDILSCIINAKENVNKMTYKQMEEKLMNCLKGKRYLIVIDGLRNWDPFSLRGFGRFFPNDCAGSKILLTSRTKEVVLRLNPSSPLHFLRYLSHSESWRLFESKVFTNTRCPLELMEVGKEILSKCCGLPLSIVVLAGVLQKDISREWWMHIAEDMTSAVGGEEEQLMHILAIGYEHLPEWLKPCFLHLGSFPKGYEIPVKKLVRSWTAGRFIKHNGEKKTEDVADDYLSYLVDRSLVIVSKRRSNGGIKTCYIHDFLWDLCLKKAKDNMLLRPICIYEQTSLFSTAQLTFLLYYAQGVPDLDPPYHFFDSLKDLRISFPKMESLVTKLLIVLDLENIILQVFPLEILVMVHLKYLSLNIPSLRKLPLLCNFWNLETFILITEKGATVTLPHDIWKMVNLRHLHISGELEFERACSSSSRPFMLDNLQTISHLCPSSCIKDILAKMPNLVNLGCNLSFSNTAKDFLFPDLSILKLLETLKFDIQTWGTLQFCYSSPQPTSFPPSLKKLTLIGSLDWKEMSTIGRLPNLEVLKVKNNFFNGQQWETSDEGFHHLKFLKLSHTNLQRWIASSSSFPCLGHLVLHRCLVLEEIPPSIGDIQTLQMIEVSHSSLAAADSVWQIQESQKSMGNFELKVFTQPLCEVE >CDP00434 pep chromosome:AUK_PRJEB4211_v1:11:29180510:29186345:1 gene:GSCOC_T00032372001 transcript:CDP00434 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFFILPAILWLLLCSQRVISHGDHPLARISIQKTVAAIDNNASIRAFPPTLGANGLNQEWVTVEYSISNPAIDDWIGVFSPANFSAAACSAENRMVSPPLLCNAPIKYQYANRTNPTYKNTGKGSLKLQLINQRSDFSFALFSGGVSNPKLVALSNKVAFANPNAPVYPRLAQGKTWDEMTVTWTSGYGINDAEPFVEWGLKGDEQRRSPAGTLTFDRNSLCGAPATTVGWRDPGFIHTSYLKELWPNVLYTYKLGHRLHNGSYIWSQNYQFRASPYPGQNSLQRVVIFGDMGKDEADGSNEYNNFQQGALDTTNQLIKDLKNIDIVFHIGDITYANGYLSQWDQFTSQIAPISSLVPYMLASGNHERDWPGTGSFYGNLDSGGECGVPVQNMFYVPAENRAKFWYSTDYGMFRFCIADTEHDWREGSEQYNFIEHCLASVDRQKQPWLIFLAHRVLGYSSATFYADDGSFAEPMGRESLQKLWQKYKVDIAIYGHAHNYERTCPIYQNICTNNEKHFYKGILNGTIHVVAGGAGAGLAEFSTFQPKWSIFRDYDYGFVKLTAFNHSNLLFEYKKSSNGSVYDSFRISRDYKDILACTMDSCPSTTLAS >CDP00482 pep chromosome:AUK_PRJEB4211_v1:11:28804016:28813076:-1 gene:GSCOC_T00032434001 transcript:CDP00482 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALLSATRNRITKTHLPLNLELFSISSSFSSLFSTFCKSHHSFSPPQAPPVPKKVPFTVSAHGLSWQDPYHWMSNTNDPDFINYLRQENSYADAFMKDTEELQRTLFSEMINRMPSKISTPPERWGDWLYYQHIPEGKEYPVLCRKLANDGKGWMKKVVNYMSKGFWEEEILLDWNEIAELYGYVHVGTCRVSPDNRFLAYTLDITGNEQFVLQIKDLRRRIVLSNHRVEGVVSLAWAQDGCTLFYTLCDQNHRPYRQIPIHVYVIDAFDPKSGILRFCERISGVQYFLEHHDGFFYILTNAPLRTDELSNSGNYYLGRCRADNLHSTNLQPIILPDEDTCFLDMDIFNEHLVLFLNKEGSLSMCSIKMPIMSDCKTELKIDDLHAWFFPLPSNMCTISPGSNHDFMSSVYRVVLSSPVIPDVIVDYDMSRNSHVVVQQEEVSNICSSANHLQNYKSAKDIEDLLCEKKTNGHKSEASGLKDLSDLYFCEKKEVISHDGIRVPLTILYSKELHRKGQSPGLLHGYGAYGELLDKSWCADRLSLLDRGWVIAFADVRGGAGADSSWHSSGSGLHKLNSICDFVSCGKYLINEGYIHKQQLSVVAHSAGSFLVGAAMNMHPNLFRAAILKVPFLDVCNTLLDTDLPLTVLDYEEFGNPQIESHFHNILKVSPYDNIRQGFCYPAVLLKSSFNDSRVGVWEAAKWVARVREVACSTCSSSVILRSSMSGGHFDEGGRISHCEETAYEYAFLLKVLSTCG >CDP13315 pep chromosome:AUK_PRJEB4211_v1:11:32725972:32730009:-1 gene:GSCOC_T00038209001 transcript:CDP13315 gene_biotype:protein_coding transcript_biotype:protein_coding MAETHIQVADVPEEESHSRQLQDQTPLLKVEQTQQSQNQETTEEDQEAYTPLDKTLKTLDSFLALLGFKQNSILSVGLSWMVFLLIGFFLPVVILQLSNCPGCEKGQIKSFELDIVASQASLAAASLICVSHNLRKYGIRKFLFVDRYTGHVERFSDQYIQKISESVRLLVLWVLPCFILKTAREVIRMLYVHHELWWQTVVILLAFVLSSTYVTIIFLSSCILFYLVCNLQIIHFDDYGKLLERQSDVLILIKEHIRLRHYLSKISHRFRIYLILVFLIVTVSQFVTLFQTTGYTGIISFINGGDFAVSSIVQVAGITLCLNAAAKISHRAQGIGSLAGQWHALRTCTPTDASQFRIASSTGSLEVDHMIGSLYTSYSESDLESLEFVPTSQSTPLSSYASSYHKRQALVTYLQSNPGGITVFGWTVDRGLINTIFFIQLSLVLFVLGKTVVFTSQ >CDP13192 pep chromosome:AUK_PRJEB4211_v1:11:31892260:31895031:1 gene:GSCOC_T00038054001 transcript:CDP13192 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVGLWPFTFLSKPSKTHKKHAHTHLAVRTESESEREREGDVDCGLRSGDDGAVSSDDELSGVETDVQDSQTKAEDRELKETLLRKYGNHISSLKLEFSKKKKKGKLPKEARQILLEWWNVHYKWPYPTEADKISLAESTGLDQKQINNWFINQRKRHWKPSENMQLAVMDSLSGQFFADD >CDP00307 pep chromosome:AUK_PRJEB4211_v1:11:30160005:30160877:1 gene:GSCOC_T00032203001 transcript:CDP00307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PRE4 [Source:Projected from Arabidopsis thaliana (AT3G47710) UniProtKB/Swiss-Prot;Acc:F4JCN9] MSSRRSRSRQSGASRITDDQIADLVTKLQQLIPELRRRRSDKVSASKVLQETCNYIRSLHREVDDLSDRLSELLESTDSDSAQAAIIRSLLM >CDP16246 pep chromosome:AUK_PRJEB4211_v1:11:24665222:24670289:1 gene:GSCOC_T00018008001 transcript:CDP16246 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSQELALSQMRKSVEKLGGFAENYGDPTLMRFLIARSMDTDKAAKMFVQWQKWRDALVPSGFIPDSEIQDELGAKKIYLQGLSKKGFPIMVVKGSKHFPSKDQPQFKKFIVHILDKTIASSFKGREIGDEKLVGILDLKDISYKNVDARALITGFQFLQAYYPERLARCYIVHMPRFFVSVWKMVSYFLEKATLEKIKIVTNEAQRREFIQDIGEETLPEVYGGRAKLVPIQDVVLAPLNH >CDP13299 pep chromosome:AUK_PRJEB4211_v1:11:32631416:32632357:-1 gene:GSCOC_T00038190001 transcript:CDP13299 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYSRWIKPEVYPLLAPVAAVVGLCTMQLVRNICTNPEVRVTKENRAAGVLNNFEEGEYYAQHRLRQFLRGRRPEVMPSVNKFFSDPN >CDP13359 pep chromosome:AUK_PRJEB4211_v1:11:33131783:33146857:-1 gene:GSCOC_T00038267001 transcript:CDP13359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL31 [Source:Projected from Arabidopsis thaliana (AT5G65050) UniProtKB/Swiss-Prot;Acc:Q9FPN7] MGRRKVEIKKIEDKNSRQVTFSKRRSGLMKKAKELSVLCDVDVAVLIFSGRGKLYDFCSTNSLAKILQRYHNYAEAEDGPARISGVEKRNPEGRNVVTIRKLLEKNLMLSLFFNRDLEEPDVDHLNLSELVQLEEQLEDALIQTRSRKTRLLMESITSLSEVEKMLREENKLLQNKVAAGTPNEKRNDLILEFGDLTHVGMISGQRQAMLELL >CDP16301 pep chromosome:AUK_PRJEB4211_v1:11:23841778:23842386:1 gene:GSCOC_T00018084001 transcript:CDP16301 gene_biotype:protein_coding transcript_biotype:protein_coding MNPELYAAAQLGDWAVIRRFSGKFLAQRTPKRNTVLHVLAQSCDSADAVGHILARNCCLLMAKNACGETALHLAARKGHSGIVRALIDYSKQNKGCWSCACFVDRCKRTLRMTNVDGNTALHEAVKNNFYEVAKLLVQEDPEFRYRPNHAMETPLYLAVEKGYRDIADLILMTCKSPAYLGPDHKTALHAAAIWNLPGTLHY >CDP07983 pep chromosome:AUK_PRJEB4211_v1:11:10097714:10098649:-1 gene:GSCOC_T00025546001 transcript:CDP07983 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAYFLILIALVASQGTASSSTTNVVSHNNTLDHDLDTLLAFKSTISDPQNILPINWSTSTSVCYWIGISCNTRHRRVAAIQLPKMGLVGTIPPQLGNVSFLVWLDLENNSFHGNLPTQMVHLRRLKHINLAFNSFDGGFPSWLEALSRLRYVSFCSNRISGSLPPTLSNATMLETFRLDENLIIGKLPQEWSALQNLESLGMQSNKLEGPLPPSLFNLSSLQHFSFTNNSLSGYLPARICDYLPQLQGLYLSRNEFSGEVPAGIGGCPRLQILSLSYNNLAGNIPKGIWNLTTLRTLVLGGNDIQGTIS >CDP13325 pep chromosome:AUK_PRJEB4211_v1:11:32784972:32790127:-1 gene:GSCOC_T00038222001 transcript:CDP13325 gene_biotype:protein_coding transcript_biotype:protein_coding METAEVEEILLLIGEPKLHGGMCKSLSAIYAKVLGIFPDLEAARPRSTSGIQALCALHIALEKTKNILQHCAECSKLYLAITGDSVVLKFEKARCALEDGLRRVEDIVPQAIGSQISEILIDLMGIEFSLDSMEKQVGDEIIALLQQGRKFNNSNDTGELESFHQAASRLGITSSRAALRERRALKKLIDRARAEEDKRKESIVAYLLHLMRKYSKLFRNEFSDDNDSQGSTPCSPTVQGSFEDGSGPGINGHAFDRQLSKLSSFNFKPNFRRSGQIPVPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFSDGHKTCPKTQQQLCHLGLTPNYCVKGLVASWCEQNGVPVPDGPPESLDLNYWRLALSDSDTAKSKLTDNVGYFKFKGVKVVPLEDSGVIEEAEGNEVEDVSPQEHECGVNLFERYKDFLVILEKEDNITKQCKVVEQIRHLLKDDEEARIYMGANGFVEALLRFLDSALQARNETAQEVGTMALFNLAVNNNRNKDLMLEGKVLELLGKMIVNRKCAGAATALYLNLSCLEEAKPIIGSSEAVPFLIQVLQHQPDVQCRLDSLHALYNLSGHQANIPHLLSAGVIDSLQALITNSGDHSWTEKSIAVVINLAASRSAREEIISSSGLVSGLATILDVGEPVEQEQAAACLLILCNGNEKCSQMVLQEGVIPSLVCISVNGTTRGKQKAQKLLMLFREQRQREPSAVQAALQPECSEIPVTTEDAKPLCKSVSRRKVGRAWSFWWKNKSFSVYQC >CDP16990 pep chromosome:AUK_PRJEB4211_v1:11:18302993:18307503:1 gene:GSCOC_T00004831001 transcript:CDP16990 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPVWVGQHKVLPNKFFRICGQIIEELEQRIVMMETSLSRHLIQSITRKKGTLQNFLEGSKEFRAS >CDP00726 pep chromosome:AUK_PRJEB4211_v1:11:26427236:26429371:1 gene:GSCOC_T00032791001 transcript:CDP00726 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSPFFQSYQKAQKRGPKYRDQHHFIRQKYPYTFCLVTGSPYPPDLFRLDSRSKLPHSIRPYRRRNQPSASLRAPFPTPTIKVWSCFNYGGVRFHLRLVEARKEEGSRVGCIWKWHC >CDP00756 pep chromosome:AUK_PRJEB4211_v1:11:26199974:26200804:-1 gene:GSCOC_T00032826001 transcript:CDP00756 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLRMKRRKRKSAEMYPFLVVEEKAEEEEKLEELALVMVARISRSKFLKPKPIVFFCCCCRLSCLLNKNNCGST >CDP00298 pep chromosome:AUK_PRJEB4211_v1:11:30236170:30242391:-1 gene:GSCOC_T00032190001 transcript:CDP00298 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKSVLFGKKSSKSNLSKGKDITKSSTEKASLVSDKEPPSKLAVEPFSISEPVPCPIATTGKGPEPEKEETSRLPSIGLILSSPKLDGDAQTATDVDPPQDSERMRLDQAATKAQAAFRGYLARRAFRALDGIIRLQAVIRGHLVRRQAVSTLQYVQSIVKLQAFVRGQIVRCSDIGIKVRAKSTVEEKDAKYLEPSENTYNQAEELLKNGFVAELLSLSATAMPISLQCGVEEPDSAWHWLLRWTSIRIWEPKSELKKIAGSKHRRAEIGQTRAKRGTGRLHSGTVHISSSHNTTESDKQKSNPMRTSNHSTNSAQCYPQNEVEKVKRNLKKISDSQRDASIEKEVDTEKQGLTHGNGSNQPAHELSEHSTDTQSDKLQADLEGDVLKQTDQVISADLPDRHDTVDELCDCPVSNSDGQPKIFGEENENILVVDKNIKDDLSGDENHKVSKRRASLPPMHDDRDAAVPSVIKSKDDQNGNENSKFNRRRASLPAKHDEQDVGITNETKVPSYMAATESAKAKARGQVSPRFGQDAYEKNGLPRRYSLPSSTNVKLTSSPRVQSLVQGSGKGGIKIDRSLSSSRDVSGKLIQAEWKR >CDP13384 pep chromosome:AUK_PRJEB4211_v1:11:33346968:33347384:-1 gene:GSCOC_T00038301001 transcript:CDP13384 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHQEAAAESWGYYAAAPPAAGVRHGISMGGADPLERVVRLASGSAVVIFSMSTCCMCHAVKRLFCGMGVNPTVYELDQDPRGKEIERALIRLLGNSPPVPVVFIGGKLVGAMDRVMASHINGTLVPLLKEAGALWL >CDP07994 pep chromosome:AUK_PRJEB4211_v1:11:9709024:9718311:1 gene:GSCOC_T00025569001 transcript:CDP07994 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRGQKGPNALNIFKWKWQNESSLEAGLLNDVPPEIELSDYHRAPSPGSESPSGLLNGDSSSVEPIADLDLFFERVYNYYCEKGLWCIIIKWIFELLSLAFTICFSGFFLLYVDWNGLRNAKCGMDAVESGIKPCDLAKEALHQHPLTPFTLAKAIIVGYLGIFSIYWIFCFLKFFAQLKDTLKIRHFYYNSLNVTDNEIKTLPWALILEKVVQIQRSQQLCVVKDLSIHDVVMRLMRKENYLIGMLNKGVLALPISPWVPGAGPTVKFGPNGVRYRLILTKTLEWTLNWCVLQSMFDRNFRIRREFISDPKTLKKRLMIVGFVMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSKWIFREFNEVDHFFRHRINTSILHASGYLKQFPSPMISIVAKFISLVSGGFAAILIIVAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAMVTDELLVLDPQGMMSLVVQHTHYMPKRWRGKENTEAVRMEFETLFQYTGMMLLEEMASIFLTPYLLLFVVPKRVDDVLQFIVDFTVDVEGVGHVCRLVFSVFDFQSHGNSRYGSPFNAPRLQRSSQGKMEKSFLSFRTSYPSWEPNTPGKLFLSALERFREQQLQAQALRPAYVTSRFQQFSPNYRVLSDRNSYLSREIPVNYLGTGYQLNSMLPMDGEQRDYPYILDWFYTSQPQQSNDLREVSSSSSGIAEECNNDLWTSPRLTQNEVTYDENWRHLLEDRARSHLEASTSAPLFKESVLQHHELNNVAHPTTSHWWARSRPQGADPQTSFMEPPNFNRETSHYHDNLSDRSLEEQEHLDWRNSSRLSRTFLMDDDGGNFNLPFDDIYTRHSQSSRENLDPADIV >CDP16318 pep chromosome:AUK_PRJEB4211_v1:11:23654781:23656635:-1 gene:GSCOC_T00018115001 transcript:CDP16318 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPIPGKGRHLQRYNDQGCRLVVGCIPYRFKSEGSERTNNEVEVLLVSSPKSQEVMFPKGGWEFGESIKEAARRETLEEAGVLGNVEDELGIWRFKSKSQEIYHEGYMFPLLVTEELDPWPEKNVRKRAWMTVVEARDACKQWWMKEALDRLINRLPSPDELSPARPADEQEIALATPVEQRFVPAIQANIEEEQDVLPSSLS >CDP00660 pep chromosome:AUK_PRJEB4211_v1:11:27168626:27168947:-1 gene:GSCOC_T00032678001 transcript:CDP00660 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVRNSTTITAILLQGLSSAETNHFVSFQPKITTTSFTFFATISTPCQLVQQHETDMALH >CDP05584 pep chromosome:AUK_PRJEB4211_v1:11:23267927:23274407:-1 gene:GSCOC_T00020710001 transcript:CDP05584 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLLCTFSSLLLLFLFLFSSIPVTSQFPFGSNKQAHNYSNVSCVENERQALLQFKHGLIDESNRLSSWIGEECCSWEGISCHKTTGSVLKLDLRNTADDNDYYTNWLGGQLSPSLVNLTNLQYLDLSLNIFSGIQVPAFFGLLKNLRYLNLSSAGFDGEIPHHLGNLSHLRYLDLAWNSLSIKDLGWVAGLSSLEGLVLSKLNLTAAQDGLQSINMLPSLTTLDLNACELFIHPHLSHVNFTSLAFLDLSENNFNNYMVPPWLRNLTGLHDLRLVHLDLFYNRFDVSMLKSLCNISSLTYLDLSFNNLQGSIPSEIGQLINLTYLDLSNNNLQGSIPSEIGQLPKLTNLLLYLNSLNGTIPTSLGQLTKLQAFDIGYNSLAGVLSEDHFANLRELKSLNLTGNSLALNVSSLWVPPFQLQEIQMGSIIVGPRFPAWLRTQKELEVLGMRDASISGAIPNWFRVLCDDIRILDLSSNSLTGNPLEFKSLPLDISVLDLSHNFLTGHIPQLQHALAFLALNDNRFTGTIPEDLCKSENLSELNLSNNLLSGRVPLCLGNLRYLSFLNLANNSLSGQIPSSLGNLRRLSYLHLNGNKFVGKLPASMQRLSNLEALDLGDNGLKDIIPAWIGESLSILRFLRFQSNNFHGPISDTLCQLSLLRLVQLQVLNLSQNHLTGRIPDKIGNLKQIETLDLSMNALFGAIPESLSDLYSLNSLNFLTYLDLSGNNLQGSIPHEIGQLPKLTDLLLSDNSLNGTIPTNLWQLTKLQAFDVDYNSLTGCEYLSELNLSNNLLSGRVPLCLGNLRALLFLNLANNSLSGQIPSSLGNLRRLSTLHLNGNKFVGKLPTSMQHLKNLQMLDLGDNGLKDIMPAWIGESLSNLRFLRFQSNNFHGPIADTLCQLSLLQVLNLAHNNLSGFIPHCFNNITALMVSGLYGDYGIEAQASLQDIKGGRESEYLQGSLPLVKSISLSANNLVGEIPDGVMELVQLQVLNLSQNHLTGRIPDKIGNLKQLETLDLSMNALFGAIPKSLSDLYSLNSLNLSHNKLSGPIPSGNQLQTLTDPSIYEGNSGLCGKPLPNNCWEHKSPTKNGPIDDDEGHGESDWSWFYAGIGPGFAVGLLGVLGILLFKKSWRYAYFKFIESVCDKIWVKSTRPRRNFR >CDP04787 pep chromosome:AUK_PRJEB4211_v1:11:5820920:5827120:1 gene:GSCOC_T00019498001 transcript:CDP04787 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGSCIDSGSQTTGRTFEFGRTHVVRPRGKHQATIVWLHGLGDKGASWSQLLESLPLPNIKWICPTAPTRPVAALGGFPCTAWFDVGDFAEDTSYDLEGLDASAAHVANLLSTEPADIKLGIGGFSMGAATALYSATCHALGQYLNGNLYQVNLNSVVGLSGWLPCSGMLRNRIEGSVTAVRRAASLPILLCHGSGDDVVAYAHGEKSARTLSSSGFQNLTFRKYDGLGHYTIPEETDEVCRWLISMLELDGS >CDP04830 pep chromosome:AUK_PRJEB4211_v1:11:5059827:5063029:-1 gene:GSCOC_T00019566001 transcript:CDP04830 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHAYRLSSLRSSNSNIWSNTAVEIFSKSTREEDDEEALKWASIERLPTYLRIRRGILTQEEGQTSEIDVKHLKPAERKYIVNRIMNEEDDNEVFLLKLKERIASTLKMNLFLILVGIEFPTIEVRFEHLNVEAQAYVGSRALPTIFNFTFNILEIFLVILILLFPTSSFSKGFLSSLHILPNKKRPFPILHDFCRLTLLLGPPSSGKTTMLISIFPDMLVEISRREKEANIKPDSDLDTFLKALVLEGQETSVVTDYIIKILGLEFCADTMVGDEMIRGISGGQKKRVTTGEMMVGPARVFLMDEISTGLDSSTTFQIVNSIKHSIHILHGTAVISLLQPAPETFDLFDDIILLADVADFLQEVTSNKDQEQYWAYRDEPYTYVSVKNFSEAFQSFHVGRNLGAELAVPFDKSKSHPAALTTEKFGVSKKELLKACISREFLLMKRNSFVYAFQLIKVSQMSQVELI >CDP00293 pep chromosome:AUK_PRJEB4211_v1:11:30261215:30263808:-1 gene:GSCOC_T00032184001 transcript:CDP00293 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTSRVSVVLLALLVAFAATIPRLRGSSIEADAHIGEFDDFLRKRAEDALQASLRAYNPDPEAVTDNFTRQVGKMLEGGNETRRQLKAGGCVATNPIDRCWRCDPNWAKNRKRLAKCARGFGRQTKGGMHGKYYVVVDPSDDNVQDPEPGTLRHAVIQQEPLWIIFDTSMVIKLNQELLINSDKTIDGRGVEVHIAYGAGLSIQFVQNVIIHNIKIHHIVPKNGGLVRDSTSHIGLRTRSDGDGISIFGSNHVWIDHVSLSKCSDGLIDAIMASTAITISNCKFNNHNDVILLGASDEHSEDAIMQVTVAFNRFGKGLVQRMPRCRWGFFHIVNNDYSQWQMYAIGGSAHPTIISQGNRFKASKNQFTKEVTKRDYAEKSQWMGWQWRSEGDKFLNGAFFVESGPPLKKSPFTGKNKLQFKPGSYAGRLTRYAGALKCREGKPC >CDP13375 pep chromosome:AUK_PRJEB4211_v1:11:33269408:33275233:1 gene:GSCOC_T00038287001 transcript:CDP13375 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSSPHCSSSPYYSNSSSIVFHHQQVVTLSSIRPQSFGPGRFSLRSKTKPNSIVVCMQDGAAAATISPIENETPLKKLTDGLLNIASPEDRKEAAAFDINRDKSSVSITVVGASGDLAKKKIFPALFALYYEGCLPEHFTVFGYARSKMTDAELRTMVSKTLTCRIDKRENCGEKMEEFLNRCFYHCGQYDSQEHFGELDKKLKEQEAGRVSNRLFYLSIPPNIFIDAVKCASLSASAANGWTRVIVEKPFGRDSESSAALTKALKQYLEEDQIFRIDHYLGKELVENLSILRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDSYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLKLDDVVIGQYKSHTKGVVTYPAYTDDKTVSKDSRTPTFAAAALFIDNSRWDGVPFLMKAGKALHNRRAEIRVQFRHVPGNLYNRTFGTDLDQATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSHLNLLYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLKEIEERKITPEYYPYGSRGPVGAHYLAARYNVRWGDLGLEQ >CDP05569 pep chromosome:AUK_PRJEB4211_v1:11:23102461:23106215:-1 gene:GSCOC_T00020691001 transcript:CDP05569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-8 [Source:Projected from Arabidopsis thaliana (AT1G67970) UniProtKB/Swiss-Prot;Acc:Q9S7U5] MVKSLENGSSVAPFLLKIYEMVSDESTDELISWSQTHSNSFIIWDVSRFSSELLPKHFKHSNFSSFVRQLNIYGFHKSDTDRWEFSNDEFVKGQRHLLKNIVRRKQTPAQAQRKSSQQKDADPGASEEDRRTALRKEVETLKIDKNTLMQELIKLKQHQQTSQSKLVLVRDQLKGMEKNQQQMLSFIVMVMQNPGFFIQLLQPKENNWRAAETGKHKFSTVDDDCEPLSSDGAIIRYQLPANEPSEPPCIAPSSSSEKPVELDLSDEIRDLLLSVDFTPLPLDEKMLSLENHGPLVLPDSPGGDFLMDQLLLSCPLAESKDTDEPDSEVYLDTGMDIESAFQAQESNKLKSPKDGSNQNRWVDTTGIRKQLDNLESLDFLTV >CDP00480 pep chromosome:AUK_PRJEB4211_v1:11:28822954:28823361:1 gene:GSCOC_T00032431001 transcript:CDP00480 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTHASLCETMRLYPPVSTDTKEATEDDVLPDGIVVRKGTRVTYHPCAMGRIEELWGSDWAEFKPERWLERDGLSGKWNFVGKDSYTYPVFQASLRICLGKELAFLQMKRVVASVLRPFKVMPAIEGGVEPVFL >CDP16514 pep chromosome:AUK_PRJEB4211_v1:11:8855624:8857550:1 gene:GSCOC_T00018508001 transcript:CDP16514 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYFGFPSFFNVLGRWKVTLVRIFLAFFLKVVPDLTNLATLAIGCYFFFCLLYQLSLDLVQEWLAENAKESILGQDMSISGIATYQPFDGLMELKVAVVGFMSQVMDKLVSFKSAW >CDP13337 pep chromosome:AUK_PRJEB4211_v1:11:32929186:32931619:1 gene:GSCOC_T00038238001 transcript:CDP13337 gene_biotype:protein_coding transcript_biotype:protein_coding METSDLELKHLGFVRAIASIAVVWLSCLYDYAKQNSGPLKSTVGTVENAVTTVVGPVYERFKGLPDDLLARLDTKVDNVSKKFDEHAPPVAKKIVNRAKNVVQKGSQVAQDLVQQAQVGGPRAALRHVASLSKNIAVRLLAVLWHRLINRYPPLHGVGGIAIPTVADMSNKYNKFIAKLDGRGYHIFSYFPSVPVEDVAEAYKQVEAAAAKKDVGVTSSETD >CDP00234 pep chromosome:AUK_PRJEB4211_v1:11:30738141:30744434:1 gene:GSCOC_T00032116001 transcript:CDP00234 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTHRTTTALLRHLTPAISYLRHISTDSTSTITVETSVPFTGHKIEPPSRSVDTTAQELMSFFRDMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRKDCIITAYRDHCIFLGRGGTLLESFSELMGRKDGCSKGKGGSMHFYKKEGGFYGGHGIVGAQIPLGCGLAFAQKYKNDENVTFTLYGDGAANQGQLFEALNMAALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDALAVKQACRFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLLLAYDIANEKELKDIEKEARKQVDEAIAKAKESPLPEPSELFTNVYVKGYGVESFGADRKEIRAALP >CDP00626 pep chromosome:AUK_PRJEB4211_v1:11:27471543:27472102:1 gene:GSCOC_T00032627001 transcript:CDP00626 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCVKERGPVFTSAFSPLIQVFVIIFDASLLHEQIGLGSILGSILVVIGMYTLLWGKGNEIDLHKNVPPANQEKDGDCDRTLPVTAPTAVSATNSVPVTTPTAVSSTNSA >CDP00406 pep chromosome:AUK_PRJEB4211_v1:11:29386279:29387847:-1 gene:GSCOC_T00032338001 transcript:CDP00406 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLKQAHGQAITCGLGANSYALSRLLAFCSDPVQGSLFHGYKIFEQIEQPTICICNTMIKALLLKKELEKTIAIYKDMLRNGMYPDNYTLPYMLKACANMENYNLGELIHGHCFKLGFLFNTYVVNSLIFMYSGFLNMEAARLVFDEMPWPCVVSRTLVINGYAKKGDVYGARLVFDEAELKDRGIWGAMLSGYVQNNCFKEGLLLFRLMQLDGVEPDEAILVSALCACAHLGCLDIGIWVHRYVGKGNLPLSVKLGTALMDMYAKCGYLDVAEKVFYEMPRRDVICWNTMISGFAMNGNGKGALKLFREMEKNGIRPDDITFISLFTACSYSCLAHEGLMLLDVMCNVYGLEPRSEHYGCIIDFLSRAGLLEEAKTIVHRMPNSNGSSEETIAWRALLSACCSHGEIHLAEAAAERLVQLERHSGAYVLLSNVYSAAGRYDCAKRMRKKMRSQRIDKAPGCSSVEINGVAHEFIAGEKTHLLMDEVCGLLEMLHKQSDFSWCDSRFFLSDNIALLDNRLI >CDP05515 pep chromosome:AUK_PRJEB4211_v1:11:22218281:22219123:-1 gene:GSCOC_T00020606001 transcript:CDP05515 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHSDQRPTLYFLLLFLENSLSPSLQFCQVELKPASLLKQTIQQRLWISISASLKQTVQQISQASSRSSTPTFICRANFFSGLIEDHSIINIED >CDP13327 pep chromosome:AUK_PRJEB4211_v1:11:32807212:32810858:1 gene:GSCOC_T00038225001 transcript:CDP13327 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRIFLLIVISVVFHVVLQLKRANSLTADGLSLLSLKSAIDGGGSALTDWNEDDATPCHWTGISCMNISGSNDPRVVGITVSGKNLRGYIPSELGTLIYLRRLNLHGNNFYGSIPDQLFNASSLHSLFLYGNNLSGPLPPSICNLPRLQNLDLSNNSLSEALPKELRSCRQLQRLILARNRFSGDIPAGIFPELANLEQLDLSSNSFTGSIPEDIGELKSLTGTLNLSFNQFTGDIPKSLGDLPLTVSFDLRNNNLSGGIPQTGSFANQGPTAFLNNPMLCGFPLQKTCRNSSNNPPGVQSSSRDNEGVDSHKGLKPGLIILIAVADAIGVAFVGLVIVYLYWRRKDSGGCSCTGKVKFGGNEKRKLCAFPCVGAFPSNDSEIQSEKGVDGGGSGANGSEGDLVAIDKGFNFELDELLRASAYVLGKSGLGIVYKVVLGSGVPVAVRRLGEGGEQRYKEFVAEIQAIGRVKHPNIVRLRAYYWAPDEKLLISDFISNGNLASALHGRTGQASSSLSWGTRLKIAKGTARGLAYLHECSPRKFVHGDIKPSNILLDNDFQACISDFGLNRLINITGNNPSSSGGFIGGALPYLKSAQPERPNNYQSPEARIPGNRPTQKWDVYSFGVVLLELLTGKSPELSSPTTSTSTEIPDLVRWVRKGFEEENPLSDMVDPMLLKEVHAKKEVLAAFHVALACTEADPEVRPRMKTISENLEKI >CDP13270 pep chromosome:AUK_PRJEB4211_v1:11:32448251:32452953:-1 gene:GSCOC_T00038156001 transcript:CDP13270 gene_biotype:protein_coding transcript_biotype:protein_coding MDATASGTSSVQCHNIAEQPIAALVIPDQPIVALTTSPLPTFERQQRHCYGNVSPGEFPLSANPSIVLHVLTGCNLDPQDLAKLEASATCSFFRQPAHFAPDYELSMAELAALDMCQKRAIFKPMTDEERQDLKQRCGGSWKLVLRYLLAGEVCSRREKSQAIAGPGHSIAVTSKGVVYSFGSNSSGQLGQGTTEEDWRPRPIRSLEGVRIIQAAAGAGRTMLISDAGRVYAFGKDSFGDAEYGAQGSRLVTTPQLVESLKDVFVVQAAIGNFFTAVLSREGRVYTFSWGNETKLGHQTEPNDLEPRPLLGALENIPVVQIAAGYCYLLALACQPTGMSVYSVGCGLGGKLGHGTRTDEKYPRLIEQFQNLNLQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEDCESIPKVVEALSNVKAVNVATGDYTTFVVSDAGDVYSFGCGESSSLGHNTGAVDGQVNRHTNVLSPEIVTSLKQVNERVVQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGIELVSNQTERAKPERVDIDLS >CDP05544 pep chromosome:AUK_PRJEB4211_v1:11:22712422:22715463:1 gene:GSCOC_T00020654001 transcript:CDP05544 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISGKLCGLHINVTRLFRRSHSYHSYFILFPIFSFPYFLLPSQPQSSKTFKTLFSPITIFLALYCPLPYPVAAPSCTKSNMVGCAVRLKKRKPEWLNTLLDSKFFGSCADHHDLRKNEKNIFCIDCNLGLCKHCMSSSSPHCLHQWFQICKYVYHDVVRLQDIQKHLDCSKIQTYKINGEKAIHLNPRPQTKDIKIQKSKGGATCDACGRHIQDLPNNFCSIACKVSMVKEMCKEKNCRKTPISGFDNMCLKENEDEDQSISLSESSEVIQEGWISMLKPKKKLHKRKGVPHRAPLC >CDP07919 pep chromosome:AUK_PRJEB4211_v1:11:12353245:12367447:-1 gene:GSCOC_T00025429001 transcript:CDP07919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 [Source:Projected from Arabidopsis thaliana (AT1G75010) UniProtKB/Swiss-Prot;Acc:Q6F6B5] MLHESRCLEPRMGSSYACGFLLNEDINPLVEAPAQLRQCSKAVILVASAAYGTEDTVALEILGTTKSENGLVVGIILRPFSFEGQRRQAEVNHLVGKLQEHANFYIVIDTDALLEKDLVTLDEALRTSNDAVLMAINAITILIAEKNLKLQEVARNDAKEVKVQELQRIFEACREAKIGFGNGFNIKTSVLRAVFDCPFLGVGVKDSSGTIICILASSGVISNNDAGAIFQSVRLTTECKGEIIMSIVHDSKLESNLIRTTIIAFGCTGHQSTKKMGFLSRLAQQFPFIFNILKNQSPEPQRTTEVYLSEPQHFSGVTFSQEHDYMLDKGSLDDTSDASSAYRREVEPSFNSSDRDSSSLSFLSRNYNLSHEQVGVEFADSDSFSLANMPDAEGAPTFREELLIRYRLGADDQKTQEWTQELAGDTETTLTVDSVSVFRLPVGVKHLEQADEYPAHAKHLKRWTREDSRKAQVDTATSVSRDAMGDRGFEATINFNNFSKTRKGNSTNDSNKPGVLSNRAASMLEAERDLPNKKWNPVLQMKYRGGIYHGRIQGGLPEGKGRLSLGDGSIYEGMWRYGKRSGPGTFYFKNGDIYQGSWRDDVMHGKGWFYFRTGDRWFVNFWKGKANGEGRFYSKLGDVFFGHFKDGWRHGHFLCIGIDGTRSREVWEQGVLVSREQLDSDADVA >CDP00559 pep chromosome:AUK_PRJEB4211_v1:11:28133982:28139838:-1 gene:GSCOC_T00032538001 transcript:CDP00559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein decapping 5 [Source:Projected from Arabidopsis thaliana (AT1G26110) UniProtKB/Swiss-Prot;Acc:Q9C658] MAAAAESSSASASRSSSSATADSYIGSLISLTSKSEIRYEGILYNINTDESSIGLRNVRSFGTEGRKKDGAQVPPGDKIYEYILFRGSDIKDLQVKSSPPVQTTPPINSDPAIIQASKIEFSTTSTALPTAVTASLPDLGSHSAQLGHPGSTFQGGLPLYQPGGNLSSWGPSPPNANGSGLAMPMYWQGYYGAPNGLPQMHQQSLLRPPPGLSMPPSMQQMQYSGFNTSLPTAAPSLPGSNLPEYPSVAPTSSSSLSSSSSLPASTLPLSVPPMQPPTLGSEQSSLVLNKASVSRIPTTAGASLPSLSHLTTSSPDLSSVVPSGPSKSSAVSGTVLQHQSISQPVTTVAGTSTSILVETPTPSLITPGQLLLTGPASVSLNQSSQTMQKDVEVVQVSTTTPSEPPAPVSTEAQPPILPLPPPARSHKPNGAPFQMRHNYRGRGGRGTGIARPVTKFAEDFDFEAMNEKFNKDEVWGHLGKSNKAQLKEKDGDGNGSDEDDYQDEFDEELPKIDVKPVYKKDDFFDSLSSNAVDNDSNHGRTRFSEQMKIDAETFGDFSRYRGGRGGRGPFRGGRSRGSYYGRGYNYGYVPRGRGRGASNRPF >CDP11623 pep chromosome:AUK_PRJEB4211_v1:11:15950843:15952663:1 gene:GSCOC_T00033998001 transcript:CDP11623 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVAGASSSCSAAYVRNLSLKSNSSIPSANAKRLTSHSEKFSFQGRQTTGPSFGSKVGWWCGHHGVEGGGNAAKAGLKVGDQVLHTSSFFRDELWPADKLGFTETAIQAKSDSVYFVVSKQALLLGAGVDVKLLQKRPAPPQFGRKLTDAPKARLNI >CDP11634 pep chromosome:AUK_PRJEB4211_v1:11:16290814:16291955:-1 gene:GSCOC_T00034018001 transcript:CDP11634 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKIKSVTLRPPDFSVPLDELRSIISKNTRAILINSPHNPTGKMFAREELRAIVSLCIQNDVLVFTVGNNKVYDKLAFEMDHISMASLPGMYGRTVTQNSLGKTFSLTGWKTGWANSSSTFDMGSETSPFFPHICNINSNAVCCCNSSQSPRFLLSGAKD >CDP00396 pep chromosome:AUK_PRJEB4211_v1:11:29432354:29436139:1 gene:GSCOC_T00032325001 transcript:CDP00396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MVQSMPASTLATSRYGGCFGSFPVWRKPFGLMKESQMSFGVEKQNLWHHFSQSLLFIGQSDLGGCRNVRVNVGWPFKGNNQGLNAASERSDSANEDILMFFFQLDLATRVQYALNLEQYDIAKQLRDKLNEVEEEVIRQQETRKGTTSKSEVQDMAISILRLKADLQNAIESENYSLAAELRDEISKIESESLAASVKAQVYQNAQYAFHLGQKVRHKTFEYRAVICGMDPVCCETKSWMETANVYKLTRGPDQPFYQVLVDVYMDPNLLVAYVPEENLCAPDKPDMERFDHPYASFLFYGTDAAGDFIPIKQLREKYNRPRHEIPYDPDNEQNGDDI >CDP05405 pep chromosome:AUK_PRJEB4211_v1:11:19886060:19887835:1 gene:GSCOC_T00020441001 transcript:CDP05405 gene_biotype:protein_coding transcript_biotype:protein_coding MATDASEPIPTTPPHPTPRPVRTKPRTSPQATQSPRRPSPNSPFAKLPSSGPSTSGTFHSGSAPATGSGSDFRLNSSVGTTSASSRTSLSSLHLSLPEHAHIYDFSEIRSATNNFLAKRYSTSSSSSQSWRCELNGKDVIIFQRKIHQTIHESELRSKLSVICKSHHKCLIKLLGASISNDHIYLVYEFISGSNLSTCLRNPRNPDFTVLSTWMSRMQIATDLANGLNYIHTAAGFSISLVHKYVKSSGIIITEPSLNARICHFGAAELCSETERHERGEITEEELPELPRSGSRGRQFEGVRGYMSPEFKSTGLATQKSDVYAFGVVILELLSGEEPLKYKYDKASGDYRKISIIDSATEAVETGGEGNETVEGRLRRWVDKRLKDSFPVEVAEKLIRLVLECAHVDPNKRPDMRRVAGKISKLYLDSKMWSDRVRLPTDFSVSLAPR >CDP00249 pep chromosome:AUK_PRJEB4211_v1:11:30629564:30630384:-1 gene:GSCOC_T00032131001 transcript:CDP00249 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGVPRTDEDQTYLCRYEDYHPLVSILKDGDKIEVGMQSLSIFEGLQLKKRGIHLIFENDDDYDGDEESLDQSQQSISERLRRFIGSPRKGDLITGSRGGNKQELQ >CDP00265 pep chromosome:AUK_PRJEB4211_v1:11:30501464:30505370:-1 gene:GSCOC_T00032150001 transcript:CDP00265 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKLDQWGYEVKTSSDACIAAINSYYHQVLSYGRRRDVILEAPKADPDCVLGNILAASFLCSFDPSRVPTFLDAANSCLDNASGYEKAVFDAVSYFISPDRDDDVAVDLHSTFLKDYPRDLLSLKRAQVLCFYMGRPDLSLQLVQQVLSKNEKANFIYGMLAFPLLELGQMVDAEKAAKEGFEINKEDPWTQHALCHVYQYECHFKEAVIFMKECSQSWSPLSSFMRTHNWWHVALCYLEGNSPIDKVAEVYDECIWKELERSDASPVEVYLNAVSLLLRIYVRGYIKVFEDRLKVLAKCLTNKAYWYLEWHLDVLIVWALAYTREISKAEDLLTGLKSRLSLMSKKKQHRMQKGMLLAEALYEYGKGENEKALELLGLQFDAIDCKAIGASDEQLDVFNEIWIILLINGGETTRATEAIEKQLKKREGAPFLWRLLVRLCSPLKPSA >CDP00450 pep chromosome:AUK_PRJEB4211_v1:11:29067161:29070118:-1 gene:GSCOC_T00032391001 transcript:CDP00450 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVDEVEPIDLWEEEEEVEPKIGDGGDGGGVVLQNCHWGEKALSIAHKVLLQFGDDMKLFALKTSPRGYIYIRLDKLSNEYGCPGIEELESFSKEYKAKLDEVGATGEIPDDLALDVSSPGAERLLKVPDDLDRFKEMPMRVSYVENLEVECPEKNGVFLLESIEMDSGCCIWKLADVKENRNPSAKGRPMSRKQKDWRLRLPYDMYKRITLFLDY >CDP05563 pep chromosome:AUK_PRJEB4211_v1:11:23029694:23040726:1 gene:GSCOC_T00020682001 transcript:CDP05563 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFPWLPLLLYFICLTTIFLVGYIPHEDHIKCSSGNANCTISNVYRSFPDRSICRASEVAYPTTEEELLSIVANATFLQKKMRIATSLSNSIPKLMCPDGENGLIISTKYLNRRVGLDESAMTITVETGMTLRQLINESAMAGLALPYAPYWWGVTIGGILGTGAHGSTLWDLGPAVHDYVIELRIVTPAGPDEGYAKVRTLKIGDPELDAARVSLGVLGVISQVTLQLQPLFKRSITFLEKDDSDLGAQISTFGNQHEFADFTWFPSQKKVLYRIDDRVPYNTTGNGVFDFFGLSPVSSFLTAVSRTIVHTVMVQLAAQLLEVKLSLQLFHLLQLVIQGKLFCQIFPCPSLSQSLAKEKLQNFMSTFKTMPKIQSEKGLITLTFGICLTISLVRCTPPEEHIKCSSGNTDCTISNAYGSFPDRSICRAAEAVYPRSEEELIAIVANATMLKRKMKVVTTTSHSVPKLTCPDGENGLVISTKYLNRILIDESAMAGLAIPYVPYWWGLTIGGLLSTGAHGSTLWGLGPAVHDYVIQLRILTPSGAGEGYAKVRTLKTGDPELNAARVSLGVLGVISQVTLQLQPLFKRSITYEEKSDSELEDQVSTFGKQHEFADFNWYPSQHKVVYRIDDRVPSSTPGNGVFDSTGLRPAASLALATLRGTEDSQEFTGYADGKCASAVASTSLFKTTAFGLTNDGILFEGYPVVGYNNRMQSAGSCLYSLEDNLLTVCPWDPRVDGLFFFSSGISISVSKAKDFIRDVKQLVALQPNGLCGLDLYNGILIRYVTASSAYLGKEEDSLDIDITYYRSKDPMAPRIYEDILEEIEQMAVFKYGGLPHWGKNRNLAFLNVIKKYKNAKEFLKVKQLYDPLALFSSEWSDQILGLKDGITIVKEGCALEGLCICSEDLHCAPKKGYFCRPGKVYKDAWVCVHLTS >CDP00166 pep chromosome:AUK_PRJEB4211_v1:11:31278737:31282113:-1 gene:GSCOC_T00032024001 transcript:CDP00166 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGLSGSETDGGLKLTRENPFTAKASLIRRWRTQVTNDLPMPWFFLNKVSPLNAVQMATFSKLLTDQNSFSTPLPPRKTRQRFNYSHDFLPLDSFRRYSRSSLGHDDKFSTYIDGNLVDQTFDTYGNTVTGGAGTFTNYQNLVNVPNLNFTSYSDDASGRNQGFTNYINNGNSGEEDFKSYTKKGTESENKFTTTRMAQTGILSSFSNYGKSGNGIKDTFTSYRFGGNVPENTFTSYGDGGNAATEIFKCYSDETNVGADFFKSYAKDSNAAKANFASYGRSVNKGPVQFTSYGEGAKNHHIEFKIYGDNYSFKKYAKNDVAFKKCEVVPDITLSLSPKPKNRALIENHTQNVEPEKFFREKILKSGTVMPMPDIRDKMPKMSFLPRVIAAKLPFSTSKIGELNKIFHVRENSGIGRMISDALNEFTRKNTKASITKRCVGSGEDMIDFATSVLGRNVVVRTTENTRGSRGNILIGSVKGINGGKVTKSVSCHRSLLPCLLYYCHSVPKVRVYEADILDPKTKDKVNRGVAICHVDTSSWSPGHGAFIALGSGPGKIEVCLKNDMTWAVAD >CDP16243 pep chromosome:AUK_PRJEB4211_v1:11:24692121:24692863:1 gene:GSCOC_T00018005001 transcript:CDP16243 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIKGKSWEEVILGVSMDFQPTLQSKSSKSWLLLDSGIWPESESFREQSFGPIPSKWKGVCYGGIDFMCNRKSFYFLLVYIGNGHNGTHTAAGNIVMDVSYYGIVEGTIRGGAPAARNAVYNACAFPCCDDADILA >CDP00635 pep chromosome:AUK_PRJEB4211_v1:11:27376791:27379098:1 gene:GSCOC_T00032642001 transcript:CDP00635 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENGSSDKKVMVAIDENETSYHALVWYLQNFQESISKSSHPLILFMVQPPPPSNNTFAASLGSARMFCNVSPTPDYANAVQERNRLISSGILEKAKSICTSHGVNAETLLVVGDAKKAICDAVQKLNVDLLVLGDHGDGKLKRVFLGSVSNHCVFNAKCPVLVVKKPE >CDP00194 pep chromosome:AUK_PRJEB4211_v1:11:31018154:31020439:-1 gene:GSCOC_T00032063001 transcript:CDP00194 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSLVFYSSASGALILLPLCFLIKRSARPKLSFHFLGCCFLIGLIGFLVQIFGYAGTFYAPSSLISAMMNLIPGITFLFAVLFRMETVDCRSFSTLAKSIGTVVAISGALVATLYQGPPLLMLPSHSNLTLQPLTQSANVLLGGLLFGIDCVIASLLMIAQAFVLEKYPVELIIMFFYSCFVAIFSLASSLILERDLSAFSLKTKTRLVAVLYGGFFGNIFQLTIGSWCVRKKGPLFAATFHPIGVVLGTAMGVIFLHDTFYLGSLVGSIIILIGFYSVMWGKAKERKLVDDNGVNNLESGGEKAPLLSTKDAN >CDP16288 pep chromosome:AUK_PRJEB4211_v1:11:24016897:24018973:-1 gene:GSCOC_T00018065001 transcript:CDP16288 gene_biotype:protein_coding transcript_biotype:protein_coding MRTALCGRKTVEERNKKLQLLVPSNRRRIEAPLERNFSQDILAATTLYPCIGSKFRIFDLFMGLSSLFIKHDGFTW >CDP00287 pep chromosome:AUK_PRJEB4211_v1:11:30300985:30305354:-1 gene:GSCOC_T00032177001 transcript:CDP00287 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGPGLYSDIGKRARDLLYKDYQGDHKFSVTTYSPNGVAITASGLKKGELFLGDVNTQLKNKNITTDFKVDTNSKVFTTITVDEPAPGLKTIFSFVVPDQRSGKVELQYLHEYAGISSSIGLTANPIVNFSGVAGNDKLALGTDVSFDTATGNFTKYNAGLSFTNSDLIAALTLNDKGDTLTASYYHSVSPLTNTAVGAELSHSFSTNENSLTLGTQHLLDPLTTVKARVNNYGKASALIQHEWRPKSLLTISGEVDTRAIEKSAKIGLAVALKP >CDP04786 pep chromosome:AUK_PRJEB4211_v1:11:5855692:5856182:-1 gene:GSCOC_T00019497001 transcript:CDP04786 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLAYFSRQTIFISWNISLDHFPTGLNAISILQLLQIRHISILQINDRFVVAGDDVVAYAHGEKSARTLSSSGFQNLTFRKYDGLGHYTIPEETDEVCRWLISMLELDGS >CDP07982 pep chromosome:AUK_PRJEB4211_v1:11:10242199:10242369:1 gene:GSCOC_T00025544001 transcript:CDP07982 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMEILMGKTITLEVDSSDTIDNVKAKIQDKERHPPETNNASTSSASSWRTAIT >CDP00446 pep chromosome:AUK_PRJEB4211_v1:11:29095077:29095506:-1 gene:GSCOC_T00032386001 transcript:CDP00446 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMAANNLPRKNDTCNNNHSQSIEAAKGDFVTRRQRIQQQRKSLPIASGNYFFFLNFLLGC >CDP00570 pep chromosome:AUK_PRJEB4211_v1:11:28052927:28061619:-1 gene:GSCOC_T00032551001 transcript:CDP00570 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRVLKSLQAVLAHGFLFTFTLLLALKLDRTLHHSWWVIFLPLWLFHVVVARGRFSLPAPSMPHDRHWAPFHAVMAIPLLVPFELLLCIYLENHDAVNLKIVFLPLLAFETAILVDNVRMCRALMPGEEESMSDEAIWETLPHFWVAISMVFFIAATIFTLLKLCGDVAALGWWDLFINYGVAECFAFLICTKWHNPAIHRHSHVRPASSSAATVTHLSWNSGLVVSSDEDYQQSRLCSLQDIGGHVMKVPLIGFQILLFMRLEGTPSSARHIPIPVLFAPLLLLQGAGVLFAIYRFVEKLIMLLHSDTGAGIYFRISTPVCNYLGFMHHGSRLLGWWSIDESSSEEQARLYYAGTSGYSTFSPDAVKKMPKSELAEEIWRLQAALSEQTEVTKFSQEEFERLQNEKILCRVCFEEQIDTVLLPCRHHILCSTCSEKCKKCPVCRVYIEERMPVYDV >CDP13246 pep chromosome:AUK_PRJEB4211_v1:11:32274367:32281742:1 gene:GSCOC_T00038125001 transcript:CDP13246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coilin [Source:Projected from Arabidopsis thaliana (AT1G13030) UniProtKB/Swiss-Prot;Acc:Q8RWK8] METTRLRLEFTDKEGILSQSQKSEGLQRCWLLLKPHHHKTIADLAAYILHAFQLQPSCPHGLLLYMDGYVLPGFESTTILKDKDVISVMKKGLTLAIRGTNPINLVAEMEAVEQQPVNTGALLLANEEFEKETGGYQSDEPEDESERAEGDDKEEARDVTEEAEDEVREEQLENSLHNPSQENATSKKRKAPEKLQGSKKKKLRAERQCRVDNAGPAEQIENCQQDGVHAAKESTHKQKKVPEIKKKNESDNAEQGDGSIVASPNMKSSDPTQKNGEETEKVSGGTKKLPSRSARRKKAKRRWIREMAKIQKKDTISQPKEIQNWKKKPVRAEVKKYNNWKQRPAKPDVKEDDGQPKGLLYWKQWSGKDTNTDKRKHGEATQNGSASEQSNQKKSLDDEVVPIVIRPGHIRFEPLENDQAEAQNQVSEESFQWNGITSKRKGQKWGTEKNSFSRRNDYNNANRDRPVTLSAPKDVHLNGPIDFEKLPLLSYMPKVGDVIAYRLLELSSTWTPEISPFRVGYVSWCSSKSDKLILMPVPGYPVTTKNADEEPDKQPDDSLYKEDGSLEIGFATLIDVRVVKDGHEGSTEAVTGEANGGPAATENATVSDPPANNETQIDAPAPGSGEANCGKQKSASGSENGGNIWEQLSEALNAKKEELSQGNSWDKASSGKSSWSYRALKGSALGPTMALLRSKNKL >CDP11644 pep chromosome:AUK_PRJEB4211_v1:11:16486562:16488028:-1 gene:GSCOC_T00034040001 transcript:CDP11644 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPGIVLLLAQLSLFIEQSVIPRITEVTFCSEIASSFSSGGARGYEYGPAFIPAVICRTFWVAGEKCLDHYVRLRTQKISVLLRTRFTTPNWVKEPREVHMFVDLLLQEFEAIRGKVKQILPPELSRKHCRRDSNGSTTSSRSNPLRDDRMNRSNTQRATSQLLESHLAKLFKQKMEIFRKIEFTQVW >CDP18993 pep chromosome:AUK_PRJEB4211_v1:11:31551928:31554978:-1 gene:GSCOC_T00001041001 transcript:CDP18993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MAGATEIASPEVLPDDISSSDSLRRKKLGIYFIESDDRRTAFSGGYVGGGSTPVNIHGKPISNLSSTGGWIAAFFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFSSSANAVNNFLGISQASSVIGGFLADAYLGRYWTIAIFATIYLAGLTGITLCATMNFFLPNQEQCDQIALLLGNCEPAKPWQMLYLYIVLYLTGFGAAGIRPCVSSFGADQFDERSRDYQSHLDRFFNFFYLSVTVGAIVAFTAVVYVQMKHGWGSAFGSLAIAMGLSNMVFFLGTPLYRHRLPGGSPLTRVAQVLVAAFRKRNASFDSGDFVGLYELPGKRSAIRGSSKIAHTDDFRCLDKAALQLKEDGADPSPWRLCTVTQVEEVKILLKLIPVPLCTVMLNLILTEYLTLSVQQAYTLNTHIGGLKLPVTCMPVFPGLSIFLLLSFYYSVFVPLSRRVTGHPHGASQLQRVGIGLAVSILSVAWAGGFERYRRHYAVEQGYEASFLTPMPDLSAYWLLIQYCLIGIAEVFCIVGLLEFLYEEAPDAMRSIGSAYAAVAGGLGCFAATILNSIVKSVTGNGETRRPSWLSQNVNTGRFDYFYWLLTVLSVINFAAFLYAAHCYQYRKKEHGEMREPSSIQSSHG >CDP00592 pep chromosome:AUK_PRJEB4211_v1:11:27800852:27803818:1 gene:GSCOC_T00032581001 transcript:CDP00592 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFVHFRATESKEMEISNVPFQYSVLGFILQNLKESVQCNSELIGGVSKGVKGLCGDLDTLRAFIKEYYERRSNSQILEKLANEIRNVVYQAEDAIETYIVLESKHKGRRTIGVAADHIGGYVSDALNATKQIEDVCRKLKEIYQIKTPLDPDAMQVGQSSKRIPKKEEKFTYSMGYKFSHVVIIFQLLILAVTEEEDNVVGLDDEAKNVIELLTEGSQELEVISVIGMHGLGKTTLAKKILNDPTIEFKFYSRAFVEVSQEFERREVFLHILGAFTKITEEIKDLSDDKLVKELHRQLKTRKYLIVLDDVWTPDAWDQLKLAFPNNDKQSRILITSHNEPVAFHANPSCDPHYLRCLDLEDSRELLRKKVFGKSDCPGELEKLELSILLKCDGLPLAIVILAGVLLNYRDRTDWWKKVTEDLDHFVAKHPEQSHGVIRLSYEHLPPHLKPCFLYLGVFREDIGIPVWKLLQLWIAEGFVQKDHAISLEEKAEAYLDDLVSRNLVMVGQRGSSGRIKTCRIHDSLRDFCRREAMKENLFQEVERYDQSTFSTEHTSLDNACRLCMNFHILDGIENLSGIRVRSFLSFAKKETKLLPEHISCIPRAFKLLRVLDVRPIIFTRFPGELVYLVLLRYIALSSRCKILPEKMSNLQILRTVIFETSWPTLEIKADIWKMPQLRHLITNTSACLPLPLAKIHKGEPSISANLQTLSSISPESCKRDVFERVPKLKKLGICGRLASFMQGNNESSLFDSFSKLEFLENLKLINADFNSKLHFLPHESKFPRSLTRLTLLNTMLDWKHMSILGKLENLEVLKLKDNAFEGERWQTEEGGFLRLQVLNIGSTSLVTWNASASDFPRLRCLVLMHCSKLEAIPHGLAQIASLQAVEVYCTSNAAVSSAKKIQAVKLESQSQQPNTGTKSRGFKLSVYPPEE >CDP00464 pep chromosome:AUK_PRJEB4211_v1:11:28930516:28933712:1 gene:GSCOC_T00032411001 transcript:CDP00464 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCCCFPVPNIAGHEDENGSNHGNCPCLNCCIQSIRDKYGALFARGSGLPALISNQGATSPDPVVPTHSSAITRASDRTLQMNPNSGYSPVPQDVICRHDKGSNHSRVEPEPAGDPEVQQTPRPSKVGDRLVYNQSESSVKDFSSSMQKGVGYDVTFSEDEDVCPTCLEEYTLENPKIITKCFHHYHLSCIYEWLERSDTCPVCGMLMEFNET >CDP00561 pep chromosome:AUK_PRJEB4211_v1:11:28124043:28126735:-1 gene:GSCOC_T00032540001 transcript:CDP00561 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVPFSSLFLQVSARLSACIVALLVVIWALYFKTSFIPRSSSQEDLIYAILHPLLMVIGFILVSGEAILVHRWLPGSRNLKKSVHLCLQGLALGSGVFGIWTKFHSQEGIVANFYSLHSWMGLICITLFGAQWLLGFLNFWHKGEVRTTRVRVLPWHVFLGLYTYALAVVTAETGLLEKLTFLQTRGDVLRRCTESMVVNGLGLGLALLSGIVILAAVSPKHGAPHFKMMYTNNKWLSSQ >CDP00601 pep chromosome:AUK_PRJEB4211_v1:11:27758873:27761325:1 gene:GSCOC_T00032591001 transcript:CDP00601 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLDALFGRSLKTNKLQANIKLGISRLPVLKNQRQARCTVARSDVLQFLSLGQHERALLRVEQVIKEQNMLDVYVIIERYCHQLTERINLIEHERVCPEELKETVSGLIYAASRCGDFPELQEIRAIFTSRFGKEFVARAVELRNNCGVNPQMIQKLSTRMPSLENRMMVLKEIATENNIVLQIEEVEVEISKAQMETDTKQGQPKPKTPTHSGHLGSVEGCSDSMEVRNKYKDVAAAAQAAFESAAYAAAAARAAVELSRSDSHDPDDLNSTKLQPRVMSRTRQSFKSNSQTGEEKEIDEGKVGMAFEKIHPAQHCSSESEDEKIIPENFKQRKNEGEIKRSLSTSSSESFDNNFSGTRTYCDDELLMKLEEKEIVFDESDDETKEKSRTFLSRTKDSGLEDKQTSQRKKTPDSEQRYSIKGDINEDDFGLPSSPAHKHFSLRSHAGLKKEKATEKFISSRADHLNMEKRPISVRTKRTYGR >CDP05488 pep chromosome:AUK_PRJEB4211_v1:11:21479106:21482146:-1 gene:GSCOC_T00020559001 transcript:CDP05488 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGNAVVTEKMHGGGGGGGEMMMMQQQQQGRGQQWWHNYQPAGQQHLVQMDEREGFMLWLRGEFAAANAIIDALCHHLRVVGEPGEYDGVIGCIQQRRCNWNSVLHMQQYFSVNEVAYALQQVGWRRNQSQRGGFEGSGKGGKEYRRGGGGRGGQRWEGFKEGKEGQHGQNLSNNEGTLVNGKEMNVNGNENLESGEGRVGNGKVEEKKEAVVKPEVDSSVEVTGSRQAVSSGSCNVENDTHSERIPQQEQNLTVTPKTFVANETFDGKTVNVVDGLKLYEEIFDNSEVSKLVALVNDLRVAGKRGQFQGKFLVFFSI >CDP16973 pep chromosome:AUK_PRJEB4211_v1:11:17727595:17731293:1 gene:GSCOC_T00004802001 transcript:CDP16973 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKQVSGGVSSTSHTDDGGSKMDFKSIMKDIEFLGSTHMTWKEKKELENKKVVSLGGKPPKRQRLPLSVARVSMKRQKEREEKMLQENLILGRFSSNRGRDAKKTERRSSEDRVLKSTEGHFRNGVLDVKHLLRPSAPRVDDSRPVADKKKKKKKGGKKTRGKRNGGRKHR >CDP00671 pep chromosome:AUK_PRJEB4211_v1:11:27076766:27080593:1 gene:GSCOC_T00032694001 transcript:CDP00671 gene_biotype:protein_coding transcript_biotype:protein_coding MTILAQSDIRSAMHFATAFRHPSTGFNLSRAIIFRQSPLLPLRRLAIRVKAFSTDTDKSSSSAGASSTDVGKLPEKPPICTADELHYVTVKNSQWRLALWRYSPPPQAPRRNHPLLLLSGVGTNAIGYDLSPGSSFARYMCGQGFDTWILEVRGAGLSMQESDAKDIEKSAYAISEEMEAAAEGATNGAPSAVQKSTVNQNKSAESKVSAVNEDVLGIPTAWDESKLVAKLTETFISLSERFSGFLNESQSRIMSAKLFDQISKLLEDSFLFERFNEVRGKLLNLLETRQSSAVAGQIRDLSQKLVNIVEEGQRSVSPQLYDLQERLATTIEDFQKQLDLIVKYDWDFDHYLEEDVPVVMEYIRAQSGSRDGKLLAIGHSMGGILLYAMLSRCAFKGRESGLAATVTLASSLDYTTSKSSLKLLLPLADPAQILNVPVVPLGALLSAAYPLSSSPPYVLSWLVDLISAPDMMHPELLKKLVLNNFCTIPAKLVLQLTTAFREGGLRDRSGNFFYKEHLHKCDVPVLAIAGDRDLICPPEAVYETVKLLPENLVSYKVFGEQDGQHYAHYDLVGGRMAAEQVYPCIIEFLSHHD >CDP11611 pep chromosome:AUK_PRJEB4211_v1:11:15465872:15471071:-1 gene:GSCOC_T00033974001 transcript:CDP11611 gene_biotype:protein_coding transcript_biotype:protein_coding METAVESAVGFLLENLLQLIKENRDLINGAGSKVAELSDNLDLLKSFVTTYTEKHFENDILQNLAKQIRSLTHEAEDVIEEYIYCVALHKSRGRVEKFILSPAYGSSVRAVGKKIQDVSNRVKEMYQRNFLIGGEALMLEQCFNADRVDKKPKPGVPGADKVIGFEDAAAEVIERLTGKKWDQQRKTDSEQQKTEMKHMTEVKQQIELKRIEDLEVVSIVGMLGLGKTTLARKVLIDPVIEYEFFTRIFVAVSQDYEKREVLQTILVQGGFFKNITELNGKSIEELERLIGDKLKSKYLVVLDDVWTNDVWKELKPVFPDNKKGSRVLITTRHKFVAEYSKSKIRPYDLRFLFPEESRELLRTKVFDENRCPEHLEEMETNILDKCKGLPLAIVVTAGILRNNRERKEWWEKVFRGVSNLVDDDQKRSEMLIKLSYDHLPFQLKPCFLYLGVFPEDVDIPVWKLLRLWIAEGFIQHIPDTSYASLEEIAGEYLRELVDRNLVMVADRRSNDEIKTCRVHDTLRHFCKKKAIEENLFQEIKLDELIGPSASASLKNSRRLCVSAYLSDYISRTPSAPCVRSLLSLAKDESALSKEDCALIAKPFKLLKVLDVKSSKIVGRCPAELAKLVLLKYIAINCELKTLPKKMSSLLNLQTIIIDTTSSSLDIQLDLWKMTQLRHLHANASTTLPKCQEQTIMVNLQTLSTISPESCTTDVFKRTPKLKKLGIRGNIGLLVQPSRESSLSDGLLRLELLEKLKLHNDDVTCKLQALPFEHKFPAKLTRLSLQSTSLDWSHMSTLGKLKCLEVLKLKDNAFKGKHWETEDGGFRYLKVLFIGATDLKVWKAKSSNFPELKSLVLKQCRNLEAIPSDLANAKSLQVIDLEHTSQTVVASAKNMQLLQLRVLRQKRDIETSELKVKIYPPE >CDP16300 pep chromosome:AUK_PRJEB4211_v1:11:23855606:23860165:1 gene:GSCOC_T00018081001 transcript:CDP16300 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDLYAAAQLGDWAVIRRFSGKVLAQRTPKRNTVLHVLAQSCDSADAVRHILAQNGSLLTVKNARGETALHLAARKGHSGVVLALIDYSKQNKGCWFCDCFVDRCKKMLRTANVDGNTALHEAVKNNFYEVAKLLVQEDPGFRYRPNHARETPLYLAVEKGYRDIADLILTTCKSPAYLGPGHKTALHAAAIWNLPELVELILEKLPNLIKKVDKFGWTALHYAAKLNHPAKLNHEDVVTLLLSAKRSTAYVAARNDDSRTALHIAVIHGHVAVVQELLSHFPDCWEKYTNRCKNILHLAVKYEQREVLEFVLKKSWASELINQRDNTGNTPLHLYVATKNLDGSSLVKHPSVDVNSFDNSNSTPLDRILRADELSQRQILLKDELEQAGGTRGYRNVATVKKILRTSSPDEVKGVEKLAETYSIVATLIVTVTFAAGFTVPGGYNSDGPHKGMAVLGKKAAFIIFVISDFLAMIASIDAVLEHLKLVRSKKYRFKLAAVRTIRDRISQAVTFMMIAFLTGLYAVLQNLAVMIVLCALAAWLGLDIFITFRLKRGDRKCTYTTIFKHSEADYFSFIGPVKVWHQDLTEAAD >CDP00354 pep chromosome:AUK_PRJEB4211_v1:11:29793813:29796976:1 gene:GSCOC_T00032267001 transcript:CDP00354 gene_biotype:protein_coding transcript_biotype:protein_coding MILQSPKYCSVSPNLNLQPTSKVSSVAKTCTTLTKMSRCCQAGDGKFKEPKENGLKDINTVHSSTRCSCVSRRQLLRAFGTAPFSTDPSSASDSPPSDPLATLKKVRPPKPDWYEELYAWVMGKFNKGYEAEIAGYKSQLFANLRGKANKILEVGVGTGPNLKYYASEPGIEVFGADPNIKMEKYARAAAQDAGLPLMNFKFTQAVAEALPLGDASVDAVVATLVLCSVKDVDLALQEIMRVLKPGGLYVFVEHVAAEDGTSRRFFQGILDPLQQFFADGCHFTRKTGEVIAKAGFSSVELQRAFVPSASIANPQVYGIARK >CDP13234 pep chromosome:AUK_PRJEB4211_v1:11:32220965:32226155:-1 gene:GSCOC_T00038111001 transcript:CDP13234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MLSAIPYPPLPQTTTALFNPPNLPCQTTSNLLSIPAAPNNNPSSLCRATAAQRPPSLPVGSSSAPPLRPVTTTATTYSPADDDNDDSNSVGVGEEANQDMVASANAVAAIIKKVSTSPVEFVQRIEKAGGSSHGGGLVLPSVDFQRLCLEQLALFRRIVHSDALLSIYVRPAGSYVMDRLELRRITFHPLVNATADIVILVGDFRIPAGLRVAEAALSSRTAEFFPELRSVVFPMVKHPFVVGFLVAEFPQMILGGEPTPSTGESCYLPNSEDSKLLELQTYDKGQSNEILYFTTEQRLNAENISRSITMAYVMDQKAILLQHSSWQNNVRMSSLVEQIRGSLSSIQTLSKMLSVHMRRSEISFDIVQDILVQGDHIKDVLQQLQDAVYLTKANIIHNNGGLKKMHAPTYGASNSINETLESYEALSLNSTPKDSEIPMPPLALAPLKQKAVRRPCNVSDVLNDLVAGVEHLAHMQQRYLKVSELSRPLQVAVEEPALRQALSNLIEGSLLRTQVGGKVEIVCTGAPAGGALIIIDDDGPDMHYMTQMHSLTPFGMDLFSDDKVEDNMTWNFIAGLTVAREILETYGCVVRVISPRTSDASIGAGGTRVELWLPYVTSPDADAVDRVI >CDP13281 pep chromosome:AUK_PRJEB4211_v1:11:32531194:32533050:1 gene:GSCOC_T00038169001 transcript:CDP13281 gene_biotype:protein_coding transcript_biotype:protein_coding MWQNKPQKSSFGDSIKALEADIQHANYLAAALPRDSGQGCFQMKVCVAAFSDRVDGHGLPAKVFRPLSHTCTCGWYAKDILSSQERRASLREFYAVIYPSLKQLEGALKELMEDRYRRAQCSDILSDEETEATHKGPERDDECGTCLETGSQVVLPNCGHSMCISCYQDWYLLVRSPSCPFCRGSSKRVSSGDLWILMGNRDVADTITLAKENLRQFYLYMEKLPLPVTVPDNGYLSFDYMV >CDP16970 pep chromosome:AUK_PRJEB4211_v1:11:17695436:17696382:1 gene:GSCOC_T00004798001 transcript:CDP16970 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSVFGGRRSNVFDPFSLDIWDPFEGFPFSNTSLANVPDTARDTSAFATARIDWKETPEAHVLQISGERSREQEEKNDKWHRVERSSGRFLRRFRLPENAKVDKVKASMENGVLTVTVPKEEVKKADVKAIEISG >CDP00549 pep chromosome:AUK_PRJEB4211_v1:11:28221585:28222711:1 gene:GSCOC_T00032522001 transcript:CDP00549 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRADLRVFFILLLWLIILFYSFETSSARKLILIRKLGSVPVSSSPQGGHNH >CDP04892 pep chromosome:AUK_PRJEB4211_v1:11:2785484:2785723:1 gene:GSCOC_T00019694001 transcript:CDP04892 gene_biotype:protein_coding transcript_biotype:protein_coding MARSHRIKSVLQIPCVISDSAEAMEKTSNAISLLKKIGAYPDAEKAKDSQGIRPGKGKMLHGHFLRYSFYHTRYAVDIV >CDP07971 pep chromosome:AUK_PRJEB4211_v1:11:10787261:10802331:1 gene:GSCOC_T00025525001 transcript:CDP07971 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEANSAEVTPPETTNSDDVDAMLEAARYDDIDDLRSLASAGTSLDSKDSHGRTALHMASANGHLDIVEYLVHHRVDINAVNVEHNTPLHWACLNGHIEVVKCLILAGANVSALNSHERTPVDEAVTGGKMDVVDAINEAMAQTELTATQVS >CDP13242 pep chromosome:AUK_PRJEB4211_v1:11:32251202:32252640:-1 gene:GSCOC_T00038120001 transcript:CDP13242 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTQNLSFLSPLFSQVSGSPEPKKIQLKSSFFKNPIFFTSHKSVHSPDKPISTGVFPKNPIFFTSPKCYHNPDKPISRNPRNSSVSCITAHEIPLQPLTVPEKVHCLACEFKSLPEPIDRVKRLLHYATLLPPFDESGRVEENRVKGCTTMVWLQVEMDGNRLMRYKVDSDSEITKGFCSCLIWLFDGAIPEEVLSVKTDDLAEMNVGMPSKGHSRVNTWHNILISIQKKTKNFVEVKDKDRSLTEFPPLVGSTDGFSVYGNYKEAQYMQESRQAGNEVEGPT >CDP13170 pep chromosome:AUK_PRJEB4211_v1:11:31737833:31739166:1 gene:GSCOC_T00038027001 transcript:CDP13170 gene_biotype:protein_coding transcript_biotype:protein_coding MQSITQEFEKHLKLDEEVGKENEQEIESHGEDYEDYDDDEDEDDFSFAFDGVNFSTISAEDAFDNGQIRPVYPLFNRDILLGGGVGDGQDLETLRLKDRLPNVKNVFVEAEDDGFQATTSSSTADEEAVGPYCEWSKKVVEALTPKGCKKSSSTGFSKIWRFKDFVHRSNSNGRDAFVFLNHPTPSAAAPSAAAVSARKEEKAAEGVLLKKVDGNNGGVGVEAKKVKKVKKSKTAALSPHEVYMRSKAKEGDRRRSYLPYRPELVGLFTNVHGGGLTRNVHPF >CDP00418 pep chromosome:AUK_PRJEB4211_v1:11:29253181:29256709:-1 gene:GSCOC_T00032354001 transcript:CDP00418 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEDEPPLAVAITATVDHVISQPSDTFPPVGVTVITGYLGAGKSTLVNHILKTQHGKRIAVILNEFGEEIGVERAMINEGEEGGLVEEWVELDNGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASVLWLDDQLESAVKLDSIITVIDAKNLRHQLNLHRDSSSFPEAYLQIAFADVVILNKVDQVMADDSGNILEELEKEIRDINSLASIIRSTRCQVELSKILDCQAYDASHVAHLEALLEQNKSLTTRELHDSGVRTLCICEPKKIDLDKVRMWLEEILWDKKYGMDVYRCKGVLSIVNSDQLHTLQAVREIYEIVPARKWRKDESQLNKIVFIGKLLNEEVLYDSLRACTVDNC >CDP18564 pep chromosome:AUK_PRJEB4211_v1:11:1787481:1791222:1 gene:GSCOC_T00004226001 transcript:CDP18564 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDEFKRLEKLEFLDLSGNQLSGSLSFKELKLENLKVLNLEYTNFNKLSDVEALTSLKALSLNDIGINDSSVLQGICSLKNLHELDLSRNNFYGPVPMCFRNLTSLRLLDLSNNILSGNIPAALITPLVHLEYLSLSGNLFGGSFSFSSLGNHSKLQGFKLGPLNNDSHVDTEDLALPPPFQLKALYLSGCNLNNQTRKIPSFLLYQKEMQNLDLSSNKLVGQIPTWLLQNNTNLEVLVLKDNSFTGPFLVDDSLGKYLRQLDISNNDVSGKVPQNIGLSFSSLQWLNLSGNSFEANIPQSLGNLTWAESIDLSHNTFSGEVLTYAICKLSDLEFLDLSKNQLIGPLPACSELTSLKFIHLHHNMISGPISNMLSGSFNLMTLDLGYNKLSGGIPPLKTCCGTNQLILPIAGNLGFFESDYSSCSQINREPLAEMVGAEQDPQCRHVNHFSEAHGNRPVKIIPTQVKFMEILQTANSCPKTKFIVRFSYSNKNVIFTTKSRSERYAGNILNFMSGLDLSCNQLIGAIPPEFGDLRHIRALNLSHNYLQGSIPSRLSMLNLVESLDLSYNNFSGEIPSELASLNFLSIFNVSYNNLSGRVPDTGEFATFDDSNYRGNPGLCGPLLKRSCNPFAPHPENVGDQDIEVDGAIDVAAFYWSFFASYMVIVISFVVILCVSPYYRRAWSFYIDYWILSRFYEYCGSRSSEKKQTWKVFNWNMRRR >CDP00159 pep chromosome:AUK_PRJEB4211_v1:11:31335876:31337289:1 gene:GSCOC_T00032014001 transcript:CDP00159 gene_biotype:protein_coding transcript_biotype:protein_coding MVMARTKIHVILVFVSSLLILLPDSPVGAPVGVCYGRVAINLPPPSDVVDLLRSNGISRVRLFNADAEALKPFSGTEIQLMIGVPNEVLPTLANGMVSTSLDWLQSNIFAYVSPSQVRYLAVGNEVLLKDPFYSPFLVPAIRKLHQALQALGLADTIKLSSAHAATVLSNSYPPSAGAFDPNLLSVLTPLLQFLRDTGSPLMVNVYPFFSYINNMQSVSLDYALFRSSAVHADQKLAYDNMFDATIDAFAYAMEREGFQGIPIVVTETGWPTAGGAAANIDNALAYNGNVARRGLNNIGTPKRPGVGVEVFLFDLFDEDQKGGEEFERHFGIFRVNGVKAYDLSFN >CDP13218 pep chromosome:AUK_PRJEB4211_v1:11:32081166:32081805:-1 gene:GSCOC_T00038087001 transcript:CDP13218 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFHCPIVNIDKLWSLVPQEAKDKATKDNVPLIDVTQFGYFKVLGKGVLPENQPVVVKAKLVSKTAEKKIKEAGGAVVLTA >CDP00692 pep chromosome:AUK_PRJEB4211_v1:11:26869029:26872394:1 gene:GSCOC_T00032727001 transcript:CDP00692 gene_biotype:protein_coding transcript_biotype:protein_coding MATYAALASLLQTLDYLLKFPLLILEVKKMKAEALKPKLDELLLLLTAGEPSAVSSSGSGSCLWELIEETDRSLQSSVEDFIEALGRSHAADTSEIRQRLLLYFTSSTKPKFELLAEGKPQIFKEITSFEENLRKFLHSTVQDCLSGRPNHFLYRYGHVEEDLNHRELPDFFIQIVYSQVDSETPVVDSPFSSPSSETDDPYVDKLDSTVQHPNGTISFPDLEQLLSLAFRQSRLPVIVSKMGHLWRTCRRVDDSITSFSKATSDLTTLHQDIRFLLTFLKEDSSNKFCPHHELLKCMKDVADRARVPVEQCMVDYQIESIMTYPFCLLAGIPAKLQDSGKILECAVDRIFYGKKGICQYLVQASMQIQPIKEMITKINDESSNAHTSIGSMPLRHSNKDDIVVGLDDELVSLLEGLTRVPSALEIVTILGMGGIGKTTLARKAFRHSYTEYHFYCRAWITVSQVYQVRDLLLGLLGCLGHSTDKLVEKNDAQLAEVVYRSLKGQRYLIVMDDIWSIDAWNDVKRCFPDDKTGSRILLTSRITELASYINAKKPPHCMSLLDTEQSWELLEKLVFGIASCPPELEKCGKLIAKRCQGLPLAIVVMAGVLSRVVKTYDCWNNFAEKVCAIISTNPEECLDILALSYNYLPHHLKACFLHMAAFPEDCEIEVQKLINLWAAEGFLDPQSSENLEQVAEEYLEDLIGRNLVFIEKECFGGKVKTCRLHNFLRELCLREAQKEDFMHVMQKRGTKRSRVGLRNQHRLSFHLDPYSDVAAAPGIPHVSSFMCFTLGTNIVPNILFFQLGFKVLRVLDIFFLHFDYFPARILKLIHLRYLALSATYELPASVSQLRNLQTLVIHGPWHCRESGSSPTLLLEYWSMPSLRHLQCSVTIYLKNPPGANSELPQLFVPKNVQTLSTIKISCCTKEVFSVMPHLKKLEICETEEDCGICEPSVLLGNLQYLKELETLECCFYKQRVEARQISFFSALPCSLRQLSLSWSYLPWEDTSLIGMLPRLEVLKLKHFAFHGPKWEPKTKGFCRLTHLLIENTDLVHWEATVHHFPRLQYLVLKSCKLLEEIPFDVEEIGTLQRIELHHCNKTTEILAREIQEQVEGIEVVIRSER >CDP13228 pep chromosome:AUK_PRJEB4211_v1:11:32160220:32162168:1 gene:GSCOC_T00038097001 transcript:CDP13228 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMRRLGCLTQAPESDPLLNTSAISKRCTTSTAKRSQKDGASNSGTMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGVKARTNFVYPTSPSHDTLIPPFHYSKSSSQPAFQSSRSSPHLADHFSGSANQRNTTSNSVNMLFFRDLFNSSSTNSSILTSSSTLPLYEQGPFANLNFSNSLMGNQSCNNNLTAPKTIDSLSTTISLQQKFDEYQQTVCSGVPTSSTSNNQVECMDFFPSEPSDSGLLQEIIQGFLPKEKKIVKSEPELALVDSFAASSSSAVTTDVSMNLSLEGGGLKKRIGDAAYLDCHVPLPQLLDNFSAVVPPQPIPFYSEIYHPAENVQGSQECMLGLGEGFHQYPDLLGAFAAAKMQNA >CDP00184 pep chromosome:AUK_PRJEB4211_v1:11:31123873:31132150:-1 gene:GSCOC_T00032051001 transcript:CDP00184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MEIAGAHGNHKKDASLWQTLALAFQTLGVVYGDMGTSPLYVFSDVFSKVTITSEVDVLGALSLVMYTIALIPLMKYVFIVLKANDNGEGGTFALYSLICRYAKVNLLPNRQQADEYISSFKLKLPTPELERALNIKDALEHKSWLKTILLLLVLTGTSMVIGDGILTPAISVMSAVSGLQGEIKGFGTGALVITSIVILIALFSIQRFGTSKVGVTFAPALSLWFFSLGSIGLYNLITYDITVVRAFNPAYIYLFFRKNSSRAWSALGGCVLCITGAEAMFADLGHFSVQSIQIAFTGVVFPCLLLAYMGQAAYLSRHPDSANRIFYNSVPESLFWPVFVLATIAAIIASQAMISASFSCIKQSMALGCFPRLKIVHTSRKLMGQIYIPVINWFLMIMCILVVAAFRSTTDIANAYGIAEVGVMIVSTSLVTLVMLLIWQTNLFLALCFPLVFGTVELIYLSAVLSKIMEGGWLPLVFATFFLCIMYTWNYGSVLKYQSEVREKISMDFMLELGSTLGTVRVPGVGLLYNELVQGIPSVFGRFLLELPAIHSTIVFICIKYVPVPVVPQDERFLFRRVCPKDYHMFRCVARYGYKDVRKDDHNAFEQLLVESLEKFLRKEAQEFALESSLQEPEFDSISMMSRESGPQDGDVDEDPSLEYELSDLKEATDSGFTYLIGHGDVRAKKSSWFIKKLVINYFYGFLRRNCRGGAATMRVPHTNIVQVGMTYMV >CDP13331 pep chromosome:AUK_PRJEB4211_v1:11:32824685:32826683:1 gene:GSCOC_T00038229001 transcript:CDP13331 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFVSGKKRATELNMCFSNALEQGAPPHSKGGSCLTLKFVDGIHSPILPGLPDDVAMYCLALVPRTNFPAMGVVCKRWRSFIQSKEFIRVRKLVGMLEEWLYVLTVDSQGNGSHWEVIDCLGQKHNEFPPMPGCEKVGFGVVVLNGKLLVIAGYAMIDGNRSPSADVYQYDSCLNSWSKLADMNVARYDFACAEVNGMVYAVGGYGMDGESLSCAEVYDPDADKWTVIESLRRPRWGCFACGFEGKLYVMGGRSSFTIGNSRFVDVYNTERHTWCEMKNGCVMVTAHAVVGKKLFCIEWKNQRKLAIFDSEDNSWKMVPVPVTGSSSIRFQFGILDGKLLLFSLQEDPGYTTLLYDPNAPPGSEWQTSVIKPSGSCMCSVTIKA >CDP00486 pep chromosome:AUK_PRJEB4211_v1:11:28761947:28766072:-1 gene:GSCOC_T00032441001 transcript:CDP00486 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPVQTQMAVAVFKSPIAGEYHGNNRMEGKAAGRRRVFVQTDTGCVLGMELDRSDNAHTVKRRLQLALNVPTEESSLTFGDMVLKNDLSAIRNDSPLLLTRNLLHRSSSTPCLSPTGRDIQHRDQSGLIEILGHSGSFSKTKQLVKEIVKAIKAGVDPLPVHSGLGGAYYFRNNRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVGSSKPQNKKLVSKIASFQQFIPHDFDASDHGTSSFPVAAVHRIGILDVRIFNTDRHAGNLLVRKLDGVGRFGQVELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELEYIEELDPIRDSEMLRTELPMIREACLRVLVLCTIFLKGAASYGLCLAEIGEMMTREFRGGDEEPSELEVVCIEARRLIAEREMLSPKAEGHDEEFQFDIDCEDDIYKIDPKMVSEDFMVGSPFHFGFGGVIGRSPLSKLEESVEEEDESDVEEEEDDFVGVLGPARNSNISKLSMSLKNTSFGEKNQKFSKLSGTKPEYGYLTSSSSGHRSANEQLPVSASFVKLADMNDEEWAMFLEKFQELLYPAFADRKSITLGHRQRQRLGTSCQF >CDP00465 pep chromosome:AUK_PRJEB4211_v1:11:28916069:28923784:-1 gene:GSCOC_T00032412001 transcript:CDP00465 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKAPQPNPFETIWSRRKFDILGKKRKGEQRRIGLARSLAIEKRKKTLLKEYEQSGKSSVFLDKRIGEQNELLGEFDKAIMRSQRERQLKLSKKSKYNLSDGEEDELEIQGGLFPEKDDFDDEIPFDEDEDVDDGSTGNAKKSDILGELNFTAVQNAQQTGLAGQETRQKSKREVMEEIISKSKFFKAEKAKDKEENEQLVDQLDQEFTSLVQSEALLSLTQPNKMNALRALVNKSSSKNEEKKHDTSPALDKTATRLEKPDSYDKLVSEMALDMRARPSDRTKSAEEIAQEEKERLEQLEEERQKRMVAADELSDEEPDGSDDNDASSKQFRSLSGDDLGDSFSHEDKKTKLGWIQQILQRENAEDLGSEDAASTEESGGSEDDSEEESDEDDDEHDKTHSVKDWEQSDDDKIDTYLQDDEDSDGDRVERKKKEQEKKEKQMNLSDTESQKAIAKQQSVQQGELPYTIEAPKNLEEFTSLLENCSDDQIVEAIRRIRAFNAIHVAAENRKKMQVFYGVLLQYFAVLASKKPLNFKLLNLLVKPLMEMSAEIPYFAAICARQRLLHTRAQFSDNIKTTGKSCWPSLKTIFLLRLWSMVFPCSDFRHVVMTPAILLMCEYLMRCQVVSGRDIVVGSFLCSMVLSVTKQSRKLCPEALTFIRTLLLAAFDKIQGSADDHQLHHLMEIKTLKPLLCIKSSVKEINRLDFLMLIDLPEDSPHFNSDNFRASVIAAVAETLKGFVNLYEGFNSFPEIFLPISKVLHKLAGQHCMPEMLKDKLRDVAQFIEKKADEHHVLRQPLQMRKQKPVPIKMLNPKFEDNFVKGRDYDPDRERAERRKLKKLLKREAKGAARELRKDNYFLFEVKEKDKKLLEDERAEKYGKARAFLQEQEHAFKSGQLGKGRKRRR >CDP05450 pep chromosome:AUK_PRJEB4211_v1:11:20872020:20873878:1 gene:GSCOC_T00020505001 transcript:CDP05450 gene_biotype:protein_coding transcript_biotype:protein_coding MTISHPIISLIRNCIAQSMVVSILILGVIGIFHPFDRGGLKIALVIICALTHGLAGFNAVSFYRQLEGIDWVRNLLLTGFLSCGPLFLSFCFLNAVAKAYGTTAALPLCTIAAILLIWICLAFPMFILGGKFAKKIELEFQAPCHTTKCPREIPVLRWYRGILPQVALAGLLPFNVLYVELYYIFAAIRGHRVYTLYGILFIVFILAVVITALVSIVLTYSQLSAEDHRWWWRSFLYGGSSALYIYGYCFYYYFYRSDMRGFMQTSFFFGYMTCICYCLFLMLGTVGFRASLLFVRYIYGYIKGPFVSGENIFLISRVWLHKSY >CDP04900 pep chromosome:AUK_PRJEB4211_v1:11:2258295:2259862:-1 gene:GSCOC_T00019764001 transcript:CDP04900 gene_biotype:protein_coding transcript_biotype:protein_coding MINDVSTNEDGTSKSSDIPVGFTTRTKDRTNGAKALHPCWGAYRICDEYVFLRAPAIYVNIFSWEAMGQVSLATLYNILQILADAMGLGKTVMTIALILARQGRGTLRIKNRLPKVRLIQKPYESGDYRGIMLIKAIWRPLKLYYLQTEVIIISFYVITVSFYFFNSL >CDP13198 pep chromosome:AUK_PRJEB4211_v1:11:31943206:31956762:1 gene:GSCOC_T00038063001 transcript:CDP13198 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSIGNKVLRQSFLPWTVLEPRSRINSTSTGKNTLFSPQATSAARKSPISTEFHGSKLTVKEKKLSMGKERGLSSFPRAVLATDPSSQIAEKFNLDGNIELQVDVTAPHSGARPAVDIKVTHGGDDLILHWGAVQDRKGKWVLPDCRPDGTKVYKNRALRTPFVKSGDIASLRIEIADPTIQAIEFLIFDEGQNKWFKNNGDNFSIGVPSGGPQVSNVSVPEDLVQIQAYLRWERKGKQMYTPEQEKEEYEAARTELQEEIARGTSIQDLRVRLTKRNDANESKDPPVPERSNIPDDLVQIQAYIRWERAGKPNYSPEQQLKEFKEARKELQLELQKGTSVSEIREKIVKGEIQTKVAKQLEKKSYFTAERIRRKKRDTTQLVNKYPSRSREERTYSEPQALSAIELFAKAKEEEVDGSIMDKKLYNLSDGKLLVFSARSSSKMKIYLASDVKEPLILHWALSRRPGEWIAPPSSALPPGSVSLDKAIETQFSKTSSDNLTYKVQSLEIELEDDNYLGMPFVLLADGKWIKNKGFDFYVEFGAKTKQIEQAAADGRGTAKALLDKIAEMESEAQKSFMHRFNIAADLIEEARSAGELGFAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTELLQNVYIDQPQYREILRMIMSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKNDMDISFYWKTLKENGITKERLLSYDRAIHSEPNFRRDQKDGLLRDLGKYMRTLKAVHSGADLESAIANCMGYRAEGEGFMVGVQINPVSGLPSGFQELLQFVLEHIEDKNVEALLEGLLEARQELKPLLFKPNDRLKDLIFLDLALDSSVRTAVERGYEELNNASPEKVMHFISLVLENLVLSIDDNEDLVYCLKGWDKALDMSKGGDQSCALFAKSVLDRTRLALASKAEWYHQLLQPTAEYLGSQLGVDQWAVNIFTEEMIRAGSAASLSALLNRLDPILRKTAHLGSWQVISPVEAVGNVVVVDKLLSVQNKSYERKTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDSSILADLQAKEGKFLRLKPTSADIIYSEVTESELKSSSNLNDAGPLPSITLVRKQFGGKYALSSKEFTSEMVGAKSRNIAYLEGKVPSWIGIPTSVALPFGVFEKVLSDYLNKEVAAKVKDLKRKLHRGDFQVLGDIRRTVLDLAAPSQLVKELKDKMQSSGLPWPGDEGQQRWEQAWMAIKKVWASKWNERAYFSTRKVRLDHDYLSMAVLVQEIINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFICKKNSLNSPEVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKIVLDYSSDPLITDSNFRQSILSNIARAGNAIEELYGSSQDIEGVVKDGKIYVVQTRPQM >CDP00790 pep chromosome:AUK_PRJEB4211_v1:11:25830307:25834255:1 gene:GSCOC_T00032875001 transcript:CDP00790 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSALMPIKALEGIHGVQLVPNSPFTFEVIKHEDLQQSTCESLTVGTSQQLILQRAWQQRPPCLRPIHSCASGDKTIVERIANVLTSLPFIALGLQAPRKNLNCKLYANSLIGVGLASSLYHASRGKLRKYLRWADYTMIATTTVCLSSALRTENPKLLMAASAVFLPIQPLMVSAVHTGMMEEERGGKGNVAHALA >CDP13390 pep chromosome:AUK_PRJEB4211_v1:11:33399223:33401298:1 gene:GSCOC_T00038308001 transcript:CDP13390 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 41 [Source:Projected from Arabidopsis thaliana (AT2G33480) UniProtKB/Swiss-Prot;Acc:O22798] MEKLNFVKNGVLRLPPGFRFHPTDEELVVQYLKRKALSCPLPASIIPEVDVCKSDPWDLPGDLERERYFFSKREVKYRSGNRSNRATGSGYWKATGVDKQIVACRSRLGVGGVGGVKKTLVFYRGKPPNGIRTDWVMHEYRLVNVVEAAAPISPLQNKSAQESWVLCRIFLKRRSGKSSEDEVTESSLPNCTAAAPPPLGGKMNGVVVFYDFLGKDTRELNPGATISSSSGCSGITEISCNEAEDMEESSSCTTASSMLGKKKPRERIHQPRKFGRSV >CDP16864 pep chromosome:AUK_PRJEB4211_v1:11:294371:296523:1 gene:GSCOC_T00019434001 transcript:CDP16864 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLIFQRFADCSEIEEAIAELTKLASTKRIAPGDFTEICMTLEKVWYFSSWLYSLYLVFDFMQSDLTRIISRPEGRLPEPQVKSYMLQQLLSGLQHCHRDVKASNLLIDKDRMLQIADFGLANFYRPKPKWPLASRVVTLWYRAPELLLGSTDYGVGIGMSSRGDVCRKANHAWQK >CDP18455 pep chromosome:AUK_PRJEB4211_v1:11:1346658:1361490:-1 gene:GSCOC_T00007403001 transcript:CDP18455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl Co-enzyme a carboxylase biotin carboxylase subunit [Source:Projected from Arabidopsis thaliana (AT5G35360) UniProtKB/TrEMBL;Acc:F4JYE0] MDSAAITSVCSKSLCSTRSTPGLFLGTTRGIRSSQCSFVVGNKVQFPRQIAQASRLVSKSGKSGGALATTCRAEKILIANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRGCTMLHPGYGFLAENAVFVEMCREHGINFIGPNPDSIRVMGDKSTARDTMKNAGVPTVPGSDGLLQSTEEAVRLAAGIGYPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYKQAREDIVLRGHSIECRINAEDAFKNFRPGPGRITAYLPAGGPFVRMDSHVYPDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTVITGVPTTIDYHKLILDIEDFRNGKVDTAFIPKHEQELAAPQQIVPATSVKELAKAAA >CDP16898 pep chromosome:AUK_PRJEB4211_v1:11:990307:991572:1 gene:GSCOC_T00019488001 transcript:CDP16898 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSGIWPLPKFSRKAIVTSVANSCLQNSAKLDGSSWPRPQYRTIPAASEVPISEAINNRNVIAENSGCKEAAIFFSFFSVIFAALLW >CDP13285 pep chromosome:AUK_PRJEB4211_v1:11:32558504:32559717:-1 gene:GSCOC_T00038174001 transcript:CDP13285 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCLSNLPISQLHVTPQRCSTTSNRSYGRSSRHLLSPVSGRNICKGIRAMTGDARDNLDHLQRANKQQTPQPRNKSAPVAPIGVLDRFPTARTVQQMMETMERLMEDPFAYSGGWPSPLAPDTGGYSRGRTPWEIKESEGEYKMRFDMPGMTKEDVKVWVEEKTLVVKAEKVPKKKNEDGEEEEKNEWSAKSYGRYNSRIALPENAQFEKIKAEVRDGVLYITIPKASSHGKILDINVE >CDP04882 pep chromosome:AUK_PRJEB4211_v1:11:2885009:2888693:-1 gene:GSCOC_T00019678001 transcript:CDP04882 gene_biotype:protein_coding transcript_biotype:protein_coding METTTEIKAGGGGGGAEQPAADCGCRNNDVQFAGELQPRGCHYCLKISSGDRQMLNRQVVKSGSATIKIPELDFEIPSEAQRGSLSTVQFFKFFFLVNCHFLSIVSYTILI >CDP00173 pep chromosome:AUK_PRJEB4211_v1:11:31208457:31214631:-1 gene:GSCOC_T00032036001 transcript:CDP00173 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSSTSAETTTPPPPPAPESNSLQSESVSPNTQLDSSVPKQSSILPPNPNPNPNQTTPPPPPQTSLIAPPSIQPGAVVPPTAPSFRPATTPLPQFSPIPLNPGYQAPVGVPPPGVSALPQLPGMLPPPPPSVTGAGQVPVASSVPPVIPPLSYAPQGQPIRAPYAPLPNGYPAIPQSAPQGVIPPPGILRYPSPFAPMARPAYPTRPLAAGGVIPPLPRPPIAGPRAPIIPAVVRPAVVPSVASTEKQTTLYVGKIASTVENDFILSLLELCGPVKSWRRPQNPTDGTFKGFGFCEFESAEGVLRAIRLLSKLNIDGQELMLNYNQATRDYLDDFVRKKRESLKNVNETETESSTESTKRGGVLSADKQKDASKPSLESSKSATGDSEKDDNNTKNDENRDASSFGLVTDDDRKGDQEALEKLTGLIEERLKNRPLPPPPPQIAADGSSSSNLEGSARSKDGEMDADTTKADAVEDKYENEMPGESKPSGEQDRSETSSPDRERRHDRRSRDRDRELKREKEKELERYEREREQERAKREKEREYRIRDDERRFKAREKEWEAREKEREHWRKREREREKNRAQERRSEIANQERERDDGYSKKKKYRDSEEERRRRQREKEEDMGDRLKEEEEVAEAKRMAEEERKKKEQEEELRLLSGNGRDGTVLPEENNCGSKDKAIEQTSDHDLVLGDGTVQNGIADLSLMASLSTNDARQNSNAPSRKLGFGLQGSGKRTTVPSVFDQDEDEDMHKEKKMRPLVPIDYSTEEQLAIHSLVSEAPPSNLAVATESARRISNNNSKDEKPDLEKEKGRRSHDRSSQRDRDRNGDDFTRTREESRKESLDRDRVREPGLDKVKAPDNQKLLDAKQLIDMIPKTKDELFSYGINWATYDKNALHERMKPWISKKITEFLGEEEPSLVEYIMSSTREHVEATEMLNRLQAILDEEAEMFVLKMWRMLIFEIKRVETGLALRSRT >CDP00219 pep chromosome:AUK_PRJEB4211_v1:11:30838214:30842396:1 gene:GSCOC_T00032098001 transcript:CDP00219 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSRSRVTITLGRAGQVVKRAGPGVDDSSSFADSQHPVGAKRSVRDRLGSNVDSSSNFNNKRQRGDVSRLSRSASNDVDDVTLNKDDLRFKIMHKSSLNKGQSSRQLNGMDLRDLLSRPAQSSTSSLGTQQRMPQLKDPRKHFPEPRDGRHQMLQPRNARYLMPEPSDGRRHVSEPRDARQPLSESRDVRYVMPGPKDDRNLRLGSNSSSFPGQIRSSRTTDSLPHLDSLRNSYSPWTLDSLRQGGRVAGSSRGLTPSRRNEELEERPLVRAYNESRGSSYMSKEALEHSCPISSAPYVAKTAQTAAPAKSMAPPLASLPPSVTLSQRSSYTVEDQPTVDSFLHSLGLDKYAINFKAEEVDMYALKQMRDNDLKELGVPMGPRKKIIQALLARAKRQI >CDP00520 pep chromosome:AUK_PRJEB4211_v1:11:28499825:28504071:1 gene:GSCOC_T00032481001 transcript:CDP00520 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGKEASVFCYVVLFSFLACSNAWLSPDGVNPEVAALMDIKDLLKDPHGVLNWDRNAVDPCSWSMITCSRDTFVIALAAPSQSLSGLISPSIRNLTHLQTLLLQNNNLSGPIPSELGRLPKLQTIDISDNLLTGEIPPSLAQLKSLQYLRVNNNSLTGAIPLSLMNMTQLTFLDFSFNNLSGPVPKLPAKTFNILGNPMICPTGKEPECNGTAPMPLSLPLNNSQSLQPAAKPKSNRVALAFGTSLGCICLLIIGFGFFLWWRQKHSQQIFFDVNEQHHEEVCLGNLRRFQFRELQVATNNFSSKNILGKGGFGNVYKGYLQDGTIVAVKRLKDGNAIGGDIQFQTEVEMISLAVHRNLLRLYGFCITPTERLLVYPFMSNGSVASRLKAKPPLDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVKKIHQEKKLELLVDKDLKNSYDRIELEEMAQVALLCTQYLPSHRPKMSEVVRMLEGDGLAEKWEASQRAEATRCRANEFSSSERYSDLTDDSSLLVQAMELSGPR >CDP13224 pep chromosome:AUK_PRJEB4211_v1:11:32136390:32138985:1 gene:GSCOC_T00038093001 transcript:CDP13224 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSMLNTYKYNVTLKSSPTTIKFLCSYGGKILPRYPDGKLRYNGGETRVLAVERSISFSELVMKLGEMCGASVSLRCQLPTEDMDALVSITSDEDLANLIEEYDLAAVSASPTTNNALKIRAFLSTPYKPTTKKSSPSHSIASFSTSSNEATSPVYSPAPAASPSLISFRRPIKSAATDRCVHQIMSRPAVAYPHPLMTYEKAAAKGLPHHQYVYCGPGKASSHVYLIQHGNHWQ >CDP05517 pep chromosome:AUK_PRJEB4211_v1:11:22257533:22257871:-1 gene:GSCOC_T00020609001 transcript:CDP05517 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVSHCGWCSVIETIHFGVPLLALPMHLDQPLHARHAVEIGIGIEIPKDEDGQIKRQEIARVINEVVVKKKKGQLQRQKAIELSKKLREEGEEELHEAMEKLRTLCSKNK >CDP00368 pep chromosome:AUK_PRJEB4211_v1:11:29636295:29641750:1 gene:GSCOC_T00032287001 transcript:CDP00368 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSESLGQARLEKEKGSLQGGQVIQRTRLQVWFIRVCSSILIWTCLVQLVAVGELWHPRLLTGLSSRFSGASTLSFRVEDAVPSPPILLPARNYTSNGFLKVSCNGGLNQMRAAICDMVTVARLLNLTLIIPELDKKSFWADPSDFDDIFNARHFIDSLRDEVRIIKRLPKRFGRKLGYQILQMPPVSWSNDKYYLEQILPLSAKYKVIHFNRTDTRLANNGLPVELQRLRCRVNFQALKFTPEIEALGRKLVRILQESGPFMALHLRYEMDMLAFSGCTHGCTEEEAEELKRLRYAFPWWKEKEIISDVKRSEGLCPLTPEETSLILKALGFDRDTQIYIASGEIYGSARRLAALRTAFPRIVKKEMLLDPEDLRQFQNHSSQMAALDFIVSVASNTFVPTYDGNMARVVEGHRRYLGFKRTIQLDRKRLVALLDLHQNGTISWDEFSVAVRLAHESRMGQPARRRVLVDKPKEEDYFYANPQECLCEGTSCDDLLGPRNSTVVR >CDP00791 pep chromosome:AUK_PRJEB4211_v1:11:25822953:25824058:-1 gene:GSCOC_T00032876001 transcript:CDP00791 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPNIEAETARTTRRRQRYDSSKAAAFFLGLLLIFPQFCLSSSAENNDDIAPSHWSPARKARFFHKVSSSFHAASRTNNVNLGRYHQNTSSDIIYGDDERIVHTGPNPLHN >CDP04805 pep chromosome:AUK_PRJEB4211_v1:11:5441297:5441942:-1 gene:GSCOC_T00019532001 transcript:CDP04805 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSQLPLLFLYLLSSAHLFHPSSADVGTASQYNPPYTPTACFGSDNSQFPSSNLFAAAGEGVWDNGAACGRQYLVRCISAVAPKTCVPDKTIQVRIVDRAQTSASRPLKAGTTIVLSNTAFGTIANPGAAFINIEFQQ >CDP16256 pep chromosome:AUK_PRJEB4211_v1:11:24589618:24591726:1 gene:GSCOC_T00018021001 transcript:CDP16256 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLGKTTLAKKVLHDPKIEYDFFTRAFIYVSQQFERTEVFLNILWSLGHLTKKAQNMPEEKLAEHVREQLKTRMYLIVMDDVWKIEDWDKLKVAFPNNKKRSRVLITTRNTSVAIYANPAVEPYHLDFLTFDASRELLRRKVFGENKCPEEVEQYEVHMVKKCDGLPLSIAVIAGILIKHRQIVRWWSRVADSVNDYISRDEKHIKDVIILSYNHLPYHLKPCFLYLGVFREDFEIPVWKLLRLWIAEGFVPQQRGLNLEDIAEEYLEELVDRNLVMVGQRRSNGQIKTCRVHDTLRDFCKEEGKEENIFHEIKKDDREILSSKSPTLDDCRRLCINANVMDYMSKKPSDAPVRSFLTSAKEETALDAEHVSLIPRAFKLLRVLEAKSLRFAVFPPDLCQLVLLKYISMSCKLDILPPAMSTLWSLQTLIVDTTARTLQIKSDIWKMPQLRHLHTNASTSLPCPTTPRCETLVNANLQTLSSISPQSCTKELFERTPKLKKLAICGKLAVLFRANGRSNVFESLCALDFLENLKLLNEYVSSPLKRLPQEHNFPRKLTMLTLSKTLLPWNQMSTLGKLANLEVLKLKINAFKGDRWRTESGGFQSLQFLHIGSTGLSSWDVAAADHLPVLKSLVLKHCPDLRRLPPSLGHISTLQLIDLSCTNPSVASSAKDIENLKLKQAQQKGNKSNRFMLLVYPPDHL >CDP04849 pep chromosome:AUK_PRJEB4211_v1:11:4687393:4688276:1 gene:GSCOC_T00019604001 transcript:CDP04849 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAGGELFAKICSAGGFNEDEARFFFHSCHSMEICHRDLKLENTLLDGSPTPHLKICNFGYSKTDLLHSQPKSAVGTPAYIALEVLSRKEYDGKVCIIKILEEFTEILSL >CDP11054 pep chromosome:AUK_PRJEB4211_v1:11:5997105:5998893:-1 gene:GSCOC_T00033047001 transcript:CDP11054 gene_biotype:protein_coding transcript_biotype:protein_coding MNALRVCLFGCKRFSGKHFPDFLLFGWVFALGKFFPTENKLHSPKENNFLISYREVIFLRLLFTASQGFSTSGKLLQHLSFSLSLSLSHFFPFIPEEPPFPLPPASDLSGDHLLRRHYLSKSDFRHQQTNPRERPTLLRRRHLQLWLIPALAATSHSRCYNSISNKGEDSLSISRAPLNLGGDLEVKKSRADLPLAVALGICRCRPTRFVQSFVFLADQCLS >CDP16258 pep chromosome:AUK_PRJEB4211_v1:11:24563822:24566674:1 gene:GSCOC_T00018023001 transcript:CDP16258 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVEKITYYFGVQSSQFVNRVQVALNLKSIDYEFIRNESSKRRLLLQSNPAHKSIRVLLHGDKPILNGGIIVRHLSIDDFSSDGPSIRPSDPYDRAIARFRAADIDEKWLTFFRELPTATDEESQSGLVERILRGLIYFEEVFVKV >CDP13320 pep chromosome:AUK_PRJEB4211_v1:11:32761046:32765090:1 gene:GSCOC_T00038216001 transcript:CDP13320 gene_biotype:protein_coding transcript_biotype:protein_coding MHASDFFLFFLNRCSSEALMAPIKGILSSQRISLVKQSEHWVLGSRLFSTQAASTASTPQPPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAMKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERKNLEKARREAYEAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPSTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAIIPGGSSVPLIPKHICEDVLMDFDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEVDMLQEVTKQIEGHTICALGDAAAWPVQGSYNRLLLLDTSVQVRTYPARGLCNKQFETFEECMDMQASLLPVQQSSKSNFYACIRLNCSPTFLFFFLRAYWIQVGF >CDP00609 pep chromosome:AUK_PRJEB4211_v1:11:27703700:27708240:1 gene:GSCOC_T00032601001 transcript:CDP00609 gene_biotype:protein_coding transcript_biotype:protein_coding MLFITNKGIIKWKPESHVWYGKNIQFNTSRRLASIACLIISTQLSQKGMVSLTTSAILPKLRPPPCKVNESVCQEANSGQLAILYISLLLAAIGAGGVRPCVVSFGADQFDEADPEQKTKTWKFFNWYYFCMGASMLVAVTVVVYIQDYISWGWGLGIPTVAMALSIIAFIFGYPLYRNLDPAGSPFTRLVQVCVAAYRKRNLSMPSDPTLLYENQDLDAGISLGGRLMHTKHMKFFSKAAIVTEEDDPKAPNWWRLNTVHRVEELKSVIRMGPIWASGILLITAAAQQHTFSLSQAKTMNRHLTKTFQIPAASMGVFTLSCMLLTIGVYDRILVPVIRRFTGLERGITYLTRMGIGFAISILASFVAGFVEVKRRRAAFAYGLEDKPQEMIPISVFWLVPQYCLHGMAEAFMSIGHLEFLYDQAPESMRSTATAFFWLAISMGSYTSTFLVSIIHKFSAGPDGSNWLPDNNLNRGKLEYLYWLITLLQVANLVYYLACAKFYTFKPIQVHAQEADCSEEDGIELRNRV >CDP05500 pep chromosome:AUK_PRJEB4211_v1:11:21739112:21739436:-1 gene:GSCOC_T00020577001 transcript:CDP05500 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKVKKTKRTAPKSDDIYIKLLVKLYRFLVRRTGSRFNAVLLKRLFMSKINKPPLSLSRLVKFMEGKEDKIAVLVGTICFRV >CDP00575 pep chromosome:AUK_PRJEB4211_v1:11:28006992:28007439:-1 gene:GSCOC_T00032558001 transcript:CDP00575 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSYPHSTTTTTKSYRPDLTHRTAKKNPPTVPHQSSATPFHQNPKSILPLTSP >CDP11597 pep chromosome:AUK_PRJEB4211_v1:11:14674122:14674693:-1 gene:GSCOC_T00033947001 transcript:CDP11597 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPIMDGHDTVTGYIISTTIRDKNGEPKQTVSYMAERVVGTGSFGIEKCLETGETVAIKKVYRTGDTRIMNCS >CDP13361 pep chromosome:AUK_PRJEB4211_v1:11:33161494:33162118:1 gene:GSCOC_T00038269001 transcript:CDP13361 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIGNQRDYTDQIIKEIIILLVGHAYGRKRHTIEWVLFLLLNHPESFD >CDP00301 pep chromosome:AUK_PRJEB4211_v1:11:30200967:30206028:1 gene:GSCOC_T00032194001 transcript:CDP00301 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVKRRPSSNTGATDDAIATSQQQQQQQPKQKERHIVSWSQEEDDILREQIRIHGTENWAIIASKFKDKTTRQCRRRWFTYLNSDFKKGGWSPEEDLLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKREALAKENNTSYINLNNKRVIFPSGLSTNGISEAAGPLKKMRRAHMPDPTESCNREEELVGECATSSQLLRPPFAVLAQNIHKSGSNLSTQQHGNDVKEAPTDAGNNKIQGTFLKKDDPKVLALMQQAELLSSLAAKVNVDSTDQSLENAWKVLQDFLNQNKESDEFCFRISDMDVQLDILKDLVEDLQGSFEGNQASWRQPDLYEESSGSSEYSTGSTLPSHLPLDKVEQCQSELCAPYQDVRPVSQSTHAGDQHQLVKVENTMLGSEPTDKDVMPDCDELKADGVLACGFSTTEFGSPLQETPLFRTIAATIPSPKFSESERHFLLRALGMESTSLTPSTNPSQPPACKRALLQSL >CDP16519 pep chromosome:AUK_PRJEB4211_v1:11:9003121:9003853:1 gene:GSCOC_T00018520001 transcript:CDP16519 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSRKKIHKDKDAEPSEFEESVAQALFDLESTKQEFKSDLKDLYINSAIQIDVLRNRKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVILIATCRILRPPKKGSIVQRPRSRTLTVVHDAMLEDVVVPTEIVGKRVRYRIDGSKIMKVFLDPKERNNTKYKLETFVAVYRKLSGKDVVFEYPMAEA >CDP11648 pep chromosome:AUK_PRJEB4211_v1:11:16677671:16681325:1 gene:GSCOC_T00034050001 transcript:CDP11648 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLGQNAGDGVTAKVVFDLSLARGLDYYIGVIFEAVFKGSTQVSSNYYYLKRLSCSLLLAYSSFLVVCGFRRDSNVNVMQVGSIAAGGRYDNLIGMFGRKQGISLGIEPVLVIMEQLQKDRSQVTRIS >CDP16295 pep chromosome:AUK_PRJEB4211_v1:11:23937236:23943915:-1 gene:GSCOC_T00018074001 transcript:CDP16295 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFSIIPASVLRNLSDKLYEKRKNAALEVEGIVKQLAVAGEHDKIAVVINLLVNEFTNSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNDIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLTEIKNSPSVDYGRMAEILVQRAASQDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRAIKADPAEGFDVGAILSVARRHLSTEWEATRIEALHWIYTLLNRHRTEVLAFLNDVFDTLLKALSDPSDEVVLLVLEVHACIAKDPHHFRQLVVFLVHNFRVDNSLLERLVFLLEQLHCF >CDP00430 pep chromosome:AUK_PRJEB4211_v1:11:29200943:29205607:-1 gene:GSCOC_T00032368001 transcript:CDP00430 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQQQQGAAAPQPPLPPRPAGPDFTPILTVFFAFIAIFLVATSQVLVPSSSNLLDSLATLHQVPEGHVGVYWRGGALLKTITDPGFHVKLPLITQFEPIQVTLQTDLVRDIPCGTKGGVMINFEKIEVVNRLRKQYVYETLLNYGVNYDNTWIYDKIHHEINQFCSVHSLQQVYIDMFDQIDEKMKDALQADCTRYAPGIEIISVRVTKPKIPDSIRRNFEQMEEERTKVLIAMERQKVAEKEAETQKKVAISEAEKYAHVSKIQMEQKLMEKESTRKQEEIANAIYMAREKSLADAAFYRTMKEAEANKMKLTPEYLELKFIEAIANNSKIFFGDKVPSMIFDQRILGDFLKDAGRRNLGL >CDP00755 pep chromosome:AUK_PRJEB4211_v1:11:26201025:26208778:1 gene:GSCOC_T00032825001 transcript:CDP00755 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPDSSLLDLIEKVRSWISLRASDTASLSGRIQMASNGCKFCYECEVDFTESSLKYQCQTCARFLCGRCVQDYGPLDDVLSGHSKSRAGSLVPLKSCKYCSNLSTQPKAGRKYSDKIYPAESPRQSPEPPSPSCDGDRVDGYSLHATSKSSVASFSGHLSPVSVHRSFSRSDEDEGEDFMSNFFSPSSEYCHDTSDIETSNISTRHGFHSFKSVGSSPSDSPSRIHITSNRVGHFVQKQQLGARRSRSDYCPFYQESMAVLGGPEKGTEDSETADDCVDNLSMFQEQYGKSQKPLDFENDGLIWFPPPPDEENDETENNFFTYDDDDDDDDLGDTNGTFCSSRDVDPKEPLRAVVQGHFRALVSQLLQGEGIKVGKENGSEDWLDKVTALAWQVAKFVKPDTSRGGSMDPVDYVKVKCVASGSPSESTFIKGVVCTKNIKHKRMNSQYKNPRLLLLGGALEFQGVTNQLESFDILRQQEMDHLKMVVSKIQALRTNVLLVEKSVSSYAQEYLLAKDISLVLNVKRPLLERIARCTGAVITPAVDKISTARLGHCELFHLEKVTEEHEPLNHFNKKPSKTLMFFGGCPWRLGCTVLLKGSSREELKKLKQVVRYAVFAAYHLSLETSFLADEGASLPKMGVKPSVTGQERICTENVIATVTNSVVSSHYHEVASAPHIALESDGLHLEPDLQQSFSAHCNSDYDATSAREECRYRNVPLDAHTVYSTSDTGLGHTHSLFPGDTQNHIQEDANSVQEENQAVEVSEVAKLQRADETEESIEFYSAADTNQSILVSFSSRCVLNETVCERSRLLRIKFYGASDKPLGRYLQDDLFDQTTCCQSCKEPTEAHIICYSHQQGNLTINVKRLPSVKLPGEVDGKIWMWHRCLRCAPVEGIPPATHRVVMSDAAWGLSFGKFLELSFSNHATANRVANCGHSLQRDCLRYYGYGSVVAFFRYSPIEILSVHLPPSILDFNASGQDWIRREASELLRKSEALYAEMSGVLHNIEEKLLSFGHEFSGVSELHNHVIELKDLLIKENNSYNSMLRIAEKETLELAEASLDILEINRLRHSLLIGSHVWDRRLFSLNCLLTRSSSSRAPQKSETFVKRSSSEHWEEVVPEVSKFQECPMESVKSEQEETNMLLHRLSISEDSASYEPRREEEMLKDSKNAVNTPALERASTAATALSETIDSAWTGSGQVSGKAQLHNMCQPDGAEDVPFKQINQGEIPPLKRVMSPARVYSFDSAVRLQERISKGLSPSSSHLLMLKSFHASGDYRSMIRDPVANVQRMYSQMLPCDAQKCDLLQNASPTFTSSTSLLSDGARMMIPQNSQNDLVIAVYDDEPTSIISYALSSKEHEEWVSGKPLEPGGSLNAGDLNNDHSTTSNISGWQSFGSLDFDYIHYGSYGSEDASTAVGSIFTDNKTSPHLRISFENKSSNVWGEVKFSVACYFAKQFDALRKKCCPSEVDFIRSLSRCRRWSAQGGKSNVYFAKSLDERFIIKQITKTELESFEEFAPDYFKYLKDSGSPTCLAKVLGMYQVGVKHLKGGKETKMDLMIMENLFFGRKISRVYDLKGSKRSRYNADTTGANKVLLDMNLLETLRTKPIFLGSKAKRSLERAVWNDTSFLASVDVMDYSLLVGIDDENKELVLGIIDFMRQYTWDKHLETWVKASGILGGPRNASPTIISPKQYKKRFRKAMTTYFLTVPDLWSA >CDP00815 pep chromosome:AUK_PRJEB4211_v1:11:25515391:25517820:1 gene:GSCOC_T00032909001 transcript:CDP00815 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLAIFALFSFHIFVASFGVAKAATSSSFCSQTPYPDLCNSLSINTMSPQTKLDQTSFNVRDAALKFTLSQAQYVQKLLSTMDLSSLNQLGKSAWADCVELYEDSIDNLNRAITSANPTKLDDAQTWLSAAIANHQTCQNGFLDFQLSSNLQSFPFLVNSTFSKHLSNSLAINKAALSAASAHSSTQQIKGRRLLSSNGFPEWLSVGDRKLLQSSGGPTKADITVAQDGSGNYKTIAEALAAAKGKGGRVVIYVKKGIYKENVVVTMKNIMFIGDGIDATVVTGNKNVQDGSTTFRSATFAITGSGFIARDMTFENTAGPQKHQAVALRSGSDFSVFYRCSFKGYQDTLYVYAQRQFYRDCDVYGTQDFVFGDAIVVFQNCNFNLRRPLGNQMNTLTAQARTDPNENTGIIIHNSRITAASDLKSVQGSIKSYLGRPWQKYSRTVIMTTSIDNVIDPAGWLPWSGNFALSTLYYAEYMNSGPGAATGGRVKWGGFHILTSPAEAGKFSVGNFLAGNSWIPGTGVPFTSGL >CDP04817 pep chromosome:AUK_PRJEB4211_v1:11:5259431:5259880:1 gene:GSCOC_T00019549001 transcript:CDP04817 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVTVLENRTLYNIEIRMWVYPARPDEFKKILRIKPGRSTRIMATTFNSSNQDHDHGGLEDEVMLMVYANGGWTGRYILPLHLFAYAKVSCDRNQHGQVILRAKRANFNFFRLRYIFFNSYFHSTYFILLFFLFFHITIDLLGFAKI >CDP07976 pep chromosome:AUK_PRJEB4211_v1:11:10616809:10619380:-1 gene:GSCOC_T00025531001 transcript:CDP07976 gene_biotype:protein_coding transcript_biotype:protein_coding MFSERELSPKSRGQTETLDLRGRFEQSDIINSDGEIESYRPLVHVPEDENRAVLDWRVKML >CDP00191 pep chromosome:AUK_PRJEB4211_v1:11:31050257:31051615:1 gene:GSCOC_T00032060001 transcript:CDP00191 gene_biotype:protein_coding transcript_biotype:protein_coding MENHATFNVLMLPWLAHGHVSPYLELAMKLTARNFNVYLCSSPATLSSVRSKLTEKFSQSIHLVELHLPKLPELPAEYHTTNGLPPHLMPTLKDAFDMAKPNFCNVLKSLKPDLLIYDLLQPWAPEAASAFNIPAVVFISSSATMTSFGLHFFKNPGTKYPYGNTIFYRDYESVFVENLKKRDRDTYRVVNCMERSSKIILIKGFKEIEGKYFDYFSCLTGKKVVPVGPLVQDPVLDDEDCRIMQWLNKKEKGSTVFVSFGSEYFLSKEDMEEIAHGLELSNVDFIWVVRFPKGENIVIEETLPKGFFERVGERGLVVNGWAPQAKILTHPNVGGFVSHCGWNSVMESMKFGLPIVAMPMHLDQPINARLIEEVGAGVEVLRDSKGKLHRERMAETINKVTKEASGEPARKKARELQEKLELKGDEEIDDVVKELVQLCATKNKRNGLHCYN >CDP00607 pep chromosome:AUK_PRJEB4211_v1:11:27713911:27717949:-1 gene:GSCOC_T00032599001 transcript:CDP00607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyllysine-residue acetyltransferase component 4 of pyruvate dehydrogenase complex, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25860) UniProtKB/Swiss-Prot;Acc:Q9SQI8] MQAAVSKNMVESLTVPTFRVGYPINTDALDALYEKVKKKGVTMTALLAKAAAMALVQHPVVNSTCKDGKSFTYNSNIHIAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVEKARAKQLQPQEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADADGFFSVKNKMQVNVTADHRIIYGADLAAFLQTFSKIIENPDSLTL >CDP00516 pep chromosome:AUK_PRJEB4211_v1:11:28524142:28531977:-1 gene:GSCOC_T00032477001 transcript:CDP00516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase TTM2 [Source:Projected from Arabidopsis thaliana (AT1G26190) UniProtKB/Swiss-Prot;Acc:Q9C664] MVQDASRSESTHQKPGLLKDQVQLARRRGSDRYEIVPIPEPLSFEKGFFAVIRAFQLLAQKNDGLLLVGVAGPSGAGKTVFTEKILNFMPAVAVISMDNYNDSSRIVDGNFDDPRLTDYETLLKNIQDLKAGKQVEVPIYDFKSSSRIGYRTLEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAYIEPDLQTAHIKIINKFNPFTGFQCPTYILKSSRCLTVDQIKSVLSEEHAEIKEQTYDIYLLPPGEDPETCQSYLRMRNKDGKYNLMFEEWVTDSPFVISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDDKVCVKIDWLEQLNRQYIQVQGRDRAVVKSVAEQLELEGSYTPRTYIEQIQLEKLVNEVMALPDDLKTKLSIDEDIVSSPKKALSRVSAERVAQRNKNLKRGMSHSYSTHRDNSFSKANGFSSHNHRFEDRTTDSVAPLPNQGAIMQLSEQISTLNARMDDFTSQVEEFSSKLTSRRSSPPSQNLVSQAEACNGSAPTSHFISSLENGFVTGGIMPSSSSSSLLGKDAPLMEEISNIARGQRQIMHQLDSLRNLVHENLGKRACPARINKSSETAYVDPVGVSLILTFAIGGLGILFFKGYTPRN >CDP13253 pep chromosome:AUK_PRJEB4211_v1:11:32318010:32325541:-1 gene:GSCOC_T00038133001 transcript:CDP13253 gene_biotype:protein_coding transcript_biotype:protein_coding MHYMLLENHNQTSQLQVLLRFAAKERAAVKFTSQYVPNFPPPEFISSVPLPLRSPGNPFFPIGCRYEIQAFTFRNGALLFPTLASTETMKDFTLEVRYRKNADGIAADPQPDWSFDAILFDLNSLEKRLKVSLQISSPPSKTDAPVLKWKAKNESPGPFVMQVLDDEFGVETDNVEEGHHNDRAMVAGRRFGCDELSISDGEDSEDESVFGMQGNLMPHVGFAEGTLSELTHEHQLGVMEVVRNQITELETCLIDENEKFASTVARVENYTKTRQELDRKFDMQYQRRIAEALDNHLTAVQRDHEHKSQIEERRIRDDAVREEAQRRERALHEEKVRQEKIKAEAEMQARLEAERVEAAKTAALEAEKRAAEEVAQKKKSADTKSSAAGVSINATEANGSQGSVLHVTKSAQPQGTVIRSAENALKLEERRLQIYNEVAAEMQMNPKMDYRKHEQKIARTIRQISGSEENVSAKASELFYLIKDPSCPQSISITAFAKKFVSLCENPTGSFHRSVYAYARVIVLVTSKVPRAMDVLISMLNQACIYTVPKHIIYLKSAFQTKEAYYKAIGYREEDGDIESTDRYVSRLSLCVKLYAAIVQTELEGIQNLHGIAEGWAWLARFLNGLPPNLYTVVALESFLQMAGFAMYRRYGVQFKKILRLIARNFLSALGEQENTKLNMAIINIRNYLESNQFLQKPTGWELESHIESHNMAP >CDP18632 pep chromosome:AUK_PRJEB4211_v1:11:9347232:9355888:-1 gene:GSCOC_T00004554001 transcript:CDP18632 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKPISNHSPLLLLLVLLLTPGLAAAEFAATELSHDVLQQQAGDRVVQLPGQPWVHFKQYAGYITVNETHGRALFYWFFEATRNPEKKPLLLWLNGGPGCSSIGYGEAEELGPFLSQKGKPELKLNKNSWNRVANLLFLESPVGVGFSYTNTSTDIKELGDTITAQDSYIFLVNWFKRFPQFKSHDFYIAGESYAGHYVPQLSELIYDNNEKVSKKTYINFKGLMVGNALLDDETDQRGLIDYAYDHAVISDQLYNEIKGKCNFSTEHLPKDCNDALGKYFDVYKIIDMYSLYAPTCVKSNSTSIRKLPVVRGAAPKLFSQIDGWHRKPAGYDPCASDYTELYLNRPDVQKSLHANVTKIPYKWTHCSDNITFWSDAPASILPIIKKLIDGGLRVWVFSGDTDGRIPVTSTRYTLKKFGLKITQDWTPWYTFHKQVGGWTVQYDGLTFVTVRGAGHQVPTFKPKQALQIVRHFLDNNKLPSAAF >CDP00628 pep chromosome:AUK_PRJEB4211_v1:11:27452045:27456002:1 gene:GSCOC_T00032630001 transcript:CDP00628 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNQLFNRGLLGQKCKTCLTLTISRIKLLQNKRDGQLKIMRKEIAQFLQAGQESIARIRVEHVIRERNIWDAYEILEMFCEFVLARVPILESQRECPSELREAVASIIFAAPRCSDLPDLVHVRNLFAAKYGKEFIAAASELRPDTSVNRTIIEKLSVSAPSAEVKMNILKEIAREYNVNWNSSKTEAEFSKKPEDLLNGPKHIAAPSLSVSQSSAVHSPPILEHSNTSLNGQQGHSNQSAVAVNNIPRLATNKPKPPSLKDQSVEPKTESKETRSQSSDPLEKARAAIAAAERASAAARAAAELVNFNFSSEARAS >CDP11029 pep chromosome:AUK_PRJEB4211_v1:11:6668817:6669266:1 gene:GSCOC_T00033011001 transcript:CDP11029 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVGEKITRLVEYATNPFGPLILVCASGGARMQEGSDFGMLGDIIIAEPNAYIAFAGKRVIEQTLNKTVPEGSQAAESLFHKGLFDSIVPPGVLSELFQLHAFFFPR >CDP00652 pep chromosome:AUK_PRJEB4211_v1:11:27222532:27223849:-1 gene:GSCOC_T00032666001 transcript:CDP00652 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEELLWRASLVPHITEYPFNRTPKIAFMFLTRGSLPLAPLWEVFFKGHQGFFSIYLHTSPEFTNEPPESSVFYKRRIPSKHVQWGRVTMIDAEKRLLANALLDHSNERFILLSEACIPIFNFTTIYNYLINANQSFLSTFDDPRPIGRGRYNKRMWPMITLSDWRKGSQWFEANRKLAIEIVSDVKLYPIFRDLCMPPCYMDEHYLPTLVTKVCPELTSNRTITWTDWSTGGSHPRTFMRNDITEPFLNQARFGVNCSYNGEISSVCHLFARKFHPSTLQPLLRIAPKLLGFTT >CDP13369 pep chromosome:AUK_PRJEB4211_v1:11:33227827:33230464:-1 gene:GSCOC_T00038279001 transcript:CDP13369 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKFRQNSNEPFKDFSIGNSCACLTGQPSLDDVQYPSKPNYCTKPLIRGQRDNQLRKSSASTDAARTDGDDIGEETSAALSEFFHGFLAIGTLGTDPVTADPSTPTFSISVENIMEKETEVTENELRLINDELEKVLGADEICNLSSGRNSHVSTGRNSHVSTGRNSHVSAGRSSHCSAITLGGKPTESTDANGNGTIVCPLQSYLLGSAIGFPETTTTTTITTSTTTAKKESRVSLGELFLKTKQAEDISGAKPERAAEKKPDKESDKSAVLLVKKMLKRKILHPNRNCIAASGGTTDSASAETKLHKILHLFHRKVHPESSAAAKKSKKPPSQVGERSGGSYKRPYIIGGPEDTDEDIIITPYQPLSKERLRRFKSQSNPPQLSLNGGNPLGTGEHWIKTDSDYLVLEL >CDP00492 pep chromosome:AUK_PRJEB4211_v1:11:28725465:28731733:-1 gene:GSCOC_T00032447001 transcript:CDP00492 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP90.5 [Source:Projected from Arabidopsis thaliana (AT2G04030) UniProtKB/TrEMBL;Acc:A0A178VPV7] MAPVLSRSVTSASVASLPYQKAPFSSVETKNSRVGVLGSAFLPRNGLRNSLLKSSGLKWKLERRESRVVVKCEGGAAVAEKEAPEVSGEIHDYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPGLLGDAGDLEIRIKPDPDNGTITIRDTGIGMTKDELIDCLGTIAQSGTSKFLKALKENKDVGADNSLIGQFGVGFYSAFLVAEKVVVSTKSPRSDKQYVWEAVADSSSYVIREETDPEKLLPRGTQITLHLKEDDKYEFSEPTRIQNLVKNYSQFVSFPIYVWLEKSRTVEVEEEEEPKEGEEKPEGEKPKKKKTTTEKYWDWELTNETKPIWMRSPKEVEKEQYQEFYKKTFNEFLDPLAHIHFTTEGEVEFRSILYIPGMAPLNNEEVINPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGMVDSDDLPLNVSREILQESRIVRIMKKRLVRKAFDMIQELSESENKEDYKKFWENFGKFLKLGCIEDSGNHKRITPLLRFFSSKSEEEPISLDDYVENMEENQKAIYYLATDSLKSAKTAPFVEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVKERETKQEYNLLCDWIKQQLGDQVAKVQVSKRLSSSPCVLVSGKFGWSANMERLMRAQTLGDTASLEFMRGRRILEINPEHPIIKDLNAACKNAPNSTDAKRVVELLYDTALISSGFTPDSPAELGNKIYEMMAMAVGGRWGRAEEGEAWAGEDSTESDASSSEASEAQVVEPSEVRTESDPWE >CDP16307 pep chromosome:AUK_PRJEB4211_v1:11:23731978:23733073:-1 gene:GSCOC_T00018098001 transcript:CDP16307 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSLFNRKKKRLQQPPSAANPSPMQSRSSSVQSRARIEEELEQVFKKFDVNGDGKISASELGQIMGSLGYPTTDEELHKLMNEADSDGDGFIDLQEFIELNTKDIDSDEVMENLKDAFSVFDIDKNGSISADELQNVLKSLGEECSLAECRKMICGVDADGDGMICFEEFKVMMMMGSRFDSSEPKA >CDP18006 pep chromosome:AUK_PRJEB4211_v1:11:17005023:17005481:1 gene:GSCOC_T00001346001 transcript:CDP18006 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHIPDHVLVNVLLRLPFDSLIRSRCVSKSWRSLIDDPHFIKMHYLNKNHLQTNYHHDDTKIIALVEHGASVFTRPESIKFYTLDSDLSCSSILHAKQLNCPIELSEYHVRLAGSCNGLLCLLASESDIFLWNPIRGLKSTVNYPLLLMKI >CDP00347 pep chromosome:AUK_PRJEB4211_v1:11:29855263:29858890:-1 gene:GSCOC_T00032255001 transcript:CDP00347 gene_biotype:protein_coding transcript_biotype:protein_coding MSELKDPEIKLFGKTISLPQNTSVSSSVHADHPDDRQSSSNTAASEDKKNTLGEELAENKQEDDLSNPTAEELTERASISGISDDHKTSSAENETLSPKNTNEELGDNNSSQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGSGRRKNKNTCPSSYRHIMVSDALQAARGDGANGLHLPALKANGTVLTFGSERPLCESMATVLNLAERPRNHLPNGFHVPEQRFSIPCGVREIAKDRSTGSSSTISNSTEKGGSVESVSNNCQGYIQAVPPFSGPPWPSPGFPISFYPAPPFWGCAAPTPWNLPWLSPAPSSPDHSALSATPTSPTLGKHTRNGNVLSPTNLLKEEETFDRKNAEGSILIPKTLRIDDPNEAAKSSIWSTLGIKNEKSESINRGSLFKAFPSKGDPKNRVADTSLVLQANPAAFSRSQSFREST >CDP11618 pep chromosome:AUK_PRJEB4211_v1:11:15760986:15765377:-1 gene:GSCOC_T00033992001 transcript:CDP11618 gene_biotype:protein_coding transcript_biotype:protein_coding MESEISQLIQKKIDPVDLQVRETYIHVLTASKLSPSSHTLALEKNKHLVAEFIDDLLHIIMELTESYTSFLVPVKDQLLKLYEGARFLSVLLSQQHEKFDKLNDEMKDRIGFVLCDAGIVIFSLYKSEMTESLPKEIDLVASHMLELLKLIIPEIEQICPQQPPSSSISFPRTNELGSIDFLLETLEELESSTANSIAFPNNQIHTVREDLDFLRPFLGKIAEQRKGNEKLQALWSRVSELAYRAEIIIDSTLLGGKHQHCLDVIAGDVKLMKIEAKEIYDNIRFDCETQSIVKTTIHMPSQVTAPIFNEAVVGLNDEGEIIIDGLVRGPSRLDIVAIVGMPGLGKTTLANKVYGDPLVKSHFHIRSWCCFSRAYTKHSLLVQMLCNIDCGNSAGYLQRDEDYMANRLRKLLKGNRYLIVLDDVWDIRGWDLLKLSLPDDCNGSRILLTSRLQELSLQIKLDSEPHHLRPLTDNESCELLQKKLFAKEDCPPILSNVLLHAAKNCKGLPLTVVLVAGILATTEQDCWEEVVKHLTSSIYVDNEYCMKTIELSYNNLPDYLKPCLLYFGAFQEDQAIPIRRLLWIWISEGFVQKTEGKSLEDVADDYLMDLVRRSLVMATQQRSSGGIKACRIHDLVHEFCVAKAKEESFLQILHVDNLLTFTGPCNLHRLSIHPTMTMGLTKSRLFSPNLRCLLFCGDSHTQLDKNSFKFLLSKLLRVLDFWNNSYPTSYFPREVVFLVHLRYLRIGRFAGDIPSAIANLSRLETFLVEGRVEDYVLPNTIWNIETLRHLVTSLPACGFIFPKDNLEGSPDLKHLDTLSLAINPYSQSAQKILSKLQSTRRLTIATYESYRAIESGGNHGEILMLNYMSRLESLKISGMSRDEFEFSLNLNLKKLTLSYNYWPWSKISAIGRKLPNLVVLKLCHRSVREEEWEMAKGEFCNLRFLKLSGLYIRRWTASSDNFSCLEKLVLEFCEELEEVPPCLGESVTLKMIELKRCSESAVNSVEQILQEQREWGNKDLKSVIIRSTQKGSQSREEFHS >CDP00310 pep chromosome:AUK_PRJEB4211_v1:11:30108827:30109868:-1 gene:GSCOC_T00032208001 transcript:CDP00310 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTQPKRQREDDHYQCEEDAKRHKSYNQILSILDEEEVEPNQDLLDIFQSLQQELSSASFSVDPLQVSASAADVDHRSGFSGESESGSPSSKEDDEEDDGVRMMRHLLEASDDELGLPNRSESGEEEIKSGENALFLGDGLWEFEDDAANYYTLLQSELFM >CDP16241 pep chromosome:AUK_PRJEB4211_v1:11:24706072:24707917:1 gene:GSCOC_T00018003001 transcript:CDP16241 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDSVPSNLYVRSYTRSFNGFAANLTKQEQRKLAVIYEVLTKYAARDDVVSIFPCKRLQLHTTSSWDFIGFPQKCPSKSCKSFDDHGFGPIPNKWKRACNGGDDFMCNKRTFTTMVQRFGRVGAITQNHWSSLLCIRFAKRFRRSEGHGTHISSTAAGNNVMDVSYCGIVEGTARGEAPAARTAVYKAYVFTY >CDP00391 pep chromosome:AUK_PRJEB4211_v1:11:29472177:29477309:-1 gene:GSCOC_T00032317001 transcript:CDP00391 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWRASLAFNFLRIASLTKFTNSGPNPLFRFSSIPKSRARILDFRPFSAASSAAAQYADDLDYKAHQDLDDYSASDSMEYVDIGKIPIKAYFLCTSIDLKSMQAENSRYIVPPTSRSTNSIALRFCNFQSNMTDFKVRETTSSCQYMIVFQYGSAVLFNVEDHEAEQYLQMVRRYASGLLPELRKDDYAVKEKLMMDKDMEGGLDCIVLKDLDTDSIRIISSVLGQSIALDHFVSQVDGMVEEFTEINRGMEKTGTFTLDRKKLFQLVGKANSNLADVILKVGLFDRSEIAWRDAKYAQIHEYLREEYEVTQRFGNLNFKLKFVEHNIHFLQEVLQNRRSDLLEWVIIVLLSIENVVSVYEIIRESTAVAM >CDP00441 pep chromosome:AUK_PRJEB4211_v1:11:29132162:29136137:1 gene:GSCOC_T00032381001 transcript:CDP00441 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDKSKKMISRSEMENFPLDASRFSRDLLNRFMGASSSREGKLVVKEEVGDEGEEIELSLGLSLGGRFGVDKSSKKLMRSSSIAACLPVVRDDDAVAASPPPVSYPSLVRASSLPVETEEEWRKRKELQTLRRMEAKRRRSEKQRNLKADREGSGGLGEEKRDIEVNLRGRLEKDQSSVAAKRFGSSVSPPPLGMAMMAAAAGHSPMVQGFGQPLSQGSVESQGGSSSSELESRPLQGSSSCDDLSPVSIKSLQEAIGQDVGSSRTKLRDSLGRTSGVEMENPSKQAEIPKNQGKEIGMNALEDMPCVFTKGDGPNGRRVDGILYKYGKGEEVRIMCVCHGNFLSPAEFVKHAGGSDVTHPLKHIVVNPNASPFM >CDP00203 pep chromosome:AUK_PRJEB4211_v1:11:30964501:30966708:-1 gene:GSCOC_T00032073001 transcript:CDP00203 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAAALVIQHPSGRIDRMYWPVTASEVMKMNPGHYVSLIIPLPMTGGGENSDDKTVRFTRVKLLRPSETLVLGRAYRLVTTQEVMKVLRAKKHAKMKKNQAESIVKLEAQPEMQGSSGGAETGKSELDDNHRQVTRHEKQWQRAGSTNIGRSKSWRPSLQSISEAAS >CDP04799 pep chromosome:AUK_PRJEB4211_v1:11:5645042:5653452:-1 gene:GSCOC_T00019522001 transcript:CDP04799 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIASSLVISFVIWVCIYVLISNSRSRKSAARLPPGPYSFPIIGNLHQLGKKPHQSFAKLSRTYGPLMSLKLGSKTTILVSSATVAREVLQEYDRMFSSRAIPTAAQALDHHKFSLVWLPPSSQWRNMRKMCKENIFATQRLDTSQGLRQEKLQELRDYLHRSSVSRKAVNVGDAAFTTSLNLISRTLFSKDFADYDSDSSQELKEIVWGVMKNVGAFNLSDYFPVLQMIDPQGIMRDSKFYFQKLFDISDDIINERLQVRGTSEAKKNDLLEALLDHSIKNEFEFGRNDLKHLLLELIVAGTETSSTTVEWAMAELLRSPDKIAKAKAELKEVIGQEEVVQESDISRLPYLQAAIKETFRLHPAGPLLVPHKANDDVEINGYIVPKNTQVLVNVWALGRDPTTWSDPKIFEPKRFLGSEIDVRGQHFELIPFGAGRRICPGLPLAYRMVHLMLAAFIHNIDWKLEEGMKPEDLDMDEKYGLSVHKALPLEAIPIPNWQKKIEFSPPYPLADTGSSVPLFRWQFSATFIVMSSVLFISIDRIDRCNPISKTLSIPHLLHLARLYPSQVCQWSSKIGRRYTSPPPAPFLSASSHPRPIRPRRGGLPSLPITICAVSQLPQFAVVKTFLLVWLPPSSQWRNMRKMCKENIFATPRLDANQGLRQEKLQELRDYLHRSSLSRKAVNVGDAVFTTSLNLMSRTLFSKDFANYGSDSSQKLKEILFEIFDDIIDERVQVRGSSKTKKNDLLETLLDHSIRNVFEFGRNDLKHLLLDLFVAGTDTTAAAVERTMSELLRNPDKLLKLEQSSRKSLDRRK >CDP07965 pep chromosome:AUK_PRJEB4211_v1:11:11014544:11016743:1 gene:GSCOC_T00025514001 transcript:CDP07965 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDFCFRVQDHGTALTEKKNRVRCNHCGKEISGFSRLKCHLAGFRLNVASCLQVPPNIREAFYNQIAEKKRGNLSQEVGEHCSSNIPSRRDMLKTGSSSGNHGTVSVALTKARLDSQSAVSTQVFSDREFQKKVGRFFYEAGIDLDAVTCPSFRMMLNAHFGSEDIAYPIPSCEDLSGWILRESVEEMELYVREIKSSWSSTGCSILLDGWEDLTGRRLVNVLVACPKGTVYLRSADISGFDQEIGCMLGFLDDVLKEVDVQNVVQIITCSTSSWMKTVGQQVMEKYKTVFWTVCALHCLELTLKKMGAIDAVKATLDKAKSITRFVHRDATILKVLRDHTSAKYLVRPSKFKLTEPFLTLENLLFLQGKLQQMFLSTAWKTTRYASSVEGKRVAELLADSSFWTGVEMAVKATIPLVRVIELIAKNSEPQVGFIYETMDQAKETIKEELDDKESIYLPFWKAIDDIWDGSLHSPLHAAGYFLNPKYFYTNDFYADSEVLNGLLCCIVRLAEDPRLQGVINSQIEEYRGAKGPFGLGLAHLQSSPGSWWLDYGHGCPQLQHFAVRILSQTCSGAARYNLKRSLAEKLLNKGRNPIEQERLAAMVFVHCNLQLQNFNQGIASDFGTAAIDPMDDWVVDKAPPVVSQNNEEGKELTWKELECGNIIGGRGEGDPGPSNFH >CDP00275 pep chromosome:AUK_PRJEB4211_v1:11:30408003:30413326:1 gene:GSCOC_T00032164001 transcript:CDP00275 gene_biotype:protein_coding transcript_biotype:protein_coding MESQEDKKLSSSWLVCCGKGFPLPIPSSKSVSPSLGNENDEEKQDLSSPKTTQRKPGGWKAMPFVLGNETFERLANVGLLANFTVFLLTQFHMDQVSASNVINIWSGATSFLPLVGAYLCDAYIGRFLTIAFASFFVLLGMVAMTLIPWLPQLHPPPCHDPSSCRGPTVSQMGFLALALGLLSIGSAGIRPCSIPFGVDQFDARTEEGRKGINSFFNWYYTTFTMVLIISLTVVVYVQSNVSWVLGFAIPTILMVVAIILFFIGTKIYIHRKPEGSVFSGIAEGLVAAYRKRKLKLKLPDNGREEINDGVYYDPPLSQTIAKKLPLTNQFRFLNKAAMITEGDLKADGSRADKWNLSSIQQIEELKCILRIIPVWASGIICLLAMAQQGTFTLSQALKMDRRLGPKFQIPPGSLAVISMVTIGIWLPVYDRIVVPRLRRITKVEGGITLLQRLGVGMVFSILSMAVAGFVERKRRAEAIKHGGPDGSAPITVMWLAPQLILMGFAEAFNIIGQIELYNKEFPENMTSVANSIMSITFAGANYLSAIIVNIIHNTTGGRGHPDWLAKNINAGRLENFYFVIAGLGVLNLTYFLYVAPNYRYKSRVRVDEDDEEKPDFSIELNAVVKE >CDP05447 pep chromosome:AUK_PRJEB4211_v1:11:20853420:20854103:-1 gene:GSCOC_T00020501001 transcript:CDP05447 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIIDVVDYFIYEYSGNKNPRRDRFDSGQNMVVVCFLILLVENGLDIKKKIPRFSEILSINSTWIVSVSYTAFCCIRFTFTFYFPCGNFTKLFIFLSFLKLGYKVDLQCKTGFFLSHYVFF >CDP04904 pep chromosome:AUK_PRJEB4211_v1:11:2153864:2161259:1 gene:GSCOC_T00019769001 transcript:CDP04904 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRSFVEQEMRNIASSSSSASTPKWTYDVFLSFRGEDVRKNFVDFLYSSLQQKEIYTFKDDEKLERGRSISPALIQAIKESRIAVIIFSENYATSSWCLDELAEIIDCNHVLGQTVLPVFYYVDPSVVRRQKGSFGRAFVKHEDEIEDKERIQKWRAALTEAAAISGWDVPNTADGHESKCIQEIVGDVMVKLERVIAVEEKNQVGIDSRVHKVNALLNLGSDEVHFIGIWGMSGIGKTTIARAVFDRISIHFEGAIFLHEVREQSKSLENLQEKILSKILCLKDLRISSVFEGSNMIRRRLCYKKVLVVLDDVDHLDQLEALAGKHHWFGAGSRIIITTKNKHLLVTHEVDRIIKTQSSFSYCFCYIPSNAFKKDYPAEDYEEISVEIVHYAGCLPLALKVLGSFLYGRDMAEWRSEVERLKRIPEDEIMEKLQVSFNGLNEVEKEIFLDIACFFKGKKKEYIRRVLDSFDFYPDIGIKVLIEKSLVTVSGGRILMHCLIQEMGWHIVRQKAPDEPGKHSRLWVAEEICDVLARDKVTENVVGMWLDLSTPKDVLINNEAFEKMRKLRLLKINNACVSRCPNCLPNEIRWLNWHGYPSKSLPVSFQPEKLVRLKLQYSRVIQLWKGIKLLDKLKYINLSHSQKLIRTPDFTGIPNLERLILEDCSNLTEIHPSAGYLKRLQLFNLRNCTNLRSLPKQIILESLEVMILSGCSKVGEFPKILGTMDRLRAVYLEATAIKELPPSIEHLTSLVLLNLSYCKSLTSLPSSLCRLECLKVLVLSGCSKLCKLPEELGHVLSLEELYVDETAISEPPSSIVLLKNLKTLSFRGCKAMASHTWRAFLSSWLLGEKSQDSMGFFFPSVSGLNSLAKLDLSDCNLLDGGFPCDLGSLSSLVELNLGKNNFTSISAASIKNLSCLQILELVGCKRLEILPELPPSIEELYADNCTSLQSATDLLTKYGKLYRVSFSNCFQLLLDEQTSSMIDATWNHMLEELLLVDDNFSICLPGGRIPSWFTYQNWGPSIRIKLPSNWYSDEFMGFAVCAVSDLITTTLEGRDLLQKIPGVRIQFNLIDQEEKICDGMFTLGYVGSEKKIDSEHTCLAYLSFDEIWLLFFDKVCSPNEWTCVEVSADVYSKKYMVFKAWGISLVYKDDVRQNTELSMIPQSSELGERGLSSSVLANGLKSIRKKRRGREGFSRIPPK >CDP04854 pep chromosome:AUK_PRJEB4211_v1:11:4597878:4603224:-1 gene:GSCOC_T00019612001 transcript:CDP04854 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEGSRDQLQKWCKWKSRVSTEASSSEANPVSSYSSILFKLYGNVHPDGYYFAQVNVGQPPKPYFLDPDTGSDLTWLQCDAPCVRCTEAPHPLYRPTNDLVVCRDPLCASLHSGAYECPNPEQCDYEVEYADGGSSFGVLVNDVFSLNLTTGIRLGLRLAFGCGYDQLPSVYAPPLDGVLGLGKGNSSIVSQLHNQGIVRNIIGHCLSATGGFLFFGDDLYDASQVNWAPMSQDSTKRYSVSSAELTFGGKGVGIKNLDVIFDSGSSYSYLNSQAYRAIISLIEKDLKGKPLKEAKDDRTLPECWRGRKPFKSVHDVRKYFKPLGLSFHHGQRVRTQFEIPPDAYLIISSKGNACLGILNGTEIGLQNVNLIGDISMQDKMVIYDNEKGAIGWSPANCSRPPKSNTFIM >CDP00227 pep chromosome:AUK_PRJEB4211_v1:11:30800209:30800581:-1 gene:GSCOC_T00032108001 transcript:CDP00227 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVLKEIAEARGKTVAQVSLRWALEQGIGVVVKSFNKDRMQQNLDIFDWELNADACEKIAEIPQGRACLGIDYTSPHGPYKTIDDIWDGDA >CDP16971 pep chromosome:AUK_PRJEB4211_v1:11:17713352:17714298:1 gene:GSCOC_T00004799001 transcript:CDP16971 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSVFGGRRSNVFDPFSLDIWDPFEGFPFSNTSLANVPDTARDTSAFATARIDWKETPEAHVLQISGERSREQEEKNDKWHRVERSSGRFLRRFRLPENAKVDKVKASMENGVLTVTVPKEEVKKADVKAIEISG >CDP00380 pep chromosome:AUK_PRJEB4211_v1:11:29552989:29555854:1 gene:GSCOC_T00032304001 transcript:CDP00380 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRQEKIQRFEEFVDRRLKPDLVHAIAERHLDKVFEQQKVFSDLKRNIETLENNSVTSLRTLVNLGSEVYMQADVPDTSRIFVDVGLGFHVEFTWSEALQYIAAREEKLDRQIEDYTRLIASIKAQIKMVCEGIRELLQLTEVS >CDP04889 pep chromosome:AUK_PRJEB4211_v1:11:2817325:2819715:1 gene:GSCOC_T00019688001 transcript:CDP04889 gene_biotype:protein_coding transcript_biotype:protein_coding MISFDTRLIYADAWCANSAYNPNSTVGRMYTDNLNFLLSILSSNASLASRNGFYNFTAGHDPSNMVYGLFNCRGDVNPDTCGRCVANARGDILKTCWNRTTAFVWYDECLLRYSNESMFSRADQGIILYAWNTQNATDPDKFNQVLGDMLKEIASQAANDRSGKKFAVKEEDYAPFQRRLYALGQCTPDLSRNDCENCLSNAISQIPTLCNNRQGCRVTFFSCNIRYELYKFYNSTSPPPEPAPNLGPPPSPPSNSTGSEEGGGISTQTIVAIVVPISLAIVLLVVGFCIARRPRKPYFAIMETSGASEISAAESLQYNLSDIQAATNNFAVGNRIGEGGFGPVYKGTLHNGQEIAVKRLSKSSAQGTEEFKNEIALVARLQHRNLVRLLGFCLEGEERILIYEFVTNKSLDYFLFDPEKQPLLDWSRRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNVLLDRNMNPKIADFGMARLFGVDQSEGNTSKIAGT >CDP07968 pep chromosome:AUK_PRJEB4211_v1:11:10843224:10853901:1 gene:GSCOC_T00025521001 transcript:CDP07968 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MEGQKSKRKSTTSSTSTTTSGSAAPVLPWMRDPIDITTFDQCPLKLLPFLDPRLEEALQKMGISSLFPVQLAAWQETIGPGSFERDLCINSPTGSGKTLAYALPIVQMLSTRAVKCLRALVVLPTRDLALQVKDVFAAFAPAVGLSVGLAVGQSSIADEISQLIKKPKLEAGMCYDPEDVVQKFQSSVDILVATPGRLMDHISNTKGFTLEHLSFLVVDETDRLLREAYQSWLPTVLQVAQCCNNNFFPSAGSCASTFGSLRTIRRNGVERGFKGKLYPRLVKMVLSATLTQDPGKLAQLDLHHPLFLTTGEKRYQLPDQLKSFKVICESKLKPLYLVALLQSLQGEKCIVFTSSVESTHRLCTLLNFFDDLNFEIKEYSRLQRQSVRSKTLKAFRAGEIRVLVSSDAMTRGMDVEEVRNVINYDMPPYIKTYIHRAGRTARAGKSGCCFTLLHKDEVKRFKKLLQKADNNSCPLYHIPPQSVESRRSIYTSALEKLKESVESEVHRKRKAQV >CDP05419 pep chromosome:AUK_PRJEB4211_v1:11:20083945:20087376:1 gene:GSCOC_T00020458001 transcript:CDP05419 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLRKFNYPTKNPITTPHFGYISTNKPSAAAYISSFLFSLRSLLIQSAMADAHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPSTSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKVLEMGAVETLIVWENLDVEDKLSLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDVRAFDELSDEGEVYDDSE >CDP00356 pep chromosome:AUK_PRJEB4211_v1:11:29785203:29786740:1 gene:GSCOC_T00032271001 transcript:CDP00356 gene_biotype:protein_coding transcript_biotype:protein_coding MKETYDMSDTKSEDENGTESRDDHPDDEQHVEHSKFSEPEEKQDPLPCPEQQEEAIKKKYGGLAPKNRPLISKDHDHAFFDSADWALGKQGVQKSKGPLEALRPKLQPTPQQQIRSKRSAYAHSSDDSEGNESCQDNCTPEQEDQSKGLDGFSDDNSHPDDQCHTVHCPGSLHVDDN >CDP04821 pep chromosome:AUK_PRJEB4211_v1:11:5198978:5199305:1 gene:GSCOC_T00019556001 transcript:CDP04821 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKVKKTKRTAPKSDDIYLKLFVKLYRFLVRRTGSTTFNAVLLKSSWKERRTKLQCLLEPYVRSKGRKFERARGRRNSRGFRV >CDP13371 pep chromosome:AUK_PRJEB4211_v1:11:33245886:33248125:-1 gene:GSCOC_T00038282001 transcript:CDP13371 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSIAGAPRTEHDQTTTTTTSGSGTKHRLQQAPKPLPQQQQQVAAAGGVMGSLRLIELQLVAFIMVFSASGLVPILDIVYPAFTTAYLIALSRLAFPSHGITTGSEEIFRGSRLFRIYVVVGTTVGLFLPLAYVLGGFARGDEHAVRSATPHLFLLSFQILTENIISGLSLFSPPVRALVPLLYTVRRIFVIIDWIHDVWLNKTLPANAEFRDIAWFWFGRGLAAANFVYFFVNLFCFLIPRFLPRAFERYFKERDEIHSKIEEDKRSAAMNRSQQADGKKAD >CDP00205 pep chromosome:AUK_PRJEB4211_v1:11:30950793:30954099:1 gene:GSCOC_T00032077001 transcript:CDP00205 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSYSDCFSDLLCGEDSSTIFSGDDLPECSSEIESQHPPEDLEESIIGLIEHEKQYVPGIDYLESFQSQSLDASARADSIAWILKVQRYYGFQPLTAYLSVNYFDRVLYSRRLPQTKGWPLQLLSVACLSLAAKMEEPLVPPLLDLQVEGAKYIFEPRTIGRMEFLVLNTLDWRLRSITPFSFLSFFAHKVDPTGTYAGFLISRANDIILSHIQDASFLEYRPSCIAAVAILSAARDLPIFSFLSPEYAESWCDGLHREKIISCYGVVQQIIIDNRPRKSPKFLPQLRVMSRMSLASTESSSSSSSTSSNSSSSSSSLSSCKRRRLENYLWTDDDKGSSE >CDP00572 pep chromosome:AUK_PRJEB4211_v1:11:28037508:28045084:1 gene:GSCOC_T00032553001 transcript:CDP00572 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKARNFRRRGGDDADDDEDNDKSTRTPTTNGSTTTSSKPQFKSISSATRPKKPVTAVPKSRLSFADDEEADADQLPIKPRPSSSNSRLPRPSSSSSSHHKLSSSKDRIGPQTTASLPSNVQPQAGTYTKEALLELQKNTRTLASSRSARPEPPKSKPEVTPTEPVIVLKGLVKPKPNMVDDADGEIENDEMGVEQQGDLVRTERDEAKFRLGLRGKSEVSGGVIPDQATIDAIRAKRERLRQAGPAAQDYISLDGGSNHGEAEGLSDEEPEFRGRIGFFGAKIDGGKKGVFEDFEERVTAKDSAVLNSDDEDEEELEKLWEEEQARKGLGLGKRLDDGVSVGVSSSTAGGSSVSVPQSVPQQKFGYPIVASGSVYSSVQISSVDTVGPSIGGAVGGLPGSDEMPISQKAELAKKVLYDNVKMLKESHKKTMDTLTRNDENLSASLLNVTKLENSLSAAGEKFIFMQKLREFVSVICDFLQHKAPFIEELEDQMQKLHEERAAAILERRIADNNDEMKELEPAVSAAMSVFRKGGSSAAMIESAISAAQAASAAARELRDLPVELDELGRDLNLQKRMDLARRAEARQYRKAKSDSKRLLSMEIDSPNRPMEGESSTDESDSESTAYQSSRNQLLQISEQIFSDAADDYAQLSAVVERFERWKKEYASSYRDAYMSLSAPTIFSPYVRLELLKWDPLHEDSDFIHMKWHSLLFDYGPEDDADANLIPELVEKLAIPILHHQLVYCWDMLSTRETEYAVSATNLVLGYVPPSSLALGNLVAALRDRLADAVTNLMVPTWSTLVLKAVPNAARAAAYRFGVSVRLMKNICRWHKVLARPVLEKLALDELLIGKILPHLRSIESNIHDAVTRTERVVASLDGVWAGPSVTGDRSPKLLPLVEFLLSLGRMLEKRHVSGGIQAETAKLARRLKKMLVELNEYDQARAILRTFSLKEAL >CDP13180 pep chromosome:AUK_PRJEB4211_v1:11:31803947:31807021:-1 gene:GSCOC_T00038041001 transcript:CDP13180 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGTKQTVTIHVTGFKKFQGVAENPTEFIVNNLRSYVEKRGLPAGVKLGSCLVLETAGDGALPMLYKALESVVPVDDSLSDERVVWLHLGVNSGSLKFAIEQQAVNEATFRCPDELGWQPQQLVIVPGDGGITQTRKTSCSVDAILQYLKKKGHDVMISYDAGRFVCNYVYYHSLRFAEQKGHTSLFVHVPLFSKVDEGKQMQFTLALLEAIGSNC >CDP13307 pep chromosome:AUK_PRJEB4211_v1:11:32673757:32679437:-1 gene:GSCOC_T00038198001 transcript:CDP13307 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAGSAVLAFKSAATGGISDRKFAHFKQLSSPLQLRHSLSSQCRSISSFVSSGIKAQVATVEQSGVEAAQKLESPVVIVTGASRGIGKAIALGLGKAGCKVLVNYARSSKEAEDVCKEIETCGGQALTFGGDVSKEADVESMIKTAVDAWGTVDILINNAGITRDGLLMRMKTAQWQEVIDLNLTGVFLCTQAAAKLMMKKKKGRIINIASVVGLVGNIGQANYSAAKAGVIGLTKSVAKEYASRNINVNAVAPGFIASDMTAKLGGDIEKKILETIPLGRYGQPEEVAGLVEFLALSPAASYITGQVFTIDGGMVM >CDP14405 pep chromosome:AUK_PRJEB4211_v1:11:13325923:13330248:1 gene:GSCOC_T00040795001 transcript:CDP14405 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPFIYFRTVGACSFWANFCIQDVIDAGEIPLKGKDEDKVSYRNKKEMDLMVTSVAGMEGYLRRRDLPAFFRVADVNNPGLQTIKSETRRSVKARALLLNSFEDLEGPILDQIRKHMPILFSIGPLHAHLKVRIAASKSTTEKSMGHSGSFWEEDRSCIEWLNSQPDKSVIYVSFGSVTIMTRDELLEFWYGLVNSGQMFLWVMRPDSVIGKDGVSHLPVEIEEGTKARGYIVGWAPQEEVLAHPATGGFLTHCGWNSTLESINAGIPMICWPYFADQTINSRFVDEVWKLGLDMKDTCDRVTVEIMVRDLMEVRKDEFLKKAEHMANLAKKAVSEGGSSYLSC >CDP05448 pep chromosome:AUK_PRJEB4211_v1:11:20859020:20859940:1 gene:GSCOC_T00020503001 transcript:CDP05448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ribosomal protein S11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G31817) UniProtKB/Swiss-Prot;Acc:Q8VZT8] MDFVRGIIEESGIRGFGGFPLSPYTVEQNADIVHMKLLRNNTFITLTDSKGNRKPGERLSASAGSLPDKGGKVSRYGAEAAAEHVGREARKAGVKSIVVKVNGFTFFKKKRQAIMAFREGFTRGRGDQSPIVYIEDTTRRPHNGCRRPKKRRI >CDP13204 pep chromosome:AUK_PRJEB4211_v1:11:31998156:32002345:-1 gene:GSCOC_T00038071001 transcript:CDP13204 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTRKCGYLVFLLPLCVLFMGTSAYPTQISRIYPFACSNSIKTCNSYLYQHNGFKKEQIASYYSVNTSQIRPITDNNRNDYLVTVPCSCKDVNGTDGYFYDTDYTLQRNDTFANVSNQIYSGQAWKVGGEENYTAGLNATMHLLCGCVESDSQIVVTYTVQPHDTLSSIADLLASDVDGIQSLNTYLAANPSYLDIGWLLYVPMEKSGVSPKKKDSQKKREWKIAVSILAVVTMLSVCTLLVILLRRKRPQRNSGEDPKMFSKSITSNKSYSLHKHFQSKGNSKDITAIESEKPVVYTLEEIAEATGNFDESRKIGEGGYGSVYLGIMGKQEVAVKKMRSSKSKEFLAELKVLCKIHHINAVELLGYASGDDHLYLVYEYIPNGSLSEHLHDPLLKGHQPLSWTARTQIALDAARGIEYIHDHTKARYVHRDIKTSNILLDETLRAKVADFGLVKLVGRTNEDELMATRLVGTPGYLPPESVKELQVTTKTDVFAFGVVLAELITGKRALFRDNMEPQKMKSLITILNSAFEDEDPESALESIVDGNLRGSYPMEDVYKMAEISQWCLSGDAINRPEMREVVVALSQIRASSVEWEASLGGNSQVFSGVFNGR >CDP00372 pep chromosome:AUK_PRJEB4211_v1:11:29610463:29612991:1 gene:GSCOC_T00032292001 transcript:CDP00372 gene_biotype:protein_coding transcript_biotype:protein_coding description:VTI1B [Source:Projected from Arabidopsis thaliana (AT1G26670) UniProtKB/TrEMBL;Acc:A0A178W140] MSEVFEGYERQYCELSANLSRKCNSAALADGEQKNQQVSDIKAGLDDADVLIRKMDLEARSLQPSLKATLLAKLREYKSDLNKLKREVKKLTSANSVQAAHEELLEAGLADSHMASANQRERVMMTTERLNQSSDRIRESRRAALETEDLGVSILGDLHQQRETLLHSNAKACKFALHGVDDAIDKSKKVLTSMSRRITRNKWILGSIIAALVLAILVILYFKLFH >CDP16894 pep chromosome:AUK_PRJEB4211_v1:11:931770:934407:1 gene:GSCOC_T00019482001 transcript:CDP16894 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNLLNNKIYLTFLSGNVHTVIWGYKSNEVALAAGADLVALKDELQSSRIKRWHAVGMLKHVLLCLYLPLELKKDAINFLLSIMYAKLSQMPNDENEDYSTYMPTLCSALQAIQVVIMYATDVILRKNAFAAFKELLADCPTSLRFDILRALIKDGDSSSMIAVLLDCVREEVRLESSKSPPASKVSKAESEGSQGTIFWSSSALELVELVLRPPGGGPPSLPEHSDAVLSALNLYRFILIKESTGKSNNSGVLSRDSLVKAHSEWLLPLRTLVTRMMAEAQCDTDELFL >CDP15272 pep chromosome:AUK_PRJEB4211_v1:11:19510127:19510615:1 gene:GSCOC_T00042940001 transcript:CDP15272 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTQPNAVTLWVCRCRLFCCRTLVSLPARREGRHFSALIFSNVKCSSCIGLTAVPVVSRRQFCVRVATGVNDFGSVDSTLTQSMGRKIKEQLNAESVIVKDSYGDGRHVSIDVISTTFEGQSVVGRQRMVYKALWEELQNTVHAVDQMTTRTPVEAAAEG >CDP00576 pep chromosome:AUK_PRJEB4211_v1:11:28005834:28006883:1 gene:GSCOC_T00032559001 transcript:CDP00576 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDTRTNALLLLTILLLFLSSHTPSTADLPQFREAPAFRNGQRCPISTWPSNPHDPSTIHVAMTLDYSTPYLRGSIAAVFSVLQHSTCPENTFFHFLTTTRHRHFQNLNSTITSTFPYLRFHLYHFSPALVNHLISSSIRSALDQPLNYARIYLADLLPSTVNRIIYLDSDLIIVDDISKLWKINLSAHILGAPEYCHANFTNYFTPKFWSNRYFSSTFNNRATCYFNTGVMVMDLTKWRTNGCTRKLEHWMRIQKKYRIYELGSLPPFLLVFAGNVEGVEHRWNQHGLGGDNLEGLCRDLHPGPVSLLHWSGKGKPWLRLDSKKPCPLDSLWAPYDLFKHRPLISDS >CDP13275 pep chromosome:AUK_PRJEB4211_v1:11:32482137:32492065:-1 gene:GSCOC_T00038162001 transcript:CDP13275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-oxoacyl-CoA reductase-like protein At1g24470 [Source:Projected from Arabidopsis thaliana (AT1G24470) UniProtKB/Swiss-Prot;Acc:Q9FYL6] MLSACIDHLKTQPFWILCLFSVGFLSLSRNCMLVIKWGLTTFFRPPKKLTFYGSWALITGSTDGIGKAFAFDLAKKGLNLVLVSRNLEKLEQVSTEIQAGFPTTRIKTIALDFSRDDASSSVLQEVEKAIEGLDIGVLINNVGVTYPGARFFHEVDEEVWMNVVRVNLKGTTLVTKAVLPGMLKKKRGAIVNLGSGAAIIVPSHPLYAIYAATKAYIDQFSRSLHVEYKGWGVDVQCQVPLYVWTKMASRVAEIEKSSFFVPTAEDYVSAAVGRIGYEARCTPWWTHSLQWSLASFLPEAVLDRWRLSIGTRRREINQDEKKNGRNPPFCKQMGHMERVCKNKGKREHHAQMVDEQDEEQLFPFWAVGLFLIGFLSFFKTCIALLRWVYVSFLRPRKNLKKYGAWALVTGPTDGIGKAFAFELAREGLNLVLVGRNVDKLDDISREIRSKYAKIETKTVVVDFSGDLSEGVKKIGEAIEALDVGILINNVGVSYPYARYFHEVDDELLKNLIKVNVEGTTKVTQVVLPGMIGRKRGAIINIGSGAATVIPSDPLYAVYAASKAYIDQFSKCLHVEYKSKGIDVQCQVPLYVATKMASIKRSSFFVPSSNGYARAALLCIGYEPRCTPYWPHSLVWNLIYLLPEFAVDAYRFKFCLGVRKRGQLKDSRKNQ >CDP13379 pep chromosome:AUK_PRJEB4211_v1:11:33302044:33306043:-1 gene:GSCOC_T00038293001 transcript:CDP13379 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDDDDNAKQPSTKKMSSVIDSHLHVWASPQEAADNYPYFPGQEPTLPGHADFLLQCMKEAGVDGALIVQPINHKFDHSYVTSVLKKYPSKFVGCCLANPTEDGKGIEEFENLILKDGYRAVRFNPYLWPSGQQMTNDIGKAIFSKAGELGVPVGFMCMKGLNLHISEIKELCMEFPKTTVLLDHLAFCKPPINDEESKLFSELLKLSRFPQVYVKFSALFRVSRNPYPYDDLCQVLSQVVSSFGANRVMWGSDFPYVVAECGYKEAKEAAFRLAQQVPLSSSEMESIMGNTIQRVFNSQWFR >CDP00343 pep chromosome:AUK_PRJEB4211_v1:11:29887029:29889229:1 gene:GSCOC_T00032251001 transcript:CDP00343 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLEVSNGVLIQIFTFFHLLFLRNFVYDESLIHLVPSSMSLMLEFRGKERKEQKSCSAFPIPRRVKRLWDEWNLRAAVLISLFFQVVLICCAASRKRTGNMIVTATIWLFYLLADWFAAFAVGLISNGQSNNCPEKFAVNQDLAAFWAPFLLPHLGGPDNITAISLKDNELWIRHLLGLIIQFSAVAYVFSQSLRNVFYVPTILLIFAGTIKYAERTRALYLACLAAQVPVEIEIVKEHDRGTQTSANPEEENLKLFTTFRGLIVDHMFSFHERSKSRKFFFQRSAFDAFRVMEVELNFIYDTLYTKMAVVHSKKGYRLRLICSILIVLSFERFASHHKPDINHFDVATTYILLSGAVLLDFVAFTKLIFSDWTIVKLKNLTVKTTVYAVREKLSCSKRWSNTLWQCNLINFCVNQRWRWLDIAAETVGIKDVLDEMYYKEDIVIPEDLKDFIFIELKVKATKAKTTNVAKEIYSARGDLVLLDYTNHYPYPIISSSVGDEVEYDESLLLWHIATELCYCTSPDDGNSNRNYCKLISDYMLYLLVMRPNLMSAVSGIGQIQFRDTCEEAKMFFSRESSSANRKRNACEKLLNVNALVKAIEVKGDRSKSILFDACRLAKDLKKLNDKKRWEIMSKVCDR >CDP00751 pep chromosome:AUK_PRJEB4211_v1:11:26236386:26238653:1 gene:GSCOC_T00032821001 transcript:CDP00751 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPCCDKEGMNKGSWSPQEDQELMDYIQKHGEGHWRNIPKATGLLRCGKSCRLRWVNYLRPDLKRGNFAEDEEDLIIRLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLSKKLASVGIDPKHHRLSHHLARNLINSIATGETSSALEHHQPFPLDHDQIKSSSASDHYQASDAGDGINDDDDSSSLLPDLNVDMASDSSLPSGTATEDKMSLL >CDP00526 pep chromosome:AUK_PRJEB4211_v1:11:28450372:28452473:-1 gene:GSCOC_T00032487001 transcript:CDP00526 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGKALEVYNESMCTDFNYSSSSEMPCKKHPSSSSVGICAYCLKDRLVKLVCSECGEQRLSSCSCSDISSYRNSSCTADVGSVGRISFLIDNEKTELQKLHQKRKKGDKPEEVILLRRSSSSCVEVKRYKGFWKIKRLFRKKKQKGCEKDGQFDDKKPRKSGFRGFIFPVKESDFSAMDDSAFIDLKLDLSSESKPELPAFRTSDASDHGATGGLRGETFPCNGGSCRIAVNDRGGLKRGTNSYKVWKWFSKQYSGRRSNASKKEEIVIFKS >CDP13184 pep chromosome:AUK_PRJEB4211_v1:11:31829374:31830258:-1 gene:GSCOC_T00038045001 transcript:CDP13184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein [Source:Projected from Arabidopsis thaliana (AT1G23420) TAIR;Acc:AT1G23420] MSTLNHLLDLQGQICYVQCGYCTTILLVNVPYSSLSAVVTVRCGHCTSLLSVNMTRASFLPLHLFAPSDQSDQSTTQVCPQETDVNADDHKGLDMQIPSHVVSSPDEEEGETQAINKPPEKRQRAPSAYNRFIGEEIQRLKAINPTMTHKQAFSRAAKSVSYFYNFVPGKV >CDP04819 pep chromosome:AUK_PRJEB4211_v1:11:5240988:5243248:1 gene:GSCOC_T00019552001 transcript:CDP04819 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSLLLLSPLLICITSILLHVANRPSNKQKRLPPGPKGLPIIGNLLKIGNRPHESLTGLAKIYGPLMTVRIGCVTTVVASSTDMAREILQKNDQALLGRSILDAVTAETDYERSIVWLSGGTKWRKLRKLCNSQVFTTQRLDALQRLRYQMMEDMVQRVSQAGEAGETLYIGNLLFRRIMELAAKPNLADFFPILKPFDPQGIRRDIKCCFGRFQTLIDSKIDGRMKRRASGSQRSGDFLDALLDHSEEHGPDELDCRDVRLLLMDMFVGDAVMKETRRLHPAAPFLLHCAETDAEVCGYIIPKHTQLLVNVWSITKDPAYWKEPTKFQPERFLDTGIDFRGRDLSFIPFGAGRRICPGMPLAARMVKLLLATLVHNFDWKLPNGMEPKDLDMKDEFGLTAAKAEPLAAIPVRVATS >CDP11598 pep chromosome:AUK_PRJEB4211_v1:11:14924633:14928649:-1 gene:GSCOC_T00033952001 transcript:CDP11598 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVALQPQAGGHPSAASRPSFQHKSFSSLFSSMAAPPLDLQIDKTRRRGEPAVVFSPLDVSKIAESFQLSLVGKFSKGRSPMEDIRRFSKKNRFGAVELLFFIESEIGKEKMAPPYSWMSTEEVQLQTLTLTLIPGLPNDLASLILAFIPYSHQSRLKSVSKSWKLFLSSGTLISLRQSLLPSSARSHLLCIFPQDPSISSPYLFDPKNLAWRELPAMPCNPHAYGLCNFTSISVGPHLYVIGGSLFDTRSFPLDRPCSSSSTFRFNFLNWKWESLSSMLSPRGSFACAVIPNSNKIVVAGGGSRHPMYGAAGSRMSSVEMYDIGKDEWVPLDGLPRFRAGCVGFFVGNGEEKEFWVMGGYGECRTISGVFAVDEYYKEAVVRELKSGGKWREVGDMWEEGERRMLGKIVVLEGDGWHVPAIFMLDRGDIFRYDMASNQWLKETSVPRKASDESSVGFVALDGELHVLTLLDGIKLPDTRKLRRNKRSAALLLQIYHPKKERWRSVITKPPFNRPLNFRMAVICAIGL >CDP13358 pep chromosome:AUK_PRJEB4211_v1:11:33113731:33116528:-1 gene:GSCOC_T00038265001 transcript:CDP13358 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQDQQSKVFYELSAMVMNILRSPPTPIEFSDESDSVPSDESSSSATGLAVRRRQTGMQQITPAGFASLLLGISLALMLCGSVTFFIGFLLMPWVIGLVMVFYAVGLVSSLSMLGRAILCHSSCPPSPRKDCNYTRVWGCLIMGATACFYLL >CDP00577 pep chromosome:AUK_PRJEB4211_v1:11:27982792:27991418:-1 gene:GSCOC_T00032560001 transcript:CDP00577 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPLPLLLLLLLLPTVSFSLSLPYPYNFSLHIDCGGLTNSTDVFNTTWISDRYYTGGATAVVSEPLLFVHQQEKTLRYFPISSGKKNCYSVKDVPPGKYFLRTFIAYDNYNGKSHPPSFDVAVEGTLVFSWRSPWPESISRYGAYSDLFVTISDSDADVCFYSIATDSPVIASLELIQVDPDAYTLGNGNGFSNSSILVNYGRISSGSVQWGPGFSNDTDRFGRSWQSDAKFLPRRGKIRAISAIRDVINVEKEPNFFPLKLYQTAAILVGGDGKSGDYLGYELPVDAKLDYLLWFHFAEIDVSVTKAGQRVFDIFVNDVNISRVDIYKSVGSFAAYDWSYVVKNLTNTMLSIKLVPVVGSPTICGVENYAIVPADLKTLPDQATAMRALKESLRVPDRMGWNGDPCAPTTWDAWEGVACHTLKDESALVVSQIDLGNRGLKGYISDQISLLSNLVSLNLSANSLGGGLPEGLGQKSLAKLDLSDNKFTGSIPDSLTSSNLQLVLLNDNQLDGRVPEELYSIGVHGGAIDLSGNKGLCGVPPLRDCPLFWGKDGLSTGGKVAIGLSCLFVFCMLLLAIHICIKRRRNDYDFGLPHELMSLAAKRNRYQRQKSLMALEMESQHAKGFIPTYSSN >CDP04792 pep chromosome:AUK_PRJEB4211_v1:11:5734981:5740866:-1 gene:GSCOC_T00019508001 transcript:CDP04792 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (cytosine(38)-C(5))-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G25480) UniProtKB/Swiss-Prot;Acc:F4JWT7] MEFEGLREERGKKPWRVLEFYSGIGGMRYSLMKAGVNAVVVEAFDINDVANDVYQRNFGHRPYQGNIQSLSAADLDSYEAEVWLLSPPCQPYTRQGLQKGSSDARASSFLRILELIPQTSQPPLMLYVENVVGFETSDTHKRMVKMLEENNFILQEFILTPLQFGIPYSRPRYFCLAKRKPLAFKNPQFNNQLLWSPGPLYEQDDEKGSMVDQSHGIFDDSIKACRPIEDFLEDKVPRNKVHSDYCTLLANVSDLSEQTDKRNGCSRSSLNKYLVPLSLVERWGSAMDIVFPASRRCCCFTKSYYRFVKGTGSLLATNQEKTEDKKVPLEELGLRYFTPREVANLHSFPEDFQFPEHISLRQCYALLGNSLSVGVVAPQLRYLFTHPT >CDP00792 pep chromosome:AUK_PRJEB4211_v1:11:25816354:25816981:1 gene:GSCOC_T00032877001 transcript:CDP00792 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCENQIGFRWPLCSLGCPHGSRAGTMDSIRFGPYGQIFGPNNFIFGQSGAHNNWTKGHYIEGAELINAILNVVRKEAENGDCLQGF >CDP16286 pep chromosome:AUK_PRJEB4211_v1:11:24042574:24043686:1 gene:GSCOC_T00018062001 transcript:CDP16286 gene_biotype:protein_coding transcript_biotype:protein_coding MHNIKDSQNNPPLRVNYTKHIFFPGFPFQCLSAAEFTHLCWCLTHSTVVGIDAEWKPLRSHQSTFPTVSILQITCRLFPDSNESPVFLLDLSLLPLPSIYELLCKAFVSHDNLKLGFRFKQDLVYLSSNFCSQGCDPGFDRVEPFLDITSVYNYLQHKQSSGRKVPRQIKSLATICQEVLVQRLRKKVGCP >CDP04802 pep chromosome:AUK_PRJEB4211_v1:11:5505864:5514957:-1 gene:GSCOC_T00019528001 transcript:CDP04802 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEKGQKRVSFFSGKLCGEGGGASFVENEIERISRDDRSSHHSISSGILPSLGAHSNKRPKLRRCIISPFDPRYRVWDTFLVFLVFYTAWVSPFEFGFLDEPSIALSITDNVVNGFFAIDIILTFFVAYLDKATYLLVDNPKQIAWRYTRTWLLFDVISTIPSELARKVLPKPLKQYGYFNMLRLWRLRRVSKMFARLEKDRNFSYFWVRCAKLICVTLFAVHCAGCFYFLLAAHYHDPKNTWIGLMENFDEQSLWVHYITSMYWSITTLTTTGYGDLHAVNSREKLFDIFYMLFNLGLTAYLIGNMTNLVVHGTGRTRKFRDTIQAASSFAQRNQLPPRLQDQMLAHLCLRYRTDSEGLQQQETLDVLPKAIRSSISHYLFYSLVDKVYLFHGVSNDLLFQLVSEMKAEYFAPREDVILQNEAPTDLYILVTGAVELIVLRNGIEQVVGQLKTGDVCGEIGVLCYRPQVFTVRTKRLSQLLRLNRTDFLNIVQASVGDGTIIMNNLLQHLKDQMDPFTDSILAETEHMLGQGRMEVPLSLCFAAARGDDLLLHQLLRRGTDPNELDSSGRTALHIGASKGSLECVLLLLDYGADPNRKDSDGNVPLWDAILNKHEAVIKLLIDNGANISSGDVGGFACFAVEQNNLELLKDIIKYGGDVTLLNSLGTTALHTAISEDNAEMVKFLIEQGADVDKPDVHGWTPRALADYQANEGIKLLLQNQKKSTRQPVASSPQMQAVSYLKKYQSEPTLPPLTPEVAVSVTEADTSRNRLKRRASNFRNSLFGIMSAANRPNEGGKSIAASPINVNTLNHARVTISCRERGCDICRLVLLPESIQELLDIGAKKFGFCPTRVFTKDGALVEDIAVVRDGDHLILAGDEIDRSRSS >CDP13386 pep chromosome:AUK_PRJEB4211_v1:11:33357731:33359866:-1 gene:GSCOC_T00038303001 transcript:CDP13386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT5G13150) UniProtKB/TrEMBL;Acc:Q9FY95] MQPTTTEENNRNQPPPEKFNSSVETGSTCSSITASSSPTRPQQSASQGDLSCPDQKSSFSPHSGAASGGCTSNIISNGQEDVEQVSEDVNRYADSLADDDKSNPPEVPHTVEAFSKIIESRIDNYYSHSGESARKRVKKMTEEDAFFIEAVMRLSKLTNAFSEFPPSETLNRTSFVLQKAMAFLEEEMRFLLEDSKLPPSPDSVNPNTHHHHQQRIAKNSSFSSKDKDSSDHHRQSSSMNGDHNDGEDDFPGYSPDTVTKMNRIASAMISAGYEKECCQVYSISRRSIFNQQLKKLEYDKLNMDDVWRMSWESLETEIKRWLTIVKHCSKLLFPAEKQLGETVFAHHLSLSTSIFSNLARAVVIQFLDFAGAVSLTKRSAEKLFKFLDMYETLLDLIPSIITDDDCCEHELRSEVSAAAGRLGEAAINIFLDLENSIKNDVAKTAVPGGAVHPLTRYVLNYLKYACEYKGTLEKIFEKQAGLDQNHSLCDSRPAKYTKHEESEGESSPHNLEHEASTTRFSVQVVTVMDLLDANLEAKSLLYKDPSLRYIFLMNNGRYILQKAKGSTEIHQVMGDNWCRRRSTVVRQFHKNYQRESWVRLLHSLSHEGLLVNGKVNKPTLKERFKNFNTMLEEIHKIQSTWVVSDDQLRTELRLSVAAVVIPAYRSFLGKFRQYLDSSKQIEKYIKYQPEDIEALIEELFDGNSTSMGRRR >CDP00291 pep chromosome:AUK_PRJEB4211_v1:11:30273545:30282664:-1 gene:GSCOC_T00032181001 transcript:CDP00291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69830) UniProtKB/Swiss-Prot;Acc:Q94A41] MSAVATELLVHYSLRRRTLVPGIHAYSRRAPPLYLNCTRRPLSAGPSLSSFELKAPKALTLRASASTDTAAVVESDVVFGETFSLKRPVKVEGKITIRLVNGKDEENWQLIVGCSLPGKWVLHWGVKYIGDVGRCSEWDQPPPEMRPPGSIAIKDYAIESPLEKSSTLEGESFYEVKIDFNTNNSITAINFVLKDEDSGSWYQHRGRDFKVPLTEYRHDDGNVVGAKKGFGIWPGAFGQLSNMLLKSEGAENKMDFTSCESKAPPQQNSRLEGFYEEHPIVRETLVDNSVTVSVTQCPETAKNLLYIETDLPGDVIVHWGVCKDKGRKWELPEQPYPSETKVFKNKALRTLLQRKEGGTCSSGSFTLDVGLTAFVFALKLNENTWLNNMGKDFYIPLSSSRVLNKEHSQSHSANKTKESSTAYTDGIINEIRNLVSDIASEKSRKTKIKEAQESILQEIEKLAAEAYSIFRSAIPTFTEEEVSEAEVLKPSVKIASGTGSGFEVVCQGFNWESHKSGRWYMELHQKAAELSSLGFTVVWLPPPTESVSPEGYMPKDLYNLNSRYGSIDELKSLVKRFHEVGIMVLGDAVLNHRCAHYKNQNGIWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLCWLRQEIGYDGWRLDFVRGFWGGYVKDYIDASEPYFAVGEYWDSLNYTYGEMDHNQDAHRQRIVDWINATNGCAGAFDVTTKGILHSALERYEYWRLSDEKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPTVFYDHIFSDYQSELSKLISVRTRNKIHCRSIVKVMKAERDVYAAIIDEKVAMKIGPGYYEPQTGPQKWSLATEGKDYKVWEAS >CDP11609 pep chromosome:AUK_PRJEB4211_v1:11:15413031:15413852:1 gene:GSCOC_T00033971001 transcript:CDP11609 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAYSGDGSSDEASVDTGKKKEQFRRHSAQQIQRLEAFFKTSQHPTKDEKQQLSTELGLSSGQIKFWFQNKRNQIRLRNEREENDALIVENEKLRMENLMLGGMLMDPFCTKCHGGLTEEETRKLHLQGLANENAKMKKEVDSSFHVFELSKILAMESIFFFSI >CDP00484 pep chromosome:AUK_PRJEB4211_v1:11:28791303:28793780:-1 gene:GSCOC_T00032436001 transcript:CDP00484 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQEGSEQQVSLEMDSSQFSFDPGQSGESKNETPETPKASSRHFELWQTWHPQSVEIHERKPFNEIMAFWRRQEKLTSEQR >CDP00410 pep chromosome:AUK_PRJEB4211_v1:11:29363218:29367316:-1 gene:GSCOC_T00032343001 transcript:CDP00410 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMFVTPDGKESTVKYGLNRKNMDQVVGTRVVRYEREDMCDAPANDSVGWRDPGFIHDGVMVNLRKGKRYFYQVGSDSGGWSITNSFVSQDGDSNEVVAFLFGDMGTATPYSTFHRTQQESISTIKWISRDIEALGDKPALISHIGDISYARGYAWLWDNFFTQIEPVASQLPYHVCIGNHEYDWPLQPWRPDWSYSIYGKDGGGECGVPYSLRFIMPGNSSEPTGTRAPATRNLYFSFDLGPVHFLYFSTETNFLQGSKQYEFLKQDLESVDRKKTPFVVVQGHRPMYTTSNEIRDAPIRMKMLEHLEPLFVKNKVTLALWGHVHRYERFCPLNNFTCGSLGMNGQGWEAYPVHIVIGMAGQDWQPIWDPSTEPPDVPVFPQPARSLYRGGEFGYTRLVATKEKLTFSYIGNHDGEVHDMVEIMASGQVLNGGGGSGAESSKVLESTFSWYVKVGSLLLLGAFIGYVFGFVSHYRRDTASGANWTPVKNEEI >CDP00793 pep chromosome:AUK_PRJEB4211_v1:11:25808098:25812729:-1 gene:GSCOC_T00032881001 transcript:CDP00793 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSPFRCSSAAAITLAHYYFPNRRRFHLSSSFTCHHSSSGPTNNKLASTSHGTNVKQKQSREPKFLVKFRDSDFSNSEKEPDLSEKSVGLPESQSFERKALWRKILFASKKVRGILLLNVVTIIYASNIPIVKEVEAFIDPEAFTIVRFVLSAIAFSPFVLKARDDVQTRNAGIELGFWVSLAYLMQALGLQTSDAGRASFLTMFTVIVVPLLAGILGSKIPARTWFGALMSIVGVGMLESSGSPPCVGDLLNFLSAVFFGVHMLRTEHISRATTKENLLPLLGYEVCVVAFLSTLWYVLGVCSGGTQALNPSSWTWAKFADWMVEFPWVPAIYTGVVSTGLCLWVEIAAMRDVSATETAIIYGLQPVWGAAFAWFFLGERWGVNGWIGAALVLGGSLTVQIQGASSATTSQKDERSIEKSGDAPDKENKLSTRPVLVNSRNDSRTLLKK >CDP00283 pep chromosome:AUK_PRJEB4211_v1:11:30335652:30337199:1 gene:GSCOC_T00032173001 transcript:CDP00283 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQLLYNNKVVIFDRTDFGRSNISLPFGRCRFSVDGKLRIDCTAHSVIYDIVTNTFRPLMVQTDVWCSSAAVNPDGVLVQTGGYRGGADKIRLFTPCNNDFCDWIELPQNLTVRRWYASDQILPDGRIIIVGGRRAFSYEFFPKNSVFFSNAEVYWLQFLKETSDPREENNLYPFLHLLPDGNLFVFANQHSVILDYVNNKILRKFPPIPGEKRSYPSTGSSVLLPLRLTGSGSRLGSPSPEVEVMICGGANGGSYIQARLGVYVAASKSCGRLRVTDPDPEWEMETMPMNRVMPDMLLLPTGDVLILNGASKGSAGWELADDPVLHPVLYRPSEMDPQQRFTVLNPTVNPRLYHSAAVLLPDGRVLVGGSNPHALYRFTGVKYPTDLTLEAFLPPYLGPQYSQLRPSILTFEGPTDNIISYGQQFSITFTLGLHPPGQDFRVTMIAPSFTTHSFAMNQRLVMLDVVGYQQLSAFAFKVTVCAPPTRNIAPPGYYMTFVVNGGIPSTGVWIRMK >CDP16878 pep chromosome:AUK_PRJEB4211_v1:11:576185:594623:-1 gene:GSCOC_T00019454001 transcript:CDP16878 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQAHMSGQISGQVPNQSGPLPGISQQNGNPLTAQMQNPRGREGKSGCSAWLGEVRRGGEKRRREGRVMLLFPMDFFGIFIKCFWGVLLFHYLMQRLQPAHDMPQRRIVDIVKRLEEALFKNAATKEEYMNIATLENRLHVLIERLPLSNQSQQYSHVNSSSSIGTMIPTPGMAQSGNSNLMATSAVDNGNTSNNIASSNINSGNFLPSHGPSVTAAHAGSFSSADGPSSNGYQQPPSNFAISSGGSNLVSSMGAQRMASQMIPTPGFNNPGSELINNTSSSQAYMNMESSSNVGAFSSVDSTAISQPLQQKQHVGGQNSRILHSLGSHMGGGIRSGMQQKSYGLSNGVLNGGLGMMTSNLHVVSGPGASEGYMTGTMYGNSPKPLQPSFDPNQRTLVQGDGYGVSTGDSSGSGNLYVPVTSVGSMMNNQNLNAVTLQSMPQTSSPLLSNQSHHSHTSQQVASIKPQSIDSMEKNFQNQNSLTENLGRSHPHQQFQQQSHQFQQAQLVQHQLQQKPQSQQHQLLPKNDAFGRSQLSSELPASVKTEPGMERSEGALHSQVPEHYQFSELPNQFGQNSLEEHSRGGAQLISFPSGPQDICPSLSQTSEQMQQLMHQNQFVTDSQSDFGCLPSGVQSDAVAQGQWYPESQDRSQVPGCFPHEQNVQEEFHQRIAGQDGAQQNNLSSDGSVVGQSSAASRLDKPSNVGGAACRSGNLSRDRQFRNQQRWLLFLRHARRCPAPEGKCPDPHCLTVQELLRHMEKCESLQCSFPRCCATKILISHHKRCKDASCPVCVPVKNFVQAQLKAFSRPHFGSGFVRSVNGSRKPYETGENTVRSNLKTIVETPEDLQPSIKRMKIEPPSQSVHEIQNPVVQAPTVSESQVFHTTQQTEQIVNPSMPMKSEVAEVKMEVSINIGQGSPKNIVVKKDNSNDSCMQRTDADPVMSNNPAVLPKQASVKIEKEVDPAKEESNSLPADNAGASKSGKPKIKGVSLTELFTPEQVRQHIIGLRQWVGQSKAKAEKNQAMEQSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTIGTGDTRHYFCIPCYNEARGDTIIADGTAIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYVAEVERGERKPLPQSAVLGAKDLPRTILSDHIEMRLAKRLKQERQERASVQGKNIDEVPGAEGLVVRVVSSVDKKLDVKSRFLEIFQEENYPLEFPYKSKVLLLFQKIEGVEVCLFGMYVQEFGSECQQPNHRRVYLSYLDSVKYFRPEVKTVTGEALRTYVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENIVVDLTNLYDHFFVNTGECKAKVTAARLPYFDGDYWPGAAEDMIYQLQQEEDGRKQHKKGTIKKTITKRALKASGQTDLSGNASKDLLLMHKLGETICPMKEDFIMVHLQHACTHCCILMVSGNQWVCNQCKNFQLCDRCYEAEQKLEDRERHPINQKDKHALYRVEINDVPVDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICFLDIEAGQGWRCETCPEYDICNSCYQKDGGIDHPHKLTNHPSMAERDAQNKEARQMRVLQLRKMLDLLVHASQCRSPQCQYPNCRKVKGLFRHGIQCKTRASGGCLLCKRMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNAG >CDP07931 pep chromosome:AUK_PRJEB4211_v1:11:11870774:11875129:-1 gene:GSCOC_T00025449001 transcript:CDP07931 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEDAGLPRIEPTSFDLIVVGTGLPESIIAAAAASAGKTVLQINPDPYYHSPFASLSPKDLISFLHLHSATTSDHDYTHFRTVDSPTDQTTDSSFNILPLSTRPLYSFVEVHVHSPEKVLDDSLQKEFNLDLAGPRVLFCADPMVDLIVDVDVQNCMSFMSVDTSYCIYDECQKGKGGGLLWNSVPGSRNAIFRDGTLSLKEKNQLMRFFKLLQAHYQSNSKSSTTTSNEEDDENNSSMKITPEDLETPFVEFLTCKIRLSPKLTSIIVYAITMADYEQDNVEACKKDIVKTKVGIDRLMLYHKSVGRFRGATGAMLYPIYGQGELAHFFCRRAAIMGGISITQMPVVGLLVDKDVGNYKGVKLVTGQELFSHHLILAPTFVIPPGLTTPSVSPQDGYYDFVQQDAREKVVRGICITDNSFKPDVANCLVFYTPKSLCPDQMTSVRIFQLSSNVRACPSGMFVVYLSTICGDVVQGKRSINAAIEALFSTSVSGNSEDNSRDPLRENTVVKVKPTLLWSTLYIQELIMGGFDCVSSTPMPDGNLQYSHVVDAALKLFQKMYPDDEFFPKKMPWWNEVVENGACLSEG >CDP00730 pep chromosome:AUK_PRJEB4211_v1:11:26401211:26407058:1 gene:GSCOC_T00032795001 transcript:CDP00730 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIMRAFIFFIFVIILNSIPAQSRPGDPFKSILGEENLGSWKDGILSAAAAEAPGPYNDGHMSMLVLAKNRTNRPDILSGFRKYRGGWDFGNKHYWASVGFTGAAGFILAVLWFVSFGLALLVHHCCGWRIDISGSKSCRSERICLILLVFFTCAAAIGCILLSVGQDEFHSEALDTLSYVVNQSDYTVDTLRNVTEYMALAKTVNVAQLFLPSDVKNDIDRLNVELNDAADTLEAKTDENSGKIERVFNTVRSAMIAVAVVMLLISVLGLVLSILGHQHAIHIFVVSGWLLVAVTFVLCGVFIILNNAIGDTCMAMGEWVDNPHAETALSNILPCVDQATTNQTLFKSKQVVGDIVNIVNAFVDSYANSNPPREANNYYYNQSGPVVPHLCHPYDSQLHDRNCSDQEVSMANASVVWQNYTCTVSPDGLCSSVGRLTPDMYAQMVAALNISYALQHYTPPLLSLQNCNFVRDTFRNITLNYCPPLEHYLRVVNAGLAMISVGVMLSLALWILYANHPQREEVFAKFSLRVKGSCNDKKNRSSHVIDLTTRSPAPGTGV >CDP13362 pep chromosome:AUK_PRJEB4211_v1:11:33177135:33181590:-1 gene:GSCOC_T00038270001 transcript:CDP13362 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLTGSSKRAKAPGNVTQMAHCLVDGCNADLSQCRDYHRRHKVCEHHSKASKVTIGGRELRFCQQCSRFHSLGEFDEGKRSCRKRLDGHNRRRRKPQAELSRNSGMLFPSQQGSSRLLSFGTPQIFPSAVVSSSWSGVVKPENDVVLYCQSNTNYIDRQNAFPESVHDYKGVNQFQFIQSTPRTLPETSICQPLLDPDSASGHNNSSQKIFSDGLNQVINSDRALSLLSSAPAVTREIGFSHMVQPDSISQAQSLVHNLQYGSPGQFPYAQEIENKPVISESDSRVSNNATLHFQEMFQNGPEESSTSGGSQQTLTFLWE >CDP13205 pep chromosome:AUK_PRJEB4211_v1:11:32004598:32008027:-1 gene:GSCOC_T00038072001 transcript:CDP13205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POOR HOMOLOGOUS SYNAPSIS 1 [Source:Projected from Arabidopsis thaliana (AT1G10710) UniProtKB/Swiss-Prot;Acc:Q45GQ7] MAGSPVPIDSSSNDKAMAMNPILEQWQVQYARFVHFPPISSVSSAAAHHQHLLVPRSNTSRKIRKGGVWFSTSSSSSAATAVGTACLKLIGSSDDAILNLSVHGKVLVSCVSGFPARGSRAIFVSYKDFTSFFFLVLQVQKFTLRFLTIYEAEAFIDALKETLYNAPDKLQGLKYNSELSSQSEFVPPIGLPYRTEEDTCNITTAEAMNHQGLASLNCIVDKHSNDQEAIHNPEVEDFPVDFPPSFTSFLRNCCPAAEKNAVLATLPEENDLKAQIARYLEDSSFQADILVKVEKVINEIGDGVML >CDP05497 pep chromosome:AUK_PRJEB4211_v1:11:21668804:21671083:1 gene:GSCOC_T00020572001 transcript:CDP05497 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIPLHILRTIIYSCIPVLYKESRPVHIAEEPNNSASIHKLNRSPTVKFILGCPWLKEIDDAKQSHAVAVMLGERLIRREDWSNYVHTEDKDLEGSQFGISSEKKHRMPDPLIQATRLGIIEMVQEILSVYPEAAYSFDGKGRNILQIAVEEKKWFLYDYLMTSGTNMDRMLSAIDCEGNSIIHLAARRESPPSTPPGAFQQMMWEVLWFKRVQYDSFPYLWQLQNSDGKTAKQVFETNHASLREKAEETVRALANTVLIVSVLIGTINFAAIFTVPGGFDQTTGEAIFLKNRRWEFGLLMFYLAGGLFSSLFTMGTLLVIIFLRFETDDFYLSLPCYYVMDMISIFYSAVFTIVAAFQALIVQRVVITDYRPLPVVFFIYCLIALVLMETSYLIFDYVYYLIRYCLCYRGQES >CDP16251 pep chromosome:AUK_PRJEB4211_v1:11:24626345:24629621:1 gene:GSCOC_T00018015001 transcript:CDP16251 gene_biotype:protein_coding transcript_biotype:protein_coding MATACKEALPVPLPFPAGLKVLVVDDDLTCLKILEQMLTRCMYRVTTCSQATVALNLLREKKGFFDIVLSEVHVPDMDGFKLLELVSLEMNLPVIMMSVDDRTSIVVKGIMQGACDYLIKPIRFEELKNIWQHVVRKRCNKGKEHEHLGTMEDYDHRKHESDEATYAYSVSNKGADGEPETSTKKRKDAKQKDDTEIGKDDLITSKKMPVIWSIELHQQFVSAVNHLGIDKATPKKIMELMNVPGLTRENVANHLQKYRLYLTRLKELPILEKEHMPPSLLHLHIFCCPLLHLHNILNPKRCSCLLLTPW >CDP07946 pep chromosome:AUK_PRJEB4211_v1:11:11542806:11546618:1 gene:GSCOC_T00025477001 transcript:CDP07946 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSFLKPKPTLTAIDKFLLGQSHFCQPEILANFKNKGNLVPVNFDFVSSSTSGGAIHSHAREGEGLFPRPTILPPPHDTSSFVNGEEDLEPRVCRRVGRRGKSGPSVALIKGQWTEEEDNTLKRLVKHFGVKKWAQIAEKMVGRAGKQCRERWHNHLRPDIKKDTWSLEEERLLVEAHMQVGNRWAEIAKRIPGRTENSIKNHWNATKRRQNSKRRVKKPEGAQNGRNQSTILQEYIKSTCSNNNFLTTISADLRSTTVTPANKVCQSTCDDSSFYMTQQSYDDEMKFMQNLFGSNNLNASSFGENDNRKAPLDTGIDRKNKCYISFNAFGYNSSSSDNQSFGGNWDYGIFPSYAEPNMPTKPHLGDQENNQSASMYLDLYLPRILDGSNAITAPPDPSKMSMETVIMDRASPSVGKEVDLIEMVSNSQFAHKNAAK >CDP13339 pep chromosome:AUK_PRJEB4211_v1:11:32938744:32940704:-1 gene:GSCOC_T00038241001 transcript:CDP13339 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAVKRRRDGGEGTTNGRKKIKLSSSSSSSSSLSWCSTGRQQERGGGRVAVLNGGGDCDFFDALPDDLLVSILCKLSSTATCPSDFINALITCKRLNGLGLHSMVLSKASQKMLAVKANNWSDSAHRFLKLCADSGNVEACYTLGMIRFYCLQNRGSGASLMAKAAISSHAPALYSLSVIQFNGSGGSKTDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVKQNVSEGRRFLVQANARELAAVLSTSPSASALTSSNWLTWNPLSHHRHSPGSGGCPLLSDFGCNVPAPEAHPASRFLTEWFAARGGSPGPGLRLCSHSGCGRPETRRHEFRRCSVCGAVNYCSRACQALDWKMRHKAECAPVERWLDEDGENEGNGNGNGNDNGDGVVNGGGNQVYDNDDDVVMAES >CDP00522 pep chromosome:AUK_PRJEB4211_v1:11:28485459:28487174:1 gene:GSCOC_T00032483001 transcript:CDP00522 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSKSLFYEAPLGYSIEDIRPNGGIKKFRSAAYSNCARKPS >CDP00721 pep chromosome:AUK_PRJEB4211_v1:11:26542489:26548863:1 gene:GSCOC_T00032779001 transcript:CDP00721 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSYVGAEKQAEIVEESIKHAREAIALDVRDGNSWYNLGNACLTSFFVTGAWDHSKLLQSLKAYQNAERDETMKSSPDLYFNCATVNKYLENYERALTGFEAAALKDPGLNAMEEVEKIVHLLDKLESLLKGQTKAKRLASLASSLSTVNVNPSYIQVSVDRLAEGLNKGVAIVGKVLYFVKHQNVAPLYYLLCDANQICYVLSVYGIRDDVVKEGDQIILLSPYFHNVDFSWNGKIYQFKSVRVDFLEQMLVNGKALSHSQAVRASIYAQHKP >CDP00395 pep chromosome:AUK_PRJEB4211_v1:11:29437563:29439800:1 gene:GSCOC_T00032323001 transcript:CDP00395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g69350, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G69350) UniProtKB/Swiss-Prot;Acc:Q9C507] MGPFESSRLVFDHFQNPDSFMWGVIVKCHVWNGFFQESISLYHRMIYKSAQISSFIYPSVLRACSAIGDFAFGQKVHGRIIKSGFVSDFVTDTSLLNMYGEMGRLGSARKVFDYMSVRDVVSWSSIISSYVQNGRASEGLHIFGQMMMESSEIDEVTMLSAAEACGELGLWRLARSLHGFVLRRNIQIVGALGTSLVAMYGKCGDMCSSEGLFTQAAFKNTSLWTAMISCYHQNGCYHEALRTFVEMQGSNVEPNAVTLMSTVCSCSRLGRLKEGKSIHGFVIRTAVDTENDLMGPALIDLYANCGKLKECHKVFEVTQDRRVVSWNLLISNYAREGMTIEAIKLFKQMLVEGIQPDSFTLSSVISACGDIGFSLLGCQIHGSILKTGFSSEFVQNSLIDMYCKCGLLGSAHMTFDDAKQRGVVTWNTLITGLLQNGKSEEAMALFSEMYAYALEMDEVTFLSAIQACSNLGYIRKGKWIHHKMITSGMRKDMYIDTALIDMYAKCGELQIARKVFDSMLERSVVSWSTLLGAYGMHGQVDAAILVFKEMVESGIRPNTITFMNILSACSHAGNLEEGKKFFNSMRNDFGIEPNSEHYACLVDLLSRAGDLNGAYSVILSMPSPVDASIWAALVNGCRIHQRMDVINSIRESLLNIRTDDTGYYTLLSNLYAEGGEWGKFRMIRSTMKRKGLEKVQGCSMIEIDKKIHKFGANDKSHLQIKGCNLLANFLSSGFSKIVELDASVI >CDP00578 pep chromosome:AUK_PRJEB4211_v1:11:27965326:27966906:-1 gene:GSCOC_T00032561001 transcript:CDP00578 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSIDESTTSDNSFASSAAPASLSNMSLPVNIKSSPEQQSLCRVGSGASSMIIDAEVGVEAESRKLPSSRFKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEEEAARAYDIAAQRFRGRDAVTNFKPLNEAEEDDVEAAFLSCHSKAEIVDMLRKHTYNDELEQSKRNYGLDGNGKKGCKGIAGLHSFGYQKTTKAREQLFEKAVTPSDVGKLNRLVIPKQHAEKHFPLQSGNTSKGVLLNFEDMGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDIVSFQRSTGADKQLYIDWKRRNGAAEAAPPVAAGISIPIQPVQMVRLFGVNIFKVPLPVNGVVMDSNSSCSGKRVRGMELLGLECSKKPRAIGAL >CDP13217 pep chromosome:AUK_PRJEB4211_v1:11:32075256:32080009:1 gene:GSCOC_T00038086001 transcript:CDP13217 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEKPLLSHMYDGNGQLNPQNCLTPPSEKLWYLAGPSIFTSICQYGIGALTQIFAGQLGTIQLAAIAVENCVIAAFGYGILMGMGSALETLCGQAYGANRHNMLGIYMQRSWVILNTLALWILPLFIFATPILKLFGQNASIAKEGGKFALWMIPQQFAYAITIPLAKFLQAQSKVMEMAVISAIAVCLHAFLGWLVMMKLRLGLLGAALMLNASWWFITIGQFLYVMSGNCGRSWSGFSWKALRNLSGFAKLSVSSAVMLSLEVWCIMALTLAAGYLPNAEISVDALSICMNIIGWSGMVGLGFNVAISVTVSKKLGCGRPRAAKFAVIVVGLTAFLFGLIFALILLLNWKDFPALFTDSAEVQQLVQELCYMVVSTFENFDIQYGLDLKNKGGILVAQKKKQKQGTHVAQVKTLVKVNLSLGVVKPCVGWVAIGAGWQNTVALINAGCYFLLGVPLSLVTGFKFDMGVKGIWYGMLFGLSLQAGSLPWMTIRTNWNKEAYAAEERLKLWGGETEAQIDEVK >CDP16306 pep chromosome:AUK_PRJEB4211_v1:11:23799516:23800818:-1 gene:GSCOC_T00018092001 transcript:CDP16306 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFMRLSATVLLLLALFMASVMGPIGVAEARICESQSHRFKGPCIRKDNCAAVCQTEGFHGGRCRGFRRRCFCTKHC >CDP00277 pep chromosome:AUK_PRJEB4211_v1:11:30389308:30392615:1 gene:GSCOC_T00032166001 transcript:CDP00277 gene_biotype:protein_coding transcript_biotype:protein_coding MEENERIIEQNEKEEEEEEEVLVQQQQEVWSWGAGTEGQLGTGKLQDEHNPQLLNSLSSFAGPIPFLSCGGAHVIALTPGGRVLTWGRGTSGQLGHGEMVNVLQPKPVESLEGFVITHVSAGWNHSGFVSDKGYLFTCGDGSFGQLGHGDCTSRFSPQKVSYFELRHVTQIACGMRHSVVLVKDHLGQQVHGFGFGKRGQLGISNERLQSVSLPQTSLGLNDVKIVSVYANGDHSAALCAEGQLYTWGRGFGGASDVHIPQCVTTCLSFSTAALGWNHALLLTGDGEAFMLGDYGHGVLSDPQMTISRKKESDKDAVWRIPGLNGLKVRQIAAGAEHSAVVTGKSSSRILFENDGSVLTWGWGEHGQLGLGDTADQTGPHVVSFDKLIAPQHLVGQVYCGSGFTYAIRTPGTNSNV >CDP05420 pep chromosome:AUK_PRJEB4211_v1:11:20089111:20096244:-1 gene:GSCOC_T00020459001 transcript:CDP05420 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNENRGFSCLPSFPSYFSFRFSLDRTCNKKCINQSSKSFLNLSSSMGTQEDERLLLEEGLVQNESNGLYTRDGSVDINGKPVLKSNTGNWRACPFILGTECCERLAYYGIATNLVTYLTKKMHEGNVSAARNVTTWQGTCYLTPLIGAVVADAYWGRYWTIATFSTIYFIGMCTLSLSASVPAFRPVECVDSVCPSATSAQYAILFIGLYLIALGTGGIKPCVSSFGADQFDDTDPKERAKKGSFFNWFYFSVNIGAFVSSSLIVWIQDNAGWGLGFGIPAVFMAIAIVSFFSGTPLYRFQKPGGSPITRMCQVLVASCRKWGLPVPEDSNLLYETQDEFSAIEGSRKLFHTDELKCLDKAAVISEAEIKTGDLSNPWKICTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGTMMDTSIGSFTIPAASLSTFDVISVIFWVPVYDRILVPIARKFTGKERGFTELQRMGIGLFVSVFCMAAAAVVEIKRLQLARDLGLVDEAVAVPLNIFWQVPQYFLLGAAEVFFFIGQLEFFYDQSPDAMRSLCAALSLLTTCLGNYLSALILTVVTTITTRGGKFGWIPDNLNEGHLDYFFWLLAGLSFLNMVIYIFCAKAYKSKKAT >CDP00230 pep chromosome:AUK_PRJEB4211_v1:11:30775616:30778619:-1 gene:GSCOC_T00032111001 transcript:CDP00230 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLCPSKILYSFLLIILSSIPQSSSQSASPQNLETFFPFPLTPPQNQPVLPPTSPVSPPPLLPQPLPATPASSPSTSKKTVGAAVGITAASTLVLSGLLFFLFLRYSRRKKDETTSNPYAASPVMPQNGFTRFNGNLKGVIVDEDGLDVIYWRDLEGEDGNKGFGKQVPKNLKAEEKNVIAKDDHKKYVPPGTEVPLLRGKSSTSQSPLWNDTSQDSASTPYGGVSLMAVGKQDSSIQLGPRPLQPPPPPIPPRKGPTPPPPPLPKASSLGSVSKPPPISKGFATDSKMKQPSTGEGDGQVKLKPLHWDKVNRNVDHSMVWDNIEGGSFKVDGDLMEALFGYVATNRKSPRSNESTPKGDKQGPSPQVFILDTRKSQNTAIVLRSLAISRKEIIDALVQGEDLNLETLEKLTRIAPTKEEESEILAFDGDPTRLADAESFLYHLLKSVPSAFTRFNAMLFKSNFKSETPHLKESFQTLDLGCKELRARGLLLKLLEAILKAGNRMNAGTSRGNAQAFNLTALRKLSDVKSTDGKTTLLHFVVEEVVRAEGKRCVLNRMNRNSSQSSSTDSSGRENSQSREEREKEYIMLGLPIVGGLSAEFSNVKKAATIDYDGLVKTSAALAAQIAEIRKHVQECDRDGGGFAKEMKTFLHAAEEELRNIREEQSRVMEHVKRTTEYFQAGASKDKGWQPLQIFVIVTDFLGMVDKACVDITKSLQKGKPASATSAGSSSPESPKTRPSVKFPKLPANFLSSKEQF >CDP00330 pep chromosome:AUK_PRJEB4211_v1:11:29985511:29987111:-1 gene:GSCOC_T00032232001 transcript:CDP00330 gene_biotype:protein_coding transcript_biotype:protein_coding MDERNDSSEKLDEVMLPGFRFHPTDEELVGFYLRRKIQQRPLSIELIKQLDIYKYDPWDLPKLATTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGSKCIGLKKSLVFYKGRAAKGIKTDWMMHEFRLPSLSDTGPSKRYMDKNNIPANDAWAICRIFKKANSNAQRALSHSWISPVPQTTPTTTTSDFLTQNVYGTSNFSSSNMSLTTKTSSVIQFGDSNSDLQQSSIASTFSPLDTSPYNSIVNQMSSNIEPSQISASKCDLPPTTTFPTIPIPTSEPLKYTIDASSLLLNMSSSMFGDFDDKVSQDQYFHNFSITVPDQETALVNDPNVAQRDQLWGTMQPVGYPFNFPLNMGDAWKSNLFWDSSPCPSSDMSTNFSTNKCYT >CDP00498 pep chromosome:AUK_PRJEB4211_v1:11:28682692:28686151:1 gene:GSCOC_T00032454001 transcript:CDP00498 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAEKDNIGPEKRNEDLLNYQSSNMPSEWQLSGNSLSNASMGLISSGNLEQPSSSNAAVIDSLCPTMWEQSLSAQNLGFNDNNVQHNTSTSNALGNRAGGLGPLRAGLDSLVGMGMGWTPPNAMLKGGMFLPGAPGYLPQTLAHFPADSGFIERAARYSCFSGGNFSELINPFNASDSMNPYAGGLASMLGSQEALMGNGLNLTSEMQPPKNEMNMTESSKHVSFPTECGPSEGIPLKNEKKSESLVRSHDEAKGGVGASGNDSDEAEFSGRGGQEELDAADGESTPKGIGSKKRKRCGQDSEHNQKKGSSQQAAEVPNDGTEVQKKGDQNPTSSKPGGKNGKQGPQASGSPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLAKDVLQTRAGPSSLTFPPDMSMAYPQLHQSQQGMVQAGLHGLGSPSDVLRRSINSPLTNVSGNLKEPSSHVPNVWEDELHNIVQMGLNSSVPLSSEDISGSLAPGHMKAEL >CDP13169 pep chromosome:AUK_PRJEB4211_v1:11:31730183:31731559:-1 gene:GSCOC_T00038025001 transcript:CDP13169 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSKSLYPEVIESNPESTSQLLSNADQRRPTSSSSSSSIYPSIDLKDLAQNLFPDDDNHDVQVEIQQGPDSQRSIAFESSEEVIVKIPGAILHLIDKEQSVELANGQLSIVMLRQGDNVVAVLARIDDEIQWPLAKDEAAVKLDESHYFFTLRVPPESTDGVGSEDNVLNYGLTIAGKGQEGLLRELDGVLEKYSAFRVEKVAEKGAAEGWWAVAKDVSPEEMERDKKKGELVEKSSAAYWTTLAPNVEDYSGSVARMIAAGSGHLVKGILWCGDVTVDRLKWGNEFVKRRLGAGTESKVSSEALRRMKRVKKMTKMSEKLATGILSGVVKVSGFFTSSIANSKAGKKFFSLLPGEIVLASLDGFNKLCDAVEVAGKNVMSTSAIVTTDLVSQKYGEQAAEVTHEGLGAAGHAIGTAWAVFKIRKALNPKSVIKPSTLVKATAEANAAKLKSEQKK >CDP11645 pep chromosome:AUK_PRJEB4211_v1:11:16592527:16594742:-1 gene:GSCOC_T00034043001 transcript:CDP11645 gene_biotype:protein_coding transcript_biotype:protein_coding MQILELSYKNLPDCLKACFLCLVLPHKTQLIVCLTIIGLISFKGFIQEKGSKTLKDLTEDYLTDLIERSSITFAKRRSDGRVKSCRAHDLFLCSRKVICHLLFQSSVILAAKLQMAFAGMLLGQNMLSDVELDWLVHDIRATKYLVTGAIGDWLIESLESRATRQQPLLL >CDP11032 pep chromosome:AUK_PRJEB4211_v1:11:6624868:6625131:1 gene:GSCOC_T00033015001 transcript:CDP11032 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLTENEGIEQKRFVVTGGAGFVGSALCLELVRRRVLSIKAFDLNIHPSFSDRLDLDFQLNGGGGNWWMFYCCRRKKWRFGEDDKF >CDP00548 pep chromosome:AUK_PRJEB4211_v1:11:28259608:28260515:1 gene:GSCOC_T00032520001 transcript:CDP00548 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKAVPLGVVFTLLWVIVLLLSFQTPVARRLVATQMECHRGGVDPGCSPRSPHGSPP >CDP16268 pep chromosome:AUK_PRJEB4211_v1:11:24345471:24347869:1 gene:GSCOC_T00018043001 transcript:CDP16268 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPLIGKTFEYISKRRRGLTYEFLTERWNKYSSPIFKTSLIGETVVIFSGAKGTKFLFSNDKRLVHVWRRSTIKKIFPKMEKPSSEHSHTLRKLLRSILKIDVRREYIGFINEATKNHLQAYWNCKQVSLSDTAAIYLLNLSCNFFSFKKIKKIQKDMQDIDKGLRSIPINLSGTALNRAIKAARHIQKELEETIRQRRIDLSGHDSSSVMDIMWHLILATNENGQSFFDEDIESNLASLLLGSYSTMQSTVTSIMKYLAEFPDVYESVLKEQNEIAGSFREAMTDFIYEGYLIPKGSKIHWTSDATHKNPNYFPNPGNLDPSRFLKDEVTTYAFIPFG >CDP00615 pep chromosome:AUK_PRJEB4211_v1:11:27587960:27589438:1 gene:GSCOC_T00032610001 transcript:CDP00615 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVSGSFKLKLAKLGYQYLVNHITTFFLIPMMMFVFIQILQRSPEELLSLWNVLNFTLVHAVCSSFAIIFITSFYFMSKPRTVYLVDFACFKPPCHFRAPYASFVEHARLVLPDHPKSVSFQTKMLARSGLGEETCLPPAIHYIPPTPTRELAGEEAELVIFSAMDLILNQTGVKPRDIDILIVNCSVYSPTPSLSAMIINKYKLRSNIKSFNLSGMGCSAGLISVDLARDLLQVHPNSNAVIVSTEIITPNCYVGNDRSMLLPNCLFRMGGAAILLSNRWTDRWRAKYRLVHVVRTHKGADDKSYRCVEQKVDSEGNLGISLSIDLMAIAGEALKSNITTIGPLVLPASEQLLFLLTLIGRKIFKLKLKPYIPDFKQAFEHFCIHAGGRAVIDELQKSLQLSAEHVEASRMALHRFGNTSSSSLWYEMSYIEAKGRMKKGDRVWQIAFGSGFKCNSAVWKCNRTIKAPTKGPWEDCIDRYPFYIPEVVKL >CDP04834 pep chromosome:AUK_PRJEB4211_v1:11:4984180:4984853:-1 gene:GSCOC_T00019571001 transcript:CDP04834 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPLEIRIFRGGESEFIGFSPLELDFCDGIVVELDDDTDKLAKKFVQRRRVYSHAWIKYWIPHFGIKERIDHILSALVRISSFSY >CDP00165 pep chromosome:AUK_PRJEB4211_v1:11:31289199:31293040:-1 gene:GSCOC_T00032023001 transcript:CDP00165 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPTTAPPSLLLCLLFLSVASSFSVGIAGAGKSGRKLAGDSPFTAKASLIRYWKKQVSNDLPKPWFFLNKASPLDAVQLATFSKLADQNSLPAQLPAFCSKANLICFPDLSPSLEKHTGDVNFASYSFQNFTNYGTNRAGGVDSFKNYTNGENIPVDAFRRYSRDSVGHDDKFTNYALDANVVDQSFNTYGTAATGGAGDFKNYNDNSNVPGLKFTSYSDSSNGRKQGFKGYATNANAGDEGFTSYGKNGNGAENDFTSYGNNSNVVGSNFKNYGETANAATDKFSSYGSNGNVPENNFNNYGPGGNAATETFTNYRDQSNVGDDSFQSYAKGSNAATANFVNYGQSFNEGSDRFTSYGKDASSLTVGFKIYGVNNTFKEYAKSGVTFASYRNDTSGGSSSTASLAAEKAGASGKRVNNRWVEPGKFFREKMLRTGTVMPMPDIKDKMPKRSFLPRVILSKLPFSTSKIGELKKIFHAADDSKMAGLMADSLGECERAPSPGETKRCVGSGEDMIDFATSSTQGSGGNILIGSVEGINGGKVTKSVSCHQSLFPYLLYYCHSVPKVRVYQADILDPKTKDKINHGVAICHVDTSSWSPGHGAFIALGSGPGKIEVCHWIFENDMTWTIAD >CDP00651 pep chromosome:AUK_PRJEB4211_v1:11:27224283:27234531:-1 gene:GSCOC_T00032665001 transcript:CDP00651 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANHKLAVIIKNPLDKEEFLLIKQTPPPKFNDPEYDSFVDTDLWDLPSTQLTPLSEPDQLLHSKISIKTREFSSSDKINLSEFDFNSALNQALEQVGYGSAREVEWEFWKCLEEPDFGPGLPIKTVYIVGNLGSQDGKLKEVCKWIRMENCLEMLLEVKPNSDRVGPLAVLGLLNDMAPSESWKVPPTSHCQEYPPGLKLVPMGSRTGKPFRTTNLVIFVPGNKTDSSSNNSFIACGDALIVDPGCSSAFHRELEEIIAALPKKLVVFVTHHHHDHVDGLSVVQKCNTGATLLAHENTIRRIGKDDWSLSHVAVSGSEEVYIGGQLLRIIHAPGHTDGHMALLHVSTNSLIVGDHCVGQGSAVLDVTSGGNMTEYFRTTYLFMDLSPHNLIPMHGRINLWPKHMLCGYLKNRRNRESTILKSIEDGAKTLFDIVSYTYAEVDRSFWIPAASNVKLHVDHLAEQDKLPKEFSIQRFQNSCRLHFLSHWMWAYLRGGSFPIRHYIARTAEIVGAAAIVGLAAMYFSRNKLDHN >CDP00289 pep chromosome:AUK_PRJEB4211_v1:11:30291207:30294288:1 gene:GSCOC_T00032179001 transcript:CDP00289 gene_biotype:protein_coding transcript_biotype:protein_coding MCWYISCFSRGWRWVVFLLELSGKIGVILESFFETLVVKLLFVQGRSIMGQALGCIQVDQSTVAIKENFGKFNDVLEPGCHCVPWCVGSQLGGYLSLRVQHLDIKCETKTKDNVFVTVVAAIQYRALAEKASDAYYRLSNTKEQIQAYVFDVVRASVPKLSLDKVFEQKNEIAKAVEEELEKASAMSHYGYEIVQTLIVDIEPDVQVKRAMNEINAASRLREAASEKAEADKILQIKRAEGEAEAKYLAGLGIARQRQAIVDGLRDSVLGFASNVPGTSSKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDIASQIRDGLLQGRIDEV >CDP00779 pep chromosome:AUK_PRJEB4211_v1:11:25945251:25948622:1 gene:GSCOC_T00032857001 transcript:CDP00779 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPSGYRPNVGVCLINDDNLVFVASRLNVPGAWQMPQGGIEDGEDPRSAATRELREETGIVSAEIIDEVPQWLTYDFPPAVKAKVNRLWGGGEWHGQAQKWFLMRLTKDESEINLANGEVEPEFADWKWARPEEVIEQAVDYKRPTYEEVMRTFGPYLNDNGKAAKCQSTKW >CDP16980 pep chromosome:AUK_PRJEB4211_v1:11:17993101:17993779:1 gene:GSCOC_T00004813001 transcript:CDP16980 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIRNQRDYTDQIIKGIILVIYYWYVVLTARTDTSSMTIEWGLSLLLNYPEVLEKARTKLDAQVGIDRLVDEHDLSNLPYVHNISSETLRLYPAALMLVPHESSDDCKIGGYNIPRGTILLVNAWAVHKDPNIWDDPTSFKPERFEGFWLQPSKLIPFVMGRRSYPGSGLAQRVVGLALGSLIQSFDWKRIGEEKIDLAEGT >CDP05478 pep chromosome:AUK_PRJEB4211_v1:11:21301476:21311377:1 gene:GSCOC_T00020545001 transcript:CDP05478 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLFSTAYHQCRWNYRDEEDVFDVDSKFDEHDIPYDVLWLDIDHTDGRRYFTWDKVLFPNPEEMQNKLAAKGRHMVTIVDPHIKRDDNYYIHKEASDNGYYIKDASNRDFDGWCWSGSSSYTDMVNPDIRSWWADKFSYGNYVGSTPSLYVWNDMNEPSVFNGPELTMPRDALHFGGIEHRELHNAYGYYFHMATSNGLVKRGNGKDRPFVLSRAFFPGTQRYGAVWTGDNTAEWEHLRVSVPMLLTLGLTGISFSGADVGGFFGNPEPDLLVRWYQLGAYYPFFRAHAHQDTKRREPWLFGERNTELIREAIHTRYMFLPYFYTLFREANMTGTPVIRPLWMEFPAEEETFSNDEAFMVGNSLLVQGVYTQRAKHVSVYLPGEQSWYDLRSGAAYKGGKRHKFEALEDSVPAFQRAGTIIPRKDRFRRSSTQMGTDPYTLVIALNSSKEAEGELYIDDGKSFEFENGAYIHRHFKFSNGKLTSSNAAPSGVQLGPLTIRHGNSPSFLTIRRPNVRIEDDWTIKIL >CDP13271 pep chromosome:AUK_PRJEB4211_v1:11:32459822:32465942:-1 gene:GSCOC_T00038158001 transcript:CDP13271 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSVPVGIGPNSILSPFADRSKTLNPSFRRNQLGFSSNYGPFMRGTLGVTRYGLGQVPFPDPDSADGLIKDLFGRVEMFLYTVADAAVSGSPDPLTDATTTKQSDWLSGITNGMEAVLKVLKDGLSTLHVPYSYGFAIILLTILVKAATFPLTKKQVESAMAMRSLAPQIKAIQERYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPIWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTVAARQTGSGTSWLFPFIDGHPPLGWSDTFAYLVLPVLLIITQYVSVQIMQPSQSNDPNLKSSQAITKFLPLMIGYFALSVPSGLSLYWFTNNILSTAQQVWLQKLGGAQNHLKQFNDDIVRKELSQMQKSVSEATSEKMTDKGEKLTSEGLRPGERFKQLKEQEARRRQQREEEKRKAEEAALQQENGELKNEVNLVEPGLEAENTATDTCNTFNNEHRVVNGTTSPRAKDDTRTTDGSGSHEDETIGQQPYGTTEKEEVASSMIGGDNRSTGKDENENKSV >CDP00662 pep chromosome:AUK_PRJEB4211_v1:11:27145508:27149721:-1 gene:GSCOC_T00032681001 transcript:CDP00662 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQFNRSRNGEDRFYSARARRSRNQSQNQDNLRRAQSDVTVSHSPQLPEAESPAGATGEEKSVAVEVSKKVALRPSCNLERFLLSITPSVAAQYLSKTTMRGRRTCDVEFQPYFVLGDLWDSFREWSAYGAGVPLILNDSDGVVQYYVPYLSGIQLYGDSSRSLAKSRQLSEDSDGDYFRDSCSDGSSDYEHERGCINYSQQQRNYSGQAINGPPRIDQLSLRDNQLSFQEGFSSDESESGSSQGSLLFEYFERDQPYGREPLADKISQLALRFPELKTLRSCDLLSSSWISVAWYPIYRIPMGPTLRDLDACFLTFHSLHTSVTGSQIVPAPILTYPGEMDGVPKISLPVFGLAHYKFKAPLWIRNGGSERQLLNKLLQDAENWVSMLQVNHPDFLFFHRR >CDP00461 pep chromosome:AUK_PRJEB4211_v1:11:28963359:28964387:1 gene:GSCOC_T00032407001 transcript:CDP00461 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVGHPAPDKMLTKSVHRKNDSGELDVFEAAKYFSAANEIYAYENGAAFAQKGVRDHHHHQEKQASKAGRFSLDVPMRNSNSIPSQTTVMEKQMMIKEKKHKQPSSPGGKLANFLNSLFSQTSSRKKKSKSTTTTQSKKDDQDDQSPGWRRKRRSSISHFGSATNSVDSRSLYSTSSSGFRTPPPYAHTPTKTYKDLRSYSDHQQKQSQYSMDCAWLDDKYKLVDHNLQSFKNANVGYPQKIEANNWADRYLSEEKGFMKFNDADDGADSDSSSDLFELPNYDLDFYSSGLPVYETTNMDSIKRCAPISSAAAR >CDP13389 pep chromosome:AUK_PRJEB4211_v1:11:33384145:33386277:1 gene:GSCOC_T00038306001 transcript:CDP13389 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYKKENEKYKFLKIIPTSPHSSGVFNLCLNRPSHLNALSPHFFTEFPHALSSLDQNPDVSVIILSGAGRHFCSGIDLQALNSISSGLPSADRGRIGEKLRRDIKFMQDAITAIELCRKPVIAAVHGACVGGGIDIITACDLRFCSADAFFVVKEVDLAITADLGTLQRLPAIVGFGNAMELALTGRRFSSSEAKEMGLVSKVFASKEAMEEGVRAVAEGIAAKSPLAVTGTKAVLLRGRDLTLHQALDYVATWNSAVLLSDDLKEVISAQSQKRKPLFAKL >CDP04829 pep chromosome:AUK_PRJEB4211_v1:11:5082427:5084890:-1 gene:GSCOC_T00019564001 transcript:CDP04829 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRADLTPQSSSSCFGLVGRTDLVLLKRGGEEIYVGPLGHHASGLIKYFEGIKGTSKITDGYNPATWMLEVTSPSQESAFGVNFAELYRSSDLYRRNKALIKELSTPASDSKDLFFPTVYSQSFFSQFMACQWKQHLSYWRNPKYTAVRLIFTTFMALMFGTVFWNFGSKRRMRQDLHNAMGSMYAAVIFIGVQNAAAVQPVVAIERTFFYRERAAGMYSALSYAFGQIVIELPYVFVQTIIYGVIVYGMIGFEWTAAKFFWYLFFMYFTLLYTTYGMMTIAVTPNQHIAAIVSSAFYNIWNLFSGFVIPKTRIPVWWRWYYYICPVAWTLYGLLASQFGDIKEEMLDTNVTVEEFIRGYYGFRHDFVGYVAIIIVGVATLFAFIFAFSIKVFNFQKR >CDP00336 pep chromosome:AUK_PRJEB4211_v1:11:29929198:29931239:-1 gene:GSCOC_T00032242001 transcript:CDP00336 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNVDTVESQNQLPQPQKGTTFLRTCFNGLNAVSGVGILSIPYALSEGGWLSLTILFLVAVLCYYTGLLLQRCMDVDPLVRTYPDIGELAFGKKGRLTISTFMYLELYLVAVEFLILEGDNLHKLFPDACFHLGGKKVGGKQVFVLLTALIILPTTWLRNLGLLAYVSVGGVLASFVLLGSVFWVGAIDDVGFHETGSLWRWSGLPTAISLFTFCYCGHAIFPTLCNSMKDRSQFPKVLLVCFVLSGLTYGSMAVLGYLMFGDNLSSQVTLNLPTAKISSKIAIYTTLINPITKYAIIIAPIATAAEDAFRFRNSRTMSLLIRTSLVVSTVVVALCIPFFGYVMGFIGAFLSISVSMLFPCLCYLKIKKADKRWGPELVSIMLILVLGIIVSALGTYISVRNIVRNVL >CDP07918 pep chromosome:AUK_PRJEB4211_v1:11:12371384:12372276:-1 gene:GSCOC_T00025428001 transcript:CDP07918 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLPYQVVRSRIEPLPKLLANLRRVWSYRPAELSVFPNLSNPCTMAFHIPKSIPSSPTPCTFPHPSFLPHNLHYSHYYYRTRFTPISRRKLLRPQLHISNSSKSANSISDEDSKNNRQDSVEYVEVFGIGSRKDAILDFCLNSPFLSPALRFWNIVVKDSTKVQLQQRLSNQGLFFELSGPLTLIHMHINYREFFDFFDSLEMIE >CDP00471 pep chromosome:AUK_PRJEB4211_v1:11:28893574:28895674:-1 gene:GSCOC_T00032420001 transcript:CDP00471 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLHSILTAISFFLFLAFVKFLEKLWWNPVRKQSISCSHRALEARLTNSSVEMPKKYLGISEPHIHSWINVYGKSNQPLAFIVLHLLRNSFIRQMLNKKDVSFWRFESEKYLKKKQAMCKYQNYSVSLMISKSRCFHPYDLINLASLTPTCINLTEMMLRKYKSNDGKETEVCEEFRMLTSEVISGTAFGSSYEEGQQLFQMSRQLTFICCRNMEKIRFPDIRYVYLMGSINLVYKSLERQKLLLGLDAEQYLQEKAQLQVSDFLGLLLEAHHDAEVHEYKRILAEEIIDECKTFYSAGQGTTTILLSWTIFLLAMHKNWQDKVREEVNELIGDELQIQGDFKD >CDP15265 pep chromosome:AUK_PRJEB4211_v1:11:19170741:19171192:1 gene:GSCOC_T00042924001 transcript:CDP15265 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKITYFGAFSYLHQNAFLIFENLKDHKLKFSCIISVYGFLVVLVELLARDNLPG >CDP00214 pep chromosome:AUK_PRJEB4211_v1:11:30869541:30876752:1 gene:GSCOC_T00032091001 transcript:CDP00214 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] MGSSTALSLHSPAKINLWQHKWNQTLQDGQALSSSSRYCAARGAQLFPFAPLNRRSTRKISLTVRAASGGVSRRSASSRRVYRESQAQAPALPAPVMQIASFILPAGAFIVGTFVLWKLVEKVLVPKRKNSLSEENRSSQGVKWSFAPGTNLLSAFGAKVEIESKQRLNEFAKELRLFRTVDMSGRNFGNDGLYFLAEGLAYNQTAEEVSFAANGITADGIKAFDGVLQSNVALKTLDLSGNPIGDEGAKYLRDVLLENSSIQKLQLNSTGLADEGAKAIAELLKKNSALRILELNNNLIDYSGFSGIAEALLENKSIQVLYLNGNYGGPLGAAALAKGLEGNKSLRDLFLHGNSIGDEGIRALIIGLSIHKGKVTSLDIGNNSISARGAFHVAEYVKKSKSLRWINIYMNDIGDEGAEKIAEALRQNRSVTDIDLGGNDIHAKGVAAIAEVFKDNAVLTSLEIGYNPIGPDGVKALAEVLKFHGNIKNLMLGWCQIGAKGAEFIADMLKYNGTISTLDLRGNGLRDEGAICLARSLKVVNEALTTLNLGFNEIRDEGAFAIAQALKANEDVRLTSLNFASNFLTKLGQTALTDARDHVLEMNEKEVSIFF >CDP05474 pep chromosome:AUK_PRJEB4211_v1:11:21236663:21242561:-1 gene:GSCOC_T00020540001 transcript:CDP05474 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFGSPCSSSERTSISSCNAMLRELQQLWADIGETEADKGRMLLELEKECLEVYRRKVDEAANSKARLHQSVAAKEAEIATLMASLGQMNVSSPVQSEKKPSSLKEQLAYVTPLVEDLKLRKEERFKQFADINAQIEKIMGEILGYSHIINSVNSMNLDEEDLSLRKLTEYQSHLRNLQKEKSERLKKVLEFVNEVHSLCGVLGLDFGKTVSEIHPSLQGTSLEQSTNISDTTLEGLEQTILRLKTERKVRLQKLKDVAASLFELWNLMDTTKEEQVKFSRINFILGSAESDIVEPGALSLDVIQQITAEVERLATLKASRMKELVMKRRQELEDICCRTHIQPDPSTAADKANAMIDSGLLDPCELLANIETQINKATEEAFSRKEIMDRIERWLCACEEENWLEDYNRDENRYSAGRGAHINLKRAERARVMVNKIPVMVDNLISKTLAWEDEKKKLFLYDGVRLASILEDYKRTRQQKEEEKKRARDQKKLQDMLLAEKEARFGSKPSPRRSNSLRKVNGYHVNGNGSVTPSPRRNSIGCATPELLTPRSYSGRQNGYFKETRRLSTAPLNFVAIPKEDTMSFSSVCGSEPESPPQS >CDP05471 pep chromosome:AUK_PRJEB4211_v1:11:21165645:21167521:-1 gene:GSCOC_T00020534001 transcript:CDP05471 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPHVLAIPYPAQGHVLPLMELALCLVKHDRNDAAKSMKAIFRVIPAKLEALIEKINQSESDKITCIIVDEFLGLALEVAKKMGVRAVSFSPAAAALYALKLNIPKLIDDGIIDSSGTIMKKQMVQLSPATLAMDSEHFAWASVGDATTRGIVFDAMAINNRTFKLADRIIGNSSNELEASVFTSFPEMLPIGPLLASNRLGKSVGSYWSEDSDCLAWLDKQPVQSVIYVAFGSTTVFDHTQFQELALGLELTNMPFLWVVRRNLTAGTDSAYPKGFRDRIQGRGRLASWAAQQQVLSHPSVACFLSHCGWNSTMEGISNGVPFVCWPCFGDQFANRSYICDIWKVGLGLEKDENGIIAQGELKNKIEQLVTVKGYRERALDLKAKVMNSLKEDGCSGKNLNNLVRWIKDD >CDP16517 pep chromosome:AUK_PRJEB4211_v1:11:8920388:8921753:1 gene:GSCOC_T00018514001 transcript:CDP16517 gene_biotype:protein_coding transcript_biotype:protein_coding MAITELNKSQSRNEAQGEQQQLSEECQELLNTLPKERGWRTQHLYLFQGFWCQPKEIQAIMSVQRNFIAQDSDVVLATIPKSGTTWLKAIAFTIVNRKNIPVQNDHHPLLTANPHELVPFLEYKLYADNCIPDFSTIPCPKLLATHMPFSALPQSVKESGCRVVYLARNPFDTFVSIWHFMEKLRPESFGCLSFEEAFDMYCSGVVGFGPYWDHLLGYWNESLQRTQKVLFLKYEEMKEDTNSQLKKLAEFLGLPFSIEEEKGGVVDEISRLCSFQTLKDMEVNKNGKGAIFADSENKDLFRKGVVGDWINHMTPSMVDRLRNIMDKKLSGSNLSFQLL >CDP00816 pep chromosome:AUK_PRJEB4211_v1:11:25500617:25502884:-1 gene:GSCOC_T00032910001 transcript:CDP00816 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKGEIVAPENPSKWKCCNENWMKWIHFKHVHGLRLYGGGRIHGRGDKWWNIHVRSTIIFSRQALQISNSEDVQLINLNFKDNPRMHIILNHLRSLFVSRIKIDATNATIFSLFTYHVVLFIFCTGDDCISIVDGCADVKVNNILCGPGNGCFIGSFGKDGANHKVENVYVGDIVFTKSTNGARIKSWQGGRGYARNITFERIWFHEAANPIIIDQFYCDHRNCTDRDSAVQIHDIKFRQVLGTSKKNVAV >CDP13377 pep chromosome:AUK_PRJEB4211_v1:11:33288977:33292476:1 gene:GSCOC_T00038290001 transcript:CDP13377 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWTSSVSVDDEFEKLALRINPPMVTVDNESDKKATLIKVDSANRRGSLLEVVQVLTDLNLIIKRAYISSDGEWFMDVFHVTDQDGNKLSEDKVAERIQQSLGPRGRSFRSFRRSVGVQPALEHATIELTGRDRPGLLSEVFAVLADLKCNVVAAEVWTHNSRMASVVYITDEVNGVAIDDPERLSKIKQLLLHVLKGNKDRRSANTAVSVGSTHKERRLHQMMYADRDYDNDVMDCAPTSDRTKPLVTVEKCADKGYTVVNLSCPDRPKLLFDTVCTLTDMQYVVYHGTIIAEGPEAHQEYYIRHIDGWPISSEAERQRLIHCLEAAIKRRTSEGIRLELCSEDRVGLLSDVTRIFRENGLSVTRAEVTTRGSQAVNVFYVTDASGYPVKNETIEAVRKEIGLTILRVKDETYSTSPPQQGGKFSLGNLFRSRSERFLFSLGLIKSCSCAFE >CDP19431 pep chromosome:AUK_PRJEB4211_v1:11:31404708:31410943:1 gene:GSCOC_T00006550001 transcript:CDP19431 gene_biotype:protein_coding transcript_biotype:protein_coding MISRNLLFHFQYCMLCLLSFHTRRTSPVNFLSSYCANTTYEPNSISGRIYSNNLYSVLDALSSNAFRTDTGGFYNISTGNDPSNTVYGLFLCRGDVSTDVCGQCVAIAAVKVIEECPYHEDAIVWYEECFLRFSNQAIFSRVDSYVVLSMYNVQNVTGRDQEKFKTTLENLVNDIAVQAANRTGGKMFAVREGDYSMGKNKLYTLAQCTPDLSALDCETCLSNAISVLPSCCNGRVGGRVMFPSCKVRYEVYPFYGSVSSAPPPTSSAPPLPANSPGGPPSSSTKGKFWSIVIYGSLFWACMQAYDFLFHLFADILTAESLQYSLTEIQIVTNNFSVDNKIGEGGFGRVYKGVLGNGQEVAVKRLSRSSGQGAEEFKNEIVVVAKLQHRNLVRLLGFCLEGEEKILIYEFVPNKSLDYFLFDSENKRSLNWARRYNIIGGIARGLLYLHEDSRLRIVHRDLKAGNILLDGNMSPKIADFGMARICGVDQSEGNTNRIAGTFGYMAPEYTRRGQFSVKSDVFSFGVVILEIITGKKNSTFSQSED >CDP05599 pep chromosome:AUK_PRJEB4211_v1:11:23552744:23561261:-1 gene:GSCOC_T00020735001 transcript:CDP05599 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTIQVFGFPYVLAAEIVTEFLERFTGQGTVYALEVKQSKGGPRAYARVQFINDRSAEYIIALAEQCLNYGSSYLRAWEMDSDLVPRPRTYEHHMEQIVLNFGCQVSENRFSVLCNVPNVSVRFGIGMRKMYFILHFRSCDYKLELSDENLWQIVLHRRGQAAKFLLIQLLGAPRVFQKLEESTFSYFQETPDDRWLRTTDFTSSCIGQSSGICLKLPRGIKLPNLRDYFPWYKESDSQFTLETGSPYSYNLVLAPILHPPRGLYLPYGILFKVCCLVQNGCLPGPSLDANFFRLVDPQRRDIRYIEHALENLYILNDCCYEPVQWLKEQYDKYDKLLQLPISPTLTLEGGLVYVRRVQVTPCKVYFCGPEVNVSNRVLRHFSEDIGNFLRVSFIDEEGNKMFATDLSPRIAANVNQRTKIYERILSTLKNGIVIGDKKFEFLAFSSSQLRDNSVWMFASRPGLTAADIRTWMGDFSRIRNVAKYAARLGQSFSSSTETLNVGTHEIEMIPDIEVRGGYFGASKYVFSDGIGKISAQFARQVARKCGFITSTPSAFQIRYGGFKGVVAVDPTSSKKLSLRQSMKKYESNNTKLDVLAWSKYQPCFLNRHIITLLSTLGVKDIVFEKKQCEAVSRLDTILTDPLRAHEALDLMASGENMNVLKEMLKCGYKPNSEPFLSMMLQTFRTSKLLELRTKTRIFIPNGRSMIGCLDETRTLEYGQVFVQLSSAGHGQFYDDSNQYSEQNVILEEKVVVAKNPCLHPGDVRILKAVNLPALHHMMDCVVFPQKGKRPHPNECSGSDLDGDTYFVCWDPDLIPPRQDRPMDYTPAPTMQLDHKVTIEEVEEYFTDYIVNNSLGIIANAHTVFADREPLKARSEPCLELAELHSIAVDFPKTGVPAEIPSHLRVKEFPDFMEKSDKPMYDSQRVIGKLFREVKGKAPLTSSIKSFTKQVARKSYDSDMEVDGFEDYIAEAFDYKSEYDYKLGNLMDYYGIETEAEILSGGIMKMSRSFDRRNDAEAVGRAMTSLRKEAKTWFNTNGDHFGDACAKASAWYHVTYHPKYWGCYNKGLNRAHYISFPWCVYDKLIQIKKASIRRSQQISLLELQFSHGLRFL >CDP07922 pep chromosome:AUK_PRJEB4211_v1:11:12271910:12280594:-1 gene:GSCOC_T00025435001 transcript:CDP07922 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETMMNSLWVGSVKDPEKEPVTTSDAPNSNAALFHRRIEFHLAKKPFNGFNDGGNSGNGFKLVTLNPYNSNANLKSEPHKAWSGSGKRPSESSSENHSGLDPELSFTITFRRIGAGLQNLGNTCFLNSVLQCLTYTEPLAAYLQSGRHKISCRTAGFCALCAIQKHVSQALESTGRILAPKDLVSNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPSGVPSESPSAYDKSLVHKIFGGRLRSQVKCMQCSFCSNKFDPFLDLSLEIVKADSLYKALAHFTAKEQLDGGERQYQCQQCKQKVKALKQLTVYKAPHVLAIHLKRFGSHMPGQKIDRKIEFGPSLDLKPFVTGPYDGELKYTLYGVLVHAGWSTHSGHYYCFVRTSSGMWYSLDDNQVVQVSERRVLEQKAYMLFYVRDRMYSTPKKVVDAIHKESMVINTFGRKTYPNLNQGLKENIMNGAVGGKLNDSFSATAAQKDVMNSNIISQNQMKKDPAQKINGPTAPEEACLKKDQPAENSLKVPPVDSLPTSNINGGDCLVQSLPSSKGSNGFVNFGNSSNSGSSGGIELTTAIVKQKDINSLQISAGKNKTDCVVIPTDGNTKASTGKYLSDAVDRPANGNVLHRSPQDTCVPLEANAGKVGCFPDSIGVAEGGAQKVRDIKDRACQKPATKHLLRKRALSDRLHLVKRKPLKRPVTTKHLSRNIILGAALGRRKKKKRARHCSPKKVDGNHVLSDLGPSTSEESKTSTISCSAYPPRGFKSNADEKDKILGLKGITDNADLLRSVNVKVVPCRDEVGQHEKVPLSDTQSRNRYSTAGGELFDNGKSCGSMHKKGEKVENGLMRISADGLEETTVARWDGVECSPEIVESRSVENLRIGYIGDEWDEEYDQGRRKKIRGSKLTFDGTNPFQEIATHKAKTKKAKLDRSSSANQPFRI >CDP00579 pep chromosome:AUK_PRJEB4211_v1:11:27953951:27960375:-1 gene:GSCOC_T00032563001 transcript:CDP00579 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLTSISHAVPSLQFSTTCFHGELSSLSSSSSSSSSSFMGARLASFPSTFPGKSSSFRKGLVVYSKRISGLEEAIRIRRERELRTSTASQRRPPLRRGKVSQQLPVPDHIPKPPYAGTKILPEISKEYQIHDAEGILKMRAACELAAQVLDHAGTLVRPSVTTNEIDKVVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQDGDIINIDVTVYLNGYHGDTSKTFFCGNVSDAMKRLVKVTEECLHKGIAVCKDGALYRKIGKRISEHAEKFGYGVVDRFVGHGVGNVFHSEPLIFHHRNDKPGFMVEGQTFTIEPILTLGSTECITWEDNWTTLTADGSPAAQFEHTILITKTGAEILTKA >CDP05561 pep chromosome:AUK_PRJEB4211_v1:11:23004950:23013898:1 gene:GSCOC_T00020679001 transcript:CDP05561 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSASIAGDTLKLCVFDLRRGQTEGQELDKILFFYPADLAFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAEMHSHVFYEAEPDIWMVMVVEKSKELEAIWRMDALRAVLKEVHSLFVMFHGSIRALLDKEPAGGLIRTHLYYFIMDYLSAFEKRSPFDCCCWDFLAVKKLQLPNFRESLTERGTVQMLTVGREAALEVQSLVKALESSAGSMQCHSLIMYQDLLVSSTLSPDDTVNLFTYAVLRLSPRALSSGVSSWSYLRKGNIAPGVSSGPMLSNSGSALDHSNVSSETSHVGNRQYNALRPLKHDKWSRGKDGFLVADVWGAEVDSSACSSPTIWLHQTTERMYLCAYQYRSLTLIFLIPVTSIVSEEQGISLMKQQIIENASLKIIKVEEKLSRGWGGENAYHVSGYRYLLVDGNRCISRATPPGKVTTLAKESLVALSKVREEVDLEKSRLKCDGAGREKDMEVCIRAKNNAWVIAKLTRGKELYMVLEKVSETILCATDAVEKFSDSRYCNGVFSLD >CDP13226 pep chromosome:AUK_PRJEB4211_v1:11:32141981:32145589:1 gene:GSCOC_T00038095001 transcript:CDP13226 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDEVIWQVIRHNHCSFMAKIETGNFCRNPYNVTGVCNRSSCPLANSRYATIRDHEGVFYLYVKTIERAHMPNKLWERVKLPRNYEKALEVIDKHLMYWPKFLVHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKSIEKELLERLKRGVYGDIYNYPVKEYNKVLDMERQQAASEDEDEEGEIEYVEGYEELEEEEDMEDLGDLAIGADDDTVGISDDDEEHVTATDHQRRRKEYGISERKLEKDESGAKQKKKAKVLIEVEHEDTSERQTTVQ >CDP16296 pep chromosome:AUK_PRJEB4211_v1:11:23933227:23937074:-1 gene:GSCOC_T00018075001 transcript:CDP16296 gene_biotype:protein_coding transcript_biotype:protein_coding MVQALNLILLTSSELSGLRDLLKQSLVTAAGKDLFLSLYASWCHSPMAIISLCLLAQTYQHASSVVQSLVEEDINVKFLVQLDKLIHLLETPTFAYLRLQLLEPGKYIWLLKALYGLLMLLPQQSASFKILRTRLKTVPPYSFSGEQLRIASSGIPYSLMNYTGSGSQISEDGVMHDDLQNPHNGINFGSRLQQFDQIQQQHRMHAKTQTQSRYSSTSSTKEVQRMEEPKRPSAAQELSRPPSRSLRRGPGQLQL >CDP16888 pep chromosome:AUK_PRJEB4211_v1:11:788765:793239:-1 gene:GSCOC_T00019472001 transcript:CDP16888 gene_biotype:protein_coding transcript_biotype:protein_coding MSNINIEGILKELPNDGRIPKTKIVCTLGPASRSVPMLEKLLHAGMNVARFNFSHGTHEYHQETLDNLRIAMHNTQILCAVMLDTKGPEIRTGFLKDGKPIQLKEGHEITVTTDYGIKGDEKMISMSYKKLPVDLKPGNIILCSDGTVTLTVLSCDPQAGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNNIDMIALSFVRKGSDLVNVRKVLGPHAKRIQLMSKVENQEGVINFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVKIMARICIEAESSLDYGAIFKEMINSAPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDYFGWNISDETPARHSLIYRGLIPLLAEGSAKATDSESTEVILEAALKSATQKGLCQPGDAVVALHRIGASSVIKICLVK >CDP00338 pep chromosome:AUK_PRJEB4211_v1:11:29922440:29923621:-1 gene:GSCOC_T00032246001 transcript:CDP00338 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSSLISFHLLVLQCLCYLCIVQSHDFLFFVQMWPGAYCDTKRTCCYPAAGKPTDFTIHRLWPVFNNVTFPEDCNPNSHYNETKKSTTTKFWTHEWTKHGTCALPVLDQHGYFAAALSIKDKVNILQVLKNAGIQPDGTLYKLDDIKEAIKAGTGYIPVIECNTNASGNIQLYQVYLCVDAAGSDLIECPVTLKRACNTSVEFPIILGP >CDP16860 pep chromosome:AUK_PRJEB4211_v1:11:107687:112784:1 gene:GSCOC_T00019424001 transcript:CDP16860 gene_biotype:protein_coding transcript_biotype:protein_coding MILDFLKALQGCSKLTDRFSIGDRVVCKVDYDRRSLLAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRFDFSHGKPVKPEELRKIETIVNEQIKAEMDVFSEEAKLADAKRINGLRAVFGEVYPDPVRIVAIGQSVEDMLADPENQNWLSISAELCGGTHISNTREAKAFALLSEEGIAKGIRRITAVTTVSALNAMELASLIEHEVNETFKSEGALLEQTMSINAYFYAVNSETGKPVKPEELRKIETIVNEQIKAEMDVFSEEAKLADAKRINGLRAVFGEVYPDPVRIVAIGQSVEDMLADPENQNWLSISAELCGGTHISNTREAKAFALLSEEGIAKGIRRITAVTTVSALNAMELASLIEHEVNETFKSEGALLEQRVTKLNGRVEGAVIPTVKKADIKAKLSLLQNHIIKAKKKIAEENTQNAVKVALEEAAVSSSDGKAYCLTHVNVGGDTAAIREAVLKVTEQKGMAVMVISKDDAANKVVVCAGVPEKNDQYKQLNAKEWLRVALEPLSGKGGGKGCLAQGQGSGISHVSEAMDIAASFATLKLNQ >CDP13241 pep chromosome:AUK_PRJEB4211_v1:11:32250943:32251132:-1 gene:GSCOC_T00038119001 transcript:CDP13241 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTLCIFSHSSQISSRCQAFGRVHFKEIFLLIM >CDP13284 pep chromosome:AUK_PRJEB4211_v1:11:32547638:32551134:-1 gene:GSCOC_T00038172001 transcript:CDP13284 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSTCLLRSFSQPSQTSQESPEGDPLRRALTSSVSFGRFVSESLVWEKWSSFNQNRYLEEAEKYSKPGSVAEKKAYFEAHYKKIAARKAAPLLEQQSAAVDNTAAVNMLNGDHDDSPPTEMEPAQEHEHAKVEEVLAEDTIKTSVVFPVDANQQTLPKERTIESTQSKEVELATEHPIFVENEVETSNQFQVSMNSHDNIEVKTHSKDACIMGDSASSEKKEAALSSGKLSKHDKKSKTQSFTKSKIPIYPTKDGYLGTSKKTENQSLERKRSTPKSLHMSINFTSHAYDLNKISSPASKKNVDSRLFKGVAQTSKESSNQRTSTRASVSGISKRLSAVPQLNKERTNAVLDQSVSSSTSRDRVPKSPLVHISKSKLTSESKAQPPATSSSFSLRSEERASKRKEAKSKTQFQSNLFFSFNLVFFF >CDP16315 pep chromosome:AUK_PRJEB4211_v1:11:23689939:23693071:1 gene:GSCOC_T00018111001 transcript:CDP16315 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSQLTTLLKKIRLSSSPSKLPIKNPLGVEVRVRPFSSLPSSTTQKRWSYFSEDDSETETSPVYNHALKFQRPSTIIYGGYSQPANSVSLIGTIQLPLKRIQKFDRFGVYTMLNVQACPKSKNTFRIMLKMWDEMAEMSMHHVKPNDFVYIWGNLGSYMKADKNGNPRMFYKVTAKELNYVAQQREKTVHQQVEKVDVQGEDYEERLRNRLHLWQVFFANPYEWKDFRNSKAKPNHPDFKNMSTDEALWLRASDPPWIKKQLEFVDSASAGLNLGGHMSSTSFRSLLANDTGDGTGEPTGGEEIKYVA >CDP13370 pep chromosome:AUK_PRJEB4211_v1:11:33241409:33242212:-1 gene:GSCOC_T00038281001 transcript:CDP13370 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKGKVHPSPSSSSSSSSHSSHHNNKDALSVLKLLPAAILAIAAVLSLEDREVLAYMITRSIKTTNPPALVDEKSKKNSKKSANTGAHLHKTTPLFDCECFDCYTSYWFRWDSSPNRELIHQAIEAFEEHLNNGEQSKKGKNKRRDKMGRRGTQKLVDFPLPEAGNGSPEIQESSSSVPQDEAFSAESSAGVLVPESGDTGEAAAAALIVVKEEEEDVVTETAPETAIIVRGAAPASNHKGLARKVLPDVLGLLNSRLWSLWSPNV >CDP00411 pep chromosome:AUK_PRJEB4211_v1:11:29361322:29362890:1 gene:GSCOC_T00032344001 transcript:CDP00411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative SNAP25 homologous protein SNAP30 [Source:Projected from Arabidopsis thaliana (AT1G13890) UniProtKB/Swiss-Prot;Acc:Q9LMG8] MFGFMKSKPASTSTSPLHYDAAGQDKNATMTPARRTSSEPVLITPDMDDDDDDYFGRGTATRNKNRNKNSPADKKDLDNMSVQQLEDYAVDQARQTTNSVNNCLKIAEDMKQDATRTLETLHAQGEQITRTHMMAADLDRDLSKGEKLLNNLGGMFSMPWKPKKTREITGPMITQDNNSKAKKASAEQREKLGLAPIPKGKQTSRTPPPEPTNALQKVELEKAKQDDALSDLSNILGDLKGMALEMGSELDTQNKAMDHLSVEVDELNSRVKGANQRARKLLAK >CDP00650 pep chromosome:AUK_PRJEB4211_v1:11:27235037:27240517:-1 gene:GSCOC_T00032664001 transcript:CDP00650 gene_biotype:protein_coding transcript_biotype:protein_coding MATIITDQAVGLSETFSKLKKQGKVALITYITAGDPDLSTTAEALKVLDACGSDIIELGVPYSDPLADGPVIQAASTRSLARGTNFDKIISMLKDVIPRLSCPIALFSYYNPILKRGVEKFMITVRDAGIHGLVVPDVPLEETEILRKEATKYNLELVLLTTPTTPTARMKAIAKASEGFLYLVSSVGVTGARASVSNHVQSLLTDVKKATNKPVAVGFGISKPEHVKQVAGWGADGVIVGSAMVRILGEAKSPEEGLKELESFTKSLKAAL >CDP00765 pep chromosome:AUK_PRJEB4211_v1:11:26075747:26077737:1 gene:GSCOC_T00032839001 transcript:CDP00765 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEIAALEEGNASGFPYKSNEKNYFLWDEVQPEMVEILFIFEQNASYILFCTNYFHPVSQIGELAGIAIGMTILINVLVAGPVSGASMNPARSIGPAIIMNEYKGLWIYIAGPLLGTIAGAFTYNLIRFTEKPLQELTKSSTFMKSVSRART >CDP13392 pep chromosome:AUK_PRJEB4211_v1:11:33430810:33440872:1 gene:GSCOC_T00038314001 transcript:CDP13392 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIISHKLAASTAGGSPGQPILRAAVLAMMSNYSTATKQIMVREALNSALDEEMAADPTVFLMGEEVGEYEGAYKVSKGLLRKYGPQRVVDTPITEAGFTGIGVGASCHGLRPIVEFMTFNFAMQAIDHIINSAAKTNYMSAGNINVPIVFRGPNGAAAGVGAQHSQCYAAWYSSCPGLKVLAPYSSEDARGLLKTAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIEKEGKDVTITAFSRMVGYALKAAEILEKEDLEAEVINLRSIRPLDRSLINNSVRKTNRLVTVEEGFPQHGVGAEICASVVEENFNFLDAPVERISGADVPMPYAANLERLALPQVEDVVRAARRACYRSVPTA >CDP05511 pep chromosome:AUK_PRJEB4211_v1:11:22080216:22084661:-1 gene:GSCOC_T00020595001 transcript:CDP05511 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRGGGMSAEEREKFCRIAKAWHGGGVEKPEIYHQKLVDNFKRAAAYVGFNESTTSLPPSNYPQDAMLMLYGLYKQATEATELDDIPLPKSSDELEQNLYWSRIGMHSMPPTLAMMNFVATVKTVDSEYCARASSFSRESFEQYELNAFFFLHILYAIGAISDKRLFFSMIWRATAREGTKDTRVGEKAGRQRKGAARYQTRQ >CDP16249 pep chromosome:AUK_PRJEB4211_v1:11:24640638:24643178:1 gene:GSCOC_T00018011001 transcript:CDP16249 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKFGEISTIVVTSPEMAKEIFKTRLAFKVTSYNFSDIMFSPYGKYWKELRKICNMELLSSCLRVLFCFWLGGGGVEISLKVNLVNVITRIIFCFYFPQMPFINIRSFIQSKWHMLLFPINGESSYYEPEKFNPSRFLDAKIDFRGDDFEYIPFGCGRRICPGIAFSQATVGLMNGPGPDRNFEPWLGWHLHNGDLKPEELDMTLIFGVTMRPKNDLLLIQFPCTRSCMRMDKWPLQGCPFAIYPSFSWY >CDP13304 pep chromosome:AUK_PRJEB4211_v1:11:32658653:32662260:1 gene:GSCOC_T00038195001 transcript:CDP13304 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHNQPQNDARTAEEKAIDEWLPVTSERKATWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGVTIMILSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQVVVEVSTCIVYMVTGGKSLKKFHDIVCPPPKCQDIRLTFFIMIFASVHFVISHLPNFHSLSLISLSAAVMSLSYSTIAWVASVTKGQEADVNYGPRETSTTAITLSSFNALGDVAFAFAGHNVVLEIQATIPSTPDKPSKKPMWRGVILAYLVVALCYFPVAFSGYYSFGNKVDDNILVTLQKPSWLIATANMFVVVHVIGSYQVYAMPVFDMLETFLVKKLRFKPSTVLRFTTRTIYVAVTMFIAIAVPFFGGLMGFFGGLALAPTTYYLPCIMWLVLYKPKRFGLSWCANWVCIVVGIVLMILAPIGGLRSIILSAKNYKMFS >CDP00711 pep chromosome:AUK_PRJEB4211_v1:11:26619373:26623923:1 gene:GSCOC_T00032765001 transcript:CDP00711 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLLIREGEVKGERLTWGVLGQEVKRLCCIAGPMVAVTLSQFLLEVISLMMVGRLGEHSLSSSAIAISFCSVTGFSVFLGMAGALETLCGQAYGAQQYQKLGTQTYTAIFCLLIVCVPISIIWIYLGRILTLVGLDPQISYEAGTFATWLIPALFGYATLQPLVRFYQMQSLTFPMFISSCITIGFHILLSWMLVYKSGLQNHGAALAMGVSMWLNVIILALYMTYSSSCAITRGPISMEVFRGVKEFFRFAIPSAFMACLEWWSYELLILSSGLLPNPQLETSVLCVCLSTIETLSAIPYGLAAAVSTRVSNELGAGNSEDARVSVIGGILLAFTKTILVNSALFASRDIFGYVFSSDKEVVDYVSVMAPLVCLSVVMDSFQGTLSGAATGCGWQHIGAYVNLASFYVVGTPIAFILGFMVRLRGKGLWIGILCGATVQTLLLSIVTTCTNWEKQVFKLSCFSSFRRAIPFKV >CDP00753 pep chromosome:AUK_PRJEB4211_v1:11:26215290:26218864:-1 gene:GSCOC_T00032823001 transcript:CDP00753 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDATTAVAGDRPLQAPLRLVHDVVRISQAGFSGSFQKDCTNLARRVSLLAHLLEEIKEFKGYDDNGHAGSSSSSSNSSLFDLSLALEAAKRLLLAANTFDPEISSAELAKKFSFQFQCVTWKLEKALASIPYDHFDISEEVQEQVELVRSQLRRATDRYGGPLNSNMLSRALSQPLNRDVDPFHLRNRLIGSLHIANIGNIDHVREKVGSVANIKDSESDDGSVQIVQEQGRRKSLSRSSKICQPKDSETNGIDESCCSTRSPEENKKSDSSIVPDDFLCPISLELMRDPVIVATGQTYERSYIQRWIDCGNTTCPKTQQKLENLKLTPNYALRSLISQWCTEHNVEQPTALTNGRIKKSDGSFRDVSGDIAAIEALVRKLSSRSIEERRAAVVEIRSLSKRSTDNRILIADAGAIPVLVSLLACEDNQTQEHAVTSILNLSIFENNKGLIMLAGAVPSIVQILRAGSMEARENAAATLFSLSLADENKIIIGASGAIPALVDLLQNGSTRGRKDAATALFNLCIYQGNKGRAVRAGIITALLKMLSDTSSGMVDEALTILSVLAGNQEAKAAIVKASTIPVLIDLMRTGLPRNKENAAAILLCLCKRDQDNLACLSRLGAVIPLTELTKNGTERAKRKANSLLEHLRRSNHL >CDP05480 pep chromosome:AUK_PRJEB4211_v1:11:21368854:21378201:1 gene:GSCOC_T00020547001 transcript:CDP05480 gene_biotype:protein_coding transcript_biotype:protein_coding MKESPNLIFHVLFLLLLISPSVFSWKKDEFRNCNQTPFCKRARSRKPGACNLIATEVSISDDGDLIAKLITKIPENNGSQDPPVEVDSQPLLLTISVYQDGILRLKIDEDQSLNPPKKRFEEKIDNDLGFSSVVYLDGDYEGVLRHDPFEVFVRERGNGKRVLSINSNGLFDFEQLREKKEGDDWEERFRSHTDTRPYGPQSISFDVSFFGADIVSGIPEHATSLALKPTSGPGVEDGFSEPYRLFNLDVFEYLHESPFGLYGSIPFMFSHGKARGSSGFFWLNAAEMQIDVFGTGWNAGQDKIMMPVDEKRIDTLWMSEAGVVDAFFFVGPGPKDVVRQYTSVTGRPAMPQLFATAYHQCRWNYRDEEDVFGVDSKFDEHDIPYDVLWLDIEHTDGKRYFTWDKVLFPNPEEMQNKLAAKGRHMVTIVDPHIKRDDNYYIHKEASDNGYYVKDASNRDFDGWCWPGSSSYVDVVNPDIRSWWADKFSYGNYVGSTPYLYIWNDMNEPSVFNGPEVTMPRDALHFGGTEHRELHNAYGYYFHMATSNGLVKRENGKDRPFVLSRAFFPGTQRYGAVWTGDNTAEWDHLRVSVPMLLTLGLTGISFSGADVGGFFGNPEPDLLVRWYQLGAYYPFFRAHAHQDTKRREPWLFGERNTQLIREAIHTRYMFLPYFYTLFREANVTGTPVIRPLWMEFPADEETFSNDEAFMVGNGLLVQGVYTERAKHVSVYLPGDQSWYDLRNGATYKGGKRHKFEALEDSVPAFQRAGTIIPRKDRFRRSSTQMDKDPYTLVIALNSSKEAEGELYIDDGKSFNFENGAYIHRHFKFSNGKLTSSNAAPSGAGGNKFSTDCTVERIILLGLSPAPKSAFIEPSNQKVGIEMGPLTIRPGKNPSFATIRKPNVRIADNWTIKIL >CDP00325 pep chromosome:AUK_PRJEB4211_v1:11:30025155:30027852:-1 gene:GSCOC_T00032225001 transcript:CDP00325 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRGLEISDELMGTFVPIVMYWVYSGIYMMLGGMDNYRLHSRKDEDEKNLVAKKDVVKGVLLQQAVQAVVATLLFAATGNDGEAEGDQQRPLVDLAKQFFIAMVALDTWQYFMHRYMHQNKFLYKHIHSQHHRLVVPYAYGALYNHPLEGLILDTVGGALAFLLSGMSPRASIFFFSFATMKTVDDHCGLWLPGNLFHIFFRNNSAYHDIHHQLHGTKYNFSQPFFVTWDRILGTYMPYTLEKRVDGGFEARPTKDYKED >CDP15254 pep chromosome:AUK_PRJEB4211_v1:11:18564700:18565273:-1 gene:GSCOC_T00042904001 transcript:CDP15254 gene_biotype:protein_coding transcript_biotype:protein_coding MARNFSVLAEEKRCMKDHNRRLMAEKFEVKRKLYKALVRDPELPNELKEKHRYKLAKLPRNSSFTRIRNRCILTGRPRAVYQLFRMSRICFRELASRGALMGIKKASW >CDP05484 pep chromosome:AUK_PRJEB4211_v1:11:21442579:21449413:1 gene:GSCOC_T00020553001 transcript:CDP05484 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNLQNIILLYKIILICGLCLVYSKAELPRFEHPIKPDGSLSILVVGDWGRRGAYNQTEVAAQMAKIGDQMDPDFIISTGDNFYEDGLTGIEDPAFEESFSNIYLGPSLQKQWYSVLGNHDYRGDVLAQLDPILGQKDSKWLCLRSFILNTDVAEFFFIDTTPFVDKYFFRPEDDKYDWRGVLPREEYLSNQLKDLDTALKESSSNWKIVVGHHTLKSAGDHGITVELEERLLPVLQENHVDLYVNGHDHCLEHISSPDSPLQFLTSGGGSKAWRGNVRPWSPEELKLYYDGQGFMTMKLTKYDVYIQFYDIVGDILHQWSRSKGFYSV >CDP05552 pep chromosome:AUK_PRJEB4211_v1:11:22922011:22924336:1 gene:GSCOC_T00020666001 transcript:CDP05552 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLNFLDTTPSLPFLFLFITILVASQIPFGCTRQAHSSNFTCIESERQALLQFRDSLIDESNRLSSWIGEDCCSWDGISCHKTTGHVVTLDLRNLKQPETYETYNTSYHCRSCLAGDQLSPSLVNLINLRYLDLSVNNFSGLQIPTFLGLLKDLRYLNLSSAGFVGEVPHHLGNLSHLHGVGLSNARDGFLAVSMLPSLKTLDLENCRLVVPHLLHVNFTSLSSLKLGFNQFLNPTLPPWLRNLTGLQDLGLSYNNLDDKFHDTFRQMTSLVNLDLGRNHFDTSTLRSICNISSLTGLDMSDNELQGSIPSEIGQFPQLTVLKLYNNRLNDTIPSSLWQLTKLQTLYIDANALTGELSEHHFAKLKELKRLDISDNLVSLHVSSSWVPPFQLQYIWMGSVKIGPRFPNWLRTQKEIEELNMYNASISDAIPSWFGVHSNDTGGLILSGNKLEGSLNSDIVQWMTLLFFFLKKKFYATAADVDKKVVQMWYLLLNHNHFTGSIPEDLCKLRTLIYLDLSNNHLSGRIPLCLGNLRYLRILYLGSNSLYGQIPGSLGNMGELISLQLSKNRFDGKLPPSMQNLKRLRFLDLGENRIADTIPAWIGERSSHLEFLTIQSNNFHGGISNTLCQLPYLQVLNLAHNDLSGSIPHCFKNFTAMESTEPGTFPYSNISYLDPVLQNFKAGIELEYSKNLPSVKSISLSGNNLVGEIPDEIMGLVGL >CDP07920 pep chromosome:AUK_PRJEB4211_v1:11:12351934:12352748:-1 gene:GSCOC_T00025430001 transcript:CDP07920 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLLCKRFQISNVIIDIELLCFKIIGIILQDMAFLDFIDLFIIHTICADLVRFGNKVFL >CDP13187 pep chromosome:AUK_PRJEB4211_v1:11:31846618:31850872:1 gene:GSCOC_T00038048001 transcript:CDP13187 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKIREYDSKRLLKEHFKRLSGNELAIKSAQVTESTDFNELIEKEPWLSSTKLVVKPDMLFGKRGKSGLVALNLDLAGVAAFVKERLGKEVEMGGCKGPITTFIVEPFVPHNEEFYLNIVSERLGCSLSFSECGGIEIEENWDKVKTIFVPTGVAFTSETCAPLVATLPLEIKSVIEEFIKVIYSLFIDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGNIEFPMPFGRVMSATESFIHGLDEKTSASLKFTVLNPMGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATANPDGRKRALLIGGGIANFTDVAATFNGIIRALKEKESKLKAARMQLYVRRGGPNYQRGLARMRALAEEIGIPIEVYGPEATMTGICKQAIECISAAA >CDP00382 pep chromosome:AUK_PRJEB4211_v1:11:29543999:29545458:-1 gene:GSCOC_T00032308001 transcript:CDP00382 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNSQILNLWGVLSESKRIINAHSRHFLALSVIFLLPLSFSLIIYPTLQSTFLSSDSLIPQQSLFISSPYASPHPTTAQFLLPLVYTLFVLLLSLLAVATITYSTFHGFYGRPVKLMSSFKSTLYSFLPLVSSFLVSQFIVALIISLLGLFAALIYKSLQLYGLDMDFDSKYFLGFSILVAIMVGLVLVWLQVNWSLGSVVVVVESKWGFEPLQRSYYLLSGMKRVALSMLLFYGLAIGLLVWGCSTSVANAGQASGWWRWTFVLQTVVSSAFITLLMLHNVAANVVLYMYCKALHGELAFEIAEEFACQYVSLPFDCQKVPHIVSVVEV >CDP00316 pep chromosome:AUK_PRJEB4211_v1:11:30075260:30077792:-1 gene:GSCOC_T00032215001 transcript:CDP00316 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRKFRTSPTKFLSPYLYSTHCPDEKIVTSLQSCKNISEITPIHGLMVKTGLDQIPFTLSKLLACSIQDINYAGSIFKHIQKPNLYMFNTILRAYSISENQNQVFVLFNYLRAQRIVLDEFTFVPVLKSCCKKFATWTGLGVHSIVLKSGFQLFLNVKNTLLHFYCVCQRMGEARKLFDEISGGRDLIPWNILMGGYLCASQNNVVLDLFKQLCTTGQIVGKTTILSVISAAGELNCVLTGECLHGYCIKFGFCLDLNVVSALISMYGKMGCIDSGSRVFAEVSARDVVVWNCLIDGYAKGGLLDEALGLLGLMKLEEVKPNSSTLAGLLSACASSGTLALGQRIHDYVEVQEIVLDAILGTALVDMYAKCGLLSMASNVFDMIEKKDVKCWTAMILGYGVNGEPKNAITLFHRMEQEDFKPNEVTFLAVLNACSHGGLVTDGISCFRKMVQHYGLTPRIEHYGCIIDLLGRAGLLEDAHELIKSLPIEGDATAWRALLAACRVHGNVELGKLVKGKLETLYDEHPADSLVLTSTYAIAGRIPDSKDMLENKGNPCSQTAKKEAGSSIIQLSNKEWELEV >CDP05455 pep chromosome:AUK_PRJEB4211_v1:11:20938125:20941111:1 gene:GSCOC_T00020511001 transcript:CDP05455 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPTGNYSPRRHHIRILQEAMNSSNPREHLIRSYKRSFNGFVANLAHKEQQKMATHNDVVWVISSTTLKLQTTASWDFMGFPHNAHRNLSVEGDIIIGVIDTGIWPESKSFDDYGFGAISKKWKGGCYAGRKNFTCTKKLIGARNYGSIHSPWDLDGHGTHTASIAAGNIVQNVSFYGIAQGIARGGVPSARIAVYNVCGDSDCKSEDMLASFDDAIADGVDIITISLGPIKPTPLGKDPVAIGSSHASEKGILTYSSWLPFHGKQKGHVYGKTASHHYCDEEQARSCAYQCLDPKLVRGKIVVCNNDNGVIFATEAEALGSINLDDEAEERYSKVSILDIASGVDIIAAFSPEGSPSDHKKMDKRHVGYSILSGTSMSCPHATGAAAYVKSINPKWSSSAIKSALMITAWQMNSTNTVWGDAEFSYGAGPVDPIKATNPGLVYETLKEDYIKFFCGLNYDSPAIRKIFGDKITCSGLLPSKSKDLNYPTMAAKVGKGKPFSVEFQRTVTNVGLSNSTYKAKITKTSQCDIKMEPSSLIFNALNERQSFNATIAADGIETMVSASLEWFDGVHNVRSPIMLYATNETSVESIMY >CDP00185 pep chromosome:AUK_PRJEB4211_v1:11:31110711:31113499:-1 gene:GSCOC_T00032052001 transcript:CDP00185 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETGVSQNPVKKESWRIVLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIKHSETNEEIFGVLSFVFWTLTLVPLLKYVFIVLKADDNGEGGTFALYSLLCRHARVNALPNCQSADEELSSYKKDIISPAPTTFGARLKSTLEKHRVLQRFLLVLALIGACMVIGDGILTPAISVFSAVSGVELATAKEHHKYIEVPVACIILIGLFALQHYGTHRVGFLFAPVVITWLLCISSIGLYNIFYWNPRVYQALSPYYMYKFLKKTQRGGWMSLGGILLCITGKFVD >CDP16310 pep chromosome:AUK_PRJEB4211_v1:11:23715382:23715749:-1 gene:GSCOC_T00018102001 transcript:CDP16310 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFNNGVVRLEENPAGEPGRLEWPSRVLVHVESNEVMASYGVLESKLLSLGWERFYDDPDLLQFHRRSSPVDLICLPKDFKQFRSMHMYDIVIKNPNQFEVREI >CDP00483 pep chromosome:AUK_PRJEB4211_v1:11:28798161:28803784:1 gene:GSCOC_T00032435001 transcript:CDP00483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor BIM2 [Source:Projected from Arabidopsis thaliana (AT1G69010) UniProtKB/Swiss-Prot;Acc:Q9CAA4] MKSGKGHQEEEEEEEEDFGAKKDSTPSQNNPKGADGKSSDKANAMRSKHSVTEQRRRSKINERFQILRELIPHSDQKRDTASFLLEVIEYVQYLQEKVQKCEGSYQAWNSEPTKLMPWRNSHWRTQSFVSHPQTMKNGSGSGPLYPGRLEDNNITVSSTVQPSQQNPIESDLGREVTSKTMDQQTELTNQAMPMPMPLQAAMPVPIQNDGAFSHSQPRPAPDAQSSDCPITDDMLNHPEGLTIEGGTISISSIYSQGLLNTLTQALQTAGVDLSQATVSVQINLGKQANRGLASGISVTKDHERRSPSGDQLAGQYRDTSNGEDFSQAQKRLKR >CDP05580 pep chromosome:AUK_PRJEB4211_v1:11:23229448:23231613:-1 gene:GSCOC_T00020704001 transcript:CDP05580 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPMERHLLCNSSSLLLLFLFSSVPITSQFPFGSSKQAYHHSNVTCIESERRALLQLKHGLKDELNRLSSWIGEGCCSWEGVGCHKTTGSVLKLDLHGIISNNFSGIQLPAFLGLLKNLRYLNLSHAGFDGEIPHHLGNLSHLRYLDLGFNNLQGSIPSKIGQLINLTYLDLSFNNVQGSIPSEIGQLPKLTNLLLSFNKLNVGEIPDEIMELVQLQVLNLSQNHLTGKIPDKIGNLKQLETLDLSMNEIFGAIPESLSDLYSLNSLNLSHNKLSGPIPSGNQLQTLTDPSIYEGNSGLCHSESDWSWFYSGIGPGFAAGLSGVLGILLFKKSWRYAYFKFIESACDKIWVKTTRPRRNFG >CDP18456 pep chromosome:AUK_PRJEB4211_v1:11:1402998:1406024:1 gene:GSCOC_T00007404001 transcript:CDP18456 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVFCIAIVLIFLWSVADAFVYSPPKIFNVVNYGARADGNTDDSQAFLRAWSDACQWKGSSTVLIPGGTYMLHSITFSGPCLGEMTFFIRGTLKAPTIPALFFTDTWIGFHSVDNLTVTGSGYLDGQGASAWHYNDCFKNSQCLPLPSSLRFDFIRNSKIQYLSSINSKKVHINLFACNNIDISYVRVSAPENSPNTDGIHIGASTNIKISRVSIGTGDDCISMVSGSQNIDISEVICGPGHGISIGSLGKGSQNHNVMGISVRNATFWNTENGVRIKTWSPSWSSLASNISFQNIIMKNVGNPIIIDQKYCPHCPPSGGESVSKVQIRDVEFNDISGTSSSKVALSLQCSKLVPCQNVKLVDIDLAYKGLGVLAIASCSNVIGTSYGIQNPGGCL >CDP00673 pep chromosome:AUK_PRJEB4211_v1:11:27060409:27063184:1 gene:GSCOC_T00032696001 transcript:CDP00673 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPLSAPPIATGAPPAPPQTTTVTSYADSVESSPRSRNTDSWDEPPYGPTATTNTNTTAAHPTSAATAAAKLRLMCSYGGHIVPRPHDKSLCYVGGDTRIILVDRQTTLSDLCLRLSKTLLSGKSFSLKYQLPNEDLDSLISVTTDEDLENMVDEYDRLNSSANPASKTSRLRLFIFSCNLDSETSSSIESLLENSSKSSEWFLNALNWNTTASCKVRGFSETSSINCLLGLDDAAASVENHSAVKDVSDAQLDASNVTNNGNVGQDVHSVPDSPMLETSSSFGSTSSSPSMANLPPIKVHSEEGINQKGMVGLGIEEQFSQLNVGVGLNNVQKQEEGGFVPPAVAAPGTVLSGLPVMVGGEYPNRVISDDERSEQGVPVGFRKAPQVQQYQQQVPQLQPQPQTTQFQQSKPIGVVDLPSPDSVSSDGSVTNPLSRQKPMMHHEPMVQIQPGNGRNPCNQIDLKAGDLNGRVPMPQHLQDSGYVLQSQFDQYQQLHQQQQFVPASNQYIHHHPGAVPMYYYSIYPPQQLGHTPGLEHQYPLHFMPSRQPQAYNLPLQQPSYGEPTPSAPSSRPQTPPAAMVPPTAFNPASNATTASKPEMTAGVYRTPASAAPHMVQVPSSQTPQYAGYSQIHHPSQSIAPSSAATGNYSYEFADPTHAQIYYTQPLAPQLASAQYQTMTSAAQVKLPEASAQLPTESIKQQVRTTQL >CDP00533 pep chromosome:AUK_PRJEB4211_v1:11:28389248:28393896:-1 gene:GSCOC_T00032497001 transcript:CDP00533 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKGCQFSASLSGSCYVMRPRLHRFSVKIPFFDGPLRSRLVSLCCHNPNPDSFIVDQTVPLSKNPTHLPDDCPQASASSPSSAIDFLTLCHRLKTTKRKGWINHGIKGPESIADHMYRMALMALIASDLPGVNRERCIKIAIVHDIAEAIVGDITPSDGVPKAEKSRLEQAALNEMCEILGGGIRAEEIKELWEEYENNSSLEANLVKDFDKVEMILQALEYETEHGKVLDEFYLSTAGKIQTEIGKSWAAEIHARRNSRLKNKLN >CDP00642 pep chromosome:AUK_PRJEB4211_v1:11:27323528:27334207:1 gene:GSCOC_T00032650001 transcript:CDP00642 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSDKDSNSGGGDKAEMVEMFLKIGLEEKTAKNTIANNKVTANLLAVIQEAEVTDGCDRTVGNLLYTVATKFPANALVHRPILLKYIVSSKIKTPAQLEAAFAFLAAIAGENLKANEFEDACGVGVEVSAEDINLAVNEVFEEKKSMILEQRYRTNVGELFGHIRKKQPWADPKIVKQLVDAKLVELLGARTAADDEKPIKKKKEKPVKDKVSAEETPPLKPSDEEVNPYTIFPSPEENLKVHTEIYFSDGRVLRPCNSKEILDKHLKTTGGKVWTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGSCYLRYDDTNPEAEKKEYINHIEEIVGWMGWEPFKITYTSDYFQELYELAVELIRRGHAYVDHQTPEEIKEYREKKMNSPWRDRPIEESLRLFDEMKRGMIEEGKATLRMKQDMQSDNFNMYDLIAYRIKASFTPHPHAGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLDALGLYLPYVWEYSRLNLTNTVMSKRKLNRLVTDKWVDGWDDPRLMTLAGLRRRGVTPTAINAFVRGIGITRSDSSLIRLDRLEYHIREELNKTAARAMVLLNPLKVVITNLEAGSVMDLDAKKWPDADDASSMYKVPFSKVVYIERSDFRMKDSKDYYGLAPGKSVLLRYAFPIKCTEVILGDDKESVLEIRAEYDPSKKTKPKGVLHWVAESTPGVDPLKVEVRLFDKLFLSENPAELDDWLGDLNPQSRIVIPDAYAVSALQNAAVGDKFQFERLGYFVVDQDSTSEKIIFNRTVTLRDSYSKGGK >CDP05597 pep chromosome:AUK_PRJEB4211_v1:11:23504270:23517982:-1 gene:GSCOC_T00020733001 transcript:CDP05597 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTIHIFGFLDVVAAEVVEFLEGFTGQGTVYALEVKQPKRGGPRSYARVQFTDERSAECIMALPKERLYYGSSYLRASEDDIDWFPWPITYEHHMQQIVLNFGCQVSENRFSVLWNVANVSVKFGIGMKKMYFILQFHSLDYKLELSRENIWQIVLHRGGQAAEFLLIQLSGAPRIFKKLEESPYRYFKETPYDQWVRATDFTSSCIGQSSGICLELPRGIQLPNLGDYKESDSQFTLENGFPYSDNLVLVPILRPPEGLYLPYEILFQVCCLVQTGCLPGPSLDANFFRLVDPQRIDVKYIEHALEKLHTLNECCYEPVQWLREQYDKYDKLRRPPKSRALNLADGLVYVRRVQVTPCKVYFCGPEVIVSNRVLRHFSEYIDNFLRVSFTDEERNKMFPSDLSPRKAANENGRTEIYERILSTLKNGIVIGDKEFQFLAYSSSQLMENSVWMFASRPDLTAADIRRWMGDFSEIRNVAKYAARLGQSFGSSRETLNVGRHEIEMIPDIKVRDAYRSTVEYVFSDGIGKISAQFARQVATKYGLSYTPSAFQIRYGGFKGVVAVDPTSSKKLSLRQSMKKYESDNTKLDVLQFSKYQPCFLNRQIITLLSTLGVEDVVFEKKQREAVAQLDTILTDPVKAHETLKLMAPGEIAKVLKEMLKCGYKPDSEPFLSMMLQTFRASKLLDLRTKTRIFIPDGRSMMGCLDETRTLEYGQVFVQFSTAGRGQFYDDSISYFKHNSILEGKVAVAKNPCLHPGDIRILKAVDVPALHHMVNCIVFPQKGNSQGTLDSFNCFIPHVLTCDVCSFISIFYIQGRPKRFLFASPGLLQVKLNEFLGISIITLYLIYLPHPNECSGSDLDGDVYFVCWDRDLIPPQQHPPMDYTPAPPMQLDHEVTIEEVEEYFADYIMNDSLGIISNSHIAFADLEPQKARSNRCLELAKLHSIAVDYPKTGVPAEVPSHLRARKYPDFMEKSERQTYESQNVIGKLFREVKEKAPFTTSIEPFTREVARKSYDTDMEVDGFEDYVDKAFDYKSDYDYKLGNLMDYYGRKTEAEMLGSGMMWVSKSLDRRNDAEAVGMAVTSLMKEARSWFGGDGGHSDDAYAKASAWYHVTYHPDYWGRYSVGPNRAHYISFPWCVHDKLIQIKKGSRRRSQLIAAPRALFPTLTTAIAAAILLFLTAFYFLSH >CDP00741 pep chromosome:AUK_PRJEB4211_v1:11:26328180:26328464:-1 gene:GSCOC_T00032810001 transcript:CDP00741 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSDIKLIRTDTTLDLSQKAEKGMLFPFLSSPNYSLSITASSSSAIDVGERLPHPPGNSPIQLFYDFTVLRQNYFDFWIWLNDFIISCKILY >CDP13319 pep chromosome:AUK_PRJEB4211_v1:11:32755563:32759967:1 gene:GSCOC_T00038215001 transcript:CDP13319 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFTSTNAAGGYMAGKQVFPVEYEAESLSQRLVDAAHANDLKSASELISNPFVDVNHMGTVLLKARKTEVVLHDEDACEVSVEFEEFKTEVTALFLAAHNGNVALVRKLLSAGADVNQKMFRGYSTTAAAREGHIEILKMLISGGAAQSACEEALLEACYLGRARPAELLMASDMVRPHVAVHALVTASFRGFKDFVDTLLKCGVDCNATARVLLQSSKPLLHANFDCNSLAAAILGRQISVVRLLLQVGARTDIMVRLGAWSWDAATGEQFRVGAGLAEPYHVTWCAVEFFESSGAILRMLLQHLSPNIPHLGRTIIHHAILCGNARPVEVLLTCGADAEFPVKTKQTAHRPIHMASEHGLAGALHHLINAGCDLNSLTESGETALMISARCKQEECLKLLTAAGADFGLSNIAGQCAKSIAGSVRWAYGFERAVLDVIRDGKNARSSNAAIFSSLIFVTQANDIEALKKLLKQPEINLDEQDENGFSAVMVVAAGGNVEAFRLLVHAGADVNLANNYGETAITLAEKHQNSVAFEKVMLNCMRAKGDNSYGGSSTLHRAAHNGDLNLVQALINEGYDVNLSDCDGYTPLMLAARAGNKSTCELLISRGARCDIKNAKLETALSLARENGGGKDAEAVILDELARTLVVGGAQVKKHIKQGKGSPHGKVLKMVGATGELRWGKSRKRNVICRGAEVGASATFRWNRRKKLDADEPGVFRVKTTKNKEVHFVCEGGHEMAELWVRGIRLVTREAIFGK >CDP14401 pep chromosome:AUK_PRJEB4211_v1:11:12881126:12882388:1 gene:GSCOC_T00040785001 transcript:CDP14401 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQSSALFHYSLPYSAPKISRNGTIMAILDIPKSRTGNIPLHNLQSAGLLVKELDLARGFIGNKKFTKKSDENERADSLVMAKLYAVLEAVADRVEMHKNIGDQRDNWNSLLLTSINAITLAAATMSSIAATTAVGFGSGASLAALKLSSTLMFLAATGMLFIMNKIQPSQLAEEQRNAARLFLQLQKQIETTIAIGRPTGHDVEEAMEKVLALDKAYPLPLLGVMLEKFPATVEPAVWWPQQAKESAHKTCLKSNGWNSKLEKEMRSIVEVIRRKDKADYLRLGGKALTLNKLLAISGPLLTGLAAISSAFMGSSSHTGFLAAMLGIVGGSLASIVNTLEHGGQVGMVFEMYRSNAGFFKLMEESIESNLMERRENGELFEMKVALQLGRRVSELRDLASSPKSKGEGAEEFASKLF >CDP05434 pep chromosome:AUK_PRJEB4211_v1:11:20363059:20411384:1 gene:GSCOC_T00020478001 transcript:CDP05434 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHPTYTCNWEILLAIIFSCGWKRYLRTIGHYLLLLLETCMAGPPNVGRLNLGFQGTLMNWLDYEGLFLLSNNSAFAFGFQHSQDVNKIQLVVLHKGSSTIIWSANRNNLIQSSDFFTFARNGDAYLQRGGSTIWYTDTANKGVVAMELLDSGNLVLVGNDSSIIWQSFSHPTDTLVSNQEFTEGMKLVSNPNSDNLSYSLEIKSGDVILSANYQPPQPYWAMGMDTRRIVDTDGGDVVSATLDGNSWKFYNQDKVLLWHFVFSHNHDEDTTGVAALENDGFIAFSLLQADGNFSASSIPIPPDPCSRPAACDPYFVCEIESSCRCPSALHSCTKSSFSFCDRSQDSVELVDAGNTLRNCFLFDQIGSLQHSKNGTQYASYIKVLTNSSGGANQGGGGINKTHYVIVIMVIISALLSIFGLLYAGYQYHQKKNEELPKSPEESSEEQIFLENLSGLPVRFRYNDLQIATNNFSKKLGRGGFGSVYQGILPDGTRLAVKKLEGIGQGKKEFRAEVSIIGSIHHLHLVRLKGFCAEGNDRLLVYEYMGNGSLDRWLFRKNRGEFMLDWETRYSIALGTAKGLAYLHEDCDVKIVHCDIKPENVLLDDHFVAKVSDFGLAKLMTREESNVFTTLRGTRGYLAPEWITNRAISEKSDVYSYGLVLLEIIGGRRTFDRSEPSVKSHFPSYAFKMMEEGKLEDILDGRLKIDEKDDRVSTAIKVAFWCIQDKMFLRPSMTKVVQMLEGICPVPPPPRCSQLGSQRYAVFFRSMSNEGAGASPGPRMSNDDAHLLATSLSGPR >CDP00244 pep chromosome:AUK_PRJEB4211_v1:11:30660745:30666280:1 gene:GSCOC_T00032126001 transcript:CDP00244 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQIEQLMECKPLTEAEVKTLCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLSLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMEQNFLQFDPAPRQIEPDTTRKTPDYFL >CDP00174 pep chromosome:AUK_PRJEB4211_v1:11:31194857:31207693:1 gene:GSCOC_T00032038001 transcript:CDP00174 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKFGGFVIDLNETPLSSPRETILDDNDDVVIIERPPAPAVGLVEVGKRNGAAAAAGGGPSVVCVGCGDGFKGKIVGNTEEMKNWKCFKCLLRNGSGSTRGRGSGGGGGGGGRSVGLLDINASPPREAEVEVEGVHVGPGVDTAAALARRGGGDRSHGGKLQVIGHSSYSARPINLFSAFSNMLPPEKRYHLQKAPQIPADIGKSGTGDLVNHGGLSDTNSNRNSPGFTCEGILQGSHSTSTNYLPQSPNDIYLQSLREYVAEKKGVLGEGWRVEFEFCDKRLKTFAVYIAPKGSRFESISDVAEHLGLPSNSHLPQSENAENGLVPLQNGSHLYQRRKESSGDTKSSNSRPRSSIPKSSSLLSVNTCLDGLPLQFEDFYLITAGVIDSRPTYHNANQIWPVGYRSRWHDKVTGSLFLFEVRDGGDSGPVFMVQRYPCSTQSIPVGSTVLTRPKFSSWNGEGTAGKDDLATFGTIDDESVSIHMMLTESSPPHLDADTSSKKMGSQGLDAQKANLSPDSFSQKSGDLVSNLLGDRDSIGEFNVEGRSISYVWDMVSETFLHACHEAYKQKGTIRFGCDHEYYRGQVKNLDNPDALSKYSHFAGPVVMPYLIQRDTEFDSTCQLIAKWLEQERFGLNEEFVQEIIEQLPGVSGCLEYKPLTKRKHHSTQQTVRSGFLQAKRKSDAQSQMESDSYYINLIRPGRQPKYSALRGQFPQGKPLCSKLPAYLIGDALQTWEFFWRFFEVLELQEAFTFQELEAELINPWLDVPNLSEKSGNVIRGAGDGSSRRESEVSRVRAYTGSYRCTGIVLSKIHSSLVKVLVGELLSKVAVYVDPKFDAGEPRSRRGRKKDAEYTALFMKMKLDMMPINSLTWPEIARRFILAVLSMEGNLDSAEIACRESGKVFHCLRGDGGTLCGSLTGVAALEADAVLLAEATRQIFGSLTAKGEAMCTDAYKSDAVGASKTVEMDTGEVPAWAQVLEPVRKLPTNVGARIRRCVNEALLRNPPEWAKKILEHSISKEVYKGNASGPTKRAVISVLDDVNREKPQQKPEKKEKMKTFNNMPDLIMKQCRIVLRRAAAADEDRVFCNLLGKTLLNPNDNDDEGLLGYPTMVSRPLDFRTIDLRLAAGVYGGSHEAFADDVREVWHNIHTAYKGQSDLIDLAETLSQQFEDLYEKEVLNLIQKTMVLADIQPTSSESDNQRDEMLASVSESSLPKAPWEEGICKVCGMDKDDDNVLLCDSCDSEYHTYCLNPPLVRIPEGNWYCPSCIAGQSMSNSAPYGTQVVNRYGRRIHQRKYLHPILEMLAQLANTMELKDYWEFSVEERISLLKFLCDEALNSAIICDHIERSSARFGDLQQKLRSFNSERKLLKFKEENLVANMAKAKGHVQGGSGESELNEMASLPADDGKFKAQLTNSSKVSPFGSLIKMEDGQQAKDQSDYSSTSMLEKQYPTVNTQVSKASLAVNQLRGQPSGIDLIQSSYIKGSKCKNELATSIQQKDDQSEDNGGTNIDESQELGCGSSSVSILSTGQLMPENKLSATSSEHAFMHMPSSPVHQCSTHANDGLSQECDAQLSSLKSEITRLQDSIDTLESELLRTSVRKEFLGRDADGRLYWGFGRPSACPQILVNASLKAEQVVEPESFFHNFNSWMSYSAGTDVEELMNWLDDGDTRERELKEAMLQWQGNKSMDSSHPDNDILDGGPVISNNISSAGKARDSDFLVTKAVSSMEKCFGPCLEIWTNDMHNNLQKSRSPDEGRMYRCKCLELIWPSRNHCFSCHRTFPNSEELTEHAGEKCKTFSTLCPSSQISEQSSKHKNMLRNEKSAEKCSGSMSTSLTSLSEKYGNGSSFLDHSLEPECPFNFQEILSKFKVENSLTELVKEIGLIGSNGVVSFVPSKSPYLDDASLTLAPTTDNAIGLGDVPSVSESQQQQSDHGANTGVSANEISGYLQGSKLDKREGVGKPEFAKPMLLSQRGQSASTKERNSVLGIYKRCVIRESSLIPKVGKASEILRCLKINLLDMDAALPDASLRASRSHSNRRCAWRTFVKSAKSLYEMVQATIVLEDTIKTEYLRNDWWYWSSPSAAANISTLSALALRIYSLDSAILYEKPTLTHDPMETTLDCKSEKEALQSSGPTNNLKPSNQLMQKMPDSDSGENSKPRTRASKRRRDSGV >CDP11011 pep chromosome:AUK_PRJEB4211_v1:11:7594922:7601133:-1 gene:GSCOC_T00032974001 transcript:CDP11011 gene_biotype:protein_coding transcript_biotype:protein_coding MASFILVLLLTILCTVPVHASKQLRFNKNGEFRILQVADMHYANGKTTPCLDVLPQQVASCSDTNTTAFIRRLIFAEKPDLIVFTGDNIFGFDTTDPVKSMNAAFSPAISSNIPWAAVIGNHDQESTLSRKGVMKYVVGMKNTLSQLNPPEALGIDGFGNYNLEVHGIENSRLVNKSLLNLYFLDSGDYSKVPSIPGYDWIKPSQQLWFQQTSTKLQRAYMNEPEAQKSPAPGLVYFHIPLPEYASFDSSNFTGVKQEGISSASINSGFFATMVEAGDVKAVFTGHDHLNDFCGDLSGIHLCYAGGFGYHAYGKAGWSRRTRMVVASLEKTDEGVWGTLKSIKTWKRLDDEHLTAIDAQVLWSKMGARRKKHIGHF >CDP18972 pep chromosome:AUK_PRJEB4211_v1:11:31680816:31685360:-1 gene:GSCOC_T00001011001 transcript:CDP18972 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLPLSPTISSDIMFLCYDSNPERGKLVQDFNDLTGEGYIESMLSVEMGLKELASLKVEDAIILALAQQRRPNSSRISVSDIKSPGDPKFTEASELSPEESEDVLFKEAWLTYFWRRAKSHGIEEEIANKRVQFWISRSVHSPTSHDVVDVEQGLMELRKLDIEQRLWEASRKEIDHNQPSSLSNGRKSPMPSKKPVG >CDP18980 pep chromosome:AUK_PRJEB4211_v1:11:31647722:31650356:1 gene:GSCOC_T00001022001 transcript:CDP18980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor ASR3 [Source:Projected from Arabidopsis thaliana (AT2G33550) UniProtKB/Swiss-Prot;Acc:Q8VZ20] MALEQLSLAVAAAPPHSDSPSPSPALNGRQPSTTALDPHKDDDSAKVPRLPRWTRQEILVLIQGKRVAENRVRRGRTAGLAFGSAHVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDFKKIKEWESQIREETDSFWLMRNDLRRERKLPGFFDREVYDILDGGGRADGATPAAEEGAEELVLALAPSAAEGPEEADAETEAVFDSGRSAAADDGLFSDFEQSVQEEVVGTPDKEFRPANDTPVTAVPAPTPISEKQYQPISQGHPAQGMFRYLPTVTNLSNVFFSCSLEMPCSSSKTNFCLVFQDVYPIAVKMGEFLL >CDP13269 pep chromosome:AUK_PRJEB4211_v1:11:32441604:32443959:-1 gene:GSCOC_T00038155001 transcript:CDP13269 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSILFFLLLLLASTNTLSSPVPDPESVVQEVNEKINASRRNLAFLSCGTGNPIDDCWRCDPNWEKNRQSLADCAIGFGKHAVGGRDGEIYVVTDNGDHPVNPKPGTLRYGVIQDEPLWIIFARDMVIQLKEELIMNSFKTIDGRGANVHIAGGPCITIQYVTNIIVHGLNIHDCKQGGNAYVRDSPEHFGWRTLSDGDGVSIFGGSHVWVDHCSLSNCRDGLIDAIHGSTAITISNNYLTHHNKVMLLGHSDTYLQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRFLAPNDIFTKEVTKHEVAPESEWKSWNWRSEGDLMLNGAFFTTSGAGASSSYSKAYSLSARPSSLVASLTSGAGSLICRKGSRC >CDP00596 pep chromosome:AUK_PRJEB4211_v1:11:27775455:27782710:1 gene:GSCOC_T00032586001 transcript:CDP00596 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNFPFVPNRANFLNDPNLSVYSLLSLWNKAFESAEAGGSTSPPGKMSMVDEPLYPIAVLIDELKNDDIQLRLNSIRRLNTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYASVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRENDLVDWFIPLVKRLAAGEWFTARVSACGLFHIAYPSASEMLKTELRSIYSQLCQDDMPMVRRAAATNLGKFAATVEPPNLKADIMSMFEDLTQDDQDSVRLLAVEGCAALGKLLESQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVYSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLDMTNNPHYLYRMTILRAISLLAPVMGSEITCSKLLPVVVTASKDRVPNIKFNVAKVLQSLIHIVDQSVVEKTIRPCLVELAEDPDVDVRYYANQALQSIDSVMMSS >CDP00517 pep chromosome:AUK_PRJEB4211_v1:11:28520611:28522896:-1 gene:GSCOC_T00032478001 transcript:CDP00517 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIFSCIRSSEPMGSEKRTRIDMEGCSLDRISSLPDNVIDHIFVYLPTRDAARTSILSSKWRYIWAGHPNIVLNKQFAEDVRGNRSAIEFQQYYVKTVSKILFQHVGPILKFDLQVPDLPLDEYSVIDQWLLFLSRQGPEELILNNSDRIPYCVPSCIFSCPKLIKVHISKCICKTVPTALEGFRALRDLRLFQITFESPVQITLPKLAILCINRCWGVRWFNISCLRLKRLSFCDNDDLELSHYINCSELILARIWLLNGVVEHHRQNERISLTKLLSPWPSLEYLGLNGDFLKYMVAGSTIPEKLPTATLKCLTILVMFHFACNFDEIVCTLCLLRSAVNLRKLAILAKKIVHTDIKVADYLEKPGLMNQSLEGLQTVMMINFQGSRNELLFVKLLLANSPSIERMFLEEDKNIDPVVRLGISKELMQFSRASTKAKIIFQPELFEIYRRFYTSV >CDP13343 pep chromosome:AUK_PRJEB4211_v1:11:32980638:32984950:1 gene:GSCOC_T00038247001 transcript:CDP13343 gene_biotype:protein_coding transcript_biotype:protein_coding MACWTVFRTVLTEAWRPLPGKLTSFSFPAQKIPTTNLRCGCNVPKTRNLCIGRRTRHPNCSDNGNPSPSSADDQDQDPPQEAVLKAISEVSRAEGRVGQTTNVVIGGTVTDDSTNEWLALDKKVNSYPTVRGFTAIGTGGDDFVQAMVVAVESVIQQPIPEGQVKQKLSSRGKYVSVNIGPVQVVSSEQVQAVYNAMRRDDRMKYFL >CDP13175 pep chromosome:AUK_PRJEB4211_v1:11:31768694:31772002:-1 gene:GSCOC_T00038034001 transcript:CDP13175 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKASTYMCIYSYCFTKFLIICFSLIVHLCF >CDP13274 pep chromosome:AUK_PRJEB4211_v1:11:32474645:32480921:-1 gene:GSCOC_T00038161001 transcript:CDP13274 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRREKVSEDFTLTAEDFYTAFVDTSLDTHLAMMISSSDTVSDLKKKIVQEHFQLFPGIGEIKVLSLQVKRQGHFYHLPDSMLVFSAYEGSKRHKNLFVDASSPKEHDREQISSEPDNIKHIQLENSDELSPESGLAAKKQKTEHNENEVSGSRRLKTSSLGHQIETAVVDAGKSIPIMMEIRDKDVDGRKTSDLYWSDIAAALKTSQSEPAVEKSLNLGMEENVRDRSHSSKRKKVTQLASCTTDKQESISVTNAASEQLKDDQPVTSLGSKKRKKRRERTSLVPSDEAAIPESTPIQDIEKEKIVKGNLETGCKNVDKSCDLTIIPGEGVQPETFHRPSILSQKGSSSDIIDKVLEVSKSACSLEGNVDGKISNVDQTGELGADSKTSSMQLQAAVMEDSASPAIIGLNDMNKVSSQVHDENIHLGSWDSVAPLTDGQGQEDIKLNQDCEVMPSESCKALDEGDADRTNEASTLMPKLTATSEPVGTVTCDGTKRNKKKRAKKKAAAMQTDFVAEQTNMAGANPSDGPNLDVADHIIDKTDKDECTLRTAESDETNRVEEERGLSGNVDPLEKTSECLKPRDFAEAEVPTETAVLSSNLLRFNEAMDVGNSTGKKKKRKTKKSAAKVQGISEVGYDLNNVSGISLSVQDVNFSDQMTDCDNKSESQMPGMRSDWNTAILGDKQLSMEQENMMSLPSGSEPQHMGETDININQSTTASILSKAQDVKSGNRRKKKRTVKSATINQDDSGKELVDTLNGRSAFSDSPANNVADETKNSLSILSQNVWKDASKDESMDTCVLGAHSEGAKVIHYEARTEVDLSHDDRGDNGKNGRQVHSNSINSVDNGINDLPVENQTNEVQRLQQNQSGKPKENDCNLDGKTKKKKKKNQFSASENLSTSEIKEQSNVAEELAVSGNKTKVKDIPSSAAKTNRLAKKRTVIQSTPPVLELEKNLGTESSPNHECAAEDANPSQVPSKGRPESEVDNIKTAKCKDEGINFKHYFVTGQHQDKVSSSAKVKQKLTKPTSKQEKHNVVGENKLESSENHGLQYKSDGRNIDDNRVEESASSGENNKALFCGNKNTLKAPEHGIKVPSSHEAKEDKTLKKAIKPVVVDGAGTSTKTSKRNQQSGFSPNSIPKRNSSSKNAGHVLNSSGQKKSSLFTPRLVFRLNSSESSSNENQIVNSDASTRAPSDSSSSSGYSVGESELRPDSKRNGSVNATGEGGAVGNILDTKFSGPQQMSMDMVLRSSSRFKKAKIAASQSQAGDTGSQPVDFVPDSQAKQ >CDP13355 pep chromosome:AUK_PRJEB4211_v1:11:33090822:33101196:-1 gene:GSCOC_T00038262001 transcript:CDP13355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator 4 [Source:Projected from Arabidopsis thaliana (AT1G67310) UniProtKB/Swiss-Prot;Acc:Q9FYG2] MSQSGYNLNDLVREAQSRWLKPAEVLFILQNHENQMITNQPPQKPGSGSLFLFNKRVLRFFRKDGHSWRRKRDGRTVGEAHERLKVGNVEALNCYYAHGEQNPNFQRRSYWMLNPAYEHIVLVHYRDISEARNNAGTISQFSPISSSTFSQSPISGNTQQLGSSPLLGESYEQIHNLSSPGSVEFSSSVVIKSNGMNYSQDIERTEEVTSSSSHDISQALRRLEEQLSLNDDRLEEIGNCYTQNENSHDSEKSTQGQTPSVPGQGYEIEHQQQSLGHEGWTEMLDGCNSSEDVLAQVRHVDKFDRNVRMNNHYEHSSSACVGVLLDEWTKELVAEQDGYTWLDYGGTNAQDVSLPVAKEVQNLAYPAYSAAVKTYMTNPDNYTTLFDHNQIGISLEEDLGLTIAQKQKFTIQEISPEWAYASETTKVFIIGSFLCDPLDAAWTCMFGDIEVPVQIIQEGVICCHAPHHSPGNVTICVTSGNRESCSEVREFEYRVKPTVCSHCSQPQREASRSPEEMLLLVRFVQLLLSNPSMQKGDTSESGVDLLGKSRADEDSWGQVIEALLAGTSTLSITTDWLLEELVKDKLQNWLSSKSQDDNMPCCSLSKKEQGVIHIISGLGFEWALHPFLKSGVNVNFRDINGWTALHWAARFGREKMVAALIAAGASAGAVTDPNSKDPTGKTAASVAATWGHKGLAGYLSEVALTSHLFSLTLEESELSKGSADVEAERTLINIPKTSPTTNEDQLSLKDSLAAARNAAQAAARIQSAFRAHSFRRRQQKEFDISAASSTSRDEYGILLSDIPELSAASKFAFRNSRDYNSAALSIQKKYRGWKGRKDFLAFRQKVVKIQAHVRGYQVRKNYRVCWAVGILEKVVLRWRRRGVGLRGFRLETDAIDESEDEDILRVFRKQKVDATIDEAVSRVLSMVESPGARQQYRRILEKYRQAKAELDGAEREISSTSYDVSSMENDDIYQFV >CDP00619 pep chromosome:AUK_PRJEB4211_v1:11:27558677:27561322:-1 gene:GSCOC_T00032615001 transcript:CDP00619 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDRKLANAMGGKTARACDNCIRKRARWYCAADDAFLCQNCDASVHSANPLARRHERVRLKTSSLKPSKELSLEISVPSWHRGFTKKARTPRSGKHAKSKSDQEPARSPLHLVPEICSDEIETSHEDNEEQLLYRVPIFDPFVAELRTSENSNEAATNMGNDGEAADAVGVDESKGTVYDCGNHINQFHGPLPSEMELAEFAADVESLLGKGLDDESFDIEGLGLSDCKEADLVDNNSLGRGRVKVEDEGEAEVAMGNNQIDGEIDMSRGDHEPFELSFDYDSPMTCEEEDNKAGVERAIALKDHLKSEDCKVEDAGINTNKKILLSLDYEGVISAWADQRSPWTTGERPELDSNDCWPDCLGTCGTIHNLYGEVGMFGHPAMMDGGREARVSRYREKRRTRLFCKKIRYEVRKLNAEKRPRMKGRFVKRATFSAAAAAFPLHTK >CDP13346 pep chromosome:AUK_PRJEB4211_v1:11:33002503:33004753:1 gene:GSCOC_T00038251001 transcript:CDP13346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid transfer protein GPI-anchored 1 [Source:Projected from Arabidopsis thaliana (AT1G27950) UniProtKB/Swiss-Prot;Acc:Q9C7F7] MNARAEITAFLLLAIGCSLVAGDAIGDQCANEFPKLSTCLTFATGKQDTPTKECCTSVSDLKNKNPVCLCYIIQQIHSGSDPQIKSMGIQEARLLQLPSACKLTNASTSECPKLLHLSPSSPDAAIFANSTASTTPSTATPDTSTPTKPNAAFKNGPQLAGPALAIVVAIFFSSSFPTGLALMSSFST >CDP11643 pep chromosome:AUK_PRJEB4211_v1:11:16485356:16485808:-1 gene:GSCOC_T00034039001 transcript:CDP11643 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIGKTTLVNKVYHDPEVVYYFNIRVMCNVSQVYTKRDLLLKVLWHIIELTDNILTMTNEDLELVLDKHLKKNRYLIILDDVWSIRPWNDLKSSFPEDTNGSRSLITSRLDDMVSKLTLECNLLKLCPLSDAKSWELLQRKIYPKEDCP >CDP13237 pep chromosome:AUK_PRJEB4211_v1:11:32234075:32237054:-1 gene:GSCOC_T00038114001 transcript:CDP13237 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDSQRKIFLAALLLLLVNLLSVSARSKTPCEFPAIFNFGDSNSDTGGLSAAFGQAGPPHGESFFHGPAGRYCDGRLIIDFIAESLGLPYLSAFLDALGSNFTHGANFATAGSTIRPQNTTLQQSGFSPISLNVQFYQFNDFHRRSQIIRSQGGVFGGLMPKAEDFSRALYTFDIGQNDLTSGYFLNMTTDEVRAYVPELLDQFKTIIKGIYDQGGRSFWIHNTGPVGCLPYVMDRLLITAAQVDKTGCATPFNDVAQYFNWKLKEAVFQLRKELPKAALTYVDIYTLKYDLISHAKKHGFEHPLRACCGHGGKYNFNAHFGCGSKIKVKGKEIMIAGSCKDPSVMINWDGVHYTQAANKWVFDRIVDGSYSDPVIPLTMACHRR >CDP00687 pep chromosome:AUK_PRJEB4211_v1:11:26926823:26928669:1 gene:GSCOC_T00032720001 transcript:CDP00687 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIQRRSAKGVQTGMRNQRRLSFHLDPYSDVSAAPAIPHVSSFLCFTLGANIVPDILFFQLGFKLLRVLDIFFLHFDYFPDQILKLIHLRYLALNVTYELPASVSQLRNLQTLVIHGPWLCRESGGSPTLLLEYWSMPSLRHVHITAACHLKNPFTVQDNLPRPFASEHLQTLYTIQFSCCTKEFFSVMPHLKKLGICETKEDYSTDSLSQVLNNLVCLQELETLECSFHTQNREVRKNLGLAALPVTLKHLSLSWSYLPWEDMTFIAMLPNLEVLKLKNYAFQGPKWEPTEEGFHSLKHLLIENTDLIHWEAIIVRHFPCLQHLVLKSCKLLEEIPFGVEELGTLQRLEAHYCSEPIENSAKEIQEQIEGIDVIIRSDRNPDSA >CDP05554 pep chromosome:AUK_PRJEB4211_v1:11:22948644:22953063:1 gene:GSCOC_T00020669001 transcript:CDP05554 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLNFLDATPSLLFLFLFITVLASSQIPFGCSRQAHSSNFTCIESERQALLRFKNSLTDESNRLSSWIGKDCCSWDGISCHKTTGHVMTLDLRNTVQPALQIFDPSYHSTSCLAGQYLSPSLVNLTNLRYLDLSLNNFSGIRIPTYLGLLKDLRYFNLSDAGFLQGSIPSEIGQFPQLTVLKLSNNRLNDTIPSSLWQLTKLQALYIAENALTGELSEHHFAKLRELKRLYISHNSFSLHVSSSWVPPFQLQYIGMGSIKIGPRFPNWLRTQKEIEALDMYNASISDAIPSWFGVLSNDFRGIILSGNKLEGSLNSIISAADADKKVVQMWYLLNHNHFTGSIPEDLCKLKTLIYLDLSNNHLSGRIPLCLGNLRYLRMLHLGSNSLYGQIPGSLGNLGELISLQLSKNRFDGKLPPSMQNLKRLGFLDLGENRIADTIPAWIGERLSDLEFLTLQSNNFHGGTFPYSNNSYLDSVLRNFKAGIELEYSKNIDSVKSISLSGNNLVGEIPDEIMGLVGLQTLNLSKNHLNGRIPKNIGNLKQLETLDLSMNELSGEIPPSLSSIYTLSSLNLSYNKLSGPIPSGNQLQTLNDSSIIYEGNIGLCGKPLLTRNT >CDP00801 pep chromosome:AUK_PRJEB4211_v1:11:25691645:25696082:1 gene:GSCOC_T00032889001 transcript:CDP00801 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSTQSQSLQGLISKTSFPSLPINKPILHNTNFKISFPFPSRQSLLRVESSSTVKSNEVVVDEEMDKIRRLQNGSDVRGVALEGEKGRTVDLTPPAVEAIAESFGEWVVERLEEGKTNGSVENVRVSLGRDPRISGASLSAAVFAGLARAGCLAFDMGLATTPACFMSTLLPPFMYDASIMMTASHLPYTRNGLKFFTKNGGLTSPDVEEICYRAARKYANRLAKVSTALRNPPKRVDFMSTYAKHLRDIIKERVNHPLHYDTPLQGFQIIVNAGNGSGGFFTYDVLDRLGAETFGSLHLNPDGMFPNHIPNPEDKTAMALTRAAVLENKADLGIVFDTDVDRSGVVDSSGNPINGDKLIALMSAIVLKEHPGTTIVTDARTSMALTRFITDRGGQHCLYRVGYRNVIDKGVQLNKDGMETHLMMEITGHGALKENHFLDDGAYMVVKVIIEMVRMKLEGSGDGIGSLIKDLEGPLESAELRMNVISEPRFAKAKAIEAIETFRNYVEEGQLPGWDLDSCGECWVSDGCLVDTNDTPAAVDAHMYRAKVSDKDNAELGWVHLRQSIHNPNIAVNLQSNVPGGCQLMSRDLRDKFLLASGMDRILDISQIDKYARSGSV >CDP00308 pep chromosome:AUK_PRJEB4211_v1:11:30140291:30144695:1 gene:GSCOC_T00032205001 transcript:CDP00308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP23 [Source:Projected from Arabidopsis thaliana (AT1G26940) UniProtKB/Swiss-Prot;Acc:Q8LDR3] MGRCKRVKELIPLVSCAFLLCWGFNDAFAAEPQMGSARVVFQTNYGDIEFGFYPSVAPKTVDHIFKLVRLGCYNTNHFFRVDKGFVAQVADVVGGRSAPLNEEQRVLAQKTIVGEFSDVKHVRGILSMGRYSDPDSAQSSFSVLLGDAPHLDGQYAIFGKLTKGDETLRKLEQLPTKKEGIFVMPTERITILSSYYYDTEMEICEQDRSLLKRRLAASAIEIERQRMKCFP >CDP16972 pep chromosome:AUK_PRJEB4211_v1:11:17720509:17720712:-1 gene:GSCOC_T00004800001 transcript:CDP16972 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVKVFCVHTEPNFSLPWQRKRQYSSSSGGSKGEGRGREGVRDRDRKKKGSGGQNSDRRRKRWWR >CDP07923 pep chromosome:AUK_PRJEB4211_v1:11:12256009:12266302:-1 gene:GSCOC_T00025436001 transcript:CDP07923 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLSPADQQSLVSSFLEIAVGQTADTARQFLQATSWKLDEAIQLFYIGNEGGAAASSAQSPQLESDLPLDDPSLRSGTRTDLEDQNIRQGDGDDVRPPLPVIRDVLYDNTMLFGASRMGGSSHEARAVVPFRNFEEELKRPGVWETENGSASTVDKSQYNLASLYRPPFALMYQGSFEKAKDAARSQNKWLLVNLQSTREFSSHMLNRDTWANEAVAQTIKSNFIFWQVYDDAEEGSKVCTYYKLDSIPVVMVIDPVTGQKMRSWRGMVQPETLLEDLLPFMDGSPTDHHVNLSHKRPRESPVIRPHRVQGETEEDEDMLRALAASMEGVKDMDKKEADDVDESPQTLLTKNPAYPPLPEEPKGDKNLLCRVGVRLPDGRRLQRNFLRSDPIQLLWSFCCSQLEEAKTRPFRLTQAIPGSKSLDYNIDLTFEASGLANSMISVTWE >CDP00239 pep chromosome:AUK_PRJEB4211_v1:11:30685194:30687565:-1 gene:GSCOC_T00032121001 transcript:CDP00239 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDQRRKRKRLLRTSAASQTSYLLVLPSHLFSVRSLLLCFTFFTFLYLLSYTAKIHSSVFRPVLVVSSLSLLSSSSDSVQHFDKLISLPFKIEDRVLLPDHILLLVKNNGTVKRNQELDCVYWRSIVSEGRNIDGLEARQSLVAKLNVLSVDENDEFRLIVRCPLPPVNYSAVVNLQRRWRNGIENLGDENGLRGISNQSVHKWERVAYTATLDGDTAVVFVKGLNLRQQRESDPRQFSCHFGLGNWEADGKFLLTTKAVTAAQEVVRCLLPRSIKRAPEKAQGIRVTIGVTTHVRSRAHEHVVFPSVAKIFSPKSIEGGVGGRGKYELCVCTMVWNQGSALREWIMYHAWLGVERWFIYDNNSDDNIEQVIGELERENYNVTRHVWPWVKTQEAGFSHCALRAKDECNWVSFMDVDEFFYFPYSTPRHQTFREVGYAGQNSLRTLVANVSSSPRIAEIRTTCHSFGPSGLSKQPPQGVMAGYTCRLQSPERHKSIIRPMALDATLLNVVHHFHLRNGFKYLNLPQSTAVINHYKYQVWDVFKAKFYRRVATYVADWQENHNEGSRDRAPGLGTEAIEPPNWPQQFCEVWDTGLRDFVLANLVDTSNGLLPWETSSLDQ >CDP00235 pep chromosome:AUK_PRJEB4211_v1:11:30725552:30733754:-1 gene:GSCOC_T00032117001 transcript:CDP00235 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MPAPGCIFSPPLLSLTTPKSSPFLPYQTLLHFRAFNFCSPKTLHTSKNLLKFGKTFYKLPKSIFPAESQVSDEEDEDEGEGQLEEDDNEYADDDEDIAADEYDGVRHEVSEEEEEEEIESFSVVAESKESKHEEFKWQRIARICNEVKVFGDEILDVDELASIYSFRIDKFQRSAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVAKERRLFYTTPLKALSNQKFREFREAFGDSNIGLITGDSAINKDAQVVIMTTEILRNMLYQSVGVVSSESGLLHVDVIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWINQIHGKTELVTSSKRPVPLTWHFSTKTALLPLLNEKGTGMNRRLSLNRMEPDSSGTDFSKDERPRRRNSRKHENDVTTLSKNGVNTTRRSQVPQVVDTLWQLKGWDMLPAIWFIFSRKGCDAAVQYLEDCKLLDECEISEVELALKKFRVQYPDAVRESSVKGLLRGAAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISCLSKRGESGHIQLSSNDLMQMAGRAGRRGIDDRGHAVLVQTPYEGPEEGFKLLFSGLKPLVSQFTASYGMVLNLLAGTKVRSRMSESDDIKVLQAGRTLEEARKLVEQSFGNYVGSNVMLAAKEELARIQNDIEMLTAEITDEAIDRKSQKLLSQSAYKEIATLQEELRAEKRRRTDLRRKMELERLFSLKPLLKELEDGHLPFMCLQYNDTDGVQHLLPAVYLGQLDSLNASKLKKMASVFNLFFVSSSDSFALSLDRQIYQSGYTGCKHEVALSYHVALGSDNSWYLFTEKWIRTVYRTGFPNVPLAQGDALPRVIMSELLDKGDMQWQKLVESELGGLWCMEGSLETWSWSLNVPVSSSLSQDDEVLKLSQAYYDAVQSYKDQRNRVSRLKKKIARSEGFKEYKKITDFAKFTEEKIRRLMARSKRLTNRIKQIEPSGWKEFLQVSNVIHEARALDINTHVIFPLGETAAAIRGENELWLAMVLRNKILLDLKPAQFAAVCGSLVSEGIKIRPWKNNSYIYEASSTVTNVIEFLGDQRSSLLELQEKHGVMIPCCLDSQFSGMVEAWASGLTWREIMMDCAMDEGDLARLLRRTIDLLAQIPKLPDIDPLLQSNAKGASDIMDRPPISELAG >CDP00454 pep chromosome:AUK_PRJEB4211_v1:11:29045797:29048046:1 gene:GSCOC_T00032396001 transcript:CDP00454 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATE1 [Source:Projected from Arabidopsis thaliana (AT1G13690) UniProtKB/TrEMBL;Acc:A0A178WKP3] MNQAVQKNTLYVGGLAEEVNESILHSAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELYGRVLTVNYALPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEHRAAMQAAEELHRQKMADEREGEKEEETDIVNDPMRKAEEEALMQNS >CDP11626 pep chromosome:AUK_PRJEB4211_v1:11:15973198:15975931:-1 gene:GSCOC_T00034001001 transcript:CDP11626 gene_biotype:protein_coding transcript_biotype:protein_coding MTTESKNLADWQASILVGKTKKLESKIGRKNKMGAIKETFFISHGSPMISLDDSFPARHFLLAFKERVFSQRPKGILIISAHWETSEPAVNLIPGRQDTIHDFISNFPRALYQIQYPAPSAPELAKKVKELLTASGFDQVEEDKKRGLDHGAWVPLMLMYPDADIPVCQLSVQPSRDGTYHYRMGRALAPLKDEGYPIIGSGAATHNTSLPESTSIDPCVLQFDTWLKEAILAGRVFLCIKYTTRDFLYEDINQYEEKAPYAKEAHPWPEHFYPLHVAMGAAGEKWKAELIHHSWSSLTSLSYASYKFVATDYRTSSRSMFLYMCYSCIIHTQKHCTKKLLTISKCHVCRHAVYYVKLGCVKVICAFPSSFTFLYLSN >CDP00796 pep chromosome:AUK_PRJEB4211_v1:11:25789813:25791712:-1 gene:GSCOC_T00032884001 transcript:CDP00796 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRKLELETEIKSSPERVFDVCQNKTSRVPKISPDKLQSIQVLEGPKVMETVVGSVRFWSCVMGGPVIAKDKIDATDMENKTIIIEFIEVKSQSIQEFQSNTWRKSPT >CDP00451 pep chromosome:AUK_PRJEB4211_v1:11:29054938:29060204:-1 gene:GSCOC_T00032393001 transcript:CDP00451 gene_biotype:protein_coding transcript_biotype:protein_coding MALCGAKKDGRELKIYENTDELSTDLADYIAELSENSVKERGVFAIALSGGSLISLICKLCEAPYNKTVDWAKWYIFWADERVVAKSHADSNYKLAKDGILSKVPVIPSHVHSINDTVSAEKAAEDYEFVIRQLVRTRVINVSDICDCPKFDLILLGMGPDGHVASLFPGHSVLDEKELWVTFITDSPKPPPERITFTLPVINSASNVAVVVTGGSKADAVHLVVDDVEPDHPSLPAKLVQPTKGNLVWFLDKAAASKLDGTKFSE >CDP11614 pep chromosome:AUK_PRJEB4211_v1:11:15697094:15700960:-1 gene:GSCOC_T00033981001 transcript:CDP11614 gene_biotype:protein_coding transcript_biotype:protein_coding MRNISSSSSTSCFDVARNHLDYLDSRYKGLFKSHIWELNMGISLLETFDLYIRNFRRKRSKECMGLEYDKEDRGDAESDSLRLSSISLRIQELVSGITHEPNFDRVRRISPDDFKCQLDRFEGNIRLIFDSDIKELNIVSLLHYYLLGDSQLVMDIIDSILENLRRLCTRNYRDDAALQSVMGTLQEKLMFLKSFICFATLQGVKGQQLVDLLVHIEVVAINAASLISTSWFQRNDEQVCNEMESEISQLIQKKIDPVDLQDRETYIHVLTASKLSPSSHTLALEKNKHLVAEFIDHLLHIIMELTESYTSFLLPVKDQLLKLYEGARFLSVLLSQQHEQFDKLNDEMKDLIGFVVCDTGIVIFSLYKSEMTEGLPKEIDLVASHLLELLKLIIPEIEQICPLQPPSSSISFPRTNELGSIDFLLETLKELENSTANSIAFPNNQIHTVREDIDFLRSLLGKIAEQRKRNEKLKALWSRIIELAYRAEIIIDSTLPGGKHQHCLDVIAGDVKLMKIEAKEIYDSIRFDYETQSIVKTTIRMPSQVTAPISNEAVVGLNDEGEIIIDGLVRGPSRLDIVAIVGMPGLGKTTLANKVYGDPLVKSHFHIRSWCCFSRAYTKHSLLVQMLCNIDRGNSDEYFRTDEDYMAERLRKLLKGNRYLIVLDDVWDILGWDLLKLSLPDDCNGSRILLTSRFQELPLQIKLDSEPHHLRPLTDNESCELLQKKLFSKEDCPPILSNVLLDAAKYCKGLPLTVVLVAGILATTEQDCWEEVVIHLTSSIFVDNEYCMKTIELSFNNLPDYLKPCLLYFGAFQEDQEIPIRRLLWIWISEGFVQKTEGKSLEDVADEYLMDLIGRSLVMAAQQRSLGGIKACRIHDLVHEFCVAKAEEESFLQILHVDNLLTFTGPCKPHRLSIHPTMTMGLTKSRLFFPNLRSLLFCGDSYTQLDKNSSKFLLSKLLRVLDFWNTPYWISSFPREVVFLIHLRYLRIGRFVGDIPSAIANLSRLETFVVEARHGNYLLPNTIWSIETLRYLVTSLSDCGFIFPMDNLEGSPDLKHLDTLCLAIPRSSQNAQKILSKLQSTRRLTITYGIQRMTYESYQALRSGGNRKEILVLNYMSRLESLKISRISGDEFEFSLNLNLKKLTLSYNYWPWGKISAIGKNLPNLVVLKLCHRSVREEEWEMEEGEFCNLRFLKLSGLYIRRWTASSDNFSCLEKLVLEFCEELEEVPPCLGESVTLKMIEVKRCSESAVNSVEQILQEQREWGNKDLKSVIIRSTQKRSQRREEFHS >CDP16308 pep chromosome:AUK_PRJEB4211_v1:11:23726332:23729782:-1 gene:GSCOC_T00018099001 transcript:CDP16308 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKIMFMASSLSQVRPLTCAAAGGLSSGRLVPQPPDLIKWVKREGGFVHQAVKVAQQLDGLGLIATAEIPKGSDLIVLPEHIPLRFEASEADSEKGSYSSLIKLAQHIPEELWAMKLGLKLLQERAKKGSFWWSYISNLPETYSVPIFFQGEDIKNLQYAPLLSQVNKRCRFLLDFDKAVKIKIENLRSEDHPFGGQDVDASALGWAMSAVSSRAFRLHGNKRPDGSHINVPMMLPLIDMCNHSFNPNAEIVQEQDTANGRMLVKAPGIQIKRDDLLLLNYGNLNNDLFLLDYGFVIPSNPYDCVELKYDSALLDAAGMAAGISSPNFSSPLPWQQQILSQLNLRGEDAQLKISLGGSELVDGRLLAALRVILSNDKEAVQKHDLTTLKSLSAEAPLGISNEVAALRTIIALCVIALGHFPTKLMEDESLLKQSVSASTALAIQFRIQKKALIIDAMRNLTRRVKSLSSKESVTF >CDP00443 pep chromosome:AUK_PRJEB4211_v1:11:29109954:29116604:-1 gene:GSCOC_T00032383001 transcript:CDP00443 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALIVSGIRIDLSNLIPEYVSPHSQPPEQVLFHIDDIKVALHRRGPDSIGSKNVFISLKQSFAVGVEQDMLLVELGEGEASHHFRTSSGYLLLESEASSWRANGFNHESFGQLHFVGATLQLRGTKPVVQPLVDLSGNILVYNGEIFGGIYISRDSNDSELLMQYLGQCCSCISHDQNSKHHSCKEGKTSVPQVLSRIKGPWALIYWQNSSKTLWFGRDAFGRRSLLVHWPTPQDSRLLLASVSPLSSEFESSVADFEESKTMGQLNFWEELPCGIYSISISCSRIDGCLVGELKKHNWTDSSVEELIHWERASVQPEAGELSMSCEKAPLEPSHKVLTALREAVMRRTVLSSIYETVSLGHGHKGYAPVAVLFSGGLDSMILSALLHQCLDPEYEIDLLNVSFDGLSAPDRISARAGLKELQKIAPSRRWKLLEIDADLMKLTSEMKHVLSLISPSKTYMDLNIGLALWLAAGGEGWIYEWSLSGQQIKYKSEARILLVGSGADEQCAGYGRFKTKFRNGSWLALHEEMKLDMQRIWKRNLGRDDRCIADNGKEARFPFLDEDVIRTLLDIPLWEIADLNQPNGIGDKKILREVAQLLGLSGAAVLPKRAIQFGTRIARESNRKNFGSNRAANQASAGSVDIYGSSNFLPKLHES >CDP00312 pep chromosome:AUK_PRJEB4211_v1:11:30091848:30092555:-1 gene:GSCOC_T00032211001 transcript:CDP00312 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFDIQTPTAFDPFAEANADNSGAGSKDYVHIRIQQRNGRKSLTTMQGLKKEFSSQLRERGRGVAGEGEGKGEEREKAKKNKNPLQSSSSGVGVRGGERRGRGRRRRERARKFSHGMSWATRSMAGGGAAWQERVRRVGREKAKEKIHGCKFSWLLTATGIGEEREREKRGKEKEGKKKFPWLLVFAGQRQRRRGRGKGEERKEERKKERKKKKKKKKVFHSKDFSYNFYNNLQ >CDP00399 pep chromosome:AUK_PRJEB4211_v1:11:29417506:29420197:1 gene:GSCOC_T00032330001 transcript:CDP00399 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNPKPNFKANNTQIKDEDLFKAAENGDVSVFESLSDGQLLKALSLRNEDGRSLLHVAVSFAQTQVVKILSAAEPSVSGVNSTDEEGWAPLHSAASSGSVEIVEILLSRGADLNFYSLMVQSSTRRTRLFGCTPLHRAASTGNSEVSELLIEEGAEVDDVDKAGQTPLMNAVICGNREAALLLIRHGANVDVEDNEGYTVLGRASDDLRPALVDAAKAMLEE >CDP00276 pep chromosome:AUK_PRJEB4211_v1:11:30393168:30397391:-1 gene:GSCOC_T00032165001 transcript:CDP00276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27070) UniProtKB/Swiss-Prot;Acc:Q9LFY0] MDFDIKEFQRRVETCEDGGSLRENEAGSRPSSSASNSSLSEESSASSNHSASSSGRPLEIGVEEDSGIDGILSRLEKERSSSFGINLGMPEYLTHAPGDNGYFGTSRDVDRMDPGENSRCTSHGPNEGRTSCADGNHQHYTKPDTWRSWSLNRAGFNNTEFEAAEISYNKNQIEDSREASNYEIIKPAADVTKALDKLKETDNTLIQTRLQNLELELASALNSLRFKSEEINSKEVLANSSRDLQTLSDAVEFQENELMSSQERLRSVRAKLAVVEGKMALAIRDAEKILEEKQRRIDSACKTLALLRTAYIVWPSSASEVLLAGSFDGWTTQRKMEKSQTGVFSVCLRLYPGRYEIKFIVDGKWRIDPLRPIVNNNGYENNLLIIT >CDP00675 pep chromosome:AUK_PRJEB4211_v1:11:27032651:27038081:-1 gene:GSCOC_T00032698001 transcript:CDP00675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G15080) UniProtKB/Swiss-Prot;Acc:Q9XI60] MTDLKYPLKDNTIPLWAVPIIAIIMPLIVIIVFYFIRKNVYDLHQGVLGLLFSVLITAVITDAIKDAVGRPRPDFFWRCFPDGKGVFHPVTGDVRCTGLKSVIKEGHKSFPSGHTSASFAGLGFLALYLSGKLRAFDRQGHIAKLCIVFLPLLLAALVGVSRVDDYWHHWQDVFAGGLLGITVASFCYLQFFPPPYDVDGWGPHAYFQMLSESRNGGQSESNAMNSLTVRQAESGTVYSRPDQAVETSEVITQVTSSILDDLERGRRY >CDP00292 pep chromosome:AUK_PRJEB4211_v1:11:30266182:30269567:1 gene:GSCOC_T00032183001 transcript:CDP00292 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRISCIFFALFVISLSGSGGTTTATDEERKASLLNNCLVYIVYLGALPEGDYSPSARHLSMLQEVVDSSYTGKSLIRSYNRSFNGFAANLTNQEKEKLAGRDDVALIFPSKTLQLQTTASWDFLRFPRNVGRNLDIESDIIIGVIDSGIWPESKSFSDHGFGPIPKKWKGVCKGGKNFTCNNKIVGARYYVRGDDSARDLDGHGSHTASTAAGNEVENASFYGIAQGIARGGVPSARISAYKVCSDTGCTDEDILAGFDDAIADGVDIITVSLGLRSPVGLQYDSIAIGSFHGIGKGVLTVQSAGNDGSLTGRVVSTAPWLFTVAASTTNRKLVSKIAIGNGTEIFGNAINSFKLNSTKNYPLVYGKDASIQCGEAEAKRCYGGCLDRRLVEGKIVLCDDTFSSSNLAEVKRAGSVGSIFRSGEIYDVSFVFPSAASTLSPQQFDLVESYINSTKVPQAYIYPSENITDSSAPVVASFSSRGPNTIFPDILKPDISAPGVDILAAYSPAASPSEISHQDARSVEYNILSGTSMACPHVAGAAAYVKSLNPRWSPSAIKSALMTTAWQLNATNASHGDAEFSYGAGHLDPVKAADPGLVYEILRSDYIKLLCGLKLDPKALAQIFADNTSCTNVVPTQPKDLNYPAMTAKVENGERFTATFSRTVTNVGLPNSTYRATITSRPSQVNISVEPNILSFTAVNEKRSFVVTVTGGRATPPLISASLVWFDGLHNVRSPIIIYT >CDP11651 pep chromosome:AUK_PRJEB4211_v1:11:16699439:16710490:1 gene:GSCOC_T00034053001 transcript:CDP11651 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDTSSSASPAPAPLPVAKKARHEMEMFGDIRVDNYYWLRDDSRSDPEVLGYLHQENAYTEFVMSGTKQLQDQIYAEIRGHIKEDDITAPVQRGPYYYYERTLEGKEYSQHCRRLAPNDGAPLSVYDTMPTGPDAPPEHIILDENLKAQEHKYYSIGHFKVSPNNKLVAYAEDTKGDEIYTVYIIDAESGAPIGKPLQRMTSYIEWAGDEAIVYITMDEILRPDKVWLHKLGTDQSADSCLYHEKDDMFSLDLEASESKKYLFVSSESKITRFVFYLDTSKPENGLTVVTSRVVGIDTSVSHRGNHFFLKRRTEECFNSELLACPVDNPSAITVLLPHRPSIKIQDIQLFSDHLAVYERENGLVKLTTYHLPTVGEPLERLQGGRTVDFADPVYYIYPEESQFCSSILRFVYSSLRTPISVYDYDMNTGVSVLKKSETVLGGFDASNYVTERQWATASDGTQVPISVVYRKNSVKLDGSDPLLLYGYGSYEVCIDPSFKASRLSLLDKGFIYAIAHVRGGGDMGRQWYENGKLLKKKNTFTDFIACAEYLIEKKYCMKEKLCINGRSAGGLLIGAVLNMRPDLFKAAVAGVPFVDIVTTMLDPTLPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVKAQNYPAILVTAGLNDPRVMYSEAAKYVAKLRDTKTDNNLLLFKCELGAGHFSKSGRFEKLQEDALIYAFFMKALDMVSAASAAQTRL >CDP13223 pep chromosome:AUK_PRJEB4211_v1:11:32114172:32118421:-1 gene:GSCOC_T00038092001 transcript:CDP13223 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNIGANDVANAMGTSVGSGALSLMQAVLIAAVLEFSGALLMGTHVTSTMQKGILVANVFDGKATLLFAGLLSSLAAAGTWLQVASYYGWPVSTTHCIVGSMVGFGLVYGGTGAVFWSSLARVTSSWVISPLMGAMVSFVVYKCIRRFVYSAPNPGQAAAAAAPIAVFVGVTGISFAAFPLSKQFPLAVLQALACGTAGAFIVYRIIQKQLGHLLVKSNSGEGEPKEEIIHTKNVGFLSDFAGPKGTQLQLVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGGVSGAEIVIPNHILAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNSVRAETVREIVTSWAVTIPAGAIFAVIYTWILTKLFACIL >CDP16303 pep chromosome:AUK_PRJEB4211_v1:11:23815083:23817554:1 gene:GSCOC_T00018089001 transcript:CDP16303 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELYTAAHLGDWVLMKRFSGNFYSQKTSTGNTVLHVLAQFCDSAEVARHILAGHCCLLMKKNVHGETALHLAARKGHLGIVRALIASAIHQYPHSGFRFPGFDGCKRMLRMANVDGNTALHEAVRNNFYEVAKLLVQEDPEFHYRPNYAMETPLYLAVEKGYHDIAVLILVTCKSSSYLGPGNRTALHAAAIRNSPEVELVLQKLPNLTKKVDKFGWNALHYAAKFNHQGVVRQLLSKYKFMAYVTANNDDSMTALHIAVTQGHVAVIQELLLHCPDCWERITYKRQNILHLAVKYEQREVLEFFLQYSWASELINQKDSKGNTPLHLYVATKNLDGNSLVNHPCVDISAFDNSNSTVLDNILHSRELTGRQVLIKEELERAGASPGYRNVATVKKNLGAPKTEEPEKLESLAGTYLIVATLIATVTFTAGFTIPGGYNDSESPNKGLAVLGNKAIFITFVISDSLAIMISVHAVLHLILLLQSNNHKFKLAMLHGGRASIFMAMILMMIAFLTGLSSVLPMLHIKILLCVLAAIYCYHIPLDLVQFLRNTRSSRYVTMFRHTEADYSWYIGPFRVWVQDLTEEDEFW >CDP16263 pep chromosome:AUK_PRJEB4211_v1:11:24445184:24445691:-1 gene:GSCOC_T00018032001 transcript:CDP16263 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKLLPLLGLLGYVIYWFYGMVEVYWLKPKRLEKILREQGFKGNPYRLLRGDQHENDKLLKETLYKPIQIGDNIIKRMMPDVYKTLQTHGKNSFMWIGRYPRVTLTDPTLVKEVLTKNVTFQKSYHDLDPLIEINKIN >CDP17999 pep chromosome:AUK_PRJEB4211_v1:11:16881808:16883385:-1 gene:GSCOC_T00001335001 transcript:CDP17999 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQSQAITMGVESSNPDFGPPPKATKNKYALGCAFLACMSSVLLGYNAGVMSGAMIYIQRDLKISDVQKEVLGGIINVYSLLGSAIAGRTCDWVGRRYTIAMAGVIFFAGAFLMAFATNYAFLMVGLFVAGIGVGYGLMIAPVYSAEISPRSIRGFITSFTKVFSNLGVLLGYVSNYFFAKLATNLGWRFMMGVGAIPSVMLFVGGLVMPESPRWLVLQGKVGMARRILEKTSESLQEAQERLADIKEAAGIPKDNHDEVVEVPKDRTGGIGLCFFQQASGIDSVVMYSPTIFGKAGIKSDSGKLLATISVGVTKTIFILVSTFYLDKFGRRILLLTSTAGLVCSLVGLGIGLTVIDQHPNEKLTGAIAFSFFCMLASVATFSMGLGPVAWVYSSEIFPMRLRALGSGLAVAMNRLISGVILMTFISLYKAITIGGAFFLFGGIAFVAFVFFFTLLPETRGRGLEEMEELFGTFCKWRSTVKELEEKKKLESEKEKKSDLA >CDP04858 pep chromosome:AUK_PRJEB4211_v1:11:4392187:4395093:-1 gene:GSCOC_T00019618001 transcript:CDP04858 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEIVFNQFTEITEDERLTLTCTEELAYGVTSVCNSFPADEITEEVMNKMGLWLFDSLEKLLKWNLDSVAHLTNHIETISKDFEFLISHLIDFKEKGAENHGLKELCTRLIHVAHEAEYAIDSLVVKSGDEWNQFLWLYHISEEIRLIKMQVRLFQGKAFGVGIQNAVQTTRHEIPRNRASGNSEDVFILNDQQKAIVNRLKRGSPDRDVISIVGMPGIGKTTLANQVYNDVEIVGYFHIRAWCYVSQVYTKRELLLEILNHIVQLADNIHAMEDEDLELLLCQSLRRNRYLILMDDVWDTGAWDDLQRSFPNDQNGSRFLITSRLSDVVSKVTLESDLFKLNPLSDDESWELIRMKIFPQQCCREELWEVGREIARNCQGLPLSVVAIAGLLKGRNMKPESWKQIARSLNPLIINDPQTRCMEIFELKFEPSYPMNYDGYRLSISTRQNHFVMSTPSSSYVRTLLFFATSDTYPRCPYDISFISRNFKLLKVLDLECINIGNLFAAGIDLLLHLIYLAVGGDIDCIPSSLANLRNLETFLVKGLKGKVILPDAIWHMARLRHVRVKDRLSFNLKDLQVGISTQLNKIPTQLNNLVSLSSVSLSPGEHAEEIIRRLPIVRKLSCSILRSRNYSMECTEFPRLERLTKLMALKILYRGKGLNVSAFYFPMSLKKLSLSNFFLRGDHISTIGGLENLEMIDGKFLRLKFLKLESVNIVKWNAYSEHLPSLQRLVLRRCENLEEVPVDFVDIETLQIIEVQGCGESVEMSIGRLKKEELDQYGTDILKVLINH >CDP05458 pep chromosome:AUK_PRJEB4211_v1:11:20980614:20981288:-1 gene:GSCOC_T00020514001 transcript:CDP05458 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLAFITNDSARKATYKKRKKGLLKKVSELSTLCGVDACAIVYSPYESEPEVWPGPVGVQLVISRFKRMPEMEQSKKMVNQEGFIKHRIAKANEQLRKQCKENREKEMTEVMYQCLTGRGIQDLMMADLHDLGWLVDQNVKKIEKRIEFVKKMAPPQQAKPPTTPPGEPSMPVDGIPRTGWFNQWMNNPGQNKGSGSGNEMTMKFHENHNFMWSSAFFP >CDP05537 pep chromosome:AUK_PRJEB4211_v1:11:22590028:22593085:-1 gene:GSCOC_T00020645001 transcript:CDP05537 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLNLPCFQVNYMIFLVLPCINQQFFSLFLDLCLVQINSDFEITKIILLYIETIHSLGVDAYRFSISWPRILPNGKLGGVNAAGIMFYNSIIDNLLLRALDHIVSNAGIQPFVTIHHWDIPQVLSHEYGGWLNPLFQDDFVHFAETCFKNFGDRVRHWVTINEPNMAADVAYERGKHPPGHCSPPFGNCSAGNSDTEPLIAMHNMLLAHAKASKLYREQFQASKILRGVIGIVVHAIMYEPFTDDEIDQEAVKRALANNPRWLERLKSRSFSTAFDPLVFGDYPPEMRRYHGNELPKFTPEERLLIRDSIDFLGLNHYATLYAKDCIRSSCGSSDSACAPGGDRAICGFVCTTAERGGVLIGEPTGLPTFSVVPRGMEEIVDYVKNRYHNKPMFITENGKNILSITCFCYSTPPQQEQVDDFQLDVKRIAFHKAYLAFLARAMRNGADVRGYFVWTLMDDFEWSFGYDVKFGFYSVDRATLNRTPRSSAKWYRNFLRNISSDSMKPRTAVAFWSKVGRAEE >CDP16865 pep chromosome:AUK_PRJEB4211_v1:11:297198:305506:-1 gene:GSCOC_T00019435001 transcript:CDP16865 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDHHDDDGTDDASATSAAAAVNPSIEQVFKNIEVPPWRKQITFRSVFTSAVLSFVFNVVVCKLNLTTGVIPSLNVAAGLLGFGFVKFYTTLVQKWGMLKQPFTRQENTVIQTCVVASSGIAFSSGTASYMLAMSSRVAAQADVGNAPINVMKLELGWIYGFLFAVSFIGLFSIVPLRKMMIMKYKLTYPSGTATAFLINSFHTPKGAKLAVKQVRALFYSFGGSFFFAFFQWFFAAGDGCGFSSFPTFGLSAFKKRFYFDFSSVYVGAGMICPYMVNISLLVGAVLSWGIMWPLIEQKKGSWYSADLKPTSLHGIQGYRVFLGIATMLGDGLFHVVYMVVVTTASFLARKRSGPKDSSTVKPDEDDESSDSQLANYDEKRRREYFLKDQIPNSGAAVGYVVLAVLSMIVVPIIFQGRLKWYHILVAYLIAPVLAFCNAYGCGLTDWSLASNYGKIAILVFSSWVGLDHGGVVAGLAACGVMMNIVSTASDLMQDFKTGYLTLASPLTMFLSQVIGTAMGCLMTPAVFWFFYKAYPLGDPDGAYPAPYGLMYRGIALLGVEGFSSLPKNCLKLSIMCFFAAIALNILTEVLKHTEKKWRIYRFIPIPMCMAIPFYLGGYFAIDMCVGSLILFVWEWNKKQQAKVFGPAVASGLICGESLWSVPAAILALAGVNAPICMKFASASAA >CDP00403 pep chromosome:AUK_PRJEB4211_v1:11:29403340:29405646:1 gene:GSCOC_T00032335001 transcript:CDP00403 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLIVLTSKARLSVERHPTLLASSPLRSLHSAVRIRKLHSFFCLSFKKAPRHETIKFISPVTRFRLDLAPLQRMDILFKQLRTKYPNLPRGSSSAGKDGTPPPQKTQSFKGERKRSQSWLRRQFSGSMSRGNESTNEEEYHTAVAAAAFAVKSLEESRTRDERRGLSIRDAALPKIKSSTEDKASVPDSRTKSIKFSGEVAMPNLDDPDRRVQISTEASEKMPEKAVVPVPPIKKKLSFADTQPEVALRSREAIKPTKKASSFDRTFSKKPEIKEPKLEPGDTTIRQSPKKPGKGDTRADVWEEDEMARINEKYEKKRVKILELEEEEKKAAKRRLERTEAELDKRRARATHHYRSELERIESIARGARSHATENQRYEESKVKEKASKFRMTGKLPAAFWCF >CDP00613 pep chromosome:AUK_PRJEB4211_v1:11:27605672:27606868:-1 gene:GSCOC_T00032607001 transcript:CDP00613 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPRRQVILNQEHHNKRPKIMAEPTRPVRKIRVFCDDPDATDTDSSDDERVIEKKPKRFLREIILPIVELKSQPGQPVAVALAPEAENSCQESNNGEKNNPKKKKGLAKPLSQPQSKPSSTKYKGVRQRKWGKWAAEIRDPFKGKRIWLGTYISPEEASRAYEAKRLEFEARAMADQNASEKCSNVNDDNNNNQSSSMAVSQPHNQNEAPACVSEDSAESLVSHTSPASVLELDCLTSSATPAMASVDGEKQDEEEKVKNVAEGTKVVEQKVPDLDLMMEDELSLAELAQGMELDFELNSLFMGEEEFGQSLGDFVIGDIEDIPICGFEDDQPSALPDFDFEFDFDACNEAFSWMDDGPALMNGASPLENQHSVPISFAA >CDP07932 pep chromosome:AUK_PRJEB4211_v1:11:11860983:11862026:-1 gene:GSCOC_T00025451001 transcript:CDP07932 gene_biotype:protein_coding transcript_biotype:protein_coding MHHIVYDITSKVSYEGAKTWVEYMMPSRWDFALPVMALAGNKVDLEDKRQVTTEDAKLFADQNGLIFMETSAKVETNIKELFFKIGIPLCLFFLLFDMF >CDP16309 pep chromosome:AUK_PRJEB4211_v1:11:23719611:23721094:1 gene:GSCOC_T00018101001 transcript:CDP16309 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFPTIAVVSILVLFLANNIQTEAQLSPTFYDATCPRALDTIRTSIRRAVSRERRMAASLIRLHFHDCFVQGCDGSVLLDQTSTIQSEKTALANNNSARGFEVIEAAKLEVEKICPGVVSCADILSVAARDASVAVGGPTWQVKLGRRDSTTASRSAADSNLPSPFANLGDLITKFQNKGLSPRDMVALSGSHTIGQAQCFVFRNRVYSNGTDIDAGFASTRRRQCPSAIGNGDSNLAPLDLVTPNSFDNNYFKNLMRKKGLLISDQVLFSGGSTDSIVAEYSRNPGTFLADFASAMVKMGDIEPLTGTSGIIRKVCSAVN >CDP00535 pep chromosome:AUK_PRJEB4211_v1:11:28377445:28378382:-1 gene:GSCOC_T00032499001 transcript:CDP00535 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLNGVAADCVVISCCCQCLILQIVVFILLKLPLKLLRKTKKYAKKLRYRKRGDKILHKRRKRNDDNCWRVHEGSFRVKMEGFSSSELPEFRCCMDEVEQVLEEFCLRGEFGFGRFWDGEVSRGSFPPCLGNQELDYEVVNYHLSQMFGSFNCRRQSLML >CDP13231 pep chromosome:AUK_PRJEB4211_v1:11:32202582:32203432:1 gene:GSCOC_T00038104001 transcript:CDP13231 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQSQPYPQVGYANTYGDEYYKNGYQHQDDYYAKHGYAQQQDYCSENAYYNSGSSMQQVKPYPNIATPHHHEVGYQGYGQQHSINGDGYGNHHKYNDYNSHGYDKHSMGKHHMTDGLHGKLHGYDHHDSHGYPAGYPNGPSNTYETVSSSYYAEGRVRHPTSCQHLNQQNWPSNAAQWIAKSLDD >CDP16880 pep chromosome:AUK_PRJEB4211_v1:11:603971:628674:-1 gene:GSCOC_T00019457001 transcript:CDP16880 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYSRCLILFGTKYFILSSINEISNVHTRLLSKIDCILSNRKSVMWDVNLANLLFTIPWVDRNFEEHKVVVELGDFTFKSNFKRGSLASDLQGHSHRHLSDYLSSSSGDFFPMGFQLDDFYDHYQLNFSNFEVLVSIPCSTEAIPIFEKLNFSANLESCIIPDEAILKRLDVYIHIPSILFHFSPSIYCSILGLIGNFNELNCKSECADLNNGNEVHNNSNGLGTSEVFWFSVSASLEFVNFKVDLENEVENGYVLLLCPWSLDVSFNLKEFLDCWMSMKGLQIVAYPKKNVETKYILCSSGGKLTTESSDQTASADGCLKLHFESYKGLESAYDKYSVSLSHVEIHCYPFIIGLLVGFFDRILKIGTSVVHQDSSVVDGNCSCSRPHFDFEKYGFSNFVEGGSSECMSIPLENFPFTTICNSGPIVAFETLVNYATPRWRKYLDLRVRKVQWNRCSLKEGSSTFYKFEILDCDLLVPVRQDGSQFLKLDIQQLLGCFIENSDFKFVLKEIPFECLVVDDKISNRNHCLNLFGRDLSLSLMLMKDDLFDSSSFDLSPGCRNVTLISSFDADVWVRIPFQLESCNVPSSYPICVMSKIIKCQLVAGGIWTVSGFRALVDIINQFSLVDKQSKLFKYDVLEFLELKRRMEDGTAALLEDSAVTSTDIRLCMRSLSIRLCSPKSESTVSELVAEVEMQLMCSASIVDGKPYSVNVSLSYLTFFSSLNSVMLVECPSSSSSLLFPRMTLSVSDQGQNKVLVSLPCIDVWMHMFDWRQIFVLLGDYQPQTSRMSTVDALPKNYHAIENLAITVQSPTLSRSLSSENPRNEAGFSAVKLEGVSITTYIPVQVNREIFSILEEPQTQNQLPFDIILGNQHVFLAFAFQSSCSEMVSSGKNVILTVKLEKVGGMLVLCKDNIPRSWSLFEVFQVNLGSEVLNHQIEDAQVNMDIYCDSLDIWLSNDTCCLFRYMLFEIPESGPSQLMFNGIIFSAQLKKVSILLTDAKWSSCGPLVEILMRNLLFNCNITQTELDGAIEGDLQANYNNIDEVLWEPFVEPWKFQLSISRKHDNSSLLDGAMMTHAHLKSTTQLNLNLTESLIEVIYRTTDMMKDVWELPEITTRSTSPRFFKSQIKENLDTRRYAPYILQNLTSLPLVFHICEGELVEYENALPSKGGYILQAGSSVPIYVDETPKKQLFHDRLVQSSERHYSKQIVEAAHCFIIIQLEGTSIPSPPISMDLVGLRYFEADFSKPNTRSGIVDGVDNSTGCKKPQGDGRTETKSGFVIPVVVDVSVQRYSKLLRVYSTVLLKNATSMPLEVRFDIPFGVSPKILDPIYPGKEFPLPLHLAEAGRMRWRPLGDTYLWSEAYNVSNLVLNESRTNLLRSFMCYPSHPSSAPFRCCISVDNRSLPAVNGLKRSFPGEDSAKTSNKSYRKQSNDLQMSKKRLMHLVILTSPLVFKNYLPVTVSVLIDNGGITRSAALSKVETSFFHVDSSNDLTITCSIERFRPSVLKFPRAETFSAMAKLSGTKFSLSETIAFDSEPLDGPLYVTMEKIMDAFSGAREICISVPFLIYNCIGFPLILSNSVNELKGNSCIVTSCYDLHEHDQTLGSKVGLSLLSSSQDLLKAPRNSHLAFCSLRNEIDKKAVDSKLYNKFSSPYGPSKLCHGCAEMPDLDASRSSLHMPKDESGTNRQLKLKPDMNSSDFEGMDCTKVNPCMYSPGQISSSGEILVRLSRCLPDTVSKEIPSSLWSSPFLLVPPTGSTSVVVPQLCRNAGYLVSVSAVAAPFPGRTKIITFQPRYVISNACSRALFYKQKGTDRVFLLEAGQHSHIQCIDIAREFLVCIRFHEPGSQWSGCFSPEHLGDTQVKMWNYASGSVNMIRAEVQSADVSIEDNKVVGSSHGNSGTNLILLSDDDTGFMPYRIDNFSMERLRVYQQRCETFETTVHSYTSQPYAWDEPCFPHRLTVEVLGERIIGIYTLDDVKDYSPVYLPATLEKPERTLLVSVHSEGAIKVLSIIDSSHHVLNDLPTSDTFKFKIKQKEAQKRESFGPFNQKILVDIPFIGISLMNSYPEELLFLCAKNSRIDLIQSVDQQKFCLHISFLQIDNQLPSTPYPVILSFDKCYKGNKDDRKKITGQIDSDRLQEPVINLSVAKWRTKNMSLVSFEHINLRVTDFHLELEQDLVLSLLRYFKTMQMRFQTRVLQQVDSTLYPSFSDPGIVKDTNAQIQALVTTSYQEEWRSSSLPPVIPIGAPWQQIHLLARKQKKIYVELLDLAPIKMTLSFSSSPWMLRSGVLALGESLIHRGFMALADVEGANIHLKELILSHQLASWESIQEILIRHYSRQSLHEMYKLFGSAGLIGNPLGFARSVSLGIKDFVSVPVQNVFQSPVGLLTGMAQGTTSLLSNTLYAISDAASQFSRAAHKGIVAFALDNQNVGQMGREKKGISTNSKGVINEFLEGLTGLLQSPVQGAEKHGLPGVVSGIALGVTGLVAKPAASILEVTGKTAQSIRNRSKIPHVGSQRFRVRLPRALSEGCALKPYSWEEAIGTAVLRNAENVVKLGDQILIMCKALRQGGKFVIVTDRSILVVSCSSLVDLGKPDFQGVPASPEWVVEADIGMDSVIHATIDEYAVHIVGSSMDTFSRASPHQQQKHSQGSRVKRWNNYQSPLPLFQTNLEFACKEDAQDLLQMLLSTIEKGKDRGWGRTYILHQSNLK >CDP00698 pep chromosome:AUK_PRJEB4211_v1:11:26781109:26781630:1 gene:GSCOC_T00032744001 transcript:CDP00698 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQNQLADISTESFPILMVALVANCVGYIRSILIGAFQAFGLSLAMFDVSNLYHVDDASCDAVGSGLTGIIMLAEQLKLNRAFSYRLAGDGAAGCGSGSGSDCVVCLNRLGEGDHVRKLACRHVFHKECFDGWLDHMNFNCPLCRMPLVADECVAATKRRVTGDVLEWFSLR >CDP00754 pep chromosome:AUK_PRJEB4211_v1:11:26209924:26213096:-1 gene:GSCOC_T00032824001 transcript:CDP00754 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGKRVAKVMKINGETIKLKTPIYAGEVVKDYPGFVLLESEAVKHFGIRAKPLEPQQELKPKGLYFLVELPKFPEENTKGPRRVRSGIQMSAKDRLESLMLARRSVSDLSHLKPASIVLDEQSQESSPNGAMRLKMRLPKAQVQKMMMESKDEAEAAAKIMEFCMANTATAAGGAASSKVHHWKNEHGIVKQGLKPREKRVGFLPFTEGEIQLAVS >CDP16965 pep chromosome:AUK_PRJEB4211_v1:11:17574363:17579820:-1 gene:GSCOC_T00004786001 transcript:CDP16965 gene_biotype:protein_coding transcript_biotype:protein_coding MISTAPLIIALRVKVTTFNTLSFTTRYSSGILINSPLPDDLSFKQWFTLNKEEIRNLLDAKTYSDANCLLPPPNEEDIKAISTFQASFLVQKTAWVQGTVKLAYGFTKYWITACVNCHKIVNADIDWIIHFLHANSKVKLNSGLAFQL >CDP18000 pep chromosome:AUK_PRJEB4211_v1:11:16895700:16897277:-1 gene:GSCOC_T00001336001 transcript:CDP18000 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHNQAITRGVGLSNPDSVPPPKPKRNKYALACALLACMSSVLLGYDTGVMSGAMIYIQRDLKINDVQKEILVGTINVYSLLGSAIAGRTCDWIGRRYTIAMAGVIFFAGAILMACATNYAFLMFGRFVAGLGVGYALMIAPVYSAEISPRSIRGFITSFTEVFINFGVLLGYVSNYFFAKLATNLGWRFMMGVGAIPSVMLFVGGLIMPESPRWLMMHGRVGEATDIKQAAGIPEDNHEEVVEVPNRQAGGRGAWREMFIHPTRAVLHITIAGIGLCFFQQASGIDSVVMYSPTIFEKAGITSDNGKLLATISVGVTKTIFILVSTFNIDKFGRRILLLTSTGGLVCSLLGLAIGLTVIDQHPTEKLTGAVAVCFFCVLSSVATFSMGMGPVAWVYSSEIFPLRLRALGSGLAAAMNRLVSGVILMTFISLYKAITIGGAFFLFAGIAFVAFIFFFTLLPETQGRGLEEMEEMFGTFFKWRSTVRELEERKKLKAEEGKKNDLA >CDP05539 pep chromosome:AUK_PRJEB4211_v1:11:22624045:22627573:-1 gene:GSCOC_T00020648001 transcript:CDP05539 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTMLSSSSFLLLILLISANPYARALQGGNGIEEELEDVKRSDFPTGFLFGVATSSYQIEGAILEDGKSLSNWDVFVHKNGNVNNGDTGDIATDHYHRYLEDIETIHSLGVDAYRFSISWSRILPNGKLGGVNAAGIMFYNSIIDNLLLRGIQPFVTIHHWDIPQVLSDEYGGWLNPLIQDDFVHFAETCFKNFGDRVRYWVTINEPNIAADFAYERGKHPPGHCSPPFGNCSAGNSDTEPLIAMHNMLLAHAKASKLYREQFQASKILRGVIGIVVHAIMYEPFTDDEHDKEAVKRALANNVAWAFDPLVFGDYPPEMRRYHGNELPKFTPEERLLIRDSIDFLGLNHYATLYAKDCIRSSCVSSNSACAPGGDRAIRGFVCTTAERGGVLIGEPTGLPTFSVVPRGMEEIVDYVKNRYHNKPMLITENGYSTPPQQEQVDDFQLDVKRIAFHKAYLAFLARAMRNGADVRGYFVWTLMDDFEWSLGYDVKFGLYSVDRATLNRTPRSSAKWYRNFLGNISSSSMKPRTAVAFWSKVGRAEE >CDP00334 pep chromosome:AUK_PRJEB4211_v1:11:29941424:29945920:1 gene:GSCOC_T00032239001 transcript:CDP00334 gene_biotype:protein_coding transcript_biotype:protein_coding MSEILAVHADRFVKPVQEINPYGIVDEKPQREMVGPSTSLAPRPEEEEVVVMMGENENEEAPLIAMAECRICQEEDSLTNLETPCACSGSLKYAHRKCVQHWCNEKGDIICEICHQPYQPGYTASRPNPEETTIDIGGGWQLSGAPLDLHDPRLLAIAEAERQLLEAEYDDYSSTNASGAAFCRSAALILMALLLLRHALTVTDGDGDDDPSAFFSLFLLRAAGFLLPCYIMVWAISILQRRRQRQEAAALAATQFAFVVQSGQSRGLHFAIASTAPLPTPATALTPQQEHV >CDP05571 pep chromosome:AUK_PRJEB4211_v1:11:23125739:23130211:1 gene:GSCOC_T00020694001 transcript:CDP05571 gene_biotype:protein_coding transcript_biotype:protein_coding MEVINPEDDFVWVHDYHLMILPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREEILRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMAQLQSVLSLRETEVKVAELIKQFQDKGRTMLLGVDDMDIFKGISLKLLAMEQLLQQHPEKQGKVVLVQIANPARGKGKDVKEVQEEAYSTVKRINEAFGQPGYEPVILIDQPLKFYERVAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNEKLDEVLGLKPSSPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALVMAEPEKRLRHEKHYKYVSTHDVGYWARSFLQDLGRTCKDHVRRRCWGIGFGLSFRVVALDPNFRKLLMEHIVSAYKRTTIRAILLDYDGTLMPQNSIDKKPSPKTIEILNTLCRDKNNTVFIVSARSRNKLEEWFSSCQKLGLAAEHGHFLRIRRDEEWETCVPTVECGWKQIAEPVMKLYTETTDGSVIEIKETAMVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNIVEVKPQGVSKGLVAKRLLSSMQERGISPDFVLCIGDDRSDEDMFEVITNSVAGTSIAPTAEVFACTVGRKPSKAKYYLDDTAEIVRLMQGLASVAEQMVPL >CDP00438 pep chromosome:AUK_PRJEB4211_v1:11:29152620:29157975:-1 gene:GSCOC_T00032377001 transcript:CDP00438 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAVVVINLFLEVLEKVSFLRFCTCFDWFQSLFWGELRVMLHLLVILSTLLTFKGVTSHRVQPLSTIAIHKDVMAIDPKASIKASPSVLGLNVGSKFNMKNHTITLEYSIPNPSIEDWIGVFSPSNFSASTCPPENVIATPPLLCTAPIKVCSDSFCDGYTSHLINQRSDFSFAVFSGGLLKPKLVALSNAISFANPNAPLYPRLAQGKEKLMPDLGWQMTVTWTSGYGLEEAEPFIEWGPQGGEQRRSLAVTLTFDRNSMCGAPARTVGWRDPGFIHTSFMKELWPNSKYVSFIAEWSIHLESDQFKASPYRGQNSVQGVVIFGDMGKYETDGSNDYNNFQPGSLNTTLQLIKDIKNIDIVFHIGDICYSSGYISQWDQFTSQIEPIASRVPYMIARGNHERDWPDSGSFYGYMDSGGECGVLAETMFYVPAENRAKFWYSTDYGMFRFCIADTEHDWREGTEQYKFIEHCLASVDRRKQPWLIFLAHRVLGYSSSFWYTYRGTFAEPMGKEDLQKLWQKYKVDIAIFGHVHNYERTCPIYQNTCTNNEKHFYKGILNGTIHVVAGGGGAGLSDFSSIVPLWSIYRDFDYGFVKLTAFDHSNLLFEYKKSRDGKVYDSFRISRGYRDILACTVDSCSSKTLTF >CDP15266 pep chromosome:AUK_PRJEB4211_v1:11:19207784:19208329:-1 gene:GSCOC_T00042929001 transcript:CDP15266 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLELAVLFLYPITPQTEEARIKKDSLIKDPSAGVYFMKQTVGNACGTIELLHAIGNISSEMNLVEGSYLDKFLKTTANMNPEEHAAFLENDREMEVAHSVAATGGDTEARDNVDTHFICFTCVNGQLYELDGRRSGPVVHDSSSSSSLLHDAAKVIRKMIEKNPDSLNFNVMAISKRV >CDP18097 pep chromosome:AUK_PRJEB4211_v1:11:14363335:14372067:1 gene:GSCOC_T00006460001 transcript:CDP18097 gene_biotype:protein_coding transcript_biotype:protein_coding MQEILGSVRRSLVFRSPTSAGDGGVGGAGEDGTIVDRINSCLRKSRVFSKPSPPRLPPQTMTAGENAAAVQSIRWRKGELIGCGAFGQVYMGMNLDSGELLAVKQVLIPANSASKEKAQVYVQELEEEVKLLKNLSHPNIVRYLGTVREEETLNILLEFVPGGSISSLLGKFGSFPESVIRMYTKQLLLGLDYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATVSGAKSMKGTPYWMAPEVIRQTGHRFSADIWSVGCTVIEMATGKTPWSQQYKEVAAFLFYIGTTKEHPPIPEFLSAEAKDFLLKCLEKEPELRPSASELLQHPFVTGEILLPSVATSTSVMKNSEPLYSCGENLGDTAGSDDVCNLGSLDFSTINCQKFSEGRHSLGQNRISDEICQIDEKDNLVVGKAHFSDIMITDESCKSFNPICEPCEDWTTNYDASPEVEKSSIKLDMKQEIDRPTGCSPSPGESKNVFSFCSAPSVDEDDDEVTESKIRAFLVEKALELKKLQSPLLAEYHNSFNPLCSPNLSDAKGDESASKFLKLPPKSRSPSRTPSTAVESATDASPGSSSRRASHIGVRTNEDHQQNLSPQTNDLNGNLADTQEPCSPNVMEIQRKWKEELDQELERKREMMRQAGMGGKTSSPKDRALNRPRERSRFASPGK >CDP04818 pep chromosome:AUK_PRJEB4211_v1:11:5251956:5252871:1 gene:GSCOC_T00019550001 transcript:CDP04818 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGPLCFVWRSFMDRQNGKYTFPLHLITCAKVTWTETNTVECLLERVVPSFLQNICVIMPWETHNVCLKGINIYHFGNVK >CDP07957 pep chromosome:AUK_PRJEB4211_v1:11:11203946:11207773:1 gene:GSCOC_T00025496001 transcript:CDP07957 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSKSSNYCADTLSFLKWFENHWKLEIAVKDKFQTLRLKMILLEAFFSPKRKWHTDDKNLQVHLWHINQVLHHATKNFILSKGKCWTLQEKLCGIISGVIKKLDVAIPHIRQVYAIVSKSRRSTCSTANELIELIHMLLEKMENLLSWKARSFLRLSKEMEELEEDLRYLRNFLRVTEIWCNKDEMLQDLLTHAEAVAYSTACILFLCTEESKMDVDIVNEMKGEVSCVVQKIKPFQPSVREIYIKALKALESSPQVIILVDETVLHFLYSLLGHMIDLSKVKASFRRSVIDQIEALHKELQIMRDYLLDPSASQYNQSNEKANNVLLHIKDLLFHAAYAIYTSYDSDITKEMAEVLNLTVADLFQEIEDIKQEARDVYDEIIAKSLRSNFPRTNELGFMEFVIQNLHELLSSSKAGSSVLQLQHQMETVLGSILSLREDVFEIKALFREQKEQRDLWLQFINIVYHTEFVIDSFTARSGYLWDWKLGLFDIMEQIHMTRREINAIKITRTTDTTVLKNLGCVSSLENSGNSGALQDPSYEVGNETKKPIGHVDLFVDAEKKIREQLTNKCKDLCILSIVGMPGIGKTTLGNSIYENRFVRLCFHARARCHVGHACQKRRLLLDILQQVCGETDQVCTRNDDDLAEKLYQSLKGKKYFIFLDDLWDLRQWNDMRASFPNDKKGSRIMFTSRFQNIALETGMNSVTYPLTPVSDSRTWELLQIKLFGKESCPPELVKVGQKIAAECKGLTLAADLIAGLLRTMASKKECWAQVANSLNTHLLEDQDGRCMQILELSYNQLPDHLKPCFLYFGAFPGNREVPTSKLMWLWISEGFVKLQNGDEGSPEDVARSYLNDLIARSLVIDSKRGSSNGVKASCVHDLMHDFALAKAKEECFFQQQICVHSRHVSSHVQTLYEPYRLCIYSEWECFKASMPFGPRLRSLVSSDFSSSCPFESFKLLRVLDLSGTIVHLTPCIFQLVHLRFLSVCTSSTRIPWEISYLQNLETLLLRSRKGIQLPDTVWKLVRLRHFRIDWHCFLPRYNQEFMEKTFKFNSLQTLSTPCLFFHEDVEKTMRGLPNLRKLSCIFLDSWDHSFNCNRFPVLDFLTQLESLHVVYGGQGVRHPCEFNFPHNLKKLKLSKFRLPWSAVSAIGKLPNLEVLKLLHASFEGKTWDMKEEEFLKLKFLKLESLDIAHWNASEDHLPCLETLVVKKCHALTEIPLCLGDIPTLQRIELQNCTRSAWISARTVKEKQKEMGNDQFEFTFKFEGSWRVPYEA >CDP18005 pep chromosome:AUK_PRJEB4211_v1:11:16998671:17001498:-1 gene:GSCOC_T00001344001 transcript:CDP18005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSAAAASSSSSTASSQFTYTTGTYFPTPFHLQQPQTYVAAAAAASILQFPAPPPAVPHVYPAPATVPTVYSLPQYQQAQQLFQRDAQTITLEALESVKAALASSEIEHKAETKKKAVPRKAAGQAWEDPTLADWPESEFHVLYSLCGCIHHFYIDLDTCPTHLVK >CDP13296 pep chromosome:AUK_PRJEB4211_v1:11:32611047:32615592:-1 gene:GSCOC_T00038187001 transcript:CDP13296 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSEQPPRAIDFYKEEDNPEAATGDMIIEVSTANNHLPPPQHRQVLGVGGGGDTTSSGGEDNTNTTHPLSSSSDLKAPKKRAETWVQEETRALISLRREIDSLFNTSKSNKHLWDQISLKMREKGFDRSPTMCTDKWRNLLKEFKKVKQNGDRNGSAKMSYYKEIEEILRERSKNSSSYKSPPPTSSNKVDSFMQFSDKGIDDTSITFETVEGDGRQPLNLERRLDHDGHPLAITATEAVAASEVSPWNWRENPGNGHQNASYEGRVISVKWGDYTKRIGIDGTPDGIKEAIKATFRLRTKRAFWLEDDNNIVRTLDRDMPLGNYTLHVDEGSLTIKFCLYEEADDLPVHTEDKTFYSEDDFRDFLSRRGWTCLREYDGYRNIDSLDDLCPGAAYRGVNLG >CDP00808 pep chromosome:AUK_PRJEB4211_v1:11:25591605:25600474:-1 gene:GSCOC_T00032901001 transcript:CDP00808 gene_biotype:protein_coding transcript_biotype:protein_coding MALIIPTQIQLKPPNPLQNQILHPRVGFVTVRTKKTNCFSSDNVNHYRFKLRIGYKDSIFRTRVSDDSGAIPFQPSSSADVDARGRSSSGSSDGYVALFVRMLGLDNDPLDREQAVVALWKYSLGGKKCIDNVMQFHGAVNLTVNLLKSDSDSACEAAAGILRTISSINVYRNTVAESGAVEEITSMLRRISLSSNVKEQGLCTLWNLSVDENIRVKIANSELLPLLIKFLEDEDVQVKEAAGGVLSNLALSNSNHKIMVEAGVIPKLANLLKSNEEGYKVIRKEARNALLEFAKDDYYRILLLDEGLVLVPLIGAAAYKSFKPALYSWPSLPDGTKLEQGSTAPSRYGASELLIGLNIEDQKLDEAKKNAIVGRTQQQFLARIGAIEMEDENKSDSKSSSSWRFTLLPWVDGVARLVLILGLDDESAIARAADSIADSSVNEHIRLSFKEAGAINHLSQLLNHPNETVRLPVIRALERLSISNDVCQIIEREGVVYPLINSLMQFETSGSSTEMILNILNRILDPDKEMKSKFYDGPVNASKKGWNATRNSQSPGYLNEMAESKSTSSVQTMYVRDFVNSAFLARIIEILKTSSPNLQKKAASILEFVIVDDACVEMVISVDVASGLVCVFQQRLSDIEADTDVQRPELLALQVEEAGQAISAASRLFTRLLDSEHFRSTTDTQHFMHLLRKILISEIPICYKDWVASCLVKLSSFSGPNLDFENPVNMEVTLYETIPRLIEQIKTSSSPELQEAAVIELNRIISEGVVDSTRAVAAQGGIFPLVRLIEEGSNRAMEAGLSILYNLSMDSENHAAIISAGAVPILRRIVLSQKPQWTRALHLLRTLPT >CDP00170 pep chromosome:AUK_PRJEB4211_v1:11:31237835:31244889:1 gene:GSCOC_T00032031001 transcript:CDP00170 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKGEDIPSHVTASAGDDRRFLKSVQDNVHGNMYLDPLSLKFIDTEQFQRQKHLPYFCMTYLVYPGAVHSRFEHSLGVYWLANKAMDKLKRYQGLELGIEQIDVQTVKLAGLLHDVGHGPFSHMFEREFLPRVVNGLKWSHEDMSLKMTDYIVDEHNIDIDPENLKKVKDMIDASERSTSTSFKEKSFLYDIVANGRNGIDVDKFDYISRDSRACGLGCNFQFQRLFENMRVIDDEICYRAKEYLTVHKLFSSRADLHRTVYMHPKVKAIELMCVDAMIKANDYLQIASFIDDPAQYWKLDDTILKTIETSSHQELKESRDLILRVRRRDLYQFCNQFAVPKDKLDHFKVATPQDIVCSQRSGGITLKEEDIIVTNVKIDLTRGRENPLERYIEEYFNDFASTEKFSIKDDRISHLLPACYQDMIVRVYAKKPELVEAVSEAFENFQMRTYGMKTQVHGTPNSKKKRQRS >CDP00603 pep chromosome:AUK_PRJEB4211_v1:11:27744628:27750564:1 gene:GSCOC_T00032594001 transcript:CDP00603 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSNEPTRRKHRRSPSDDENNDAEAPLKRRKHRHHKHHRHHRHHHHHRSKKEERVEDATAEAEIEMEENLEEERKSNENAVVDAATGGTTSAAVISGSVLGIDYDMEEGEIVDEGECGGGGSRIDGDEVKKEKERGSDVESGEIGAVHGGHSDNSHMKVDVYQEGKNHTCCLPSEEGMNEEDGKMAASSDFRIDGEDDGCFARKKYNDLGQKRTSRTEHISNGEFVHEYRKDELSVEEHSWRNDYSNEESILRETNELLAEGGQKRDFSRSPSRDRHCERVRSGSCPKSRDQSRGRSRSQSIFREGSLLNTPKTYNDYSRRKNRSDSDEEHESSRDYQPASRESFRYEERSYSRHSSRSTRHHSREMRDRDREGSRDVDRDRTRDRERERTREKEQERERAREREKEREREKERERHRDWEREKERERRRERDRGSSRDRNRDRDRDRDSQRSFRNSKHNHTDDGYGEQDRIDDYRHRRHDEASHKDRISVSDTEKIDGSKKNMAGRENDKSGRDEKEQEEYQEKIVLRLAEQEEDDLERIKEESRRRREAILEKHRSQKSQQHSEAKIENTVKEQGEQPLVMVPSVSVALESNDLRNEGQDDYVADPSFSVGKSPPPNGVSTIEKPSDTGGLGAGTPKSERSNDMFTDDIFGESPAGVRKTGKGDGLAIERSGLHDNWDDAEGYYSYRFGELLDGRYEIIAAHGKGVFSTVVRARDLKAKSGDPEEVAIKIIRNNETMLKAGMDELVILKKLAGADPENKRHCVRFVSSFKYRNHLCLVFESLHMNLREVLRKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLPYDHPMDMWSVGCCLFEIYTGKVLFPGPTNNDMLRLHMELKGAYPKRMVRKGGFKDKHFDQGLNFLATEEDPVTKKAVKRLILNVKPKDFGTLIVGCLGEDPKMLANFKDLLDKIFMLDPDKRITVSQALSHPFITGK >CDP00252 pep chromosome:AUK_PRJEB4211_v1:11:30602305:30606168:1 gene:GSCOC_T00032134001 transcript:CDP00252 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQASYNLGCDTAAYNLAEIWPFPMNNGPGPGASAATSFHNTNDFVATMSMNMNVNSGVNRDRDPMVMEQAPNPTPNSSGGGGVRRRRDDDEAVKGVSNSSNGNAMKACDSKKLKTAGAHENREAEAEGEANSGKAAEPSPKPAEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRLSPGIEGFPSKDFGQQPFDSSGMAFASQAVREYARGTSPDWLHMQIGGGFERSS >CDP11636 pep chromosome:AUK_PRJEB4211_v1:11:16319896:16325172:-1 gene:GSCOC_T00034025001 transcript:CDP11636 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQIEALYRSSSAEDFIGNKVRDALYKFLVNAMLFKAKALVLKLLDSDASLIVPMKRLIGTLHEALVFLIESTLSQPKENEEDEKQILTYIEAVAARVISLCNSFSADQTTEEAVTKMDFWLSDSLEIIQLLTPKFKELYLQIPRLRFPKTPQLGFVEFLLQKLRQLLRQKLDSVQHVIHQIESIHEDLEILMSFFRHSLKQGIGNQEHEDLRTHLIVLAYEAECVIDSIVIGGSAEWNHLPWLYHVSQEIRHMKMQVIDLHEKESCDVGNCIAPQTLWPSLPQASITNIEEEVVVLNDQQQVLINKLTRGSSRRDVVSIVGMPGIGTTLAFKVYNDPEVVCYFHIRAWCRVSQAYSRRSLLLDILRHIIELNDTILAMSNEDLDLVLYKHLKKNRYLIFMDDMWSIRAWDDFKISFPDDGNGSRILMTSRLCDVVSKVTPECNLLSLRLLSDDESWELLKLKICLQESYPEELFEVGTEIARNCKGLPLSVVAIAGILNSTSKEYESWKQIAECLDSLIVGDQQTRCMDILELSYKNLPGDLKACFLYFTALEEDKEISVSKLIRLWMAEGFIQKEASNSLEGLAKDYLMDLIGRSLIIVTKRRSMGGVKACRVHDIVRCLCLLKSKEENFLELVSDSMTYEKYRLCVHASRKHFFMSRPSGPDVRSLLFYATTDTYPRWPYDTLFISKNFKLLKVLDLECVNMGTSFANGIELLVQLHYLAVGGDIDSIPSSLANLQNLGTFLVKGLKCKVTIPDSIWTMTSLRHLHVENHATFALQDGKIGSSCELSNLGLPNLQKLSCIFSKSWDNSKKCNQFPRLEDMTHLESLKISYIGRSVSSGEFCFPLSPRKLTLSTFFLRWDHISTIGCLENLEVLKLLSITFESTQWEMQDGEFPELKFLKLHNSNIVDWNASCDHLPNLQQLVLQKCDNLREVPIDFVDITTLQVIEVQRCGDSVEELVRRLKEEEQIRYGTEHLKVLIHH >CDP16962 pep chromosome:AUK_PRJEB4211_v1:11:17404798:17407676:-1 gene:GSCOC_T00004778001 transcript:CDP16962 gene_biotype:protein_coding transcript_biotype:protein_coding MANSHQTQLLLRNPSPSQSPSHDHPTINTERMLANALGASESGDAVTIIYFHHSLSYKYRGTLRVQNILSSVHHVMSLLPEHLPLRLLRTSHELRIFLDSTDNTLLLLDFCGWTPGLLARGLSEETNGTLVQEEKQPKVDGIQSPKMSCSADKGLNQFPWLTELTLGNDGNLSEADKIAHTDGFSCTVDEFQLLKSFLPKLMKFARDFFLPPERLGFGLVSEKSLLSELDIEASAAPWLMKLYSAGCPTCSKVLTEGDDLKAILQTQESVVTEASWHSIFLFTSHM >CDP13397 pep chromosome:AUK_PRJEB4211_v1:11:33475152:33479701:1 gene:GSCOC_T00038320001 transcript:CDP13397 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRILFHLSLLFLHLLLAPRGINANFEGDALYALRRAVKDPDNVLQSWDPTLVDPCTWFHVTCDGDNRVTRLDLGNAKLSGNLVPELGRLERLQYLELYMNNLGGAIPAELGGLKRLISLDLYHNNLTGPIPPSLSNLSALRFLRLNGNRLTGRIPRQLTKLGNLKILDVSNNDLCGTIPSTGPFSKFGEESFANNPRLEGPELMGFVRYDVGSCN >CDP00636 pep chromosome:AUK_PRJEB4211_v1:11:27370250:27372115:1 gene:GSCOC_T00032644001 transcript:CDP00636 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAARGTVEVREDIRRVMLAVDEHEGSFYALEWTLKNLHDSIIKFQPVPGKSRENGVLKVLIPKKDIFNESVSALYSLFIFGLFAAPEVGREVQEDQKKTAASLLENAREICIRHGITPETITDVGDPKDAIREEAVERLNIQLLVVGSHGRGALKRAFLGSVSNYCVHNMKCPVLVVKKP >CDP00653 pep chromosome:AUK_PRJEB4211_v1:11:27214160:27216799:-1 gene:GSCOC_T00032667001 transcript:CDP00653 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEELLWKASMTPKIQEFPFKRVPKVAFMFLTRGPVSLAPLWEKFFKGHKGLYSIYVHPNPSYNGSEPEGSVFHGRRIPSKEVQWGNVNMIEAERRLLANALLDVSNQRFVLVSEACIPLFNFSIVYNYLMNSTLSHVEVYDQAGPVGRGRYSRQMQPLIKLEDWRKGSQWFEMDRDLALEVISDKTYFPAFQHYCKGSCYADEHYLPTFVNMKFGKKTSKRTLTHVDWSKGGPHPMSFYRDRVTAELLEKMRSGSNCVHNGSKNDTCHLFARKFPPQSLDRLVRFAPKLMHFNQ >CDP05410 pep chromosome:AUK_PRJEB4211_v1:11:19938324:19939743:1 gene:GSCOC_T00020448001 transcript:CDP05410 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVILLNLFTLTNVLMKYFMVELCYDGIKLSITFSGALEPRADLHYSLWGPVFPIWPKTSEASVQRWLHKYVLFMYIVPEYIFGDDTEVQLIDHLLSNCLVTLQPYHDPNY >CDP04845 pep chromosome:AUK_PRJEB4211_v1:11:4802132:4808367:-1 gene:GSCOC_T00019596001 transcript:CDP04845 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGLNEINYETSADSTTVEKLESLLDAIEDLERQESEVQSRCIVEYAKLLAEVSELEDMLQNDVGGDFVYGILDDSINESKERLNMLKKELASKLRAILLLRRQLDDIPVPAELLQYELCFSQLYTSIQKKLRQTRKYYETFNALLEIKELMLKETSLLNSISSQFQNAITSPTGRTKLVDSMKGILQGIQQKLEKVQLACESEQKACEALKEKHRIAISEQRHCYSLLKAFQVRS >CDP00320 pep chromosome:AUK_PRJEB4211_v1:11:30045092:30048490:1 gene:GSCOC_T00032220001 transcript:CDP00320 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDISAERPLFGGAISSTFPLRFQDVSNIRQVPDHQEVFVDPARDESLIFELLDFKADVADNGSATWFLQDLAAEQNAEGATVIEQSGVFQADGLQFGDMPTIISTAVGQMAISKGRQGRGAQNLVKVYLANLRLKGVATDVLITAYEPIVINPLSESAIAVGAGVAVPAAQSGCMPMNEVFQLAATSFKVNDWSLFGAAS >CDP00587 pep chromosome:AUK_PRJEB4211_v1:11:27892586:27898163:1 gene:GSCOC_T00032572001 transcript:CDP00587 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLSGCLSALIVMTIFSALVGWAAPNLIPRAWTHHITTLLFLGFGLWSLWDAFHDGEAEELAEVEAKLDADLKANGGITKDKNKIDDDLKKQKQPMLAQFFSPILLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGIIGQALCTTAAVLGGKSLAKQISEKMVALSGGVLFIVFGIQSFLSKVDS >CDP16298 pep chromosome:AUK_PRJEB4211_v1:11:23866963:23867465:1 gene:GSCOC_T00018078001 transcript:CDP16298 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSHSSVMFLSSSDKYLFVLPLYSGFQYDSLVKLFLVVQLKVRFGGTKFPLLVYLHGGGFLIKSAFSPTYHAHLNLVVAKAGVVVVSINYRLAPEHPLPIAYEDS >CDP00655 pep chromosome:AUK_PRJEB4211_v1:11:27199473:27202025:1 gene:GSCOC_T00032670001 transcript:CDP00655 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLFLGFFLISHVVPLSLSAEEDSKSADATTTCPESFNCGNLTGVGFPFFNSSGSSHCGLLELDCEAKPSPKIAVFGFSEDQWLEALAIGNSAITLHDHKLEYLLANRSCHSFFYVTPLPKYPSISHSILRNITIYRCSTSPETSQSPADYFVGYQTYDECHDPNHGFSFTIYYQTPQHHAPTPTLYLNDTILPICAAVTLPMVSSDNYLEITDIFGLFTAEFDLEWHLSEDCSNCQLEGHECRIDRTDLLDPQMESHSAHRYIHTHCYYYAVSASAGGLGILVILAYFFQRKHSFLRIWSKREKFQNVEDFLKDYGSLAPKRYHYSEVKKMTDSFKIKLGQGGYGCVYKGKLEDGSPVAVKVLKELKGSGEEFVNEVASISRTSHINVVTLLGFCFQGRKRALVYEFMPNGSLEKFIYGGKSLTNRQLGWQILYKIAVGIGRGLEYLHRGCNTRILHFDIKPHNILLDENFCPKISDFGLAKLCLQNESIVSILGARGTVGYIAPEVFCKNIGGVSHKSDVYSYGMMVFEMVGGRKNIDVGVVDHSSEIYFPHWIYARLDRGEQDLGLHGISNEEENELARKMIIVSLWCIQTDPVNRPSMTKVVEMLEGNLQALETPPKPFLSSPARASDDSSTI >CDP00767 pep chromosome:AUK_PRJEB4211_v1:11:26035919:26038801:1 gene:GSCOC_T00032841001 transcript:CDP00767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-X [Source:Projected from Arabidopsis thaliana (AT1G70920) UniProtKB/Swiss-Prot;Acc:Q8GXM7] MAILPNTSTCLELTMSIPGLPSSLSIPSPGEGGGVSMKDLDINQIPSGGEEECMEDDDESPNGGPPRKKLRLTKEQSRLLEESFRQNHTLNPKQKEVLAMQLKLKPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLSEQNRRLQKEVEELRAMKVGPPTVLSPHNCEPLPASTLTMCPRCERVTATIAVQQDRAGPTTTTATGTTTSANPTLSAKVGFVRPRHPSAAC >CDP18973 pep chromosome:AUK_PRJEB4211_v1:11:31676864:31680739:1 gene:GSCOC_T00001012001 transcript:CDP18973 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRKMHSPSGYGKPPWVFRGSALYQLHLVKAETARAFIPKEFRLVEAFGYTLGGFFLANYDDSPAGKFDELVVIAGTVWNPPTSCAWAARVLVNSDEACIHGRKDIGLPSQVAKFSKTVRAIAKTSETKTSGFLNMVGMFSLDGPKNSMDVQVSEMKGMEEMNICNINFSAPASELESSGWMGPLVKLSLPSFSGRTKYNRHLLKYSCQIECRVRAVAPAKVLGPPPPAVDVDEERSCRELRKKKRSLSVSVLLSKPILALQFNRLEMKVEAPTTVCKR >CDP07924 pep chromosome:AUK_PRJEB4211_v1:11:12212530:12213997:-1 gene:GSCOC_T00025438001 transcript:CDP07924 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGWSDQRKRTMNNFLVNSPADTVFLSSVDTTDISKTVQKLFELLDGIMKKIGKDNIVQVFTDNASNYKAARKILMEKRKRLFWTPCAAHCTDLMLEDVQKFDSLHKVIIQKAISVVTYIYSWGTVINWMKQLTNGKELIRPRVTRFATSNLTMRRLSELKGNLFTFFSSDKWKTSEDQLSHHLHAVGYYLNSQFQYSPDFRSDANIKRGLYDCIAKMVPESSERVKIDLQLDDFRHANGLFGHENVVLTRNKNSPTDWWESYDDECPELNNFAIRILNLTCNSSGISHSNDLVFVMYNLKLKERQRQK >CDP00414 pep chromosome:AUK_PRJEB4211_v1:11:29321470:29323143:1 gene:GSCOC_T00032347001 transcript:CDP00414 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQESRFVCKWCNKKYPCGKSLGGHMRSHIMAISAESEIKDEPNMKKLLSLNGGEKSFDREPKVVELGGGGHAGSGYGLRENPKKTFKAVDANFPLPQEKVCKQCGKGFQSLKALCGHMACHSDKDRGGLKDDHSWTSENQKLVMDSHSDTEAEERQLRSRSKNKRYKRIIIKSSSFSLANGSSSVSEIDEQEQEEVAMCLMMLSRDSGNWGGVNSVVESSDNNSVVLETKSSSIDMRIGRMEGLKFVHSPEDDTPQTKPRSLKKSSGLDAELVFEQENSDSGYFLEEVVKLESDASVDGFHRKCGFFKSSKPKASVGARCEETLAEIKRGFSKIKSYKAELRKEMSRENEHDSGVISKMGKYEARNKSKDSSDNLELVNESHRKARHGSSDADQSKNAYKRSKYECLNCKKTFKSYQALGGHRPCHKRSNAYLESRYETGENSLDGDDTPTDIPSTKLRESSSNRNASAKNLYHNAEKNVKSKKTKGLECPFCHRIFKNGQALGGHKRSHFIGGGSAVVERSTQTPTFKTDGPELLDLNLPAPLEDENDGQFISW >CDP00583 pep chromosome:AUK_PRJEB4211_v1:11:27922229:27926619:1 gene:GSCOC_T00032568001 transcript:CDP00583 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQFKCFTTHLTFGQCTTKPMFPFSSINFTSPKKAPLFNSPCSLKQSSPSLDRGLSAVVSEESAVESSSSGTGLFRLTYLEGNSWLWEVGGVKILVDPILVGNLDFGIPWLYDAAKKVLKNFQLDNLPQIDCLLITQSLDDHCHLRTLKPLSQKYPGLRVIATPNAKPLLDPLFSNVTYLEPGEESEIDVINSSPVKLQATAGPVLGPPWQRPENGYLVSSAQGELTLYYEPHCVYNKNFLEQERADIVITPVIKQLLPKFTLVSGQEDAVQLAKLLNARFIVPMKNGDLDAKGFLASIIRAEGTIESFKEILLKELPDAKVLEPTPGVPLDIPKP >CDP00370 pep chromosome:AUK_PRJEB4211_v1:11:29618498:29624906:1 gene:GSCOC_T00032290001 transcript:CDP00370 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g14000 [Source:Projected from Arabidopsis thaliana (AT1G14000) UniProtKB/TrEMBL;Acc:Q9XI87] MSGSEGSSDHSAAGEVPAAGTAAVGTVEKKKEKARVSRTSMILWHAHQNDAAAVRKLLEEDRTLVQAKDYDNRSPLHVAALHGWIDVAKTLIEYGADVNAQDRWKNTPLADAEGAKKHSMIELLKSYGGLSYGQNGSHFEPRPVPPPLPNKCDWEIEPSELDFKNSATIGKGSFGEILKACWRGTPVAVKRILPNLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTERKPLMLITEYLRGGDLHQYLKEKGALSPSIAVNFALDIARGMAYLHNEPNVVIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIRVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGDPPLSHYEPYDAAKYVAEGHRPMFRSKGFTPELRELVEQCWAADMNQRPSFLEILKRLEKIKDNLPSDHHWHLFTS >CDP00431 pep chromosome:AUK_PRJEB4211_v1:11:29198818:29200571:-1 gene:GSCOC_T00032369001 transcript:CDP00431 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKQIWRLIRYPSLLVSRILKSKYYPKDSILNCESPKNASWFWQSIISAREAIKGGILKKVGSGKSIRIWKDQWIPNNLNGRPTTRMPASGEEQKVEELISNFRWKRNEIYRRFNREDAENILKIPISLSRSGDMHFWTHCKNGEFSVKSCYQVLLKEDRSMERGAKGEDGSSYDDSNKQIWKTLWSLNIKHKIKLFIWRCITNTLTARETIFRRTKQGSPICSRCGDGMETIEHILFHCHQAQKVWKLAPIQWDGIQNQTGCFKKWWAALSQATSRTEGRQHIALTANLLWQLWKDRNQMEFEGKEREGLKIVQKASSEWMEYEEAWKGKNEKSTSETDGAI >CDP04864 pep chromosome:AUK_PRJEB4211_v1:11:3918565:3930165:-1 gene:GSCOC_T00019639001 transcript:CDP04864 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSACGSLLYLLADAVKSFCMLLIIRQHGINQPTRETDLPEGVLSVSLLRHQKIALAWMMERETAGVYCSGGILADDQGLGKTISMIALIQKHRSLQEDSKSEKLSTTKAEAFNLDNDEEENAGSTPEKPKIKGESDDLEELSGPSSSMTQFRNKRPAAGTLVICPASVLRQWARELDEKVSEEHKLSVLIYHGGNRTREPSELARYDVVLTTYAIVTIEVPKQPLVEEEDNDHNNGERYGLSSEFHVNKKQKKASCNKKVKKGKRGTQSDGGALASVRWFRVILDEAQTIKNHRTQIARACCTLRAKRRWCLSGTPIQNTIDELFSYFRFLRYHPYSQYKEFIANIKLLISRDSIRGYTKLQVVLRGIMLRRTKGTLLDGKPIITLPPKTTCLTKVDFSAEERAFYNKLEADSRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPQLVKGFSSDSVGMESSETLKRLSRQKIQYLLGQLEMSLAICGSCNDPPENAVVTICGHVFCFQCVSDYLTGEDTTCPESGCKQQLSADVIFSKAALQKCLSSDFDSYHANISGNDEKSAVLKDKYSSKIKAALDIIQSCCKLSLSSERNEMQLNGDASSSGNGAAYSQISRQTKAIVFSQWTSMLDLVEISLNSSGIEYRRLDGTMSLAARDRAVKEFNTNPEVTVMLMSLKAGNLGLNMVAASHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTVKDTVEDRILALQEEKRKMVASAFGEDPSGGSATRLTVDDLRFLFEGESGRTQSSANGLL >CDP16869 pep chromosome:AUK_PRJEB4211_v1:11:375534:378908:-1 gene:GSCOC_T00019441001 transcript:CDP16869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 5 [Source:Projected from Arabidopsis thaliana (AT1G48930) UniProtKB/Swiss-Prot;Acc:Q9M995] MAKLGGSQALLAMLVGILVFQAACRVSCFDYGDALDKTLLFLEAQRSGKLPPNQRVKWRGDSGLKDGFLQGVNLVGGYYDAGDHVKFGLPMAFTMTMLSWGAIEYRNEIVRLNQMGHTLAAIKWGTDYFIKAHPQPNVLWGQVGDGVSDHYCWERAEDMTTPRTAYKLDPEHPGSDLAGETAAALAAASLAFKPYNSSYSSLLLVHAKQLFSFADSFRGKYDDSIQCAKQFYTSSGYSDELLWAATWLYRATDDEYYLKYVVDNAVPMGGTGWAVREFSWDTKYAGIQILLSKVLLDGAGRAYTSTLQQYQAKADFFACACMQKNDGYNVATTPGGLVYVREWNNMQYAASAAFLLAPKSNLRISSADYFLGKNPKSMSYVVGYGQNYPIHVHHRGSSIASVFALQSVVGCVQGFESWYRRPEPNPNVIYGALVGGPNNNDAFFDDRSNYEQTEPTISGVAPLFGLFSRLQSLSGNSGYHAPVSQKPNPNTPVTTQNLLHADVPVHFFHSITQSWTIGKMTYYRHKVIIKNTSQKPITDLKLKFENLTGSLWGLNPTQEKDVYELPQWLKVLKPGSDCTVVYVQGGAQAKVSVPSYH >CDP13301 pep chromosome:AUK_PRJEB4211_v1:11:32647054:32647374:-1 gene:GSCOC_T00038192001 transcript:CDP13301 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVTAYLLALLEGNTCPSAKDIKAIIASVGADVDDEKIYVLLSQVDGKDITKLIAAGREKLASVPAGGGAGVAGAAAAPAAEDKKEEKIEEKEESDDDMGFSLFD >CDP11026 pep chromosome:AUK_PRJEB4211_v1:11:6797829:6830310:1 gene:GSCOC_T00033004001 transcript:CDP11026 gene_biotype:protein_coding transcript_biotype:protein_coding MATFIKLEDSPMFKKQVRSLEQTSEELKDRCQKLYNGCAKYMETLGEACNADVVFAESLEAFGGGQDDPVSVSIGGPIMSKFISAFRELATYKELLRTQVEHVLVDRLTHFLSVDLQDAKESRRRFDKDVTRYDQARERFASLKKSTRDEIVAELEEDLHNSKSTFERSRFNLVNALMNIEAKKKYEFLESFSAIMDAHLRYFKLGYELLSQMEPFIHQVLAYAQQSKEQANVEQDRLAKRIQEFRTQEQLDHMRASSNMETSTSSIGTSGFTVNSYKNIEAIMQATSKGEVRTIKQGYLLKRSSSLRADWKRRFFVLDSLGNLYYYREKGTKSSGSQSLNPTSSSEHGTRVFGRFRARHQRGSSLNEETLGSRTVDLHTSIIKMDAEDAELRLCFRIISPLKTYTLQAENEADRIDWMDKITGVIASLLNSHLQQLDSGKNVDRNNGSVGASSALSLLGNSENPSDDMRSNRAYSVSKILREIPGNDHCAECRAPDPEWASLNLGILMCIECSGIHRNLGVHISKVRSINLDVKVWEPTVLDLFQALGNTYCNSIWEELLLPQNDGSQGSNSFPSISKPSNKDVTHQKEKFIQAKYVEKLFLNKEATTSSNHSLATRVWEAVLDNNLQEVYRLIVVSNANIINSTYDEVAGANLYHNVQRGDPEQGSSNFEEKNYHPVDCLKSSSEAGNCLQGSSLLHLACHTGNAVMLELLLQFGADINWCDFHGRTPLQYCIARGNSHLAKLLLRRGARASIRDAGGLSALERAMEMGAITDEELFVLLSESD >CDP13202 pep chromosome:AUK_PRJEB4211_v1:11:31986803:31989068:1 gene:GSCOC_T00038068001 transcript:CDP13202 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKIQDQANATEQDSAAVASESGGSGTKPNPSSLAPVNLDHGDPTAYETYWSKVGERSTITFTGDQSLSYFANAKNLCWFLEPKLEEEIRRLHHVVGNAKVDDHFIIVGNGSSQLIQAALYAVSPSDQPQPTSVVSAAPYYSAYQEVADLLRSGLYKWGGDAYGFNKDEPYIEMVTSPNNPCGMTREPVVNRPQGKIIHDLAYYWPHYTPITSQVDYDIMLFTVSKCTGHAGSRIGWALVRDKDVARKMVDLMVISTIGVSKESQLRAAKILGVISDSCLLDKSPGLENFFEYAQHLMAARWEKLREIVKVNELFSLTEYPSEYCLFTKEFTEARPAFAWMSCKSDMEDIEKILRGHKILARGGKRFGSDPKYVRISMLSRDQEFNLFLQRLSSIHGITSGN >CDP00737 pep chromosome:AUK_PRJEB4211_v1:11:26352194:26355446:-1 gene:GSCOC_T00032804001 transcript:CDP00737 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVTCLIETLEHLLRSPSLIFGIKSLQIEIDERTARRMKRLPLTMGKRPARIKSAEASVPPGALTAFWNMTKEMLTINHTSLWKYLSIFSLYPEAGPVKRFFNRFGRVLGFAELRLMHGESLHSERKSKRFFLVSKSQFFSCRLITKIVLLCEHLIFLLAALEDSTHTCNDHELLKHLEVRLIDLAHRTEDFIEESMFDSSVETKMLNFIIYVFQVDCNILVGRVDFEAEVQKICDKKYGIHHCLQQTVEDIVDIREEMRKINDTLVNGNLKLGDTLNDDSSLSPPAQKNEAVGLDDDLMLMSERLSRVPSRLEVVTIVGMGGIGKTTLARKIFDDPSTICNFHACAWVQVSQVYRLRNLLLGLLKCVTQLKDENCAKSNEELAEDLYRSLKGKRYLIVIDDVWSTRTWDDVKRIFPDDKNASRIVLTTRVGEVADYVNSKSASHRMRLLDIDQSWDLLQKKVFGSQSCNLEFVDIGKEIARKCQGLPLAIVVVAGLLSKIRRTRDCWEGIAGSVSSLVSSGPEQCLEILALSYNHLPQHLKACFLYMGAFPEDSEIEVEKLIALWVAEGFLDKKDSLSAERVAEDYLEDLIDRSLVLIGKRRFNGKVKTCYLHDLLRELCLREARKEKFLFVIDRRAQSFLSGIKNQRRLSIHLDFHADLQLIPSVTLVRSFLCFSLGSSFVPMFISSFKLLRVLDIIFLSSKYFPLEILDLVHLRYLALTATYELPASISKLRSLQTLVIHGPWINRNQGESPTLLFEYWIMPWLRHLHISMPCYLSNPFDPSRCPLAPRYLQTLSTIRFASCTSDVFLVMPYLRKLGICETKEDFITDMSCRWLKNLANLQYLEALKCSFYKQNAEAPRILRPSVLHFPLTLRKLTLSWSYLPWKDIACIAMLPNLEVLKLKNYAFQGPDWEPTEEAFRRLKHFLIDSTNLENWEASSDNFPCLEHLVLRGCKFLKGIPDGIEEINTLQRFELHYCSESAEISAKGIEVEGLDVVIKSEM >CDP13316 pep chromosome:AUK_PRJEB4211_v1:11:32732283:32734012:1 gene:GSCOC_T00038210001 transcript:CDP13316 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVPYFHVEEFKVTQLALAQPAAVAMVNNADVARKYDLRSLELMLCGGAPLANSVVDRFKSDFPSMPIVQFSYGLVELQDYGFRHMDLLTETTGGATRTVGPDESRVPGSVGRLLSDCQTKIVALSRTSVSSRERRTVDQRAYHNEVSIWTLIKYKAYQVAPAELENLLLSHPEITDAAGIPYVCFPHDEAGQIPVAFVVRKSGSLINELKIIGFVAKWACTKNYLFRIKERKLFFLDSIPKIAPGKVLKKELIKLATSVAASKLSRHLSSGNDVAVIYHT >CDP07938 pep chromosome:AUK_PRJEB4211_v1:11:11788683:11814621:1 gene:GSCOC_T00025459001 transcript:CDP07938 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:Projected from Arabidopsis thaliana (AT1G29940) UniProtKB/TrEMBL;Acc:A0A178W5B4] MSGDDNDNESNYAYGKRTLAPHLLKEKDYSALEELFRHHIESFDHMVEYGIETMLLNIKPVQVFHPLSNQKLRNILFYHLITAWFGSLTDTILWFGKPEIFPPQTERQLRTMKDALYPFECRQARISYTGTFMMDICFQYGDGAVIREKFNFGQFPIMLKSKLCYLRNLPPKKLVSLKEEQSEMGGYFILNGLERVVRLLILPKRNYPTSMVRNSFRERREGYTDKAVVIRCVREDQSAVSLRLYYLSNGSARLAFWIQGREYMLPVGVMLKALADTTDHEIFACLTSCYDDNYDCVKGSVGSQLVGERAKIILDEVQDLSLFTHKQCLQHIGEHFQPVMIGLENESPSVVAAAVLKDYIFVHLDNNHDKFNLLIFMVQKLFSLVDHTSMPDNPDSLQNHEVLLPGHLITIYLKEKLQEWLLKTKNLLQDEANSSNKFDINSLADIKKLIEKNSPKQISSAIDNMLKTGTLLTQSGLDLQQRAGMTVQAERLNFLRFLSHFRAVHRGSSFAGLRTTTVRKLLPESWGFLCPVHTPDGEPCGLLNHMSSSCRITSYYDNKGNVRDFLKTRMSILSVLVGVGMTPSLPKLVQAGPPKVLSVLLDGRVVGSIPSDLVEKAVTHMRRLKLSATAQIPEDLEVGYVPLSMCGAYPGLFLFTSPSRFVRPVRNISIPPEEGNKFELIGPFEQVYMEISCPDGGGGGRKSMFPATHEEIHPTGILSVVANLTPWSDHNQSPRNMYQCQMGKQTMGFPSQALHSRADQKLYHLQTPQTPIVRTKAYEKYHIDEFPLGTNAIVAVLAYSGYDMEDAMVLNKSSVERGMFHGHIYQTETIDLTEQRSNSGHGQRIFRRSNLDKSSQHLVDSDGLPYVGQRIKPNEPYCSIYNEITSSTSSTKLKGSESVVVDYVAVDVKNKNNLQKVNIRFRRPRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFAGLTGMRPDLIINPHAFPSRMTIGMLLESIAAKGGALHGKFMDATPFTSLLKKGNEGQESESSSLVDELGSMLTAYGFNYHGSEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKFQVRSTGTVDQITRQPIKGRKRGGGIRFGEMERDSLLAHGAAYLLHDRLHISSDHHVADVCSICGSLLTTSLIPTKQRAIREIIGLPPGRIPKKVMCVACQTSKGMETVAMPYVFRYLAAELAAMNIKMTLQLSSGAEA >CDP11624 pep chromosome:AUK_PRJEB4211_v1:11:15956504:15961985:-1 gene:GSCOC_T00033999001 transcript:CDP11624 gene_biotype:protein_coding transcript_biotype:protein_coding MITGDFPGRWLAMVGLSLLLQPGSRFFRGATSRMRTNACKTNACESLCNTQVNVFLTEAYYLEI >CDP00211 pep chromosome:AUK_PRJEB4211_v1:11:30903327:30906372:1 gene:GSCOC_T00032086001 transcript:CDP00211 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRSTPAITNKTKKKAKSPLSDPQGMFSGMVVHLIESGVQARRLQIWKHRLEQLGAKIEDDFSKNVTHVFAINLDTLIQKVDLELVKRLKTIVLSYQWLEDSLREGKKVLEESYILSLERGGGDKISNTAEETFPKLLNDVHSGASETLQRKKIRISPMDLADTNVKNTVKLEENAVPESPDSSSGSYVSMHSLSPEITDPSIDAQHKTVLTSDSALLYSPPDLNRNITEIFGRLINIYRALGDDRRSFSYHKAILVIEKLPFKIESVEQVKHLPGIGKSMLDHIQEIVTTGKLSKLEHFEKDEKVKTISLFGEIWGIGPATALKLYEKGHRTLDDLKNEESLTNAQRLGLKYFDDIRKRIPRHEASSLPPPLPHPTTRKMK >CDP00218 pep chromosome:AUK_PRJEB4211_v1:11:30848693:30849475:1 gene:GSCOC_T00032097001 transcript:CDP00218 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSISNLSSPSRNNGEKKAYAEKPSVEKKLKLFGFELDPCQNGDHNDLKGLFENDESVNSSSSTVSSGRDQILDQNLPKEKTPPREPADDKKFVCQYCLKCFANSQALGGHQNAHKKERMRKKRLQLQARKASLSYYLQPFQNRHSFNFYASSPLYHDPSFSAPHEFTISEESQISFSSPYDQDANMVNGSHVSRSWYALPSAQAPFQQDSASCKFTLTHVDKSRENRAVIKPSSPLPRSKQNCRSVDLQLGLSLHSTI >CDP00305 pep chromosome:AUK_PRJEB4211_v1:11:30168142:30168957:1 gene:GSCOC_T00032201001 transcript:CDP00305 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHQSPPPPSLPQPHHLQPQSPHHLPKKPITSLPDFIFTAFSLFLLVSSPKSPTTAFIPLPKISFPLNPRRFLRIPDMSLPSSKSPSNPSFPTPQSLSDWLKPRLPSDSFASWGVKPGTKNVHNLWLELSEGETLLADSSPPIRSLQVVVVRVIDKHNRVLLESHQELSNGVIRHRCRPLSEKMKPGESVDAAVSRAVKEELGSAIRGDFGDEGIVKIVPDSYCKKVEERVSASYPLPFLFRTCGQKCPLKKHTCIRVNWSSGCKTGETG >CDP00259 pep chromosome:AUK_PRJEB4211_v1:11:30535787:30540963:-1 gene:GSCOC_T00032143001 transcript:CDP00259 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKFDSLIPVSRIRSIQILMGFLFLYLVLMSLEIPLVLRNGLGLESPEPDSTISINTLPIRSPDASEYFVKENPVFNFQERKKEQFKKVSGLTFDEIAFDSVSKDDFSELHTTVRDAFVMGKKILEEIESGNVKAELQNRTQNRTESCPSSVSLSGVEMQKKGKLMIGLLREGDETLMVSQFMMELQGLRVVDGEDPPKILHFNPRIKGDWRGRPVIEQNTCYRMQWGSALRCEGWKSNADEETVDGQVKCEKWIRDDDDRTEESKATWWLKRLIGRTRKVTVDWPYPFVEGKLFVLTLSAGLEGYHINVDGRHITSFPYRPGFTLEDATGLYINGNIDVHSILAASLPTTHPSFAPQQHLEMLTKWQAPPLHDGPVELFIGILSAGNHFSERMAVRKSWMQYKSIKSSEYIARFFVAMHRRKEINVELMKEAEFFGDIVIVPYMDHYDLVVLKTIAICEYGVRTVAAKYIMKCDDDTFVRVDAVMKEVKKVHHGRSLYIGNINYYHIPLRHGKWAVTYEEWPEEEYPPYANGPGYVMSSDIANSIVTDFEKHRLRLFKMEDVSMGMWVERFNSSKQVEYVHSLKFCQFGCIDDYYTAHYQSPRQMICLWDKLQLKEKPRCCNMR >CDP00540 pep chromosome:AUK_PRJEB4211_v1:11:28338437:28340448:1 gene:GSCOC_T00032506001 transcript:CDP00540 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTWGFDASVGLNTPNISAFTNHKRHLPITFLSLPPSSRPPPNFVIKSSRSRVCQRKITSKQFLGVPVLSCLVGDNAGVYPESREASNSSHSPQDAAFDIKLPRRSLLVKFTCNLCGSRSEKLVNRLAYERGTVFVQCSGCRQHHKLVDNLGLVVEYDFREEMDSDSNANRC >CDP16265 pep chromosome:AUK_PRJEB4211_v1:11:24390049:24400099:-1 gene:GSCOC_T00018035001 transcript:CDP16265 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFISRIAFPTRRESLLGSKCHLFSLPRQSRENHIANGSAGTTKPALFGCKCASKGYNEHLMNRQSNGHVYTCPSSGIAGLRRKQQGTYLNDVTSQRSRCLKESLSKTLVAFYPQAGKIKDNLHIECNDDGVYYVETRTNIGMLDFLRKPENEFMNQLCPFHPDSTELLSKSYPIMVQVNIFDCGGIAICLGASHKIFDGLSVSTFMQSWAATTRESTLELELLVNKISNSIGTMNADFVESINGENGIQKLMGALKDFHEVFYDPNSMAECIYISSICKTGFYEADFGWGKPIWTCIARGNGDLHGLGNIAHLMETKSGDGIEALVTMKEEYMATLQKNQELLHYASLNRSPLDSS >CDP05437 pep chromosome:AUK_PRJEB4211_v1:11:20629984:20630214:1 gene:GSCOC_T00020483001 transcript:CDP05437 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYMANVVVFGVISWTTLFLIARRIFPKRSFDFCNRLVSTVHATLAVVLACLSVQDWSSPVSPLASKSSPRQARH >CDP18563 pep chromosome:AUK_PRJEB4211_v1:11:1774265:1779838:-1 gene:GSCOC_T00004225001 transcript:CDP18563 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKNYLPFLKSRPLLFTAFKRLKIHWQTEPFITGSISNSGEDSLSEPISTALPHSGSDISSPFLQQHLQQGRKLLKYFQSDPGPLSLAKLIPSPHLKT >CDP00386 pep chromosome:AUK_PRJEB4211_v1:11:29510949:29515747:1 gene:GSCOC_T00032312001 transcript:CDP00386 gene_biotype:protein_coding transcript_biotype:protein_coding MAENDGSSSRAPTRPTIILPPRTAMESLFTGGPGASPGPMTLVSSFFSDNDPDSECRSFSQLLAGAMGSPVAVTALRQNFQQPGNSSSREKVSSSGAGDADFRFKQSRPTGLVVTQPAMFTVPPGLSPASLLDSPGFFSSGQGAFGLSHQQVLAQVTAQAAQGEAHMQTPAEYPSLSSAPAPPSSQLQSLTSSTTTPQQMLPPVPDPNIIKASSDVPQPDERLQPSITVDKPADDGYNWRKYGQKQVKGSEYPRSYYKCTHPSCPVKKKVERSHDGQVTEIIYKGQHNHQPPQHMKRAKDSGNPNGTFNLQGNSELGSMGRSENLNMNREGFPSHSLALKDQESSQATPEQVSGSSDSEEVGNAENGVGTRDNDEPESKRRNIEVQTSEPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTSQGCNVRKHVERAASDPKAVITTYEGKHNHDVPATKSSSHSTASMASELKPPTTLVNNQSLTRRAEFKNNGQQPIASLRFKEEQIT >CDP04893 pep chromosome:AUK_PRJEB4211_v1:11:2694937:2698668:1 gene:GSCOC_T00019747001 transcript:CDP04893 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDECLLRYSNESMFSRADQGIIFYRVNKQNATDPDKFKQVLSDMMDDIASQAANARSGKKFAIKEEDYSPFQRRLHALGQCTPDLSSLDCENCLRSAISQIPTYCKNRQGCRLAFFSCNIRYELYKFYNSTSPAPEPALSPPPTPPPPLPPSNSTSSEEGGGISTQTIVAIVVPISLAIVLLVVGFCIARRPRKPYFAIMETSGASEISFTESLQYNLSDIQAATNNFAVGNRIGEGGFGPVYKGTLHNGQEIAVKRLSRSSAQGTEEFKNEIALVARLQHRNLVRLLGFCLEGEERILIYEFVTNKSLDYFLFDPEKQPLLDWSRRFKIIGGIAKGLLYLHEDSRLRIIHRDLKASNVLLDRNMNPKIADFGLARLFGVDQSEGNTSKIAGTYGYMAPEYLHGLFSVKSDVFSFGVLILEILSGKKNSQFNQAHGGDDLPSYAWRQWRDGTPLALVDPTIGDTYARNEVIRSIHAGLLCVQDEIEQRPTMASIVLMLNSNSITLLAPNPPAYFGRSRTQSSPNDLPVSDSSTSTKSAPNPSINEVSITELHPR >CDP00846 pep chromosome:AUK_PRJEB4211_v1:11:24956812:24959043:-1 gene:GSCOC_T00032953001 transcript:CDP00846 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLTDNKIQGAIPSELRNVTTLRYVYLNSNNLSSVIPTSFWSLRDILGLDMSENCLTCSLPAEIGNLKALVYLNLSNNQYLGGIPSTVGTLQDLQELALEHNKLQGLIPDSMKNMLQLRHLDLSFNNLEGEIPNSLQVLSDLQYFNVSYNRLRGPIPHEGPFTNFTNLSFLSNEALASFHELRKITNGFSESNLLGSGSFGSVYKGIRENGMVWAIKVFDLQLEGAFKSFGRECEVLSCLHHRNLTKVISACSSPDFKALVLEYMPNGCLEKWLHSNHHFLNMKQLLDVMIDVACGLEYLHYGYLTPIVHRDLNPSNILLDQDMAGHVCDFGIAKLLGDGESVVQTKTLATFGYIAPEYGLEGLVSTSSDAYSFGITLMVTKRKPKDKMFTEELSLRRWIECISSILQVGLSCTTYVPEERINMKEILRALQKIKLQFIKDIVP >CDP00707 pep chromosome:AUK_PRJEB4211_v1:11:26665106:26670754:-1 gene:GSCOC_T00032758001 transcript:CDP00707 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSASAALIHNLHLNSSNYFRCLNYSNKISRTSLLVTNQRRASKLKFFSRKECKKFSRVVCCAVEEDVTEKQQEEEFKSAVGSAVEEKPDVASGSGENLFQNDEAGDEGSALYNFLYPSKELLPDDREMSIFDHLEELRGRIFVSVSAVGAAILGCFAYSKELIMLLEAPVRSQGVRFLQLGPGEFFFTTLKVSGYCGILLGSPVILYEIIAFILPGLTRAERRFLGPIVLGSSVLFYSGIAFSYFVLTPAALNFFINYAEGVVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQLLLGQVGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQLLLAGPLLGLYLGGAWMVKLTGK >CDP00512 pep chromosome:AUK_PRJEB4211_v1:11:28557232:28557947:-1 gene:GSCOC_T00032473001 transcript:CDP00512 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSKQVSLECQHHQFSGLYYAETLYKTKYRMTDESSSVEFHTK >CDP16292 pep chromosome:AUK_PRJEB4211_v1:11:23975176:23976451:-1 gene:GSCOC_T00018070001 transcript:CDP16292 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSESISLCKILSESRSIIREHPHHFRVLTILFLFPLSLCSIIYVIIQPTFSPHTQSNSTYTTYNPILYLLFTLLYTISVTLFSLCAVASISYSTYRAIYKEPLNLVESIKSIYNSFWPMVSTIIVLELIFASIFIPFMVLVLLVYKRFYLSGVENISSLSSYFLIVIILISLVFIALLIYFQVNWILAYVVVVVESKWGYEPLQRSVSLIKGNRGVALSIMMYFGLISGAFFWCLYGTGWSRWAFFLYAILASICQSFILLGNCVATVVLYVHCRDVHEGLPIKNAKNCPLLK >CDP00693 pep chromosome:AUK_PRJEB4211_v1:11:26838159:26838908:1 gene:GSCOC_T00032732001 transcript:CDP00693 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIAMLPNLEVLKLKNYAFQGPKWEPTEEGFHSLKHLLIENTDLIHWEAIIVRHFPCLQHLVLKSCKLLEEIPFGVEELGTLQRLEAHYCSEPIENSAKEIQEQIEGIDVIIRSDRLCIRSAFNSSLSLNIQCLQLLRFQLSFQITICRTSLSCSKCTVTAKCIFMVLVFS >CDP00773 pep chromosome:AUK_PRJEB4211_v1:11:25979878:25981224:1 gene:GSCOC_T00032849001 transcript:CDP00773 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSFLPHILLFLAFLLHLPPRISSSTPSPLPKEALPTKSGYLTINSTSGSAIFYTFYEAQKATTPLSETPLLIWLQGGPGCSSMLGNFYELGPWRVSSNVSVEPNPGPWNRIFGLLFIDNPIGVGFSIAASPEEIPRNQHDVAKHLFIAIKKFLMLDDSFKTRPVYVTGESYAGKYVPGFGYYALKQNAKLPVSERLNLQGVAIGNGLTDPITQVATHALNAYYSGFVNDKQKKVLEDLQKEAVELTQNRNWSEATNARSRVLDELQQMTGLATLYDFRRLIPYQDELVAEFLANVEVRKALGAKESIVFEVCSDAVGEVLHADVMKSVRYMVDYLVKNTKVLLYQGHCDLRDGVVSTEAWVKKLKWEKIGEFLEATRKVWKVDGKLAGYVQKLGSLSHVVVLGAGHLVPTDQAVNSQAMIEDWVLEKGLFSDQQINKLQANVTGSL >CDP19668 pep chromosome:AUK_PRJEB4211_v1:11:53023:69006:-1 gene:GSCOC_T00010275001 transcript:CDP19668 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSLQFVNGTIALSTTTSSTTILPSFSPILSKVAAATPSFSAASAVQCCSRVCHQVPSAGRPPAPGLQSFHDVKININNNEKGPPSAREPADGDSEKAAVEGSENGSDGVSGIEVPRPRYISISKARLLNAIVSELFDSQLEADHFLHLSKCLDSILLAEHKCILEEMRLDYDLTNSVESEGNVYQGLSNLERKSESNGKNSYAAGSMEEYGVNGFDNKKSDLSSELASLLASFSDNMKTNPMKRVAVHARFQRSFVQLLSNAEFEELSVRDLLLTSSLNTDYLLTLPIYVDWRRASESNAIIYRRGHATERQKGLLTVEKLDYLQSMLLQRIFFLISRPLGKFGVWLAEVLKRNKQIRDTILWTENLNDWLKKLPFFQQSYLDDIFSSEDELEVDLLTSSDLPIWLAAQRAVTRYEGILSTTGPRGRLLRKLLTWIGLAPSAPEQALDLKSRTIACDSKPYSGPIFLSRITLGDIWRPASLKHCGNDFWKMLKTAISILISQSTLQEPAFKELILLYTKELDGTDTEDKANVPPLELKIYEKIPIPDLPVIFPHKKLSFRILDAVRLDVATLLGLLAFFINYKFEDILSSPSAILLDVITVSALLIYVFRVVLGYKTTRDRYQLLVNRTLYEKTVASGFGSVHFLLDASQQQQYKEAILAYAILLKGENCQMVSARRVGDECEKFIFDVFNEKIEMPIDKAKSTLLRLGLVTETALEDGTILQAVPCSRVAEILRQKWDGLLA >CDP13219 pep chromosome:AUK_PRJEB4211_v1:11:32083101:32086362:-1 gene:GSCOC_T00038088001 transcript:CDP13219 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLGNLALILDPARVTSPRYCTLPDRKSHRPQVPILSDVVLNLFKKESFAPEFDGEIRKEKSFAKKEKLKSKSKVNAVDYENSSDDENGNGFADEDGFNWEEEMRRRVKEIEEMRELEKKAEEVQNRVDEEFKEVGGENKEETEEEKRMRVKKELEKVAKEQAERRKMAQLMFDLGQKAYGRGMYGRAIEFLEAALTIIPRPTLFGGEIQIWLAMAYEANNRHADCIALYQQLEKKHPSVSIRRQAAELRYILQAPKLKITQEEMVTIPLIGSTYDSYAATWTDKYKDKDQKRSFSTTNQLPSGRDYLADFLAWKPPVGLEKNQTFWIALTLWVGLVGAALLLQK >CDP00400 pep chromosome:AUK_PRJEB4211_v1:11:29416721:29417447:-1 gene:GSCOC_T00032331001 transcript:CDP00400 gene_biotype:protein_coding transcript_biotype:protein_coding MESVINLQHPMSTGVLRFHPFRDMPLLLVKRKKERSEDLRLDDEGEKGSSDQSSRKVHAWIPLLYSVFILVMLSSY >CDP13201 pep chromosome:AUK_PRJEB4211_v1:11:31979119:31984160:1 gene:GSCOC_T00038067001 transcript:CDP13201 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCWTSALQLAELFVSSLMHMGYGFYIFSTAVAGDASQALSDLLFKPNLDPAPKKEGSNETPNADGLPPIVLVHGIFGFGKGRLGGLSYFGGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKACGHSQFGRVYEKGHCPAWDEDHPIHFVGHSAGAQVVRVLQQMLAAKAFKGHENTSENWVLSITALSGAFNGTTRTYIDGMQPEDGRSLKPISLLQLCRIGVIIYDWLDISWLKAYYNFGFDHFNMSRKKIGVRGLIDCLIGNAGPFASEDWILPDLTLQGAIRLNSHLRTYPNTYYFSYATKRTRKFMGITVPSGIFGIHPLFFIRVLQMSQWRLPPDVSPPYKGYRDEDWWDNDGALNIISMTHPRFPVEHPSCHVIKDSDCQPLQPGIWYYKIVEGDHILFIINRERAGVEFDLIYDSIFERCRKHAFRKTPILPDHVQ >CDP16879 pep chromosome:AUK_PRJEB4211_v1:11:599977:601794:-1 gene:GSCOC_T00019456001 transcript:CDP16879 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVSMGFPNSLAAEALKAAGGDIVKATDWILSRSQTTPSPPHRHTADTATALQPPQIHHDNSPSPPPPLSPAAASSNFQPKIDRFFHFRCKTQTPTPATSSSSKSQLCKEEVQQQQHDHADEDVLDDDDHHLQGGKRPRLSSSPQERDNYASKQQPSSSPVAFPREPLSELMRPSTLDQVVGQEHLLGPNSALRSAIRHSRLPSIVLWGPPGTGKTSIARAIVSSCSDVYRFVPFSAVNSGVKEVRDAVEEARKWKSKNSKIDNNRRMRTVLFIDEVHRFNKAQQDSLLPVIEDGSIVFIGATTENPSFHLITPLLSRCTVLVLNPLKPHHIATLLKRAISDSDKGLSSFSKVQVEDEAIEFLAAHCDGDARVALNKLELSATTAATERFHQKKGRGGGEGSLDSDVLSITVSHVKEAMQSKHIAYDRDGEEHYNLISALHKSMRGSDADASIYWLARMLEGGEQPLYIARRLIRFASEDVGLADPSALTQAVACYQACHFIGMPECNVVLAQCVAYLALAPKSISVYRAIQDAQKVARDSVGQNEGVPLHLRNAPTKLMKEIGYGKGYVYPPDNPKASSQTYMPPSLQGYKFLHWPNAPGAD >CDP13264 pep chromosome:AUK_PRJEB4211_v1:11:32398916:32405116:1 gene:GSCOC_T00038148001 transcript:CDP13264 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDPEGYEMELHLIYNQFKSSMELFQQQAAMSFTSVSGIAADPTVAKDLGDRALFLAHVTPFYPKHLAQFPRELAQFLRSSARTLPSGLRVHVTQALILLINRKMVDIVDILELFMELQTLGDRTLRTLAFSHIIHNIRHMNQKHKNDPKNRGLQNILFSMLQQEDETKAKRSLITLCDLHRRKVWFDDRTANAICTACFHTSPRIMIATLSFLLDYEKIEDDDNSDDSGNEDEQATQQPQIVLSKEVVYKAKHKGTSSSKKKKQAKLQRVMRSMKKQQRMSSEKNNSSYYSPLNHLKDAQGFAEKLFSRLQTTNERFEVKMMMLKVIARTVGLHRLILLNLYPYFQKYVQSHQRDVTSLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRSEAISVGLNVVREICLRMPLLMTEDLLQDLVLYRKSHEKAVSSAARSLLTLFREVCPSLLVKKDRGRPADPKARPKAYGEVKVASNVPGFELLKDDNTESEADTDDGFTSSSDIDDDVGPSEVEVAGDSGSSDGDDNDNDDQDIDDQGTTSEDDSMQEKNKDSEDDDANFSSDNTDVISDDDESGKADGHDPAASSGPLSIASETFRTDSGGERGYKAGKRKFSDFNEQLNAASQSLRALKKLAGAREGSTSETNDGILSNEDFQRIKELQAKKDASIALAQHGFKIPSTDQLSAKRVDAAKLEANIRKKMTKEERLALIRAGREERGKYQARTAIKQKKTGGLSNRQKEHKKAMPLAAKRAKVSRSRQEKKRKQQRSGKQFRGRKAWK >CDP05592 pep chromosome:AUK_PRJEB4211_v1:11:23376695:23377668:1 gene:GSCOC_T00020723001 transcript:CDP05592 gene_biotype:protein_coding transcript_biotype:protein_coding MERDPCPDRILDDIGGAFGMGAVGGGAFYFLKGLKNSPRGSRLLGAYQTARMKTPATAGGFAVWGALFSLSDCSLVYLRQKEDPWNSIMAGAFTGGFLQMRQGFASASRAALIGGGLLALIEGLQIAVNRFVAAQQQQIIYVDRSSVPIGMGHQGNQDLPETAGASGSESWFGGWFGGGGKKEEEKSGAKTEILESFDAPLPPTFEFK >CDP00247 pep chromosome:AUK_PRJEB4211_v1:11:30632032:30638219:-1 gene:GSCOC_T00032129001 transcript:CDP00247 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIFPPHCFLLFFLSSCSVRGWLRWDVFLSFRGEDTRDNFTDRLYSALDTSGVRVFRDNNGLTQGDQIAGGLLEAIEDSAAAIAIISDNYASSSWCLEELAHIFQSPRLVLPVFYRVDPSDVRRQRGPFEEGFKALEEGFGVQKVVRWRKAMERVGGISGWVYNNRTIGYRRVVKSQHEESDLIQNIVKRILAELSNSPVVVASYVVGLDFRLEELVELLDVKQNGLQVLGLHGLGGIGKTTLAKALYNKLARHFQCRSFLSSVRENFKSQQNGPEFLQKKIVGDLSSHKVPPTFSDAKSYVLEMKRILKQNRVLLVLDDIDDAGQLKELAGSREWYSEGSRIVITTRDAAVLPTDFVDKIYEVKSLGNSESLKLFSHHAFRRENPTGAFLNLSNEIVSCTGGLPLALEVFGSLLYGKRIVEEWQDALEKLKQIRGPELQGVLKISYDALDVQERSIFLDIACLFLNLEMNREDVIDALRGSYFGVETAVTTLVSRSLIKFIDSEQLWMHDQIRDMGRQIILLLIRLSLVYDWSRLHRPKHEKMRVQTKMGTRDIQGIVLDLEKKNFPRDKKARAIAWQQLCQTPNFTSAITYFREIYKEHHHRYATKDGEVILNSTSFESMVNLRLLQFSNVKLEGRLKRLPAQLKWLQWRKCSLRSLPSDFFPRELAVLDLSESKIERIWGRKWCWHAQQVSNNLMVMNLRRCHNITEIPDLSGYENWKS >CDP04823 pep chromosome:AUK_PRJEB4211_v1:11:5178073:5180472:1 gene:GSCOC_T00019558001 transcript:CDP04823 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKNNLKSQLLILFRFQFTFCSSSNSPFVGIRSAASYADWLQSVDIQSNFHSFSFNNVHCFFFLFFLIT >CDP00209 pep chromosome:AUK_PRJEB4211_v1:11:30914977:30917982:-1 gene:GSCOC_T00032083001 transcript:CDP00209 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVMTLRSGLGALRVVRGNFAGLPFSTKTSPPPPLAFGAAADVAADDLLLHNPPASAATVETEPTLLRPGVVVYDGVCHLCHRGVKWVIEADKDKKIKFCCLQSKAAEPYMRACGVDRDDVLRRFLFIEGPGLYHQGSTAALRVASYLPFPYSALSSLTIVPTPLRDVVYDYVAKRRYDWFGKSPDCLVIKEKELLERFIDWEEILDKSQPEL >CDP15268 pep chromosome:AUK_PRJEB4211_v1:11:19250303:19255838:-1 gene:GSCOC_T00042931001 transcript:CDP15268 gene_biotype:protein_coding transcript_biotype:protein_coding MESFGKLIKSENPTCTGVVDSLLAELDIRKRLLLSGQPDDNHQIGILIAEVRLWRMLLIYVAYLSDADMKFGVGRELGSMDRDLDAALKEATNDFRVASKKEGVGKISSDFGADPEKYESMVHKGLTRGDYGVAMSKLQQKLMLFRPQMKAAYERIASNHSFQSHHPLSRSGVCSLFCQNLQQVVRVLYCGKGKMLEYMHLKVETMSKMLKRLQSFISTMVRFSLDLDRDFLTHFGALLVRTAHFCCLCWIDQMEEDKTEELSIMLHDLLKAFKLDTTEVVQLFLKLLRPYSLVKTWTSIPTPVETFVDFLFPEKDPQLETFFKGLEHLIMFVLEVGEPEKSDLKLLIMDITAAISRLGYFGDLFNEIGESTGYIFSTNVSAFFRLLEKIELLRVEVFLDELLKKRISLELLENRIDSFHEGLFYLESYQRYASKWKSKAPKLIWLHIKPVAGKAVSVYQSFHAKSVTEDKFKHELTMLHCKIQLLKTEILLEELLDGNPDLIINGRLRLEELLDGHPNLTVNVKNQMESLDLGLIVLRTYLMGPLEDDEKLILTDAESVARVASLFYYSLLKNDIAEHAVGNFSHVLPKLVRKMDLVNAKIKEIYMPVRRSSKSHFPKTEGFGFIDCLLGDLSELLNSEAGFLVSLRHQVHAVYRDLEFLRSFLSDIKEQYNDRLDLKSFVSSIIQVSLEAEYLIETFVVGNCLRWYHPLWLSDLLEDLNLFKVQATEICTNEHTISIHDVPTSSMNMVSPAKIPMIDEVVIDLTDEKKLIIDRLTAGLPQLDVVSIVGMPGLGKTTLALKAYNDPSVTYQFHARAWCYVSHTYRRRELLLQILGEIVELKDDILEMSDEDLEMKLYQCLKGKRYLIVMDDIWSTEAWYDFQRSFPNDNNGSRILITSRHFDVAVKLKADSTPHPLRLLSDDESWTLLQKKLFYTKKCPNELVIVGKKIAESCRGLPLAVVAISGLLERTDMIPDWWKQVSESICSHIVDDPVTGCMDILELSYRYLPNHLKPCFLYTGVFLEDKNIPVRKLTWLWIAEGFIPNNGLDSKEDVAEGYLRDLIGRSLVTASKRRSLGGVKTCHVHDMLRTLCLQKCEEENFLQWKNGYDELFPSSHMDLDYGKRRVSICSKRNHFVMSRPSGPHVQSLLYFATSDLYPRCPYDITFIFDNFKLLKVLDLESINMGSSFPTEVQLLVRLRFLALCGDIDTIPASISNLRVLESLLVKGLKGKVLLPYTLWSMEKLRHVHVNNYAAIALQDSESTSSSQALNLVSLSCPYLLCGKGTEDIMRKLLKLQKLSCVFSELRDDSGKCNHFPVLNFLTELESLNVLYSGRIALPCKFEFPLNLKKLTLSKFRLPWDSISEIGRLPNLEVLKLLSRAFEGKVWEMKGGEFLKLKFLKLDSLNVAQWNASSDHLPQLQHLILRSCRQLKEVPSGFAESCTLEMIEVQLCTHTVEESVKSLQDGQLGMGYELKVLIDHSDMDF >CDP00164 pep chromosome:AUK_PRJEB4211_v1:11:31305261:31308373:-1 gene:GSCOC_T00032022001 transcript:CDP00164 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFTARNDQVDLMKEGTTVILRNAKIDMFKGSLRLAVDKWGRVEVTEPADFSVKEDNNISLIEFELVNVVEE >CDP00350 pep chromosome:AUK_PRJEB4211_v1:11:29829755:29830684:1 gene:GSCOC_T00032259001 transcript:CDP00350 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRLQLLLPQPLSPHYPSSSTTGLNPISTPKPLISRKALNSHHTPTTTTVDSGLKFREKIIYLESLKVNPTKALQKNPNLRSAPLSSLQSVEHCLSSMGIEQSALGRILDMFPQLLTADPSNQIYPVFEFLLNDVEIPYSDIRKCISRCPRLLVSGVESQLKPALEFLIKLGFVGANRITCQTTVLLVSNVDHTLTPKIDFLMGLGFEYNEVAKMVIRSPALLTFSIENNFMPKLEYFLEEMNGDLEELKRFPQYFSFNLEGKIKKRHRILVEHGLSLPLSKMLTVSDGEFNARLIEMQLELVEERQL >CDP13207 pep chromosome:AUK_PRJEB4211_v1:11:32015789:32022215:1 gene:GSCOC_T00038075001 transcript:CDP13207 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKPLDYENLNKNVKECQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVIALCQAPFLLDDPNVGLVFPADAIARAKHLLSMTSGGLGAYSDSRGIPGVRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQILNAIIRGEGDGVLVPVPQYPLYSATISLLGGTLVPYYLEETANWGLDVNNLRQSVAQARYKGITVRAMVIINPGNPTGQCLSEANLKDVIKFCYQENLVLLGDEVYQQNIYQDERPFISARKVLMDMGPPINKELQLVSFHTVSKGYWGECGQRGGYFEMTNIPPKSVEEIYKVASIALSPNVPGQIFMGLMVNPPKPGDISYERYVRESKAVLESLRKRAHIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPKAIEAAKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMASFKKFNDEFMEQYEDHKGYSRM >CDP13258 pep chromosome:AUK_PRJEB4211_v1:11:32359373:32360746:-1 gene:GSCOC_T00038141001 transcript:CDP13258 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSWLRSTCSSSTCISTAKDAIVPPPTPNQLVISDSSSCSDAQASSSASSLTSQDSTYTTRSHTSLESNLSISTLPSVPSLQKLSPEALNLSITTLCITSLKPQQPAHVNFLALNNNLLYAVSGGQVNVFNTTNFTLFDSFHVNNDSSSSGSIKSLAFCDGKIFTAHQDCRIRVWKLTSSSVGQHKLIATLPTVEDRLRNFILPKNYVKVRRHRKKLWVEHYDAVSSLAAAENLLHSVSWDKYLKIWRAKDFRCTESIKAHDDAINAVAASPGGLIYTGSADKRIRVWGRAFGEKKHVLVATLEKHKSAVNALALNFDGSVLFSGACDRSILVWEREESANHMVVTGALRGHSKAILCLINVCDLLLSGSADRTVRIWHRGHDGRYCCLTVLDGHAKPVRSLVAVAEEDSGGAVKVFSGSFDGEIKVWKVMISSSSVKTPIPMSSFQRGSSSLFT >CDP05513 pep chromosome:AUK_PRJEB4211_v1:11:22183127:22186636:1 gene:GSCOC_T00020602001 transcript:CDP05513 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALLPENDKETGSTRVATWKIYVEELKRVNGIALPMMVAVVGQYLLRVSPIFMLGHLGQLQLSGASIATSFSNVTGFSVLFGMSSALETLCGQAFGAEQYQRLGTYTYGAIICLFTVCIPISLLWMFTDKLLILTGQDPAIATEAGKYLIWLIPTLFPYAILQSLVCFLQTQSLVFPMLLSTVASVSLQLPLCWVLVFKSKLGNAGAALSIGISYWLNSILLVLYVKYSSSSRKTRVPFSMDALQTMGGFFRFAIPSTVMTCLEWWAYELVVLLSGLSPNPKLETSVLSICLTITSLHYLIAYSFGVAASTRILNELGAGNPVAARIALCMVLLKSVSDFFLASITLFLCRSMLGYVFSDEKDVINYVKHMTSLLCMSMIMDSTQAVLSDGTKKIEVVKNTIIISLKLMKRQCHLLAPEVARGSGWQHLGAYVNLGAYYLVGIPVALILGFALHLRGMGLWSGLVAGATVQSLLLCIITGLTNWEKHVIEARRRIYEGEEEKATPV >CDP13227 pep chromosome:AUK_PRJEB4211_v1:11:32146158:32148324:1 gene:GSCOC_T00038096001 transcript:CDP13227 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAVKIEASLEEDDGIDEEVAEMLSSQKLEAVDRVDLSGRQLKLLPEAFGKLRRLIVLRLSHNQLEIIPDSIAGLVRLEELYLSANHLLTLPDSIGLLTNLKVLDVSANKLNSLPESIAGCRSLVELDASFNNLSFLPTNFGYGLVNLQKLSVHLNRIRALPKSVCEIHSLRYLDAHFNQLHGLPSAIGKLQNLEVLNVSSNFKDLTGLPETICNLSNLRELDLSNNQIKFLPSSLFELRNLTKLNLDQNPLVIPPIDVANKGVESVKEFMEKRQLDMLAAEEQRRQLEADKQAQTGWLAWGTSMLHDLSESVSGYLGGTNASKDPYLDQQL >CDP05594 pep chromosome:AUK_PRJEB4211_v1:11:23411540:23416296:-1 gene:GSCOC_T00020727001 transcript:CDP05594 gene_biotype:protein_coding transcript_biotype:protein_coding MNRASIDSVTDQLSRLLCLPNLPLHMKQQIQSLQLELRFVKMFCCCLKNWMAAADNENMHLGSVRNSTEGTVEASGKGLYNAGYRAIIGKDFKDWELLASNMLREVEKCKPAIRETAVSLFDLSSQFIAFRAVDEILDFIDSILMNLKDLVSLKDEVIIGSVKEQIEALEGKLRFLRNFVDFAARRCSKQDKMEDFLTTHVQTWARNSACLSLLCWTDGMNKDKEPQRNAMLPQLLLKIMPCSQAVAEMYLELLKSTPSDTLLMGEIVSGFVDSLLGNAVKSLKVDLAILREGLLFALTFLVDPPKESARKTEFADMVESLVISVICSLHVAQTEDETSKARNDNLSDFLEKIEKLKAEVWELFIAMPNSSESNFPRTNGMGFIDFLMGNLNDMMQYKANHIPFAEHKVMAVLEELSCFRSFLQDAENVQNDSQEVNALQTRIINLAYQVENVMNSCAISGIPIWHQIICFSDIQEEIKFCGTEIVTMKQKYNIGKQIAVTTSKLAHSSRGNNSKFDEDVVGFKDEANQIIDLLTSGSKNREIVSIVGMPGVGKTTLARKVYNDPSTTSYFHELAWCYVSQVYTSRDLLLAILKCLSVSIDDVKLSKMSNEDLEEQLRRCLLKQRYLVVMDDIWDIKAWNVVKQSLPDDGNRSRIIFTTQNHHLISDDEGSGKTYLLSPLIEEKPWEFLQEKIFHKDACPQELLEIGKRIVEHCEGLPLAITVVAGLLAKEKKNVGWWKQVEASLSSDSTTKGYMGAIELSYKHLMDYLKPCFLYFGAFRKGEVIGARKLTLLWNAEGFVNRNQRSCFEVVAKEYLKELNDRSLVIVSERSFNGGIKACRLHDLLHKFCSKKAKDEKFLHVLERSEDSDHILNPVKHDQYRLCIHAESTASGSLKPACQHVSSLLFFRKRDGYFYDDDDSYASNFSPDFLESCKLIKVLDLKSVYLHSGFPKEIVSMVHLRYVSIGGSFTEVPASIANLWNLETFVVASTKTIYLPDTFWRMKNLKHVDVSEMAIISLGEYEVEDSCQMDNVETFSSLAFTQGGNTEKLLRRFRRLRKLKCVIVESKKCRRKGIQFPALASLEDLESLKVFTNGSHDQVFYSWMRIQFQAFNFPTKLKKLTLCKLGLPWTAISTIGGLLHLEVLKLREGAFRGQRWDVGDEEFLELKFLELSNMDVVLWKASYTPFPKLEQLVIEDCSDLEEIPFSFSEIEGLKLIQLRRCSYDVEDSAQQILQEQRDSGNPEFDVLILH >CDP04785 pep chromosome:AUK_PRJEB4211_v1:11:5867847:5875107:-1 gene:GSCOC_T00019496001 transcript:CDP04785 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPEQPSKPNNSTHSPPLPIPPLQVQESPVFSYISNLSPIKPDKAALGSQGFPGINSPPLVFRSPHINPKSQPSFLKRPQSAGSSDAGLSEQNESCKDTLTVAEESRVSSCHLSSRLRSCPQKEFIDDSKPEKASSPPVCGNDYLTDIVNMDGGDSDSSANLTPKSSDDVSQSQHELLDSKESAENTEDEDDTRREEMKMGAASVTLEQAEEYNLKDSPSDLKSVVPDQNSGDGNGPPDLHPRVEPDKSVGHALENQSGGHSMAENAGSGHVFEVGCNLLSESLQVAQDCGRSLENAGVQCTESLENKVHGHCAQIVQHQHGISRRCLQFEDTQGKIIPNSGYQNSSGSVGYPRSPASPAGSEVLESASLNKPASPSNRLLANMTPPFFSPQTSGNYNSKLPKRSGIGLHLNSIVNSMQMGSGAKVSMRSAERGSFNGLGKKSMSITGSSLRHNFSTSANVEGVPISDESRHNEHASDAANSASTLSPCSMKPLYEPVVLKPIELQPNSSNKRMCTSETSDSFVDFGHGCKRCNCKKTKCLKLYCDCFAAGIYCAEPCACQGCFNRPEYEDTVLETRQQIESRNPLAFAPKIIQHLTEPPTNVCAEDGSHFTPSSARHKRGCNCKKSKCLKKYCECYQANVGCSDGCRCEACENVYGQKGEFGMIKDLISKHGEKLDSSFGTTLESVASKDGLLHNELYNPHSLTPLTPAFQCSDHGKGAPKAWLSSGGYLQSPESCLTYLAPYGMSPGQAGNPDTHNMIIEANTGIMDLVSFGQGIGYGNGHTVNQLSPRCEVPRNDGRLADLPDPQDWENTSRAQTYANHQVSSANSLRWRRSSTHFDGTKPDEAADFDGGLYSILDDDTPEILKETPMPPNAIKVSSPNKKRVSPPHGQGTELGSSSSAGLRTGRKFILQAVPSFPPLTPCIDSKGATGMNNSQKSSSGK >CDP04844 pep chromosome:AUK_PRJEB4211_v1:11:4811066:4819076:1 gene:GSCOC_T00019594001 transcript:CDP04844 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLQPQIILLKEGTDTSQGKPQLLSNINACTAVADVVRSTLGPRGMDKLIHDEKGNTTISNDGATIMKLLDIIHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPFIEDGVHPQNLIRSYRTAGHLAIEKVKELAVSIEGKSLEEKKSLLANCAATSLSSKLIGGEKDFFASMVVDAVLAIGNDDRLNMIGIKKVPGGNMRDSFLVNGVAFKKTFSYAGFEQQPKKFVNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVKSGAKIILSRLAIGDLATQYFADRDVFCAGRVTEEDLHRVAAATGGTIQTTVNNVIDEVLGSCEIFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRAVKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEIIPRQLCDNAGFDATDVLNKLRQKHALPSGEGALYGVDINTGGIADSFANFVWEPSVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAASAMGRGRGGAAFRGRGRGMRRR >CDP00420 pep chromosome:AUK_PRJEB4211_v1:11:29241011:29246925:-1 gene:GSCOC_T00032356001 transcript:CDP00420 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPWFKKISIVFGPRPPLNWLLLCVVIVLVLIALLGSSSSSALKSVTSVAKSDTYRKLKQQATNDYLELRTLSAGANRIEVSLCGKDRENYVPCYNVSANLLAGFKDGEEFDRHCEVLQDRQHCLVRPPKDYKIPLNWPTGRDVIWSANVKLSKDQFLSSGTRTKRLMLVEENQISFHSDDGTDDVQDYSHLIAEMIGLTSDTEFHQAGVRTVLDIGCGFGSFGSHLLSLNIMAVCVASYELMGSQVQLALERGLPAIIGNFISRQLPFPSLAYDMIHCSQCGIVWDDKDGLFLIEVDRMLKPGGYFVLTSQISRQYGSSVNPKKGSMSTPIEEFAQNVCWNLLAEQEETFIWQKTTDALCYTSSRQKKVPLCKGEDTQPYYHPLSHCINGKNSKRWNPIQNRSSGSLSSTKLEIHGKDLLFHPEDFFEDLEFWRSSLRNYWTLLSPLIFSDHPKRPGDEDPLAPYNMVRNVMDMNAHFGGLNAALLEARKSVWVMNVVPMGAQDTLALILDQGFAGVWHDWCEPFPTYPRTYDLLHANGLLSHIASQGCSMIDLLFEMDRILRPEGWVILSDKLGPIEKARSLAIQIRWEARVIDLENGSDQRLLLCQKPFLRK >CDP00189 pep chromosome:AUK_PRJEB4211_v1:11:31056872:31070423:-1 gene:GSCOC_T00032057001 transcript:CDP00189 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSGTRLRDMIRSIRACKTAAEERAVVRKECAAIRAAISENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKSIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSIKQDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCTIRIIRKVPDLAENFINSAASLLKEKHHGVLLTGVQLCTDLCKVSSEALEYFRKKCTEGVVKVLKDLANSPYAPEYDIAGITDPFLHIRLLKFLRVLGQGDVDTSDCMNDILAQVATKTESNKNAGNAILYECVATIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDSQAVQRHRATILECVKDSDASIRKRALELVYLLVNESNVKPLTKELIDYLEVSEPEFRGDLTAKICSIVEKFSPEKIWYIDQMLKVLSEAGNYVKDDVWHALIVVITNASNLHGYAVRSLYRLVQTAGDQEILIRVAVWCIGEYGDMLVNNTGFLDMEEPITVTESDAVDVVETAIKRHSSDLTSRAMCLVALLKLSSRFPSCSVRINNIIVQYKGSLVLELQQRALEFGAIVDKHQNIRSTLVERMPVLDEATYSGRRAGSVPTVVSTSQGTPINLPNGVAKTTSAPLVDLLDLSSDDVPVPSSSGGDFLQDLLGVDLSPSSSQTDTNQAQKRGTDVLLDLLSIGTPPAQSNSSIPDMVSSSQDSKSAINVLEQLSSPSAPAGGVSTPPGSSSMMDLLDGFAPNPSKPENNGPAYPSIVAFESSTLKVTFNFSKQPGNQQTTIIEANFSNKTFDAYTDFIFQAAVPKFLQLHLDPASSNTLPASGNGSISQKLRITNSQHGKKSLVMRIRIGYKLNSKDVLEDGQINNFPRGL >CDP05532 pep chromosome:AUK_PRJEB4211_v1:11:22492566:22493894:1 gene:GSCOC_T00020638001 transcript:CDP05532 gene_biotype:protein_coding transcript_biotype:protein_coding MILSWRKKRAARRAAKKQNLEVTSNMELTIPSHFRCPISLELMKDPVTLSTGITYDRDSIEKWIEAGNSICPVTNQVLRSFEPVPNHALRMMIQDWCVEKRSYGIERIPTPRIPISSYEVSEILSGIQTAKDQQDAERCREMVLKIKELAKDSERNKRCISANGTGGVLAAAFKTFSKVPFEQNVELLEEILSALITISPLDGEAKSYLGSDSSLHCMVWLTEMELVKILLEMLVDCEKSICEKALGVLDEACSYDEGMEKAYGNALTVPVLVKKLLRISDLATQFSVSILWKLCKNDKSEGGDVLVEALQLGAFQKLLLLLQVGSTDGTKEKTTELMKLMNVYRDRAECTDSLDFKNLKRSY >CDP00433 pep chromosome:AUK_PRJEB4211_v1:11:29187413:29193542:1 gene:GSCOC_T00032371001 transcript:CDP00433 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLTLLGILVALLKLQWATSHGVQPLSTIDISSAVIALDDGAYIRATPLILGLNGQNKEWITLEYGINNPSIDDWIGVFSPANFSASICLPDNPRTFPPVLCTAPIKFQYANYTNPKYKKTGKGSLKLQLINQRSDFSFALFSGGLLKPKLVAISNTITFANPNAPVYPRLAQGKQWNEMTVTWTSGYGLDEAEPFVEWGLQGEEQRSSLAVTLTFDRNTMCGAPARTVGWRDPGFIHTSFLKELWPNLVYTYKLGHRLFNGTYIWSQMYQFRASPYPGQSSLQRVVIFGDMGKDEADGSNEYNDFQPGSLNTTKQLIEDLMNIDIVFHIGDICYANGYLSQWDQFTSQIEPIASRVPYMIASGNHERDWPGSGSFYDTIDSGGECGVLAQNMFYFPAENRAKVWYSTDYGMFRFCIADTEHDWRKGTEQYKFIEHCLSTVDRQKQPWLVFLAHRVLGYSSCAWYANEGSFAEPMGRESLEKLWQKYKVDIAIFGHVHNYERTCPVYENVCTNKEKHSYKGALNGTIHVVAGGAGASVTDSEFSGIQTAWSIFKDFDHGFVKLTAFDHSNLLFEYKKSRDGKVHDSFKISRDYRDILACTVDSCPATTLAT >CDP00195 pep chromosome:AUK_PRJEB4211_v1:11:31006467:31011394:-1 gene:GSCOC_T00032064001 transcript:CDP00195 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKSVAPYLGMVIAQTAQVGLIIVSKEAMSNGMSKYLFVTYSNALASLILLPTSFLAHRSNRPPLTFKLVSGFFLLGVLGCTAQVTGYAGIDYTSASFASAMLNLIPGFTFVLAVIFRMEKVDCRTSSTIAKSIGTIVSIAGAFILTLYKGLQILMASSSPITHYDLLNQQQSNWVLGGLFLAIDCLAASMFIIVQALILKDFPADLIMVFFYCFFVAILSAGISLVSGDNLSAWTLQPTMRLLTILYSGIFGSALQVSLSTWCVHKKGPLFVAMFHPLGIVIAAAFNIIISKDAFYVGSLVGSILIVIGFYSVMWGKAREGKVVEDQLRGSTYVSIATEVENKEVKAGLVRVACRS >CDP00531 pep chromosome:AUK_PRJEB4211_v1:11:28413714:28414498:-1 gene:GSCOC_T00032492001 transcript:CDP00531 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIYIPSNPTTSIQLCKLQNLFQQVSIPPLLLNMPTPAAMKTLLKFFWIFLFQNPAMKIPLKFFWNFLFQNQYLQQVQVDLEREGNQDLNIISHRPKQQINQEESGEECAVCLCTIAESDEAKRLRCDHVFHKVCLDRWFGYGQVTCPLCRCSTKSCLLQARIGDQEVISFSFLDSFSSSSQKSSWWLR >CDP04852 pep chromosome:AUK_PRJEB4211_v1:11:4684166:4684483:1 gene:GSCOC_T00019607001 transcript:CDP04852 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSYINNHLYHDALFMFKSMNSSNTNPDYYIFPCILKACFASMDLRVGLQVHTQVLKMNLDGNLYVRNGLIAMYGKCGSLTGARSVLDEMPRRDVVSWNSMVVG >CDP05496 pep chromosome:AUK_PRJEB4211_v1:11:21629336:21634574:-1 gene:GSCOC_T00020570001 transcript:CDP05496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 3 [Source:Projected from Arabidopsis thaliana (AT1G14740) UniProtKB/Swiss-Prot;Acc:Q94B71] MRNFEGSGSVSGVPCESRKSGNSGAQELTLSYLCENAKDVGGSSYKGKEIVVSEDQNEENNRWVERDFLRLNENRGISCKREVEENGENEVEIREKKPRMETLNLSLALPDVSLSLAGSNRVQNGDHTIQNGDFPSRLRSSRSVQSLEPANNITRTTTTYSNDFSAASMSYSYSHPYSHNPSCSLTRNSTEYYEYSTGSHRRDCDQIWNAGEGTNGSVHSRFRPIGDGTVALSNPGPGGVTLGNCVVNKDTGNNSIHRATSSDNISFFPSELPARPRLDAQSGDSRGRGSENFRGLESLDGGRAHKPSRPERILREIVSESISVMSQIVPELPEETIESTKEYLKNLIAKPERKDELMGLQSRLDRRSDLTSETLSKAHKIQLDILVAIKMGLAAYLSSKICLPTSELIDIFLLERCRNINCKRLLPVDDCDCKICSTKKGFCSECMCPVCLKFDCAANTCSWVGCDVCSHWCHATCGIEKNLIRPGPSLNGPSGTTEMLFNCLGCGHTSEMFGFVKDVYMNCAREWGLETLIKELDCVRKIFRGSEDRKGKELHLKADEMLSKLQSKVLSPLDACGFIFQFFNYADAFPDLTTSTIPSKDLPSQVSLRNDVSAFPSSNIHFGNSSFSCLGSSSGRKDMLPVDLHQNDVKTPLVVENKMIEDEWSVKPLKKDGFDSLESIVLVKEAEARMFQGRADEARSEAESFRRMIRTKFEKLEEEYAEKLAKLCLQETEDRRRKKFEELKILEGSHCDYYQMKMRMQAEIAGLLKRMEATKQEWV >CDP00838 pep chromosome:AUK_PRJEB4211_v1:11:25129391:25133498:1 gene:GSCOC_T00032941001 transcript:CDP00838 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKRRRLNSIGSLSRAEYGISCRITASVLDLPTCLLIDIFSRLPVTTILSCKRVCKAWYTFFSSETDFANVYLSNPPFSSIVMSFGKSAFCFLELKADYNYCLPRNSPKIFRIPDGINEWTVTLFGSSNGLLCFSAYSLNKHEYKVYLCNPLLGEYAMLPLSNVEEKDSKVAYGFGFSPKTGEYKVFRILSRKWNPGKTEAQVCTIGLDNDWRVLKESAPLPHARQLMDRIYDRYRICEVTVNGALHWITDYMIRGDFLYSFDIGDEKIQPVPQPRGMVVGNGRVSLGVLRGCLCIYQIAFPPVLDIWSMKEYGVVESWTKESIMESCIPPRMYRSLYLPVVIWRAGEMFMCTEYGHLFSYSLEEKRSTIVSAYLAHDHYRFAVPYAPCFLSLKNIVKAGQEQGVDTELNFVLEQNNIKDASAIKHWSTTTGIRDLPIFILVNIFSRVPSSTVISIKCVCRTWYNLISDPHFADSYFTTQQSVSLVLSNRDSICSFLELKTDCHCNAQPNIEKILETPAQFSNKRVTFIGSCNGLICLSKISHSVKKCKLYIFNPQLREYTMLPKLKIYKTCEDVYGFGYCPATGHYKVLRFHTTKRQPKTSEAQVHTIGIDYRWRTIWRCRLHPVATNWPKDLSKARFCGVTLKGALHWMVEDSHDPYFIYSFDMEEEDVHPIPSPPIIGGRGCWTSLGVLRDFLCVFHGTSNLNFDIWWMRDYGVVNSWTKESVLASYIPVGLKAGAFLPLFVWRNDEILMSTDHGALVSYCPRDSKCTVLKIEHNAGGYLTAAPHFSSFLSLKAAMKGVDLKVVKVK >CDP07944 pep chromosome:AUK_PRJEB4211_v1:11:11663917:11664780:1 gene:GSCOC_T00025471001 transcript:CDP07944 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLGSILYSKGFSIAVAHSEFRPPNPLNHPEFIFHPLSDNLSDYDGSMMNMPNLLSAINSNCRVPLEEYMIQLMEDQKKLQGYQVSCIIYDSHLFFVDSVATHLKIPGIILRPDMAAYMLAFRYICQLKAENRIPLPEPRLQEPVPELHPLRFKDLPHPITNEIPERVMDIFASSVNIRSSVAIILDTSDCLEHSNLSRLQQCYKVPCFPIAPLHMLGAAATSTSFLEEDKSCIAWLEK >CDP13286 pep chromosome:AUK_PRJEB4211_v1:11:32561612:32562647:-1 gene:GSCOC_T00038175001 transcript:CDP13286 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKRGPWTIEEDNKLMNFILNNGIQCWRLVPKLAGLMRCGKSCRLRWINYLRPDLKRGAWTEAEEDMIIELHSRLGNRWSKIAAHFPGRTDNEIKNHWNTKIKKKLKFLGLDPLTHKPIDQPENRVSKKTGSIEIQNSEPLDAISYIVGTNDIQLEDPFQNWIDSPLPWDVFSSLGENFL >CDP11040 pep chromosome:AUK_PRJEB4211_v1:11:6505910:6507871:1 gene:GSCOC_T00033025001 transcript:CDP11040 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAKLPSQCVARIRRATGFGLKSFPVRYLGCPLFVGRRKCLYFMELVQSVISKVSSWRSKFLSNGGRIVLIKHVLSAIPTHLLAASCPPKGVLALVERAMANFLWGEREGGLRHHWIKWADLCAESSQGGVGVRSLLDVHTAFSFKLWRLLQIREVAEQNLWWEMRAGQCNFWFDNWMGSGPLCQRLQSVSDHLVRDFVLNGRWNQQLLRLWVPDDIVSEIVTKVAPVGSADDRAVWALTESGDFSISSTYELLGSQTPSSFMFERVWHPVIPIKISFFMVRLLRDRLPLASSLGRLQVYGPSKCFCCLASQSETLDHVFADEFIGVGSWSAVLSIFSRWRPRYSHRVVCWEFPVQGAFKLNTDRCSLGNPGVSGGGGVLRDSSGTLLFGFAVPFGELTCLQAEIKALVFGVQQCRLRGFSRIRVEMDSLVLVNLLVRQFRCPWSVRSDLESLRAVQGLEWTVGHCYREMNQVADALAKVGAHAEGVILYTTQSELPRVARGALRLDRSQTPTIRTRAISH >CDP05573 pep chromosome:AUK_PRJEB4211_v1:11:23155969:23157764:1 gene:GSCOC_T00020696001 transcript:CDP05573 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNSWIVEPHEKIRTWIIELDHRVMHEVDIYSENERWKRLSVYRLPASIIKLNRNAYTPQVVSFGPCYHGHANLKPMEEHKDRALLHFLRRSRKPIMVYIECLNIVVDDLRAAYQSLDNHWREDTERFLQLMILDGCFMLEILRIFTRSMEGYAESDPIFSNHGIVYVMPDIMRDMLMIENQLPMLVLHRLVAAEGASNTQVSSLGLILLCTNKLAYPKGSTNLFQITIILQSIKDYVNKLLFRLYNLDSYSRHIWFTKTIPSATELHEAGIQIQRSRSTSLQDIRFYKGVFCVTLKIPVIVVDNITETFFLNLLAFERLHVGAGREVTNYLPFMNNIIRDSKDISLLRSCGIIQNSLGKDEVVADLFNSLTRDTTIDPDSSVYIVEKKVIKYCSRPWVRWRANLVRTYFKNPWASISVIGSMFLFAFTFAQTGYTVIAYYRRP >CDP16294 pep chromosome:AUK_PRJEB4211_v1:11:23948514:23954301:1 gene:GSCOC_T00018073001 transcript:CDP16294 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGGIKSAVKSTSLISWKTTGKLQKTVTNYIQKTGLGVHSGKLSTVKIHPELAGRGRYFEFKSNIIRASIDYVKESPLCTTLCKDGYSVRTIEHLLSALEASGVDNCRIEIQSCDSDDDASVEVPIFDGSAREWMEAIRQVGLMVAKDGGGKSCEKLAPFLNEPVFVSKNDSFVAAFPSQKTKIRYGIDFSKIPAIGCQWFCSTFADDRIYDNLIASSRTFCVYEEVEKLRNAGLISGGSAENAIICSASRGWLNPPLRFDDEPCRHKVLDLIGDVSLLARSGSQGLPVAHIFAYKGGHSLHAEFVRQLNRTE >CDP00732 pep chromosome:AUK_PRJEB4211_v1:11:26378544:26379950:-1 gene:GSCOC_T00032798001 transcript:CDP00732 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLAQLVELAKLLINRDKKFSITVLISRLPASLDPITNKLIDSLVASSTTEALQFVQLPPTDPTPEWSSLSRGYFIQKQMDSQKPHVKKFIQQRQTDESSSSKLVGVVVDMFSTSIIDVADEFGIPSYVFFTSGAAFLGLMLHFQSLQDDHNQDVSEFSDSKSALSFPSFANPIPPSVLPIVLVDIPLWIHRFLQCARGYRKAKGILINTFTELEPYAVDSFNLSESSPEIYPVGPILNRVQYVSHDVQSGIMEWLDGQPPGSVIYISFGSLGSLQIDQVKELANGLERSGYRFLSCLRRPPPKNTIVDFPSEYENYRDVLPDGFLDRTSHVGKVVGWVPQLAVLSHAAVGGFISHCGWNSTLESIWCGVPLATWPLESEQQLNAFQLVVELELSVEITLDYSSMDKNQALVTAEQIETGIRKVMESDSEVRKKVKEFSDKSRRSMKQGGSSYESLESLISKMLHNS >CDP13191 pep chromosome:AUK_PRJEB4211_v1:11:31886775:31889729:1 gene:GSCOC_T00038053001 transcript:CDP13191 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMYDLHSSGHDYADKALISPENLMMAADYSYQSFISPLNDHHRSHIYGSDDHVAAAAAAASRFQCSASISEAASITPEDIQRGSSRCGFGGEDDIHAAEDACSVIKAKIASHPSYPKLLDAYIDCQKVGAPPEIAGFLDEIRQENGGFCKGNAISTCLGADPELDEFMETYYDILVKYKSDLSRPFNEATTFLNKIEMQLGNLCKGMYIF >CDP13367 pep chromosome:AUK_PRJEB4211_v1:11:33217258:33223715:1 gene:GSCOC_T00038277001 transcript:CDP13367 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNQLSSSLNRLRASVNVSLSNYEPLALVAAPILTLLLARLLQSFFDVISENGLKATILGFFMSCVKLVPGVKRYIDAEKQKVVDKMQTSGKSKRDDWISELPRTRLGAGVIEKMKDEKQKDVVWQGRCSGTVYIGGTEQEGHFPLINEACSMFAHTNPLHLDVFPSVVRFEAEVVAMTAALLGSKEKASGGQICGNMTSGGTESILLAVKSSRDYMRAKKGITKPEMIIPVSAHSAYDKAAQYFKIKLWRVPVNKQFQADARAIRRHINKNTIMELGDLASSFGICLHVDLCLGGFVLPFARKLGYPVPPCDFSVQGVTSISVDVHKYGLAPKGTSIVLYRNHDIRKHQFVAVTEWSGGLYVSPTIAGSRPGGLIAGAWAAMMSLGVEGYLENTRAIMEASKRIQKGVQEIPELFIIGRPDMTIVAFGSDVVDIFEVNDIMSSKGWHLNALQRPNSIHICVTLQHVCVVEDFLKDLRESVQTVKENPGPISGGLAPIYGAAGKMPDRVMVKDLLVDFMDSSC >CDP00509 pep chromosome:AUK_PRJEB4211_v1:11:28565583:28566167:1 gene:GSCOC_T00032470001 transcript:CDP00509 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFNSISVPLSTPLVLKTTPQRNAVVLAQKQQQTLTALTNLTISDEALASRGFALHRTINKLDLDHLNSVFVAVGFPRRDTDKIRVALENSDALLWIEYEKAERPVAFARATGDGVFNAIIWDVVVDPSFQGIGLGKAVMERLVNVLLEKGITNIALYSEPRVLGFYRPLGFVADPDGIRGMVYSRRTQKKKR >CDP16264 pep chromosome:AUK_PRJEB4211_v1:11:24403896:24404783:-1 gene:GSCOC_T00018033001 transcript:CDP16264 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLCYLGRWPVKSASFILDLLKNAESNAEVKGLDVDSLFISHIQVNQAHRNKDVAHIISCPWINPYISSPCHIELILSARAWSGGKGLLKIRPGLWFEPCWKSVKKEVIYIRIYIFFLSVISGVFLFVSKFFFLIFYSLNHSWPPANLGRLKLYVMVLSLAMAFVDSTVFIFFLGFAP >CDP07990 pep chromosome:AUK_PRJEB4211_v1:11:9803026:9810227:1 gene:GSCOC_T00025555001 transcript:CDP07990 gene_biotype:protein_coding transcript_biotype:protein_coding MANFKKCMIHGCSNGTSCYIRKKKWRIPYPHPGSWAVLDLQEFQNSVNDHELQFFMVDGDFKKFEGKWSVKSGKMSSKTTLSYELNVTPTFNFRSIFLESIISSDFPVNLQALASKAESSFKNSQNSLTDEAPPVITLANSFISTSSDVSGVTPDKSDFSTEELKEKLVKATLNPLSPATSELKSNWGVFGKVCKLDKPCMVDEVHLHRFDGLLENGGVHCCVIASITVKAPVREVWNILTEYGRFPESSEYSAWSRYFYKYESRRIWFRLYVPNLAISKIQSRENNKVRNLQVQTAGLLYVVLQVRVVLYLCEALEQESSFQQVEGDFDSFSRAIKESSYTVEIQCNQAHGRIQLMNLSLAYKHRKPKGYWDNLENLQEEISWFQKSWGMDPLFMPSRKLLSKQVAMILRMHWRSGEVFMKFHVFFHLRYGILTDKKA >CDP00485 pep chromosome:AUK_PRJEB4211_v1:11:28779027:28779749:-1 gene:GSCOC_T00032440001 transcript:CDP00485 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLQTGTGSRFRKYHILHASHMAGNKSWKRCPLHVFFLVSTDSHSHRHLILDVTKSYIYYHPRLVLWALEKCLAAAILAASGAEGILVEAMNSLPFALALKQQVNDGKTAEYQLVFLPLLILL >CDP04842 pep chromosome:AUK_PRJEB4211_v1:11:4830630:4831511:1 gene:GSCOC_T00019590001 transcript:CDP04842 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFRSISINIKGRTAWVGAGATVGEAYNSIYEANSNLGFPAAYCPTVGIGGHISGGGYGPLVRQFGLATDNVIDANGRVLDRKSMGEDLFWAIRGGDGASFAVILGYKLKLVEIPEKVTAFSINRTWEQNATQLLYKWQYIAPKLPLNLVITPQIVSINSNQTVDELLSIMNQQFPELGLKKEDCTEMLWIQYFAYAGGLPTSSIKEFLTSRVSSTKLYYKAKSDFVKEPIPEKEIEEILRKLNELPPFVGMLEWNHFGGGVMETIPESSLICFVS >CDP16269 pep chromosome:AUK_PRJEB4211_v1:11:24331621:24334536:-1 gene:GSCOC_T00018044001 transcript:CDP16269 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFTAFIVLWIVFLTGKIDFGLHARAHSNVSCLESERKTLLEFKKDLIDKSNRLASWTGEDCCSWEGVGCSRNTGHVVKLDLRNNATFDFDRFWVGDTQNYVSIYGETCLGGQISPSLVNLQHLHYLDLSSNYFARIRIPAFIGSLKYLRYLNLSTAGFNGTIPPQLGNLSALEYLDLALNKLRFLSSLTLRFCRIYSFPHITHLNFTSLTSLDLNGNEFNSMIPLWLFNLTSLVHLNLMNNNFFGPIVANNLQHWTSLSYLDLRYNQFNTSLPDSLFTLKNLVYMALSGNQIQGPLPFGLGNLTSLSVLHMGDNMFEGKIFSIRQLRERTDPNVNGFNGTIPSSLWSLSELKSLDLSSNPLSGELRDIHFAKLAKLKVLRLSSTLLALNVSSKWVPPFQLQDIGMSSIEIGPKFPLWLQTQKRVEYLYMSNASISDTIPDWFERVCHGIKYLDFSDNHMMGKPPVCKGNSDTYRLHLRNNSLQGIIPQPDIKNDMTLDILQILDLSDNHFIGRIPDSLCSLQSLAILDLSNNQLSGRIPSCIGKLKTLGVLNLANNSLYGHIPISLGHLNFLQSLHLDRNKFTGMVPFSLRHMKNLQYLDLGKNGLEGILPAWIGDELSSLKILVLESNNFHGDISMSLCKLSSLQVLKLENNNLTGHIPRCFNNFTAMISTELDSTRIYVPVYVLNGPFSVVYYSEDLSVFIKGGMLNYTSSNVAYVRFMGLSGNKLSGEIPVELMSLVGLQGLDLSRNHLSGRIPENIGNLSQLESLHLSKNDLSGPIPQSLSNLDSLGWLNLSFNKLTGRIPSGRHLQTLDDPTIYMGNSGLCGEPLDKSCPDGKSNAGESDGDHEDGKESYFDWFYAGFVTWFCCWTRGIPQCPMFPKVMALCILRISGELVQ >CDP13248 pep chromosome:AUK_PRJEB4211_v1:11:32296453:32298349:1 gene:GSCOC_T00038128001 transcript:CDP13248 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVTTSGGWLWFPVALFPERVLVLRQYSVFRISSSKVGSWTDLMHEKRCRYIYVDSNGANGYKRNGKGNIFQVANYAQERA >CDP00447 pep chromosome:AUK_PRJEB4211_v1:11:29082897:29094979:-1 gene:GSCOC_T00032387001 transcript:CDP00447 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGRLYQLLFSLVTFHSRDFFSDIFSFLGKSFPFLTVLLGWGLFFGTRVLFYSFVVKRASYIASMHVGSANSICFLIEVEKLLVEEVRNNNTLIVVGETGSGKTTQLPQYLFYGGFCRDGGVIGITQPRRVAAVSVAKRVAEECGVALGQKVGYAIRFDDMTSASTRIKYMTDGLLLREALLDPCLSKYSVIIVDEAHERTVHTDVLLGLLKSVQKTRSSVSKVMNGHAQNGLLMGAENDKSFLMPCHGKKLSPLKLIIMSASLDARVFSEYFGSARAVHVQGRQYPVDILYTHQPESDYLDAALITIFQIHLEESHGDILVFLTGQEEIESVERLVHERLRQLPEGNQKLLTFPIFSSLPSEKQMKVFMPAPPGFRKVSLDCTKMNVRKTNPLWISTTEYGCGQKLWFHQQAKVVIGRTLQLAKVNKLYCSGRAGREGPGKCYRLYPESEFDKFEDSTTPEIKRCDLSNVILQLKALGIDDIAGFDFIDKPNRTAIIRSLEPLFLLGALTEESKLTDIVGHQMARLPLEPVYSKALILSSQLNCLEEMLIVVAMLSVESIFYAPREKLEESRAALRCFSSPEGDHLTLLNVFHASNEFVVKNKLTHSKEKAEKNLRKWCKDNFINSRSLRHARDVHSQIQRNVEQMGLRITSCGDDMLVFRRCLAASFFLNAALKQPDGMYRILSSGLMVQIHPSSILFRSKPECIIFDKLVRTNNNYIRNICRIDYLWLPELAPQCYGLQ >CDP00749 pep chromosome:AUK_PRJEB4211_v1:11:26250838:26256070:-1 gene:GSCOC_T00032819001 transcript:CDP00749 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLERFLITFLALLAICKTPWADEKLLDASQLKMFVDELPDMPKIKGYDVVNGDHVPKSLIIGMFHKKWKFHRDIPATPVFAYGTSRHTATVPGPTIEAVHGIGTHVSWRNHLPSKHILPWDPTIPTAIPSTKEGIPTVVHLHGGIHEPESDGHSESWFTRNFKQRGPTWTKKKYHYHNIQHPGTLWYHDHAMGLTRVNLLAGLIGAYVIRQPDLEVPLGLPYDDEFDRPLVVFDRGFRVDGSIFMNSTGNNPTIHPQWQPEYFGDAIIVNGKAWPYMIVRRRKYRFRIINASNARFFKFYFTDNLTFIHVASDSAYHERPVTLKEMLLAPSEIANVVVDFSKSKSNSAILANDAPYPYPSGDPVNEANSKVMKFIISTHHEVDESRIPEKLIKYPSSSSSDASPVAHTRYIALYEYTSNVDEPTHLYVNGKPYEAPATEMPKVGSSEIWYVINLTDDNHPLHIHLGLFVVLDQTELAKEDEFKDCMLKFNDAIKCHVSKYARGKKVLVPAHERGWKNVYKMMPGYVTKILVRFSFIHLNESYPFDATSKPGYVYHCHILDHEDNVMMRPLKLIA >CDP04807 pep chromosome:AUK_PRJEB4211_v1:11:5380695:5394929:1 gene:GSCOC_T00019535001 transcript:CDP04807 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSCYSLHLLSSATAAAASTTAAVAATSTTCTVMLSRTSFRGGRGRGRGRRSYSDRPSVDGRDTAEQFVTGDSHFRQVQDSNRGFRPPYSRGNSNSNFQSSTRFSPRPNYYNPRPQQQQQQSVGQFHRPSPAPQQQPPVDQNQQAYRPPQPHYNFNQQFRPWQQQSWQPRPRPPKDLEYRNWEHAKPGPPPEWERFTVLSYNILADYLATDHQHKLYFHVPRHMLDWKWRKRSILFELRLWSADILCFQEVDRFQDLKEELELRGYNGVWKMRTGDRVDGCAIFWRNSRFKLVHEESIEFSALGLRDNVAQICVFESLSQCNSTTAPAPSKSSKQAKKVVVCNIHVLFNPRRGEIKLGQIRVLLARAHAVSKLWDDASVIICGDFNSTPKSPLYNFVAEQKLDLSELPRDQVSGQTSAEFYPSRPSNPNSGALSTGNFSQVLPTASQMKAESCDSPLAEEKKNYPDECSGNEHFADCLSKPLSSVVEEPVESCINSIYVDERTDTKLRENDIEIFHGSDNEFKSTICDSYDLEESPVVIQDDTKSSVSPVKDVIQDINLLGDEMDNLLIDEFLEDTKEDGSFEEGTTTSSDIQETNPLGNESLIDTRAVDSEIIDVEQSQYDPSAWTPVELETATGSSDCLVMEHPLKLRSVYGEVEDSSGTRDSTGEPAVTSYHRRFLGTVDYIWRSEGLQTSRVLAPIPKHAMQWTRGFPTKKWGSDHIALVSELAFREDISSVNSDVKLQDHVQHLTWNQT >CDP00747 pep chromosome:AUK_PRJEB4211_v1:11:26274900:26276595:-1 gene:GSCOC_T00032817001 transcript:CDP00747 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIPP20 [Source:Projected from Arabidopsis thaliana (AT1G71050) UniProtKB/TrEMBL;Acc:A0A178W5Y0] MGFLDHIADVCSDAADDVSHNVKRKRRPLQTVEIKVKMDCDGCERRVKNAVSHMKGVKSVDVSRKDSRVTVTGNVEPSKVLSKVKGTGKKAEFWPYVRYNLVSYPYVPQAYDKKAPTGYVRNAVQAMPSPNTPTERYASLFSDDNPNACSIM >CDP17997 pep chromosome:AUK_PRJEB4211_v1:11:16869538:16871250:-1 gene:GSCOC_T00001333001 transcript:CDP17997 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLSRVDSSSAQHLLIYQRVKKSSNDVIGRKTTEGILSHTIWLISSLDLERIQFLQSRNSFGVCCSSVTDFTSKSTFLKFLNFLGSPGLCTKFDCRSRGTTTVLSMSSSSRDEIAKDNTDGLTYKDAGVHIDAGSELVRRMAKMTPGIGGFRE >CDP00672 pep chromosome:AUK_PRJEB4211_v1:11:27068882:27075284:-1 gene:GSCOC_T00032695001 transcript:CDP00672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) UniProtKB/TrEMBL;Acc:F4I1S8] MGSRSDGMQQVKVERVFIGAGCNRIVNNVSWGACDLVAFGSQNAVAILCPKIAQILTTLPGHKASVNCTYWLPNAKFAYKAVEMERHYLLSGDADGVIILWEYTILDKKWRHVLQVPQPHIKGVTCISGIMMSQKDAVFASTSSDGTVNVWELVFPCTSEGDCKLSCVETLSIGSKSMVALSLAELPGNSGHLALAMGGLDNKIHLYCGQRTGKFVPACQLKGHTGWIRSLDFSLPILGIGETFILLVTSSQDKGIRIWKIAFRDSLDTKKEEISLASYIKGPMFVAGSSSYQVSMESLLIGHDDWVYSVEWQPPSIINGNECYQPQSLLSASMDKTMMIWKPEKTTGIWINVVTVGELSHCALGFYGGHWSPKADSILAHGYGGSFHLWKDVGVASDDWKPQKVPSGHFAAVSDIAWARQGEYILSGSHDQTSRIYAPWPKKSCLENEDNWHEIARPQVHGHDINCVTIIQGKGNHRFVSGAEEKVARVFEAPLSFLRTLSHATSGDSSLLDDLQAEVQILGANMSALGLSQKPIYSQVSPETTNRSNTDGLDTLETVPEAVPVEFTEPPIEEQLAWNTLWPESHKLYGHGNELYSLCCDHSGKLVASSCKAQSASVAEVWLWQVGSWKSVGRLHSHSLTVTQMEFSHDDKYLLVVSRDRHFSVFSIKDAGADEINHELMVRQEAHKRIIWACSWNPFGHEFATGSRDKTVKIWAVENASTIKQLMTLPSFKSSVTALSWVGLGRQSNHGFLAVGMESGLIELWSLSCERSDNGNLMVPNATCVVQFDPFLCHASPVSRLTWRPSEKIPDSKVIQLASCGADHCVRVFNIDFL >CDP11025 pep chromosome:AUK_PRJEB4211_v1:11:6831060:6833112:1 gene:GSCOC_T00033003001 transcript:CDP11025 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAILATVFGPRKCPKTRQPLKDQLSQLPDEILVYILCCSNQTKSLALDYLRVAFYLDNFYGDETHKWLQHAFARRVQRLELDLFPDDSPPSSPESYTFYHEFLRCSSGKSQPGYPEIHHHAQIGFKSLRALSLKSLNVTVEVLEFFFINCPFLERLVVGGSSVLINLRVCGPSIALKYLEVCSCLRLQSIIVSDTNLVSLKTTAAHHLLLHNVPMLVNKSPLHMGFLVRDVISWLFCCLSQLEILTLRAKELQVSQENGIVHELPQLTNLKEFVLIAFASKDDSLIGFTSLIRPSPSLEKFVLKLESWWNDMVRGDRKLKKAASFPLQHLKEVELLGYYGRRSELKLVEYFLDNAIVVEKLTIDPRDPRKVNSPKTRREKKQEKLARFCAKQQLEGLIPSHVEFSIL >CDP00297 pep chromosome:AUK_PRJEB4211_v1:11:30247073:30250437:-1 gene:GSCOC_T00032188001 transcript:CDP00297 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASKGPKSTSYDAYFENVQSRKMLPQSLQETLTAAFARIPVSSFPEVPGGKVIEIPADTSIGDAVRVLSESNIMSAPVRNPDIEYTTDWRERYLGIIDYAAIVLWVLETAGVAAAALSTGSAAAAGVGAGAAGTLGAIALGATGPAAIAGLTVAAVGAAVAGGLSAEKGMAKDAPTAADKLGEDFYKVILQEEPFKSTTVKSILKSYRWAPFIPVATDSSMLSVLLLLSKYRLRNVPVIETGNSSIRNFITQSAVIHGLERCKGRDWFDCISANPISKLGLPFMTPDEVVSVQSDELILEAFKKMKDNQIGGLPVVEGPKKQIVGSVSIRDIRFLLLKPELFSSFRVLTVKDFMNTIASAIPHTGKVIRPLTCKPDETLGSVIHALASNSVHRIYVVGDDNGVTGVITLRDVISCFIFEPPNFFDNYFGFAAQEMLGC >CDP16960 pep chromosome:AUK_PRJEB4211_v1:11:17392728:17393719:-1 gene:GSCOC_T00004776001 transcript:CDP16960 gene_biotype:protein_coding transcript_biotype:protein_coding GLIEKELKSTIRWTGLGASRQPDLQAEVENLSMEERRLDDRIREMQERLRDLSAINQKWLFVTFEDIKAVPCFQNETIIAIKAPYSTVLEVPDPFAVDYPQRRYEMTLRSTMGPIDVYFIR >CDP16289 pep chromosome:AUK_PRJEB4211_v1:11:24015963:24016839:-1 gene:GSCOC_T00018066001 transcript:CDP16289 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEALKFFAYTYSLQRFKASRKFPDHHDFNMSLHSLTRSNYGDLSLKLLVILYFKRLLSSCLSL >CDP00648 pep chromosome:AUK_PRJEB4211_v1:11:27256931:27257456:1 gene:GSCOC_T00032662001 transcript:CDP00648 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRTDSLTKRDDACFPSFSFEKLKFHFSSPRKKASVFHQERSKICLEFSRAFCRTNWSPSAW >CDP13312 pep chromosome:AUK_PRJEB4211_v1:11:32708037:32712749:-1 gene:GSCOC_T00038203001 transcript:CDP13312 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MGILGLSERFSRLSKTSLSIHSSYFNRSRKRAFSELKQKITEDDSILPVLIVGAGPVGLVLSMLLTKLGVKCAILEKSTTFSTHPQAHFINNRSMEVFRKLDGLADEISSSQPPVDYWRKFIYCTSLTGPILGTVDHMQPQDFDRTVSPVSVAHFSQYKLHRLLLEKLVNLGFHIVDSHGSNRLEEILIREKELLMGHECVSVRTAHHGVTVTASFLSEGRHMQKDIRCNFIVGTDGAGSTVRQLVGIDMKGERDLQKLVSIHFISEDLGKYLMNEKPGMLFFIFNSEAIGVLVAHDLKQGEFVLQVPFYPPQQKLKDFSSEMCERLIFRLVGRELADIQVRDVKPWVMHAEVAERYLSCNNRIILAGDAAHRFPPAGGFGMNTGVQDAHNLAWKIASVLNGITPLSFLSTYEIERRQIARFNTELSVQNFKAAMNVPSALGLDPTIANAVHRAVNDWIGTILPEGLQRSVLEGIFSIGRAQVSDSLLNEKNPLGLLRLAKLRRIFDEGKSLQLQFPAEDLGFRYLKGALVSDDDSSSNAQEAPTGGRRDYIPSADPGSRLPHMSMRLLSDLSSKETFSTLDLVSLDKVEFVLIIAPLEESYNLAQAAFKVAKEHKISIRVCVIWPEKTTDGSGKTEAALEPWTNFIEVAELRNPPTSVSWWDVCQMTDRGAILVRPDEHIAWRTKFGITADPVLEMERIFSTIFGAQPRQT >CDP00362 pep chromosome:AUK_PRJEB4211_v1:11:29753156:29760963:1 gene:GSCOC_T00032280001 transcript:CDP00362 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDASSAACNLAVLDSLNEDSIHEMVESWNRFCLASEGLLIGAGNLSFGSEFVSQAQILVKYGLESLVQQHFLRSVEEIFEKNGATRFWSHFVPYSNVAALEINDDPIQRDEIQEVLCKALEEISSQKQYQEKCLWMLIHALHSESATKSECQIKSDAQNVPLIMKYQLMVSSVLMTSLPRHFPEILHWYFKGRLEELSTIMEEDDENENEHKVQDDMDVDEKRQLVSRSGDMDVDGCHHQRGFSEKNKLVKNIGMVVRNLRSLGLTSMVEDAYASAIYFLLKAKVQDLSGDDYRTSVLESIKGWIQAVPLQFLHALLAYLGDSTDYASHSSGLKSPLASRHSSCYFGSEEPSEGLVRWQLRLEYFAYETLQDLRIAKLFDIIVDYPDSAPAIEDLKQCLNYTGQHTKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVSEPIREYLKGRKDTIKCIVTMLTDGTGGSNSASGSNGDSLLEELNRDEESHENTCTDDDINIDDKQAWINAQCWEPDPIEADPLKGSRNRRKVDILGMIVSIIGSKDQLVNEYRVMLAEKLLNKHDYEIDSEIRTLELLKIHFGESSMQRCEIMLNDLIDSKRTNTNIKATIKQQPQAEQEEHDESLDVLSATIISSNFWPPIQDETVNLPAPVDQLLSDYARWFNQIKTPRKLLWKKNLGTVKLELQFGNREVPFTVTPLQASIIMQFQDHTSWSSKDLAAAVGVPVDVLNRRISFWISKGVLTESGGMDASSHVFTLVEAVSDNNKAGPSNGSFEELMVGDDDGERSVASVEDQLRKEMTVYEKFITGMLTNFGSMALDRIHNTLKMFCIADPPYDKSLQQLQSFLYGLVAEEKLEFRDGMYLLKK >CDP00704 pep chromosome:AUK_PRJEB4211_v1:11:26701632:26703918:1 gene:GSCOC_T00032754001 transcript:CDP00704 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSFSACSTVGCSSNRDGHEPNEQNISSVKINGTSCYPSAKVELSGQRNGDVTVASENLYISTQEVRQNIPTKKQLVDPFRQGLIIEEGVGYRQTVVIRSYEVGPDKTATLESVLNLLQETALNHVWMSGLLGDGFGATHGMMRNNLIWVVSRMQVQVDHYPIWGEIMEIDTWVGASGKNGMRRDWLLRSHATGLVFARATSTWVMMNRQTRRLSKMPDEVRAEISPWFIGQQAIKEDIPEKIEKLDDNAKYIISGLKPKRSDLDMNQHVNNVKYVWWMLEAIPDKFFENHQLSGIILEYRRECGSSDVVQSLCEPNEGGLIADGIQQDNNIRLLSGFSRSSGVIEGNGLLQSLNPGPSSYTHLLQAKGESRNEEILRGKTTWNRKINSLPLQG >CDP05414 pep chromosome:AUK_PRJEB4211_v1:11:20003864:20013026:1 gene:GSCOC_T00020452001 transcript:CDP05414 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRFLILHLFPLTLNFILKLLNMNKIYKISIVILSLNMIIAFLKRAGGGEGEENGGQNTTKFIVHGGNLTTSQSVLNHDQGVPSLCRNLQCGILNDTQSKSPHISIIVIYKFSMHKLTEDFSNEWGIFSSNAQETSMKSLYRFCCFCFLLPTLIVSDSTDTITTNQPLADGKTIISSGGTFELGFYRPDVNSNRTYLGLWYKKVSKVTVVWTANRDVPINDTKGLLKVTDQANLTLFNGEGTAVWSSNATGSAKNPVAQLLDSGNLVIKDAADNNPENYLWQSFEHPTDTLLPGMKLGENLVKGTEVYMQSLKSSVDPSRGNFIFRVDPDGFPQQFLMNGSTPRFRTGPWNGLRFSWGSPGLQPNPLYTYEFVNNPQEIYYRYDLINTSVYSRLIISTDGIIQRFTWNNKTQDWGVYLNAPADTCDTYGLCNNYSICSTANSPICSCLDKFVPKSPSEWQATDWSNGCQRRVPLDCPKGDGFIKYSGIKLPDTRWSWYNRSMTLKECDTICLKNCSCTAYANTDITGKGSGCVLWFGDLIDMTRVNGGQDIYIRMAASEIPSGANKAKILGISLPLGGAFLLLVLSLILCARKKKRRQRKLAEQLPSEWKMESRPEQRLNTEGDKEDLDLPLFDLKTIEQATNNFSIDNKLGEGGFGPVYKGTLEEGQEIAVKRLSEYSIQGLDEFKNEVKCIAELQHRNLVKLLGCCIEGEEKMLIYEFMPNKSLDFFLFDNDRSRLLDWPKRFQIIIGIARGLLYLHQDSRLTIIHRDLKAGNILLDSAMKPKISDFGMARIFGGTETEASTKRVVGTYGYMSPEYAVDGVFSTKSDVFSFGVLVLEIVTGKKNRGFSHPDHHHNLLGHAWLLFKDGRFQELIDDHFSQSYCLSEVIRSIHVGLLCVQQYPDDRPSMPSVVLMLVGDGELPFPKEPGYFTERNFFFETDKIFSSGTVDSSGNQLSITVLEAR >CDP16287 pep chromosome:AUK_PRJEB4211_v1:11:24023305:24030698:-1 gene:GSCOC_T00018063001 transcript:CDP16287 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLSYRNRLHWLTQSNREDYLYCFDIAEERIFPIPPPPVLASAEMSVTVLDDFLSICLVSEDSINIWQMLDYGVESSWKRTRILNLRLAVRVLPLPSVPVLRLQDTGILLCHQSYETLKKVIEGEMSAVNITAGTQSQDVTAVSYIPRLESVTQLVNRTDKTNYKYLRRCNLHNFIQATLNTINGEGATIVVSGDSPFAPKDAIQIIIKMAAANGVRRVWVGKNGLLSTPAVSAVIRKRVAIDGSKASGAFILTGSHNPGSPCEDYGVKYMMGNGEHAPESVTHKIHEATKTIVEHGFAVGLPDVDLNTIGLTRLPLSRGQFEVEVLDLASDYVEVMKSTFDFGPIRKLISLPNFTFCFDALNGLAGALARRIFVEELGAEESSLRNCAFKEDFIGGYNFTNAESVARMRLGKTNAGSEPPDFGAVVDWDASCNMVFGKRFYVTASDAVAVIAANAVEAIPYFSGGLKGVARSMATSSALDVVAKRLKLRLFEVPVGLEFRGNLMDAGLCSIWGEESCGMCCDCIRERDGIWAVLAWLSILAYKNKDNLCGGRLVTIEDIVYHHWSVYGRHYYTRYDYENVDAGGANALMAHLVQLLSSLNEVNVIIKGIRSDVSNVVHADEFEYKDPVDGSISKHQGIRYLFEDCSRLVFRLSGTGSEVATVRVYIEQYEKDSSKTGRDSQEALAPLVEVAIKLSKMEAFTGRSAPTTIT >CDP04783 pep chromosome:AUK_PRJEB4211_v1:11:5894965:5896479:1 gene:GSCOC_T00019494001 transcript:CDP04783 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVNDQELPLFNLQAIETTTDQFSMQNKLDEAGFGPVYKVTLISKLQHRNLVRLLGCCIQGDEYILIYEYMPNRSLDSFMFDSTKRTLLHWTKRVSIIEGTAQGLLYLHRYSRLRIIHRDLKASNILLDKNETRSKTTKIAGTYGYMAPEYAMDCLFSEKSDVFSFGIIVVEIISGHRNMAFFKSDSSLNLLGHASNLWKEEKPLELLDSAVTNSSCSASEVVRCLQLGLLCVQDRAVDRPCMSDVISMLRNDTIVVPLPKEPAFLAQFTSGTDSHLSRNQNRDHSRNEITVSEVHGR >CDP05566 pep chromosome:AUK_PRJEB4211_v1:11:23076371:23079736:1 gene:GSCOC_T00020687001 transcript:CDP05566 gene_biotype:protein_coding transcript_biotype:protein_coding MKVATSTSHSIPKLICPEGENGLIISTKDLNRTLNIDKSAMTITVEPGMLLRQLINESAMAGLAIPYVPYWWGVTVGGILGTGAHGSSLWGESGSAVHDFVIQLRIVTPVGPDEGYAKVRTLQNGDPELDAARVSLGVLGVISQVTLQLQPMFKRSITLLEKNDSDLADQVSTFGRQHEFADLTWYPSQSKVVYRIDDRVSSGTPGNGVYDFAAFRSAPSQYLAMARSLEESQESRGTSVGGECVTGSVCTSPMEMAAYGLTRNGESQESTSDVVGKCVTAAQTLSQLAVAAYGLTNDGMAFNGYPVVGYNNQLQSSGTCLDSLEDALATSCPWDPRIKGLYFFYTAISISLSKTKDFIEDVKKLVALQPEAFCGLDLYIGILMRYVTASTAYLGKDEDVVEFDMIYYRSKDPKSPRIYQDILEEIEQIALFKYGGLPHWGKNKNMAFIGAIKKYKSASEFLKVKRLYDPLGLFSNEWTDQILEVKDGISIVKENCALEGLCICSEDVHCAPQKGYFCRPGKVYQEARVCVHLSYEDGYDWS >CDP00780 pep chromosome:AUK_PRJEB4211_v1:11:25920890:25936604:-1 gene:GSCOC_T00032859001 transcript:CDP00780 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVMLRVFQEGGRDYYQQAPSTSSSSSSILQSLPLHVSFDHGYYLLVKSIQELRSKKDGLVTVGIGGPSGSGKTSLADKVVSVIGCTIISMENYRTGLDDGNDLDFIDFDLLVQNLEDLISGRDTSIPLFDFQGRKRIGSEKIKSISSGVVIVDGTYALHSRLRSLLDIRVAVVGGVHFSLLSKVQYDIGESCSLDYLIDSIFPQFRKHIEPDLHHAQIRINNSFVSSFREPIYKLKCKSESQNGHEDYFFHGKEKILDNFIEMYLRPPSASEEARINDWIKVRQSGIKYYLSLGDQRIVDKYYIIRPKAEFEVGRMTLGGLLALGYTVVASYKRASTSVFEGNLSVSLETIDTLGETYMVLRGTNRKMVGAEAARMGVSGPWITKSYLEMILERKAKQPFCFDYIISLAGVPRLNTPPPLSSAPMDSSQVRLITAPKPVRITPNLINRLEDLSQPWTRSPTKSKMEPVTATWHFIPRETPLAEGAIVDPSSSRAPVQLAPIPDIFDLDRGLLLAVQAIQALLENNGLPVIVGIGGPSGSGKTSLARKMANIVGCEVVSLESYYKSEHVKDFKYDDFCSLDLALLSKNIDDIRSRRRTKVPMFDLESGARTGLRELEVSEDCGVVIFEGVYALHPDIRRSLDLWIAVVGGVHSHLISRVQRDKSKVGCFMSHNEIMTTVFPIFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKTNKQVAYQDILKILDPSKLCSSVQSFIDIYIRLPGLPANGHLKESDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAFIEATAYIYQDGKILIEVDHLQDVPSPYIQVKGVNRDIVAAAGSTLKLDGSYTTKSYLEIILERLPGGSSGIHSQQAAKLQELLEFIQSQGCNSVSESSPSREVSPLEGVIEDMQSRIRRLERWHTINTVLWTFLLSAFVGYSLYQRKRQ >CDP14397 pep chromosome:AUK_PRJEB4211_v1:11:12597646:12599442:1 gene:GSCOC_T00040780001 transcript:CDP14397 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVPKIQSVMATPSAPLLLLLLFLSLISLSSLPSTLSASGSIAINYGRVANDLPSPPHVVQLLKAQGLTKVKLYDTDSTVLSALSGSGISVTVALPNELLSSAAAGQSYTDSWVQSNIFAYYPKTLIEAIAVGNEVFVDPKNTTPFLVPAMKNVYSSLVKYNVSSIKISSPIALSALQTSYPSSSGSFQPELVEPVIKPMLNFLKQTGSYVMVNAYPFFAYTANTDTISLDYALFRDDKGVTDPNNGLVYKSLFEAQLDAVFAAMNTLGFNDVKVVVSETGWPSKGDENEVGASQQNAAAYNGNLVRRVLTSGGTPLRPDEPLNVYLFALFNENQKTGPTSERNYGLFYPSEQKVYDVPLTLEALASAPTASPSNTSRVVTKPPSTSSSGDFFPSTAGQTWCVASGDVGEEKLKAALDYACGEGAADCRPIQPGATCYNPNTLVAHASYAFNSYYQKNARRSGSCYFGGAAYVVSQLPKYGSCDYPTGY >CDP16311 pep chromosome:AUK_PRJEB4211_v1:11:23707754:23708731:-1 gene:GSCOC_T00018104001 transcript:CDP16311 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFPTIAVISILVLFLANNIQTEAQLSPTFYDATCPQALDTIRTSIRRAVSRERRMAASLIRLHFHDCFVQGCDGSILLDETPTIQSEKTARPNNNSTRGFEVIEAAKREVEKICPGVVSCADILSVAARDASVAVGGPTWQVKLGRRDSITASRSAAESNLPSPFANLRDLISNFKNKGLSARDMVALSGEDTITSRI >CDP18095 pep chromosome:AUK_PRJEB4211_v1:11:14322384:14333577:-1 gene:GSCOC_T00006458001 transcript:CDP18095 gene_biotype:protein_coding transcript_biotype:protein_coding MHISLQGQRGARFGELCSSSGPNMQAASQFYSVFYFSNSRGSSCDIFARTKIKPLSSSKLARKLDLTLGSWTCKFPCAVRPCRLSVIPNTNDGHPCISVTEEDRRTDQAWNDELETFLSKWSPPGYLWRGLSVLILAGQVISRTIKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRAVGGVLALAFSRELSPVVTSIVIAGRIGSAFAAELGTMQVSEQTDTLRVLGADPVDYLVTPRVIASCIALPFLTLMCFTVGMASSALLADSVYGIGINIILDSAHRALKSWDLVSAMIKSQVFGGIISVVSCAWGVTTLGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNL >CDP05527 pep chromosome:AUK_PRJEB4211_v1:11:22393027:22394376:1 gene:GSCOC_T00020631001 transcript:CDP05527 gene_biotype:protein_coding transcript_biotype:protein_coding MENVFLVSVAIILGFALTCSGTVYTVGDRSGWDISTDVDTWSKDKIFQVGDVLLFQYSSYHSVSEVTQPNYEGCNTTNVLQTSSNGNTSIPLKNPGDRYFVCGNRLHCLGGMKLHVHVQDDRAPSPASAPEAEAGGSLPPGSTKNNNPPSSAIFNRVGKVDLLFHGILGLVLCIICCGVV >CDP05412 pep chromosome:AUK_PRJEB4211_v1:11:19987295:19993332:1 gene:GSCOC_T00020450001 transcript:CDP05412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT3G18630) UniProtKB/TrEMBL;Acc:A0A178VFQ0] MAASSKTIMDLFKQPAAKRLKRVSSPAPPTTTKLATFCSSSSSPIDGGKHDQNDVASSNPHPILLEPSSTPPSILTAEEKSRIEFNKALARARRNLKLCSDKIAKCEDVGCVKLEELLVEETWLGKLPGEFQKPYAKNLFKFVESEIQSGFPIYPPQHLIFNALNTTPFDRVKVVIIGQDPYHGPGQAMGLSFSVPEGVKVPSSLINIYKELQNDLGCSIPSHGNLERWAVQGVLLLNAVLTVKQSQANSHANKGWEQFTDAVIRTISQEKKGVVFLLWGNYAQAKARLINETKHHILKATHPSGLSANRGFFGCRHFSRTNQILEKMGISPIIWQL >CDP05459 pep chromosome:AUK_PRJEB4211_v1:11:20991982:20993679:-1 gene:GSCOC_T00020515001 transcript:CDP05459 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPHVLAIPYPAQGHVLPLMELALRLVKNGIKVTFVNTEFDHKRVVESLSGEENVPDMMHLVSVPDGLESWEDRNDLGKLTKTIFRVMPAKLEALMEKMNESETDKITCLITDESMGWALEIAKKMGVRAVAFWPAAAALLALLLNIPKLIDDGIIDSSGTIMKKQMVQLSSTMLAVDSEHFLWASVGNATTQGIVFDAMLKNNRTLKMADWIIGNSSNELEASVFTLFPGMLPIGPLLASNRLGKSVGSYWPEDSDCLAWLDKQLVQSVICVAFGSFTVFDQTQFQELALGLERTNMPFLWVVRRNLTAETDNAYPKGFKERIQGRGRLSSWAPQQQVLSHPSVACFLSHCGWNSTIEGVSNGVPFLCWPYFADQFTNRSYICDDWKVGLGLEKDGNGIIAQGEVKNKIEQLVTVKGYKERALDLKAKVMSSLREDGCSGKNFNNFVKWIKDD >CDP00680 pep chromosome:AUK_PRJEB4211_v1:11:26980588:26986420:-1 gene:GSCOC_T00032705001 transcript:CDP00680 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKTCEFCLILRPIVYCKADAAHLCLSCDAKVHSANALSNRHTRAIVCESCRYRPSYVQCSDHQMFMCRPCDRNQHDLSSQHQRKVMSCYTGCPSAKDFAALWGLDLNELDNDSKSEYQSLPTSSAAVSTGVLTFNNSRQSCSVAGISSVFDVASTTSKLPKVRSRGKDTKAAVHRKNIFHVLEQINDLKRLQLTQGDGKFSFMRSQGEIDMSSFKFHAKRKMHENLDQHLQHALDLGSDLHGSHHENPTTEPFQLAFSQMDGDSFWQCKSPVQSGQLWTQNMQDLGVCDELGCSDDFTMPDVDSTFKNIEELFGGEQELARALLDDNYTTCSSVEKDMSINKSDNGYERSVEDVSASSSVCISYSANVDNDVGSSDFTTTKEHPSIKPSYSALSLSLSKLSAECVDSEPCSSFKRQQLSSNLSDLENAQLECKENNMTRYKEKKKARSVDKQSRYSPRKAKSDVKKLVRDQFVKIRGCESDSVNFSRSF >CDP00709 pep chromosome:AUK_PRJEB4211_v1:11:26655187:26659017:1 gene:GSCOC_T00032761001 transcript:CDP00709 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLLVKEVEVKRERLTWGAVGEEMKKLCYLAGPMVAVTLSHFLLQVISLTMVGHLGELSLSSFAIAISVCGVTGLSFMLGMSCALETLCGQAYGAQQYQKLGTQTYTAIFCLLIVCIPISIMWIYLAKILILIGQDPLISQEAGKFAMWLIPTLFGYATFHPIVRYYQMQSQIFPLLMSSVISISFHVLVSWVLVYKSGLESRGGALAMGASIWVNVTILVLYMYYSSSCAKTRAPISREIFHGVREFFRFAIPSAVMICLEWWSYEVLILSSGLLPNPQLETSVLTVCLNTLITLYSVPYGLAAAVSTRVSNQLGAGNPEGARISVISVMLLAVIENIILNSALYGSRHVFGYVFSSDKEVVDYVTTMAPFLCLSISMDSIQGTLAGVQRGSGRQHIGAYINLAAFYLVGIPIALTLAFVVKLKIRGRGLWIGILSGSFLQSSLLAIVTICTNWEKEARNARERLFHKKFSAEDAVM >CDP16280 pep chromosome:AUK_PRJEB4211_v1:11:24083626:24093547:-1 gene:GSCOC_T00018055001 transcript:CDP16280 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRGVILLYLALVLVVKYDFAYSKLSCIVIEKEALFDLKRGLIDKANRLSSWKGDNCCAWDGVGCDERTGHVIKLDLSNPVSFDSERYFDDKDYFANFSHNCLGGEISPSLLNLNYLQSLDLSMNNFSGIQIPPFLGSLKRLEYLNLSSAGFGGEVPQDLSHLLSLKHLHLGYAPSVSYSFHLFINDYGLNVDSLSWLSQFSSLKSLDLSGIQLWKANDWLDSVSMLTSLISLNVAGCGLTTFPPIAHINFTSLASLNLQWNNFDSNIPLWLSNITSLQQLHLDHNSFDGLIPDSIEQLTSLTLLDLSSNFFSIPLPNSLCRLKNLVHLDLSGNYLNGTVPDCLENLTSLAILNLEANNFQGSIPDSICNLCSLQELYLVSSNIYGYLPSQLQMYTNLEVLHVSFNSFSGPIPSELGRLSHLRELDLSNNKFSGNVSPGIGQLSKLVKLDISNNSFTGALSEIHFEKLTELRDLSVSLNPLHLNVSPEWLPPFQLHAIQLASIIVGPRFPPWLQTQKSVKQLIMSNASISDTIPAWFENLYSQIDDLDLSHNNISGQLPKFEESRGSLRVIKLNSNKFEGPIESVPLEMYLLDLSENLLSGPLVPLVNNHTSRSLNHLILSGNHLSGEIPLSLCVIQSLIRIDLSANQFSGTIPSCLANLQILQVLDLSNNSLSGQIPDSWVFSEELHSLHLQQNKLDGKIPSSVRNLKGLHILDLGDNVLKDIIPSWIGEELQGLVYLRLHSNNFYSGIPLQLCQLKFLRLLDLAKNNLSGSIPHCVNNFSAMISDDPMLSFHMRSSGIIFYISHQISHETILSNIMKGRELEYSSNIPYLRSMELSGNNLVGEVPEELMDLVGLQSLALSNNHLSGRIPDKIGNLKQLESLDLSRNELSGSIPLSLSTIDTLSYLNLSFNNLSGRIPTGNQLQTLNDPSSFEGNSGLCGKPLLKTCPDDKSPDGNKSSGGHEAGEESYFSWFFAGIGPGFAVGFSAVCGILTFKKSWRYAYFQFMENVYNTLWLAIALKISKLQRKFSRCI >CDP00487 pep chromosome:AUK_PRJEB4211_v1:11:28755226:28758146:1 gene:GSCOC_T00032442001 transcript:CDP00487 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQNPKRYSLEKINKNISSSKENEKQRSAASFLSKHMKKVYPIGLYKTCSSLSLSSLSLSLSQNSNDSSLADSSSQLDQKIALALRLIESPKRREVPAAKTLQKPTTEAACKEPGEEGFRRCNWITKNSDNIYVQFHDECWGVPVYDDHQLFELLALSGMLMDFNWTEILKRKELFREVFAGFDANNVAKMGEKEITDIVSNKALMLAECRVRCIVDNANCILKIVKEFGSFSSYMWNYVSYKPIINRYKHPRNVPLRSPKAEAISKDLLKRGFRFVGPVIVHSFMQAAGLTIDHLVDCFRYSECVNLAERPWRHV >CDP16304 pep chromosome:AUK_PRJEB4211_v1:11:23809436:23814142:1 gene:GSCOC_T00018090001 transcript:CDP16304 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSFRFITHQSFFTAVREEDLEALKNIISDDGPDPSSLIALQNDAGETAVYIAAENNFMEVFNYLISFCDLQTVLIKSKADMNAFHVAAARGHSGIVQKFLSLWPELCRACNSSNTSPLYSAATHGHLDVVNAILDADVSSMLIVRKNGKTALHTVARYGLLPIVKVLIERDPGIVSIKDKKGQTALHMAAKGQDTSVLEDLLDADHSILNERDKKGNTAAHIATRKVRSQVVGFLLTYTSIEVNVINNQQETAMDIADKLQYGESALEIKEALLEAGAKHARHVGQVDVTIELKRAVSDIKHEVHSQLIQNEKTQRRVSGIAKELKKIHREAVQNTINSVTVAAVLFASIAFLAIFNLPGQYMTDGPEAGKALISGTVGFRVFCLLNATSLFISLAVVVVQITLVAWDTRSQKLIVSIVNKLMWAACMSTCGAYLAIAFVVVGRKSSWMAITITVVGLPILGGTLVSMSYFVFRQHFGVFGNDSQRRIRRASGSKSFSWSYSANISDLDDYNSDERIYAL >CDP00502 pep chromosome:AUK_PRJEB4211_v1:11:28634512:28639883:1 gene:GSCOC_T00032461001 transcript:CDP00502 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVFFTSCKCRLLIKDALCCSSQFSARFSPTLAVANNMIDRFNLTSYYENDCPNINTLWASLIIEECVRLGVTYFCIAPGSRSSPLTLAASAHPLATCVVCIDERSLAFNALGYARGSHRPAVVITTSGTAVSNLLSAVVEASEDFVPLILLTADRPPELLDAGANQAINQVNHFGQFTKHFFGLPVPTDDISSRMVLTTLDSAVYMATTSPYGPVHINCPFREPLGNIQTTWESRGLKGLENWMSTSEPFTTYSQVQHSVSSNQCHGLMAELVKLIQGAKQGLLVLGALHTEDDIWAALLLAKHLNWPVVAGILSGLRLRKYMASFSEIEENIIFLDHLDQMLLSGAVNDWMQADVIIQIGGRLVSKRISKMLEGCFPCSYIMVDKHPKRHDPSHIVTHRIQSTITEFTVCVTKACIPHDNTRWKAFLRALNSMAAREISSLIDLEQSLTEPYIAHVALENLRCNSAIFIGNSMAIRDADMYGYNWAKCTHETSEMLSSGFQCHRIQVAGNRGTGGIDGLLSTAVGFGVGCNKHVSSLALICISFPRFHFLISDSSFFP >CDP13300 pep chromosome:AUK_PRJEB4211_v1:11:32633565:32637189:1 gene:GSCOC_T00038191001 transcript:CDP13300 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVTIGINGFGRIGRLVARVALQRDDVELVAINDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDDKTLLFGEKPVKVFGLRNPEEIPWGETGADFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPDLNVVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLDKPATYEQIKTAIKEEAAGKLKGVLGYTEEDVVSSDFIGDSRSSIFDAKAGIALNEKYVKLVAWYDNEVGYSTRVVDLIVHMASVKA >CDP00436 pep chromosome:AUK_PRJEB4211_v1:11:29167835:29174228:-1 gene:GSCOC_T00032374001 transcript:CDP00436 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MYPMQGFPDSVTSDYVPFQVWDSLQGLSTYVRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADLMNDLGMLMDLLSPLFPSAFSFILCLGSLSRSFTGVASGATRAALTQHFALQNNAADISAKEGSQETLATMIGMAFGMLLARITMGHSLSIWLCFLSLTMFHMYANYRAVCCLSLTTLNCQRSSIILLHFLETGQVLSPKQVSTMEHVLPVWTTSWCSKSVNILHTRVCMGVRISSLNYRDLGELSHLAGSHYKKAKYLLLDKKGVINVVMHKDSTATDVLKAFIHALVMAKLNSQGRSVHSESQLWIDKHYEVFVLKLQSSGWRTERLLSPTVTWRANWLIPSSNGKFD >CDP04789 pep chromosome:AUK_PRJEB4211_v1:11:5781094:5783194:-1 gene:GSCOC_T00019503001 transcript:CDP04789 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDKLKELANAVGKERLILDLMTSNINLYEGKYFVVTDRWQKFSDVCLDEKVLDFLARYADEFLVHRVDVEGKKLGIDNEVVALLGNHSSIPVTYPGGVSTMADLETIKSARMGSVDVIVGSALDIFGGSLPYKDDVAWHVQQDALAV >CDP00843 pep chromosome:AUK_PRJEB4211_v1:11:25067475:25068940:1 gene:GSCOC_T00032948001 transcript:CDP00843 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWIVLGYVAAAEAAVMVLLLTILSADHLTWPSNIHNKEPPRVISDDGLVCSFLLLDIY >CDP00849 pep chromosome:AUK_PRJEB4211_v1:11:24813964:24816428:-1 gene:GSCOC_T00032957001 transcript:CDP00849 gene_biotype:protein_coding transcript_biotype:protein_coding MGENRLRGPIPLKLFNSSTVRLIALTENDLSGELPSTIGAFLPNLEELYLEGNEFTGTILTSISNASRLRMLHLGMNHFSELSFIISLSNCKHLRRLWIGKNPLNGFLPKSIGNLSSSLQSFHAGSCEIKSEIPSSIGNLSNLVELFFENNSLTGLIPTTIKWFLKLQRIDLSDNQILGAIPSEFCNLLNLGELRLGQNMFSGMVPSCLGNVTTLRYVYLNSNNLSSMIPKSFWSLRYILEIDMSGNYLTGSLPAEIGNFKALVYLNLSNNQYLGRIPSTIGALQDLQELSLERNKLQGLIPDSMKNMLQLRHLDLSFNNLEGEIPNSLQVLPDLQYFNVSYNRLRGPIPHGGPFANFTNLSFLSNEALCGAPWLQPCASTFEHESRTKRIVMIVLLTSGSVILALVISIFLMRLKLRKKTLAPNQNLLPMATFERASFHELRQITNGFSESNLLGSGSFGSVYKGIRENGMVWAIKALVLEYMPNGSLEKWLHVNHHVLSIRQRLGIMIDVACGLEYLHYGYSMPIVHCDLKPSNILLDEDMVGHICDFGIAKLLGDGESVVQTKTLATFGYIAPEYGLEGLISTSSDVYSFGIILMETFTKRKPKDEMFTEELNLRHKTVQRKIKCISSILQLSLSCTTDAPEERINMKEVLGALQKIKLQFIKDITP >CDP11630 pep chromosome:AUK_PRJEB4211_v1:11:16188485:16193686:1 gene:GSCOC_T00034009001 transcript:CDP11630 gene_biotype:protein_coding transcript_biotype:protein_coding MMACKWGLVVAILISLCAISTGRELKVKIKHKDHQPVYSHTLARVLAEYASAVYVSDTTQLFTWTCERCNDLTEGFEMIELIIDVQCCLQAFVGIAKDLNAIVIAFRGTQGTSIQNWVTDLYWKQLDINYPGMDYAMVHHGFYAAYHNTTLRPGILSAVERAKELYGDIKIMLTGHSMGGAMAAFCALDLTVNIGEKDVQAVTFGQPRIGNAAFASYYSKFVPNTIRVTHEHDIVPHLPPYYYHFPQKTYHHFPREVWLYDIDLRSLVYTVEKVCDGSGEDPTCSRSVVGNSILDHLAYYGIQMGCEEGGSCSTIMDPSSVAYRTMDIEGNFVLSRNLSFDSLRRSSEVKGQVDSM >CDP05598 pep chromosome:AUK_PRJEB4211_v1:11:23538795:23546726:-1 gene:GSCOC_T00020734001 transcript:CDP05598 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTIHIFGFLDVVAAEVVEFLEGFTGQGTVYALEVKQPKRGGPRSNARVQFTDERSAECIMALPKERLCYGSSYLRASEDDKDWFPWPITYEHHMEQIDLNFGCPVSENRFSVLWNVANVSVRFGIGMKRMYFILQFHSHDYKLELSRENIWQIVLHRGGQAAEFLLIQLSGAPRISKKLEESPYRYFKETPYDQWVRTTDFTSSCIGQSSGICLKLPPGIQLPNLGDYFPWYKESDSQFMLENGFPYSDNLVLVPILRPPQGLYVPYEILFQVCCLVQTGCLPGPSLDANFFGLVDPQRIDVKYIEHALEKLHTLKECCYEPVQWLKEQYDKYDKLRRLPKSRTRTLADRLVDVRRVQVTPCKVYFCGPEVIVSNRVLRHFSEYIDNFLIVSFTDEERNKMFPSDLSPRKGANENGRTEIYERILSTLKNGIVIGDKEFQFLAYSSSQLKENSVWMFASRPDLTAADIRRWMGDFSEIRNVAKHAARLGQSFGSSRETLNVGNNEIETIPDIKVRDAYRSTVEYVFSDGIGKISAQFARQVATKYGLSSTPSAFQIRYGGFKGVVAVDPTSSKKLSLRLSMKKYESNNTKLDVLQFSKYQPCFLNRQIITLLSTLGVEDVVFEKKQREAVAQLDTILTDPAKAHEALKLMAPGQISNVLKEMLKCGYKPDSEPFLSMMLQAFRASKLLDLRTKTRIFIPNGRLMMGCLDETRTLEYGQVFVQFSGAGRGQFYDDSIPYSKHNIILEEKVAVAKNPCLHPGDIRILEAVDVPELHHMVDCIVFPQKGKRPHPNECSGSDLDGDIYFVGWDADLIPPRQDEPMDYTPVRPMQLDHEVIIEEVEEYFADYIMNDTLGTISHAHTAFADLQPQKARSKPCLKLAMLHSIAVDYPKTGVPAEIPSHLRVRKFPDFMEKPERQTYESQNVIGKLFREVKEKAPKAPFTSSIESFTREVARRSFDADMEVDGVEDYVDEALVYKSEYDYKLGNLMDYYSKKTEAEILSGGMMWVSKSLDRRNDAEAVGMAVTSLMKEARSWFDRNVGHSDDAYAKASAWYHVTYHPDYWGRCNAGLNRAHYISFPWCVYDKLIQIKKGSRGRSQLIAAPRSFSPIVTSAIAAAILLRLTAFYFSSQ >CDP00190 pep chromosome:AUK_PRJEB4211_v1:11:31053202:31054384:1 gene:GSCOC_T00032058001 transcript:CDP00190 gene_biotype:protein_coding transcript_biotype:protein_coding MPWIQSGSLDQAFIDALPVFLYKDIMGLKEPFDCAVCLCEFSEQDKGALFSPEFSVENPVFEFDESRDEDWVVSGNVGVAVPCGPKPSEAENSINEKRVFSVRLGKFRNTSPNDCARGEDIEVGETSNSNLDARRCFSMGSFQYVVADSELQVSLCHKRPRGHGLDPRLQKAEEKGGQNGNSLNDEDIEGKKINMRSKGESFSVSKVWLWSNSNKGKFPNSTENQISSSINVSLPWTARTHKSIARCP >CDP00511 pep chromosome:AUK_PRJEB4211_v1:11:28558028:28558483:1 gene:GSCOC_T00032472001 transcript:CDP00511 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSENIGGREECNSSESGWTMYIASPVHENNPENDDDDDDNYTERKGYKDYPSDDGGDPASDDSMASDASSGPSHQGGPCRTKEGSHRRDDIAHAEGKVNNRRSSGKKHDKQVERKQYAADTKAAKKEQGHKGKNATENLQGKGKSRKN >CDP00734 pep chromosome:AUK_PRJEB4211_v1:11:26372609:26373051:-1 gene:GSCOC_T00032800001 transcript:CDP00734 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWKPTIVTNAEGQRTMPSVVAYTKNGDRLVGQIAKTGIDSSAISDEFKHKSAKHEQTKMPFNSGQICAQSHRKSSKYAFLIV >CDP13340 pep chromosome:AUK_PRJEB4211_v1:11:32969201:32971701:1 gene:GSCOC_T00038243001 transcript:CDP13340 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDNQKLQETIHVLRYIDCAVFVTNVSSTLYAYSPQKNGSILGATKASFLSGRKLRVSQYTSPPAGARSLTICAAAADLERPIWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPETLRWNVQSEIVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYSAGELEYFTDTTTLFVVEMILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGTGSPEKVKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHNNVFAAFTNK >CDP11009 pep chromosome:AUK_PRJEB4211_v1:11:7836287:7884378:-1 gene:GSCOC_T00032970001 transcript:CDP11009 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSPVESTSSSSSTADNLLLEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATKVSLCLDRRSHGTQSLLSDKLAQWQGPALLAYNDAVFSEDDFVSISRIGGSSKHGQAWKTGRFGVGFNSVYHLTDLPSFISGKYVVIFDPQGVYLPNISAANPGKRIEFVSSSAIFMYKDQFSPYCGYGCDMKNPFRGTFFRFPLRNADQAANSKLSKQSYSEDDISLMFDQLYEEGVFSLLFLKSILSIEMCVWDDDMPEPRKIYSCSINSVTKDIIWHRQALLRLSNPTDSHDSEMDAFSLEFLSEAMQGNHSDKRTDTYHIVQTMASTSSRIGSFAATAAKDFDIHLLPWASVAACVSDDSSNDNVSKVGQAFCFLPLPVKTGLNVQINGYFEVSSNRRGIWYGADMDRSGRIRSVWNRLLLEDVIAPTFSYLLLGVQHLLGPTNSYYSLWPTGSFQEPWNILVECIYRSISDSPVMYSEVQGGTWISPAGAFLHDVEFSSKSKQISEALVQLGMPVVQLPNSLFNMFLNSASGVQHKVVTPDSVRNFLRGRSSTSVIDRSSNLMLLEYCLEDLVDDDVGKHALNLPLLPLANGDFGSLSEASKGISYFICNDLEHLLLQQISERLIDKNIPPNILSRLLAIARVSGANIKVFNLNEFLLLFCKFVPAGWKYQMEVHWDPSTNSNHPATSWFVLLWRYLNNQCEKLSLFGEWPILPSLSGHLYRPCREIKLLCVDKLSEKMQNLLVKIGCKILNSNYGIDHPDLFHYMYDADGVGVLKSIFDVFTSSDSIEQVFLQCLTAEERDELRHFLLDPKWYIGNFMDDSDILDCKRLPIYSMHGQGSTENLPYSNLLNPQKYLPPLDCPENLLSNEFVSSLSSTEEEVLNRYHGIQRMSKAQFYSQHVLNRVRELETDVRDSIMLSILKQLPQLGVEDASFREHLSNLEFLPTSSGSLRSPSMLYDPRNEELYALLDDSESFPCGVFEESDVLDMLQSLGLKTTISTETILRSARQIERSMHSSPQNAHSKGKVLLSYLEVHAMKWLPESTKNDQRTVNRILSRATSAFKHRHATSDFEKFWNDLRMICWCPVLVSSPYQSLPWPAVSSMVAPPKLVRLYSDLWLVSASMRILDGECSSSALSQYLGWSSPPGGSVIAAQLLELGKNNELVTDLVLRQELALAMPRIYSILSGMTGSEEMDIVKAILEGSRWVWVGDGFATLDEVVLDGPLHLAPYIRIIPCDLAVFRDLFLELGIREFLNPSDYAHILFRMATRKESSPLDPQEIRAAILIAQHLADSQSYDDHIKIYLPDMSCRLLNAADLVYNDAPWLLDSEDSERSMGNTTNMSLHVKQIVQKFVHRSISNDVAERLGVRSLRRMLLAESADSMNLSLSGAAEAFGQHEALTTRLRHILEMYADGPGILFELVQNAEDARASQVTFLLDKTQYATSSVLSPEMADWQGPALYCFNDSVFSPQDLYAISRIGQESKLEKPFAIGRFGLGFNCVYHFTDVPSFVSGENIVMFDPHACNLPGISPSHPGLRIKFVGRRVLEQFPDQFSPFLHFGCDLKQSFPGTLFRFPLRSATMASRSQIKKEDYTPNDVLSLFSSFSEVVSETLVFLRNVKTISIFVREGSGTEMQLLHCVRKHHVSESEAESSQIFNVMYGNQQNLFDRGQFLDKLSKSIGAELPWKYQKIVISEQSTSSRKSHLWLTCECLASIHGNNNSSTSDMKFHKYVPWACVASHLKSVNIERELSENAIDPGEIFHITPDLIQVPISTTQDRKIFDGRAFCFLPLPINTGLPVHVNAYFELSSNRRDIWFGNDMAGGGKKRSDWNMHLLEDVAAPAYGRLLEIVAQEIGPCDLYFSFWPTAVGVEPWGLLVRRLYDFISEFELRVLYTRARAREGQWITTKQAIFPDYSFEKASELVDVLSDAGLPVVMMPKVLVEKFMEISSSLHFLTPQLLRRLLIRRKREFRDRSAMTLALEYCLLDLKSPIQSDDFYGLPLIPLSDGSFTKLEKRGLSERIFFAQGAGYDLLKDSVPHQLVDCNIPDFLHKKFCDIAESEDFNISFLTCPLLEKLFLRLLPADWQHARQVIWIPGSEGHPSLQWMGHLWNYLKSFCDDLSLFYKWPILPVENNHLLQLVKNSNVIKDGGWSENMCTLLLRVGCLILRRDLLIEHRELNDYVQPPTAVGILSAFVAVAGDPSNVEALFSGASEGELHELRSYVLQSKWFFEDVLDSTHINIIKDIPMFESYKTRKLISLNKSFKWLKPDGVHEDLLGEGFVRMDSDKEKIILKKYLEVTEPSKVGFYKEYVFHHMPEFSRDGYLPAILHDIGYMLVDDKSFQEALSKIAFVLAYDGSWKEPFRLYDPRVPYLKVLLHRGAFFPSDQFSHPEALEILIKLGLRQSLSFTGMLDCATSISMLHSSGDKETTVCARRLLRCLDTVAQKLSSAEEEGTFGECEMHMESQDISYIGGEGEKSLPDDSDNLVGDSMDINMPLSNLNEDMPREKFWSELKSISWCPVLDKPPVRGLPWLAAEEKIATPTAVRPKSQMWLSSSKMFILDGECSVYLQDRLGWMDRLDVATLSAQLVGLSKSFSLLKLHSNVEPNFDAELQKHVMAIYSQLQEYVGTGELSCLKSSLDGICWVWIGDDFVSSTSLAFDSPVKYSPYLYVVPTELSEFRDLLLALGVRLSFDVSDYFLVIEGLKNDVKGFPLSTDQLRFVQCVLEAIADCYLDTLQCEASTDLFIPDSFGVLVSSGELVYNDAPWMENTSLGGKHLVHPCISHELCSRLGIQSLRCISLVGDDMTKDLPCMDYSRICELLELYGSKDFLLFDLLEMADCCKAKKLHLIFDKREHPRLSLLQHNLGEFQGPALLAILEGASLSRDEVASLQFLPPWSLRGDTLNYGLGLLSCFAISDLPSVVSDGCLYMLDPRGLAFAIPSNHAPAAKAFSLKGTNLTERFHDQFSALLFGQSMSWSVSNSTIIRLPLSSEYMEEGTECASRKISLLLDKFVEHCSRTILFLNSIMQVSLSTWEEGSLELFEDYSVSIDPSCAIVRNPFSEKKWKKFQFSSLFGSSNSATKVEVIDLNLCIKGTIAVDRWLVVLSLGSGQTRNMALDRRYMAYNLTPVGGVAAHISRNGHPALTCSLNCIMSPLPLSTLLNIPVSILGYFLVRHNQGRYLFKYQDTKAFELTHTDAGSRLIEAWNRELMSCVRDSYVKLVLEMQKIRREPSTSILGSSLALAVGRTLNAYGDQIYSFWPRSNVNTAIVESDSASVEFPKADWECLIEQVIKPFYVRLIDLPVWQLFSGNLVKAEEGMFLSQPGSGVGGSLVPATVCAFVKEHYPVFSVPWELVTEIQAVGITVREIRPKMVRELLRASSTSTLLRSVNTIIDVLDYCLSDIQLLDSSESCDQSSFAGINSISSASATTEGEDSRSFSSSNRNMRSLYKTSNSSTSSSGDALEMMTSLGKALFDFGRGVVEDIGRTGGPLSERNNFTGGRIFRVPDDGEYKYRSVAAELRGLPCPTATNNLIRIGVTEVWVGNKEQQLLMSSLAAKFIHANVLERTILLNIFSNYTLQSFLKLQSFSFSLLASNMRYLFHENWVNHVTGSNMAPWFSWENIASSGTEWGPSPEWIRLFWKTFSGSLEDLPLFSDWPLIPAFLGRPVLCRVRERHIVFIPPLVAGSNSVDVSDEMSLTESSTSGLSLDTDLANPYTLAFEHFEKKYPWLSSLLNQCNIPVFDATFMDCAAPSDCLPGPDQSLGKVVASKLLVAKQAGYFPEITSFLASDRDELFSLFASEFSDNGSDYGREELEVLRELPIYKTAAGTYARLVTQDFCMIPSNTFLKPHDERCLFHTTDSSGGALLRALGVPELHDRQIFVKFGLPGFERKSESEQEDILIYLYMNWQDLQQDPSIIEALKEANFVKTADELSVHLSKPKDLFDPGDVLLTSIFSGVRGKFPGERFISDGWLRILRKVGLRTSTEAEIILECAKRVEFLGGECMKITGDFDDLETDISNGQNEVSFEIWLMAESLAKAVFSNFAVLYSNNFCNLLGNITCIPAEKGFPIIGGKTSGKRVLCSYSKAIVMKDWPLAWSCAPILSRQSVVPPDYSWAALHLRSPPSFQTVLRHLQAIGKNNGEDTLAHWSAAPGSKTIDEASFEVLKYLENAWDSLSSSDISELRKVAFIPAANGTRLVTAGALFARLTINLSPFAFELPALYLPFVKILKDLGLQDTFSIAAARDLLINLQKACGYQRLNPNEFRAVMEILYFVCDEAVSSEACNWGSEAIVPDDGCRLVHAKSCVYVDSHSSHFLKYIDVSRLRFVHSDLPEGICMALAIKKLSDVVVEELDTREDLQTLQCIQSLQLEEVKHRLLSKSFQAALWTIVGSIASEVPAFNPVLQNVQRSLKMVAENLKFVKCLYTQFLLLPKRLDITHVSEESMVPEWQERSLHRALYFVDKFETSVLVAEPPDYVSVVDVIGIVVSRVLDSSISLPIGSLFLCPEGSEMILATALKLCSQKKVAEQGNGTDELMGNDILPQDALQVQLLPLRPFYRGEVVVWRSQNREKLKYGRVAEDVKPSAGQALYRLKVETSPGITELLLSSHVFSFRSVSVSSDASSVTNLDDHHTEIESGIVGSSRAIARSHGQPVQDLQHGRVSAAEVVQAVHEMLSAAGINMDVEKQSLLQMTMTLQEQLKESQAALLLEQEKCDMAAKEADIAKAAWLCRVCLSNEVDVTIIPCGHVLCRRCSSAVRRCPFCRLQVSKTIRIFRP >CDP00177 pep chromosome:AUK_PRJEB4211_v1:11:31178811:31180865:1 gene:GSCOC_T00032041001 transcript:CDP00177 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNSDVFTRRILNFPAIRPCEFVSFSSLLTSLINLGRTICEYKSKTFFVNIKNARNAIRFVQNLLIFLEEIPLGISTCVTNSATLSLSELHFILQKVQYLLEDCTRDDARLWMLTQSQITADEFRVLIRAMGVALDVLPLEEMELGDEVNGLVEFVKDQALALKFETEVDDQRFLRKVFWILDHFGGGIDPKPSDVRKVLEYLGIGSWSECNREVKFLEDEIGLESQSEKKSNIRLLNSLMGFMIYCRSILFEYVDKVTSKRTDNLGSCDTVRCLNADDFRCPITLELMSDPVTIATGHTYERSSILKWFRAGNRTCPKTGQRLLCTDFVPNAALKQLIKGFCSEKGIHFADSVGRSRDVTKAVVAGSKVSEQAVRLLANFLVGRLVGCNNQEQNRAAYEIRLLTKTSIFNRSCLVEAGTIPPLLNLLFSCDPSLQENAMASLLNLSKFSKSRKIIVENGGLILILDVLKCGLKVEARQHAAGAFFYLASAEEYRQLIGEIPDAIPSLVELVRDGADRGKKNALVTIFGLLLCPENHRRVFAAGLVPLLVDLISSCEREDLVTDSLAVLANLADNPDGTTSILSSGALPMIVEVLGSSSSMAAREYCVSLLLSFCTHGGADAVIVLVKNPSLMGALYSQLTEGTTRASKKASSLIKILHNFNEKSTFGFSNPVLPQERFIHVW >CDP11022 pep chromosome:AUK_PRJEB4211_v1:11:6947960:6961018:-1 gene:GSCOC_T00032997001 transcript:CDP11022 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQLLHKPSLHTLFSISSPQHYQQQPSPLPFHPRLYNVPRASASSSQKGPSTGRRQLIAETAAAAAVTASGFLIGGGNKAFAAAEEGLSEWERVYLPIDPGVVLLDIAFVPNDPSHGFLLGTRQTILETKDGGNTWAPRSIPSAEEEDFNYRFNSISFKGNEGWIVGKPAILLYTSDAGENWKRIPLSSQLPGDIVYIKATGEKSAEMVTDEGAIYVTSNGGYNWRAAVQETVSATLNRTVSSGISGASYYTGTFSTVNRSPEGNYVAVSSRGNFYLTWQPGQPYWQPHNRNIARRIQSMGWRADGGLWLLVRGGGLYLSKGTGITEEFDEIPVQSRGFGILDVGYRSQDEAWAAGGSGILLKTTNSGKTWVRDKTADNIAANLYSVKFINDKQGFVLGNDGVLLKYLG >CDP16245 pep chromosome:AUK_PRJEB4211_v1:11:24670343:24672267:-1 gene:GSCOC_T00018007001 transcript:CDP16245 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTYTLSLLVLCLTSCLTLTHAANVNIINQCSYPVWAAASPGGGRRLDKGQSWPLDVAPGTANARIWGRTSCKFDANGQGQCQTGDCSGRLECQGYGKPPNTVAEFALNRLNNQDVIDIKLVEGFNIPLGISSVTSSCRDIRCSAPIVDQCPTQLRTRGGCNNPCTVFKTNKYCCANGPQNCGPTNFSKFFKDRCPDASSYPGDAASFVTCPSGTNYRVVFCP >CDP16282 pep chromosome:AUK_PRJEB4211_v1:11:24076593:24079609:1 gene:GSCOC_T00018057001 transcript:CDP16282 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNTSHSNLKNAVLAFLVPLPTILFYLTFLYHYQDDDPNLLSPVWKWCYNHPILLANIFFFFNVNVLFWLIGLIQSSHWMIDPYWTVIPVLLVHYYANHPLAVENKYNVWRSRIVTCLTWVWSIRLSHNYFRRENWQWGVREDWRFTDMKHQYGKCWWWVSFFAVYLSQQVFLMGICMPMYVIHLENKQLDILDFVGIAICLSGIAIAYFADTQLHDFVSRNKKLKELGKPVVPNLDKGLWQYSRHPNYFGEQLWWWGLVILAWNLGYGWTFVGSLINSLCLAYVTVLVEKRMLKQDYRAEAYQLYQKTTSVWIPWFRSPLIGKSKNT >CDP13344 pep chromosome:AUK_PRJEB4211_v1:11:32986392:32987759:1 gene:GSCOC_T00038248001 transcript:CDP13344 gene_biotype:protein_coding transcript_biotype:protein_coding MQYVQQYVFAKYPEYSNGLVEEGDEIDPYKICVNEEGKSPKNIGARDSSSPSFGSSTTDLDRKQLEPSRLLDILNKKTSLQGNFISIPEIQVRNRALQQCGLKEEEYLVLFAPTVKEATMLIGESYPFFRGNYYMTILGEETDSIRTYVASKDSKTIVAPETWLDLRIKGSQLSQYFRRKCKYNPKGLFAYPAYVNDTRHSMHWISEAHRNSWHVLFDATALDFGKDRLTLALHRPDFVLCTVESTHPQPSRITCLLVRRKSFDTSAPSA >CDP19002 pep chromosome:AUK_PRJEB4211_v1:11:31473224:31477968:-1 gene:GSCOC_T00001053001 transcript:CDP19002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMIAFTTIAAALALSIFAARASADGLNKSASTGLYWSTAKEEGDLVAKADAAGDSSLLWDDDDENHRDFDAGGFSSLDGMLQWAIGHSDPSKLKEAAKDVQHLSPDELKKRHMEIKELMEKLKMPSDAQLMRIAIDDLKNSSLPSEDRLRALEELSILVEPIDNANELHKLGGLIIVIKQLNHPEQEVRTASALILGKACQNNPVVQKQVLELDALAKLIKMARSEFVEEAIKALLAISALIRNNFVGQELFYAEAGELMIQDILSNSSIDIRIQKKSVLLVADLAECQLENGSNPEPHFFHNRFFLRSVVDLLASVDLDLQEKALYAVKNLLQLRTEALVFKEFCGLDAALEKMRQQLQQLVMEENYSEYAKDVETLRGEVERVFIGKLNKDTQFPT >CDP00390 pep chromosome:AUK_PRJEB4211_v1:11:29487237:29491920:1 gene:GSCOC_T00032316001 transcript:CDP00390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl phosphate kinase [Source:Projected from Arabidopsis thaliana (AT1G26640) UniProtKB/Swiss-Prot;Acc:Q8H1F7] MEEQQQNALSKTSTSPFQSKPIRCIVKLGGAAITCKHELETINEKNLDTVSKQLRQVILSCPDSGKVLGMDWSKRPGTSETLSTIDGCCDEFKVDFEKFVVVHGAGSFGHFQASKSGVHKGGLCKSLVKAGFVATRISVTSLNHEIVRALAKEGIPSIGMSPFSCGWLTCDRNMEAANVSMIVKALDSGFVPVLHGDAVLDTSQDCTILSGDVIIRYLAEELKPEFVVFLTDVLGVHDRPPTEPGAVLIREIAVNEDGSWSVVKPNVQDASKQVVVTVAAHDTTGGMVTKISEAAMIAKLGIDVYIVKAATEHSRIALSGDLKHNVPDSWLGTIVRPLRQQQ >CDP00573 pep chromosome:AUK_PRJEB4211_v1:11:28029242:28034239:-1 gene:GSCOC_T00032554001 transcript:CDP00573 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSWLVDSNRIATKIRSASGASNLQQVNWTSNPTKTCPNCQHVIDNSDVTQEWPGLPRGVKFDPSDQEIIWHLLGKVGIENMKPHPFIEEFIPTVNEDDGICYTHPQNLPGVKQDGSVAHFFHRAIKAYNTGTRKRRKILGDSSGDVRWHKTGRTKPVHLDCIQKGCKKIMVLYLSPVRGGKPEKTNWVMHQYHLGTEEDEREGEYVISKVFYQQQQVKQNEKAEVEVPEDDASIVKVDPVTPKSVTPEPPRTESHFPDCDAQESVLPAAQHHGVVCMEDEVRPPSDQPNCEDPVSAVDLADQQDDKTDNDAGEKREWWDSESQYLLDSQQLVEALSLCDEFLRSQSPNRDGDKNEQELKPKPRLADYAHLGPEHLKKDLEECQDLVLDPVNLELDTPPDFRLSQLEFASQDSFISWGGNKLQEFASQDSFISWGGNKSADIEEHGT >CDP11619 pep chromosome:AUK_PRJEB4211_v1:11:15781583:15784402:-1 gene:GSCOC_T00033994001 transcript:CDP11619 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLPLPQSSTCTRSLSFFPLSLGFGWKRRSIFRSLLCFLPFLRSFAVKDDIFCLFEGALDNLGSLKQQYRLPKSSNEVVLMIEAYKALRDRARYPPNHVVGHPEGNFAFIVFGKATSTLFVATAKVPLYCGITADGWVAFADDADLLKGACGKSLASFPQGSFFSTALGELRSYENPKNKITAIPAKEEEIWGAKFMVEGPAVFAVTK >CDP11035 pep chromosome:AUK_PRJEB4211_v1:11:6579606:6580300:-1 gene:GSCOC_T00033019001 transcript:CDP11035 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLGFCWWPSNLKSNVPYFSDLEKCGENERMKLPAVKECSLDELKVATSGFSVEIFLSEHGKKAPNVVHNWQLEDDCSWIAVKRFNKSAWPDSRQMIIIITSFSKSPAIVFHKCDIILFVYVP >CDP00775 pep chromosome:AUK_PRJEB4211_v1:11:25970429:25976017:1 gene:GSCOC_T00032851001 transcript:CDP00775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 17 [Source:Projected from Arabidopsis thaliana (AT2G01450) UniProtKB/Swiss-Prot;Acc:Q84M93] MLPPCRREFKDIFVVFELMETDLHHVIKTNDDLTPGHFQFFLYQLLRALKYIHSANVFHRDLKPKNILANADCKLKICDFGLARPSFGDTPSAVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIYAEMLLGKPLFPGKNVVHQLDLITDLLGTPAAESIARIKNDKARRYLSSMRKKTPVPLSQKIPNADPLALKLLERLIAFDPRDRISAEEALADPYFYGLANVEEEPSTHPISKFEFAFERRKLSKDDVRELIYREILEYHPQMLQEYLLGVDHTSFMYPSGIDQFKRQFDHLEGHFGKGMRRLPLLRRYASLPRERVCAPIDEDPDQKEELEKHAMDAVARTCLQSPPRSEEKKESESANSSMVALPNCRSRPCYSARCILRSSSISASKCIEVKSGGCEDALSKQRMNGIGGLSEKVPALYA >CDP00225 pep chromosome:AUK_PRJEB4211_v1:11:30803856:30804495:-1 gene:GSCOC_T00032106001 transcript:CDP00225 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSDGIFEWILGITLLLLMLALLIALLYLALSVFCKTIQLISQECSNNSGLHNNNVANAAA >CDP04812 pep chromosome:AUK_PRJEB4211_v1:11:5320545:5323817:-1 gene:GSCOC_T00019540001 transcript:CDP04812 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWHNSRKPKAVVVGGSIAGISCAHALIEAGWDVVVLEKSCAPPSGSPTGAGLGLDPLAQKIIQTWLRNPQLLHNSTLPLTIDQNQATDGEKKISWTLTRDENFNFRAAYWTDLYSLLYNALQSDTVLWGHYFLSFCISDDKTSVTVKCKVLETGDTIDIVGDLLIAADGCLSSIRKSFLPDLKLRYSGYTAWRGVLDFSNNKHAEAVLDLKKAYPDLGKCLYFDLSSRTHSVIYELLNQRINWIWYINQPEPELKGNSVTMKVSSDMIEQLHEAAEKVWVPGLATIIKETKEPFLNVMYDCEPLKQIFWDNVVLIGDAAHPTTPHGLRSTNMSVLDAAVLGKCLQKWGVENLNLALEDYQSIRLPVTSKQVLHSRRMGCIKQGLNVAGRRPFDPRMATPEECDDLQQKNMPFFTDVPSIFDVTT >CDP05501 pep chromosome:AUK_PRJEB4211_v1:11:21873590:21876662:1 gene:GSCOC_T00020579001 transcript:CDP05501 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRINEYRSIRSAAYKAVLEGKKQPVETFRSFWREEGVKPLDKCGDTVLHFLAIYGNVAAFRLLLQDGLVTSENLKAKNVNGDTALHEAARFGHKDVAEIMLRTEKDLAYERNKLGETPLFVAAACGKKEVFSLLEKYIGDCMMRRNDGCTILHAAVIGGYYSLAIGILEWYPDLASKRDEKGKTALHLLAAKPESFRSVSAYTLNDLGRKSLIPLHILRAIIYWCIPVLNKESQPVNSAEELDRSSSVNYILGFPWLKEIDDAKQSHVVALMLAERLIKREDWSQYVHTEDKDLEGSQFGISSEKKNRMPDPLIQATRLGIIEVVQKILSAYPEAAYTFDGKGRNILQIAVEEKKWFLYDYLMTSGTNMDRMLSAIDHEGNSIIHLAAHLESPPSTPPGVFQQMMWAVLWFKRVQYDSYPYLWELQNSDGKTARQVFETNHASLRENAERTVRELANAVLIVSTLIGTINFAAIFTVPGGFDQKTGEAIFLSNRHWEFGLLMFYLAGGLFSSLFTMGTLLVIIFLRFETDDFYVSLPCYYVMDMISIFYSAVFTIVACCQALIVQKVVITDFRPLVVFFFIYGLIGLVLLETSYVIFDYVYHLIRYCLCYRGRQS >CDP00580 pep chromosome:AUK_PRJEB4211_v1:11:27952352:27953758:-1 gene:GSCOC_T00032564001 transcript:CDP00580 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSLRPVSSLKLFNLESLSNIEFHYDKLAFKLSNPLLTKSVQISTTHQAFSARRPSKDASTKAFFFNIGKPKPEPTKVQELYVYEINERDRGSPAYLRLSKKDANSLGDLVPFSNKLYTGDLQKRLGITSGLCVLIKHVPEKKGDRYEAIYSFYFGDYGHISVQGPYLTYEDTHLAVTAGSGVFEGVQGVVKLQQIVFPFKLFYTFYLKGIKDLPAELVVKPVLPTATAEPSAAAKATEPQATIPNFTD >CDP05417 pep chromosome:AUK_PRJEB4211_v1:11:20067349:20071960:1 gene:GSCOC_T00020456001 transcript:CDP05417 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGENFVKGTEVYIQSIKSNVDPSRGNFIFRVDPDGFPQKFLMNGSTPRFRTGPWNGLRLSWGSPGLQPNPVYTYEFVNNPQEVYYRYDLINTSVYSRLIVSTDGIIQRFTWNYKSQDWAVYLNAPADTCDIYGLCNNYSICSTASSPICSCLDKFVPKSPSEWQATDWSNGCQRRVPLDCQKGDGFIKYSGIKLPDTRWSWYNRSMTLKECEAICLKNCSCTAYANTDITGKGSGCVLWFGDLIDMTRVNGGQDIYIRMAASEIPSGANKAKILGISLPLVGAFLLLVLSLILCAQNKKRRQRKLAEQLPSKGKMESRSEQRLNTESDKEDLDLPLFDLKTIEQATNNFSIDNKLGEGGFGPVYKGTLEEGQEIAVKRLSEYSIQGLDEFKNEVKCIAELQHRNLVKLLGYCIEGEEKMLIYEFMPNKSLDFFLFDNDRSRLLDWPKRFQIIIGIARGLLYLHQDSRLTIIHRDLKAGNILLDSEMKPKISDFGMARIFGGTETEARTKRVVGTYGYMSPEYAVNGVFSTKSDVFSFGVFVLEIVTGKKNRGFTHPDHYHSLLGHAWLLFKDGRFQELIDDHFSQSCFLSEVIRSIHVGLLCVQQYPDDRPSMSSVVLMLVGDGALPFPKEPGYFTERNCFFETDKIFSSGNQLTITLLDAR >CDP05453 pep chromosome:AUK_PRJEB4211_v1:11:20914785:20919700:1 gene:GSCOC_T00020509001 transcript:CDP05453 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFVDILAVTVIFAGISSQVKSDASKHIYQKGDPVPLYANWAGPSGNPSETYPYYSLPFCQPDPLKQKKQSIGEVLNGDHLASSPYKIDFRMEHKLQVVCRKNLTRQEVSLFRTAIEQGYWWEMYYDDLPFWGFIGYVGHQHFIYTHTDFKILYSGDHVIGILAMNAHPAELADGKEVNLNFTYAIMWQKTNITFDKRMDEYIDISRHLPHNIKIHHVAISNSLWTVLILFGCLVVLYVRVLRKDIYEYASDQESTRDHEETGWKILHADVFRYPKYKSLFAAAIGSGTHLLILSMLILVLGLTGLFHPYDRRVYKTVLIISYAITCGVAGFFAVSFYHQLEGTDWMRNVFLTGCIFGGPLFLSFCVLNAVATIYGLTVALPIGGIVVIFLLWMFLALPLLLLGAFFGKVTDWQFQAPCHTSNCPREIPALHWYRNALPQMALAGFLPFGFIFIELYDIFDGVWGFRVYNLYGILCIVIVLVIIATAFVSVGLTYFQLAAEDHQWWWRSFLCGGSTGLYIFGYCFYYYFKSHMRGFIQTSFFFGYMACICNGIFLMLGTVGFRASLLFIRYIYGSIKCD >CDP00311 pep chromosome:AUK_PRJEB4211_v1:11:30096285:30099239:1 gene:GSCOC_T00032209001 transcript:CDP00311 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNAARNLLTLDEKDPRRVFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIRQRHIRVGRQVVNVPSFMVRVDSQKHIDFSLTSPFGGGRAGRVKRKNQKAAAKKAAGGDGDEEDEE >CDP18970 pep chromosome:AUK_PRJEB4211_v1:11:31692798:31694413:-1 gene:GSCOC_T00001009001 transcript:CDP18970 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGGDRAASDDSRWLEKNGSSYRNRSHHHQSQWLQSTLAELERKIQKILELVEDDGDTFAQRAEMYYKKRPELIGVVEDLRKSYISLANKYDILRSESLCASSNSFRLQSSLPPSAVLALQLHEAEAVCKINGGFDDSRRDRDGDMWNKMRQSVSELIDDNLSQQAELIRRNNEKTESIRRLGPRINQLQNENKRLKELLAQQQQQHKNTADRDCKHNRSHLSKLKGLVFRKNA >CDP00162 pep chromosome:AUK_PRJEB4211_v1:11:31311918:31316569:1 gene:GSCOC_T00032019001 transcript:CDP00162 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKYEEAIAGLQKLLSEKDQLEDVAAAKIRQLTADLEATAKKPFDPVERIKAGFGHFKKEIYEKNPGLFGELAKGQSPKFMVFACADSRVCPSVILNFQPGEAFVVRNIANMVPPYDKTKYSGAGAAVEYAVLHLKVENILVIGHSCCGGIKGLMSIPDDGTTSSDFIEDWVKICLPAKAKIKSTCSGLDFAEQCTNLEKEAVNISLGNLLTYPFVREAVAKKTLALRGGHYDFVKGSFELWDLDFNLLPALTI >CDP00439 pep chromosome:AUK_PRJEB4211_v1:11:29149173:29152044:-1 gene:GSCOC_T00032378001 transcript:CDP00439 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWIVAAAAGAGYLGKHLQNLSLSEKEKLVESYSKISNLRQSEPQNFLQQIRDNTCPLRRLAQKDAHMEAASEQNDVLDRPLEMDQFNGGSVSLLTRASISGSVRMVEDMNVLKLSSQAPGLGESKSCSNDMEFMYGSSRSSARLSRYELLKSRSSGSGHILEPLGSVENHLQKIGDSAHSSLPTPLAPTKGLMLVSNGDRILRRYIGNSLDSLSTDVEDNPSDACHNDSYAFSSAPSFYDTESVELQEQVKRKSSQEKVLGGADQSSELPSGMLLFLIGLTIGITPEVFTYTREVKKLNEKLKLAENLVQDLQEELDMKDKLTVKELAGEISQPLSTKNLSSFNEEPTVSCSDPGDDSITSYDSKLSMSKIEAELEAELGRLGIHTDLERTSDFGEPDSQCEVDTIRGDIKLDALRRQYENASESVSDEESDTTNGPTPPANYAVSPRELSMRLHKLRESRLEARIKELENALQNIQNEFHSLEPQCITSLDSAYSDGESLSTPESPHQLCRNATDTLDEVNGRTSKVTGKDPEANYAVLIPNPPTKNFPHLREHQTTSQRAETMGSYLGGDKRSSSSFQMD >CDP20343 pep chromosome:AUK_PRJEB4211_v1:11:24731049:24735234:-1 gene:GSCOC_T00008239001 transcript:CDP20343 gene_biotype:protein_coding transcript_biotype:protein_coding MRPELYAAAQSGDWGILGKFLDELHYQRTPKRNTVLHVLAQSCDSTDAVQHILARYCCLLMAKNARRETALHLAARNGNSSIVGELIDQSKQNKGCWSCACFVDRRKRMLRMANVDGNTALHEAVKNNFYEVANLLVQEDPEFRYRLNYASETPLYVAVEMGHRHIADLILKTCKSPSYLGPGHKTALHAAAIWDLPESMKLILEKRPDLIQRADKFGWTALHYAAKFNHQEIARLLLSADRSTAYVAAKNDNSKTALHIAVIHGHVALVQEILSHCPDCWEECTHGRRNILHLAVKNEQKEVLEFALEKYPWASELINQKDRKGNTPLHLYAATNTLEGNSLLKHPRVDVNSFDKLNSTPLDRILQADELSERQSFIRDELQRVGGTPGYRNVATLKKNLQSPKTDEPKKLNRLAGNYLIVATLIATVTFAAGFTVPGGYYSSGGLNQGLAVLGKKAAFITFVISDSLAIMFSIGAVVRQLKILQTKNDRYKWSELQDIQTNIFVALISMMIAFITGLYSVLQVLPLKIVLCVLAAFFCIQTSVVFLSLRPNKMSRTYMSIFRNTTDAYCSFSIGALGIRYDNFTDRISCAACAGKFSLRSVGQ >CDP00588 pep chromosome:AUK_PRJEB4211_v1:11:27832838:27837832:-1 gene:GSCOC_T00032574001 transcript:CDP00588 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFKSATAAAPAVVTLTNSDMYCRSSIYLRGHEGGGGGRNWTNYVDLGELEQSSFHQEHAVDLSTSSIYSDMKPSNVVAVSNSSLQFGGLNTNMGSGAMGSSGAVADTGQFLMQKGTMLSVGAGGTLGSGNFENWGESGMADHSQQTDTSTDVDTDEKSQFHGVRRRTLVGMDSMEQSGERNGDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLRLTQLEQELKQARQQGVLKASGYTGDQSMSGALAFDMDYARWLDEHQRMINDLRTAVNSQLGDNELRLLVDGVMSHYDEIFRLKDIGTKSDVFHMLSGMWKTPAERCFMWLGGFRSSEILKILGDHLEPLTDQQLIGICNLQQSSQQAEDALSQGMEALQQSLVETLSSNSLGPSGSSNVADYMGQMATAMGKLAMLENFLRQADLLRQQTLQQMHRILTTRQAARALLVINEYMSRLRALSSLWLARPKD >CDP00591 pep chromosome:AUK_PRJEB4211_v1:11:27807995:27811324:1 gene:GSCOC_T00032580001 transcript:CDP00591 gene_biotype:protein_coding transcript_biotype:protein_coding MWIMPTYLTNVWKLGFTRAAGIMNVANGLAKVLPLVFFLIVDCGLGNYWMLLLSSVSYAIGLGFLSMSTPPVLAKETGSCKHYKPECIGHTQKALFYTALALIAVGISGHIVSLVALFVDQFEKKKADVKFEKPRHQGRRKRGGATSDGPNKQGDDAEDDMLSQLQFQAVEAMLALVNREKVKVFRACLVILFPVIGLIALPYIRPWSLRFGIPAICTLVATMAFLLGAYNDNKPKGSPVTNVLRVLVASTLKMFHKLPTDSSKLYEKNEHDDKKLSHTEGLRFLDKAAIKEEPVTNKWRVCTVTEVEETKIIVNMLPIWITFIICGVVTSIGSTYFVEQGNHMNFKVGKLKFPNSILLVLYELTKSRSKTMYTFIASHLGGARLKRYAPPVGIAFATLFSVLCCVVAALVETRRLHVLRGHGLLDKPDEKIPMTVFWLLPQYILLAVLDSFYENSAASFLSDQSPPSMKKYLVYFNPGLSGLGIMGSVLSVFLVGRVSERRGKENWFQYTLNKSRLDRYYRVLAVLSAANFFWFLVAALRYPYREPTSNDEQENGNEEGNAMEAVEGVIPNIE >CDP00725 pep chromosome:AUK_PRJEB4211_v1:11:26431406:26436219:1 gene:GSCOC_T00032790001 transcript:CDP00725 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLEYTPLDRLNDFLSQVNLGERTIKGCLEAYSCKHTGTDKKLSLSLENEILDYLGKSSDTDSSSPVEYLYTSSRKTLIYLLLTLYHTYPDYDFSAVQAHQFFTEESWDGFKQILDTYMFEASKEWLDTNECPLLETLYKALDEVVKLAECEIYSYNPEADADPFLERGAIWSYHFFFYNRKLKRVVSFRFSCLSNFASEGFRVDELIFEEEGEIFDGMDI >CDP07969 pep chromosome:AUK_PRJEB4211_v1:11:10827078:10828003:-1 gene:GSCOC_T00025522001 transcript:CDP07969 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYHIWVFHQIVKRPTMTIIGINAINCRFWVQAVMKVHPALLKFFFSKLFNSLIFYEFNDYIHDQFEQNNIMASTLLASMAIMTMEKRAFFDGVCGGRYEQAFSVKFISILVCFLVAFLMTVQSIRYYSHANILINVLSFSATTNHGHKQHFITPNYFGATINWGSYFWLLGLRTFYFSFPLFFWIFGHILMFLCCILLVFLLYFLDVILDFGWVGKQDENHPPGTRKPIKSIVVLIPSHLPGDIRNQCSFLSI >CDP00705 pep chromosome:AUK_PRJEB4211_v1:11:26692559:26694823:1 gene:GSCOC_T00032756001 transcript:CDP00705 gene_biotype:protein_coding transcript_biotype:protein_coding MHVILISVFIRQVLFFIRFMMTLYARASKSIISKNFLKVEVKLLFFASIHQSHCHPVFIGNTADLNSINFKDMSPVIYSVNKHSDSYFQNFILRTILEKPYVAGNSLWIFPDHYHADSELLIRVLNSIQEKPKVALKLFRWAEGKPGFKYSEFLFCTILDVLVQHGFMRSAYWVVERVVSVNLSSVMDVLIDGFLNSKVSVKVLDLALWVCTKKENVELCLLVFDKMMRNGLLPDVKNCNRILRVLRNRGFIGKAREVYRIMGKFGIKPTIVTYNTMLDSYCREGQVQEAVDLLSEMQRRGCFPNGVTYNVLINGLSKKGQFDQAKGLIQEMLNKGLTVSAYTYNPLISSYCANGMLVEALGLAKEMEMRGASPTLSTYNTLMYGLCKQGRVGDAWQWYPVVLKKNFVPDIVSYNILIYGYCRVGNAMAATFLLDELKRRKLIPTVVTYNTIIDGLCKLGNLEDARQLKDEMVDHGISPDVYTYTILVNGSCKAGRLSMAAEFFDEMLHKGLRPDCFAYTTRISSELKLGDASKAWTLQEEMLAKGFPPDMIVYNVFVGGICKMGNLNEAYAVLQKMVFDGLVPDHVTYTSIIHACLENGRVRKARELFHEMLSNGLSPTVVTYTVLIHAHAGLGRLELALMYFSEMQEKGIVPNVITYNALVNGLCKCRRLDQAYTYFSEMKTKGVIPNKYTYTILINGNIDLDNWMEALRLYIEMLDMGIKPDSCTHSALLKDLNKDYKLYAVQYLDYVVGK >CDP15253 pep chromosome:AUK_PRJEB4211_v1:11:18503541:18504279:1 gene:GSCOC_T00042902001 transcript:CDP15253 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCASKNHKAKAAPPSPPPTPRAAPPSPSHEEEVHVQVQIHHGEHELCRGGDCNCLGEFVGKLWTIPGMKSVGVVNMAKPGDRIDVEELFDKIEKIPELETIEVVSMGNSGDHMDDEQMLLPPSMPLVAPPSLVSPPPSKEYNHPEDGPVDCDCLGEFIDKLWTIPGMHNIEAFNLDKSGFQIRG >CDP00167 pep chromosome:AUK_PRJEB4211_v1:11:31266462:31267840:1 gene:GSCOC_T00032026001 transcript:CDP00167 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGNLKPRIPRGVTERHRRQQMKNLYSKLASLLLPPASEVNLPLPALLDYSLTHVKNLKERIQELEAKKEKFKGQIGSSTADFVLQAVKIIEKGPILEVNLETGLHKRFILPEVISILEEGGAQVLSASYATLTDRVLYTISSEASSPRIGIETSRLHERLKALAGTGCFFCSL >CDP00836 pep chromosome:AUK_PRJEB4211_v1:11:25166306:25170290:1 gene:GSCOC_T00032939001 transcript:CDP00836 gene_biotype:protein_coding transcript_biotype:protein_coding MIDWKRRKITQSSASPAKERICNGISISILDLPICILVEIFLRLSISTVVDCKRVCKAWYKFISDTEFASVYLRKPPFTSVILPVEKNGLCLLELKGGYDYTSKRICPKICRTPDGFSRGSVTILGSCNGLLCLAHYIYGEEQNYRLYVCNPLLGEYVMLPQPKVDKRIREEVYGFGFSPKTGQYKLFRIITRKWRVGKTEAQVCTVGIGNDWRVLRENAPFPLARKCLGEIPSAVQICEVTLNGALHWISGDLSKPDFIYSFDISEEKVRLVPHPHGMVERNRWTSLGVLRDCLCVFQVTRSSPGMDIWWMMKYGEVESWTRDTIMDSCLPQDLEYRRPYHPTLIWKTSEMLMCPDYGPLICYNIEEKTAMEVTTKVDRRFRSLPVPFHPCFLSLIDVLEAGHVEGVGGELNFVMGCKRDEITSPNNKRNAGTSIMDLPTFILVNILSRVPSITILCIKSICKTWYRFISDPHFADTYFTARQSVNLVLSTRDSACSFLELKAGDNNDNQLNYKSGLPARLSDDRVAFLGSCNGLICFSVSSNSMPNYSVLFICNLLLRKFSPIPIPKVEKNIREDVYGFGYSPLTGHYKILRIFTKKWHPGKSEAHVCTIGSDKDWRVIENHTPFPMGRKWSEFSNVAHLSGATVNGALHWIVENPQNPDFIYSFDLCDEEVHRVPPPPYMGKRSSWTSLGVLRDCLCVYHTICPANLDIWLMKDYGVVSSWTKESLSADHIPVGLANHAVLPILTWRSGELLMQVDSGPLISYCPKSKKCNVLKIESDFGGCFIAALHFPSFLSPKTALKECHLVSSK >CDP00612 pep chromosome:AUK_PRJEB4211_v1:11:27606924:27607836:-1 gene:GSCOC_T00032606001 transcript:CDP00612 gene_biotype:protein_coding transcript_biotype:protein_coding MSARFQSSFENIGALLKKLCWISVSSAVLLLAINNQKSLCSANRRSSSLLFPTASMRLRPKRTCSGVECFGGFHIKRYLSLFLFFRGPHT >CDP00537 pep chromosome:AUK_PRJEB4211_v1:11:28365185:28372591:1 gene:GSCOC_T00032502001 transcript:CDP00537 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKDRNRDGKKKKLHFSKIYSFRCGRGGFKEDHGSIGGPGFSRVVYCNEPADFEAGLRNYPGNYVKSTKYTVASFFPKALFEQFRRVANFYFLVVGTLAFTPLAPYSAVSAIIPLIIVIGASMVKEGIEDWRRQQQDMEVNNRKVKVHHGDGLFQNTEWKNLRVGDIVKVEKDEFFPADLLLLSSSYDDAVCYVETMNLDGETNLKLKQGLEVTSFLNEDVNYKDFKALVKCEDPNANLYTFVGSMEFEEQQHPLSPQQLLLRDSKLRNTDYIYGSVIFTGHDTKVIQNSTDPPSKRSKIEKKMDKIIYFLFGVLFTMAFVGSIYFGIVTKKDLDNGHNRWYLRPDSAKIFFDPKRAPAAATYHFLTALMLYSYLIPISLYVSIEIVKVLQSMFINQDIHMYYEETDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTAYGRGVTEVERAMAKRNGSPLMVNGKDVVEDSPKSATKSSIKGYNFDDERIADSNWVNELHADVIQKFFRLLAVCHTAIPEMDEETGKVSYEAESPDEAAFVIAARELGFEFYRRTQTTVSVNELDTLSGKKIEREYKLLNVLEFNSTRKRMSVIVKDEEGKILLLSKGADSVMFGRLGKNGREFEDQTREHVNEYADAGLRTLILAYRVLSEEEYKIFNEKFLEAKNLVTADREALIDEVTETIEQDLILLGATAVEDKLQPGVPECIDKLAQAGIKLWVLTGDKMETAINIGFACSLLRQGMKQIIITLEAPEIIAVEKGDDKNAIAKASRQSVIQQITEGKAQVRSSSEAFALIIDGKSLAYALEDDTKNLFLELAISCASVICCRSSPKQKALVTRLVKDGTKKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDVAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNVTFGFTVFLYEAYASFSAQPAYNDWFLTLYNIFFTSLPAIALGVFDQDVSARFCLKFPLLYQEGVQNLLFSWRRIIGWMLNGVCSAVIIFFLCTKALDPQAFNKNGKVAGFAVLGTTMYTCVVWVVNCQMALAVGYFTLIQHIFIWGGIALWYLFLLAYGAITPKYSSTAYKLFIEALAPAPAFWIVTIFVVISALIPYFCYNAIQMRFFPMYHGMIQWIRHEGRSDDPEYCNMVRQRSIRPTTVGFTARSMARTNPLDGRKQNHR >CDP13238 pep chromosome:AUK_PRJEB4211_v1:11:32238528:32241457:-1 gene:GSCOC_T00038115001 transcript:CDP13238 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRVGLSRLGLPWRLQRKSAALVGVGFIVVAALTFFSRETRLDLKQSKDCHFPAIFNFGDSNSDTGAVSAAFGRVPFPNGITFFGRPSGRYCDGRLIVDFLAEELEMPYLSAYLDSIGANFRHGANYAASGTTIHLTDAQLYGAGFNPLSLSVQLSQFEQFTARTRELYNQSKTASIINTLPDPEDFSRAIYTVDIGQNDLHFALTTMKDKQVQAFISGIIDQFSWSIENLYRNGARAFWIHNTGPIGCLPFFVIPHPPKPGNTDQNGCIISYNQVAQQFNNELRVRVSRLRDQLQDASIIYVDIYSAKYSLISNAKKYGFPLPLQSCCGKIGIVDCGYKEMVNGTEVHGDSCNEPSTYISWDGIHYTEAANKWVASKILDGSFSEPKVSIAEACQRLSPPP >CDP16285 pep chromosome:AUK_PRJEB4211_v1:11:24048766:24049185:-1 gene:GSCOC_T00018060001 transcript:CDP16285 gene_biotype:protein_coding transcript_biotype:protein_coding MAIECLVLGAGQEVGKSCVVVTINGKTIMFDCGMHMGYLDHHRYPDFSLTPRNAAEDFTSSLSCIIITHLYDLLD >CDP07993 pep chromosome:AUK_PRJEB4211_v1:11:9730135:9738766:-1 gene:GSCOC_T00025562001 transcript:CDP07993 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGSGGTAAAPTGCYKCGRPGHWSRDCPSNPPSSSSKNNNDENAIDKDLNRNPSGSAYSYSKKTFENNAQSNSASAAEKPKRLPRTRPKLTPDLLLSNDGIGHILRHFHRAFKYRGRGHEVSDLGNLLGLYAEWHSRLLPYFSFDQFVHKVEQIGTKNRVKKCIRELRERIADGVDPAELHEPQVQDNNPNHEQGTSNLDARDIEEENRFHEDTNMENAIEDNTCLEIPDNSTLQESNLNEIWEKAMEEPFKPSDDKIVEAGASHADNETPKMAPGETSSNSNGTMLSEEQRARMEANRLKALGKTGQEPSKPSDDKIVEAEASHGDKETPTMAPRKTSSSSNGTMLSEEQRTRMEANRLKALEKAAARARKL >CDP00674 pep chromosome:AUK_PRJEB4211_v1:11:27038817:27043651:-1 gene:GSCOC_T00032697001 transcript:CDP00674 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidic acid phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT2G01180) TAIR;Acc:AT2G01180] MAWRNVISFGSIGSLLQGREGEAQLGCTHTIKSHGATVAKSHKHDWLILLLLIILEIVLNIIHPFYRFVGQDMLTDLKYPMKENTVPIWAVPLYAVLLPIAIFLVIYLRRRDVYDLHHSILGLLFAVLITAVITDAIKDATGRPRPDFFWRCFPDGAQKYDKWGNVICHGDAGDIREGHKSFPSGHTSWSFAGLGFLSLYLAGKIKAFDRKGHVAKLCIVFLPLLAAALVAVSRVDDYWHHWQDVFTGGLIGLVVAFFCYLQFFPPPYHLQGWGTYAYFRAVDESSRTNPSHLSPPPPVFNTQSMEVQVVDRQSLSQNPSKEQDPNLHTIDLERGRN >CDP00788 pep chromosome:AUK_PRJEB4211_v1:11:25836979:25838504:1 gene:GSCOC_T00032872001 transcript:CDP00788 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKNCEDGVKESRYDALYNQRIRHIFPKSNASMSNCAPCCLIPSNYSSHIGNGIGFPMNYHQTCVNHDEEIKDENHMEPIVSSRWNPTPEQLMALEEMYRRGVRTPSAEEIKLIAAKLRQFGKIEGKNVFYWFQNHKARERQKRRRQPDSNSSKNATDVETLDAKETGFSCKALEGEHNKKLVTTIPAYSSTSKESASMQRSMVTESGTDGWTHLDERELQKRSQRKIAKGATSLMDMSCMAPNSDLLNTITAPSTLESSGENQQITALNSSVVSPSCGEDMINYEDGRRNHHTLQLFPLRSDDHCSIKASETEFKELRTTISSKLPPKQFYEFL >CDP04887 pep chromosome:AUK_PRJEB4211_v1:11:2837617:2841084:-1 gene:GSCOC_T00019685001 transcript:CDP04887 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAVFIWKVHPFYPFLLLLNRDEYHNRPTIPLGWWQDDPHILGGRDAVAGGTWLCCSKDGRLAFLTNVRELAHASSPHQQPESRGYLPLRFLQSKKSPEEFADELVEEATLYNGFNLIVADLCSMSMLYITNRPKGSGIIATQVSPGIHVLSNEKLDSPWPKAQRLEQSFKDLMDKYGEGEIPLKEMSEKLMNDTTKDDESKLPQIYPPEWEYHLSSIFVDADMPTGRYGTRSTSALAMSTSGNITYFEKHLDADLWKEQIISFQIRREEMTLSSFKIV >CDP00826 pep chromosome:AUK_PRJEB4211_v1:11:25305438:25307321:-1 gene:GSCOC_T00032923001 transcript:CDP00826 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKYGGQVQGKKKFRLKWTKLLHDCFVKAVNQLGGAYEATPKDIVKVMGIKEITTDHIKSYLQKYRLSQEVYGAAYTKAAWSGSRMMLEAIQEKEQRRNLARQMNG >CDP13289 pep chromosome:AUK_PRJEB4211_v1:11:32571002:32572510:-1 gene:GSCOC_T00038178001 transcript:CDP13289 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKFFCYDKSNASNSLDLNASSASCYILSFQDSSGLDETRNSKRLKQLDNFNQYFGNEYHHDCSRDVVYKGSINGSTEEKKCSTRPLFRDHIWAYAQKYMAAAAVEEADGAYDKEEHVIKEEGKEDGMRLVQLLVACAEAVAYRDKRHASTLITDLQADALVFGTSFQRVASCFVQGLSDRLELVQPCGAVGDIGAIPKTIAFSLEKEKALSLVYEICPQIQFGHYVANASILQAFEGESSIHVVDLGMTLGLRHGYQWRSLIFNFAIRAGRRCRLRITGVGNCADRLLVIGDELGEYAQNLGVKFEFAVVESNLENLRREDLKILHDEVLVINSILELHCAVKESRGALNSVLQMLNDLTPRAFILVEQESSHNGPFFLGRFMEALYYYSAIFDSLDAMLPKYDTRRSKMEQFYFGEEIKNIVSCEGPARVERHERLDQWRRRMGRAGFEPAPVKMLTQARQWLESVQACEGYTISEEKGCLVLGWKSKPIVAASCWKCS >CDP00800 pep chromosome:AUK_PRJEB4211_v1:11:25703612:25705269:-1 gene:GSCOC_T00032888001 transcript:CDP00800 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGKKKIVASEDNWFLLRGDVFHDVLSKRPHDLATITPEKVQGFTLLGGDLGTVGSKICWHYTHDGKDRVAKEIIQDINEEKKSIVFKMIEGDLMELYKTFTIMYHVDVLGDEESLITWTLDYEKLKEDTPHPGTFLNLLLHAVEDIESHHIKNA >CDP00717 pep chromosome:AUK_PRJEB4211_v1:11:26566943:26571045:-1 gene:GSCOC_T00032774001 transcript:CDP00717 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFEIDQISPPQDYKTVLIFATGSGISSIRSLIESGFGADKRSDVRLYYGARNLKRMAYQDRFKEWELSGVEIVPVLSQPDQTWTGEQGYVQAAFARAKKIFSPQSTGAVLCGQKPMAEEVTSLLVADGVSAEKILKNF >CDP15256 pep chromosome:AUK_PRJEB4211_v1:11:18708981:18709066:1 gene:GSCOC_T00042908001 transcript:CDP15256 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKQLAASVPKCGRGKV >CDP11019 pep chromosome:AUK_PRJEB4211_v1:11:7176463:7180636:-1 gene:GSCOC_T00032991001 transcript:CDP11019 gene_biotype:protein_coding transcript_biotype:protein_coding MLVICIRFAFIVQLLFPQSKLKMVISMSVIGKLKQCYISVALLFPNSCLSRRRGIGNQSSCIQGSC >CDP00428 pep chromosome:AUK_PRJEB4211_v1:11:29211444:29216341:1 gene:GSCOC_T00032365001 transcript:CDP00428 gene_biotype:protein_coding transcript_biotype:protein_coding MLATTISSAFPVNVAPHFGNEPLSSTTLHCRRTKNPMRLTRGVFPVCEFPSFLPEQVENIKDPFARKLASRIERLPVQVSFLDSCIMTSCVKPEGDSKKSPVLLLHGFDSSCLEWRYTLPLLEQAGLETWAIDILGWGFSDLKCLPPCDVASKRDHLHKFWSSYINRPMTIVGPSLGAAVAIDFAVRYPEAVDKLILVDASVYAEGTGDLAKLPKTLAYAGVSLLKSLPLRLYATSKAFNGLPLNTLFDWTNIGRLHCLLPWWEEATVDFMISGGYNVVAHIGHVKQKTLILWGENDQIIDSQLALRLHSELPNAIIRQIQDCGHIPHVEKPAAVSELITEFV >CDP07917 pep chromosome:AUK_PRJEB4211_v1:11:12401824:12404853:1 gene:GSCOC_T00025424001 transcript:CDP07917 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKRKVYLCPEPTCVHHHPSRALGDLTGIKKHYSRKHGEKKYKCNKCAKKYAVHSDWKAHTKTCGTREYKCDCGTLFSRRDSFITHRAFCDALAQESARNPPPLGIGASQLFGSSSNSSTMSMDMSQIGSQIARSTGVTGQFDNLIGSSMGSALRPSKSMASSSYFLPESDQEDYHLENHSQHEFFSNKTLHHGLMQFSDLQNNSSASAGSSFFNLSIFPSSSNAGSTLFSDHLVRQHLSSASIPSHYSTSIQSNNISPYMSATALLQKAAQLSSTTSNSTGAFLKSFTSGASSSGTKPDPDPISSTQFGGIFGDYNTGNHIYEFMSSITGPRFGGADQGLTRDFLGVGPAGELVRSMSGGGFGQREHGVEIKPLDSERKTAPESPPFGGGVNFR >CDP05443 pep chromosome:AUK_PRJEB4211_v1:11:20783707:20788950:-1 gene:GSCOC_T00020497001 transcript:CDP05443 gene_biotype:protein_coding transcript_biotype:protein_coding MANSAECFLMSLVMIGILSQVTSDAFDHKYNKGDPVPLYVNKVGPYHNPSETYPYYDFPFCPPDLWQEKEESLGEVLNGDHLVSAPYNLNFRLDRESHVVCRRNLTRKEVALFRTAVSKDYYLQMYYDDLPIWQFIGAAQTNDVDTFNYYLFTKVHFDIFYSGDRVIEINLLTDSSNRADLTEDKVIDIEFLYSVNWKETDLPFDTRMQKYTMFAHFPLNMKKHQFAITNSFWTIILLVGCLVALYVRVLRKDFNEYENDEELADNQGHTGWKIIHSDVFRYPKYNCCLAAAIGCGTQLFAVVLSIIILGVAGVFHPYDHGVQKVASVIIYAITNVIAGFTAVSFYHQLEGSNSAKNLFLAGSLFCGPLFLTFCMLNTVAGIYESTAEISMGNIVKILLIWVFIALPLLLLGGTLGKMTKSEFQAPCRSTKCPREIPPLHWYRAALPQMAIAGFLPFSVFYIELYPIFASIWGLKVFTTYGVLCVFFIFLVTITALVSIGLTYLQLAAEDHQWWWRSFLCGGSTGLYVYAYCFFFYFQSEMSGFLQTSFFFGYMTCSCFGLFLLLGTVGFRASLIFVRHIYSSIKFE >CDP16978 pep chromosome:AUK_PRJEB4211_v1:11:17921455:17921742:-1 gene:GSCOC_T00004809001 transcript:CDP16978 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAKHKGFYSLAQPRHFCKTCRRYWTKGGALRNVLIGGGCRKNKKSKPPSRLTVDPKDTNMTSDIGGLKFFHGLTPAMDFQLGGITSYLLPEGF >CDP07948 pep chromosome:AUK_PRJEB4211_v1:11:11512742:11514031:1 gene:GSCOC_T00025479001 transcript:CDP07948 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIVDANLSCINLVTNLSKLNKTKKKWGSAFMTIYCSKAFKNVLSCRKNRISPVLSDTVIIDVAEVPPSFCNVDQTTLTKLVKEKSLGLLDQLGGIQGIAASLNTEVQHGLNGDDAEDILRRIEAFGSNTYRKPPKKGFFHFNGAKEGWYDGGSIFVALSKFSSNIPVEVVRNGRRQQISIFEVVVGDVVRLKIGDQVPADGLLLEGHSLSIDESSMTGESDHLEVNQNQNPFLTSGTKVADGYGQMLVTSVGMSTTWGEMMSSVSQDSNEKTPLQSRLNKLTSAIGKLGLALHLLIL >CDP00552 pep chromosome:AUK_PRJEB4211_v1:11:28195624:28196041:-1 gene:GSCOC_T00032526001 transcript:CDP00552 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPTNSIFAIMYYDDELSSAASPKNEETAATDGWDGLTHTTLQITNPGCNELVDYFCIRKKMRVQKQSTYKTNCYFNIQG >CDP05423 pep chromosome:AUK_PRJEB4211_v1:11:20130315:20134287:1 gene:GSCOC_T00020462001 transcript:CDP05423 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTASTSDVSIHSTFASRYVCSSLPRFKMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMEAINKNYVDMDEYPVTTELQASSIFIIFLNRCVNMIAHLFNAPLGESEAAVGVGTVGSSEAIMLAGLAFKRKWQNRRKAEGKPYEKPNIVTGANVQVCWEKFANYFEVELKEVKLREGYYVMDPVKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLIEKNKQTGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYQNIMENCRGNALVLKEGLEKTGRFNIVSKDEGVPLVAFSLKDNSCYNEFKISEMLRRFGWIVPAYTMPADAQHIAVLRVVIREDFSCTLAERLVLDITKVLHELDTLPATLSTKVLNASEEKKIETQREITDFWKKYVMQRKTNVC >CDP07988 pep chromosome:AUK_PRJEB4211_v1:11:9982470:9987146:-1 gene:GSCOC_T00025552001 transcript:CDP07988 gene_biotype:protein_coding transcript_biotype:protein_coding MECQIPADIGNLTNLEQLGIEYANLTGVIPRGIGNLYKLELLYLGQNKLRDPIPHELFNISTLRELSIPTNDFRGILPPTLGVTLPNLEILYLGANRFTGIILPTLSNASRLKSLDLSENNFFGEIPHSLGNCRYLRKLLLDENPLNSNLPVSIGNLSNSLDSINMFHCGIGGEVPRSLGNLSSMEILNLASNGLTGIIPSSISSLRQLQWISFEDNKIEGPIPSEFWSISSLRYLYLNSNNLTSSVPTSLWSLNDLLELDLSINFLNGSLSSQIGDMKTLIKLNLSVNQFSGDIPSTIGALQDLQELSLEHNNLQGSIPDSIKTMLALQYLDLSFNSLAGVIPNSLEVLLDLQYLNVSYNKLQGPVPRGGPFMNFTILSFLANETLCGAPWFQPCQTSQHRSNKKVLLLVLLPIGSAVLILGISLLLTKRASYHDLRRVTNGFSRSNLLGSGTFGSVYKGVSTNGIIWAIKVFDMQLEGLLKTFDTECEVLCRLRHRNLIKVISACSNPDFKALVLEFMPNGSLEKWLHLDHHVLSIMQRLDIMIDVARGLEYLHYGYSFPIIHCDLKPSNILLDEDMVAHVSDFAIAKLLGGGESHVQTKTLATIGYIAPEHGLEGLVSTRCDVYSFDITMIEAFTGKRPKDEMFTEELSIRPNENMACVSSILQLALSCTSDVPENRIIIKDALTTLEKIKTQFLQRNKG >CDP00686 pep chromosome:AUK_PRJEB4211_v1:11:26932339:26934943:1 gene:GSCOC_T00032718001 transcript:CDP00686 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIKCSFSSAAGLTEGKMANDEIVSLLVEEVDELITIVHKILNIKVLQAKLFIEKVGEVIRILEMEGPPTLPDQLVEDIAPLALPIGDFARRTKLLITSPLHDEYRVNIGLGWERMLSREVPELVEQIGLNENKLEKFLDESDFYNSWEDLRHNSALLPCRDAVMDLLQSCRELKDAMNFFSEVATESAFLCQHLKFLLSFAELCERSNEWRCRQFVKSIMDVAHIALEALECADKDSLSSEMWEFESGEYASSAILTELLTENCIEETAKKFFDGKNGKRLVLLETLGMLHSFTEEIDATCGKLGSEDRQLNNASFGRNGFPSLSVIREKFASGDLPSLPMTYYLRAFPLIERRKRGRVNSVRSGIRRPNKQVNYLRTFRGKRRRVVNVWSDTRLVLPTNRLELEI >CDP00373 pep chromosome:AUK_PRJEB4211_v1:11:29605249:29608839:1 gene:GSCOC_T00032293001 transcript:CDP00373 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF guanine-nucleotide exchange factor GNOM [Source:Projected from Arabidopsis thaliana (AT1G13980) UniProtKB/Swiss-Prot;Acc:Q42510] MEALVDFCRQKTFMVEMYANLDCDITCGNVFEELANLLSKSAFPVNSPLSAMHILALDGLIAVIQGMAERIGNGSFNSEPAPVNLEEYTPFWMLKCENYNEPDKWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAKTFDFQDMNLDIALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLSELYHSICKNEIRTTPEQGAGFAEMTPSRWIDLMHKSKKSAPYIVSDSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEEVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSSVEEPVLAFGDDCKARMATVTVFTIANRYGDFIRTGWRNILDCILRLHKLGLLPARVASDAADDSEMSPEPGQGKPLTNSLSSVQVQAIGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLLAESLLQLARALIWAAGRPQKGSSSPEDEDTAVFCLELLIAITLNNRDRIGLLWQGVYEHIANIVQSTVMPSALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANATHIRSQLGWRTIASLLSITARHPEASESGFDALLFIMSDGAHLTPANYVLCIDAARQFAESRVGQADRSVRAIDLMAGSVACLTRWDEDAKGAMAEAEALKLSQEIGEMWLRLAQALRKVCLDQREEVRNHALLSLQTCLTGVEGIHLPHALWLQCFDMVIFTMLDDLTEIAQGHSQKDYRNMEGTLVLALKLLTKVFLLLLHELSQLTTFCKLWLGVLSRMEKYMKVKVRGKKSEKLQELVPELLKSTLLVMKTKGVLVQRSALGGDSLWELTWLHVNNIVPSLQSELFPGNELEQSDSKLGEMGKNTLPNETGSA >CDP00543 pep chromosome:AUK_PRJEB4211_v1:11:28303439:28305243:1 gene:GSCOC_T00032513001 transcript:CDP00543 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLFLHFFLYGCNIFMWRKTRINYSFIFELSPTRELKYRDVFLICTTSMTVVVGVLFVHLLLIAKGYNAQIQAIPGLLLLVVLLVLVCPLNIIYKSSRYRFLCVIRNIILSPLYKVVMLDFFMADQLCSQVPMLRNLEYVACYYISGSYKTQDYGLCMRNKNYRDLAYAVSFLPYYWRAMQCARRWFDEGHTSHLVNLGKYVSAMLAAGAKVAYEKERSSGWLCLLVVISSAATVYQLYWDFVKDWGLLQSHSKNPWLRDELMLRRKFIYYLSMGLNLVLRLAWLQTVFHYNFGNVDYRVTGLFLAALEVVRRGHWNFYRLENEHLNNAGKFRAVKTIPLPFHEVDDQD >CDP00627 pep chromosome:AUK_PRJEB4211_v1:11:27463852:27466476:-1 gene:GSCOC_T00032629001 transcript:CDP00627 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 zinc-finger transcription factor, Floral organ identity, Cellular proliferatio [Source: Projected from Oryza sativa (Os01g0129200)] MSQERVANNFLTSYFLLSFSVGRRSEENPLDLNNLPEDYSKDGKQVIEDSSSYAAGTSLSGYRRKKSGAKDGKDDSGKVYECRFCSLKFCKSQALGGHMNRHRQGKETETLNRARQLVFNNDPLLGQGPHHHHHHHLGSQPMQQGGFHQTANLSDPTMPFRSVYPTRLFSGSTPTLLPQGQPSPQSPYMYSSPSRLVPFPSQFPAVNDYFVGHVVPGGNAAYSSCRGGGVGADDVGGGRSREMTLYNNHDEGLNWGRSGSCTGGAGGTTQKRLDPSLINRFQDGF >CDP00281 pep chromosome:AUK_PRJEB4211_v1:11:30346719:30352971:-1 gene:GSCOC_T00032170001 transcript:CDP00281 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLIFFFLLPSIHSGHRYIHFLIIQGNPSSHLHYLSLLSTVYSRLFLLKGLSSRRFSLSWTLSCLSTLQIKLLTFDPPPPPPLHPIPLFLSWLQFSSAAEKKEMEVENQQPGSWEGYVNWRNRPALKGRHGGMVAASFVLVAEVLENLAYLANASNLVLYFSEYMHFSPSNSANSVTNFMGTAFLLALVGGFLSDAFFTTYFIYLIGALIEFLGLVILTVQAHSPSLKPPKCDPATPSIPCQKVHGAKAAMLFIGLYLVALGVGGIKGSLPAHGAEQFDEDSPKGRKQRSTFFNYFVFCLSCGALIAVTLVVWVEDNKGWQWGFGISTFAILLSIPIFLLGSTYYRNKIPNGSPLTTIVKVLLAALLNSFKSRGSNNAIASMATSPTPTPASEEEGGNIKSKTVEPTQETPSKSLQFLNRAVGDNSTAGALDCSVQQVEEVKVVIKILPIFACTIILNCCLAQLSTFSVQQAATMNTKLGSLKVPPASLPIFPVIFMMFLAPVYDHCIIPFARRATKTEMGISHLQRIGIGLVLSILAMAVAAIVEIKRKRVAMDTGLLDTTTPLPLTFFWIAFQYLFLGSADLFTLAGLLEFFFTEAPSSMRSLATSLSWVSLAMGYYLSTVIVSIVNSATGNSNHKPWLSGSNLNHYHLDRFYWVMCVLSGLNFLHYLFWAMRYKYRSGRAPN >CDP13229 pep chromosome:AUK_PRJEB4211_v1:11:32172165:32175205:-1 gene:GSCOC_T00038100001 transcript:CDP13229 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFYTTEAVRSVSSEVSSDLIVQVKGSRYMLHKFPLLSKCLRLQRLCAENLESQHQIVQLPDFPGGVEAFELCAKFCYGITITLSAYNIVSARCAAEYLQMTEDVEKGNLIYKLEVFFNSCILQGWKDSVVTLQSTKAYPLWSEDLGMTSRCIEAIASKILANPSKVCLSHSYSRRGRDDISCNGSESQRQKPATKGWWAEDIAELGIDLYWRTMIAIKSGGKVPSNLVGDALRIYAARWLPNISKYISVDNEVESEPEMDSIKEVTSKHRLLLESIVSLLPAEKGSVSCGFLSKLLKAANILKASSASKNELARRIGIQLDEAAVSDLLIPSLSYTSNMLYDVDVAMTILEQFMLQGQSPPTSPPRAKRDFERRRSRSAENIDFEFQESRRSSSASHSSKLKVAKLVDGYLQEIARDVNVPLSKFTAIASALPEFARVDHDDLYKAIDIYLKGHPELNKSDRKRLCRILDCRKLSVEACMHAAQNEMLPLRVVVQVLFFEQARAAMAGGQVTDLPSNIKALLATHNDHSSRPAASFSNNGMTPADDQWSVSGAKSPKSKLSTLKMKLAEDDDLDENYQDGIGKSSRVKALCMLPNRPKRMLSKLWPSNRSTSEKN >CDP00563 pep chromosome:AUK_PRJEB4211_v1:11:28109170:28114340:-1 gene:GSCOC_T00032543001 transcript:CDP00563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT3G25690) UniProtKB/TrEMBL;Acc:A0A1I9LT48] MIVRLGFLVAASVAAYAVRQINVQAGKPSSSLTKGSEKGNDQQAWREGKDNEQSPYSNDGLKEVVVDKQEEEKEEVKLINGIINPPPSIPSDIEDEILPEFENLLSGEIDFLLPSEKYDTAASSKAERDRIYENEMANNNSELERLRNLVKELEEREVKLEGELLEYYGLKEQESNIAELQKQLKIKTVEIDMLNITINSLQAQRKKLQEEVSQGASTRRELEIARNKIKELQKQIQLEANQTKGQLLLLKQQVSGLQSKETETFRKDAEVENKLKALKELEVEVMELKRKNKELQHEKRELIVKLDAAEAKVASLSNMTETEMVAQVREEVNNMRQKNEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPSGKISARDLSKSLSPRSRERAKRLMLEYAESERGQGDTDLESNFSHPSSPGSEDFDNTSIDSSMSRYSSLSKKPSLIQKLKKWGKNKDDSSALSSPTRSLGGKSPSRASTSIRPKGPLEALMLRNAGDSVAITSFGTAEQDPDSPETPAPLQIRTQDGSLNSVVSSFQLMSKSVEGVLDEKYPAYKDRHKLALEREKKIKEKAEQARVARFGDTSSFKPDRTTSITLPPKLSHIKERTSISGDSNEQPNDSKDDSQTVSKMKLAHIEKRAPRVPRPPPKASSGAPADSNKNVPTGGAPPPPPGAPPPPPPPGGPPRPPPPPGSLPRGAGSGDKVHRAPEVVEFYQSLMKREAKKDSSPLISSTSSTSEARSNMIGEIENRSSFLLAVKADVETQGDFVQSLATEVRAASFTNIEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLVKLEKQVSTFVDDPNLPCESALKKMYKLLEKVEQSVYALLRTRDMAISRYKEFGIPVDWLSDTGLIGKIKLSSVQLARKYMKRVASELDAMSAPEKEPNREFLVLQGVRFAFRVHQFAGGFDAESMKAFEELRSRIQQTGEDKKPEA >CDP00817 pep chromosome:AUK_PRJEB4211_v1:11:25480944:25481654:1 gene:GSCOC_T00032911001 transcript:CDP00817 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHQRPLFLITTFLLLLLHHPTSTHSLIDPTTYSTPAAAPTTTSAATPPATTTTYPTNKDTEFIRSSCASTRYPDECYNSLSGYASAVQQNPARLARVAIAVSLSRARLEATYFSNLSKEADYGADHRVSAAIHDCFSTFGDAVDQLRGSLNQMRHLVPAGSSETFRFQMSNVQTWMSAALTNEDTCTDGFDGVSDGPTKKDVFDRVHRAEAVTSNALALVNSYANTVAADSNFP >CDP13314 pep chromosome:AUK_PRJEB4211_v1:11:32719221:32722229:1 gene:GSCOC_T00038207001 transcript:CDP13314 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMEHDLKGLMETMKQPFSQSEVKCLMLQLFEGVKYLHDNWVLHRDLKTSNILLNNCGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLAKQPLFNGKTEVDQLDKIFKILGTPNDTIWPGFSKLPGVRVNFVKHQLPALGDSGLAFCPSLATVSVPKYVMYNQLRSKFPATSFRGSPVLSDAGFDLLNRLLTYDPEKRITAEDALSHPWFSEVPLPKSKEFMPTFPAQHAQDRRLRRAMKSPDPLEEQRRKELQQGELGTSGLFG >CDP00584 pep chromosome:AUK_PRJEB4211_v1:11:27917866:27921215:1 gene:GSCOC_T00032569001 transcript:CDP00584 gene_biotype:protein_coding transcript_biotype:protein_coding MMISCPGLSKFKTKQVVFEEVFPACDSGTLEQLKEMSSKRRAIEESINESSSVTEAIAREMSGGLTLRCERDIQKLEQYLPLLENLVYHVNRGRNNPWVDRWMSDLKLRWTSPLISSSIFHVKSPKYFQINYVQFELGMVLFLYGSLLREKALEILQTDLVQSAALFRKAAGVYQHLAHEVLPSLQLAARTERPPESVPSVSSVMSLVCLAEAQAVAARRAEEKGNTQNLLAKLHYGVTDFLDEALVILHSATKECKDISSRFADFIISCRMLHELKSYKYIAESLKVDGKIGAAAGLLRHALTSIGKSTPKGEAWRLVYKQLVQEMTELLRKYEHENDFVWHEKVITKHELPLPETIKIVTSTPYQPQRSEKTLAFKQV >CDP00647 pep chromosome:AUK_PRJEB4211_v1:11:27257539:27264977:1 gene:GSCOC_T00032661001 transcript:CDP00647 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g68370 [Source:Projected from Arabidopsis thaliana (AT1G68370) UniProtKB/TrEMBL;Acc:Q1H5A8] MAGSKMEGPSAPALRRDPYEVLSVSKDSSDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDNSGFEALDADGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPLGTSVSGKVEKQCAHFFGVTISDEQAESGIVVRVTSAAQSKFKLLYFEQDVNGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDTTVNALAMAKDPEAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKPATYTIEALCAKSYEDTTYKLKDIEALILRKRNELRQFETEYRKALARFQEVTNRYSQEKQTVDELLKQRDTIHSSFTVARSVITAGGSGHFSNGSSSKFPSEDFKADSPGEDSSSDSKDKSGKKKWFNLNLKGSDKKV >CDP04881 pep chromosome:AUK_PRJEB4211_v1:11:2896512:2903395:1 gene:GSCOC_T00019676001 transcript:CDP04881 gene_biotype:protein_coding transcript_biotype:protein_coding MVIALCWNTTVFISLCMQKLAHSFFCPTTSENLCNFPSSASSRTATRRRALSVFVLTTSFSGLTQQLSLDNSSTSSSKPTGQNFVELPNSGGVKALDLRLGDGAIPQANGNKYVAIHYHGRLAAKQGWRFDSTYDHKYTTGEQIPFEFILGSGKVISGIESAVRSMKIEGIRHIVIPPSEGYQSTSQETIPPNFFDRQRLFTTIFNPTHLANGEGSTLGTLIFDIELVSLRHW >CDP13351 pep chromosome:AUK_PRJEB4211_v1:11:33041501:33043552:-1 gene:GSCOC_T00038257001 transcript:CDP13351 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKYLGHPGEVWNLTRTGLTEAGNLGKQGEFGDGFTSKGSYDIRANFVRQTTSRRLADRKVEKFEKNITRRGAVPETSTKKGSNYPVGPILLGFFVFVVIGSSIFQIIRTATSGGMA >CDP13240 pep chromosome:AUK_PRJEB4211_v1:11:32249252:32250862:1 gene:GSCOC_T00038118001 transcript:CDP13240 gene_biotype:protein_coding transcript_biotype:protein_coding MANIFQLQDGSKKRQWSDTFLFRLFFVVTTHNTKEGNAQFLELSNIFLLLLFSSFRILRFLFVRNVKQVQVAILVHTSNGCQILLLFFFLGRKENCESRCLASSSRMNYQSTDNTPSKIIFNTIMLVSSIYIYFFD >CDP00810 pep chromosome:AUK_PRJEB4211_v1:11:25574977:25581977:-1 gene:GSCOC_T00032903001 transcript:CDP00810 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQTWRKAYGALKDQTKVGLAHVNSDFKDLDVAIVKATNHVECPPKERHLRKILLATSAVRPRADVAYCIHALARRLAKTHNWTVALKTLIVIHRALREGDPTFREELLNFQQRGRVLQMSNFKDDSSPIAWDCSGWVRTYALFLEERLECFKILRYDIEAERLPRPAQGQEKGYSRTRELESEELLEHLPSLQQLLYRLIGCRPEGAAVHNYVIQYALALVLKESFKIYCAVNDGIINLVDKFFEMPRHEAIKALEIYKRAGQQAAILSDFYEVCKGLELARNFQFPVLREPPQSFLVTMEEYIREAPKMVSLPAQPLEYPERLLLTYKPQEEPLPSEEPDEPKPVPLDDAAVSTAEAAAPPPPPANKLHPDDLLGLNAISPDASAIEESNALALAIVPSGTTDSSSASIKDFDPTGWELALVTTPSSNLSSSQERQLAGGLDTLTLNSLYDDGAYRASQQPMYGVPAPNPFEVHDPFAVSNNIPPPHSVQMGAMPQPQANPFGPFQPVYPQNQQQQHYMMSQQNPFGDAGFTAFPVNSVGHPQTTNPFGSTGLL >CDP13200 pep chromosome:AUK_PRJEB4211_v1:11:31965577:31970396:1 gene:GSCOC_T00038065001 transcript:CDP13200 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPQIIYILVCILFFTSSVCPAHSLESRNSSHQANQTFRPGEESQKLKTIRAHLTKVNKPAVKTIQSPDGDTIDCVLSHHQPAFDHAKLKGKKPLDPPERPKGHDTTGILPEEFQLWSMSGESCPEGTVPIRRTSEQDILRANSIGRFARKLRRPIRRDTTSNGHEHAVGYVSPELYGDNYPRFFTYWTSDAYQATGCYNLLCSGFVQTNNRIAIGAAISPTSSYNGGQFDISLLIWKDPKHGNWWLEFGSGILVGYWPSFLFTHLRNSASMVQFGGEVVNSRSGFHTTTQMGSGHFAGEGFGKASYFRNLQVVDWDNSLIPLSNLRVLADHPNCYDIQGGINRVWGNYFYYGGPGRNSRCP >CDP11632 pep chromosome:AUK_PRJEB4211_v1:11:16230202:16231140:-1 gene:GSCOC_T00034012001 transcript:CDP11632 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSPPSPEDRISQATVTKAVKALIKWRKLHPRTTQHKPAAGEEEKQEFREDEDGNEEEDFIYLLLTLKKIPPKDSSKIPHTISLPNPLHSLADDNLSLCLIIDDRPIKSPYRITAEFAQKKVKSEDIPIDKVFKLSKLKSDCKSLEGRQKLYRSYDMFFADKRVVSLLPAVLGKQFYEKKRKIPVPLDLRSRDKWKEQIERAVNSALLCFGSGSCSVVKVGKCGGMGSDEIVENVFAAVKGISEVVPKKWDGIRALHLKLSDSLALPVYDGNLGSLNAEDRVEEGDLEGKKNGILELKKMRQGREGALKR >CDP04874 pep chromosome:AUK_PRJEB4211_v1:11:3256336:3258919:1 gene:GSCOC_T00019665001 transcript:CDP04874 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCCFDICLFIADLFLQSVIKKKYGQDATNVGDEGDIALISRLENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYGKDKTYDLNFKEENNDGSQKISGYD >CDP13385 pep chromosome:AUK_PRJEB4211_v1:11:33351804:33352605:1 gene:GSCOC_T00038302001 transcript:CDP13385 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLYCLLSLSFWALLFLFGVAIVAHEPRMFDVLAHIEKDVTGLFTWINESDAKLIANPDKSEVYSLQMRIHKVDTLVSYKNDKWDEPCSSRLC >CDP05409 pep chromosome:AUK_PRJEB4211_v1:11:19935635:19938127:1 gene:GSCOC_T00020447001 transcript:CDP05409 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNFCRNLKFRLREYRSSYGVIFCNRPSCNGRDFVSRNPFGNCGVAAFYSSESTNLDLHLNPEKDSGKYVREGDIHGTRNGYSRVQEMGWKEGCGFGKSSLNAEENGERSCRYDEFEVEGSDEGAENDVDGDGVDNFRILDSYTGNRSQKEYVSRRVMDDVEDELRHPLVKEICWLIERRSEWSPRLERQLRTLLRSLKPLQVCAVLKCQSDERAALQFFYWADRQWRYRHHPIVYYGMLEVLSKTKLCQGAKRILSLMRKRKIERRTEAFGYVMVSFSRAGHLRKAMQILNVMQKAGIEPDLSICNTAIYVLVKGKKMEKALIFLKRMQVVGITPNVVTYNCLIKGYCDMHRLEQALQLIAEMPSQGCSPDKVSYCTVMAHLCKEKRVDEVKGLMEKMWKDSKLVPDQVTYRTLIYMLSKHGHADDALKFLREAEEKGFHIDKFGYSAVINSFSQDGRMDKAKELLNEMFAKGCDPDVVTYTAVVNGFCQVGEIDQAKKLLQQMHRHGFKPNTVSYTALLHGLCKNGKSSEAKEMMNMSEEEWWTPNAVTYGVLMHGFRREGKLLEACDVVREMIRKGFLPNPVEINMLIQSLFQAGKTNEAKKFMEDCLHKGCAVNVVNFSTVIHGFCQKDDLGAALSVLDDMYLNNKHPDAITYTTVIDALGRNGRIDEAIELAKKMLHRGLLPTPVTYRAIIHRFCQHGRVDELLKLLEKMLKRQKCKTVYNQVIEKLCSFGNLDQAYKLLGNILRTASNIDARTCNILLESYLKKGDSLSSYKVACQMFKRNLIPDLKLCEKVSKRLLLEGKTDEADKLMLQFVERGCISPDCH >CDP04788 pep chromosome:AUK_PRJEB4211_v1:11:5801050:5810254:1 gene:GSCOC_T00019500001 transcript:CDP04788 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQKPLVFLDVSIDGDPFERMIFELFTDVAPKTAENFRALCTGEEGESSRAKRPLHYKGTFFHRIIKGSWAKAGDLLRRDGNYGESIYGDKFPDEPPKLKHDGPGLLSMAIADRDERGSQFILTFKADHHLDRKCIVFGKLVHGNEVLKKIENTGDEDGRPSVTVKIVNCGELHWDKRKLHKLKQGKDVSPEANSHELRRKAKHKKSSKERRKRRRKHYSSESDTSSDDDTESSESDSDSDSDRTSSTYDSSSSDDRRRKRKRSKRDKHRRGKKDRRREKRRKRRDKKSKRKSRRASDSLSGSETGSESGSKDNDGEAQVLARKPKNFERNQSPPVEEGEAALVRENRGGSTDMFEGEEGEFPKENGEPQSNGIGVETRSQKNADKQPDLVDDHPDKSRSRSMSSKRTMSKSMSISPKRSSSGGRSVSPKRSMSRSRSASISGQRQLRRSRSITPVRSASSRSPARSVSRSPVRSKRGVSRSVSPPVRAQTLRSSSPSASPPRRPTRSPPRTSSRRSSYKSASRSPVRSSRRSLSRSPSQRRKSVSRSPVRLTRRSVSRSSGRAPSKRSPSRSSGRAPSRNNRRSYSRSPRSGIRRVRSPVSDRRRSSSSPSGGESPKRVRRGRGFSERYSYVRRYRSRSPDRSPVRSYRYGGRSDRDRYPSYRRSPRRYRSPPRGRTPPRYRGRRSRSRSRSVSHSPIRYRRRYSRSPVRSRSPVDRYRGSPRVERRRSPSRSRSVSESESSLASQSPKRVSKEKSRSSSGSPPGRPGLVSYGDGSPESGRD >CDP04837 pep chromosome:AUK_PRJEB4211_v1:11:4943644:4944327:-1 gene:GSCOC_T00019578001 transcript:CDP04837 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVFFWAMHKTDFFHDKFGVKNIRNSEDEMMAALYLQVSIVSQALIFVTRSRSWSYVERPGLLLLTAFVIAQLVATLIAVYANWSFARIKGCGWGWAGVIWLYSVVFYVPLDFLKFFIRYVLSRKAWLNLIDNKIAFTTKKDYGKEEREAQWAVAQRTLHGLQPPDASGILDEKSSYRELSEIAEQAKRRAEMARLRELHTLKGHVESVVKLKGLDIETIQQHCTV >CDP00819 pep chromosome:AUK_PRJEB4211_v1:11:25456569:25458306:-1 gene:GSCOC_T00032914001 transcript:CDP00819 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFPSIGNSNEWSTGLCDCTKDCRSCCLTCWCPCVAFGRNAEIVDKGQNSCFLMGCIFYLLNLTCHYVGCSWIISMGYRSKLRKQYGIKGGSCQDCLIHFCCQSCALCQEYRELESQGFDVSAGWDGNTSKKVANWMTLAPVWESMKR >CDP05413 pep chromosome:AUK_PRJEB4211_v1:11:19995194:20003799:1 gene:GSCOC_T00020451001 transcript:CDP05413 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLHKFCCFCFLLPPFILSDATDTITVNEPLADGKTIISSGGTFELGFFSPDVNSRSRYLGIWYKKVAKSAVVWIANRDVAMNDTDGLLKMTDQAKLTLVKSRDTAIWSTNATRLVRNPVAQLLDSGNLVVKDAADDNPENYLWQSYDYPTDTILPGVKLGPDLVKGIDRYLQSSKSSIDPSRGDFLYRTDPNGFPQQFLMNNSLPQFRSGPWNGLRFSGSPGLKPNPVYTYEFVNTPKEIYYKFDLINSSVYSRLTLSSFGVLQRLNWNYRTQDWTVYVNAPADNCDTYGLCDAYGICNIANSPVCSCLDRFVPQSPNDWNATDWSSGCQRRTPLDCQKGDGFLKYTGIKLPDTRWSWYNESITLKECEKICLKNCSCMAYSNTDVRGKGSGCLLWFDDLIDITMIGESGQDIYIRMASSELGPGSNKAKKIGISLCLVVLLLLVLGLALNVQKKRKRRQAEHVSGEASTGTRSTQYLAEEGDKEDVDLPLFELKTIIQATDNFSRDNKLGEGGFGPVYKGTLVGGQEIAVKRLSQNSIQGLDEFKNEVKCIAKLQHRNLVKLLGCCIQEEKMLIYEFMPNKSLDFFIFDHDRRRFLDWPKRFQIITGIARGLLYLHQDSRLTIVHRDLKADNILLDIDLKPKISDFGLAKIFGGTETEASTKRVVGTYGYISPEYAVDGYFSTKLDVFSFGVLVLEIVSGKKNRGFSHPDHHHNLLGHAWLLYKEGRCPELIDDHLSVSCHLYEVIRSIHVGLLCVQQSPDDRPSMSSVVFMLAGDGSLPFPKEPGYFTERNLSFEPDKSSSGKKENSSGNALSITLLDARYLEEIEITEQISVVNFPLKLCIKSNFCMPNENTTHSWNKENVIPSLTPSMHAAKGTSHILFLAALFNWKTSFYRACQFIFHSLLLMDYQLYLIPINWCFNFTWLSIHVPRNFFTSVPPLMARLRSNYQLHLSTCPFMSVTVKNYFLPFTPFLLVLREQLSAITPLSKNHNRREMTTSEEDLQGLLMKSAQPYKLTANVIMWC >CDP04841 pep chromosome:AUK_PRJEB4211_v1:11:4839815:4844568:-1 gene:GSCOC_T00019589001 transcript:CDP04841 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKASKSQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMPALSHSNSSSKPLMMFGTDTTGTLTSPSNQLWDDKDLVQADMDRFVDDGSLDDNVENFLSHDDADPRDAVGRGMDVSKGFTFTEVSSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDTLKPKTTLEEHSMLITDVRFSPSMARLATSSFDKTVRVWDADNPGYTLRNFTGHSAGVMSLDFHPNKDDLICSCDGDGEIRYWSINNGSCTRVFKGGMAQVRFQPRLGKYLAAATENVVSILDAESQACRHSLKGHTKPIHSVCWDPSGELLASVSEDSVRVWSLAAGSDGECVHELSCNGNKFHSCVFHPTYSSLLVIGCYQASLAYAFILYFQCFPSYVFFIVCCWCYWASLLLTFIT >CDP18565 pep chromosome:AUK_PRJEB4211_v1:11:1891257:1891675:-1 gene:GSCOC_T00004227001 transcript:CDP18565 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDGLKRIFLESRGKSDKRTGMSSRIFGARSPAYQTNQ >CDP19003 pep chromosome:AUK_PRJEB4211_v1:11:31467755:31471154:-1 gene:GSCOC_T00001054001 transcript:CDP19003 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair RAD52-like protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47870) UniProtKB/Swiss-Prot;Acc:Q9FIJ4] MLIQRTTSGRPSSEVCLPVVFSRDRTRVTLSQLHFRSSCIILDRRTLRVSAADRGRNISAGGGGSDGITNINSGSGSAQNTKNNNDGDTRKGSPPPPSSSSSSSSSSSNYVVPLDKSSCITRPLAEILRDLNKRIPDNIIKSAPHLDRDHYPTFIPWYHANRMLSFYAPGWCGEIRDVIFSENGSVTVVYRVTIRGSDGEAHRESTGTVSSSNDQVVDLVAAAEEIAFCRACARFGLGLYLYHD >CDP04820 pep chromosome:AUK_PRJEB4211_v1:11:5229480:5230685:-1 gene:GSCOC_T00019554001 transcript:CDP04820 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSGPPGSSSVLKVEPFTLIDPPGLILTIFLNLSGLEPSTHILNSMLNQVLFSRMVILFPIFRRRGEVRGARVQV >CDP04866 pep chromosome:AUK_PRJEB4211_v1:11:3889701:3899226:1 gene:GSCOC_T00019643001 transcript:CDP04866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine methyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G20020) UniProtKB/TrEMBL;Acc:A0A178VA69] MYRQPAMGDYGSNGYHHHTNHQHSGGGQVAAGHRGRRGSRGRQRRDGSGGNSSASRVYNYYGAQQQEKEEERAPPPPPCTDFDVAYFHSYAHVGIHEEMIKDRARTETYRNAILQHQSHIAGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIAIQANEVIKANNLSDTVIVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVIRARDRWLKPGGLILPSNATLYMAPVTHPDRYSDSIDFWRNVYGIDMSAMLPLAKQCAFEEPSVETISGENVLTWPHVVKNVDCYTVSVHELESITTSFRFQSMMRAPFHGFAFWFDVEFNGPDLNPSNNDTSSFNASSNGYVTDPNQRKKRPNPNEALVLSTAPEDPPTHWQQTLIYFYDPIDVEQDQVIEGSVTLSQSKENARFMNIHLEYASGGRSFVKESVMR >CDP05465 pep chromosome:AUK_PRJEB4211_v1:11:21118997:21121686:1 gene:GSCOC_T00020527001 transcript:CDP05465 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNNTASNGPSCSSDAFTSSDNNGVVITNKRKRRPAGTPDPDAEVVSLSPKTLLESDKYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPEVKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNNKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCTVRPVQPDVQAMQPACSSHAASSNSPSSDNNFSVAPKPRLVMPAPSGHQPQFVCSEANNLTGKVQQHSLELQLLPSSYKDEDNHATDLNLSIVMDASRMKQGATEQLRVAMAEKAFAEQARQQAKRQLELAEMEFSNAKRIRQQAQAELEKAQVLKEQATKKISETISEITCHACRQRFQATNVTHVSADETSPAMSYMSSATTDAEGE >CDP05549 pep chromosome:AUK_PRJEB4211_v1:11:22887748:22902612:1 gene:GSCOC_T00020663001 transcript:CDP05549 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKKLLNPMGHLNFLDTTSSLPFLFLFITILVASQIPLGCTGQAHSSNFTCIESERQALLRLRDSLTDESNRLSSWIGEDCCSWDGISCHKITGHVVTLDLRNLEQPELYEVLDPSYHCKSCLAGDQLSPSLVNLTNLRYLDLSLNNFSGIQIPTFLGLLKDLRYLNLSDAGFVGEVPRHLGNLSHLRYLDIGFASSNYIPIANNLTSNDVGWVARLSSLEYLFLRGVNLSNARDGFLAVSMLPSLKTLDLASCKLVVPHLLHVNFTSLSSLELGSNQFLNPTLPPWLRNLTGLQDLGLSYNNLDDKVHDTFRQMTSLVNLDLGGNHFDTSTLRSICNISSLTSLDMSDNELQGSIPSEIGQFPQLTVLKLSNNRLNDTIPSSLWQLTKLQALYIGANALTGELSEHHFAKLKELKRLDISDNLFSLHVSSSWVPPFQLQYIGMGSVKIGPRFPNWLRTQKEIEKLNMANAGISDAIPSWFGVLSNDFRGIILPGNKLEGSLNSFISVADVDKKVVQMLYLVLNHNHFTGSIPKDLCKLKTLEYLDLSNNHLSGRIPLCLGNLRNLRILHLGSNSLYGQIPGSLGNLGELIILQLSKNRFDGKLPPSMQNLKRLQSLDLGENRIADTIPPWIGERLSDLEFLTLQSNNFHGGISNTLCQLPYLQVLNLAHNDLSGSIPHCFKNFTAMESTEPGTFQYSNYTYHDPVLHNFKAGIELEYSKNMESVKSISLSGNNLVGEIPDEIMGLVGLQTLNLSKNHLNGRIPKNIGNLKQLETLDLSMNELSGEIPPSLSSIYSLSFLNLSYNKLSGPIPSGNQLQTLNDPSTYEGNIGLCGKPFPNSCPAGESPTENGPVLDDKDYIIIYNTKNNKKIFFFKRQHHINHKTVQSQSLSSFKSA >CDP11016 pep chromosome:AUK_PRJEB4211_v1:11:7355423:7356101:-1 gene:GSCOC_T00032987001 transcript:CDP11016 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMRLEAHICCYNYPRSSNPFNVIQKQGGKQKKENYSQGGALTGFYSIETKEIVAIKKVFPDKSYKELQIVQMLDHPNVVPLKHFFFSTTDKEELYLNLVLEFVIENVNCITKQYNRMNQRMTFCDILL >CDP13265 pep chromosome:AUK_PRJEB4211_v1:11:32405318:32411913:-1 gene:GSCOC_T00038149001 transcript:CDP13265 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(Oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) UniProtKB/TrEMBL;Acc:F4HP28] MNPLCCIAPVSVDRDRANPTVVKSQSESLGVGNALAAKPLGFNSRQSFSTSRISNNNSDGLVDQNVGEGKDAKAVYSGNVNVAGVLYKWVNYGKGWRARWFVLEDGVLSYYKVHGPDKIVINPGREKGAKVIGEESWRYMRKASCIGGYQHRVNGTVKQWKPFGEVHLKVSSVRASKSDDKRLSIFTGTKTLHLRCQSREDRTAWIEALLVAKDQFPRMLSSSDLETSEEFVVSTEELRSRLLQEGLGEAVVKDCESIMLHEFSELQNQLKALQLKHILLVDRLRQLETEKIELETTVVDETKERDSCCGGNRRFSDFYSILSEGSASDSDADNESRGGGDIETDEEGMYFDTNDFMPAESLRSASYRSRENTENACSSFTNEKGSFFSDRLREVEIEIKAIEYPYVRRRNNLPEPKEREKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDRALQWGKQGNDLMRILNIAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGILSLQFEDGETFQWSKVTTSIYNIIIGTIYCDHYGTMRIRGSGKYSCKLKFKEQSIIDRNPHQVHGFVQDNKTGEKVAMLLGKWDEAMYYVLGDPTIKPKGYDPMTEAILLWERSKFVTKTRYNLTPFAISLNELTPGLPEKLPPTDSRLRPDQRHLENGEYELANSEKLRLEQLQRQARKLQERGWQPRWFQKDEDGCYHYVGGYWEAREKRNWEGIPNVFGQRNDLSPHVIE >CDP00742 pep chromosome:AUK_PRJEB4211_v1:11:26325480:26325830:1 gene:GSCOC_T00032811001 transcript:CDP00742 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSEADHHDQNYSSGRMVDENMIVLRKRIHETKMIERNYEPPSEWMDWEKKYYTSYDSMICEVMGFLQSHLMDTRPSLALGMIALVGLSVPTSTFLVMSQLMELTKGVLAGINIS >CDP00322 pep chromosome:AUK_PRJEB4211_v1:11:30038008:30039354:-1 gene:GSCOC_T00032222001 transcript:CDP00322 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYAAYAAELGDSPTLVVQVLTTGSWPTQPSITCNLPAEMSALCEKFRSYYLGTHTGRRLSWQTNMGTADLKAIFGKGQKHELNVSTYQMCVLMLFNSADRLSYKEIEQATEIPSSDLRRCLQSLACVKGKNVLRKEPMSKDIGEEDAFFVNDKFASKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIIAEVTKQLQSRFLANPGEIKKRIESLIERDFLERDSSDRRLYRYLA >CDP05421 pep chromosome:AUK_PRJEB4211_v1:11:20100922:20104976:1 gene:GSCOC_T00020460001 transcript:CDP05421 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVVQTPEEISSSAISSVFASRYVQDPLPRYRMPENTIPKEVAYQIIHDELQLDGNPRLNLASFVTTWMEPECDKLMIESMNKNYVDMDEYPITTELQNRCVNIIANLLNAPLASNKQAIGVGTVGSSEAIMLAGLAFKKKWQNKRRAEGKPFDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPKKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLAEKNKRTGWDTPIHVDAASGGFVAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSNEDLPEELVFHINYLGTDQPTFTLNFSKGSSQIVAQYYQFLRMGFEGYKRVIRNCMENAKLLVDGLKQTGRFDIISKERGLPLVAFGFKDTNKSLAFELSKALRHHGWIVPAYTMPANVEHMAVLRVVVREDLGRQLVEKLVSHINGALLELDETTDAVPKISFTVEVKSSDEDQHHESRHDHEGSLHLPTTSIHWKQDKHDRIQKKVHISGGKTNGVC >CDP05485 pep chromosome:AUK_PRJEB4211_v1:11:21455190:21459018:-1 gene:GSCOC_T00020554001 transcript:CDP05485 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIAQNYSIPNNRQMLSSEAKKLQNFFGFFVKLPSKEKLLGFHLSAAELPRLNHPPKADGSLSFLLVGDWGRKGSYNKSEVCKLIFLYQSYCHNMFVYLFYFLSFCVCVCAYIYIYIYIYILILNVFLCVVLGNHDYRGNVEAQFSPVLTEKDKRWLCFRNFMLNVATVEKLVDVIFVDTTPFIDEYFVNPRDPSYDWKGILDFERKLENSCRSSHNQKCWASCVERPKSLLILYCQSSRPQEYRLYRSEHFTLLMPLNERSGSNLKFLNGFHTLGAYSCRKFLTSDSNLTFFFQANKVDFYINGHDHCLEHISGIDGHIQFLTSGGGSKSWRGDVRSWNSEVMKFNFDGQGFMEFRGDEANFTFYDVYGAVFHNFRISKELRLPH >CDP00432 pep chromosome:AUK_PRJEB4211_v1:11:29193712:29198730:1 gene:GSCOC_T00032370001 transcript:CDP00432 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVTFLAVFSALLRFPGVKSHGVQPLSAIALHRAVIDIDDQAYIRATPLILGVNGQNKEWVTVEYGIQNPSVDDWIGVFSPADFSASTCLPDNLKTVPPLLCTAPIKFQYANYSNPKYRTTGKGRLKLQLINQRSDFSFALFTGGLLSPKLVALSNTVTFANPNAPVYPRLAQGKEWNEMTVTWTSGYGLNEAEPFLQWGLKGGEQRRSLAVTSTFDRNTMCGAPARTVGWRDPGFIHTSFLKELWPNSVYTYKLGHRLFNGTYIWSQMYHFRASPYPGQNSLQRVVIFGDMGKGEADGSNEYNNYQPGSLNTTKQLVENLNDTDIVFHIGDICYANGYLSQWDQFTSQIEPVASRVPYMIASGNHERDWPGTGSFYNTMDSGGECGVLAQTMFYVPTENRAKFWYSTDYGMFRFCIADTEHDWREGTEQHKFIEHCLASVDRQKQPWLIFLAHRVLGYSSSPVYASEGSFAEPMGREGLQKLWQKYKVDIAIFGHVHNYERTCPVYENVCTSNEKHYYHGALKGTIHVVAGGAGASLAEFAAIQTAWSIFRDVDHGFVKLTAFDHSNLLFEYKKSRDGKVYDSFSISRDYRDILACTVDSCPATTLAA >CDP00505 pep chromosome:AUK_PRJEB4211_v1:11:28592459:28593336:-1 gene:GSCOC_T00032465001 transcript:CDP00505 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSEATGIHYFTPEDPSPFTPNFSLMHSSFPAFQISRLLTNLPNYQSPPPIHEFTPQSSCLSNNSTSDEADDQQLNVIDERKQRRMISNRESARRSRMRKQRHLDELWSQVLRLRTENHNLIDKLNHVSESHDRVVQENARLKEEASDLRQMLTDFQLGSPYNTLSDLEELPCNTAHLRAESSNQSIAATTNLLH >CDP16874 pep chromosome:AUK_PRJEB4211_v1:11:442296:450206:1 gene:GSCOC_T00019447001 transcript:CDP16874 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPSSTTLTATTSRARTSLLLQLKLGCHHWSPSRSRSWTCLRYGHGYIGGEGGGGGSLLGTAARRHNVSKNRAVRGISMFLNTRPKPVVKDGVLSFDGTEALKDMPHNIVIKPWYDSSSAAFLGAAFTDESESSSSSTSRLVFKLGVIRETRLLCLFRFKIWWSIPRVGNSASDIPVETQMLLLEAPHDHSASSDGTTAYILFLPLLDGETRSSLQGNSADELQVCVETGDPALLASESTKAVFVNYGGNPFDLMKESMKILQKYSRTFALRETKQASGIMPGMLDWFGWCTWDAFYHDVNPQGIKDGLSSLSKGGTPARFLIIDDGWQDTTNEFQKEEEPFVEGSQFGARLMSIRENMKFRKASSEASSVPNSLKEFISDIKSTFGLKYVYVWHALMGYWGGLHLDAPGTQKYNPTLKYPVQSPGNLAHKRDIAMDSMEKYGVGTIDPARIFEFYDDLHRYLASQGVDGVKVDVQNILETVATGSGGRVSLTKHFQQALEESIARNFQDNGIICCMGQSTDSIYNSKKSAITRASDDYYPKNPNTQTLHIAAVAYNSIFFGEVVVPDWDMFYSRHNAAEYHAVARAVGGCGVYVSDQPGQHDFNILRRLVLPDGSVLRAKYPGRPSRDCLFCDPVMDGKSLLKIWNLNKCTGVIGIFNCQGAGSWPGLEDSPKMNSPEISGKISPSDIDYFEEVSGKSWKGDCAVFSFKSASLFRLAKHGKLDITLGTLQCDIFTVSPIKVYGKKVEFAPIGLINMYNSGGATDAIEVITEFSDFGIRIKGRGPGIFGAYSNLKPKSCSLNLMDEGFEFRSEDCLLTIPIPSSTDVWEVAVYY >CDP00358 pep chromosome:AUK_PRJEB4211_v1:11:29779426:29780723:1 gene:GSCOC_T00032273001 transcript:CDP00358 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTPLFNSQFKISPKNHQNACRLLPRIQATLAPEENTMTLRRKVVTAFLSTSVAAGVQGLGNTTPPAMAENWGTRSFIWEKFFEPDLSPEDAAARIRQTAQGLHSLREMLEAMSWNYVLMYIRQKQSYLSKDMKNAIVTIPRGRWKSYIAVANELVDNMAEFDIYVRTPKVYESYLYYEKTLKSIDDLVALLA >CDP18453 pep chromosome:AUK_PRJEB4211_v1:11:1176038:1183807:1 gene:GSCOC_T00007401001 transcript:CDP18453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor SRM1 [Source:Projected from Arabidopsis thaliana (AT5G08520) UniProtKB/Swiss-Prot;Acc:Q9FNN6] MTADESTSAVWSREQDKAFENALATYPEDSADRWEKIAADVPGKSLEEIKHHYEILVDDVNRIESGCVPLPSYSSCLDGSTSQAGDEGTGKKSGNLGHFSSESNHGGKASRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNTGDVSVPQGPITGQTNGPAASGSSAKSNKPPSQAPTAAPGVGMYGTTTIGQPVGGPLVSAVGTPVNLPPAAHMAYGVRAPVPGTMVPGAPVAMGPVTYPMSHTSAHR >CDP13194 pep chromosome:AUK_PRJEB4211_v1:11:31899260:31904503:-1 gene:GSCOC_T00038056001 transcript:CDP13194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G70520) UniProtKB/Swiss-Prot;Acc:Q9CAL3] MREFQRPTIASLLLILVAIMVLPDASVGAPRAQTVEFMCGHQLEHNTTLFVPNFVATMENISDQMRSKGFGVSITGKGPDTNYGLAQCYGDLSLLDCVLCYAEARTVLPQCFPFNGGRIFLDGCFMRAENYSFFQEFKGPQDHAVCGNRTRKSSTFQEAARRAVLQAATGAPNSNGYARAALQVSGTSNESAYVLADCWKTLNANSCRACLENASKSILGCLPWSEGRALNTGCFMRYSDINFLNPIPGSGRSRGTVVVIVVAAVSSAIVLAVGAIMGAYFWKNKRIQKKRKGSNDAEKLVKTLHDSSLNFKYSTLEKATGSFDEANKLGQGGFGTVYKGVLPDGREIAVKRLFFNNKHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFIFDANKGKALNWEKRFEIIIGTAEGLVYLHENTKCRIIHRDIKASNILLDSRLRSKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYLAHGQLTDKADVYSFGVLLLEIVSGRQNNRSKTTEYSDSLVTIAWKHFLQGKVEELFDPNLMLQNYLNMNVRAEVLRVVHIGLLCTQEIPSLRPSMSTALRILAKKDEQLPTPTNPPFIDESTMELNDTFENPHHPFRHGDSASNASLSHSSFYPR >CDP13245 pep chromosome:AUK_PRJEB4211_v1:11:32262253:32269466:-1 gene:GSCOC_T00038123001 transcript:CDP13245 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSSSMGSRAASASPRKRDDVAVASSPLSPDNIPTSSSSDDNRSRHTRDRGGLRSFFSAGHLHSLFPFSFTDDARLHTHNSKISVFVLCLIFLAAIISVSSIVNRLNAPYLCKKDGIVLHCPRVKEHPSLWENPYSATTSWKPCAERRVGIISDLPAENETTGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLRDDVRIVRDIPGWFTDKSELFTSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMSLKPFVDRLGYDNVPPEINRLRCRVNYHALKFLPKIEQMADQLVSRMKNRTASSNPFMALHLRFEKGMVGLSFCDFVGTRAEKALMALYRLKEWPRRFKDGSHLWALALQKRKEGRCPLEPGEVAVMLRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELATKAELDGFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGYRRYMGHRLKSIKPDKGLMSKSLGDPYMGWATFVEDVVVTHQTRTGLPEQTFPNYDIWENPLTPCMCKA >CDP07977 pep chromosome:AUK_PRJEB4211_v1:11:10601202:10608235:1 gene:GSCOC_T00025534001 transcript:CDP07977 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPNRANFSQRPSTTPFSASQNASPFSPSSQVAGAVASSFRPYNPLPSSQIPPSFSSGPLAGPEASGFRSVHQGRLSDPSGPPPAPSYGPSQTRPSQHLPSPQFSSPAQVPPLWTSTGERPVVAPSGRPSAGPFSSTPVSFQMQPQPPTIPLGSPPKSMNTVQRGMNVPQSSMDSPFAAVSTNLQPSSPPMRAPFPAARGTLQSVFPGYPSQQYNAVPQTPPVNSVAFPPQQGGSVAPPPAVSRPYIGQQGSYVQSPSISAPLGMYSQDRMQHPASLPHLGTAQGLVEDFSSLSLGSVPGSLDGGIDSKALPRPLDGDVEPKSFAEMYPGNCSSRYLRLSTCAMPNSQSLASRWHLPLGAVVCPLAEAPESEEVPIVNFLTTGIIRCRRCRTYVNPYVTFTDHGRKWRCNLCSLLNDVPGEYYAHLDASGRRIDLDQRPELTKGSVEFIAPAEYMVRPPMPPLYFFLIDVSVCAVRSGMLEVVAQTIKSCLDTLPGFPRTQIGFITYDSTSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRTVVDAFLDSLPSMFQENMNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGAGRLRLRGDDARVYGTEKEHTLRVPEDPFYKQMAADFSKFQIAVNIYAFSDKYTDIATLGTLAKYTGGQVYYYPNFVASVHKDKLRHELGRDLTRETAWEAVMRIRCGRGVRFTSYHGNFMLRSTDLMALPAVDCDKAYAMQLCLEETLLTTDRVYFQVALLYTSSSGERRIRVHNAAAPVVADLGELYRQADIGAIVSLLSRLAIEKSLSYKLDEARTSVQFRIVKALREYRNLHAVQHRLGGRMIYPESLKLLALYGLALCKSTPLRGGYPDVQLDERCAAGYTVMALPVKKLLKLLYPNLIRLDEYLLKASFTDESENVWKRLPLSAESLGSSGIYIYDDGFRFVLWFGRMLSPDIARSVLGEDYATDYSKVCLMERDNEISRRLMRIIKKYRECDPSYYQLCHLVWQGEQPREGFYLLANLVEDQVGGTNSYADWMLQLYRQVQQNA >CDP13313 pep chromosome:AUK_PRJEB4211_v1:11:32714442:32717077:1 gene:GSCOC_T00038205001 transcript:CDP13313 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEMTPAASQEPSRTATPLKSTTPAARSPITSGSSTPLRGEAGRGNGSSTSRFAREGEELNAANMSESRSDQPQRLNPLETRAMAWDEAERAKYLARYKREEVKIQAWENHEKRKAEMEMRRMEVKAERLKSRAQEKYTNKLAATKRIAEEKRANAESKLNEKAVRTSEKADYIRRTGHMPSSFSFKLPSFCW >CDP07939 pep chromosome:AUK_PRJEB4211_v1:11:11768316:11770592:1 gene:GSCOC_T00025462001 transcript:CDP07939 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMEDQKLQGYQVSCMIYDALLFFVDSVSTHLKIPSIILRPNMAAYMLSCHCICQLQAENRIPFPESRLQEPVPGLHPLRFKDLPYPITNEIPEWLMDFNSSSINIRSCVATIWNTTDCLEYSTLSRLHQCYKVPCFPIGPLHKLEAAATATSFLEEDQSCISWLEKQPPNSVIYISLGSIACINEQELTETAWGLANSGIPFIWVLRSDSIDGSQLDDHFPEGSFKSLLGERGLIFKWAPQKKVLAHRAVGGFWSHCGWNSTIESICEGVPMICRPHFADQIVNARYLTYEWKVGLEIEHVLDRGSIEKSIRRLMLDVEGKEMRQRMLIMKDKLEAGLQKGGSSYESLNDLTEFITSVSSAVRQ >CDP00821 pep chromosome:AUK_PRJEB4211_v1:11:25390278:25391174:1 gene:GSCOC_T00032918001 transcript:CDP00821 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTYFLFVAALALHFIATSSASIIVANNQNNTVDLNALLAFKAAIFDPLRIIPTNWSTSTSVCNWIGITCNARHHRVAAIDLSYMGIAGTIPPQLGNLSFLVGLNVAKNSFHGHLPTELSRLRRLKYIILEGNAFEGELPSWLGALTALRYLSFWDNGFSGSLSGRLSNFTNLETISLGFNFFTGNLSEELSALPKLKLLEIQYNQLAGPLPQALFNLSSLQIVAFTNNSLSGYLPARICDYLPQLQGLYLSWNYFEGEIPSGIGECSGLQNLSLSHQGRSQKFIFGGLKCIKNFFL >CDP13233 pep chromosome:AUK_PRJEB4211_v1:11:32212332:32216390:-1 gene:GSCOC_T00038107001 transcript:CDP13233 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVAVFIGSLFGFLVGFSFPSLSLTKLNITSSLICDFSKVGHKFSSNSSRNIRDALPSLIGSRNNSLQSPNMTDLLKIWVPSNPRGAERLPPRIIANESDFFPRRLWGKPSEDLTHKPKYLVTFTVGLNQKNNINAAVKKFSENFTILLFHYDGKTSEWDEFEWSKRAIHVSVHKQTKWWYAKRFLHPSIVAPYDYIFIWDEDLGVEHFDAEQYIKLVKKHGLEISQPGLGPSNKGLTWQMTKRRGDEEVHKETTEKPGWCSDPHRPPCAAFVEIMAPVFSRGAWRCVWHMIQNDLVHGWGLDFALQRCVEPAHEKIGVVDAQWIVHQSIPSLGSQGQSENGKAPWQGVRERCRKEWAMFQNRMSNAEKAYYLGKGIDPSNFTSH >CDP04863 pep chromosome:AUK_PRJEB4211_v1:11:3930801:3933063:-1 gene:GSCOC_T00019638001 transcript:CDP04863 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHGDPLHFGVDSTKKTWLYWPFAELLLRPLRRVVGSLFGSSSKLVFARENPHSAGMASVDPIDISSSDDSILREIDEYFDESPLRDSATSSNARSLPNWAFSSSSNMAGRGSTSSSNARTLPSWAMPSPSNTAVNGGPSRTASSPKRPFASNGSSSHFTSPGISKAPIHATTSGYIGTSYSHTAGVDSSEFLSNNDDEWRSTKRVRWTHPTSVQTSQPNFRSNNLVEDVSSSHFRESHGNASQSTRPNSTNSLNYHFGRGTDDAVMYENRGSRMLPPSLAYGKYTSATYANLSEPLPHRGLGEERQAGNDERMILQAALKASFSNPLFNNSVISGLTCLHNLC >CDP00551 pep chromosome:AUK_PRJEB4211_v1:11:28208458:28212733:1 gene:GSCOC_T00032525001 transcript:CDP00551 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPEQKNSSASMAGCAGPASNMIKCSRAENNSVHVEPLNSATNVNQSPDENQTSPVRYSILRQSLRPVTLKFEDVAYTLSLRGTGGGCFSSSHPKPTRAILNGVSGIVRPGELLAMLGPSGSGKTTLLTALAGRLSGKVSGTITYNGLPFSSSMKRKTGFVSQDDVLYAHLTVLETLTYTAFLRLPNKLSRNEKIEQVELIIMELGLNRCRNGMIGGPLLRGVSGGERKRVTIGQEMLVNPSLLLLDEPTSGLDSTTAQRIIATLRWLARGGRTVITTLHQPSSRMYTMFDKVLVLSEGYPIYSGSASLVMEYFASIGYMPGFNFVNPADFLLDLANGVVLGTRQDDRTEFPGRPDHHDEKSSIRQFLVSSYKKILYPSLKDEMQRRSQDPVNSRGATGSKSSENQWTTTWWLQFKVLLSRGLKERKHESYSGLRIFQVMSVSILSGLLWWHSGTIHIQDQVGLLFFFSIFWGFFPMFNAVFAFPQEQPMLTRERSSGMYRLSSYYFARTVGDLPMELVLPTIFVIVTYWMSGLKPSLVTFVLTLLIILFNVLVSQGFGLALGAILMDLKQATTLSSVMMLVFLLASGYYIRHIPPFIAWLKYISFSHYSYKLLLAVQYSNNELYQCGVGVHCRVMDNPAIKFLGIENLGMDVAALAVMLIGYRLLAYIALRLRQPH >CDP00684 pep chromosome:AUK_PRJEB4211_v1:11:26961103:26961668:1 gene:GSCOC_T00032712001 transcript:CDP00684 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCYSPGSAGSNCSRTPKYSTFSKKIEKKSKTKTFGTWADLAASSSLTKSSHSRLSSSNRDSDHQRLFLLCCWIDFDRGKDG >CDP04846 pep chromosome:AUK_PRJEB4211_v1:11:4778147:4779769:1 gene:GSCOC_T00019597001 transcript:CDP04846 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSGAYKDVPMRLKHVIPLDFESTKVVPESHIWPETENFPLSDHVLPSDDDEKSKSWIPVIDLMAPNVVELIGHACETWGIFHLTNHGIPSSLIHDVESQARKLFSLPTKQKLKALRSADGATGYGAARMVPFLTKCLWHEGFLIAGSPVEHASVLWPHDHKTFCDVMETYQKMMNSLAHQLLLLMLKWLEVSEDELNWKLSNALFLNSFPACPDPKSTIGLAPHTDSLLITILHQSQEGLQIFRDGFGWVTVSPIEGALVINLGNLMDILSNGKFSGILHRAFVNQIRHRISVAYFCYPPADSQVAPFAKSECPIYSSLTVKEYLEIRAKHMEDALSMIRIK >CDP05483 pep chromosome:AUK_PRJEB4211_v1:11:21431933:21436925:1 gene:GSCOC_T00020552001 transcript:CDP05483 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNLQNIILLYNIILICGLCLVYSKAELPRFEHPIKPDGSLSILVVGDWGRRGAYNQTEVAAQMAKIGDQVDPDFIISTGDNFYEDGLTGVDDPAFEESFSNIYLGPSLQKQWYNVLGNHDYRGDVLAQLDPILRKKDSKWLCLRSFILNTDVAEFFFIDTTPFVDKYFTNPKDHKYDWRGVLPRDEYLFNLLKDLDTALKESSSDWKIVVGHHTLRSAGKHGITFELEERLLPVLQENNVDLYVNGHDHCLEHISSPDSPLQFLTSGGGSKAWRGNIRPWSPEELKLYYDGQGFMAMKLTKYDVYIQFYDIVGDILHQWSGSKGFYSV >CDP00388 pep chromosome:AUK_PRJEB4211_v1:11:29497160:29501955:-1 gene:GSCOC_T00032314001 transcript:CDP00388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint protein BUB3.3 [Source:Projected from Arabidopsis thaliana (AT1G69400) UniProtKB/Swiss-Prot;Acc:F4I241] MKQTCLSFGSNPIRDAISRIRFAPHSNHLLISSWDSSLRLYDVDACKLTLEASDEYPLLDCCFADDSLAFSAATDGLLRRYDLNSGDVDRIGSHDEATCVEYSNETSLAITAGWDKKIKFWDTRLVKSLQCLENLGGEVESMSLSEFHLMIAVGTSVSTYDLRKLSKLDQAKESFMDVQIKCVRPGFEGFAVGSFDGRVALEYVNDSNSKSGRYAFRCHPKKKDGRHHIVPVNDIAFNPRLPTIFVTGDNEGYASTWDAQSKKRLYELPRFPNAVASLSYSYDGLLLAVASSYTYQEANEREELPQIFLHEINDLNISSLSAGSSK >CDP00409 pep chromosome:AUK_PRJEB4211_v1:11:29369191:29370043:-1 gene:GSCOC_T00032342001 transcript:CDP00409 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTCHRPKEVPLKEKLVEHIEPLLVKNKVSLVLWGHVHRYERFCPLNNFTCGSLGKNGDHNWEAYPVHVVIGMSGQDWQPEWEPRADHPNDPVFPQPELSYFRAGQFGYTKIVATEKKLTFSFVGNHDGEVHDGVEIMAPGQVLNDAGTSSEEEATESWYHVKNISLLVCVAFVAYFLGFTSHFRKEAALDKNWARLKEEDV >CDP16260 pep chromosome:AUK_PRJEB4211_v1:11:24531067:24532829:1 gene:GSCOC_T00018026001 transcript:CDP16260 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIICFLLIAIAVFLVCGRGHKPGKLPPGPCPLPILGNLFQLGGEKLYHKAVTKLSKVYGPLMSIKLGNQMIFVVSSPNLVREISKKYDHTFNGRIDLDASRALDHHKFSIAWIPSAFEDIITQRLQARGTSPTSGSGKGDLLEVFLDLCQHREAGWSCNDVKHFLLDLFFGATDTTSSTVEWAMVELLRSPDKKEKARAEIRDVIEQGKSVKESDISRLPYLQAVVKETLRLHPPAPILPRKADTDIEVDSYILPKDSLIVFNLWGMGRDSNLWLNPDSFVPERFLNSEIDDKGQHFKLTPLVREGEFVLDILWLSECCILCWLLLFITLIGSLKRGLNQKTWT >CDP07973 pep chromosome:AUK_PRJEB4211_v1:11:10726202:10731098:-1 gene:GSCOC_T00025527001 transcript:CDP07973 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQSNVFWYGFVIPTLLIITFESNDLVKAQASTNTVIGAGVSGLVTARELQGEGHQVVVYEKSDQIGGIWVYDPKVESDPLGLDPNGDVVHSSLYNSLQTNLTTQLIEFTDYPFIVSKNNGKKVCFPHHEEVLKFLNKFAIDFGLNQLIRLNSEVINVEQKDDHWIVESKTRGELDSEELFEAVVVCNGHYTIPKLIVVVIGYGPSAYDIGIEISKAAKEVHLSSRFPQVKVTKMDNCGNMWLHKEVEYCYENGEIASKKDGAFVDADIILHCTGYKYDFPFLNTKGIITIDDNRVDPLYKHVFPPQFAPTLSFVGIPSRTVNFRMMELQAKWVAQFLSRQVTLPSREKMLADVEEYYRLPDETGIPKHHTHTLPFGVDAVRLM >CDP00500 pep chromosome:AUK_PRJEB4211_v1:11:28651163:28653732:1 gene:GSCOC_T00032458001 transcript:CDP00500 gene_biotype:protein_coding transcript_biotype:protein_coding MASVANHLMPGHQISPSHPALFGAIAPSNCSSPLDDSYRRTHGEVPSHPPVWKTVSLDESVKGFADIIYEKAVGEGIAKITINRPERRNAFRPQTVKELMRAFNDARDDISVGVIIFTGKGTEAFCSGGDQSFRGKNGYADYDNFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAANNAVFGQTGPKVGSFDAGYGASIMSRLIGPKRAREMWYLSKFYTASEAEKMGLVNMVVPLEKLEQETVKWCREMLRNSPTAIRLCKSAINAADDGHAGLQALAGDATLMFYGTEEGNEGRSSYLQHKKPDFSKFPRLP >CDP00657 pep chromosome:AUK_PRJEB4211_v1:11:27186487:27190598:1 gene:GSCOC_T00032673001 transcript:CDP00657 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREERHKHQHDLVPLAALLSRELRNEKMEKPAVRYGCAAQSRKGEDYVLTKTDCQRVLGNPSTSFSVFAVFDGHNGNAAAIFSRDNLLNHILSAIPRQTSGTTATFVIVDGWTVTVASVGDSRCVLDTQGGAVTALTVDHRLEENVEERERVTASGGEVGRLSIFGGSEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQVKLSNAGGRMIIASDGIWDALSSEVAAKSCRGLPAELAARQVVKEALRTRGLKDDTTCIVVDIIPPDNTVQPPAPPKKYNKFQAFLLRKIFRDSSSRLSKKLSAVGIVEELFEEGSAMLAERLGNDDSSGQTTVGLFICAVCQTDLAPSEGISVHAGSIFSTSSKPWQGPFLCSDCRNKKDAMEGKRPSGVKVV >CDP00524 pep chromosome:AUK_PRJEB4211_v1:11:28459956:28468984:-1 gene:GSCOC_T00032485001 transcript:CDP00524 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNTFCSPFGLDLLLSFLFGCRKPNMITLMGFMFLLTSALLGYIYSPQLDTPPPRWVHFAHGILLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEAVAFGSTAMSGRTTFWFWVISAVPFYFASWEHFFTNTLILPVVNGPTEGLMLIYLVHCFTGIVGAEWWAQQFGKSIPIFSWVPFISEIPTNVAVLYLMIAFAVIPTVSFNVHNVYKVVQARKGSMLLALAMLYPFAVLMSGILTWDYLSPIDLMGKYPPFVVVGTGLAFGFLVGRMILAHLCDEPKGLKTSMCMSLVYLPFAIANALTARLNDGTPLVDEFWVLLGYCSYTLFLYLHFATSVIHEITTALGINCFRITRKEA >CDP13350 pep chromosome:AUK_PRJEB4211_v1:11:33035575:33039878:1 gene:GSCOC_T00038255001 transcript:CDP13350 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box only protein 6 [Source:Projected from Arabidopsis thaliana (AT1G27340) UniProtKB/Swiss-Prot;Acc:Q9FZK1] MLRQLIGQLQDLLDLYASPLPPPTLPPSYFLRFPPPPPHQHRHQHSWCLFNLDDNSIKDNCYNLIMTAGKSENLKMLEPGKPPPVKKARKERNRGKLTETTRSTEIMEQTIWKDFPEDLFEAVIARLPVATFFRFRSVCQKWNSLLTSQSFSQQCAEVPPSQPWFYTITHENVNTGAMYDPTSKKWHHPTVPALPTKLIVLPVASAGGLVCFLDIAHRSFYVCNPLTRSFRELPARSVKVWSRVAVGMTLNGKSTSGGYKILWVGSDGEYEVYDSTQNSWTRPGSIPPNINLPLALNFRSQAVSIDSMLYFMRSDPDGIVSYDTISGSWKQFIIPAPLHLSDHTLAESEGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLDFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYDLSSREWLKVPGCVLPPLA >CDP00290 pep chromosome:AUK_PRJEB4211_v1:11:30286115:30291150:-1 gene:GSCOC_T00032180001 transcript:CDP00290 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQQNRHLTSVSDSVTPDRPQGSSFQGGESFFRCILASMEAIYLSRNPTAKSILELVHSVDNEHICYDHFAFRTFGVNGHGIDSMAGLFLDFGYVQREELRFPAKKLKAFWFSPPKVQSSDGGAGVNGPLPRIFISELLVDELSPQAQEIIRRYTAQSNNGNKHASLASCLGSLTWEKPSYAEFLQLASESEYAAWTLVNGYALNHLTVSTHRLKSHLRSIKNLNQFIEENGYKLNSEGGILKVSPDGLLLQSSTVADSVAFHFADGIESSVPCSYIEFAERLILPQYKNLLEKEVKEFHRRDGFEVGNADKIFESTSSDQLTRRSA >CDP13208 pep chromosome:AUK_PRJEB4211_v1:11:32022842:32024041:1 gene:GSCOC_T00038076001 transcript:CDP13208 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEMDEYAIDAEANKWIIAGIALRSPLKPIFTKKEYGGDDQEEECCTTPTAQESRIPARLACPPAPKKRKATARSCNFNNGVREFFNPPDLETIFIRRAEKA >CDP15250 pep chromosome:AUK_PRJEB4211_v1:11:18427702:18429342:-1 gene:GSCOC_T00042898001 transcript:CDP15250 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTFPLPPLHLRKLLRSFSSSVIVTRQRTPKHLFLSQSPPPLHHPFSHPITITGAANASHFLLHHPFSLRRFCASSSSPTDDDLSATERDPFVEEETLAQSLAYELLKDPDLESLSLSQRLDLSFAHVTLTPSLVFNTLSLSLDAGRTVIDFLKWVSSRPNAFDVDDELFSYFVDYFGRRKDFKAAHDVLVDGIGKTGWKSFESLVDRLVRAGRPTQAVALFEKMETEYGLKRNLDYLKLIVSKLCEHGFASYAEKMVKSLANEFFPDEYICDALIRGWCVDEKLDEAKRLAGEMYRGGFEISTLAYNAILDCVCRLCRKKDPFRLQSEAQKVLVEMEEIGIPRDVETFNVLINNLCKIRKTEDAMKLFYRMGEWGCYPNETTFILLIRSLYQAARVGEGDEMIDRMKSAGYGDALDKKEYYGLLKILCGIERVDHAMTVFVKMKEGGCKPGIKTYDLLMGKLCAHGRLDKANVLYKEAESNGVPVEPKAYKLDPRFVKKKPTAVKKEKKRETLPEKMARKRRTLKKLRLSYVKKPKRMMRRAF >CDP00352 pep chromosome:AUK_PRJEB4211_v1:11:29806866:29808434:1 gene:GSCOC_T00032264001 transcript:CDP00352 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSAARDSGGSTSSRSDSSDYESVAKTHHSHRNFSSRRCFMSKPVHPLTLPSDTPRREATDSNAAGYLEFDAVTPRREKHRLSSASGSVDLTDVSEPFEADFSSRYCNPSDSFKCGLCERLLSQRSPWSSRRIVRSGDMPVAGVLSCRHVFHAECLEQTTPKANKNDPPCPICAKVEEENSPDQRVFSKFFPRLRPFCEDGPSRPWGCAQAGDCVEGALHAPPRSTMLSLTRSRIKKNLSLKGNVGKDFPGKARKSGSFASQLFVGSVDHGVAGSSKTVSGTSLK >CDP00179 pep chromosome:AUK_PRJEB4211_v1:11:31167243:31171142:1 gene:GSCOC_T00032044001 transcript:CDP00179 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRVSSSLLLSRSFTSSLASRLTGGKSACQARAISRYSTAAALEEPIKPPVNVEYTKLLINGQFVDAASGKTFPTLDPRTGEVIAHVAEGDAEDVNRAVAAARKAFDEGPWPKLTAYDRQRILLRFADLVEKHNDEIAALETWDNGKPYEQAAKIEVPMFVRLMRYYAGWADKIHGMTVPADGPYHVQTLHEPIGVAGQIIPWNFPLLMYAWKVGPALACGNTVVLKTAEQTPLSALYVTKLLHEAGLPSGVLNVVSGFGPTAGAALCSHMDVDKLAFTGSTETGKIILELSARSNLKPVTLELGGKSPFIVCEDADVDNAVELAHFALFFNQGQCCCAGSRTYVHERVYDEFVEKATARALKRSVGDPFRQEIEQGPQVDSEQFEKILRYIRAGVDSGATLETGGDRLGEKGYYIKPTVFSNVQDDMPIAKEEIFGPVQTILKYKDLDEVIRRANSTRYGLAAGVFTQNLDTANTLMRALRAGTVWINCFDTFDAAIPFGGYKMSGQGREKGEYSLKNYLQVKAVVTPLKNPAWL >CDP00349 pep chromosome:AUK_PRJEB4211_v1:11:29844354:29846395:1 gene:GSCOC_T00032257001 transcript:CDP00349 gene_biotype:protein_coding transcript_biotype:protein_coding MANKQCSIYAPNTTSSINTGIDSYPSGASPFLCSSSLALSSPLSSSPPPSGSMGMIFEDMSCLSISPNYLSSSRDSLHEGRPCWGFSFMPKCLLEESQNSDVGEGKGSSDCSDGFGETGNQPIDLNADLSEEKQSNNLQAVGSRDSGQSKLCARGHWRPAEDTKLRELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMAAHRLYGNKWAMIARLFPGRTDNAVKNHWHVVMARKYREQSSAYRRRKMGQFVCGRVEDHDRSFVSRRDASAHIKTESTGPPPPNYTLINNSNGTLLGNLTACSSGGNLNGGVGAGGIGIACGSNISPHMASGGEAVLSNIVPSRHTGFCSSQQTSSLFFSAGNCSNGMMSIFKQGRPWDRPRDESNVSYLCHHPVQQQIHHPLLMTAMQQSQHCQSININTTTSFITTHYYH >CDP16297 pep chromosome:AUK_PRJEB4211_v1:11:23919424:23922565:-1 gene:GSCOC_T00018076001 transcript:CDP16297 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVELILEKLPNLIEKVDKFGWTALHYAAKRNHPAKLNHEDVVRLLLSAERSTAYVAARNDDSKTALHIAVIHGHVAVVQELLSHCPDCWEKYTNRRQNILHLAVKYEQREVLEFVLKNSWASELINQRDNTGNTPLHLYVATKNLDGSSLVKHPSVDVNSFDNSNSTPLDRILRADELSQRQKNTVWFMKKQKEEEEGKEDELEQAGGTRGYRNVATVKKILRASSPDEVKRVEKLAANYSIVATLIATVTFAAGFTVPGGYYSDGPHRGMAVLSKKAAFITFVISDSLAMIASIGAVLGLIRLFQTKNYRLKLTIVRATRRRIFAAVILMMIAFLTGLCAVLPNLAVMIVPYVLAAWLGALICFTFLRKYEGRECIYTTRFNHSEAEYGIFQPLGLYMRWYQDLTEAED >CDP00267 pep chromosome:AUK_PRJEB4211_v1:11:30483430:30488279:-1 gene:GSCOC_T00032152001 transcript:CDP00267 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKLDQWGYEVKTSSDACIAAINSYYHQVLSYGRRRDVILEAPKADPDCVLGNILAASFLCSFDPSRVPTFLDAANSCLDNASGYEKAVFDAVSYFISPDRDDDVAVDLHSTFLKDYPRDLVSLTRAQVLCFYMGRPDLSLQLVQQVLSKNEKANFIYGMLAFPLLELGRMVDAEKAAKEGFEINKEDPWTQHALCHVYQYECHFKEAVIFMEECSQSWSPLSSFMHTHNWWHVALCYLEGNSPIDKVAEVYDECIWKELERSDASPVEVYLNAVSLLLRIYVRGYIKVFEDRLKVLAKCLTNKAFWCLEWHFDVLIVWALAYTGEISKAEDLLTGLKSRLSQMSKKKQQRMQKGMLLAEALYKYGKGENEKALELLGLQFDAIDCKAIGASDEQLDVFNEIWIILLINGGETTRATEAIEKQLKKREGAPFLWRLLEKCYHTLGRPEAANVGEKASALAVAYFT >CDP19004 pep chromosome:AUK_PRJEB4211_v1:11:31457234:31467095:1 gene:GSCOC_T00001055001 transcript:CDP19004 gene_biotype:protein_coding transcript_biotype:protein_coding MASCVWTPRMRATYSSVCLDCRPTSRRRRTGVNFSGPSVVRCRKSDSLFMCTRRIYCSTANDNEARSSDKQTGIQLYRDIERLLTETVKQSQGDWRTSSNWSELEGAWVLRPRNSKPVSIVHFIGGIFVGAAPQLTYRLFLESLAEEGILVIATPYASGFDHFLIADEVQFKFDRCRRLLQEPEQDLPCFGIGHSLGSVIHLLIGSRYAVQRNGNVLMAFNNKEASIAIPLFSPVLVPMAQSIGPFLSQVASSPTIRLGAEMTLKQLENLSPPIMKQVLPLVEQLPPLYKELVNGRENFTPRPEETKRLIRSYYGISRNLLIKFKDDTIDETSVLAQILSSESAISSMLDMSIRSLPGDHGLPLQQALPDVPPAMADAVNRGGEFLASLTAGTPWETVAKEVSNSLGRDARLVRPEMSKDMDLLVNVMTSWMKGNTSTRLLRP >CDP11627 pep chromosome:AUK_PRJEB4211_v1:11:16001633:16002946:1 gene:GSCOC_T00034002001 transcript:CDP11627 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMHLWFNSGGRLGTNAVSVDGGFPSFIPAEVKEIKDPFARALAQRIQRLPVKVGFSESCIMSSCVKPRFQRDTNPAVLLHCFDSSCLEWTRTYPLLEDAAVETWAIDILGWGFSDLERLPPCDAASKRYHLYQLWRTHIKRPMILVGPSLGAAVAIDFAVNFPEAVSSFLSTEIFLEFDV >CDP00818 pep chromosome:AUK_PRJEB4211_v1:11:25459333:25464749:-1 gene:GSCOC_T00032913001 transcript:CDP00818 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSCHIPVTSTARFSAVFLLIASSLLLHGSAVSGGQNYHDALKKSILFFEGQRSGELPPDQRVRWRKDSALHDGASAGVDLTGGYYDAGDNIKFGFPMAFTTTLLSWSIIDFGRNMGSELGNALKAVKWGTDYLLKATAKEGIIYVQVGDAISDHNCWERPEDMDTLRTVYKIDVNHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAMKVFQFADRHRGAYSSSLRSAVCPFYCDVNGYQDELLWGAAWLHKASRRREYREYIVRNEVILRAADTINEFGWDNKHAGINVLISKEVLMGKADDLQSFRRNADGFICSILPGISHPQVQYSPGGLIVKAGVCNMQHVTSLSFLLLAYSNYLSHANKAVPCGETLASPSLLKNLAKRQVDYILGDNPMGMSYMVGYGEHYPQRIHHRASSLPSVRVHPAHIGCKEGSRYFLSPDPNPNPLVGAVVGGPNTTDAFPDSRPFFQESEPTTYVNAPLVGLLAYFSTHP >CDP05427 pep chromosome:AUK_PRJEB4211_v1:11:20204831:20213527:1 gene:GSCOC_T00020469001 transcript:CDP05427 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHVRPYDSAVTQSDDVVVTVIDHRNNNNDEVGAVSSRSSRFHDLNRLIQSPPTLDNKVTGKWQNLLLAYKTLGVVFGGLVTSPLYVYPSMQLKSPTEENYLGIFSIIFWTLSLIGVVKYASIAVRADDQGEGGTFALYSLLCRNINISILSSKHSRTNSSTAEKPSKLGKFFEASLVARRVLLFIAILGMCMLIGDGVLTPAISVLSAMDGIKAPFPSFSKTWVEVLSAVVLIVLFLLQKYGTSRVSFLFSPIMGAWTLTTPLVGIYSIIKHYPSIYKAISPHYIFSFFWRNGKEGWLLLSGTILCITGSEAMFADLGHFNKSSIQIAFLFTIYPSLVLTYAGQTAYLIRNPYDHQDGFYKFIPSPVYWPMFVIATLAAIVASQSLISATFSIIKQSVALDYFPRVKIVHTSTRQEGEVYSPEVNYILMVICVAVILIFGDGQDIGNAFGVVVTMVMLITTIMLTLVMIIIWRTPPVLVALYFVIFFVMEGVYVSSVFTKIPEGGWIPFAISVVLAFIMFGWFYGRQRKIEYELTHKIDVDSLKALLSDPGIQRVPGLCFFYTKIQDGLTPVLGHYMKNMKSLHNVTVFTTLRYLLVPKVPAHERIIVNKLGLKGVYGCVIQYGYADSLSLEGDDFVLQVTNSLQNHVRDSSDCAQSTTSLDEEIDDIKEAKLAGVVHVRGKTRFHISKSCSWFDRTMLAFYEVLHSNCRSSLPAMGIPLPRCIEVGMHYEVYKITVGSHADGPVHLKLN >CDP18096 pep chromosome:AUK_PRJEB4211_v1:11:14355740:14361970:1 gene:GSCOC_T00006459001 transcript:CDP18096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14S [Source:Projected from Arabidopsis thaliana (AT5G27550) UniProtKB/Swiss-Prot;Acc:F4K4C5] MDDQPLEMLCYNFDCAVTVSGDSCKNSSAGGIQKVEQTSDVAEDSKESVGVSNESNDDTEETSSSEQQTLPVFQKIQDLSSKVQHLREEHAVLCNEVKGITANSFPGSEVSTALQSLSMENEILKKKYHEECELLKKKYLEEHNERKRLYNEVIELKGNIRVFCRCRPLNAEEISNGSTAVVDFDSTQENELQIISSDSSRKHFKFDHVFKPEDSQEVVFLQTMPIVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEELFRVSKERSSFMKYELFVSMLEVYNEKIRDLLIESSNQPAKKLEIKQSAEGTQEVPGLVETHVHSTDEVWELLKSGCRARSVGSTNANELSSRSHCLVRVTVVGENILNGQRTRSHLWLVDLAGSERVGRIEVEGERLKESQFINKSLSALGDVISALASKTTHIPYRHLSLSLSLNSKLTHMLQSSLGGDCKTLMFVQISPNSADLGETLCSLNFASRVRGVEHGPARKQADVGELFKYKQLAEKVKHDEKETKKLQDSLQSLQLRLSAREHICRNLQEKVRDLENQLAEERKTRLKQESRALSAVSAQPSALSSLGQSMRTITEKKPPLAPSKMRLPLRRITNVPPPSPVPTYRTRNSMIPLRKNDKENFSRPLMETCKSKALAVPKRLSIAVRPTAAAKQVLQPKRRASIATFHPEPNLNTTTPLNRSSARLRTDRVVGRQSFVWDPQRVWRTTKVQSPLQQLRGTSGAIEETPINPRSSKFVGSPPSQAGSWRPKHPTVVALQKKQLIWSPLKMKAMRNSRKSLIS >CDP18974 pep chromosome:AUK_PRJEB4211_v1:11:31673438:31675716:-1 gene:GSCOC_T00001013001 transcript:CDP18974 gene_biotype:protein_coding transcript_biotype:protein_coding MVERFFSIPQIEQQQQQQPQILLLSGPPSSGKSSLLFQFAYNSAFSTTSSSAVFLCNRRKLDTCPPFLSQARTTPLLLYSRLSQSGIDPSSEVFQRIQIKYVDDDEGIKNYFAAFHLQHPTFPLSVIIDDFADFFDERNCQDKYTNPRGRDLAMIRVLALCQNAISRANEAGPCMLLLSDTHHGDSPRLLYIYRRWVSSIYTIKGDGFGSFLLRTSYQSGIAKSAGNRIAKYSIALQYLVLEGIAEDEGQ >CDP07972 pep chromosome:AUK_PRJEB4211_v1:11:10743343:10745777:1 gene:GSCOC_T00025526001 transcript:CDP07972 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVAKASEFLVVTGIFINDIKITKKAIIWPFQKCRIIDVTPVNYTFEVNAMSAEKLAFLLPAVFTIGPRVDDHECLVKYAKLLSHHKRDSHDVMELVKGVIEGETRVLAASMTMEEIFRGTKEFKKEVFEKVQLELDQFGLLIYNANIQQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEAKMKGEIGAKERQGLTLQNAAKIDAETKIIATQREGEGKKQEIMVKSQVQIFENEKAADVAEANAELATKKAGWAQQAKIAEVEAEKAVAIREAELQKEVERKNALAKTEQLRAQLLSKASVDYEIKAQEANSELYKKQKAAEAAFYEKQKAAEAQRVAAEAQLYSKQAAADAELYAKRKEAEGMVAIAEAQGVYINTLLTSLGGNYHAFRDYLMINGGMFKDIAKINAEAIKGLQPKISVWANGGEGMDGAAAGNSAMKEIASVYRALPPLLETVNEQTGMLPPAWVGSLSSSRSSQPAN >CDP00585 pep chromosome:AUK_PRJEB4211_v1:11:27907830:27915830:-1 gene:GSCOC_T00032570001 transcript:CDP00585 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVATAAGERHLIVAVEGTAAVGPFWHTVLSDYLEKVIRSFCANDSTGQKLSAANVNLSLVTFNSHGPYSACLVQRSGWTRDIDVFFEWLSAIPFGGGGFNDAAIAEGLAEALMMISSKNGNQTQQNLDSHKHCILVAASNPYPLPTPVYRPRQNVEQSENTEIQSDGRLSDAETVAKSFAQCNISLSVICPKQLPKLKAIYNAGKRNPRAPDPPVDNGKNPHFLVLISENFIEARVALGRSGMTNISTQSPVKVDAASVASVSGPPSTSVQSANAGAVVNRQPGSVGTIPPAVVKIEPTTVTSMSGPTFPHVPSVPRASSQPIPNLQTSSPISASQDMVSTNENIQEMKPIVSSMTQSLRPVGAAPANVRILNDVAQARQAFGGGTSMGLQSMGGTPILSNMISSGMTSSIPAAQTLISSGQSGVTSVSGSAPVAGNAQGAQNPAPASFPTTTSNVSGSSNLSMSQPLSNHQGGVSMGQTVPSMSQGNLTGTQMVQSGMTMNQNMMGGIGASGIPSGTGTMIPTPGMSQQVQPGMQPLGVNNNAGANMPLPQQTSNTLPSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAANWPPTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQIPSQQQQQQLQQQQHQQLQAQQHPQLQQQQLQQLQQQQSLPQLQQQQQHMPQLQQQQQPLAQLQQQPLAQMQQQQPLMPLQQQQQMPQLQQQQQMPQLQQPQQQQQMVGTGLGQPYMQGPGRSPLMSQGQVSSQGPPTMSGGPFMS >CDP04885 pep chromosome:AUK_PRJEB4211_v1:11:2866016:2871374:-1 gene:GSCOC_T00019682001 transcript:CDP04885 gene_biotype:protein_coding transcript_biotype:protein_coding MRESLLLLLLKILVLKADFYLLEILNGNANLLSLANDRIESVHEGLKLLMTFVANVPEESSDHLEFILTNIEAVAKRIIYLYHSVLTNKITEELIERMYLTLSELLDQIKINKAKLRELYPQVQGSCFPKTNGLGCVDFLLRNLKELQTHKSKSIATVKNQIERIQGDMEFFRSFLNDRVKESTQHQELKGLGERITEVAYKVEYVIDSIEVGIGDHLQHLLWLDSLLEDISHIKKEAVKSYQKKTCDGIPHNVTRSSDHMISQVSAPEPDEVVVSLSDQEEVIIDRLIKGSLQQDMVSLVGMPGIGKTTLAKKLYNDSRVTYHFHIRAWCCISQVYSKRQVLLDILSNISGLTDYIHKMTDEDLDLELYQQLKGRRYLIVMDDMWSTEAWDDLERSFPDDKNGSRLLITSRIQNVALNAKPNSDPYLLRLLTDDESWSLLQLKSFHGKGCPTELLGVGKEIAQQCKGLPLSVVAVAGLLERTEKKPDLWKQIVDSLSRRLIDDPQTQCKEILELSYEHLPYNLKACFLYFGAFLEDKDISVRKLIWLWIAEGFIKKSEEKSLEDIGEDYLMDLISRSLVLVSKRRSMGRVKTCRVHDMLHDLCLSRSKEEMFLQPITKYNDVDFDLYYPSKPLIYERHRLCICLERRHFIKSKPSGPRTRSLLFSAIADRYPRCPYDITFIFQNFKLLRVLDLESINMGMFFPIGFDLLVQLRYLAVSGDLDSIPSSIASLWKLETFVVKGLKGMVVLPVIIWSMRMLRHVHVNSCAMFDLQDDQLESSLVLDNLVTLSTPALSGGKETVKILRRFPNLHRLRCIVFESPSSPMGCDQFAQFDILNQLESLNISGRALNQGELSFPLNLKKLTLSRLRLPWKHMSAIGRLQNLEVLKLLSNAFEGRIWDMREGEFLKLKFLKLDSLNVVEWNATCDHLLNLQQLVLRHCKELEAVPFSFGEIPTLLMIQVQRCGLSTEESVRDIEEQGIEGLKIFISH >CDP00746 pep chromosome:AUK_PRJEB4211_v1:11:26284974:26289346:-1 gene:GSCOC_T00032816001 transcript:CDP00746 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFQKFQEAVKVLARSPTFAREPRHLQFEADINRLFLYTSYKLLGKDADEADAEEIIDMASKASLTDQQKQVQENIHSQIETFCTTMDDIVLPNLQSTEKAHEPIEEKNITSRRSGLSLAVGQSSPLNEHLVGSETKPLSQLEVSQRLKDLRGYTLEVKPSEIPHKDAGKGLFLNGEADVGTVIAFYPGVVYSPAYYQYIPGYPRVDAQNSYLITRYDGTIINAQPWGAGGESREVWNGSCVSESRPKVEVTGKGSDRMWQLLSKPMDGSKIGMKGEILERRNPLAFAHYANHPAKDMVPNVMVSPYDFPLTEKNLRVYIPNISFGNGEEVNMKRFGSFWFKSWKSGSHVSDVPILKTLVLVATRPLCDEELLLNYRLSNSKQRPSWYTPVDEEEDRRRWS >CDP00812 pep chromosome:AUK_PRJEB4211_v1:11:25543033:25547605:-1 gene:GSCOC_T00032906001 transcript:CDP00812 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSKAFLQGLIKAFVPKNSRGQKEEDLEKIAAQEQKQFQFQVLVAATKNFHRSNKLGEGGFGPVYKGKLDDGREIAVKKLSQSSRQGKKEFMNEAKLLARVQHRNVVNLLGYCAHGPEKLLVYEFVANESLDKLLFKSSGRDALDWNRRHDIIVGVAKGLLYLHEDAHSCIIHRDIKASNILLDDKYLPKIADFGLARLFNEDGTHVNTRAAGTNGYMAPEYVMHGNLSIKADVYSFGVVVLELISGQKNSTFNRDTESTSLLEWAYKLYKKGKHLEIVDPSLVTSANPDQISVCIQIGLLCVQSDPRLRPKMRRVVVMLSRKPGTLEEPTRPGYPGSRYRKSHKPLAVSSTTGTSGASNSHSFTSTTKTNSVTATTTTSALTNPRSDRKGKRPMHHST >CDP00759 pep chromosome:AUK_PRJEB4211_v1:11:26171147:26174989:1 gene:GSCOC_T00032830001 transcript:CDP00759 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTCVDSAIEQLSKLLTVPRLTSTTMEIQVKALLLDLRFVKMFFCCLTTFKPADDQETMMVASMELTTARATLEAAGCKLYQAGFFASFGLDIQDWETLVSDLLQIVEHLKPEVREICLDVLVHHSGNSNSKPSCTNEETLDFVDSILLNLKRLSLIVNNNNNMVPDLKKQMEALEEKLKFTRDFLDFTERRCGRSNDRLEDLFTIFQAWTKNAAFLSLMYWVELDIMDQNMAHQMNTVLSDSLQEIMRCTPRVIQMYLGLLKASKTSREDILPVGEILARFVSFLPLENLVDSVEGDDIAIFRTGLIFLISFLMDLPKELQKGAARRNESLKLIEVARRNAFLKQIEAAIYKVASLISMGGDSFVPTCLEKMHKIMTQVKKHHFQMPKSSASNCPKTDGMGFVYSILINLEEIMKSNATNFFLFAKHIVGAIHRELHALWLLQKGITHLQNGYQYKKLRSLLAQTINVAYRAEHVVRSRSVVDRPIWYHLMCLSDVLEEIKIIKIAVGKIRREVQTSKKISFCAQTSINCTQLSSRTNASNVDEVAFPVTDEADAIINLLMRGSLGLSIVSVVGMPGVGKTTLAKAAYNNLSVKMHFHKLAWCSVSDGTQRSGDLLLDILKCTNPVKAKTDEDLALEVRRSLLKERYLIVLDDIWEIGAWDAIKLSLPNDKNGSRILLTSQNHNLGLQTGLPHNVYPLSVLNDERSWKLLEKMLFHNHGCPPHLLGVGQKIASNCKGLPLALAASAVLLRREEQNLDRWGRIEESSNPGIAGKGFMDILELSYKRLPDHLKPCFLYFGSLQAGKEVSAQKLILLWIAEGFIQKAAIGSIGSLEGLGRDYLIELIDHSLVDVTKRSSDGGIKACRVNELLHSFCVEKAQEENFLHVDWSDRSDLSHGSSTRTMHYPYRLCIRSKWETFMQPKPIGRHLYSLLACSKISRHQSYLRSVAFEHFHSFKLLYILNLEGIYLDISFPQEIMSIVHLRHLAIRGSFTTIPSSIANLWNLRSLVVKGVRSLISLPEAILKMKSLKHVKISEKAVISLGDHELEDSSLQVNYIKTFSTLALHHVADSENLLRKLTGLQKLRCVVSGSQLYSNNKVQFPASAYLKDLQSLTLSTLDYEGLVSCSWLHNQFQTFNFPSTLKKLTLYRLGLPWRGISMIMQLENLEVLKLREQAFMGNRWHMKLGKEEFRNLKFLELSNLDIRQWRGSDIDPFPCLEGLVVKDCHRLLEVPLCLGRTATLQMIELRQCSDSVKKLAKDILEEQVGYWGNDELKLLILDS >CDP14404 pep chromosome:AUK_PRJEB4211_v1:11:13188716:13189267:-1 gene:GSCOC_T00040792001 transcript:CDP14404 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMAPQVHGQINPEDQSPKVVELWLKKLGHVKHKKLTKLHFYFHDTLSGNNPTAVEVAQANITSKSPTLFGIVNVMDDPLTVGPEPASKIVGFAQGFYASASQQDVGLLMTLNFVFTDGKYNGSTLSILGQNHVFHNYRELPILGGSGVFRLARGIATAKTYAFNATTGDAIVEYHVIVMHY >CDP16876 pep chromosome:AUK_PRJEB4211_v1:11:562679:564363:1 gene:GSCOC_T00019451001 transcript:CDP16876 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLCCRFLCQPNTNSSSSNLSPSFTPKPPSASLSFSRCSTRALPSSNSATSLIVDEPDPVFSSVKSFAPATVANLGPGFDFLGCAVDGVGDFVTLRVDSDVRPGHVSISDISGSPGASKLTKNPLFNCAGIAAIAVMKMLRVRSVGLSLSLDKGLPLGSGLGSSAASAAAAAVAVNELFGAPLSVSDLVLAGLESESKVSGYHADNVAPAIMGGFVLIRSYDPLELIQLKFPGNAELFFVLVNPEFEAPTKKMRAALPPEITMSHHIWNSSQAGALVASVLQGDLRGLGKALSSDKIVEPRRAPLIPGMESVKAAALEAGAFGCTISGAGPTAVAVTDNEEKGREIGERMVEAFMKAGDLKAVAMVKRLDRVGARLVHSTP >CDP00460 pep chromosome:AUK_PRJEB4211_v1:11:28970584:28974679:1 gene:GSCOC_T00032406001 transcript:CDP00460 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFDRETVDNMEVLETDFSNRTEKLLFANPGLGSSTDKTNDDSTNVIASTSMVNFDPFLWEGCASKISAAAAEPRTQKESKFDPKLERQTDSREADSLSCSKENLVSSLVEDSLPAKRARTTNLHSQFPVCQVHGCNKDLSSSKDYHKRHRVCDVHTKTAKVIINGIEKRFCQQCSRFHLLTEFDDGKRSCRKRLAGHNERRRKPQLGAHLGSEFLEMTLLKRTSFLSPETFPAGFFYQERFEKDNHGRHFKYVELPIDNSQSAVALMNGQSGRVPIADPHIIQKQQSPETCIDTAVAVELSVIRDSSSALSLLSTQSQNTSSGAAGISMALLPVNGVRHHAYESTKQNSAKNLGINTAKGSASSGYSCGTIDEEGMVRVRDGSMPVSFRVQREGTFQVSDYRHANCSPSLEVGTLDLLQLSTHLKRVEQQRNFLQVEEENETFCCSSNL >CDP00245 pep chromosome:AUK_PRJEB4211_v1:11:30655273:30656782:1 gene:GSCOC_T00032127001 transcript:CDP00245 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGRSGRWCSEVLFRRLVLVGLIWFLWVGILENEAATLNSEVAGGSWKHMELIGKRLLNAHKHLEINFVSKRRVPNGPDPIHNRRAGNSHRPPGRA >CDP16321 pep chromosome:AUK_PRJEB4211_v1:11:23619747:23625854:-1 gene:GSCOC_T00018118001 transcript:CDP16321 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEGSSKVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDVYMQSWTGTIIGPPNSVHEGRIYQLKLFCGKEYPDEPPSVRFQTRINMNCVNQESGAVEPSLFPMLADWKREYTMEDILIQLKKEMMSPQNRKLAQPPEGNEEGRVEQKGLVLRCCIL >CDP13364 pep chromosome:AUK_PRJEB4211_v1:11:33186611:33193759:-1 gene:GSCOC_T00038273001 transcript:CDP13364 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRSHRKPAEADDVVPTLPLYLSAPALQVRLEDFELYAVDRLRVLKEISDGLSRGKKSDEMENLVRELWKLHMSHPHASEVVNKDIISHFVLRLVYCRTEELRKWFLSMETSLFRYRFRSETHEAQRALMAEFHLPYKTVTSAEYENVKDKLSQVARSTGQSLSSGDGIFYKVPFEEVPELVAGRRVYIQKGQAYVAMNQVVSLVVTQFRFLLSKALVLTNRKWTTMIREQEKDRLTPLVESLSSSYLGPDYSQPREFTEISLKDIDQVAKSSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSRKFGAERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISSMPGVGDHHGCPYRHFSEENLRAALGRMGVGSRALEDVMEKVRNKHYQLACTLTFEAVHGTSYVAGINHPNQYFSDSKKTLESRNNANTA >CDP00361 pep chromosome:AUK_PRJEB4211_v1:11:29762072:29763682:1 gene:GSCOC_T00032278001 transcript:CDP00361 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVEYESPEIDEEEAKMQQLRSKATELLLREEWKESIHAYSNLISLCQNHLLKLHQEKLQKSLCLAYSNRAEARFRLREYAAALNDCEEALKIESAHFKTLLCKGKILFNLNRYGVALDCFRAANLDPQASSSSETLNDYLDRCKKLEFFSRTGSFDLSDWILNGFRGKMPELAEYIGGVEIKKSEISGRGLFATKNIDSGTLLLVTKAIATERGIFSPGDSGENAQMLMWKNFIHKVIESARRCSITKNLIRLLSTGENENDSVVPEIDLFRPEAEDSCISNHDLDVEQLSSILDVNSFVEGAISGKVLGENSRYYGVGLWLLASFINHSCEPNVRRLHIGDHLLIHASRDIKAGEELTFAYFDVFQPLRNRKDMVEIWGFSCSCRRCKFEEGLCHKEEMGEVAMVLGKGLDMGAFVYKLEEGMKRWLVRGKGKGYLRASFWEAYAEAFGSERSVRRWGRRIPPIETLVDSVVDAVGSDERVVKLLMKGLKRSGKVGGGVMEMERAMKLGRGIYGKVMKRQALRTLLQPGTHDS >CDP13222 pep chromosome:AUK_PRJEB4211_v1:11:32110072:32113864:1 gene:GSCOC_T00038091001 transcript:CDP13222 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSVKDQFKKPKLFGNGFSDSSGPSITIFAAPRPFEGSFGERQGLAIRSWLGLSPDIDVVLFSQDPSAVSFATAFGSRVSVEPNIDFTFLGTPFFHSMVAKAQASNSDVSVLIDPELILFPDFISTLRFAHKLDHDWLLIASSQNLSYSPVRWGADWKKSVADDGKKLTSQTGFLAQKLQRELCERRMLMAWNNCNLPLHNGVLPPFLYRKGIHHHWLLTEALSSDYRLIIDATWTFSNTYVNDINHVYYELMEASNHSDIEKRNWEFMGNIHVGKLYGSFSFHEANYSNLFRISKCGGNYLLINAEQDVAFSLGDPVSFSLRNQGISGPLTEKKILNCLNVMKSRQGVENCSQKDQLQSSDIISLPFSLEQLLSMRADQNKTIVLAVAGYSYKDMLMSWVCRLRHLQISNFLVCAIDHEIYEFAVLQGIPVLEYADIPANVSFDDCHFGTECFQKVTKVKSRMVLQILKLGYNVLLSDVDVYWFKNPLPLLSSFGRATLVAQSDEYNITGPINLPRRLNSGFYYVQSDDTTIAALQKVVQHASTSNLSEQPSFYDTLCGEGGFHRLGDDRCLEPETNMTVHFLDRDLFPNGAYQNLWEERNVSETCMKRGCFVIHNNWISGRRKKLERQVLSGLWEYDIVANISLELSIQFKDTTVRIVHAGGREERYQHPIPASQLMEEHPGMCVARPDIFKRPHESVLSADDFLLPGQKYYMVPCTTVRKLKRRHLQKGNAKKLKGLEQPLLLIKDVADVGAECSEESVCSAKDFYVSNDRRSSCLLRRTFKEKKSFVPPIQKPKPWKELEWEPSLTSIEEISP >CDP05486 pep chromosome:AUK_PRJEB4211_v1:11:21459423:21468016:-1 gene:GSCOC_T00020555001 transcript:CDP05486 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETEEHPRLILHNFLSPDLCKELEFIHNSNCTVGYRPNVFSTTLSHLIATNCAHLIMPFVPIREKLRETVEEYFGCHYELVIEFTGLISWTKGANIGWHSDDNRLYLKQRDFAAVCYLNSYNGDFRGGLFHFQDGEPSTIEPMAGDAVMYTADNRNIHSVDEIIEGERTTLTLWFSRDASHDEDAKLISFLSQNSLNSYLPQPASNNMYWFPPDEALKFQSGFDIRCARLHMLGLALYSPYEKICPSAVNSSYNLLELLMGSLQIARGVELFDREFVNVMHVLQVAQFYSWKWSTLKATEVKEVSADIKPLSQAQQEDINCLKSAVLQDLQRAETFIKDLNCGKKVQDLFDWGSFSAAVVEWEAYSCNLHKEMVTSFPLWRKHGSIFSCPVGDSAETL >CDP00448 pep chromosome:AUK_PRJEB4211_v1:11:29079699:29081688:-1 gene:GSCOC_T00032389001 transcript:CDP00448 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGLVFDLLWICGVAIWLFHLFNEVNKSQKELGSCDCQYLSISTEIWLVLAVITNASGWIIFWSRFLDFPYSWFSALVTINLFRLFFRVKSTMPIILDLGVSLIVQWILSWGNFGWKWAFLLVFTLIAVVFSEGYFGSRVEEYMRLKQENPGARPVKELLYPLSPLLAGACVLDIYVVNGCLLLIAWFYPWNDRFYRFYQDAVGFVLVTESISFLSCYKDLCSRKGGFAVPKGESGKFSKSLFKKS >CDP05581 pep chromosome:AUK_PRJEB4211_v1:11:23232344:23232829:1 gene:GSCOC_T00020705001 transcript:CDP05581 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLKGLNVEEFDEEKESLLTGACTLSLNHYSICPNPELSYGVGPHSDISSITILLQDDVGGLYVRATRAAQWILGDTLQIASNDRYKSIEHCVIPNAAKNRVFVPIFASPKAEGVVGPLPEVLKNGEKPIHKDVPWSDYMKHFYSKGHDGKKTIEFGKI >CDP16861 pep chromosome:AUK_PRJEB4211_v1:11:134581:149445:1 gene:GSCOC_T00019425001 transcript:CDP16861 gene_biotype:protein_coding transcript_biotype:protein_coding MLANALGASESDDAVTIIYFHHSLSYKYRGTLRVQNILSSVHHVMSLLPEHLPLRLLRTSHELRNFLDSTDNTLLLLDFCGWTPGLLARGLSEETNGTLVQEEKQLKVDGIQSPKMSCSADKGLNQFPWLTELTLGSDGNLSEADKITHTDGFSCTVDEFQLLKSFLPKLMKFARDFFLPPERLGFGLVSEKSLLSELDIEASAAPWLMKLYSAGCPTCSKVLTEAGILTDDMYDVPTLPANRASILLFIDRSSDSLSIRKDSKEALDAFRQLAMHHNISKHMPGRSTIKLIKSSDETSRGHGTSVVPKLERFPAFQKLNVKDKMSVVLMNDQTQISLEKFISDLQGSSLHEILENLLQKKKELKLSSLAKDAGFQLLSEDFEIKDAEPLPVQPEFQPNLEFSQKDDIEDTLGLDRDPKLQNHVSFKPVTDEESRLTDATSSYLGHQNDPIGKNEESSAEYARIHTTKGAEEERLAGVHEQTRHKGFSGSFFFCDGHSRLLGALTASGLKIPSVVIIDPIMQKHFILPETATLSYSSLSDFLDGFLNQSLSPYRQSETVLQSPREAPVPPFVNLDFHETESIPRVTAHTFSELVLGNQSDSVNDGNPRDKDALVLLSNSWCGFCQRMEMIVREVFRAIQGYDRSSSKNSLLTKDNIRSSTKVPLIYLMDCTLNDCSWILKSVVQREIYPSLLLFPAGRKDVISYEGDVAVYDVIRFLSDHGALVNEKGSVAQSFLKFWNSTDTAAVL >CDP00477 pep chromosome:AUK_PRJEB4211_v1:11:28841528:28845298:1 gene:GSCOC_T00032428001 transcript:CDP00477 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSSFTLHKISRTLLLPTMAPKPQRFHQFADSSTLGGGVKRARTISVMSSTAGADSESSSSSSSQMKNAFSNYAQYLNDLNEKRERVVKASRDITMNSKKVIFQVHRISKHNKKEVLEKAEKDLAAVKDQHVSRLVQELQGTDFWKLRRAYSPGVQEYIEAATLCNFCKTGTLLILDEINASLLPLSDPSHEPLQINILDYLLGLADLTGELMRLAIGRISDGELEYAEKICKFVREIYRKLTILAPEMDESSDMKMKMDTMLQSVMKIENACFSVHVRGSEYIPLVGSADSSYSLIGLPDFEQ >CDP00789 pep chromosome:AUK_PRJEB4211_v1:11:25834653:25835284:1 gene:GSCOC_T00032873001 transcript:CDP00789 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHPSIFIQTVAFAKRAFQDPELRMAHNVHKMSSLLGGALFIADDVFPETPFLHAGWHLAAAVGVGTCNKLLEQLQN >CDP11045 pep chromosome:AUK_PRJEB4211_v1:11:6282140:6285506:1 gene:GSCOC_T00033035001 transcript:CDP11045 gene_biotype:protein_coding transcript_biotype:protein_coding MADAILSFAVENIGKLAIEEGKFLQGVSEQVSLLRDDLKCIQMFLRYADKKQTAMDTIQQWLPEFRAVAYEASDLVEDYALRVSISSNRGFTRALKRTACIAREGYALHDLGLEIQSLRTRISNLTKNFGQFGHVMTRTEEAESRAPSRQQQLRHAYSFVADDDVVELPNDVQVLVKYLLNEVAEHKISVASIFGMGGIGKTTLARKIYHHERLKHYFEGFAWVCVSQQWQPNDLLQGILLKLIPEQRNVIVTSKEDEQRRLLQQHLRARRCLIVLDDLWSTEAWDCLKGAIPGSEHGTKILLTTRKRDVAAHVGPNGYHHPLSGLTKEESWDLLQKKSLWASNGAGCEDLDKMEELGKKMLNNCGGLPLAVVVLGEILRTKKTLKEWNEVHENIKSYLTRGEQIGKEGEVPKILAYSYYDLPWQLKPCFLYLGKFREDSDIGVESLYQMWIGEGMIFENDRRGQEPMMDVAERYLEELANRCMVEVKVHEEGKRAVTRLESCRLHDLMRDMCLAKAKEENLYKVVDHSTSLDSPLAIEAQYGLVLRLLPKDISKYNFPPKEHSTHLRSLLCDPLKHFIPGVRIMSQVNNLKMLRVLAILSFDMASGSCFLKSPLGYIGNLIHLRCLRLKCQYINLPYSLSNLKCLETLDLSGSSRSCRIPNVLWKLERLRYLYLPDWRWWDAHPKLWLSKQLEILESFDNRFCYPKEVCKLSNLRAFKAIVYKNLEDLEHIINHISNLDCMRISSLRIVDFDFGNNRGLDVLSMVLFSRNIHELEIGDSLCKKLPDYQSHMFPDPAGLTRLELYYTYIEEDPIGTLEKLPNLRILELGSYSFLGREMICRSMGFPQLKHLGLRGLCNLLQWTVEDGAMPKLSSLWIGECEELEMIPDGLRYVTTLNEVSLVQMPEEFNNRVTREKGQQGEDYEKISHVPLVNISRYYFSPFYFFLLQFFSF >CDP05402 pep chromosome:AUK_PRJEB4211_v1:11:19847381:19850701:1 gene:GSCOC_T00020436001 transcript:CDP05402 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLLVYLSFLFNLLFIITSSDTPPYSPIDYILINCGLSSNATSADGRNWYGDAGSKFTPIDMANISLAVTATEQGLSVPYLTARIIRSNFSYTFPVSPGKKFVRLYFNPASYSSDFNATESFFAVMANNYTLLSNFSAFLTVSAGGFSPAYVVKEFIVNVQAMNQFLNVTFLPSTQSYAFINGIEVVSTPDGLYMGNHDTSSNPLKYVNNLNNPFEFDQNDTAFEALYRLNVGGQAVSALDDSGMFRAWASDDNFTWGGDQGNPLSINQIAVKYTPQTPNYTAPAIVYATARAMGNFSTRFNLSWMFSVDSGFDYLLRLHFCEINPDLITQKNQRVFRIFISNKTAEEEADIINWTGGPEIPVFREYVVFVPHPPDDRPSKQDLFLALHPNLDVKPTYADAILNGLELFKLNNSDGSLAGTNPDPPADPNSRMPNSKPPKKGRGVKSRVLFPIVGGVVGGAALVLIIGFLISRRLRRRVKDFDQKSASKSSGVQLSTTSRSSKATSASRSSSLPLDLCRRFSLEEIIFATANFDAKFVIGTGGFGNVYKGYIDNSLITVAIKRLNPSSSQGVREFRTEIEMLSNLRHLHLVSLIGYCDEKGEMILVYDYMANGTLRDHLYKTDNPPLPWKQRLQICLGAARGLHYLHTGAKHSIIHRDVKSTNILLDEKWVAKVSDFGLSKLGPSGGIHSHVSTQVKGSFGYVDPEYYKRQQLTEKSDVYSFGVVLLEVLCGRGAIIPNLPKEQVNLAEWGKRYYAKGIIHQTVDPHMKGEIAPECLRSFAETAINCLKDQGIERPGMNDVVWSLEFALQLQEAAENESGRRPFAFRMHGLGRDEQATTEDDEDVFSDSGVEKDSAALMKSRSITTGTFTASSDMLKSDNVFSEIMNPTGR >CDP00631 pep chromosome:AUK_PRJEB4211_v1:11:27409317:27410581:-1 gene:GSCOC_T00032636001 transcript:CDP00631 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGSGKSKLSVDLGTRFFPNSEIVNSDKIQVYRGLDIATNKISMHDRRGVPHHFLGEFDPETEFTPSDFRELASKTIAQITSRRNLPLIVGGSNSFIYSLLAKRFNSETDVFDESSAINSVSSELRYSCCFLWVDVSLPILNEYLDKRVDEMLDSGMYEELEEYFAREGFAESESASRTGLGKAIGVPEFERYFKRVGLGGGADGSEVEKRLSYEEAVKAVKENTCTLAKRQLEKIQRLKDAGWDLHKIDATEAFRAAMGMTSDSGKRASDIWEKMVVEPSAKIVKRFLME >CDP00757 pep chromosome:AUK_PRJEB4211_v1:11:26183926:26187917:1 gene:GSCOC_T00032828001 transcript:CDP00757 gene_biotype:protein_coding transcript_biotype:protein_coding description:QPT [Source:Projected from Arabidopsis thaliana (AT2G01350) UniProtKB/TrEMBL;Acc:A0A178VX18] MLKAFPFPSLVYPPSVSAPRYVVKMSAIATKNTGIAAASLGVKPPAHPTYDLKGVIQLALSEDAGDLGDVTCKATIPVDMEVEAHFLAKEDGIIAGIALAEMVFNEVDPTLKVDWSRKDGDKIHKGLQFGKVHGKAHSIVVAERVVLNFMQRMSGIATLTKAMADAAHPAYILETRKTAPGLRLVDKWAVLIGGGQNHRMGLFDMVMIKDNHISIAGGVTNALKSVDQYLASNNIQMGVEVETRTLEEVNEALDYASQSKTSLTRIMLDNMVVPLPHGDIDVTMLKEAVELISGRFETEASGNVTLETVHKIGQTGVMYISSGALTHSVKALDISLKIDTELALEVSRRTKRG >CDP13250 pep chromosome:AUK_PRJEB4211_v1:11:32301299:32306240:-1 gene:GSCOC_T00038130001 transcript:CDP13250 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMSDYPHSHMDRRPNKRPRLAWDPSHTPKAQSGIYYGQEVGNVSSFGHSRVPQDHGNLYVKGVAQRGSPPWRDDDKDGHYMFELGENLTTRYKILRKIGEGTFGQVLECWDREAREMVAIKIVRSIKKYREAAMIEIDVLQLLGRYDRSGGRCVQLRNWFDYRNHVCLVFEKLGPSLFDFLRKNHYRSFPVDLVREIGRQLLECVAFMHDMRLIHTDLKPENILFVSPEYIKVPDYKYLQVAPWSSRDGSVYKRLPKSSAIKVIDFGSTAYERQDHNYIVSTRHYRAPEVILGLGWSYPCDIWSAGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQNMLKRVDRHAEKFVRRGRLDWPEGATSRESIKAVMKLPRLQNLVMQHVDHSAGDLIDLLQGLLKYEPSQRMTAHEALRHPFFTRDQFRRS >CDP00614 pep chromosome:AUK_PRJEB4211_v1:11:27598416:27601478:1 gene:GSCOC_T00032609001 transcript:CDP00614 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYCVTGGTGFIAAYLIKSLLEKGYTVRTTVRDPENVEKVAYLWELNGAKERLKVLKADLLVEGSFDEGIEGVDGVFHTASPVLVPYDENVKANLIDPCIKGTLNVLTSCKKARSCRRVVLTSSCSAIRYRDDAQQISPLNESHWSDTEYCKRYNLWYAYAKTIAEKEAWKFAEESGIDLVVVSPSFVVGPLLAPQPTSTLQMILAIVKGLIKEHPNTTVGFVHIDDVVAAHILAMEESKASGRLVCSSSVAHWSEIIEMLRNNVLFSMFRCSNTKGDDNPHSMDSNKIIQLGLPPLKSLDQMFEDCIRSFQEKGFL >CDP13279 pep chromosome:AUK_PRJEB4211_v1:11:32515870:32518774:-1 gene:GSCOC_T00038166001 transcript:CDP13279 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMYYQLGRSSYQDSLKVLEADIQHANALAAEIPRAKGGARLQMKLVYNHLAPLFLFLLQWMDCSCTCLLPRYLNLFHVLIYKVYTDGRPRISRHGRKATVNDFYAIILPSLQRLHGDLVEVDDATERNCALKNIGKKRLEGGTGFTNFDLEREDECGICLEPCTKMVLPNCCHAMCINCYRDWNTRSESCPFCRGSIKRVKSRDLWVLTCSDDVVDADTVSKEDLLRFYLYINSLPKDSPDALFLMYYEYLI >CDP00319 pep chromosome:AUK_PRJEB4211_v1:11:30056329:30057567:1 gene:GSCOC_T00032218001 transcript:CDP00319 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDADNAHLVVNAIRRSNFPLQLLEKRDLHQPSNCSTSIYPTLAIGSNSTTSTTPVDAAETSGADRPEAAANKKTQPKRTSTKDRHTKVEGRGRRIRMPATCAARVFQLTKELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSISAPLIRNSYLNQNLGISQLRAMEESHLQQQRRIFYPGVSLSSAENNNSSMSLNFPSESMNVNDMLHAKQEVRDQAACLDVSDRRIKRRPDEEDLTCLQNHIGNYMVQSSSGSIAASHQGQVQAAAFLMVTNNPSNTSTSQLMRGPDSLWTFPSSMTGTNHNLLHRGGGASMSSNGLHFMNFPTSMALLPGVHGANNGGGSSGVFEGQSGMIAALNSLRPAVPGGGASEANLHLGGEEDWHDATTSTTTHHS >CDP00326 pep chromosome:AUK_PRJEB4211_v1:11:30021798:30023291:1 gene:GSCOC_T00032226001 transcript:CDP00326 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLDPASETNIPRTVPFRNSSNLRDASFSSYLNGSEETSVHQLADSSKSCEPLISTPLDHLHLVSKKAEDTEIDIFSADKYFNEGVEEETPRIADKYSPKLDQKMDPPPELDPLKQQTGSGTPSIRSESSWNSQNALLQNIPRNQLPRKTNKANRRSFLANIACNCSCSDKNSVDIDIPLGENNCNMSANGKQAYGKAGKPTKAVEMQRKKEEDDTRRISLEVFGSPTERKGKKSSSLERSLNMLTWDAIVPKVDESEVQASSRGMYNDTDSDASSDLFEIESFSTNPSQYLTRQASNNSMTRTTCYAPSEASIEWSVATASAADFSVMSDTEELRSTTTKANPRGNGMALNGRSTPVREMPKRRSGILSGCYSQKAVRVAGDVYRTGENTCPGRHQKTEFLMPMTRFHAENEVARFDKRNDHFGQDTRLFTCSQSRRPTELLYKH >CDP13356 pep chromosome:AUK_PRJEB4211_v1:11:33107418:33108235:1 gene:GSCOC_T00038263001 transcript:CDP13356 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPIFVAVVLFVLLSPGLLFQIPGHHRCVEFGNLQTSGAAIMVHSLLYFALISVFLLAIKLHLYLG >CDP11046 pep chromosome:AUK_PRJEB4211_v1:11:6250668:6256853:1 gene:GSCOC_T00033036001 transcript:CDP11046 gene_biotype:protein_coding transcript_biotype:protein_coding MAESILSSILSSAVENIGKLLIEEGKFLQGVSDQVTLLRDDLDWIQMFLRYADTRQTARDTIQQCVPKFRAVAYEASDLVEDYALRVSISRNGGFTSTLKRIACIATECYARHNLGVEIQSLRTRISNLTKNFGEYRHVMTRTEEGESSAPSRQQQLRRTYSFVPDKDVVELPNDVQVLVKYLLNEVAEHKISVASIFGMGGIGKTTLARKLARLLQRHLQDNKCLIVLDDLWSTEAWDCLKDAIPVSEHGSKILLTTRNRDVAEHVDPIGYHHKLRCLTDEESLELLRLKSLRERSGEGCEDLDKMEEGLRKKMLIGKEMLKYCGGLPLAVVVLGGILRTKTTLREWNEVHENIKSYLDKGEKIGREGEVPKVLAYSYYDLPWQLKPCFLYLGKFREDSDIRAESLYQMWIGEGMIFENDRREQETMMDVAERYLEELAIRCMVEIKAYEEGKHAVTKLESCRLHDLMRDLCLAKAKEENLYKLVDRTPSRDSPPATEAQYGLVVYLSEEDSSQYNFPPKEQTKHLRSFFDLFCRIPNVLWKLEHLLYLYLPDWERWDARPAQPKLRLSKQLEILESFNNRFCYPKDVCKLSNLRSFKAYVYKNLEDLEHIINHISNLDCLRISSLQIYDFDFGGNRGLDVLSRVLFSRNIHELEIRGLDSLCKKLPDYQFGMFTDPAGLTDLMLKDTKIEEDPMATLEKLPNLRTLELGPKSFLGQEMICHSAGFPQLKHLVLDSLGNLKQWKVEEGAMPKLSGLRIECCTKLAMIPDGLRCLTTLEEVTLVDMPEEFNNRVRIENGQQGEDYDKISHVPKLEIRSFAGIRLGYLVETVRPRNPV >CDP11605 pep chromosome:AUK_PRJEB4211_v1:11:15061342:15065521:-1 gene:GSCOC_T00033965001 transcript:CDP11605 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHEKIPGISKELQKIVYANMRKVGARRRAREAFKDIQLSIDHILFKIPSDGLKMKESYEVNSRGLEYFSKSWVPQTSPKAVVCLLHGYGDTCTFFFEGIKLASSGYAVFAMDYPGFGLSEGLHGYIRSFNRLVDDVIEHYSKVKENPDFRGLPSFLFGESMGGAVALKMHLKQPNSWNGAVLVAPMCKIADDMVPSCFLRQVLIGAAKLLPKRKLVPVKDLAKFAFRDVKKRNLAVYHVLAYKHKPRLRTALELLNTTQEIEQQMEKVSLPLLILHGKDDVITDPSVSKALYEEASSADKKLILYDDAYHSLLGGEPDEVILKVFGDIISWLDAHSS >CDP16323 pep chromosome:AUK_PRJEB4211_v1:11:23599313:23602840:-1 gene:GSCOC_T00018120001 transcript:CDP16323 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSIEAFVQSSSFTFLLIFSLLIHPSTSSTTNFVYGGCTQLKFTPGTPYESNVDSVLTSVVNSAATANFNNFKISLPGSTQSDVVYGLFQCRGDLSNDDCHDCIVHAVSQLGGICADTSGGALQLDGCFVKYDNISFLGVEDKTVVMKKCGPSTGYNSDSLTRRDAVLGYLTAGGQYFRVGGSGNVQGIVQCVQDLSMGQCQDCVSEAIGRLKNECGTSPWGDMFLAKCYARYSESGYHSGSDNSNDMEKTLAIIVGLIAGVALIIVLLSALNKLFEKKGGK >CDP00850 pep chromosome:AUK_PRJEB4211_v1:11:24791503:24808437:-1 gene:GSCOC_T00032958001 transcript:CDP00850 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTYFLFAGALVLLHFIATSSATIIVANSQNNSASDLNALLAFKATIFDPQRIIPTNWSTSSSVCNWIGITCNARHHRVAAINLSYMGIVGTIPPELGNLSFLVWLNVRNNSFHGHLPTELSRLHRLKYINLASNDFEGEFPSWLGCLSALWYINFEYNRFSGSLSGRLSNFTKLETIRLDYNFFTGNLSEEFSALPKLTVLDIQFNQLAGPLPQALFNLSSLQIIGFTNNSLSGYLPAHICDYLPQLKGLYLSLNNFEGEIPSGIGECSGLQVLSLSYNKFRGYIPREIWNLTTLTRLVLGGNDLTGILPQEVGNLSKLEVVQLTSNRLRGPITLKLFNISTLQFISLSENSFSGELPSTLGVFLPNLEELYLGENTFTGAILTSISNASRLRVLDIGGNHFTGAIPHSLGNLRLLEVLSVTNNDFFGDLRSNGLSFITSLANCKNLKSLRITGNPLNGFLPKSTGNLSSSLESFHAGRCGIKSEIPSSIGNLSNLVELYFENNSLTGLIPATMKWFLKLQRIDLSDNQILGAIPSEFCNLLNLGELGLGQNKLSGMVPSCLGNVTTLRYVYLNSNNLSSVIPTSFWSLRDILELDMSGNYLTGSLPAEIGNFKALVTLNLSNNQYLGGIPNTIGALQDLQELSLEHNKLQGSIPDSMKNMLQLRHLDLSFNHLEGVIPNSLQVLLDLQYFNVSYNRLRGPIPHGGPFANFTNLSFLSNEALCGAPWLQPCASIFEHESRTKRIVMVVLLTSGSVILALVISIFLIRLKLRKKILAPTQNLLPMATFERVSFHELRQITNGFSESNLLGSGSFGSVYKGIRENGMVWAIKVFDLQPEGAFKSFDRECEILSCLRHRNLTRVITACSSPDFKALVLEYMPNGSLEKWLHSNPHFLNIKQRLGIMIDVASGLEYLHYGYSMPIVHCDLKPSNILLDEDMVGHICDFGIAKLLGDGESVMQTKTLATFGYIAPEYGLEGLISTSSDVYSFGIILMETFTKRKPKDEMFTKELNLRRWTQECSPDSVTQVIDGDLLHPEDKTVQRKIECISSILQLSLSCTTDAPEERINMKEVQGALRKIKLHSASISIANNHNNSASDLNALLAFKAAIFDPQRIIPTNWSTSTSVCNWIGITCNARHHRVAAIDLSYMGIAGTIPPELGNLSFLVRLNVMNNSFHGHLPTELSRLRQLKYISLEGNAFEGELPSWLGGLTALRYLSFRDNRFSGSLSGRLSNFTKLETIELAYNFLTGNIPEEFSALPKLKLLDIQSNQLVGPLPWALFNLSSLRIISFTGNSFSGYLPAHVCDYLPQLQGLYLSRNYFEGEIPSGIGECSRLQVLSLSYNKFRGYIPKEFWNLTTLTQIALGGNDLTAGEIPKGIDNLYNLEILGMERANVTGIIPQEVGNLSKLEVLNLGLNRLRGPIPLKLFNSSTVRVIALTENDLSGELPSTIGAFLPNLEELYLGRNEFTGTILKSISNASRLKMLELEMNHFTGAIPHSLGNLRLLEQFAIWQNDFSEDSLSKELSFIISLSNCKHLTELWIDDNPLNGFLPKSIGNLSSSLESIIASNCGIISEIPSSIGNLSNLVMLSFATNSLTGVIPTTIKWLSKLQMIDLSDNQIQGAIPSELCYLLNLGGLYLAKNKLSGVVPSCLGNVTTLRYVDLNSNSLSSMIPTSFWSLIYILELDMSGNYLTGPLPAEIGTFKAIVFLNLSNNQYLGGIPSTIGALQGLQELSLEHNKLQGLIPNSMKNMLQLQHLDLSFNHLEGEIPNSLQVLSDLQYFNVSYNRLRGPIPHGGPFANFTNLSFLSNEALCGTPWLQPCTSTFEHESRTKRIVMIVLLTSGSVILALVILIFLMRLKLRKKTLAATQNLLPMATFERVSFHELRQITDEFSESNLLGSGSFGSVYKGIHENGMVWAIKVFDLQLEGAFKSFDRECEVLSCLRHRNLTRVITACSSLDFKALVLEYMPNGSLEKWLHVNHHVLSIMQRLDITIDVASGLEYLHYGYSTPIVHCDLKPSNILLDQDMVGHVCDFGIAKLLGDGESMVQTKTLATFGYIAPEYGLEGLVSTSCDVYSFGITLMETFTKRKPKDEMFTEELSLRRWVQDCLPDSVIQVIDRDLLHPENELVQKKINCISSVLQLGLSCTTDAPQERINMKEVLRALQKIKLQFIKDITP >CDP13363 pep chromosome:AUK_PRJEB4211_v1:11:33182939:33183981:1 gene:GSCOC_T00038271001 transcript:CDP13363 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLCYGSSEELKETAYIKYGATKMANTASCRKSIARRAIIYLKSFSVNTKVHKYFGFHSNSVIPLVTLSIRGGKK >CDP11038 pep chromosome:AUK_PRJEB4211_v1:11:6535478:6536451:1 gene:GSCOC_T00033022001 transcript:CDP11038 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTYFLFVGALVLHFTATSSASIIAANSQNNTVDLNALLAFKAAIFDPQRIIPTNWSTSTSVCNWIGITCNARHHRVAAIDLSYMGIAGTIPPQLGNLSFLVRLNVTKNSFHGHLPTELSRVRQLKYISLEGNAFEGELPSWLGECSKLQVLSLSDNKFSGHIPKGIWNLTTLTQIYLDWTDLTGKLPTWLYFEQILVYVSSHQLIII >CDP00272 pep chromosome:AUK_PRJEB4211_v1:11:30439268:30443818:1 gene:GSCOC_T00032159001 transcript:CDP00272 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVASSSSDATDGPVLSLINKRLRALRKKHNRILQMEESLSLGKALNKEQEETLRSKPYVIAAIDELEKLKQPLAVAVSEEINAAIQSHSENSPTTTDSTTDKAESGEHGVAEDLLNLLYFGSMFDVKNQNDFTATMLTRTHERGCCLTYDYVTDDDAADLLLGERDLDLISMLGGLLISRPVNSSLSHKNALQKCIEHAKLWLAKSDQLVEPASNVTYSGLREKLNKIMASDYFTTTPEMKAPVEVAAAAGSYGSFQVPAHGSVMPVQVEGSVVQYQQKVRDVVMLDWVDTLKFVVFSFLACMDCVIECCEL >CDP00469 pep chromosome:AUK_PRJEB4211_v1:11:28902584:28904403:1 gene:GSCOC_T00032418001 transcript:CDP00469 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMSHDIFPRILPDIYSWVNIYGRATYLNWYGPQPQLVVTEPELVKEILNNKNGDYPKIDLEGYAKKLLGDGLSASKGEKWARMRKLANQVFHAGSLKDMLPAMITSTEIMLERWKENEGKEVEVFEEFRLLTSEVISKTAFGSSYLEGKNIFEMLMKLTLIVSRNARNIRFPGISHLFKSSDEIESEKLEQGMKNCIEHIIKKRELENCKENESSKGDFLEKLLEANEGSSKWISVDDMVDECKTLYFAGQETTTSLLTWSILLLAVHKEWQETAREEVIEIFGQMKPNADAIPRLKTMNMILEECLRLYPPVPALMRKVHKKVKLGRLTLPAHTELLISPLALHHDPKIWGEDVHHFKPERFAGGVSKATNNNPVAFLPFGFGPRTCVGLNFAMVEAKIALSMILQHYKFSLSRNYVHSPVQVFMVRPHHGVKIILSRV >CDP00318 pep chromosome:AUK_PRJEB4211_v1:11:30062023:30065282:1 gene:GSCOC_T00032217001 transcript:CDP00318 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein c [Source:Projected from Arabidopsis thaliana (AT1G69700) UniProtKB/Swiss-Prot;Acc:Q9S784] MGNSGNNLLAVMAKNIDVIAMPVISLVYPLYASIRAIETKSRADDRQWLTYWVLYSLITLFELTFFKVLEWLPIWPYAKLIGICWLVLPQFNGAAYVYEHFIRPFYRNPQVKIWYVPRKKDVFSKPDDVLTAAEKYIEENGPEAFERMIARADREARARRSNYMIFENDRGYY >CDP00841 pep chromosome:AUK_PRJEB4211_v1:11:25092736:25095430:-1 gene:GSCOC_T00032946001 transcript:CDP00841 gene_biotype:protein_coding transcript_biotype:protein_coding MDERDPDEPRRCRNCYQTDHNMRNCPNYSIEITSLNVQRISFILLSLNPLSIDLLTYLLKECSDGVLYTHTFHLPVGEATVTLLDVEVLWGLRIDGPPVIGVDTYRSIPEWGAICEELIGFSPAIGYFDGQRLKLGCLARALDTELPPNASDAECRQHARIYLLLILGGHLLSDKSGNKVPLLYLPLLRDLKTVGQHSWGSACLVTLYRSLCDATNPVKSAIADPLVLLQLTGLHPEEPYSEDVLASLPAYCTTGRDIWRSVTYPICWDVVEPHLPHRVMRQFGFHQSLPDMRLTDNQAALHSLDRRGMANQDWNTTHRQYIDIWTDRRVHVQDDIVIEDTTYPSNEYVQWYREQTVIYISNSSRFPAFPEGFQGDSARAQYLVSLFPTHF >CDP00798 pep chromosome:AUK_PRJEB4211_v1:11:25780949:25782219:-1 gene:GSCOC_T00032886001 transcript:CDP00798 gene_biotype:protein_coding transcript_biotype:protein_coding MANNLVGKLEAEFELKSDADEFFHSFGAKAHQLPDLVNEKVNRIEVHEGDWKTDGSIKLWTYVIDGKVEVFKDRLKIDEENKTITSEAIDGDCMKHYKNYIITLQVISRGVSSFAKYTIDYEKLNENMPSPTKYLDWLLHMGKDVDASLIKA >CDP16247 pep chromosome:AUK_PRJEB4211_v1:11:24662348:24662945:-1 gene:GSCOC_T00018009001 transcript:CDP16247 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNSAAQMVFDITAEHNPSLEGHVFSNPVDASGYMVMLWYKNGSLTREDIRNRCAEKSWEVFNKLLQQTPPRK >CDP00367 pep chromosome:AUK_PRJEB4211_v1:11:29641887:29647147:-1 gene:GSCOC_T00032286001 transcript:CDP00367 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRRQKARIASINNCHGFKPHLLPLCSLNQTKEKQAEIAMSNLFSLNPSSSFPSLSPLTPPKKFSFWKAKPTFQLKKPALVTSVLSTKTDPQIPQSVQTFWQWLCDEGVVSSKTPVKPGVVPEGLGLVATRDIAKNEVVLEVPKRFWINPDAAAASEIGSVCSGLKPWIAVALFLLTEKSREDSKWKFYFEILPQSTDSTIFWSDEELAELQGTQLLSTTLGVKEYVQNEFLKVEAEVILPNQKLFPSPITLDEFFWAFGILRSRAFSRLRNQNLVVIPFADLINHSDIVTTEDHAHEVRGPAGLFSWDYLFSLRSPLHLKAGEQVFIQYDLNKSNADMALDYGFVESGSNRDAFTLTLEISESDEFFGDKLDIAETNGLGETAYFDVKLDRPLPPAMLPYLRLVALGGTDAFLLESIFRNAVWGHLELPVSHANEELICQVVQKACKSALSGYPTTIEQDEKLIEKGNLCTRHEMAVKIRAGEKKVLQHIDKIFAERESELDGLEYYQERRLKDLGLVGEQGEIIFWE >CDP00175 pep chromosome:AUK_PRJEB4211_v1:11:31186207:31190042:1 gene:GSCOC_T00032039001 transcript:CDP00175 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGLISLLLIIAFEHKSSSFVRINAGLVLFALALLAVPLMDVWYVKGKVGVYGGYYVTVCLVGLCGIADALVQGSIIGSAGELPERYMQAVVAGTAASGVLVSLLRILTKAVYPQDSHGLRSSANLYFIVSIAVMVVCIILYNVAHKLPVIKYYNELKTQAVNDEEEEKGDLTRDLWRSTLWDIVGTIKWYGFGICIIYVVTLCIFPGYITEDVHSVLLKDWYPIILITGYNVFDLVGKSLTSLYVLENAKVAIGASFARLLFLPLFYGCMHGPKFFRSEFPVTLLTCLLGLTNGYLTSVLMILTPRTVVLQHAETAGIVLVLFLVAGLAIGSVVSWFWVI >CDP04861 pep chromosome:AUK_PRJEB4211_v1:11:4126753:4127637:1 gene:GSCOC_T00019631001 transcript:CDP04861 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFQYQDWLKINLRCKILVIVVTSQVYSWGFFKDCSDRFVNLFPISVDALLKLDEDRIITGSENRLIR >CDP00560 pep chromosome:AUK_PRJEB4211_v1:11:28131238:28133830:1 gene:GSCOC_T00032539001 transcript:CDP00560 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSQEFMVGKCQGEKLVHGETMPLVLLPREASKNGFESLVEGLKKNKEWFEQLIIKNSAVLLRGFDVKNAEEFNEVVELFGWEDMRYVGPAPRTHVYKRIWTANEGPLSEFIYYHHEMVLIKESPSKVILFCETPPPEGGQTPFVPSFRVTERMLEEFPEMVEEIEKKGLKYTFTALSKNDTSSMRGRGWEDAFGTSDRAEAEKRTKLLGMDVEWLPNGGVKTLLGPRPLTRVFEGRKERRMWFNTMVGMYGKEHSSAMMADGTEIPENVVKRCEEIIEEESIQFKWEKGDILFLDNMATLHGRRPSLSPRRVLVTICK >CDP00637 pep chromosome:AUK_PRJEB4211_v1:11:27366021:27368551:1 gene:GSCOC_T00032645001 transcript:CDP00637 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIDESDCSIYALEWTLSSLHESIANSELLIFTAQPIADYSYLHASSMGATTPELVKSFQEQQKRAADALLEKAKDVCAKHGVTAQTATEVGDPKDAICEAVEKFKVSMLVLGSHGRGAIKRAFLGSVSNYCIHNAKTPVLVVRKKD >CDP13305 pep chromosome:AUK_PRJEB4211_v1:11:32663687:32668797:1 gene:GSCOC_T00038196001 transcript:CDP13305 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha subunit B [Source:Projected from Arabidopsis thaliana (AT1G67630) UniProtKB/TrEMBL;Acc:F4HTP2] MEEEIRAEFKKVGFTFDDEEEILKKCLTFCIEYKLTPSDLVSSWDVFSINRGLELTVQSAHMSAFLQQLQNEQRDAIIKKDPGLHFYSNDVAMLMNDEYEDTKEDVLHSPQDQPQTLQREQSDSAQKTNGSIFGSRKLLESVTPFGQRKNKFVVQFSLNEQSATEAICVKQEHDDENSEDDIIKRVQPSKRCSIVINASRPEPGCRFMYDRIERKFSFLENRIKRHASNIVETGLFEEPTDPTVASQKSLFAVGMICCEEEGRLKEKPILLQSSVEHSGGQRVRLDLQKLEQFSIFPGQVVGVEGHNPSGHCLVASKIIDYVPLSVSSSENLHPPKKQALDQTVQSTDSSCPTPELSLIIAAGPFTTSDNLLFEPLAELLGYARRKQPQLLILLGPFIDADHPEIKKGTIDRTFDDMFCVEILGRLQDYVECMGSTASVVLAPSIRDANHDFVFPQPKFDINSLNLNHQIHSISNPGTFTANEVKLACCTVDILKHLSAEEISRNPQGGIPLDSSLAPEALHISSIPDILILPSDLAHFVRVISLRKISGGEEVKCVCVNPGRLARGEGGGFFVELSYRGTPDSTTASVIRI >CDP05546 pep chromosome:AUK_PRJEB4211_v1:11:22725975:22728460:-1 gene:GSCOC_T00020657001 transcript:CDP05546 gene_biotype:protein_coding transcript_biotype:protein_coding MANNIFEACKRMRRKNPKIPFPFDSFPIMGSFKNLNYGPFRENIRLFLQEFAEKFEDNAVPGWGHHFVSKRKYHLIIPEVDYWKKPLKDNFLDVRTHILYGLIHCNGYGHLICINGVKAPSNFLSETDAMNLWDRICSTLCTRKVSVRDEINLRLLYVVGYGQSWYGKWGYKFSHGTFGVRKGKYESAVEYLRSLNLDKIISDFKNKSRGGRIKQIINKYRGVVDIPLITLSDLLKFMLGFGGRARVQGKTENKVVKSSCQHVLKRRDNEEPTSFDALVTSIANSCRWPARRVEHVLKQIVNLLKQNKGGCDGNYGVSRYEMREELRKCVGDTGLIDFVLKSVNCFAIGNYVVRRSLNSSTRRFEFSIQEVLEEAEPDCQWPEERVEHASEVTANILTENSDEENTMPWRELQDKARECLGETQPIDCVVHSMDNPMIRNQSISRTRKPSTNKFELPIQGLIKDAQNDTMECSVAEDALYLYRSVLFGYRDTHPLSLATKAIMDSKYFVKEWCFKEHNLDPLIALSCLFLPSFDELETELMRPLSPAVVVAVPLSSTIGELKVVAQAAFRDTYCIMDKFVASQIGGLKKIDENKVVCHSIEPSSPVWVRGSGLDLGTTLRYEDGAQRLTVDCCCGAKYDDGERLATCEVCNVSQHTRCSGIAEKEGALSDFLCSDCVAVSRRK >CDP00178 pep chromosome:AUK_PRJEB4211_v1:11:31173044:31174596:-1 gene:GSCOC_T00032042001 transcript:CDP00178 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLHKKFAFFGLLKLWMLMPVSSRGPVYTPPNVERVTDQFRRISVSQGYNVFYGGSNVHLTNNGTSADLILDKSSGSGLVSKNRYYYGFFSAAMKLPAGFTSGIVTAFYLSNQDLFPHNHDEIDFELLGHEKRRQWVLQTNLYGNGSVGTGREEKFYLWFDPTQAFHEYSILWNNHHIVFLVDNVPVREVIHNGAISSAYPSKPMSAYVTIWDGSKWATHGGKYPVNYSYAPFATSLRGIEMEGCIRENSAACSKRSVSSLDPVDGQEFAKLSQLQTTGLEWARGKHMFYSYCQDKSRYKVLPPECTTAK >CDP05487 pep chromosome:AUK_PRJEB4211_v1:11:21475190:21477480:-1 gene:GSCOC_T00020557001 transcript:CDP05487 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHGREMIQFGPPIADAPPEDEASSGTSKGICYNENLYRKMEPIPSLFEDIIERLVALQVVSVKPDSCIVDIFNEGDHSQPHTWPHWFGRPVCVLFLTDCEMTFGKVIGIDHPGDYRGSLRLSLTPGSLMLLDGRSSDFARHAIPSIRKQRILVTLTKSQPKKITSSDAQRFPSSAPVPSHWVPPPGRSPNHIRHPVGPKPFGPVPTNGVLPAPPARPPLPPPNGIQPIFVPAAVAPAIPFPAPVALPPATAAWPAAPPRHPQPRLPVPGTGVFLPPSGSEKDEREGNSKVDNASPKVAVSEKVEQQECNGSTDEIDGKKSIGKEEQHDSVDLKATGGSV >CDP16896 pep chromosome:AUK_PRJEB4211_v1:11:964532:967130:-1 gene:GSCOC_T00019484001 transcript:CDP16896 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPGPYSGTSTLALVGRASAFTFGVVCGNLKLKYLKIMQSNRWCRKVEIEWGNHALSNKVQEAVQAILNFVKREGPKGWDDPCS >CDP05432 pep chromosome:AUK_PRJEB4211_v1:11:20328693:20331200:1 gene:GSCOC_T00020474001 transcript:CDP05432 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPLFDIPEFQLLDVVMGKWESLSIKVAFFFSSLLLLLEACMAHPLNVGRLNLGFQGAQMDWIDSNGLILLSNDTTFAFGFNSPPNVTMSQLVVIHKGSSSIIWSANRGNPIQSSDFFIFDTKGNAYLQSGGITIWSTDTANKGVVAMELRDSGNLVLVGNDSSIIWQSFSHPTDTLVSNQEFTEGMKLVSSPNSDNLSYSLEIKSRDIILSALYQFPQPYWTMGMDARRITNSDGGDVVSATLDGNSWKFYNQDKVLLWHFVFSYNHDENATWVAVLGNEGSITFSLLQGDGSISASSIQIPQDLCSRPAACDPYFVCNIGGKCLCPSALPSCPKGSVSFCDRSQDSVELVDAGDSLSYFALGNCFLFDQIGSLQHSSNGRQYAAYIKVLTNANGGANQGGGGINKTRLVIVIVIVISSVLVILGLLYAGYQYHQTKNKALPESPRESSEEEIFLENLSGMPVRFSYNDLQTATDNFSKKLGQGGFGSVYWGILPDGTKLAVKKLEGIGQGRKEFRAEVSIIGSIHHLHLVRLKGFCAEGNDRLLVYEYMGNGSLDRWLFQKNKREFVLDWETRYSIALGTAKGLAYLHEDCVVKIVHCDIKPENVLLDDHFLAKVSDFGLAKLMTREESNVFTTIRGTRGYLAPEWITNRAISEKSDVYSYGLVLLEIIGGRKAFVPSEFSEKSHFPSYAFKMFKDGKLNDIIDASLKIDEKDERVSTAIKVALWCIQDEMSLRPSMAKVVQMLEGICPVPPPPPSSQLGSRLYAGFFNSMSVEGAGALPGSPKSNNDAYLSAVRLSGPR >CDP11649 pep chromosome:AUK_PRJEB4211_v1:11:16681387:16688695:-1 gene:GSCOC_T00034051001 transcript:CDP11649 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSASAASSFSSSYAPGKLPEALEEVEKLLSKLESQIEKRKFFSLTVLLDQPDSSMLSTLRDKIKKFRVEFGFLKMFSNMVDLLSYGIWFELAHLPKIEAGMDEIARNFLIASKKFQKVSKVILVITNLLEKMELLKPLVEESCDYLGMKHFLEVKQKHPSWDHHFHWKSFLRSVQKNLQTLLEKAADLQQLDPLPLITQIKAFQERLSYLANFFDRVSIAWTRNAYLKSLRIHVGAPTIRAANLSCLCWLRGNKMDQNMAEEMATAFSNLQQNLDPVSSSFLDTMLRFLGTIRRHGDINYPVDMFINQLLGIDREYPFFSEFSDLIKSFIEARDGHNKDVEPILPDMAVVFRQAGSLEVLDSLSIEGGGTADLVHFKLVALLRLLRAEILIIDLLSHEDFTMERTSQLLFQDAPILDKIIRGQVGFLSLNSKSLYHKSADPPEDEMEFWKQVYMLSGEVARGLRLLPLSFKERKLSRYGVRSMLLKWLTSMLVFKAKAYLMDLLNCNNSSLVLLVKDDVGTLESLVASILHMPKKLIFVDLEKVTEDEILILMLTESVARQVLSFYHSFHATQFTEGVMELRDQLSGLIEITKQLISEIDVQLPLIKFPKTNGLGFLDFLLIKLREFLKYESGSIFVEQQKRGFLKHKSGSIGFVKEHITVILSNFGFLRSFLGQQDFEHQELREVGIHVADAAYRVELIIDSIVLGIGSQWKNLSWLYHVSENIGHIKMQQQVMVDRVTRGSSQRNTGFHNSWTSKFDTNEDVVVLDDQQQVIVDRLTRGSSQRDIVSIVGMPGIGKTTLANRVFHSPDVVYYFHVRAWCCVSQVYTKRDLLLGILQHTIELTDSILMMTNEDLEFMLYKNLKGRRYLIFMDDVWNIEAWDDLKSSFPDDVNGSRILMTSRLLDVVSKVTLENDLLDLRPLSEEESWELLKMKVFSKVGCPEELLEVGKEIARNCKGLPLSIVAIAGLLQGEHMKPDSWKQIAESLNSIIVIDPQTRCMDILELSYKHLPDYLKACFLYLGAFQRDKEIPVRRLIWLWMAEGFILKRDSRSLEDLGEGYLRDLIGRSLITVAKRRSNGSVKTCGVHDMVRNLCLLKAKEENFLKLVTNSDEPYASFDDNVDFDDFDTSNSVIYEEHRLCMSMSRQHFVVSRPSGPYVRSLLFFATNDAYPRCPYNVSFISENFELLKVLDLESINMGSSFTDGIDLLVQLSYLAVGGDIDSIPSSLANLRNLETLLVKGLKGKVVLPDSIWHMTRLRHVHVTNHAAFTLKNMTGSYYQLSNLVSLSMPTLSYGEHAEIITRRLPNLRDLSCIFSKSRGFSTDCYQFPRLEDLTRLESLKVVYRGKTTNTGEFNFPSSLKKLSLSNFFFRQDHISAIGRLANLEVLKLLSSSFEDAIWEMGEGEFPELKFLKLDSLNIVEWNASSDHLPNLQHLVLRKCENLKEVPIDFMNIPTLQLIEVQLCGESSLSIIRWGFQIFIFFTWIIGVLYILLTMTSDQRVKVGVVLATVVSLLFGWQLLIVKNTG >CDP00804 pep chromosome:AUK_PRJEB4211_v1:11:25666422:25666568:1 gene:GSCOC_T00032894001 transcript:CDP00804 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIFDNLLMISLCRQRRGVSSSLLTSFCFGFGSCKEGWYYRCLGVDP >CDP07960 pep chromosome:AUK_PRJEB4211_v1:11:11108638:11109024:1 gene:GSCOC_T00025505001 transcript:CDP07960 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLGKKILKNCGGLPLAVVVLGGILKTKKSLREWNAVHENIKSYLDRGEKFRKEGEVSKVLAYSYYDLPWQLKPCFLYLGKFREDSDIGVESLYQMWIGEGMIFDNDRTRQEPMMDVAERYLEELAK >CDP07981 pep chromosome:AUK_PRJEB4211_v1:11:10242510:10242632:1 gene:GSCOC_T00025543001 transcript:CDP07981 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLRKECPNAECGVGTIAASVASPMFTRRLAVIEGTLD >CDP18003 pep chromosome:AUK_PRJEB4211_v1:11:16992092:16994001:1 gene:GSCOC_T00001342001 transcript:CDP18003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNRYVVIKHIIDGAPQESDFELRTGPLTLSIEPGKDEVIVKNLFLSIDPYQLNRMTSQSCFQQATNIAGALNPGEEIDGYGVGRVVASGNPELKEGDLVSGFLSWGEYSIIKEGTSKFKGAKLNKVETMGFPLSYHAGFLGFSGITAFAGLFEVCNPERGNSVCFCCLKICGKFSWADFLLLITSKFIRTSFQPHLSTSRVGSSTFLKTFRVVWKAYHQLLQDFRGDNVGKKMVQVADD >CDP05560 pep chromosome:AUK_PRJEB4211_v1:11:22998927:23003332:-1 gene:GSCOC_T00020678001 transcript:CDP05560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein [Source:Projected from Arabidopsis thaliana (AT1G14750) TAIR;Acc:AT1G14750] MKRKAEPQLQQKQSIFVAVKKQLRSKLPHRRRRFHISPVLHSSSDFATEELSCEVDSSRASVLSINQLPEFRRVYGKEESDRGGYGDVEVSESSCVESCSGAVSGKFVEKKLKRNEEVSEKFAKNQVVSELKSGVNEDSETGNKSEISAVWSFSVRLESEKTVQKYESGEEEFERESGAQSKKQLSPSDFDFTCSEDFENGCVSEQEDYSSSYSELQSEIFPESCSDFDFSDYSPSIWYDDSGSQFSEKSMNDESPSPTFQLFIQFSQQFCRSNFPFETNSDHNDSHDFTVLGLEEEEDEESYRMIRKRERRQVYLHDYAEEYCSTTNYGDLIIQQRLEMVHWIVEQSTIKELQRETMFLGVSLLDRFLSKGYFKTKRNLQIAGIACLTLATRIEENQPLNSVRQKMFYIGSNAYSRSEVVAMEWLVQEVLKFQCYLPSMYNFLWFYLKAARANEKVEKTVKYLAVLALLGHEQLCYWPSTVAAGLVILACVAENQEAYCFGVTETHAQIKDDHLPECLKSLAWLVKYIT >CDP13273 pep chromosome:AUK_PRJEB4211_v1:11:32472023:32473375:1 gene:GSCOC_T00038160001 transcript:CDP13273 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSIIAFVPRYFFLTLLLSIPFLLFLSYRSSTTTKHSERLSSETSSGLKIRPGYKTYESYIQRQLNKTLNPKLREIWRTRDWDRKIQVFTRFFSELKQKKLLSNDSKALSIGARMGQEVEALKRVGVSDSIGMDLVPSPPLVVEGDFHNQPFEDGTFDFEFSNVFDHALYPEKFAGEIERTLKSGGVCVLHVSVNKRADKYSANDLYSVEPLKKLFKRSDLVHVRTVDAFGLDTEVAFRKKKAI >CDP05529 pep chromosome:AUK_PRJEB4211_v1:11:22449607:22454378:1 gene:GSCOC_T00020635001 transcript:CDP05529 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLKKIHTNSAPLNKFSRKIFIYQTPKTSECLNEFSFNTFLQYIYIYIYIYIYKKRKPSILPPSLSKNHFISPHVHSKSLKKILVPILKPKIPFFPPCILSRNSEKLKLFLQKEEFQWKMGEAHLGFPLMLSFVIIFLGFSPSIHSQSSDASVMQDLKKALNPPSSLGWDDPDPCQWKNVDCSKNDNRVNRIQIGNQGLTGSLPDSLSKLNALQFLELQNNHLTGPLPSLNGFGSLQRALLGYNNFSYIPPDFFAGMNSLQVVSLDYNQFSSWVIPDSIKSAASLSSFSATSTNISGSIPDFFGPDTLPSLTSLHLSFNNLVGGLPASFSRSSIQSLWLNGNKLNGSIDVIGSMTELTELWLHGNAITGPLPDFSRLKQLQNVSFRDNSLTGPVPESLVALPSLYIVNLTNNKLQGPTPKFAAKSVQLDMNPGSNSFCSDAPGEPCDPRVNSLLAVAKDMGYPILFASDWRGNDPCMPLWSGISCINGNITVVNYPGMKLNGTISPNFSSITSLQKLILSNNNLTGSVPVELTSLPNLQLLDLSNNSLSGFVPSFKNNVVVRVAGNPNIGKPSPPPNAPGTPPGSPPGSSGGGGGSRGGNTGGGKKSSSTGVIVGLVVGIAAAVVCIAFLVFCVRKNKRKVSGRAQGPSTVVIHPRHSGSDQDTVKITVAGSSANGGTSETFSIGSNGHHNIHIVERDGHTIRIEVLRNVTGNFSEENILGRGGFGTVYKGELDDGTKIAAKRMETGVMSDKGLDEFKAEIAVVTKVRHRHLVALHGYCLEDNERILVYEYMPQGPLSKHLFSWKDEQLKPLEWKQRLTVALDVARGVEYLHGLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRLVPEGKATVVTRLAGTFGYLAPEYAVMGRVTTKIDVFAFGVILMELITGRKALDESQPEESAHLVPWFRRMQISKDFQKAIDPMIDLNEETLSSVNTVAELAGHCCAREPHQRPDMGHAVNVLSSLAELWKPSEPDPDDIYGIDYEMTLPQAVKKWQALEGMSSMDNTSFIGSSENTQTSIPTRPSGFADSFTSSDGR >CDP13188 pep chromosome:AUK_PRJEB4211_v1:11:31855032:31856518:1 gene:GSCOC_T00038049001 transcript:CDP13188 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPIIMLEEEDPIYGDMLEETLSRVPLIDLIPTLRVSKSWNQAVHSSLLSRNPPKPWLIIHSQATRHPYAATTRAYDPRSRVWIKMSQPASIIRHATALRSSNSNFLYMLSPSKLSFSFDKLNLRWHHVDAPLLWRGDPIVTKVGDSIVVAGGTCHFEDDPLAVEIYSIKNRSWRTCDSMPARLKDSAASTWLSVAATPEKLFVMEKHSGATYWFDLDSNDWSKQFDLRPDQRCFYSVIGCVKERLILVGLIGDVENVEKVKVWEVGYDSNSGSFDRYMEIGEMPRLFVEKLESETFGISSINICLAGSFVYIYNPEKVEEVVACELIKGGGCNWGSVHNAVSGGGCGMERVVFTCADVKVEELERALRAENRRFEVVNV >CDP00499 pep chromosome:AUK_PRJEB4211_v1:11:28662402:28668050:-1 gene:GSCOC_T00032456001 transcript:CDP00499 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT1G68910) UniProtKB/Swiss-Prot;Acc:A8MQR0] MDDRASNSFETAESDSAKVKLPESVSYDAQGIEEARNATEFLTRADLDLAYSSHKLVNLGNLLMRALAWQNDVKSVVEYDDISPEFIEKAMEFDILSAILNSEVRELDNFMGSVHAVVINARHKITSCGKLNDLSSIVESKMHDTEESVRRSQDHILEMKMQLAKLQMTSLAFNQNEWSFNIGVDLSENSEVPGVYLKPQLQSVEQRHILRMLEKSLARELDLEKKLTEIKQNEEDIKLKLQLTEQVALFMEEAAEVIWGRFLEAENTSEVLMGISKEMVGRLQIARFNLDNAIQRESNLDSKLQYYIAQSNAKDNTMEKLSKKSAQLVAETAEAASLRERVKLLEEHLRESVSQLKAEKETNETSQVQLAEMDGIIESMRETIDEFEARAESAESKVTQLTETNSELTEELNFLKGSNDTNSKKVSLIEKQLRDLELQLQHSRASSEASQEQQNMLYTAIWDMETLIDELKQKAFKAENKTENAEEQCVVLSEANLELNKEVEFLKTRMESLETTLDQATVEKMASAKDINIKTNVIMDMVMQLAMERERINKQFYDLAKENKLLKEKLRKAKKAECFASCSNTDQKEEELPSLRVDLTATSCTLASHEKAAEFADYSIQAGGGNT >CDP00658 pep chromosome:AUK_PRJEB4211_v1:11:27173978:27178710:-1 gene:GSCOC_T00032675001 transcript:CDP00658 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAGGYCRSYREIICDAGAGAAAGAIAATFVCPLDVIKTRLQVYGLPEMARSGHRGSVIITSLQNIVRNEGFRGLYRGLSPTLAALLPNWAVYFSVYGHLKSLLHSHVDSSGQLTIAANVIAASGAGAATAVAANPLWVVKTRLQTQRMRQGVVPYHGILSALRRIAHEEGIRGWYSGLLPSLAGISHVAIQFPAYEHLKAYLAKRNSKKINELRPGEVAIASSMSKVVASLMTYPHEVVRSRLQEQGRLRNPEIHYAGVIDCIKKIFLREGLPGFYRGCATNLLRTTPSAVITFTSYEMIHRFLQSAIPPAERRSKAQPKPDSNIDSQNSTAGNEAKSSVPDQSQNTSNQRTFIPLATPDKLTTRQ >CDP13197 pep chromosome:AUK_PRJEB4211_v1:11:31926645:31930004:-1 gene:GSCOC_T00038059001 transcript:CDP13197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter, Nitrate transpor [Source: Projected from Oryza sativa (Os01g0704100)] MEVEQKPNDLSTQKFPLPVDSEHKATVFRVHSFAPPHMRAFHISWISFFSCFVSTFAAPPLLPIIRDNLNLTSTDIGNAGIAAVSGAVFARVAMGTACDLFGPRLASSSLILLTAPAVFCSSISNSPVSFLLVRFFTGFSLATFVSTQFWMSSMFTARVVGTANGLAGGWGNLGGGATQLIMPLVFSVIRDIGATKFTAWRIAFFIPALFQTLSAYAIFFLGQDMPDGNYSKLHKSGERHKDNFPQVLYHAVTNYRGWILALTYGYCFGVELTVDNIIAQYFYDRFNVNLRTAGMIAASFGLANIFSRPGGGILSDAVAKRFGMRGRLWTLWMVQTIGGLLCVLLGKVGSLGASVAVMLVFSIFCQAACGLTFGVVPFVSRRSLGIISGMTGGGGSVGAVLTQVILFRGSRFSTETGITLMGIMILCCSLPIWLIYFPQWGGMLHGPSSKGTTEEEYYMSEWSSTERNKGFHQASMKFADNSRSERGRRAESAPAPVDQGTPSPGV >CDP05460 pep chromosome:AUK_PRJEB4211_v1:11:21017137:21018453:-1 gene:GSCOC_T00020516001 transcript:CDP05460 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRAVAFWPAAAAVSALELNIPKLIHDGIIDSSGSVLKNQMVQLSPTILAMDSAHFVWACIGDPTTQGIIFYVILKNIRTLKLADWIICNSSNELETSVFNSYPEMLPIGPLLSSNRLGKLVGSYGPKDLDCLVWLDKQPVQSVIYVAFGSITVFDQTQFQELALGLERTNMPFLWVVRRNLTAEPNNAYPKGFKERIQGRGRLSSWAPQQPVLSHPSVACFLGHCGWNSTVEGVSNGVPFLCWPYFADQFTNRSYICDDWKVGLGLEKDENGIIAQGEVKNKIEQLVTVKGYKERALDLKAKVMNSLREDGCSGKNFNNFVKWIKDD >CDP07964 pep chromosome:AUK_PRJEB4211_v1:11:11082739:11083174:-1 gene:GSCOC_T00025511001 transcript:CDP07964 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPCVREASKACSSCAQILVFACLKPLFPLPSLLELPPLPSKISFLQFVPQSDQTPRLLITNLSLH >CDP05579 pep chromosome:AUK_PRJEB4211_v1:11:23222039:23224882:-1 gene:GSCOC_T00020703001 transcript:CDP05579 gene_biotype:protein_coding transcript_biotype:protein_coding MEHILLCTSSSLLLLFLFNSIPITSQFPFGSSKQAHDHSNITCIESERHALLQFRQGLIDESNHLSSWIGEGCCSWEGISCHKITGSVLKLDLRNTVPLYSDEDYHCTNCLGGQLTPSLVNLTNLRYLDLSSNNFSGIQVPAFLGLLKNLRYLNLSTAGFDGEIPHHLGNLSHLRYLDLGDSGFSQVWNSLSTKDLGWVVGLSSLEGLVLSLVNLIGAQNGLRSINMLPSLTTLELYSCDLFIHPPLSQVNFTSLAFLDLGENNFNNYMVPHWLRNLTGLHDLRLGNNNLSNPIHGLFDQKTSLVHLDLSSNRFDVSTLKSLCNISSLTYLDLSGNNFQGSIPSEIGQLSKLTILSLSGNSLNGTIPTNLWQLTKLQDFDVGDNSLTGVLSEDHFAKLRELKSLGLTGNSLALNVSSSWVPPFQLHEIWMGSIIVGPRFPAWLRTQNELEVLYMRNASISDAIPSWFRVLCHNITSLDLSSNSLTGNPLEFKQLKHRPSRYRYRDISLSSNKLDGSLKSLPLDISYLDLSHNFLTGHIPQLEDGQTSVVQSLLLNDNRFTGTIPEDLCKSENLTFLDLSNNLLSGRVPPCVGNLRDLIVLDLANNSLSDQIPSSLGKLGSLTMLHLNGNKLVGKLPASMQHLRNLKILDLGDNELKDIIPAWIGERLSNLMCLRFQSNNFHGPISDTLCQLSSLQVLNLAHNNLSGFIPHCFNNISAMVSGLHGRYTGYSQESLQDIKGGREVEYYAFSLLLVNSVSLSANNLVGEIPDGIMELVQLQVLNLSQNHLTGTIPKKIGNLKQLETLDLSMNALFGAIPESLSDLYSLNSLNLSHNKLSGPIPSGNQLQTLTDPSIYEGNSGLCGKPLPNNCWEHKSPTKNGPIHDDKGHSESDWSWFYAGIGPGFAAGLSGVLGILLFKKSWRYAYFKFIESACDKIWVKTTRLRRKFR >CDP00472 pep chromosome:AUK_PRJEB4211_v1:11:28882873:28891243:-1 gene:GSCOC_T00032421001 transcript:CDP00472 gene_biotype:protein_coding transcript_biotype:protein_coding MGETLIIFVASILFCLLVPLINFLHKVWWMPRRIQHVFFSQSIKGPPPRFLHGNTIEISEMRQNFMNRKLQLSHDIFRTLQPHIYLWTKIYGKNFLHWPGARAELVVSEPELIKELLYDNEQSFCRSDADRYLKKILGKGILTSDGEKWLKLRQLSSQAFHAESLRHMIPAMIESVELMLGRWKNYEGMEIDASEEFRLLTAEIISRTAFGSSYLEGQDIFQMLRKLVVLSCKNSHKTKLPIISSVFKDRYDLEADKLEKRVRDSVLEMVKKRQTEISFGEDFLGLHLKAHYASDGNSRITVQEIIDQCKTFYSAGQTTTSVLLSWTILLLAIHTDWQEKAREEVFELFGQQPPNPEGISRMKTMSMIINESLRLYPPSVYIQRKAKKGAKLGKLILPTNTNVHVPILAIHHDPKLWGKDAHIFNPERFSSGVAAATKNNPAAFLPFSLGPRACVGANFATLEAKISLSMILQRYTFTLSPNYVHSPVQILSLRPQHGVQVMITNVEVFCQILPSTGQRSKSMEMTNSFCICTQNKKEDLLLQLSWTWPFRKMLSMMSTERTLEVIFLSRLFVNLFSLVIKYVDKLWWTPRRMCYAIPMRLRHYNKYHPRSIVYVQKSLTLLGTMGILFSCFLCFFLLVLLRIFYKICWAPIHMQYVMRAQRIKGPPYKFLYGNTKEILSMKSKSMSCPMVDISHDIFPRIQPHMYAWRNLYGKNFLVWIGNKPQLVVTEPELIRQILSNKEGAYPKIKADSLVKKLLGDGLVMTEGEKWLKLRKLANHAFHAESLKDMVPAMIESVEAVLEKWRCHDGKEIEVYEEFRHLTSEVISRTAFGSSYLEGKNIFEMLMQLGFFIFKNDAKIRFLKDWKIFRSADDIASEKIEESLRNSIMSIVNKREEGVKIGKADSYGNDFLGSLLQAHHAADVKYRITIDDIVDECKTFYLAGHETISSALTWTIFLLAIHTDWQEKARNEVFEFFGHEKPKPDFIARLRNMTMIINETMRLYSPATNIVRRVGREVKLGKLTLPADMDINILPCAIHCDPEIWGADALLFKPERFAEGVAKATNYNTMAYLPFGFGPRTCVGLNFAANEVKIALSMMLQRFKFTLSPNYVHSPFTVLTLRPERGVPIVLNPL >CDP00649 pep chromosome:AUK_PRJEB4211_v1:11:27243963:27254638:1 gene:GSCOC_T00032663001 transcript:CDP00649 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLTTAAGVQNGGVGVMSGPAIGQQMEMDQNGTVNRLKSSSPIRIFLFFHKAIRAELDGLHRAAMSFATNSNGSSCNCNSDIKPLLQRYRFFRSIYKHHCNAEDEVIFPALDIRVKNVARTYSLEHEGESVLFDQLFALLDSDKQNNESYKRELASCTGALRTSISQHMSKEEEQVFPLLIEKFSFEEQASLAWQFLCSIPVNMMAEFLPWLSSSISSDERQDMRKCLYRIIPEEKLLQQVIFTWMDGMKINKKRRSCEDDIASNLIRPSENRPCPCKSSTAEKRKFFPSTSSGLSHPIDEILHWHKAILKEINDIAEAARMIKSSGDFSDLSAFRERLQFIAEVCIFHSIAEDKVIFPAVDAGLSFAQEHAEEESQFEKFRCLMESIERAGANSSSAEFCSKLCSHADHIMDTLKKHFQNEEIQVLPLARRHFSYELQRKLQYQSLCVMPLRLIECVLPWLVGSLDEEVARNFLKNMHMAAPASDSVLVTLFSGWACKGRPRSICLSSGGNGCCASKMLTESRESFDGFSCACSYSSKTGQSILIGEAVDDEIPVESGDSVILEESTSLVPVRSIELQKANVSNQSCCVPGLGVNNNNLGTSSLAAGKSLRSLSFGPSAPSLNSCLFNWETDISSNDCGSATRPIDNIFKFHKAIRKDLEFLDIESGKLGDCDETFIRQFSGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFEDISSALSELSQLCESLKSKSMTGDQSSGDDDSCSTTNSLRKYSELATKVQGMCKSIRVTLDHHVMREELELWPLFEMHFSVEEQDKLVGRIIGTTGAEVLQSMLPWVTSALTQEEQNTMMDTWKQATKNTMFSEWLNEWWEGSAASSEASTSDNSISQGYDMHESLDQSDYTFKPGWKDIFRMNQNELESEIRKVSQDSSLDPRRKAYLIQNLMTSRWIAAQQKLPQARTDETAEDADVIGCSPSYQDPEKQVFGCEHYKRNCKLRAACCGKLFTCRFCHDNVSDHSMERKATSEMMCMKCLKIQPVGPVCTTPSCDGFSMAKYYCSSCKFFDDERTVYHCPFCNLCRLGNGLGIDFFHCMTCNCCLGMKLVDHKCREKGLETNCPICCDFLFTSSATVRALPCGHFMHSACFQAYARTHYVCPICSKSMGDMSVYFGMLDALMASEVLPEEYRNRCQDILCHDCDKKGSAPFHWLYHKCSCCGSYNTRVIKVDSNPNCSK >CDP18988 pep chromosome:AUK_PRJEB4211_v1:11:31583847:31586639:-1 gene:GSCOC_T00001033001 transcript:CDP18988 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRKLSSLPWRRPPFAASSASPALFNGPGPFPSSDTSRSSSFHSPSSTFSLTPAFFHQIRGLSSDSLASAHDIGLVQDLPATVAAIKNPSAKIVYDECNHERYLPGDPSKRAFAYFVLTGGRFVYASLIRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRHRTEDDIKLANSIDVGSLRDPQEDALRVKKPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYTFLDENKLLIG >CDP00574 pep chromosome:AUK_PRJEB4211_v1:11:28008772:28015146:1 gene:GSCOC_T00032557001 transcript:CDP00574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MECGDIIYRSTLPRSNGLTVTVPGTILALGALSYLWATPGVAPGFFDMFVLAFVERLIRPTYRKEDFVLGRKLGEGSFGVVHRASWAKKPSPKGGELVLKKATEYGAVEIWMNERVRRACASSCADFVYGFLESSSKKQAEYWLIWRFDGEATLADLMQSREFPYNVEALLLGEVNNLPKALERENQIIQTILGQILFALDGLHSTGIVHRDIKPQNIIFTEGSRTFKIIDLGAATDLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSAGLVFLQMAFPGLRNDNSLIQFKRQLKRCDYDLVAWRNTVEPRASNELRRGFELLDLDGGIGWELLTSMVRYKARQRVSAKEALAHPYFDREGLLAMSFMQNLRMQYYRVTQQDYSEALKWVVRLMAKSGTEQDGGFTEAQLQELRDIQPKKKSSAQRNALASALKLQRKIIRTLKESVDELNQRRKSVWWNRWIPREE >CDP00217 pep chromosome:AUK_PRJEB4211_v1:11:30856432:30861198:1 gene:GSCOC_T00032095001 transcript:CDP00217 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHKWKPEKPDPAKQVRRDPYEVLGVTRNSTDQEIKSAYRKLALKYHPDKNANDPEAADMFKEATFSYNILSDPDKRRQYDTAGFEAVEAESQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVSIRPLPLGQALCRKVEKQCAHFYSVTLTEKEAQAGFVCRVHSTDKSKFKLLYFDQEENGGLSLALQEDGAKTGKVTSAGMFFLGFPVYRMDHSCNSMAAVKDPDTAFFKKLDGFQPCEVNELKAGTHVFAVYGDNFFKSASYTIEALCAAPFTEEKENLRGVEAQILSKRVELSKFETEYREVLAQFTEMTSRYAQEMQAIDELLKQRNEIHASYTAAPPMKRTGSSRSKSRNASKDVSEDGHVKDKKPSRDRSKKKKWFNIHLKVDKRKSC >CDP16516 pep chromosome:AUK_PRJEB4211_v1:11:8915164:8916258:1 gene:GSCOC_T00018513001 transcript:CDP16516 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKWLLSFLASSLLMVSLFQSSQAAGIAVYWGQNGGEGSLADTCASGNYQFVNVAFLSTFGGGRTPVLNLAGHCNPDAGTCTSLSDEIKACQNQNIKVLLSLGGGAGSYGLTSADDAGQVANYLWNTFLGGQSGSRPLGDAVLDGIDFDIETGTGQYWDDLARALSGFSSQKKVYLSAAPQCPFPDANLNTAISTGLFDYVWIQFYNNGQCQYGTNADSLIASWNQWTSVQSNQIFLGVPAAPGAANGGFIPSDVLISQVLPSIKSSPKYGGVMIWNKFFDNGYSSAIKGSI >CDP00669 pep chromosome:AUK_PRJEB4211_v1:11:27085019:27086289:1 gene:GSCOC_T00032692001 transcript:CDP00669 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNASNYHLNHAKLPQVYYQGKEADNIIDLGLSLRVLQPESYHPSPHGNTDDFDVLIDWHQLHPRMKNSKTDYPQNMVGNHDDETEGIQSKERWSYVKVNMDGVIVGRKICILDHMGYSDLALQLEEMFGKQSVYGLRLFQSNSEYSLLYRGRDEHWRTVDEVPWNNFVESVKRLRIVRKDEAFSPSSSALLNSL >CDP00315 pep chromosome:AUK_PRJEB4211_v1:11:30078708:30080618:-1 gene:GSCOC_T00032214001 transcript:CDP00315 gene_biotype:protein_coding transcript_biotype:protein_coding MTCARPIFRLFTTHATTSKTDPIFKYPNFEEFIQEFHAHFIRTHRHTDSLSMSNIIKFYALSSETIRKAHFAFLQIEHPTLPIWNFMIRGFAQSDLPGEATPLFEKMRDRGLHGNNLTFIFLFKACSRVSDILTGKKAHGLALKLGFGSYLYVCNSLIHMYGSCDALDFSRKIFDEMPARDLVSWNSLICGYSQRNKFKEILVLYGAMQAANLAADSITLVKVLLACSRMGELDVADSAVKYIENSGIEIDVYLGNALIDMYGRRGFVDLARGVFDRMIEKDVVTWNTMIMGYSKAGNFDAAMKLFDEMPRRDVITWTSIIVGYCHAKQFSDAIRIFRRMMAAKVKPDEVTVASVLSACAHLGMLDVGNAVHKYVCEYDVKVDIHVGNALIDMYCKCGSTEKALEVFHDMKEKDTVTWNSVISGLAVNGISDYALDLFSQMLIEGVKVTHGTFAGVLLACAHGGLVDKGLDYFDSMGRVHGLVPEVKHYGCIVDLFCRSGNLERAYEFIQCTPVASEVVVWRILLGGCKLHGNVPLAEIASSKLIELDPHNGANYVLSSSAYASAERWNAATKMRELIDEDDLQKPLGWSTIEVDGLGPVDSQGKGPSESQNNPSPIKLSERKDFITSLPATYENG >CDP00621 pep chromosome:AUK_PRJEB4211_v1:11:27525348:27530615:1 gene:GSCOC_T00032620001 transcript:CDP00621 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGSSLVHLLVIVLSLVAFGFAIAAERRRSTGTFVEDKNTNRTYCVYSSDVATGYGVGSFLFLLSSESLLMGVTKCMCFGRPLAPGGNRAWAIIYFVSSWMTFLVAEACIVAGAKNNAYHTKYRDVIYAQNFSCETLRKGVFIAGAVFVVATMILNVYYYMYFTKAINQPARKASRTSSNVGMTGYA >CDP13276 pep chromosome:AUK_PRJEB4211_v1:11:32492794:32499113:-1 gene:GSCOC_T00038163001 transcript:CDP13276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling 1 [Source:Projected from Arabidopsis thaliana (AT3G26090) UniProtKB/Swiss-Prot;Acc:Q8H1F2] MTSCALEGGCPSDYVAVSISILSIFLLVVKWTLPYIIHKVPRPNGSSFWLVAIQVMASFNLLLSIVMSFNFVKFKRHWWRSCYIWAVWIEGPLGFGLLLSCRIVQAFQLYYIFVKRQMPPIRSHIFLPLILLPWTIGSGVIHMKKPLNYHCHMGSQWIIPVICLHASYVVALVGFTRALHHIEFRFSEVKDLWRGILVATSCIGIWVVSYVLNEIHEDIAWLQVVSRFMLLFATSILVLAFFSLSISQPLVSLISLRKKDPQKCDTMSSALGVLDSGLLLQGEQTQPISPNEPLDKLLLNRRFRQSFMEFADSCLAGESVHLYDEVQQLDKIPLNDPTRRIYMSRHIIETYIVSGATMEVNISHRSRQEILATLDLAQPTLFQNAVNELMHLMKMNLAKDYWSSTSFLKLREQVAMRTVSRESEQVNGWNFSPRLSSVHCADDPFHEEHNPSSSECRNHDLDMK >CDP11631 pep chromosome:AUK_PRJEB4211_v1:11:16215589:16218697:-1 gene:GSCOC_T00034011001 transcript:CDP11631 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDHRVNDLPEILPFLFIQVLIFIHFFSFKEIPDGNWYCVQCTCQICGKVVDEKEALLPLGVLKCSQCQNMCRLSCLLKYNCVKNKAFDTWCCSATCQGVYLGLQANIGRPILLSDGFRWTLLKCINGDQHAHSAQSFLALKAECNSKLAVALQMMEEYFNPMVDPRSVVNMIPQLIYNWGSKFPCVDCSRFYTVVLEKGDTLIALASISKVMLCLLLF >CDP16966 pep chromosome:AUK_PRJEB4211_v1:11:17579967:17580883:-1 gene:GSCOC_T00004787001 transcript:CDP16966 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHISSVLDIQKGAEKWTVLAQVVHRGHNQLTREVPPRRIMRFLLTDTEGTKVSVVTYEQHIKIFSKFLQPYQRYYVSNAIVVPADPTYKVGSYECSWILNYKTLIENYAEPVPPMLPCVFELTNFSDLHKYADSDNLCNIRGFVIHAFPVKQVDPDCTSRDIIIVNEE >CDP11013 pep chromosome:AUK_PRJEB4211_v1:11:7491526:7495901:-1 gene:GSCOC_T00032980001 transcript:CDP11013 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNFQKKNNMLLKMDSNVSEEEDLSCDSSDSCAISLSGRLEKNKGHSMQVLSQLEMLRKDACELGYGINAVISCEERQKSICIEDEIEFPAFNNEADTVHHLRASSVLELDEENIHDRRVASICNSDEETMSNVERFSLPAVGNVIRYEARDTWSEANREVQALLQLNNSALPSKVKKSSKDSRIKLKPKYLLHFPSQKEDFPLVVSDVNDIDNSVTDCPHGTKPDSSTNVDLHKSMAEALEIFKGGEVEQPLNHMIPFGGLIYHDCSENSGAKFQDDLQEKSGQPLGSYEMPSRLRGRRAHFSVTRSVYPQGGINIQVDSLLNGEPSADDESASLLCPKPGFQRQTMADWFHEALGGISRNHMTSEVKIHRQSGSGLFEKLQQVMQSEKERDMDFLESSNLNAISTGRDPRFPFNCYVVSDGRSCIDIRILSRCLEAKLTVCCCSLVRHEEIPDLVNNFHKRKQIGGRTLMVIFSSRVCDDIALEVGNLIRIQPPWKEVFIEVKDEVVILSTYFSQLAS >CDP00554 pep chromosome:AUK_PRJEB4211_v1:11:28180827:28181814:-1 gene:GSCOC_T00032528001 transcript:CDP00554 gene_biotype:protein_coding transcript_biotype:protein_coding MENEPALEVSSSQPPPPMPKVGVAVFLLKGNKVLLGRRLSSVGRNTFALPGGHLEFGESFEECAAREVKEETGLEIEKTEYLTVTNNVFSQEGKATHIVCVFMRAVPADLNQQPQNLEPEKCDGWDWYDWDDLPKPLFGPLEIMLQHGFSPFPTT >CDP04868 pep chromosome:AUK_PRJEB4211_v1:11:3796021:3797051:1 gene:GSCOC_T00019650001 transcript:CDP04868 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTCDYDVPCSIPPPRLFKAFILDDHFITKVLPQAIKKVEIVEGDGGVGSIKLITFGEGSQYKGAKQRVDGIDKDNFTYSYTILESDAFSDKIEKVANVIKIEASADGGSICKTTSTYYPKGNIEYTEEQIEGAKQQIKAAKERSSAMFKAVEAYLIANPDVYN >CDP00344 pep chromosome:AUK_PRJEB4211_v1:11:29879500:29880352:-1 gene:GSCOC_T00032252001 transcript:CDP00344 gene_biotype:protein_coding transcript_biotype:protein_coding MASETEEFSESSSVIERLINSRNRDLALFLPFILAMTNSPNTPNPVQDSSTPDQEAQQSPPNMRESTDRIILINPLTQGMVVIEGSRGSGSSSSSLESLLRDLFSKDGQPPASKASIEAMPMVEVKEDSEECVICLEEWEAGALAKEMPCKHRFHGECIEKWLRIHGSCPVCRHKMPVEENDDKSLKNGDAGGTRREIWVSFAYSNSNLDRRSEGINQGGSNDSGDSSQTID >CDP00378 pep chromosome:AUK_PRJEB4211_v1:11:29569881:29574000:-1 gene:GSCOC_T00032301001 transcript:CDP00378 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREESNTNKKCTSKTSFRERTTNPHNHHNHHHHPNQLLRHSNRIGFGFCNLNQNQTYPALLPLPPTIPLQLSITPPFPQNQNFRSKSHLQKPTRKQNNPPLAASSNSRAQDISVVPGKVAQRQTVLPPGKREGRRLTAVTQQSGMVARRPDSGGLEGTVISLLANHFHVQFDPSQRIFHYDVEISPNPSKEVARMIKQKLVNENSVELSGALPVYDGRKTLYSPIEFQNDRIEVYVSLPILNGKSMSSVGEIVNSQEKKQQIKLFRVNIKLVSKLDGKELGNYLNKERDDWSPLPQEYLHALDVVLRESPTNKCVSVGRSFYSSSMGGLKEIGGGAVALRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIPYLQKRLDFLHDLLQKKTRGLTNEERKEVERALKNIRIFVCHRETVQRYRVYSLTEEVTENIWFPDRDGTNLKLVNYFKDHYNYDIQFRNFPCLQVSRSKPCYLPMELCVICEGQKFLGKLSDDQTAKIIKMGCQRPRERKAIIDEVMAGPVGPTSGNQGGEFKLQLSREMTRLHGRILQPPKLKLGDGGCVTDLIPARHDRQWNLLDSHVLEGTRIDRWTLVCFGGTSDQKSNIPKFINQLSQRCSQLGIFLNRNTVVGPRFESMQLLSNVNLLESKLNKIHRASSNNLQLLICIMERKHKGYADLKRVAETSIGVVSQCCLYTNLGKPSSQFLANLALKINAKVGGCTVALYNSLPTQIPRLLKYDEPVIFMGADVTHPHPSDDFSPSVAAVVGSVNWPAANKYVSRMRSQTHRQEIIQDLSAMVGEILNDFYDEQSRLPERIIFFRDGVSETQFYKILQDELQAIRAACSRFAGYKPPITFAVVQKRHHTRLFPDGSDPSSQNHFFDDNIPPGTVVDTVITHPREFDFYLCSHWGVKGTSRPIHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPISLVPPAYYAHLAAYRGRLYLERADSTASAKGSATISRAAPPKTTPLPKLTENIRKLMFYC >CDP13336 pep chromosome:AUK_PRJEB4211_v1:11:32917813:32921969:1 gene:GSCOC_T00038237001 transcript:CDP13336 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLKVDYFDAIGAFFAILFCMIAGLDFCLCFTWNILSLTRIGLSEGDRRCLTRMTCANIHFSKLRMQNKKKGGGRTAGSTPKASRLQKKVSDNVLVQAEKVAELITSSTRKQKSARKLPENTELFAAATNLDTTLGLVNDDNFTACLGHDDKKKGFDDVAVHQVVDTIFSPGFHISRSIGGEIANADFFKFFQHGGQHFQDHGKENLQVDLLSAHVIQEKSFDQDALTSMNLTCPDFGGALPSEISSVYLSMKNSNLECVDEYNQEQLSADICYDDEEVEDFDDFDPYFFVKNLPDLHSVVPKFRPMLLPKQTRSCPSTTLVLDLDETLVHSTLEPCDDADFTFSVDFNLKKHAVYVRCRPHLRDFMDRVSGLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVFRESCVLVDGNYLKDLSVLGRDLAHVIIIDNSPQAFGFQIDNGIPIESWFDDRSDTELISLLPFLESLVAVDDVRPLIAEKFNLREKIAAAVCPLNSNRGDPLER >CDP11044 pep chromosome:AUK_PRJEB4211_v1:11:6294842:6297912:1 gene:GSCOC_T00033032001 transcript:CDP11044 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAILSSAVKSIGKLLIDEGNFLQGVSGQVRLLHDDLKRIQRFLRYADTKQTARDAVQQWLPEFRAVAYEASDLVEDYALRVQIRRNGGFTSTLKRIACIPAEGYTRHDLGLEIQSLRTRISNLTKNFGEYGNVMARLEEGESSAPSRLQQLRRTHSFVAEEDAVGLHYDIEMLVKYLLNEVEREHKISVASIFGMGGIGKTTLARKVYHHGRLKHYFKGFAWVCVSQQWQPKDLLQGILLKLIPEQRNLIMTSKQDELARLLQQHLRARRCLIVLDDIWSTDAWDCLKDAIPVSEHGTKILLTTRNRDVAAYVGPNGYHLQLRFLTEEESWELLRMKSLRESTGEGCADLAKMEELGKKMLKNCGGLPLAVVVLGGILRTKKSLREWNVVHENIKSYLARGEKIGKEGEVPKILAYSYYDLPWQLKPCFLYLGKFREDSDIAAESLYQMWIGEGMIFEKDRMGQESMMEVAEHYLEELAKRCMVEIKVHEGKHAVTRLKSCRLHDLMRDLCLIKAKEENLYNVVDQSASLDSPPVIEAQYGLVLRLIPQDISEYNFPPKEQTKHLRSFLCDPLEDEWQYFSGVGMMSQVNNLKMLRVLSILFSDMASQDCFLKSPLGYVGSLIHLRCLKLRCADLNLPSSLGNLKYLETLDLSESFNCRIPNVLWKLGRLRYLYLPNFKNFQPKLRLNKHLEILDAFDNEFCYPKDICKLSNLRAFKARVYYNLEDLEQIVNHISDLDCMRISSLKIIGCNLAWIDSNNSNNNNRGLNVLLGVLFSRNIHELEIMGILCKKLPDYQSHMFPDPAGLTQLKLLTGAEPVQ >CDP16314 pep chromosome:AUK_PRJEB4211_v1:11:23693548:23695162:-1 gene:GSCOC_T00018110001 transcript:CDP16314 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRTAFIYCQRRTLVNVKLKWVKDRVLDKAVAGGRELKAACVLISLIASDPDGCIPIYRLSRNRGQLGLPDGLKVSTFMRRYPNVFEELHVPDSGGTRVPWFKLSSEALDVYQDEVVAFQKCEVDILDRFKKFMMLCRNRTLPLQTIDQLKWDLGLPYDYEKSWIRRHSEVLKMVQLDDGREALKLEKCDQSLASSHLERNSGSSRVLAFPIGFSRGFGLNRKCMKWLEEWQKLPYTSPYVDASHLDPRTDVSEKRIVGVFHELLHLTLQKKTERRNVSNLRKPLGLPQKFTKVFERHPGIFYISRKGDTQTVVLRESYDRDKLIEKHPLVDTRSRYLSMLTEGFLERSRGSYKKDKMDDELMSSLDCQKNLVRYQSEEDSDCSILSEYESDETT >CDP07925 pep chromosome:AUK_PRJEB4211_v1:11:12198438:12206245:-1 gene:GSCOC_T00025439001 transcript:CDP07925 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MEHDDLTQAFYFSSGNPRIEETRGVMHLFRDEVGSSSSDLPVERKPLLCVLGVPNHMTYADFCQFCGSFIHHMLEMRIVRNDGMEDNYSVLIRFDDQSSADNFYKHFNGKCFSSLEVEICRVLFTVDVQFTGSIEHAQASAVSNMEQPSCPVCLERLDQDMGGILTTICNHSFHCSCISKWTDSSCPVCRFCQQQPEKSSCFVCQTSENLWMCVICGYIGCGRYREGHAIRHWKETEHCYSLELETRRVWDYAGDNYVHRLIQSKTDGKLVALNHRCAWANDGCGTCECGLEPGIGDALLNSKVEAIVNEYNELLTTQLENQKMYFESLLENIEQETEQGISKAVEQAISQNPKLLKLQAKLDKLVEEKKFLDDINDNLLKNLEIWEGKIAEIEERDKKDLNLKDKRIKDLQEELSSLITSLEIGNAAEPSPTSNHV >CDP04793 pep chromosome:AUK_PRJEB4211_v1:11:5727303:5729873:-1 gene:GSCOC_T00019509001 transcript:CDP04793 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFLPSSSKPSWPIRYLTPYRYHCSSPTLTPEPENPQIVPSDSQGSKNPEFSSKIQILSNLNENWKKPISENPGLSQTHVVESLLSHRNDPAAAFKYFQWAEGQRGFLRGVSDPYCVLLHILVSSPNEYSLTRRLLNSYVSSDSSPSGILLFDHLISCSERFDFPLNSEVFNYLLNSYVRACRNRDAIDCFHAMVSCNIMPNVTVVSITLSALVRRKLISEARKMYDDIVGRGINHDCAAVHVIMRACLKEGNMVEAEKCFSEAKARGLVLDAAVYSTAVHVACHKLDTDDASELLKEMKRKGWIPSEGTYTNVVCAYVKQRNMVEALRIKDEMIGSGHSLSLVVATSLMKGYNLQGQFIAALDLFNDIVARGLNPNKVTYAVLIEGCCRYGNMEKASELYTMMKFAGIRPTVYTVNSLIRGFLKAGLLDEAIKQFDEAVDAGIANVFTYNSLMSCFCKGGKVNDARIIWDKMVNNSIEPSAVSYNNMILGNCRQGNMEAALSLLSKMLEKNLKANVYTYTILIDGYFRKGEKDQALRMFDQMAASGVPINDFTYNTIINGLCKAGSTSEAKSFLNKLMHTGYTPLVMAYNSIIDGYEKEGAINSALAAYAEMCESGISPNVVTYTCLINGYCKSNQIDLALKLSTEMRTKGVAWDITVYSALIDGFCKRRDMESARELFDELFEVGLYPNVVVYNSMISGFRNINNMEAALALHKRMCNEGIPCDVDIYTTLIDGLLKDGKLLLASDLYTEMLAKDIVPDAVTYFVLVHGLCNKGQVENARRILNDMYGMNMTPNVLIYNTLIAGYFKEGNLQEAFRLHDEMLERGLAPDDTTFDILVTGKYKGGNFPLRVSSG >CDP19665 pep chromosome:AUK_PRJEB4211_v1:11:18396:21546:1 gene:GSCOC_T00010268001 transcript:CDP19665 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSNSNSNPPLLQDYAQLVHKLQSHVAVDQCEEEGMMMVQEECELPLIDLGVLITSESSSDEASKRECMAKIAMASSEWGFFQVVNHGVSSELLRKMREEQIKLFRAPFEKKASSALLNNSYRWGTPTATCPKQFSWSEAFHIPLAKISDQASYGDFTSLREVMVEYAEAMQGVAKLLAGVLAMKMGSPKQVLEEICDENTCFLRLNRYPACPISPEIFGLVPHTDSDFLTILHQDEVGGLQLMKDSKWVAVKPNADALIVNIGDLFQAWSNDAYKSVEHKVMVKRKVERFSIAYFLCPSYESEIGSCKREPSASVYKAFTFGQYRHQVREDVKFIGHKVGLSRFRV >CDP00722 pep chromosome:AUK_PRJEB4211_v1:11:26541337:26542253:1 gene:GSCOC_T00032780001 transcript:CDP00722 gene_biotype:protein_coding transcript_biotype:protein_coding MNREIEEIQEDLLAKAAKAADELYNIRDTYFPADPNDKISKLQAESDLVLQTLNSIPPENRKLPIQRAMYEYLKGKVLDVFSDYRKDAEDHLSKAVKLNPSLGDAWLSLGNCIWKKGDLSAAKNCFMLALSKGPNKRILCQLSMLERKMAQGKNFMDIVVVFFHVILLIL >CDP00839 pep chromosome:AUK_PRJEB4211_v1:11:25121706:25126302:1 gene:GSCOC_T00032942001 transcript:CDP00839 gene_biotype:protein_coding transcript_biotype:protein_coding MDAANFLLLSALLVMHFATIAGGFGVVTTKNNTRDLDALLALKATILDPQNIIPTNWSSSSSLCNWIGITCNTRHQRVAAIVLPHMGLLGTIPPELGNLSFLVRLNFVNNSLHGHLPTELSHLRRLKYIDLEGNAFDGGFPSWLATLPELRVLNFLYNNFSGSLMSCGISNVTKLETLNLGHNSFTGNIPDEFSGLKNLSIVNIGHNQLTGSLPPSLFNLSSLEILSLTNNSLSGSLPEHICNHLPQLQGLYLSINQLEGEIPSGIGKCSRIQQFSFSTNKFTGSIPREFWNLSMLQTVYLGKNHLKGTIPQAIGYLNKLEDLALDSNRFTGPIPAKLFNISSLQTISLAANDLSGGLPTTLGIMLPSLEYLFVGGNRLSGVLVLTSISNASSLIGLDVSFNQFTGAIPHSLGDLRLLEVLDLKSNSFSYKSNSGEISLLISLSNCRYLQELELDESPLNGFLPTSIGNLSNNLRLLSLNYCGIFGQIPSSIENLTNLADLSLGGNALEGINPASIRRLSELQKVELGYNRIQGPFPSELCDLVNLGRLSLSSNMVSGRLPSCIGNITSLRYLYLDSNNLTSKLPSNLWSLSDILELNLSTNTFQGSFPPELQNLKALTIMDLSVNDFYGDIPSTIGALQMLQELSLKHNRLQGPIPDSMKNMLELQYLDLSFNNLTGAIPKSLELLQNLVHFNVSFNKLRGPVPHGGPFANLTELSFLSNEALCDAPWLQPCQTFEHRSRKKTLLFVLLAVGSAMFAMVISILVIRKWRRKFVIPTNFVVEATVERVSFHELRQMTNGFHEDMLLGSGAFGSVYKGVRENGMTWAIKTFDLQLEGAFKSFDTECEVLRSVRHRNLTKVISACSSPDFKALVLEYMPNGSLEKWLYSGSQILNIKQRLDIMIDVACGLEYLHYGYSTPVVHCDLKPSNILLNQDMVGHVCDFGITKLLGDGENVIQTKTLATFGYIAPEYGLEGLVSTSCDVYSFGITLMETFTKRRPKDEMFTEELSLRRWIEESVPDFAIKVMDADLLHLEDELVKSKLACISSILQLGLYCTTYSPEDRMNMKDVLRGLQKIKLQFFEEPKPLKQVHNHYT >CDP13330 pep chromosome:AUK_PRJEB4211_v1:11:32822742:32824633:1 gene:GSCOC_T00038228001 transcript:CDP13330 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGIWAMCGKSNSSGGSSLDSTIKKKDISTQRGGMEFESDWTKHCWKSSAFTAQAIRSATSFMPWSCKISRNEGFHEYL >CDP05574 pep chromosome:AUK_PRJEB4211_v1:11:23158509:23160899:-1 gene:GSCOC_T00020697001 transcript:CDP05574 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWPMFSSGNQGGFLAAMVPGFNAFKLLLLGLEMRKDEKAVKLMSGLGDHCSVLNASFYYLTAISLASVVYWRTSPVAVAAVCNLCIAPIVGRRFGSLELPYNRNKSVAGTFSMVAASFLASIWYMQYFSIFGYLQESWKMVYKFFVVSLVAGLGESHPYHHKT >CDP00296 pep chromosome:AUK_PRJEB4211_v1:11:30251212:30251894:-1 gene:GSCOC_T00032187001 transcript:CDP00296 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLAHAKLRICEKKTEASDPCLADRIYAYLSNPGVQKALHVKTTRLHAAWTFCSELLDYQSDNIATNIIPLLSEILNRTCHTFVLQWRSRFKNPTDADKKNCKFVGQRTRAHSFWGIWSLVRWIAGKNAMITPWKHFSESQYSTLEES >CDP00412 pep chromosome:AUK_PRJEB4211_v1:11:29350360:29359084:-1 gene:GSCOC_T00032345001 transcript:CDP00412 gene_biotype:protein_coding transcript_biotype:protein_coding MQKILLIDSFIHSLTPSPKIRLEKERASPLATAKTGNSGDLSKVSAEQSNLMAATAMPSTNSIFCHSCIFTDFRAKLKSRILLLNHTSRIRNSRSFRYYSPSRRTGCRFFAKNVASHQQQQLQDPSTNRSDGIALDAFQPDSASIASSIKYHAEFTPLFSPEQFDLPKAYYATAESVRDMLIINWNATYDFYEKMNVKQAYYLSMEFLQGRALLNAIGNLELIGRYAEALKKLGYRLEDIIEQEPDAALGNGGLGRLASCFLDSMATLNYPAWGYGLRYKYGLFKQIITNDGQEEVAENWLEMGNPWEIVRNDVSYPVKFYGEVTEGPDGRKEWAGGEDIIAVAYDVPIPGYKTQTTLNLRLWSTKVAAENFDLRAFNAGEHAKAYDALKKAEKICYVLYPGDESLEGKTLRLKQQYTLCSASLQDIIARFERRSGDSVNWNQFPEKVALQMNDTHPTLCIPELIRILMDVKGLKWNEAWEITQRHVCFISELFHVTVAYTNHTVLPEALEKWSFELLQELLPRHVQIIEMIDEELVNTIIAEYGTEDLHLLEEKLRQMRIFDNIELPAAILESPIKSQESVIEVPAQDESPDKEKEAEDGKEEAEDGKEETEALDNAKDPESEIKVSFEPDPKQPKRVRMANLCVVGGHAVNGVAEIHSEIVKEDVFNEFYKLWPEKFQNKTNGVTPRRWIQFCNPELSRIITKWSGTPDWLTNTEKLVELRKFADNDELQSEWREAKRRNKMKVVSFLKEKTGYVVSPDAMFDVQVKRIHEYKRQLLNIMGIVYRYKKMKEMSPEESKRKFAPRVCIFGGKAFATYVQAKRIVRFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSMNGCILIGTLDGANVEIREEVGENNFFLFGAKAHEIAGLRKERAEGKFVPDPRFEEVKKFVRSGVFGPYNYEELMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDEAYQDPKRWTKMSILNTAGSFKFSSDRTIHQYARDIWMIEPVVLP >CDP00383 pep chromosome:AUK_PRJEB4211_v1:11:29536844:29543457:1 gene:GSCOC_T00032309001 transcript:CDP00383 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGRKLYQYIVMGLYTPLIVSAFSLYIWCAAADPADPGVFKSKKYLKIQEKPLQQKQSKLGGDSTSSIQDAKAATTGDKTFDEANKEVEAKAEHAAQMEKNASPNQRGHLMALLTFLPCAFLCKCSSSHEESSEHQTSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKRNYRKFFILMVSALLLLILQWSTGILVLICCFLERKRFSVDITTKLGSSFTVVPFIIVVAVCTILAMIATLPLAQLFFFHILLIKKASGISTYDYIIALREQEQQGIGGQQSPQMSPVSSLTGLSSASSFNNFHRAAWCTPPRLFVEDQFDVVPPETGSVSSLGKKSVVEEPIKKKNPVPVKISPWTLARLNAEEVSKAAAEARKKSKILQPVTRHEAQYTPETDSSFGSSGRRMVSRPDNSRKRPSKRVRLPADLPVEPLTRNSSEAAGISMRHMITDTSTSLAPLHLEAPSAFRTGRTISTSAGIVASSPESSLDSPDIHPFGASLGTEEARRLVGLSAASINVQKDIPLSRSTSDGYDASGGEDSDRVPHRIGQRPSNWSSLLFGTDPDDRVTRLNFSSSSRSDVRK >CDP11039 pep chromosome:AUK_PRJEB4211_v1:11:6526834:6527663:1 gene:GSCOC_T00033024001 transcript:CDP11039 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVVEYMPNGSLEKWFHVNHHVLSIRQRLGIMIDMASGLEYLHYGYSMPIVHYDLKPSNILLDEDMVGHICDFGIAKLLGDGESVMQTKTLATFGYIAPKYGLEGLISTSSDVYNFGIILMETFTKRKPEDEMKFECISSILQLGLSCTTDASEERINMKEALGALQNIKLQFIKDITP >CDP11608 pep chromosome:AUK_PRJEB4211_v1:11:15291789:15292958:1 gene:GSCOC_T00033969001 transcript:CDP11608 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEELAHNLVISEGEIFRSLYSKGIKPDGVQTLSKDIGIFDEDDVDKLEDRPPVLTIMGHVDHGKTTLLDYIRKSKVAASEAGGITQGMGAYNVQVPFDGKPQTCVFLDTPGHEAFGAMRARGARVTDIVVIVVATDDGIRPQTEEAIAHAKAAGMPIVIAINKVRLHLF >CDP13360 pep chromosome:AUK_PRJEB4211_v1:11:33155343:33160623:-1 gene:GSCOC_T00038268001 transcript:CDP13360 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPEPNISTREALELSSQQEYLKLKARYEALQRSQRNLLGEDLGPLNSKELESLERQLDMSLKQIRSTRTQVMLDQLTDLQRKEHALNEANKTLKQRLMEGNQVNLQWNPNAQDVGYGRQPAHAQGDGFFHPLDCEPTLQIGYQNDPITVAAAGPSVNNYMAGWLP >CDP00187 pep chromosome:AUK_PRJEB4211_v1:11:31086530:31092599:-1 gene:GSCOC_T00032055001 transcript:CDP00187 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSGSSFLDFTSGELPHIPQTPRALPRLMSVPGIISDSYGSNDGDSDGTSSVCRERKIIVANMLPLHARRDSETAKWSFNFDEDSLLLQLKDGFSPDTEVIYVGSLKVEVEASEQEEVAQRLLDEFKCLPTFLPLDIQKRFYHGFCKQQLWPLFHYMLPICPDHGDRFDRQLWQAYVCANKLFADKVMEVVNPDDDFIWVHDYHLMILPTFLRKRYHRVKLGFFLHSPFPSSEIYRTLPVRDEILKGLLNSDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRTVYIKILPVGVHMGRLESVLNLPSTFEKVKEIQDQFHGKKLIIGVDDMDIFKGISLKLLAFEQLLQQHPSLHGKVVLVQIVNPARSSGKDVQEAKKETYSTARRINEAYGYPGYEPVIVIDRPVARFEKTAYYAMAECCIVNAVRDGMNLVPYKYIVCRQGSPSMDEALGSAKMESPRTSMLVVSEFIGCSPSLSGAIRVNPWDIEAVAEALNTAISMSESEKQLRHEKHYRYVSSHDVAYWARSFLQDLERACKDHYNKRSWGIGLGLGFRVISLSPSFRKLSNEHIVSSYKRTNRRAIFLDYDGTIVPHSSIIEAPSPDVIALLDALSSDPKNTVFIVSGRGRTSLGEWLSPCNNLGIAAEHGYFLRWNKSSDWESLAANLDWKEIVEPIMKLYTEATDGSSIETKESALVWHHQEAGPDFGSCQAKELLDHLENVLANEPAVVKRGQHIVEVKPQGVTKGLVAEKILSMLVNQGKPPEFVMCIGDDRSDEDMFESILTIVSGPSLPSAPEIFACTVGQKPSKAKYYLDDTVDVLRLLKGLATASTPKPRNTAEFQVAFDNFF >CDP00314 pep chromosome:AUK_PRJEB4211_v1:11:30080771:30084290:-1 gene:GSCOC_T00032213001 transcript:CDP00314 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHQLRGVLTILDDCSFKVSQFDMLQGSDNVSWWGASGETFENLTRGFLISDHKLNQTYKNDSFTVNLLKNVTWDQIKVLSVWDLPTASDFGHVVLGGNSSSTNFNGTGGPLTVTMFDNCKVLSKNYRVRWNYSEDKDFIDFGLEGAIGIQNYMAFGWANPNASEKFMVGGDVTVSGFKENGMPFAEDYFISKYSECVVNEEGKFQGVCPDSMLDSSDPIGLVNNTRLVYGHRKDGVSFIRFKRPLKSVDGKYDLAVNPKAKMTVIWALGLIKPPDSLRPFYLPQNHGGTFGHLVLNISETVNDCLGPLDAEDKEDQDLVIADKKEPLVVSTGPALHYPNPPNPSKVLYINKKEAPVLRVERGVSIKFSIQAGHDVAFYITSNPLGGNATLRNMSETVYFGGPEAEGVPASPTELTWAPDRNTPDIVYYHSVYTQKMGWKVQVVDGGLPDMYNNSVVLDDQQVTLFWTLSKDSISIAARGEKKSGYLAIGFGREMVHSYAYVGWIDDDGKGRVSTYWIDGRDASSLHPTQENLTYARCKSENGIITFEFTRPLHPSCSKRDERPECNNIVDPTTPLKVIWAMGAQWSDNHLSVTNMHSVTSKRPVHVLLMRGSAEAEEDLRPVLAVHGFMMFLAWGILLPGGILAARYLKHVKGDGWFQLHVYLQYSGLSIVFLGFLFAVAELRGLFLHSLHVKLGMLAILLGVVQPVNAYFRPKKPGAGEVVSSRRILWEYMHVIVGRSSILVGVAALITGMKHLGERYGDENVHGLTWALIIWLLVGALTVIYLEYREKKRRQDRISGGSSWVLGNGEEEDVDLLSPSRTVAEKDSDFSERMEVQLEPLSR >CDP11622 pep chromosome:AUK_PRJEB4211_v1:11:15948621:15950724:1 gene:GSCOC_T00033997001 transcript:CDP11622 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDCVRSVIVHNIPNQPRRMDDQMMEMQRLMPCNSRLAAFISCRSCLFAVCQNSRDNWIPRSSVVNVPFSRSGTCKLCLNPPKCSNDANNCSNFVQPFGREDGEELSYISFNESICEMCMSGEVNEAMSLLSQMEALGSRPNLKSYTLLITALGNVGRTLEADAIFQEMTSLGYRPRVKAFNVLLRCFLRKGLPGLADKVLLTLDDLGIQRNRETYEILLEYHARAKRLADTWLVIAEMRREGYHPNSFVYSKVIELYRDNGMWRKATSIVGEIRGMGLSLDRKIYNSIIDTFCKYGELGEAVEVFKTMQLEGIMPDITTWNSLIRWHCKFGDVSNALDMFISMQEQGLYPDPKIFITIISRLAEQGKWDVIKKTSENIKHRGHHRSGAIYAVLADIYGQYGRFQDADNCINALRSEGVKLSASTFCIIANAYAQQGLCEQTIKVLQLMEAEGIELNLLMLNVLLNAFSTAGRHLEALSVFNHIRETARPLTFSITYSTLMKAFIRAKKFDQVPSIYKEMEYAGCTPDRKAREMLQAAEMVLQQRHLEFLSNTP >CDP00369 pep chromosome:AUK_PRJEB4211_v1:11:29625008:29628364:-1 gene:GSCOC_T00032289001 transcript:CDP00369 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGKYHIVNPNEGQPLPDSHKVTVRVTSGYGNNYHYADHVNTGQFAFQTAEAGDYMACFFAADHKPAVTLTVDFDWKSGVAAKDWSNVAKKGSVELMELELKKMFDTVQSIHDEMFYLREREEEMQELNRSTNAKMGWLSLLSIVIALSVGGLQLWHLKTFFEKKKLI >CDP00248 pep chromosome:AUK_PRJEB4211_v1:11:30630476:30631837:-1 gene:GSCOC_T00032130001 transcript:CDP00248 gene_biotype:protein_coding transcript_biotype:protein_coding MISLRVLLLDDTVIGHLPENIFRLTKLEKLSLERCSSLKRLPRHVGKLISLREISLHHSALEEIPESFGSLRSLETLGLMWCRSLTVIPESVGDLKSLTKFYLSGSSVKLVPSSIGYLYYLKELSLGKCFHIKMLPASIEGLSSLTELQLDDMQITGLPDQIGALISVKKLEMRDCKLLSSLPDSIGKMLALEKLIITNAAITELPVSIGSLENLFILRLNKCKNLQRLPDSFGDLRNLRHLLMEETAMTELPETFGKLLNLMILKMAKKPDGQLAQSTETIDPVINVEREAESILLPPSFSKLISLEEFDARAWKISGQLPDFEKLTSLKILNLSHNDFFSLPSSMSGLSVLRELFLSSCSKLKALPPLPSSLLNINASNCIALESIHSLSNLKCLQDLNLANCGKLVDVPGIECLKSLRRLHMVGCSSCAEAVRKIDKVLSLSLSLSLSLS >CDP13328 pep chromosome:AUK_PRJEB4211_v1:11:32810988:32813131:-1 gene:GSCOC_T00038226001 transcript:CDP13328 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAFLARHPWNTFTHSFILCLTLKFKKEYTILYRERETDQFFFCVSTQAFTAKVRLPTEKENSGWEMAMDFIGSLIDILKCIWRRVMSYIGFRESPSDNSRELAAKTEHPVKAFGWAARDESGFLSPFKFSRRATGENDVMFRVLYCGICHTDLHTLKNEWGISSYPMVPGHEIVGVVTEVGSKVEKFKVGDKVGVGCMVGSCHSCPSCANDLENYCPKMILTYNSTYHDGTPTYGGYSNIMVADENFVIRWPENLPLDSGAPLLCSGITTYSALKYFGLDGPGMHIGVVGLGGLGHVAVKFAKAFGAKVTVISTSPSKKDDAIVRLQADAFLVSHDKDKMQAGMETLDGIIDTVSAVHDLGPLIGLLKSQGVLVLLGIPNKPLEIPASLLLSSRKVVGGSAIGGIAETQEMIDFAANNKITADVEIIPMEYVNTAMERLAKGDVKFRFVIDIANTLKAT >CDP00639 pep chromosome:AUK_PRJEB4211_v1:11:27358175:27361401:1 gene:GSCOC_T00032647001 transcript:CDP00639 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSTFPTSSRALAPWCDVHRRSPNSPFSFRRHLRLPPLKSTPILAKRVSKSVAILDFKGGKGMGGFHEVELKVRDYELDQYGVVNNAVYASYCQHGRHELLERIGVKADAVARTGDSLALSELTLKFLAPLRSGDKFVVKVRVSDSSAARLFFEHFIFKLPNEEPILEAKATAVWLDKSYRPVRIPADVRSKFNLFLRHGESN >CDP00708 pep chromosome:AUK_PRJEB4211_v1:11:26661731:26664893:1 gene:GSCOC_T00032759001 transcript:CDP00708 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGEREQLNHALIGYLNTVHETLQVLDQTAASSSEKVSWNEVIQMGEQLSKQATMVGMLWNGEKVEVKALEENMAAYFNILQGLLLLSHGSTVGAGPTLASCIHASVKQVVDCSFVLMKESVSSYGSSSKTQNLSIPQLVGTVWESCSALKKTPSTNVTAIGRAMTQVTVSIKDVLREMKELKAASSDLEEEFKDKASEEAESKPESDDSDVDDLGNDLSPEEMKIAQLSTVVVSETLAVVNKLIRAIIGLLRQENQGAFSNSTDSLERLLKLSQAIGSQVDELGACLYPPQEILVMKAALEKISAAVGEIQIELERIKGSSEDFVQACTNLKSLIRQLESELGCSNANDLLVPKMENLVVNS >CDP00394 pep chromosome:AUK_PRJEB4211_v1:11:29441137:29443263:-1 gene:GSCOC_T00032321001 transcript:CDP00394 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFALPNLNIKSSRLLISKTHLKPRTVSSTPTYRQLESNFASLNSISSDPFFPLLGFCKTISSLKKIHALVITYGHTDNILVKTKLLGLYGLFGQVTTARVLFDDIPNPDLSSCKVMIRWYFMNDKYADVIGFFNCLRKTVNIFDNVVFSIVLKACSGLHDFVEGRKVHCHIVKVGNTDSFVLTGLVDMYAKCGEVESSREVFEGIAQRNVVCWTSMIVGYMQNGCAEEALVLFNRMRDGVVEGNEYTLGSIVTACAKLAALHQGKWFHGYIIKKQGEFNSYLVSALVDMYVKCGALTDARSILFEFSEADLVSWTAMIVGYTQNGYPDEALDLFVHKKWEAVLPNSVTVSSVVSACAQLDNLNLGTSIHGLGIKLRLDDAAVINALVHMYGKCGMKRDARYLFENVSHKDVIAWNSIISGYSSNDSAHDAIALFHQMRSECLQPDATTMVSVLTACASHGALIIGSSLHAYSIKGGLLSSTYIGTALLNFYAKCGDAKSARAIFDGMVEKSRVTWSAMIGGYGMQGDFNNSLAILNDMMGENLEPNDIIFTAILSACSHTGMILEGWSFFANMCENYKFVPTIKHYACMIDLLARAGRLEEAFDFMNKMPIQPDVSLFGAFLNGCSIYSRFDLGELAVRRMLELHPRDACYYVLMANLYASDGRWNQAHQMRNMMRIKGVKKSPGFSNFNVNFDSDFYSPQVASLP >CDP11050 pep chromosome:AUK_PRJEB4211_v1:11:6198143:6200933:1 gene:GSCOC_T00033041001 transcript:CDP11050 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGESSAPSRQQQLRRTRSFVAEEDVVELPNDVQVLVKYLLNEDESTERKISVASIFGMGGIGKTTLARKIYHHERLKDYFEGFAWVCVSQQWQPNDLLQGILFKLIPDQRNVIVTSKEDELERLLQQHLRARRCLIVVDDIWSTETWDCLKDAIPVSEHGSKILLTTRNRDVAAHVDPNGYHHQLRCLTAEESWELLRKKSLWESNGCEDLDKMEELGKKMLKNCGGLPLAVVVLGGILRTKKTLKEWNEVHENIKSYLDKGEKIGKEGEVPKILAYSYYDLPWQLKPCFLYLGKFREDSDIGAESLYQMWIGEGMIFENDRREQETMMDVAERYLKELAIRCMVEIKTYEEGKHAVTKLESCWLHDLMRDLCLAKAKEENLYKLVDRSTSRDSPPAAEAQYGLVLHLLPEDISQYNFPPKEQTKHLRSFLCDSLNLKMLRFLAILSFHMASQSCYLKSPLGYVGKFIHLRCLRLRGQGINLPYSLGNLKYLETLDLSGSYDCRIPNVLWKLERLRYLYLPDWWMAPQPKWGPHPKLRLSKQLEILESFDNKICYPEDVCKLLNLRAFKAIVHTNLEDLEHIINHISNSDCLCISSLTISDCDFGWTNSNNSNDNNKSLDVLSRVLFSRNIHELEINDRLTQLLLYDTNIEEDPMATLEKLPNLRILELGPNSFLGQEMICHLMGFPKLKRLGLDGLGNLKHWKVEEGAMPELSSLRIVDCQKLEMIPDGLRYVTTLKEIYLVQMPEEFNNRVTRVNGQQGEDYDKISHVPSVNIYGYFFSPYFFILQFFSF >CDP00161 pep chromosome:AUK_PRJEB4211_v1:11:31319086:31322730:-1 gene:GSCOC_T00032018001 transcript:CDP00161 gene_biotype:protein_coding transcript_biotype:protein_coding METLLSSTTLQLKPTPLHLQPFNSFFPKETLLSSSVFELKYSRKSKLGVHKGTLSQGSAFKVFASSQAAPASAEVSTSSSIPPSMKAWVYSEYGGVEVLKFDDNVAVPEIKDDQVLIKVAAAALNPIDFKRRLGKFKATDSPLPTVPGYDVAGVVVKVGSQVKGLKGGDEVYGDINEKALEGPKQFGSLAEYTAVEEKLLALKPKGIDFVQAAALPLAIETAYEGLERAGFSAGKSVLVLGGAGGVGSLVIQLAKHVFGASKVAATSSTGKLELLRSLGADLAIDYTRENFEDLPEKFDVVYDAVGQGEKAAKAVKEGGNVVFLTGAVVPPGFRFVVTSNGGTLTKLNAFLESGQVKPVVDPKGPFAFSKVVEAFSYLETNRATGKVVIHPIP >CDP11006 pep chromosome:AUK_PRJEB4211_v1:11:8054375:8054991:1 gene:GSCOC_T00032966001 transcript:CDP11006 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGMLPQFFLLIYFLIITIYILGLIGDGFQQSVIMSMFKGGILLRPSALGRNEDFMQWIFPAWSTPILESVASMGLLFFLLLIGLELDLSSIRRRGNRAFGIVAASMSLPFLLGVGLTFLTRKVVNGDNHHKYGQLLMFMGVSLSITAFLVLARILAELRLLTMDVG >CDP13255 pep chromosome:AUK_PRJEB4211_v1:11:32334007:32337275:-1 gene:GSCOC_T00038135001 transcript:CDP13255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta 2 subunit of 20S proteasome (20S proteasome beta subunit) [Source: Projected from Oryza sativa (Os05g0187000)] MSKAAIEGPPKGGFSFDLCRRNEMLVQKGLNAPSFLKTGTTIVGLVFQDGVILGADTRATEGPIVADKNCEKIHYIAPNIYCCGAGTAADTEAVTDMVSSQLTLHRHHTGRESRVVTALTLLKSHLFSYQGYVSAALVLGGVDFTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESKYREGLTRDEGVQLVAEAISSGIFNDLGSGSNVDICVLTKGHKEYLRNHLQPNPRTYISEKGYSFSKKTEVLLTKITPLRDSIHIIEGGDEMEE >CDP00569 pep chromosome:AUK_PRJEB4211_v1:11:28064551:28068189:-1 gene:GSCOC_T00032550001 transcript:CDP00569 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHNSTDAYLAAAGGGRSSGGGGLMFPEVSPILPWTIPQVHSFNPVHQFRDHDPFLLPPPPSPYGGLFNRRPPPPGLQFAYEGSSSDHLRLISDTCLGQVVQASSAAPFGLQAELQKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSLIAESTPVPTEIDELTVDNASDEDGKLVIRASLCCEDRSDLLPDLIKTLKSLRLRTLKAEITTLGGRVKNVLFITGEDDTDSDDQQQQQQYSISSIQEALKAVMEKTNGDESGSGNVKRQRTNINILEHRSL >CDP15251 pep chromosome:AUK_PRJEB4211_v1:11:18429639:18435154:1 gene:GSCOC_T00042899001 transcript:CDP15251 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLQNTLLVDHLLLPGASTQLGLYRYGQCRRGVALLVKAEQVHEASKSPCLDEPGRRQLLALGATLTPWLFLPCKTSTSFAAETKKGFISVTDKKDGYSFLYPFGWQEVVIEGQDKVFKDVIEPLESVSINMIPTGKQDIRDLGSPQEVAETLIRKVLVPPSQKAKLIEASEHDIEGKAYYTFEFVAKAPNFIRHALTSVCVGNGKFYTLTTGANERRWDKMKDRLHTVADSFQIFNV >CDP00783 pep chromosome:AUK_PRJEB4211_v1:11:25884304:25885655:-1 gene:GSCOC_T00032863001 transcript:CDP00783 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLIPTLNRVLIEKLVQPSKTTAGILLPEKSAKLNSGKVVAVGPGLKDKAGNTIPVAVKEGDTVLLPEYGGTQVKLGEKEYHLYRDEDILGILHD >CDP18458 pep chromosome:AUK_PRJEB4211_v1:11:1465962:1479812:-1 gene:GSCOC_T00007407001 transcript:CDP18458 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKLIVVGVSGQGRSTSELIERSSVSQSDYHPQYHHRHHDQQAGGEGGGGGGREGSLVSHEDDDLIRQQLMIRRASIISSSFSSSGGTGDHDDRIHRAEEDHNIHAWSGNYNVQNDSTTTHNPNTNNSVAVCDHNHEEEEEGLELPEWAAIERLPTFRRIRTNKINNGNSQKKKVAVIDVTKLGSAQAHVFVDRLLNKIDEDNRRLLLRLQRRLHRVGLKLPTIEVRYANLSVEAECQVVRGKPLPSLWNSVKSFFPDILNRAGGHKPSAKLKILRSVSGIIKPSRMTLLLGPPGSGKTTLLLALAGKLDQNLKATGEISYNGYKINEFVPQKTSAYISQHDLHISEMTVRETLDFSARCQGIGSRAEIIAELSRREKEAGIIPEPDIDTYMKALAAEGSKPTVQIDYVLKVLGLDSCSETIVGNDLRSGISGGQKKRLTTGEIIIGPSRVLLMDEISNGLDSSTTFQVATYLQQWTHITGSTVLVSLLQPAPETFDLFDDVILMAEGKIVYHGPRTDILDFFFSCGFKCPPRKSTADFLHEVVSRKDQSQYWYHQHRPHVSVSVEEFSDLFKQSRVGKELGEQLSQPFQRSELHKNALSVASHEAKLIRSCFQIGTGTPVLAIFQSKYRKYIMFVSGTMVMLQGFPSLPPDFPFASSISINISLTCFRVSESICCCIFCPLLPRSDAPIWWLHNSKTCATASLPAWLRWGFWLSPITYAEIGASINEFLAPRWQKGLDSNANLGRKVLQKHGLSYGEENTSAPGRSKRIISRRTLSQEGEKSNCCNISEQTKSALSSETPDELRNTNSVLPFEPMAVTFENLQYFVESPKRIRDKGFKEKKLQLLQDVTGVFRPGILAALMGVSGAGKTTLMDVLSGRKTRGVIQGDIRQIDIHSPQITIEESVMYSAWLRLPSHIGQKTKSDFVTEVLQMIELDNIKDALVGFPGLNGISAEQRKRLTIAVELVSNPTIIFMDEPTTGLDARAAAIVMRVVKNITQTRRTVVCTIHQPSIDVFEEFDELILMKKGGQIIYSGKLGQNSSQLIGYFENIPGVAKIEENRNPATWMLDVTSASAEAQLGLDFACIYKASHLYQETKRLVRELSTSLLFGALLWQKGQKINDEQDLFNMLGSLFILVQFMGIGNCSSILPFMATERSILLILVLLVQVIIEIPYVLVQTLVFLIITYPSIDFYLSADKLVWYFYTMFCTLLSFTYFGMLLVSLTPSFQVASVVASFCYTMFTLFSGFIIPAPKIPKWWIWCYWICPPSWSLRGLLSSQYGDIDTGILVFGERKAISSFMDNYFGYHHHNLKVVALVLACFPILFALVFAWATSNLNFQSR >CDP13230 pep chromosome:AUK_PRJEB4211_v1:11:32195307:32198498:1 gene:GSCOC_T00038102001 transcript:CDP13230 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLSKFWEKVCKVAEGGSHYCSKKSDDNCGGASDEDSGRALSMTRLKCILRGLDLKTYIFLLILIPTCVFFIYVHGQKITYFLRPLWEKPPQPFHEIPHYYHENVSMENLCRLHGWGIREYPRRVFDAVLFSNEIDLLTVRWKELYPYVTEFVLLESNSTFTGLPKPLVFNSNREQFKFVESRLTYGQIPGRFRKGENPFIEEAYQRLALDYLLKKAGIQDDDLLIMSDVDEIPSRHTINLLRWCDDIPQVLHLRLKNYLYSFEFFVDNNSWRASIHRYQSGKTRYAHYRQAEVILADAGWHCSFCFRHISEFIFKMKAYSHFDRVRFSHFLNPKRVQRVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAYLLESADKYRFLLPGNCMRESG >CDP13317 pep chromosome:AUK_PRJEB4211_v1:11:32734546:32738830:-1 gene:GSCOC_T00038212001 transcript:CDP13317 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSPIDQKPFPAMFTAQPSPCTLSSSTIQHVPTVRRSETITGGGRRIGGFTRQKANNVPGFQSTVQSKHQPIRTVISSEGAKLVEKSQGSNGSLASSSVSGGMEVRAAVTIRRKMKENITDKLEDQWESFMNGIGRGILLQLISEDIDPITRSGKSAESYVRGFPKPSNHPFVVEYAANFRVPNDFGRPGAILITNFLDKEFYLVEIVVHNFSPEPQFFPANTWIHSRKDNPESRIIFKNQAYLPSQTPDGIKDLRREDLLSIRGNGKGERKMHERIYDYAPYNDLGNPDKSDELARPSLGGNEQPYPRRCRTGRRPTKKDPLSESRIEKPHPIYVPRDEAFEEIKQNTFSAGRLKALLHNLIPLISSTLSSSDNPFTCFSEIDKLYNDGVLLKDEDQKDVIKNQFLTNLMNQVFTVGGRLLKYEIPAVIKRDRFAWLRDNEFARQALAGVNPVNIELLKELPILSKLDPAVYGPPESAITRELIEQELFGMSVEKALEDKRLFILDYHDMLLPFIEGMNSLPGRKAYASRTIFFYTPTGILRPIIIELSLPPSSNSPRKKHVFVHGHDATTHWIWKQAKAHVCSNDAGIHQLVNHWLRTHACMEPYIIATHRQLSSMHPIYKLLHPHMRYTLEINALARQGLINGGGIIEACFSPGKYSMEISSAAYKSLWRFDTEALPADLVRRGMAVEDPSMPCGVKLAIEDYPYAADGLLIWSAIKELVASYVDHYYSDPSSITSDVELQAWWNEIKNKGHFDKRDETWWPNLGTKEDLSSILTIMIWVASGQHAAINFGQYPFGGYVPNRPTLMRKLIPGEGDPAYEKFLLNPVHTFLSSLPTQLQATKIMAVQDTLSTHSPDEEYLHQLHNVQSTSIKDPEVLKLFEKFSAKLEDIERTINERNRNIALKNRSGAGIPPYELLLPSSGPGVTGRGIPNSISI >CDP16319 pep chromosome:AUK_PRJEB4211_v1:11:23637573:23641450:-1 gene:GSCOC_T00018116001 transcript:CDP16319 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLKGGYFDQIGHPFDRNEMGSKFFNQIRTACLNFARDRFDLIRFLSQKDIQIVAGSGCPTLDRKVVNSGKRLRAHVGTDEGNVCSSCVLRGNCERAFVKAREDEGGRTVDVMRFLLTYGLDAIIGTVENKPCLNKKVKESVRKLLKEITEFSSEETDTEQSTASTSGWISSTQGISAHQGQDQVNVIMKPGDWKCPKCNFLNFSRNVKCLRCEGLFQERLQKLGEDEDHLPLKKGDWICEKCNFLNFAKNTRCLQCKEKPSGRQLIPGEWECESCNYINFRRNMVCLKCDHKRPKALNSSSLPSPSASDHMPYRHTRPYFGQEKQCGDEESDVIKFVETEGQHRSNSLDEAPGFVDFPLVCGKSDLSQNVQKQERWRKEMAEQSRSAAKAKENAGVFKSSITRDSRELLQLDDDEEMAEWFGRRRDN >CDP05426 pep chromosome:AUK_PRJEB4211_v1:11:20182140:20189247:1 gene:GSCOC_T00020468001 transcript:CDP05426 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFLKSKGRTLFIAYKTLGVVFGGLVTSPLYVYPSMQLKSPTEENYLGIFSIMFWTLSLIGLVKYASIAVRADDQGEGGTFALYSLLCRKININILSSKRSCANSCTTEKQSKLGKFFEESLVARRLLLFIAILGMCMLIGDGVLTPAISVLSAMDGIRAPFPSITKTWVEILSAVVLIALFLLQKYGTSRVSFLFSPIMGAWTLTTPLVGIFSIIKHYPSIYKAISPHYIFTFFWRNGKEGWLLLSDHYLKSHCCFYAGSEAMFADLGHFNKSSIQIAFLFTIYPSLVLTYAGQTAYLIRNPNDHKDGFYKFIPSAVYWPMFVVSTLAAIVASQSLISATFSIIKQSVALDYFPRVKIVHTSTRQEGEVYSPEVNYILMVLCVAVILIFGDGSEIGNAFGVVVTMVMLITTTLLTLVMIIVWRTPPVLAALYFVVFFVMEGVYVSSVFTKIPEGGWIPFAISLILAFIMFCWFYGRQRKIEYELTNRIDMDRLKTLLSDPGIQRVPGLCFFYTKIQDGLTPVFGHYLKNMKSLHTITVFTTLRYIMVAKVPPHERIIVSKLGLKGVYGCIVQYGYADSLSLEGVDFVLQVTDGLQNQVRDLFDQAQSTTSLDEEIADIKEAKLAGVVHVRGKTRFHISKSSSWFDRNMIAFYEVLHSNCRSALPALGIPLPHCIEVGMRYEV >CDP05591 pep chromosome:AUK_PRJEB4211_v1:11:23371052:23374280:-1 gene:GSCOC_T00020722001 transcript:CDP05591 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRIMVLIWADMLATYVTWIMQKYLSDVWKIGFTHAAGIMNVYNGLAKCVPLFLFSFVDCGVDNFWILLFSSIAFSTGLGFLSMSTPPVLHKATHTCNEYQPNCIGHTQKALFYSGLALVAVGISGHIVSLVTFALDQLERRSVPVHDTTSDRRRNNMGLVIQLVRMRRNKGKNDVNFMTEYEDPPPPPPVTENRRQQPNDTLEEDLLKLQFQPLEAMLELVNRQKERNGGSISDLRILGFIFVLIVAVGVLIGLPYVKPWKLRFGIPAICTLVATLVFSLCFCGYKDSKLRYSDRAVIRLRTHSKERQVLIRMLPIWFTCIICGVVTAIGNTYFVEQANHMNYKVGILKFPDSILLVLYGTAKTVFKRVYDRISNWLGEASQKKYAPAFGIVLATIFSASCCIVASIIETRRIHVIRSHGLVDKPDEDIPMTVFWLVPQFVLLGGLDAFYENSVAPFLNDQSPPSMKKYLVYLNPGLSGLGAIGSVFSVYVVGEISKKGGKKSWFQHDLNQSRLDHYYWILAGLSGANLIWFFLTAICIPFPYRDPVSSDTQETTDNQDKNVDQMNKNDEFINSLIKENQDIL >CDP00302 pep chromosome:AUK_PRJEB4211_v1:11:30189870:30190466:1 gene:GSCOC_T00032197001 transcript:CDP00302 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTSASSTTPILSPTTASIFHQSLHTVSKLPFSKLTSKSVIRPTTKLQVSSSKPTTTTTTTTTSKVAQETVFFDGGAHYGDLLANLLLGFTLLWLPLTLAAVLRAFFLRYRFTNLRVTVISGLTGQDRSDFSYKVIKDVQAVPRFIGEWGDIIITLKDGTKVDLRSVPKFREIAKYCLKMAEQPVVLKESGPKGF >CDP00273 pep chromosome:AUK_PRJEB4211_v1:11:30430039:30438709:1 gene:GSCOC_T00032160001 transcript:CDP00273 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVQLALAALLGASVMAISAFYIHKRSVDQVLDRLIKLRRKHLPSSTLRNHYNCHIVSDADEEDADYSAAAYSEECINRGRRDKLSTSFDNIDGIIGDGVEEEEKARVGLYRVWSSMPNVRTSNEWIDEEEKKALSNLLDHNLDIISSDLPPLRTQQRDAGDDQYVDHLGPQLMVGSIGRLVTPRSSAGYTVDSAGDSDEEETERTTMGATLLSYNKEININIPNLHMAPSNAENANYIQAQRCKEIAHESKANGNDEDAKVEKASMHTMRSSCTSTSKYLPVRATVHESLNIEEEEVLKMIRECLDLREKYVFREKVPPWTKVVQESATSDVKQNPFNSAPVEATAHHFKMEDGVVHVYANESDTEDLFPVANATSFFTDMHHILKVMSVGNVRSACHHRLRFLEEKFRLHLLVNADREFLAQKSAPHRDLYNIRKVDTHVHHSACMNQKHLLRFIKSKLKKEPDEVVIFRDGQYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASKYQMAEYRISIYGRKQSEWDQLASWFVNNALYSENAVWLIQLPRLYNVYRSMGTVTSFQTILDNVFIPLFEVTVDPRSHPHLHLFLMQVVGFDIVDDESKPERRPTKHMPKPAEWTNEFNPAFSYYAYYCYANLYTLNKLRESKGLPTIRFRPHCGEAGEIDHLAAGFLLCHNISHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPVFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSVAAKVWKLSSCDLCEIARNSVYQSGFSHVAKLHWLGSQYFRRGPEANDIHKTNVPNIRISFRYETWEEEMQHVYARKAKLPRDIEH >CDP00419 pep chromosome:AUK_PRJEB4211_v1:11:29250039:29252824:-1 gene:GSCOC_T00032355001 transcript:CDP00419 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVICGQPSSGKSTAASCLAEALKDTESKPSVRIIDETAFHLNRNQSYANMTAEKNLRGVLRSEVDRSVSKDTIIIVDSLNSIKGYRYELWCLARSTGIRYCVLFCDVEEPCCRKWNQERREREEPSYDDRIIEDLVRRFEKPDRRNRWDSPLFELWPSRDGIQKSSDAIVEAVSYLTKKVDSKTRDVKILQPTIATQNARSSEANSLYEMDKATQEVINVIVEAQSKALGGPISSVSLGADLPNIHIGRSVGLPELRRLRRTFIKLTGQSSLSGPPPPSDADSAKRMFADYLNRELGT >CDP00831 pep chromosome:AUK_PRJEB4211_v1:11:25240698:25244695:1 gene:GSCOC_T00032930001 transcript:CDP00831 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLNLKDSVIVVKEEAVEGFKDSGLVRSSGVPWPLSNKVSALAPFVPFKTSHDEKMPKVNSDHLASSGYMVMSSADAFDIKRQSGEAQNIHHVHLTHDAKMLPICMSNPFLKTHFAGAGQNLAGASLKQQFIGGVPVAAPCSFVASSSFVAGTTEPWFSTKASGGAAQLTIFYGGTVNVFDDITPEKAQAVMLLAGNACNMAQTRLPVQPPASKFACGDAAFVNQTIHPQSSSALSSPISVSSHPVGQSGVGSSNNDELKVSKTAGMSTNLVNKVEPLNMVASLGPVTSTTMIPSAVPQARKASLARFLEKRKERALNSSPYNPNKKSAGCSIPDSIIAGSPAASIAGSSLLSTSNEMP >CDP15258 pep chromosome:AUK_PRJEB4211_v1:11:18719162:18722091:1 gene:GSCOC_T00042911001 transcript:CDP15258 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTIACREDMFIDLTSRLENLLAYITKTSSCFSTLSRKSSYLSLLKLYNKASSRVMAIRLPRILQAKQILRQSSKDVSKGYVAVYVGESEKKRFVIPISYLNQPTFRELLSQAEEEFGFDHPMGGLTIPCREDKFIDVTSCLS >CDP05491 pep chromosome:AUK_PRJEB4211_v1:11:21514297:21517120:-1 gene:GSCOC_T00020564001 transcript:CDP05491 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFHLGFFALTTFIVLVCGASKNLPILSFDEGYSQLFGDDNLMVIKDGKSVHLTLDERTGSGFVSQDIYSQGFFSASIKLPADYTAGVVVAFYMSNGEMFQKNHDEVDFEFLGNIRGKDWRMQTNIYGNGSTNAGREERYGLWFDPSEDFHQYSILWTEDQIIFYVDNVPIREFERTATMGGDFPSKPMSLYATIWDGSDWATNGGKFRINYKYAPYIAKFSDLVLHGCAVDPTEQATKCDIAPKHDSIPTGITPEQRIRMQSFRKKHMQYSYCYDRARYTVPPAECVLDAEEAEGLRAFDPVTFGGAHRHRGKRHHRSRSSSSSFPINIFNRWSYYYIETCGGVNVFQFLKGYCLWGKKNDIYP >CDP00449 pep chromosome:AUK_PRJEB4211_v1:11:29070406:29079043:-1 gene:GSCOC_T00032390001 transcript:CDP00449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) UniProtKB/TrEMBL;Acc:O24527] MGSGTVVRRTRRRKSRGGGNGSGGGTMSRAVASMQAVGEIGGGFGRQRKSGSGGGGGTVEEGGGGDRFRGYGSGKVSTSSIPESVTKEDPTTKYELLHELGKGSYGAVYKARDKKTSELVAIKVISLSEGEEGYEEIRGEIEMLQQCNHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMTVTDESLEEYQIAFICREALKGLSYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGCPPRATVHPMRVLFMISIEPAPMLEDKEKWSLVFHDFVAKCLTKDPRLRPTAAEMLKHKFIERCKSGASTMLPKIEKARQIRASMALQAQTVTPETSLPGETPLGGPKLNEDYGDTVPSKPQNFANGIASGPIADRMEPNMEGDFGTVIVRDGEMDMTTIRSTSLTNAEEPLPASGQIGSPYISSGRDKAIESRAGGTMRVPSPVEGSISVAQPSQAFPLSIVGPPEQKLDAKSSAQATVVAAPGMSSSSFMSETVSRKALDKLWSIYSAGNTVPIPFLRATDISPIALLSDNVLGGPKLDDSGSIAVEAIQELFTSDAQSKKGRNRQTEVPLPPSVCQRLTSSPTLMNLAQALAYHKMCYEDMPLQEMQAAQEQQTIQNLSDTLRTILRL >CDP00425 pep chromosome:AUK_PRJEB4211_v1:11:29228900:29231470:-1 gene:GSCOC_T00032361001 transcript:CDP00425 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEDWETSKGANAPHWCMIRSYSILIILPPPKIRPKNSCKESGNAHSRIAAVKKIKKMMEVSMKQRSHSLVATNTLERGAPLTHAVGSVLKRVFVIGFSIVVFGNKISTQTGMGNVAIYSLIKANIKEQKRARFPC >CDP07984 pep chromosome:AUK_PRJEB4211_v1:11:10086287:10087046:1 gene:GSCOC_T00025547001 transcript:CDP07984 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQMDRRTLLESYVCTKQPSEFRWQLGSLTQVCFYNGFWVVVEDVDKAPPDVQSILLPLLEGASSFITGHGEAIRVHKGFRLFTTVTSSKLDISSIKEGSCCSF >CDP00545 pep chromosome:AUK_PRJEB4211_v1:11:28277912:28285445:-1 gene:GSCOC_T00032515001 transcript:CDP00545 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQLLPISNPNPSSSSSSSSEIIPITSSASAGTMLFGSEDDMAATTRILISPTFEDEMKIETRPLISRTLSYTDTLSSTSGGSGSHQQQQRRRRTASETSLFSLSGGGRRHSFRQNMGRAASDTYLLTRLGCKLLRYLGVGYRWVTRFLSLGCYAFLLIPGFIQVGYYYFCSSQVQRGIVYGDQPRNRLDLYLPKNGDGPKPVVAFITGGAWIIGYKAWGSLLGQQLSERDIIVACIDYRNFPQGTMGDMIQDASRGISFICNNIAEYGGDPDRIYLMGQSAGAHIAACALLEQAIKEAGEGESTSWSVSQINAYFGLSGGYNLLNLVDHFHSRGLYRRIFESIMEGEEGLRRYSPEIKVQDPDIRNVVSLLPPIILFHGTGDYSIPSDSSKSFAETLRKVGVKAESVLYEGKTHTDLFLQDPMRGGRDDMFEDLVAIIHDGDSEALAKDANAPIKKRLVPECMLKLAHSVSPF >CDP00659 pep chromosome:AUK_PRJEB4211_v1:11:27170921:27173102:1 gene:GSCOC_T00032676001 transcript:CDP00659 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLCFSVLPISASIVIVLALLFIRHRKNKMNFASYFLSRNTSEPSSNQDLEGGSNYFGVPVFSYSELEEATNSFDASKELGDGGFGTVYFGKLRDGREVAVKRLYEHNFKRMEQFMNEIQILTRLRHRNLVTLYGCTSRRCRELLLVYEYIPNGTVADHLHGDRAKDKYLTWQHRMNIAIETADALAYLHKSDIIHRDVKTNNILLDHNFCVKVADFGLSRLFPNDVSHISTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLIELISSMPAVDISRHRHEINLANLAINRIQRCAFDELIDPSLEYKSDTEVWRMTTSVAELAFRCLQLEKDMRPKMEEVVETLKEIKNCTDTSIDKTDETDEKAVESRRATVASPESEDIVLLKNGKCPSSPNSVADVWASSSSTTSASR >CDP00736 pep chromosome:AUK_PRJEB4211_v1:11:26358943:26362348:-1 gene:GSCOC_T00032803001 transcript:CDP00736 gene_biotype:protein_coding transcript_biotype:protein_coding MIENFMFNASIESKVMNYVICFLNSDPRSFAERLSFKSEVQHIFDKKYGIHQCLQQTLVSIDSIGRELGNIYVDRLTSENEQLGGAFSPNPNQRNEVVGLDDDCQSIFDRLITVPSRLEIVAIVGMGGIGKTTLARRVFDDLSTVYNFHVRAWATVSQVFRQRDVLLALLRSTTHVTDEIYRKNNEELAQDLYRSLKGKRYLIVLDDIWNTLAWDDVKLCFPDDENASRLLVTTRLSKVAHYVNPNSTPHLMNLLNLDNSWKLLHGMVFGKESCPLDLVGIGKTIARKCQGLPLAIIVIAGLLSRIRRTSDSWKSIADNVSSTVNTDSEQCIGILALSYNHLPHHLKACFLYMGAFPEDGEIEVKKLVRLWVAEGFLDKQLPRLAERVAAVSSDREAIAEIFLEDLIGRNLVFVAKRSFSGRNKTCHIHDLLRELCLREAQRENFMCLIKRDIHCLPAGINRKRRISFHSEFCGDLRSVPAIPHVRSFLMFSLGLGFPADIFLLQLGFKLLRVLDIIFLRSEHFPTQILKLVHLRYLALTATYELPASISKLCNLQTLIIHGPWILSENGESPTIFFEYWNMPWLRHLGFTVTCSLCIPPIPEINYPYPLAPILQTLSTIRLTSCTREVFRSMPYLRRLAICETKEDHNTNETSKYLSNLVHLPLLEALKCSFYRDAKPWRTLPWDAFPSNLRKLTLSWSYLPWEDMTNISRLPKLEVLKLRNCAFLGPEWEPPEDEFLHLKQLLIENSDLVQWKASTIHFPSLDRLVLVNCRFLEEIPVEIGEIPTLRLLELHNCSKSAEISAKEIGEQVEGLQVIIRSDL >CDP00366 pep chromosome:AUK_PRJEB4211_v1:11:29649494:29650579:-1 gene:GSCOC_T00032285001 transcript:CDP00366 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLSTTSSENPSSPTTSATTATKTASAAMPPSVSPPTHNSKPQSEATHDHTPREANTAVEESSDPPSEKQQENQSEDLELKKDDAVPEGEVEGGGEEEEEEGECGFCLFMKGGGCKDVFIEWEKCVEEGEAKNEDIVDKCAEITGNLKRCMEAHADYYGPLLLAEKAAQEEARKELEKDKEKETEKGNEESASVENSDKDGALGVSEQKIEEKDGVSGDSERKSKEKDGALSVPGLKSDEKDWVSGISQQKSEEKDGVLGGSEEKKDQGS >CDP13266 pep chromosome:AUK_PRJEB4211_v1:11:32415859:32421916:1 gene:GSCOC_T00038150001 transcript:CDP13266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 3 [Source:Projected from Arabidopsis thaliana (AT1G13180) UniProtKB/Swiss-Prot;Acc:Q9SAF1] MDPATSRPAVVIDNGTGYTKMGFAGNVEPCFIVPTVVAVNDSFVNQSRAASTKSNNWLAQYSAGVMADLDFCIGEEALSRSKSNTTYNLSYPIKRGQVDNWDAMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTSKCEMTGVVVDVGDGATHVVPVAEGYVIGSSIKSIPVSGRDVTLFIQQLMRERGEHVPPEDSFEVARKVKEAYCYTCSDIVKEYNKHDKEPAKYIKQWRGIKPKTGAPYSCDIGYERFLGPEVFFNPEIYSSDFTTPLPDVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFNRRLQRDLKKIVDARLLSSDARLGGEVRAQPVEVNVVSHPIQRYAVWFGGSVLASTPEFFAACHTKAEYEECGASICRTNPVFKGMY >CDP05451 pep chromosome:AUK_PRJEB4211_v1:11:20877706:20879687:1 gene:GSCOC_T00020506001 transcript:CDP05451 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLTTNVNLDAVDTSAIRSEAISAVSKHTGLPESDIMTSLKGSVPMTFGGTEQPTAFGELVSVGGLNPDVNEKISAAISTILETKLNVPKSRFFLKFHDTEGSNFGWNGSTF >CDP00210 pep chromosome:AUK_PRJEB4211_v1:11:30906466:30910878:1 gene:GSCOC_T00032085001 transcript:CDP00210 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLLKKAGDDVLPGVEIVCGGSYRRGKASCGDLDILITHPDGKSHVGFLPQYVKHLKDMKFLREDLVFRVHSEEGTDSGVDTYFGLCTYPGRELRHRIDFKVYPRDIHAFGLIAWTGNDVLNRRLRLLAESKGFRLDDTGLFPATRGSGGQRGKGSASLKLRTEKEVFDFLGFPWLEPHERNL >CDP05400 pep chromosome:AUK_PRJEB4211_v1:11:19764626:19768680:-1 gene:GSCOC_T00020426001 transcript:CDP05400 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGRNGGVRQYIRSKVPRLRWTPDLHHCFVHAIERLGGQEKATPKLVLQMMDVRGLTISHVKSHLQMYRSMKNDVARQDRNITIEPRKQQSVQDLHEGGVEQENNMVYHHPFSDSQFIYNPPPTKRARIEKMSSISEKLQRCQRIRESVTYDPYGDDDYGQTTAEKSGMIKEKEEGRRDFRWWQQTHETATPIHIPFSLPQDFFQTLNPSSNAGPMEESHPFFKVANQEEVKFEPSKTAKSQNLIEKHYVQEETDDCGLSLSLSLHHPSTQISNVSSTSEISEAISSYSRNFNDCSGSYLVQHTVNLDLSIAL >CDP00835 pep chromosome:AUK_PRJEB4211_v1:11:25203911:25209781:1 gene:GSCOC_T00032937001 transcript:CDP00835 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYHHLLPIFLAIFFPFISTIFSDLAADRAALLRLRSSTRGRTLRWNATSPSPCRWEGVTCNTAINRVVSLRLPGGGLVGQIPENTIGSLTELRNLSLRRNALSGPIPSDLGSCTELQYLYLQENRFSGDIPDGLFGLTNLSRINLARNNFSGDISANFNSLTNLRALNLENNRFSGSLPELNSLSNLRDVNVSFNNLSGPIPSRLKGFSSGSFLGTLLCDGPLPSCPNHGGSKLSGGAIAGIVVGSVFGLFLALLIIFILWRKCRNREVSGQNERSPIPPSPVKPPEYDFTSPRPYIPREDHGSSNGFSGRIVVNEIPGRATRNVENGDGGLVFVGDSAQMFSLDELLRASAEVLGKGIVGTTYKAYVETGDEVVVKRVKNVCVSEKEYTDRIEVLGAMEHENLVPVRGYFYGKEEKLIIFESMPMGSLHSILHGSDRAAMTWVIRCRIAFGTASGIEYLHSLGSSSSHGNIKSSNIFLKQYYDACVSEYCITSLVSPIPTSDLIGYKAPEVVDSRKVSQKADVYSFGVLLLELLTGKQPRNALQEEGIDLPRWVKSVVKERWSIEVFDPELLRHQNFEEQMVQLLNLAISCTSQHPDRRPSMHEITMQIKNISGLPVDFGTTYKTKLELKGIKKTVAVKRLKFDKLPELKFRDKIEELGKMARENLLSVRAYSCADNERLLIYDYVFMGSLASFLHGNPFKSGTGVAAKTPLNWEERCTIAYGVAHAFAYIHARGSNVCHGNLRSSNVMLTDSFDARFLTFA >CDP05586 pep chromosome:AUK_PRJEB4211_v1:11:23303897:23304505:1 gene:GSCOC_T00020713001 transcript:CDP05586 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFTYTIACGGFILIGAWESFVSSSECIQNTPLSPPLTSPRSISAINNSSTQTKKAPLSSSSVTCLSTSVLSFFFILNSLISISDALNSKDHVGFAFQLEVIAIALLFFLYSTLGLFAHVKISFQFPSAILNMLLLFAFGEEFLFFYVRRKDPGGVENRYYDLFLVPVGICLFCTILELESPKSNNASWDAGMDWFYRVCG >CDP16989 pep chromosome:AUK_PRJEB4211_v1:11:18300297:18302933:1 gene:GSCOC_T00004830001 transcript:CDP16989 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHNPYQRYSVGVRTKQGHAIQMRLSQTIMSSSALPLPHRCSFTTTTSFAQSNHTYSSTPVNNKAPLASPHLDQQCSSQDSIKRHFDHGFPQKPKTIRYRFSQLCKEGQIHVARQLFDSIPQPTTVLWNAIIIGYICNDLHHEAIALYSKMMKSSSQHQPDPYTFSSVLKACAETKELRIGKAVHCHILRSCIYAGRIVYNSLLNMYATCLSSFDALYSCDLVKRVFSTMPKRNVVAWNTMISWYLKTERPVEGLVHFVMMLKMGIKPTPVSFVNVFPATSRVMDVQIAHVLYGMVIKFGDEYVNDLFVVSSAIYMYAELGCLADARRIFDHCLERNIEIWNSLIGGCVQNNCAIEALHLFLEALQAQDDVGVDDVTFLSALTATSQLQSLGFAQQLHASLIKNSLVSHAILQNAIVSTYSKCNSISESFRVFNGMQERDIVSWNTMVSALVQNGMDVEGLMLVHEMQSQKFMIDGVTIAAVLSAASNLRNLDIGKQTHAYLIRHRIQFEGMNTYLIDMYAKSGMMKAAQAVFNMNCSKDRDPAMWNAMISANTHNGLIEKSFAVLTQMLELDLTPTAVTLASILPACSQSGSLALGKAIHGFAIRNFLDDNVFVGSALVDMYSKSGAIHYAESVFEKSPNKNSITYTNMIVGYGQHGMGDKAILLFNAWRGSGFQPDGVPFLAALSACSYSGLITEGLQIFESMRDHEVQPSLEHYACVVDMLGRVGRVVEAYMFADEIDEECNVLGIWGSLLAACRIHKNFELGKVVADKLLALAGGDKTTGYHVLLSNIYAAEGNWEYVNRVRRGMREKGLTKEVGCSWINISGVAHCFASKDEAHPVCCEIYEMLGYLSTNMKDAGYSASLRWQEVWISEFGE >CDP00527 pep chromosome:AUK_PRJEB4211_v1:11:28446405:28449356:-1 gene:GSCOC_T00032488001 transcript:CDP00527 gene_biotype:protein_coding transcript_biotype:protein_coding MYVARPLSEYTKNPDALSLPPEGPNSGYLVIQDEESETYSCFGLCKNRTLRDLPFPQNKDLTVRYVQSSGESQDVSLNDAVFIPVLNLPLSSNRYYVIKPHGNHKGQAVACSREEDMATCCFCRCVKDIKPRPFDPKNIYQQFEVFVRETPCAGRGYFYAKSVAPDGFPPYFLRRKGWSVRTKTPKHYKLDEAPGLNATLRARLPEFSVPPSYKSSEAVVVGKWYSPFLFIKDGIVKDQMKRSMFYQVTLEQKWEQVYSRQNIYHEAKSVSIDVTIDREAVYIAGNKAVWDEKNVVEGTIWFKSFGRAGEEATVGLSIELVQRMKWEQERAGWLGGNEREVRVERMEYFGEKGEWREFGCFVLVESFVFKRMDGSLLMTYDFKHPHQIKCTWD >CDP16518 pep chromosome:AUK_PRJEB4211_v1:11:8927467:8929515:1 gene:GSCOC_T00018516001 transcript:CDP16518 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGRNDGKKLMDVRIVKHATEIIHLLTDSNPIQTIVDAVINNGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTITGEPSPREYGKLSLSFKFHDFFKLRLLRLSKQGIDSYDLPDNHVDIKFLSIFNIFSRFFYLMSYLFSCILMCIFLIFAGLL >CDP11020 pep chromosome:AUK_PRJEB4211_v1:11:7173732:7175908:-1 gene:GSCOC_T00032992001 transcript:CDP11020 gene_biotype:protein_coding transcript_biotype:protein_coding MWTILIAFHVAVYPTPPPEKPCIGTANFQPLLLSRYPGCANDLIPAGHFCLHSKSFGCGRSLKLDFSRIFTTQCLSNMLIGC >CDP00837 pep chromosome:AUK_PRJEB4211_v1:11:25137053:25139208:1 gene:GSCOC_T00032940001 transcript:CDP00837 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQLYNAAQSGDSDVIRQYADRLDSQLTPNNNTVLHILAQFCDSSYAVEQILGINHSLLCKQNAKGDTALHVAARNRYSGVVRALVDCAKDGEKPDKHNSEGRIKMLRLTNDDKDTALHVAVRTNCYDIVELLVKQDDELPHPWNMAGESPLYLAVERGYHDIVDLILGTCKSPSYHGPHFTTALHRAAMGNSLECVKLLLGKLPNLTKEADRDGQTALHIAAKLGHQEVIKLLLSADKSMAYTTSCKVNSKTSNTALHLAVKFGHLNVVKEILSHCPDCWEMRNGYNQNILHLAVVKEQKQLLDFILDNVWASELINERDLDGNTPLHLYAATKNLDGCNLISHIHADKNAFNFSNFTPLDVVVQASDQTERLNVIKDELERNGATRGFRCGGTRLKGKRGKALYKSNQCHRPEEDSKSKADTYLIVAALILTVTFAAGFTVPGGYENGDGPNKGMAVLRHKRSFIVFVIADMIALVYSSAAVFIQYMFSPSEGEYFLWHSFPTTHGNIRIALMAMVIAFISGLFAVLSSPIMKALLCSLGITSLLGFMGFFVIFTDGYRCFRLNNAGNRCKIILSVWPLRGGGLGFAIGRILY >CDP00489 pep chromosome:AUK_PRJEB4211_v1:11:28747559:28748302:-1 gene:GSCOC_T00032444001 transcript:CDP00489 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLEDLKIRPVGLLHHVKVGLEGNFTESFLAQRCLSSCLCSGAARNYFSCCFWRSDCL >CDP05530 pep chromosome:AUK_PRJEB4211_v1:11:22463577:22464663:1 gene:GSCOC_T00020636001 transcript:CDP05530 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCMLENTICAIPLHQLFFCLPIYIYNLPNPLPCGDRCGASINWHCLQDFVDGWIKAMPGFEEVYSFNRSVIPDSNNIFKWPSRLKLPCCRNRCAQSKVCPTIRIPLQDMILFIKKKTPGINVTLGDGFPNKVYFNGDECSLPAMLPFFISIY >CDP00529 pep chromosome:AUK_PRJEB4211_v1:11:28437219:28440431:-1 gene:GSCOC_T00032490001 transcript:CDP00529 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKMTKLRYTLFWVNVSTLCAFERNVVEGKIWFRSINRGGEEANVGLSVELVQRMKCEQGRSGMLGGKGRQVRVERKENFGEKGDWREFGCYILVESFVLKRMDGSLLMPYDLLHSHQIKWRWDKSLVQCLRSNQGNSPDSLSSLPEGPHSGFLVIQDRKVETCCFGLCSFDNDRVRDLPFPQNYSVTIKTIVRVVTYSNGDRYYRNVYDHLMFIPVLNQPLSSNLYYVMSRDEPFSGEALTCSKELSCNNTCCIEDVRPRPLDPSSVYQQFKIVKRSGRYFAGLSTVDGGFPPEPLRRINEVGWKARLSTEFMLDEAPGLDKALRASMPRFDFPLKCEESQTLVVGKWYCPFMFVREGTIMRRYQMSNSMFYVMTLEQQWKKIFSCSNSDKEDNEGKQLTVDVVLENEAVYVGGFKAEWDEGIVDEGAIWFGFSDGGGAKRRVGLSPEIVERMKWEQEREGWRSGKNRQVRITRAQEFKKSDEWREFGLYVLVERFVLRRMDGTLVMEYHFLHDDQIKSKWE >CDP11049 pep chromosome:AUK_PRJEB4211_v1:11:6202014:6202336:-1 gene:GSCOC_T00033040001 transcript:CDP11049 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVFGLKSYVFSFYRFCCSDGTGELNQAGVDHYNTLINTLLANGIEPYVTIYHWDLPQALQDKNNGWLSPQIM >CDP05439 pep chromosome:AUK_PRJEB4211_v1:11:20706673:20709403:1 gene:GSCOC_T00020487001 transcript:CDP05439 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGKTTLANKVYNDPEIVSYFHVRACCCVSQVYTKRDLLLEILGHIIELTDNILVKPDEDLELLLSQKLRRNRYLVFMDDIWGIEAWSQLQNSFPDDKNGSRVLITSRLQDVVSKVTDESHLLNLRPLSDDESWELLRRKTVFEEGCPEALLEIGKEIARKCKGLPLSVVVAAGFLKAKNMTPKFWKEISDSINSLKDNDPQKRCMDILELSYNHLPDYLKSCFLYCGAFEGDKEIPVWKLLWPWMAEGFVQESDLKSLEDLADDYLKDLIGRSLLMVARRRSNGRVKACRIHDMVRTLCVLKAKKENFFDFDDFDRSNSVTYEEYRLSFSVSRKLFLTSKPSGTNVRSLLFFATTDMDPRCLYDASFIFRNFKLLKVLDLVLHQIVSDSRIMLLLQLRFLSVAGYMGSIPSSLAKLQHLETFIVKGWKGKVLLPITFWTMTRLRHVHVDDHAVFTMPNEKVGGSSELINLVSLSTPSLSFGDTKEIVRRLPNLKKLSCIFPKLRNHSTKLL >CDP00504 pep chromosome:AUK_PRJEB4211_v1:11:28610208:28625867:1 gene:GSCOC_T00032463001 transcript:CDP00504 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQVEVARCSQEDAVLDPEDAALLVSTCITRNLAPALTLEQGLDCIQEAVEKLKANPPSCPIGMVRFQVAVPPSPKALKWFCSQPQSGGVFPRFFLSKEENPSYMSLALGRTCGVFGIGASVYFKGHYSHPSREHSEFQRSYPAMELDIPMAYGFLDVSFDVISSSMKYEAGSFYLFIPQIELHESEEVSVLVANLAWNDSSTSFFAKAIQTYEIALYQARCHLWSLMDDCSKKCINALQVYGTMKDKIAEMVCMSAFQIGGSLLDAGTLELKDASRCSSQFSAMLSPLSAISNNMYHSDYFDKTGYSTLDCPNINSLWASLIIEECTRLGLTYICVAPGSRSSPLAIAASAHPLTTCVACIDERSLSFHAVGFVRGSHSPAVIITSSGTAASNLLPAVVEASQDFLPLILLTADRPPELQDVGANQAINQVNHFGPFVRHFFGLPAPTDGISARTVLTTLDSAVNIATSSPCGPVHINCPFREPLAKTPSIWNDSCLKGLDFWMSSTEPFTTYIEVQHSISSARIHADMDEVIKVIERAGRGLLILGAIHTVDDIWAALLLAKHLLWPVVVDILSGLRLRKYMACFSNTEDDILFIDHLDHLLLSEKVRNWMKVDVVIQIGSRITSARIQEMLEHSFPCSYIMVDNHPRRHDPLHIVTHRIRSTTTEFTNYLLKACSPYLCSKWNGYLRALDMMAAWEMSFLISSECSLTEPYVAHILPEILDYKSAVFLGNSMPIRDADMYGSNKAQNTHGALMLNLGLPCHWIQVVGNRGASGIDGLLSTAVGFAVGCNKRVLCVIGDVSFLHDSNGLSLLGQGILRKPMTIVVINNHGGAIFSLLPIAAMTERRVLDQFFYTSHNVSIHNLCLANGVKHVQVHTKMDLLDSLFTSQCEKVDCVIEVESCIDANANFHSDLRKFSRQAAEQTMDVFSLSTSVTTGQVQGFIIVFHGRVNLCAPPTSTSGSNESTTFYREGFVLSLSLEDGSTGYGEVAPLEIHKENLLDVEEQLRFLIHMLEGAKIDYFLPLLKGSFSTWIWHTLGILPSSILPSVRCGLEMAVLNAVAAKEGSSMLNILFPKTVDLPKKFLNVHICALIDSVGSPLDTAYIATSLVKEGFIAVKMKVARRANVIEDIAVIQEVRRKVGDQVELRVDANRNWTYDEAIQFANSVKNCRLQYIEEPVRYDDDIIKFCEETGLPVALDETVNCIRENPFDVLNRFNHSGVVAIVIKPSLIGGFENAALVARWAQQQGKMAVVSATFESGLGLSSYVQFSCYLDLQSADIRRLMDKEPSACIAHGLGTYRWFTEDVTLEPLNICCNSKTGIVEACATDAGQHLQHFQINQNVVVQNFDQENVHNYRLTVDLEGFSFSFNVLEMGQSIAGNVVVFLHGFLGTGQDWIPIMKAMSRSARCIAIDLPGHGGSKLKTDSAAKPSLSIHVIAEMLCQLFPHITPEKVILVGYSMGARVALHMALKCSDKVEGAVIISGSPGLVDPLARKLRRARDDFRASSLVSNGLEFFLDAWYAEGLWTSLRSHPYFKKVLASRLQHDELQTLAKVLSDSSIGRQQPLWDDLKHCKIPLLFIVGEKDLKFKNIAWQMVNKYCTGARESPKLIEILNCGHAVHLENPLPVISAVRQFLKRIEKASVLSNR >CDP00604 pep chromosome:AUK_PRJEB4211_v1:11:27742477:27743434:1 gene:GSCOC_T00032595001 transcript:CDP00604 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKISLDCNGCCRKMRRIILRMKEIETHSIEKQHSRVIVCGRFVPADIAIKIRKKMKRRVEILEIEGLSSGSDGHTEQEPAPTHVPDPHAIAQHA >CDP00595 pep chromosome:AUK_PRJEB4211_v1:11:27785471:27785765:-1 gene:GSCOC_T00032584001 transcript:CDP00595 gene_biotype:protein_coding transcript_biotype:protein_coding MFQILAPLPIGFAVFLVQLASIPVTRTGIDPARSLGVAIIFNRDLGWNDHVSKPIMIVPFIGAALAAVYH >CDP00250 pep chromosome:AUK_PRJEB4211_v1:11:30614796:30628353:1 gene:GSCOC_T00032132001 transcript:CDP00250 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWRGSRSRLGGGGDTGGMAMTEHVSSSRTVRLGRVQPQAPGHRTVFCNDREANALAKFKGNSVSTTKYDVLTFLPKGLFEQFRRVANLYFLMISILSCTPVSPVSPITNVLPLSLVLLVSLIKEAWEDWKRFQNDMAINNTPVDVLLDQKWISVPWKKLQVGDIIRVKQDGYFPADLLFLASPNPDGVCYTETANLDGETNLKIRKALEKTWDYVTPERVSEFKGEVQCEQPNNSLYTFTGNLIIQNQTLPLSPNQILLRGCSLRNTEFIVGAVIFTGHETKVMMNAMKIPSKRSTLEKKLDKLILTLFCVLFCMCLLGAVGSGIFINRKYYYLEFSKNSDAQSNPDNRFAVAALTMFTLITLYSPIIPISLYVSVEMIKFIQSTQFINNDLHMYHFESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEIYGTGISEIEIGTAQRNGMKVEVQKSSDVTHEKGFNFDDARLMRGAWRNEPNPDLCKEFFRCLAICHTVLPEGEDSPEKIRYQAASPDEAALVTAAKNFGFFFFKRTPTTIYVRESHVERMGKVEDVPYEILNVLEFNSTRKRQSVVCRYSNGRLVLYCKGADTVIYERLADRDNELKRTSREHLEEFGAAGLRTLCLAYRDLSSEVYESWNEKYIQAKSSLRDREKKLDEVAELIEKELVLIGCTAIEDKLQEGVPTCIETLSKAGIKIWVLTGDKMETAINIAYACKLINNSMKQFIISSETDAVREVEDRGDQVELARFMKENVKNELKRCYEEALQYLRTGSETKLALVIDGKCLMYALDPSLRVMLLNLSLNCNAVVCCRVSPLQKAQVTSLVKKGAKRITLSIGDGANDVSMIQAAHVGVGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRICKVVTYFFYKNLMFTLTQFWFTFHTGFSGQRFYDDWFQSLYNVIFTALPVIVLGIFEKDVSASLSKKYPELYKEGIRNTFFKWRVIAIWAFFAIYQSLVFYHFVTASSITGMNKAGKMFGLWDVSTMAFTCVVVTVNLRLLMMSNTITRWHHVTVGGSILAWFIFVFIYSGIVLPKDQENIYFVIYVLMSTIYFYLTLLLVPIAALFCDFIYQGAQRWFFPYDYQIVQEIHRHEPDASKVGLLEIGNQLTPDEARRYAIMQLPGEKSKHTGFAFDSPGYESFFASQAGVFVPQKAWDVARRASMKSRPKTSRKN >CDP00371 pep chromosome:AUK_PRJEB4211_v1:11:29613355:29616382:1 gene:GSCOC_T00032291001 transcript:CDP00371 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSVSPSVVLPPSGTSSNPFQNHRRRISFSSQFLKPGTCQFRLSYNDSSQESSRARRRCHFSQVGCNIRGEKEDEENKRRDEEVERALHMDGTIPGSSNEFVKQVSSRAYDMRRHLQQSFDSSSYDVLEANPWREPSKPVYVLTHRENQLCTMKTRRNRSEVERELGLLFSKGGKWRNQAKQSGSETKFQMLVEDIREGVLVFEDQTEAANYCDLLQGGGQDCEGVAEVEASSVFDLCQKMRALAVLFRRGMTPPQPESLKLNLRARKRSLEDQEDL >CDP13252 pep chromosome:AUK_PRJEB4211_v1:11:32313937:32317790:1 gene:GSCOC_T00038132001 transcript:CDP13252 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASSSRRNISSFSSSSSSYAGYQRSPYPQQPPYYTPQPHHAPASFNYGARTPQPYQKSDSRYSRIADNYETLDQVTAALSEAGLESSNLIVGIDVTKSNEWTGARSFKHKSLHHMGNVQNPYEQAISIIGKTLSAFDEDNLIPCFGFGDASTHDQDVFSFYPDARSCNGFEEVLRRYRELVPRLRLSGPTSFAPIIEMAMRIVEDSVGQYHVLLIIADGQVTRSTETPRGQLSQQEQKTVDAIVRASDYPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKDVDPSRKQAEFALAALMEIPSQYKATIELGMLGCETKKAPGRRPLPPPIYGSSLKSCRPNSFQRSAQSYPYPFPEIAAQKAPSSSSLLDNKVCTNCLGSTKGLAFECGHQACYNCGIDLQSCPICQMPITIKIKLYS >CDP19432 pep chromosome:AUK_PRJEB4211_v1:11:31411418:31413664:1 gene:GSCOC_T00006551001 transcript:CDP19432 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVGTRVLVEVVVLIYKAAIIWSKHKAKFCKLVTVTRSSTNLISYKLNFGAQQTSVHPGKGRSLLRAAFPIGVPLIIVAVMLFMMSFVFLKRRLRKRYVDGALATTVADILTAESLQYSLTEIQIVTNNFSVDNKIGEGGFGRVYKGVLGNGQEVAVKRLSRSSGQGAEEFKNEIVVVAKLQHRNLVRLLGFCLEGEEKILIYEFVPNKSLDYFLFDSENKRSLNWARRYNIIGGIARGLLYLHEDSRLRIVHRDLKAGNILLDGNMSPKIADFGMARICGVDQSEGNTNRIAGTL >CDP05568 pep chromosome:AUK_PRJEB4211_v1:11:23099061:23102357:1 gene:GSCOC_T00020690001 transcript:CDP05568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G01770) UniProtKB/Swiss-Prot;Acc:Q9ZUA5] MAGEQEKLLLDEHKENHFTAGEIVRDMIIGVSDGLTVPFALAAGLSGANASSSIILTAGIAEVAAGAISMGLGGYLAAKSEADHYMRELKREEEEIIAVPDTEAAEVAEILSRYGIEPQEYKPVVNALRKNPQAWLDFMMKFELGLEKPDPRRALQSAFTIAISYVVGGIVPLIPYIFIPVASKAVLASVAITLIALFCFGFAKGYFTGNNPIRSALQTALIGAVASAAAFGMAKAVQG >CDP00534 pep chromosome:AUK_PRJEB4211_v1:11:28379135:28385617:-1 gene:GSCOC_T00032498001 transcript:CDP00534 gene_biotype:protein_coding transcript_biotype:protein_coding MATISPSPNTTPTAVPPASSPPPTQLSSPPPTSPPPTPSPPPSQQNLASPATTPSASPPRPAQSPPPAQSPPPSQSPPPNVTSPPPTQQPPMASPPPPSSSTPPQSTAPPPASSPPPPSSNPPATSSPPPSSAPPPPQATSPPPQSSSPPPPASIPAQSSPPPPQATTPPPQSSSPPPPASVPPQSSPPPPSSNPPTSSPPPPSANPPTSSPPPPPSASKPPGSSPPPPSSQPPRNSPPPPASKPPQNSPPPPSSKPPQNSPPPPASKPPRNSPPPPPADPPSNSPPPPVSTPPSNSPPPPVLTPPSGSPPGSSRPASSPAGALSPPPTIRLSPPPPGASPLTPSPSDPTPNSAGTNASESAGSTGNGGLGTGGTVAIGLIFGVILLGLIGTAAWCVWKRKKKASGPNGGYILPTSIGSSPKSESALLELEKSVADTRTGSGNNFVHSPTEPGGLGNSRSWFTYEELMEATNGFSPHNLLGEGGFGSVYKGHLVDGREVAVKQLNIGGGQGEREFRAEVEIISRIHHRHLVSLVGYCISDSRRLLVYDYVPNNTLYFHLHGEGRPVMDWTMRVKIAIGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEARVSDFGLAKLCQDANTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDASQPLGEESLVEWARPLLSHALESEQFDELVDPRLEKNYVDSEMFPLIEVAAACVRHSSAKRPQMGQVVRAFDSMATSDLTNGMRVGESQIFNSAQQSEEIRLFRRMAFGGQDYSTDFFSQGSHSLAENGESR >CDP00743 pep chromosome:AUK_PRJEB4211_v1:11:26313887:26323341:1 gene:GSCOC_T00032812001 transcript:CDP00743 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKIGGKGGGGVGQLLVAVAAALLLRLLSSPGPELLPECEFDDDQEQNGDAEEDDAPVTGKVPPVTIKWTNITCSLSNKASKSVRFLLKGVSGEARPGRLLAIMGPSGSGKTTLLNILAGQVMASPRLHLSGLLEVNGQKISKRTYKFAYIRQEDLFFSQLTVRETLSLAAEMQLEEISSVEERDEYVNNLLFKLGLVSCADSRVGDAKVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLRELALDGHTVICSIHQPRGSVYGKFDDIVLLAEGALVYAGPAQETVLDYFSKFGYLCPDHVNPAEFLADLISVDYSSSESVFASQKRIDALVESFSQQISSTLSATPLTREGYKSSTYIRKKSVVKRKVGWWRQFCLLLKRAWMQASRDGPTNKVRARMSIASAVIFGSVFWRMGRSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYGLGPYLLSKLLAEIPVGASFPLLFGAILYPMAGLHPTLSRFGKFCGIVTVESFSASAMGLTVGAMVPTTEAAMALGPSLMTVFLVFGGYYVNAENTPIVFRWIPRVSLIRWAFQGLCINEFRGLQFDQQQPFDIQSGEQALERFSFGGSTIKETVVAQSRILLFWYYTTYLLLERNKPKYQQLEQPPADQTEKQPKLEPLDSDPEEQYEQIESPLLDQGTNLKEMSLP >CDP00456 pep chromosome:AUK_PRJEB4211_v1:11:29029039:29032130:-1 gene:GSCOC_T00032400001 transcript:CDP00456 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLILISWLAFGVLCIFLFLLNWNYIRYSKKGLPPGTMGWPFFGETLKFLELGPEFMKRQRTRYGNLFKSHILGCPTVVCMDPELNRYILLNEAKGLVPGYPQSSLDILGKHNIAAVSGFAHKQIRGSAMLLIGPSTVKEQLLPKIDKYMRLFLQNWDGKAIDIQEKSQEMAFFLSFNQIFEDESSVIYEAFKAEFDKFVVGTISLPINLPGTNYYKGLQARKNIVKLLTEVIKSRRAASSTFHHDDMLNSLLANEDSSYKLNDEEIYDQIMTILNSGYETLSTTSMMAVKYLHEHPKALEEIREEHFMVRHNKSLEQPIDWNDYKSMRFTRAVIFETLRLATVVNGVLRKATKDMELNGFLIPKGWKIYVFTREINYDPYLYPEPFKFNPWRWLQDLSLESHSYFFLFGGGSRLCPGKELGIATISVFLHYFVTRYRWEEIGENKIRYFPRVEAPEGLHMRVMKYQ >CDP05444 pep chromosome:AUK_PRJEB4211_v1:11:20790017:20791086:-1 gene:GSCOC_T00020498001 transcript:CDP05444 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQIKKIGRSPFTLYVALAPLESSLVPKNATQPACGAFVVKSVKLQPLETCSFSLLLKADVNGKSRVEIFPKVGEVWALYGKWDAESSLSELQNCECHVVEIIDCTDECNPHVTSAFIISSFIILLLIMDYAPYAIIVCKNELAGFSHQIPAFQLTSKNGGSLAGCWQLDGASVPRKLVHGN >CDP16976 pep chromosome:AUK_PRJEB4211_v1:11:17777477:17781207:1 gene:GSCOC_T00004805001 transcript:CDP16976 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSNCADSVLNALENLDDLWDLNVYIAEKLKREFRLLRTFLLCARIWSSFESDCDEHVSLVSFMSKLEAAINESAVNFQPYCRRSGRVMSKKLFRLSLLVRRSIETFRQKINHFYFRFSNILLQTSNCLMGSELIELMDSALENLVDFLLCIHGDDGQVLLELSKDLQQKLRFFQSLIRFSVSRVVEQRQSGAAIAHINLVALNAARIFSVCWLDVKDEQVLNEVQNKISELLQMINPVDPPVREIYMQVLMGSDLSGSSCTMNKEMNKHILGRLVDAFLGNLWEILNCSASLMDSKTDEIHRVYEGLRFLRTILENQYENFDDLPEKLKDIIGASIGEARNVIYSLFKHELKEGSAREMDIVLFAFQENIKLIEAEVSDKYAVTETFKSPSTILEDIKLVNVESVEIDDENFSFEAEKAAQALQHSQSQRTIPTFNQVVVGFDDEAEQIICRLTRGSGQLDIVSIVGMPGLGKTTLANKVYHDPFIICHFQICAWCCISQVYGKKDVLIQILVCIRPVGANEYSGMKEDDLAEELYHRLKGYRYLLVLDDLWDIEAWNGLERLFPDDANGSRILLTSRISKLALEVKPASRPLHLRQLTDDECLELLQKKLIERGGYPPALLLLGKHIAKGCKGLPLTVVIAAGILGNLEQDGWEKVAESLSSSSVCGTEHCMHMLELSYKSLPNYLKPCLLYFGVFLEDQEIPVWRLMRLWIAEGFVQKTEVKSLEDIAEDYIMDLIGRSLVMVDKQKSIGGVKTCRIHDLLHEFCLRKAKEENFLQLRRGYAGLSNFDEPSNIRRLSIYSKAKHFQKSRLFCPLLSSLLVSSQSENYAIPYNFSFILRMFKLLRVLDLGKLNLGFVFPGEISLLVQLRYFAFGGSMTSIPSSIANLWNLETLVLKLSHGSLFLPDTIWNMRTLRYLHGSGSFLDLSLAKDNWESSSDLCNLDTMSALVLDLGQSMDKIMKKFPNIRKLKCSLRETEESSGDWNKVVAIDFLWRLESLKLSFYHVNKNHYEYHFPLNLKKLTLEAFPWSAISSIGRLPNLEVLKLLGAKGYRVEIWNMEEGEFPKLKFLKLEALPVVRWTCSSDHLPCLQKLILHRCMELEELPSCLKEIPTLELIQVHRCPGSVGNLVQQIKEEQMNWGNVDLKILILEEI >CDP00337 pep chromosome:AUK_PRJEB4211_v1:11:29924905:29926035:-1 gene:GSCOC_T00032245001 transcript:CDP00337 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKSLVFLHLFLLQCLSYICLVQSHDFFFVVQMWPGSYCDTKRVSCCYRAAGKPTDFTIHGLWPHFNNNSIPQDCNRKNPFNKAKVSDLTTKLEKTWPTFKCGRKSSSAKFWSHEWTKHGTCSEDVLDQHAYFKAALNIKDKVNLLEILKNAGIQPDGKFYKLDDIKEAIKAGTGYNPVIECNTDASGNIQLYQVYLCVDSAGSSLIECPVTLKRDTSIELPML >CDP05559 pep chromosome:AUK_PRJEB4211_v1:11:22994675:22997920:1 gene:GSCOC_T00020677001 transcript:CDP05559 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTNQNPGENTQITSNPNWTINVPDQIRTIKVSNISPSSTDKEIQEFFSFSGNIQYVEMQRETETTQLAYVTFEESKGADTAMLLTGATIADLPISITQVENYQLPPNAVLNTEPTSTGSAVQKAEDVVSTMLSKGFSLGKDALNKAKSFDEKHQLTSTASATVASIDTKLGLTEKLSQGTAAVNEKVKEMDERFQVSEKTRSALAAAEQRATVAGSAIMSNRYVSSGASWVSNAFNRVAKAAEGVSIMTKEKVAKAEEERRDNLQKEQVAMVNEFAHVHLDQSLVGDVPAHPASSPDGSKLV >CDP00555 pep chromosome:AUK_PRJEB4211_v1:11:28176545:28178398:-1 gene:GSCOC_T00032529001 transcript:CDP00555 gene_biotype:protein_coding transcript_biotype:protein_coding MENDALPTSNSVLPPPMPVVGVGVFVLKGDRVLLGRRRVSVGHNTFALPGGHLEFGESFEECAAREVKEETGLDVRETEYLTVTNSVFSERAKLVHLVCIFIRAVLVDDNQQPQNLEPEKCDGWDWYDWNHLPKPLFEPVEVLVRSGFNPFLVN >CDP05507 pep chromosome:AUK_PRJEB4211_v1:11:21967695:21971082:1 gene:GSCOC_T00020588001 transcript:CDP05507 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRNDGCTILHAAVIGKCYSLAIGISESYPDLAGKRNEKGKTALHLLAAKPESFRSGSAYTLRGLGMKSLIPLLILRTIIYCCIPVLYKESQPVNSAEEPSNSASIHKLSRRSSFANYILGFPWLKEIDDARQSHAVAVMLAEKLIRREDWSHYVHTEFKVLEGSQFGISSEKKNRMPDPLIQATRLGIIEVVQEILSVYPEAAYTFDGKGRNILQIAVEEEKWFLYDYLMTSGTNMDRMLSAIDHEGNSIIHLAAHQESPPSTPPGVLEMMWEVLWFKRVQYDSYPYLWHLQNSDGKTAKQVFETNHASLREKAEETVRALANTVLIVSVLIATINFAAIFTVPGGFDQTTGEAIFLKNRRWEFSLLMFYLAGGLFSSLFTMGTLLVIIFLRFETEDFYVSLPCYYVINIISIFYSAVLAIVACCQALIVQKVVITDFRPLVVLFFIYGLMALVLMETSYVIFDYAYHLIRYCLCYRGQES >CDP00246 pep chromosome:AUK_PRJEB4211_v1:11:30639184:30646795:1 gene:GSCOC_T00032128001 transcript:CDP00246 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEDDDAAWFSSSNSTPSYRFRWDVFLSFRGEDTRHNFTERLYNELVLRGVRTFRDDEGLERGEEIAPSLVDAIKDSAASIAVISENYANSKWCLEELAVILECKRLMLPVFYEVDPSDVRRQKGPFEKDFGNHDTDVAADKVSRWRDAMSRAGRISGWDSRTWNELELIHSLVKKIMAKLNDTPLGVAKYPVGISSRLDILLRKLEVKGNGVRIIGFHGMGGIGKTTLAKALFNKLVSHFKTRSFISNVRQISQDSGLTYLQSKFLGDVNSTVPPTIHDIARGIIYIKEAVHDKPVLLVLDDIDDANQLNALAGGRDWFYEGSRIIITTRTKEVLPQNIVDEVYEVNGLIYPEALQLFSYHAFGREKPNMDFMKLSEQIVNLTGGLPLALEVIGSSMFYKRRKTEWIDELEKLKQIRPNHLQDVLEISFKGLDDQEKRVFLDLACFFVNSNLIREDAIDIFKGCGFNAEVAITDLTARSLVKIIEGNVLWMHDQIRDMGRQIVQRESYADAGKRSRLWSQGEIMMVLKNRKGTRSIEGITLDLGKKQELSSEKADKVNARKFPGLESAVIFLKKQYKKRFGHTAKEDGDLLNTESFKGMVNLRLLQINHAKLEGNFEILPAELKWLQWKGCPLESLPSALFSRDVAILDISQSSIVQLWGHSFMTKKLFVVNASNCYHLKEIPDLSGFCLEKLILEHCKGLVKIHHSLGDMGTLTYLNLKECENLLELPSDISGLRNLEKLILSGCIHLRELPEDLSGLRSLKELLLDRTPIMKLPDTIFRLKNLEVFNLADCYCLDLLPHSIGNLNSLRELILSGTALQKLPDSIGNLSNLELLNLRMCKSLTSISNSIGNLTSLVELCLGGSSIKELPASIGSISHLKFLMLDHCRSLSKLPDSIGRLSSLVSLHLEGTQLKEIPDQVGALNNLEQLKLGSIELLDSIPGSIGGMLCLRDVELDNLSIAELPESIGLLERLDTLKLNNCKNLRKLPASIGNLASLRYLYMDKNAVTELPDEIGRLSRLKVLRMAKQPDSGAPNNNGRESNQTDLVAEHQIEPVVLPKSFSGLSSLEELDARSWRISGKLSDDLEKLSSLQTLNLGDNDFRLLPSSLRGLSVLKKLLLPKCKELKLLPPLPSSLVELNVANCYALEYLADISSLGNLEELQLTNCKKITDIPGLECLKSLRRLYTGGCNSCLPAIKKRLSKEALRHIIYLCVPGTEIPSWFAPELPRFSIRKNLKLKAVIIGVVVSLDQQVEDNFRKKLPAIVDIQAKIIRLNDAIFTKTLYLLGVPDTDEDQLYLCRFHEFQQLVFMLEDGDKIAVTMRENPRFNGLKLKKHGIHLVFEGDDDYDENDEELFDESHQSVSKKLANFFSSL >CDP05600 pep chromosome:AUK_PRJEB4211_v1:11:23566867:23570606:-1 gene:GSCOC_T00020736001 transcript:CDP05600 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVNLVKRMVVSTYQAASGAGAAAMEELELQTREVLDRKEPTCKIFKRQYAFNLFSHNAPVLPNGYNEEEMKLVKETKKIWNDANVKVTATCIRVPVMRAHAESVNLQFEKPLHEDTARDILENAPGVVVIDDRAGNHFPTPLEVSNRDAVAVGRIRRDVSQDGDYGLDIFVCGDQIRKGAALNAVQIAEMLLK >CDP00724 pep chromosome:AUK_PRJEB4211_v1:11:26528762:26530141:-1 gene:GSCOC_T00032782001 transcript:CDP00724 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLMSCSTLPTPLMKTTRAARVILPGGEVRQFRQLVKAAELMLECPNYFLVNSKSLNIGKRFSALSADEDLECGNVYIMFQMKRVNSIVTAADMAVVLMAANTAARRIAGGKVEPEVAAAAVTRDDDQEVGRSRLNLEEIEGFKDMEYRFRLASCKSRKPLLDTITEEPVFSR >CDP00571 pep chromosome:AUK_PRJEB4211_v1:11:28049620:28052832:1 gene:GSCOC_T00032552001 transcript:CDP00571 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPSPNGQAEKRSRILIIGATGFIGHFIAQASLASGKSTYILSRAAASCPSKARAIKALEDQGAISIHWEEQEMLEKGEDSERRQKIKGSVNDQEFMEKTLKEHEIDIVISAVGGGNLLEQVILIRAMKAVGTIKRFLPSEFGHDVDRAEPVEPGLTMYNEKRRVRRLIEESGIPYTYICCNSIASWPYYDNTHPSEVLPPLDQFQIYGDGSVKAYFVAGADIGKFTVKAAEDVRTLNKIVHFRPSCNFLNINELATLWEKKIGRTLPRVVVSEDDLLAAAEENIFPQSVVASFTHDIFIKGCQVNFPVDGPNEIEVSSLYPDEPFQTMDECFNEFAGKIEEDKKRVVGTKGENIAHRLVDVLTAPKLCA >CDP13211 pep chromosome:AUK_PRJEB4211_v1:11:32041216:32044015:-1 gene:GSCOC_T00038079001 transcript:CDP13211 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLKNLSYHLLLQDGKIEAEVKLTGILSLGALQPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGGTHNQVVEVNVIVEEPGDDNVHSNAFYAEEKLLKTELEAMCDCNPSTARHWIVRNTRAVNRTGQLTGYKLVPGSNCQRLARPEAKFLRRAAFLKHNLWVTPYSHDEKFPGGEFPNQNPRVGEGLATWVRENRPLEETDIVLWYVFGVTHVPRLEDWPVMPVEHIGFTLMPHGFFNCSPAIDVPLSSCDSDVKENGMITKPCHNGLVAKL >CDP00513 pep chromosome:AUK_PRJEB4211_v1:11:28548757:28554673:-1 gene:GSCOC_T00032474001 transcript:CDP00513 gene_biotype:protein_coding transcript_biotype:protein_coding MNWDHESYPENEDFLVLPLFAIFFLFIRFFLDRFVFEKVGKRLIFGKGHVMQETVTDEQRKKIRKFKESAWKCIYYLSAELFALAVTYNEPWFTKTRYFWVGPGDQAWPDQKYKSKLKALYMYTGGFYTYSIFALIFWETRRSDFGVSMGHHIATAILIGLSYICRFARVGSVVLALHDASDIFLEIGKMSKYGGAEALASFSFVIFVLSWIVLRLTYFPFWVLWSTSYEVIQTLDKEKHQFEGPIYYYIFNSLLFCLLVLHIYWWVLMYRMLVKQIKARGQISEDVRSDSEGEDEHED >CDP00341 pep chromosome:AUK_PRJEB4211_v1:11:29909581:29914438:-1 gene:GSCOC_T00032249001 transcript:CDP00341 gene_biotype:protein_coding transcript_biotype:protein_coding MPINNLYGQPVKVKLDNSPQVLALQCLAVLCASQDFDFFYFVQQWPGSYCDSKQGCCYPITGKPASDFSIHGLWPNYNDGSYPSNCDPQAQFNPSQISDLTSRMQKDWPSLSCPSSDGLSFWSHEWEKHGTCSESILDQHDYFASALLLKRQSNLLQILKNAGIQPNGQYYSLDGIKAAIRGGIGHTPYIECNVDPSGNSQLYQIYVCVDTSGSNLIECPVLPRGTCGSRIEFPSF >CDP04850 pep chromosome:AUK_PRJEB4211_v1:11:4685265:4686498:1 gene:GSCOC_T00019605001 transcript:CDP04850 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVGRRTRNGSSQGTGYNGKSRRRFIVWTKSAFEKLDEIYRSFDRGLKQSSDMSRVRANIYINTEKPVFITKGKDNKAVIASNIMLDVKLHFHSDRANDHELQFSMVDGDFKKFEGKWSVKSGKSSSIKEYHVRGFAVSP >CDP16317 pep chromosome:AUK_PRJEB4211_v1:11:23662297:23663829:-1 gene:GSCOC_T00018114001 transcript:CDP16317 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVSRTGRHLQRYNDKGRRLVVGCIPYRFKGQEKDKVNNQVEVLVISSQNGQGMMFPKGGWELDESLIEAACRESLEEAGVLGNVEDELGIWRFKSKSQGTYHEGYMFPLLVTEQLDLWPEQNVRKRVWMTVAEAREVCKHWWMKEALDKFVNRLPLEELEDQQQDLPAKSLL >CDP11613 pep chromosome:AUK_PRJEB4211_v1:11:15691634:15692575:-1 gene:GSCOC_T00033980001 transcript:CDP11613 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMGKTTLAKNLYNHPRVANHFHAHAWCSVFQEYEKRELLLEIWSNIRVPSDNICQMDDEDLALELYQQLKRSAYVIVMDDVCNIAVLMDLEGSLPDDGHGSRILIMSRLHDLAAIAEFDTVSHSLILSHEESWKLWQMRTFPDRNCPDELLGFGKKIAENCKGLPLAIIAIPGILKKTEKQQNKWKEVADNLSSLVVEIPESWCKEILQLSYHHLPAHLRTCFLYLGAFKEDKDILISKLTWLWVAECFVQMTELKCSDDAAEDCLMDLVDCILVMIQKGDLMKGAIHAMFMICFVICAFQKQRKRTFSSL >CDP05408 pep chromosome:AUK_PRJEB4211_v1:11:19924684:19933712:1 gene:GSCOC_T00020446001 transcript:CDP05408 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGCISGGGGGGGGGGGGGSHHNLRAKDVVSPAAKGARTIVLKRLSDENELKDSSPSSSRNVISSTSTSSSSSNNFVGFEEDPLVDKLRTQLGVIHPLPSPPINRHIVGLFVFFFFVGVAFDKLWTSRKRNNSSRNGGKLGGDIWRSSVQVPTSLSTFLEKDLQRKESVEWVNMVLGKLWKVYRGGLENWIIGLLQPVIDNLKKPDYVQRVEIKQFSLGDEPLSVRSVERRTSRRVNDLQYQVGLRYTGGARMLLLLSLNFSLVPISVPVGIRDFDIDGELWVKLRLIPTVPWVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLRKLLTEDLPKLFVRPRKIVLDFETGKAVGPVPNDIKSGEVQEGNKDFAGELSVTLVDARKLSYFFYGKTDPYVIIYLGDQVIRSKKNSQTTVIGPPGEPIWNQDFHLLVENPRKQKLYIEVKDSLGFADLTIGTAEVDLGSLEDTIPKDRIFVLRGGWRQFGRGSAGELLVRLTYKAYVEDEEDERTEAKLKDGDALDDDISDIDELDAMYEQNGLDSSSATDKESFMDVLAALIVSEEFQGIVASENGFNKSFDNSKNGSSSRLAGTTIGSVRPNSDSGSRSSGGSALLWLAVVTSVAVLIAINVGGSSFFNP >CDP00695 pep chromosome:AUK_PRJEB4211_v1:11:26828505:26830067:1 gene:GSCOC_T00032734001 transcript:CDP00695 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAAASLVQTLEYLLQFPCLVLEMKKMQAEVVTQRFRQVLLLLKGEPSASSSSGLQQLSEEPETLASSFEGFLGAVGPHADFLEIKKRTLSLSCGIPKLQEKKPPILTEIGSLEQDLKEFLETTARDIRTDLSNYVVHQPSREAARKMLRAVDKLKQAIIFFYDVASEITILYQDLFSLLSFLDDSSDKFQDHELLKCIKDVAYRARDLVEECIVDNQVESVKTYLICTLSNSESPSRFLHQAVGKSSLETVVKSALDGKKGIRRGLVHALQDVQSIKRMTTNIDEKSLRLQGSSIRKAPLSSNKEDIVVGLDAELTTILEGLTGLPGLEIVTISGMGGIGKTTLARKAFNDPYIVYHFYCRAWITVSQVYQVRDLLLGLLSSIARSADKMVEKSNAQLAGFG >CDP13179 pep chromosome:AUK_PRJEB4211_v1:11:31799221:31803038:-1 gene:GSCOC_T00038040001 transcript:CDP13179 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLVFICVLVLLSLTGKGGAGARLSEEANILRKVEDFDVEIGGGDDDVEFLEEPFWVSERGNKVLVNVDTFGAVGDGVADDTQAFVKAWNQACSTRGSVLLVPQGRRYLVTATRFKGPCEGKLVFQIEGTIVAPTEPKNWDPKNPRLWLGFYNVSKALFQGHGVIDGSGSKWWAASCKKNKSNPCKGAPTALTIDSSSAVRVKGLTIQNSQQMHFVISRSDSIRVTGVKVSAPEDSPNTDGIHITESTNVLLQNCKIGTGDDCVSIVNGSSDIRMKTIYCGPGHGISIGSLGKDNSVGIVTKVVLDTAFLRGTTNGLRIKTWQGGSGYVRGVRYQNVRVDDVSNPIIIDQFYCDSPTPCQNQSSAVEISEIMYKNISGTSKSKNAMKFACSDTVPCSHIVLNNINLESRDGTVETYCNSAIGFGYGYVQPSAECLTSSDKEKIIKQEPELAQNSQGYLIHTEL >CDP13293 pep chromosome:AUK_PRJEB4211_v1:11:32591465:32600939:-1 gene:GSCOC_T00038184001 transcript:CDP13293 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRDKREQKVGKLIALTGAAAFIAIAVNSAVSAINSYSKNRRRKEVRGSKVQINLSASEILKLADRVIAKSKGVYDAVASVPLDKVTFANVVLPLAELEAQQFPLVQSCVFPKLVSTSEDVRKASAQAEQRIDAHISICSKREDVYRVIRALMARGDWMSSEAKRFTQSLVQDFERNGLNLTLTKREEVQRLKTQIDELSMLYICNLNDDRTCLHFSKNELAGLPLEFLKTLDEAEKGKYKISLRSHHVSPILELCKVGSTRKAVAVSYGGRSEANVDILEKLIQLRHKLARLLGFSSYADYAIDHGMADSSTKVFEFLEDISGNLTEQASRELAILRQLKKQEEGELAFGIEDLPYYVRRARDQQLDFDYGVVKQYFPVNLVLSGIFKICQDLFGLRFELVADAEVWHSDVQLFSVIDSISGELMGYFYLDIYSREGKYAHTCVVALQNGSSISCGRLIPVVLLISQFEKEVEGHPGLLRFSEVLNLFHEFGHVVHSICNCATFARFSGLRLDPDFVEIPARLLENWCYERLSLKLISGFHQDISKPIDVKICESLRQWRCSFSALKLKQEILYCLFDQIIHSAENVDMVELYKHLHAKVMLGLPMLEGTNPASCFPGSAIGYEATCYRRIWSEVFAADIFASKFSDDLFNQYTGMQFRSKVLAPGGEKDSLQVLSDFLGREPSIHAFLDTKADRS >CDP11048 pep chromosome:AUK_PRJEB4211_v1:11:6214531:6220426:1 gene:GSCOC_T00033038001 transcript:CDP11048 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKQDGLERLLQQHLQDNKCLIVLDDLWSTEAWDCLKDVIPVSEDGSKILLTTRNEDVAAYVGPNGYHHKLRCLTEEESWELLQKKSLWESNGAGCEDLGKMEDLGKKMLNNCGGLPLAVVVLGGILRTKKTLKEWNEVHENIKSYLDRGEKIGKEGEVQKVLAYSYYDLPWQLKPCFLYLGKFREDSDIGVESLYQMWIGEGMIFENDRREQETMMNVAERYLKELAIRCMVEIKAYEEGKHAVTELESCRLHDLMRDLCLAKAKEENLYKLVDRSTSRDSPPATEAQYGLVLRLLREDISRYNLPPKEQTKHLSSFLCEAADWRYFNLGVRIMSQVKNLKMLRVLAILSFNMASQSCHLKSPLGYVGNLIHLRCLRLRGRDINLPYSLGNLKFLETLDLSDSDYDCKIPNVLWKLERLRYLYLPYWWKGPQPKWIPQPKLRLSKKLEILESFNNRLCYPEDVCKLSNLRSFKANAYKNLEDLEHIINNISNLDCIRISSLKINDFDFGSNRGLDLPDYKSHVFPDPAGLTQLKLHHTRIEEDPMGTLEKLPNLRILKLRYYSFVGQEMICHSMGFPRLKNLYLRGLGNLKQWKVDEGAMPKLSGLRIKYCPKLEMIPDGLRCLTTLEEVTLKEMPEEFNDRVRIENGQQGQDYDKISHVPSVKIHRVVEGTLVVETVCPSNPV >CDP16299 pep chromosome:AUK_PRJEB4211_v1:11:23863438:23863966:-1 gene:GSCOC_T00018079001 transcript:CDP16299 gene_biotype:protein_coding transcript_biotype:protein_coding MLWASLVHGFSIIGLIDLRNVVASISCDKAAWDAILNNRAVQDLRGSISVGHMQQIYLTKFSKYIRLFPWLLCMINQLLVK >CDP00233 pep chromosome:AUK_PRJEB4211_v1:11:30754804:30756018:1 gene:GSCOC_T00032115001 transcript:CDP00233 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKTKKNPEKTHQENMVLLPFHFNSWVRSVSVLLVFLMIFHTPLKMSSAIRSFPNNGGWPTETEFGFTEAPEYRNGVECPVTSDETLTFCNPSLVHVAMTLDLEYLRGSMAAVHSVLRHASCPEHVFFHFVAAEFDPASPRVLTRIVRSVFPSLNFKVYIFREDSVVNLISSSIRSALENPLNYARNYLGDMIDPCVKRVIYLDSDVVVVDDVKKLWDVQLSESRVIGAPEYCHANFTKYFTDYFWSDPVLSRIFGSKNPCYFNTGVMVMDLVKWRGGNYRRKIENWMEVQKKKRIYELGSLPPFLLVFGGNVEPIDHRWNQHGLGGDNVKGSCRPLHPGPVSLLHWSGKGKPWARLDEKKPCPLDYLWEPHDLYKPNQQRRVKLHQQLQQGMNSIGYSNYLI >CDP00240 pep chromosome:AUK_PRJEB4211_v1:11:30683026:30684416:-1 gene:GSCOC_T00032122001 transcript:CDP00240 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYEEERSKYVNCFASRRDKDELTNIDRWIQFAAAKGVSRLEFNFSDEFVIHPRRQTRHLSEAERCYTSPTPITELMKLGRDLKQLASLRLRSVGLADEHVPCFLHNCPQLEELSIVHAPSLSDVKVVAAKVGHALRLKHLEISECKGLQHLEVVASF